-- dump date 20240507_081651 -- class Genbank::CDS -- table cds_translation -- id translation ACHE_10001S MNINRAGHPPGPWRRYNIDPATAEPPAPQTPHPAMPGTVAGGIE CRPRPTGAGVGGGLRTGAAAGGGSGSGAGAGVGSGSGSGSGYGLGLSYRCGAGADLGL GSGLGSGSGSGYGSGVGCGSGYGYGSGVGNGSGVGNGYGSGSGSGYGLSLGCGAGADL GLGSGSGYGSGSGVGCGSGYGYGSGVGNGSGVGNGYGSGSGSGYGLSLGCGAGADLGL GCGSAYGSGSGVGYGSGFGLGCMSPLLCWNHTPFRA ACHE_10002S MVQAHTPGLMPSLMPGLITTHVPYMPLHITPITCLLVPVIPAML PPVSIHCPIQIIVQYHPPAPPTCHHPHVLLHQLHLRCAQLSLILRPPRRLDNDRLAGL PIPPGSPAFLCIIQ ACHE_10003A MRRGAIHGPLVAQYLARVARFKEKLAVAIHMTAGQPARAPELLS VQYVNTPNNQFRNVFIEDGMVTLVTAYHKGFHASNDSKLIHRYVPRAVGELVVWYMWL AMPFIGQLTAWQAGTAHGTVNGTSNGMSNGTSNGTWNGMSNGTSNGMSNGTSNGTLNG TRAGTVNGTVNGTVNGMSNGTSNGTLNSTLNSTWNGTQAGTLNGTLNGTANGILNGTL IGTQAGTANGTRASTVNGTSNSTLNGTWNGTRAGTVNGTLNGRANGTLNGTSNGTANG ISNDTLNGTSNSTLNGTSNSMLNGTTNSIPIGTRAGTVNGTSNSTLNGTWNGTPNGTV NGMLNGRLNGTSNSTSNSTPIGTPIGTQAGTSNAMSNGTTIGTPNGTANGTLNGTLIG TSNGTPAWQPPSPYLWGPDPGMQRPWTPERFREVLKRETQARLGQALNIPAYRDIAIG ISRRFLRASSTFTSDRQDETEQAAALDADCEDGMDADQWMAHMTDLQAGHSSHVAGMV YGRQLMEQAGTTSHRRAMFRQSSVDWHQFLGFGCGTGVPGDVHADIDAGGLRAGLVDE GSCPSRRPGQEQVRARLVDDPGQEWVRACLVDDPGQEWVRACLVNDPGQERVRARLVS DPSQEGVRARLVDEGNRPIHHPGQERVRARLVDEGSCPIHHPGQERVRACLVNDPGQE RVRARPVLGKRKRAPWQVEAEEHHMERRHQLQTMDMAAALQQMTGQAGMQFQGIQAPA MAAIQQGKSPVVAVMPTGGGKSMLFMLPAWAVPGGTTIVVVPLISLRQDMQQRCRRLG IPCMAWDRQQPCDEAAIVLVTPESAVTPDFHSFINRLVVMQRLDRVVIDECHIIMNQQ KNFRSAMAQLGKLVRARTQMDQIDIYRARTSRGNVAYGVWRPPIPHTAPHGYGWEQDA WIIQFLQAQLQWARARGEDGDICQPGPPGAGDGGGIGM ACHE_10004A MQEWCGPLPVYGMTARQQRKWQILWQLAMPTMARPQQAPHRARA RAVHMFPGAGRILEQGGNPGSYRATEGRGVSPGDQPTAGHGVSPEHVEEAEETGNAGS TEPAWMMSPMERACLEFCIELLNQRHRAHEYESPLLPPILSRMIKLARFMVVQKALWL DPHVGDIIQMWQAQASTANGTVNGTVNGTVNGTPASPIAWPLASADAQLADIDEGCDS ASPTRHTPTTVHDRPSFHDHVQQMVSRFMIRGTHGPMQTLLDWRTYGLKIHYNSTAPG HVAWMGADELLYKDLHFTMGEFRGFIHGLVGATRELLCELLCIADGSSSAHTPSTMPL PAIPWQGLYDDPTQGHPGWNFCTIAEPGGPWMAGGG ACHE_10005A MQQLPSPGHTCSVQAGHGSWAAGTVYARGLQEAPGHIQARRVQY RAISREWHAFLGFQVSLGPRKRGWGEGKGEEPAAKRQRQQQPYVTVEMKEN ACHE_10006A MPKSNPRRDRLADKIDKEGFFSPPCLRCSEMSASNMSCECKRIS SNRKCNNCVRSGVKCERDFHNERKWQNLERDRMRLAADLEDAERSNDEALARLSETSA KLARLRKHKRFLEARNKAMLENDVALLEELDSQVSWPVAETASLDAQLAAVTDDPSLS QMMNSPSFWENFDSAVAGGIPSPTGGNQSSSQ ACHE_10007A MAGLRGFVRTQVELAQVELEGLFLLHEEETREAVVPRLALVELA DDPTNNRRGWNFLQDHRTRAALPTTGEQWLMDRVVATDWLRAEWVGVRPHDHQVMWHT TVVDAYLGQVDQFLERLLLLMHLTAGQPARATELLGIRHSNTVCGQHRNLFIEHGVVS LVTAYHKGYSMTGSTKIIHRYLPAEVSELVVYYLWLILPFARAVQALAHGTRQARSPF LWPRGPNLAAGAWDSGRLRGCSSVRPTYICVTTWLLSCRT ACHE_10008A MDLFLYNDTHRLWICGPCGFAVRPAHLAAHLANRHPKHPSAATP ALRRAACALMLKRPCWDPAREPDRPVPPPPAPGSPPVPGLPVHPGYRCPHPDCAYIVC NPESLLRHRTRIHADRRPRGRQPPASQVSPLPLYRTVSCQRFFPSGAGSGFFQVTPPA HTERARQAATMGEVEFIRRQVAGALAEDAAAAEAGAQQVPDPDAKAPTEISPWLELTR WPEFLHGHAFTAVAPLAAPPDPTAEPLLTVFSASVERLIEAAYQSIKTRRINEFDQIR INSFLQRPRVWDRPILIQLRPSTYRAYRQVWQRLICFAYRTSRPNAAVQLGHQLTTAQ LAALDRMETAAAELLSLPSPPLCTPGPGAADHPPWTTGGGPWVVIQTPRGGDRDRDPE GDRRTERRHAAYEQLDHACLDLSIALLDHPLKGDLFESAVVAFLAVLGVDVEKQTFRD PYAFTSSLSGLIKMAQMLVAQRAVQMADHGQVEHPADALEAMRERFLLPGVAAPLQLA HTGCARLASASRTPPPVWDISTGAMTSRP ACHE_10009S MDEDWYGLSITVENLVKYKQVTQSLSSALDAGLCVSQSTGELIL ERQVYILQALNILVEDILEAGSSSRMSRTRPRKHVEGAHVALFTLSIDPKPEKLPPVE ILACAVDQKSSLEEYIDLCRTEPAFLTHVVNTWFSSRPELVPDEKGRSMPLATDKFIR IAVFEVIHNAVIGAAVWGYLCSLLHALVDQPNDRFYWSTILHEIAEVSHFEHCRAQKL FKRYVQMASGSKFFKRVSGVYDNGTARVAMKIKPDLLTRVDPQMHYILCLCQAKLDVS QAVDWIRKLDGFHQALPTEQGNITEREFDAFCDLAVTASFIQSLSGWLKLL ACHE_10010A MVGLKLQLLLVLLHAVFSSAYRWFNWQFEVTCESDAYIAPEDES AAASFLKDQYARGSLIKVVGNGHGFGNLTTCVDTARTKKPSYIVSLTNLKHLDINRED MTVTFGAGWDVYDLIQELKANGLSFNNLGVEQVQNFIGAVSTGTHGTGSHVGNMATQV VGLRVLDSQGSLRVIDQTTNAEELKAFRVSLGALGLITEMTIKVHPTYLVKKTTRVLN ATTDYAQMYKEIAQLYKEHDRMTVWGPHFDWDSKAQDWAIEPTYFASWWEPTNYTGVR NCTLNYCANGCGDCKKNYICYDEVSDAVSCGPEGVCTHQFYAEIEHFFPAEYLLEAAT NYTLFQQSQTPRMKAPYNEQMVFQFRMLKGDDAYLSPVNTYNLGPESSGVFAVLEIDW MQEYNNFTTLWQNQELAEEFLPRFGEAYNVRSHWNKMSPANSTYILDKFPKLPEFLAI QERQDPKCQFVNDFLVQQLGITRCSGYLSM ACHE_10011S MKFGFVEAALLSVALAQTTHKHAPIDIQSSLLRDPREVADKRFD YIIAGGGLTGLTAAARLTENPNISVLVVEGGYYESNRGPIIEDVNTYGDIFDSSLDYA YQTTPQASNNLSGIVRSGKGLGGSTLINGASWTRPHKSQIDSWESVFGNDGWNWENLT SYMHQAEAARPPNPAEVTAGHFFDPSCHGLNGAVHTGTRNTGEKFSPMIKALMDTVKP QGVPTRHDFCCGDPHGVSMILNSVNAKQIRSDAAREWLLPNYRRHNLKLLTGQLVGKV LFDETNIGPKAVGVEYGVHKQFTFKAYAKHEVLLAAGSAASPLILEWSGIGLKSVLDT VGIRQIVDLPVGLNLQDQTTTTVRSAITASGAGQGQAVYFATFNETLGDLAPVGIDLL NMKLQQWAEETVAAGGFHNVTALMSQYENYRDWLINKNIAYVELFLDTSGKIHFDIWD LIPFTRGYVHILDADPYLGRRAYNPRYYQNELDVLAQAAATQLARNLSNSGEMKQYFA GEQIPGFNCHNLA ACHE_10012S MTAMSATLNYDWNVTWMTANPDGMMERPVIGINGQWPLPVLNFT RGDRVIAKVHNGLGNESTSIHWHGFYQNGTNEMDGPPHVTQCDIPPGATMVYNFTVDQ TGTYWYHSHSRGQYPEGLRQALVITDPKNPYAGQYDEELVLTLSDWYHDQFRYLLEGF ISVTNPTGAEPVPNSALMNDTQNLTIPVEQGKTYLLRLANVGAFASQYFWIEGHTMRI VEVDGVWTDAAETDMIYITSAQRYSVLVTMKNETSQNYAMVGSMDTELFDSLPPTLNY NVTGWLVYNDQAEKSAPAELSSFNPYDDFNLRPADGMECLPDADYTITLDMKMDNLGD GANYAFFNDKTYVMPKVPTLYSVLTTGSAATDPAIYGTDSLAYVLQKNDVVDIILNNG DDGKHPFHLHGRNFQLIHRSPENAGHFDASNPPPFATVPMRRDTVTLEPLGNFVLRFR ADNPGVWLFHCHIEWHMDSGLVATFIEAPLDIQRSITIPDDHLQVCNISGSMTAGNAA GNTEDLYDLTGESRAPPPLPEGFTPGGIVALVFSCIAAVLGMVSIVW ACHE_10013S MSHQVRIARFSCITQIPYPSASKFILGSRQYTQGALQKSSWTHP VYTQEQIQSVKIAHRNAKCLPDKLALETVRFLRWGMDFVTGYRANSPLSKPNRMTERK WITRFVFLESVAGVPGMVAGMLRHLKSIRQMKRDYGWIETLLEEAYNERMHLLTFLQL AKPGWKMRTMVLGTQCVFFTAFFMAYILSPRICHRFVGYLEEEAVITYTKAIKDLDDG CLPLWKSLGAPAMAVKYWQMPEGNRCMRSLLLYVRADEAKHRDVNHTLGSLDQDRDRN PFSAKFREQIGKVNDVIDSRVPDELYIRSQQRQRVKE ACHE_10014A MHLPVFFLLTIYGVLGSATSAFAPSHVKRGAQQNATLYAYGANT PQWPIAYGQTDGLLYITQTPDDNNNNLLPMSWNLPAITDDNWIVNATFENGTSAGSLF IKPEDNYCVGVLPITRISRINGTVSGFALFASQLVYNNNTQLQAQFWAKETDTNGTYA LTWNPGWNMQNGSFPVVVKASESS ACHE_10015S MFLPLFSSLVALPAVLGAALIPKDTIACVHNAANRSCWSDGFDI STNYYEKVPDTGVVREYWLNIENTTAAPDGFELPAQLINGSFPGPTIIADWGDTVVVH VTNSLQNNGTGLHFHGIRQNWTDQMDGVPSITQCPIAPGDSYTYKWRAVEYGTGWYHS HFYVQAWDGVFGGILINGPATANYDVDLGHIFLNDWYHYTADQLDSQAATGGPPTAIN GLINGTNTYNDTLGSRFKTVFNANTRYRLRLVNAAADNHFRFMIDNHTLEVIANDFVP IVPYETTDLSIGMGQRYDVIVTAKDLASGNFWLRAIPQEACSETSAVDNVKGIIRYDS SSTADPTTSAYSYTDSCADEDSSNLVPYLPLNASDTYTYGEDEEVAVQVTDNALLWTM NKTSFRTEWENPTLKQVANGSAPFTAKQHVIQLPQANQWVSFVIHSPFAQDHPMHLHG HDFLILASGYGDFDSSLITQSSLVNAPRRDVAMLPASGYLAIAFRTDNPGAWLMHCHI AWHTAEGFAVQILERKSEIFIDRSQLDSTCTNWNKYVAAKDVTQHDSGV ACHE_10016A MTTTSPGRDPLTALPPEIVLRILEFTSTSALASLTAVSKAWHTF IDVIHQEAIYSSESKTTQPPGGARDFSYLADNTSFSEFFENPESWKDVCKRQTLLARN WADSHPVTRESILQVGNDPVWRFKPDFKRRFFVSTSQAGGLNVTDMDSGRIIWRLPST LYADDNAVRPFAHLEYQDGMAVFDREGDAVEVWQADQEGAERGEFRRIAILNHDCQTR GFQLSHWTLCVVSSEGQGFVYDMTQRPPKLTTHLQIEHGGVGHLDQSKDAVIYSMGSK GYHVHDKASGAFLGALQPSHCTEKYHIRAPAAGSPAGPSHRAFTLGPSRRDCLTPIKV EKGPLTPPDDPEHVWHRENEWGAGMLHGDLFVGFSRSGRVFVCSDWRKAIHDQVSLAA HSSLLECESDGATFDLGGWLSVRNHRLMFEIQDRIYIVALDDNNKVQDVDHPARASYS LFTSSTSRLTVPVSFMALYDDAIMTTYATLGWHQPIPNLPGGIPQHQGPTRIIPTKTI RIVSLAPDLSNPSASKPRDNTPEETPEQPSAANGILPDPNQFLPPAHNLMSRQATLLH LLAMLREDADDDEDEDELGVTIADLHDFQDLHDLHDGIDEEWEDLDDPEAEPEVHQRM HEDEGN ACHE_10017A MGKSQSKLSPSQLDELQKATHFDKKELQQWYKGFLKDCPTGTLT KEEFQKIYRQFFPFGDPSSFANYVFRVFDADNSGMIDFKEFICALSVTSRGRMEDKLD WAFQLYDIDGDGKITYEEMLAIVEAIYKMVGSMVKLPEDEDTPEKRVRKIFRMMDKDE NGSLDMEEFKEGSKRDETIVSALSLYDGLV ACHE_10018A MWFNERTSLLSTLLLSVPLSSAFYLPGVAPTSYDEGQAVPLYVN HLTPGIAGQDDQLHSVFSYDYYHPAFHFCRPKDGPSDVRESLGSILFGDRIQTSPFEL RMGVNETCKAVCGEVKFDSRSAKFTNRRIMQGYNVNWLVDGLPAAQRNVETVTQTEFY SPGFALGIANDNGEPYLNNHYDILIDFHRVGSGGKDQFRVVGVLVQPESRHDTKALDD GTAECGSDNAPVLLSEDGETAVTFTYSVYWRESPTVWATRWDKYLHVYDPKIHWFSLI NSAVFVVFLVGMVSMILVRALRKDIARYNRLDAINLEDLDGTSAAVEDGIQEDSGWKL VHGDVFRCPRSPLLLSVLVGNGAQLFMMAGVTVVFALFGLLSPANRGFLATAILLIYT VFGFIGGYVSARVYKSFGGEAWKRNIVMTPLLIPGVIFCTFFLLNLFVWAKGSSGAVP FGTMLALVLIWFVISVPLSFAGSWLGFKQRAVEGPTKTNQIPRQVPPMTGTLRTFPSM FLTSLLPFGAIFVELYFIMTSLWTNKIYYMFGFLFICYGLMIITTAATTVLLVYFLLC AENYRWHWRSFAGAGMTGGYVFLNALLFWATRVSFGGLTGAVLYVGYSALIGFVVFIL TGSIGFFASWAFVQRIYRSIKVD ACHE_10019S MAATAMQSEAAPQDISTLLETITSCLSGTGSSLPKAAKDEPTDA SIDPPQQGISLLNTKSELLLSYLHNLVFLTIFQLRGLSAEDSADGENQSLREDVVKKL TELRVYLERGVRPLEGRLKYQVDKVVKAAEDAERDGRNAPGPTKTKTKKAPKSDEDED EDDSGSEEASSGSEDEESEDEEDIDEMAYRPNVSAFSQGVEAQAKPTKSDITEQKAPS DGIYRPPKVMPTALPTTERREREDRRPRRSNVIDEFVNAEMSSAPTMEASIGSTIRSG GRHTRSQKEKDREEERRAYEETNFVRLPKLSKEEQKKQRGRRGLESTFGGEDWKGLTE GADRIARLTQRGKGSGSALDKSRKRKTTEDMPGAVGQIFDKRRKKVDSWKR ACHE_10020S MTSSAVKLGQALYFLFFLSFQHILAILTILTLTISCHYLEKPYN FPAITMENPVEDVPAVIQMLIESPPSLQQKAIERFFTPSAEFVHPFCRVWGYKGSRWA IVKIFQWYKIMSPRIEHEIHSIAFDKDNLKLYVSMSQLFSIWLIPFHVAPVTLTTVLT LTTSPGEEKHTTNGTRTHYFIAKQEDLYQTSEFIKFVVPHVGHLVIYAWQAFATLFCL FGVALFWPLIWLEERGWVPGRVLRGGNLVYDIHKKIPDIKET ACHE_10021A MSSPQDQSSLSAFIKHPLLEIFSFVYVIFQTVLNWVFAPVPPPP TSSATSLPKKRVAVIGAGLTGVSSAAHCVGHGFDVQLFESRSKEKGLGGIWSRVNSTS SLQIHSLMYRFHPSVRYDTAYPTQQEIRDQIIGVWKRYGLQNRTVFDTKVTSVKQAKD GKWIINDNEKEYGRFDGILATVGVCGDPKMPTLPDQSKFKGGLFHSSDLDGKHVKGKK VLVVGGGASAIEALEFAAKSGAREIDVLSRSDKWVIPRNIFVQSLLAMNIFGQETTLS WVPEWLLHKLFYRDMQDIAPSGGLFTQTPMANSELFDLIREGHARWLRGDILQVQENG ILFNHRTQGVPKGGPGRESVVTGDVIILATGFKRPSLNFLPDEVFEEPYGPPSWYLQV FPPKYTSICANNSTYVDAIGTVGNMHIGIYTRFLLMFLVDPLSQPTEGRMKTWIDWTR LMKRFSPTGAFDFFTYSELIYWFLFVILVNPFRWKWAPFVLFGVGRALPMEVVKREEA LRKTLRKQR ACHE_10022S MATPGTSVLDRFLSSIADLVRQRDGAKLQDFLQLEPPLSDIYQQ MVVELRQRYPAESPKKDAELHRRCESLVPRSKGSSSWIAFPTFMKLYFTFLRDVNVEN LLETYNLLKGLLNQCVLALGDSQMGVVVLPTVLYLCKVLAKLAMGLDRRPDLIAHLLR LEGRPDQDESVEKVTLVEKSANVVREAFIKCLTDRSGTPGVQGKPEGRRVGIYLMANL CLKLLFQCGKLRNAEQMFASISAQSPPLTYFPASQRVTYLYYLGRYLFSNSLFYPAQI ALQAAYDQCHRQALQQKRVVLTYLIPCNVIMGRFPSGLLLQRPEAQGLAEKFVPICQL IARGDYIAFRDHLAFDSPANEWFARKGILLALRNRCEILVWRSLARKVFVHGGFLGDP AAQRSPPPYLYLKKLETAVRWLQSRHVQSSLGAISFIPPSRALDAKAGENNAGSQIIY KAPDPDFADAHDPDTSGADRIVSSKYDDYLAPDACFDALGQLQENPAHTLTDGDPSAN YSQHELDPYADRAALNSEDEAQGKPTPMMREIESILASLLTQGLMRGYLTHGNPRFAI PGARTRGAIPTGFPNVWQTIYARESEDDNVPGWVKPSKPAAAPVGAGGGGRVVNLSGA RPVGAQ ACHE_10023S MQHHNIAVKAIAAQVERFHQLQRPFRIYHGSTNSTRQSQHGPDN TISTADLTHILDVNTSTQTALVEPNVPMDALVQATAAHNLVPLVVMEFPGITVGGGFS GTSGESSSFRHGFFDATVNWIEFILPNGEVTRASKTDRTDLFWGAASAFGTLGVVTML EVQLRPAKPFIELRYHSTSSMEEAMHVFRTVTNDPSTEYLDGIVYARDHVVVCAGRLV DIPRTAPVRLTRPWDEWYYLRAQDRSRQSSGPALDQAAVDYIPLIDYLFRYDRGAFWV GRYAYSYFFVPFIYLTRVLLDWFMHTRVMYHALHESGHAKRYIIQDVAVPYAGTSEFV DWLDDKENFGAYPIWLCPLRHGPGVMERTAAAAEKSTKSEFEKKPNATTDDDYIMNFG LWAPSRHPSDRSAFLAQNRRLERRVLDLQGKKWLYAHAYYTEDEFWSIYDKKQYDALR EKYHASYLPDLYQKVRVDLSPQQGPQNWVDWVKSIIWEIRPVSGLYGVYKALRGGEYF IHKSKTA ACHE_10024A MSVLETGGLSVTHSCQGTGCPDLRLLHYNDVYHVEPGSAEPVGG VARFQSVINYYRSHPRFADQPELLTFFSGDAFNPSLESTVTKGRHMVPFLNKAGTDVA CMGNHDLDFGVAQFRHLRSQGKFPWLLANVLDPALGEGVPIANCEQTVMLTASNGIKI GVLGLGEREWLETINALPPDLIYKSATKTALELAPRLREQGADLVVAVTHQREPNDNK LAQNLPPGTVDIILGGHDHFYAHSIINGTHVLRSGTDFKQLSYIEAWRKSDGSGWDFS IDRRDMIRAIPEDPATVNLVERVTSSLKAKLEKPIGFTVRPLDGRFSTVRQKESNLGN FVCDLMRYYYAADCAMMAGGTMRGDQVYPPGIIRLKDILNCFPFEDSVVLLRVKGDAL FEALENGVSQLPAMEGRFTQVSNISFGFKPSAPPGSRITFANIGSKPIDYDRKYVLAT RGYMSRGKDGFASLLTQSEGGEVEEIVDEESGVLLSTILRQYFLSLRVMGRWQRWSNS MARHWDGVHKGLHCNGLVKPPSAGPSPVSEKVPAQPQRPGLSRTSKSYYYGRFPQIAT IEEDAEAEEEAEEDVMDSDSDDDPDILTTPQPVTNYVTLPAQSAAEEEYRLRLARQVL RKWMRKTGLRSSRLDALDQGEGEFEYTPAWTQGIAPRLEGRIIIEE ACHE_10025A MGNPPATIIIARHGARLDAADKNWHLTSPTPYDPPLSYGGWMQS RALGARIIDLLTSQDDSPLNTTTATGSPRSYSSSANVSPDSSARSSPQPFSPKRKRRI IIHTSPYLRCLQTAIAVSSGISQYHPSPGSDPAGASTGPDGNADTKLDGHRSLLRVDA FLGEWLSPDYFEDITPPPNSERLIGAAKAELLRRSVNMVPQADISNKPPTGYFPGGWG STSTPTSPIREAGPPPTKIARGQRSRADSYDALNSAHSTRPQGLLSRINTNLSSIPDD NAGSYVPPTPSYAIAPSDPIPAGYVTHARNACANVDYQWDSMRAPQSWGDGGNYGEEW SAMHARFRNGLESMIEWYQDNGNIQFPRQPPDGCETNNDKNEGDGDDAVDTVLVLITH GAGCNAMVGALTGEPVLLDFNTASLTMAKRKDASVDAPGVKTRYRSASDPSCLLGYDL KLAASVDHLRPPAKHPTRGGSSTLSSPVTLSSPWVPSYRHRATSRSQGQFIIGPSTPG LSSQSLANGRPSTAPRGASGLWGSNSTSGDDSADDIVPNFGGPVVSNPSADGEESVEH KSEGASASWGNNQVPQRTLSQRGLWGSAPLKTDRTDVKRRWTVTEQRV ACHE_10026A MAEPGKITISIDRGGTFTDVHAIVPGRPDIILKLLSVDPGHYQD APTEGVRQILELVTGRPHPRGKPLELDNIGCLRMGTTVATNALLERKGARSVLFTTKG FRDLLKIGDQSRPNIFDLSMARPGVLPEGVVEVDERVVPCHPSADKDCFTNARVVQGV TGDKFRVVQELDLDHVKSELERLKREGYQSLSVALVHSYAYPEHERRIGELAESMGFS VTLSSKLQPMIKVVPRGMSAAADAYLTPVIKTYIDSISSSFEGGLENQRQCRFEFMQS DGGLVDFRRFSGLKAILSGPAAGVVGFAATSYDQTEKTPVIGFDMGGTSTDVSRFDGN LEHVFGSKVAGVLIQSPQLDINTVAAGGGSILTWRNGLFYVGPESASAHPGPACYRKG GPLTVTDANLFLGRLLPEYFPHIFGPSEDLPLDTEVTAKLFNELTQKINTERREKNMS EYSPEEVAQGFLEVADESMARPIRNLTQARGFETASHHLACFGGAGGQHACTVAASLG ISRIIIHKYSSVLSAYGLALAEVVKESQEPVSTDYLSSKPSLQKQFEDISKAATEDMK SQGFAEDQVRHELYLNMRYEGSDTSLMILKPEDESSDFLEQFRTRHRREFNFNSERPV LVDDIRVRTTAASKVRTEKSPLVQLKEANIQDVTGAPDNTTKAYFDGHGRIDTPVYLL DKLDKNARVHGPAVIIDKTQTIVVVPGAVANILETCIVIDLKETDKGSVDAGSMTSQI DPIRLSIFGHRFMSIAEQMGRTLQKTSVSTNIKERLDFSCALFSPDGGLVANAPHVPV HLGSMQFAVRFQHQKWLGNLKDGDVLVANHPSSGGTHLPDITVITPVFDRPGGSEIMF YVASRGHHADIGGILPGSMPPKSTELWQEGAAIEGDKVVSNGVFDEERMVELLVRKPA QYEGCSGARCLSDNISDLKAQIAANTRGISLIQSLFTEYGVETVQKYMYAIQATAETA VRNLLKGLHEKFDGGPLEAVDYMDDGTPIRLKVTINREDGSAVFDFTGTGPEVYGGWN APIAITHSAIIYCLRCLINADVPLNQGCLAPIDIHVPSPSILSPTKSAAVVGGNVVTS QRITDVVLKAFRACAASQGCCNNLTFGTNKRVDPDTGKEIPGFGYYETIAGGSGAGPT WDGESGVHVHMTNTRITDPEILEKRYPTLLRQFTLRAGSGGKGKHPGGDGVVREIEFL APMQVSILSERRVHRPYGLEGGEDAEAGLNLWVSRDRETGEERRVNIGGKNTVPVQTH DRLVVMSAGGGGWGEVK ACHE_10027A MAQSRPRNPLLHRRSQARPGARRSMVACNRCRNRKTRCAGTPPL PCPACEEAGQVCMYSEAEKRVSVPESYLRQLQSQARTPRQDDNLNASILTSSSLPGST QPTPSTNSTNVERDDWWYDGTDNLFLNRSGEHHFVGASSATHLAKRLNPSSTNLAWDV RPLYDDPSSLRRSVTRVLPQLPPYDFAKRLFWVQYAYIGTIFSLIHPQDFEERLNTVY NQPAEFSNRESCLMYCQVLLVIAFGLMYSVNQWSGDDGPPGFKYFKHALRFLPDIHEE GSIFFVDVLCYVAYYMQNLNRRDAAFLYIGLALRMAISLGLHQEVSDQTISQNERDRR RRAWWSVYSLDRMLSVKSGNPITIHDEDIGTAWPPVEAPVDVPWPSVVLMHYTKLSRI LGRIGEEIYRKKPRSGSNLLASVQSITNALSDWLRHVPDQLRIDFSNLDGPISRECVS ISLHFYSCINMTARPLVFYVIQRRLDTEAREFATEDWKDGLSQNSAAVIDSCIRAARA TTLIMDAAAKQNLIATYGYLDGEYAFSAALLLVMVNAAFPHNETNSRAMEMALDLLRG MADRGNTYLRSRHSLLLELQSAMGPKASKRGEPAMAAPATPSSSQPQSPPVAPIEESS IVTPMEWPLEQDIPSIHDISFNFDINDDPGLWDEVLGQIDIDMDTDWIENTLRR ACHE_10028S MLVYATVVLLILSIRIFYNYSRLWPISGPVYACVSDLWRGYAHR SPDYSRRLRKLHQKHGQVVRIGPTVVSISEPEAIVRFYGSREQEKSIHDFPLISERGQ AVSSICISNGLRKGSINELSCQHTNILRYEGIIDQSASDLISALQRYRILEITGPLQI FASEFVHRVLTKMPWKSSKGVAGTRPSPSMVEYLMLWSPIMRLKRERQELFSCIPSRQ YSWAHPGFNPEGQNEEVNEISEDYSSVVTAGLKAISTAFVSTFPLLLQHPETMGRLVE EVDTAFYNENLSESSLWEEVSRLRYLDAVFKESIRCQPTTSSIEVSVSRSDTAIAGHD LPVGTVIEWHPDSFKIDEDIYGEDVENFRPERWLVADQQERRHMEQGLLAFYISRRTC MASRVVFLELKKVVVMILLQFNMELLASDGNLPEWRSQGAEFLPRMVVNLVQRVH ACHE_10029A MSTETVLITGASGFVATHVVDSFLKAGYAVRGTVRSEDTAEKVR RTFPQYADKLSFAIVPDIGAPHAFDEAAKGVRGVIHTATPFQIEVEDNERDLLIPAIE GTNNVLDAVKKNAPEVQRIVITSSFAAIIDLGKGTRPGHVYSEADWNPLTYEVAAKKE TPGAAAYTAAKTLAERAAWDFVEREKPSFDVVTICPPMVYGPNINATTNLAKLNTSSA DIYRLMSPNSKSTDPVPSNAFWSWVDVRDVAEAHLKAYQVSEAGGQRFFVTGGNFSYQ QFVDVLREKIPEIKDRVPVGTPGSGFGGVELYGVDNSKSQKVLGLKYHDLEQTVVDSA RAFLELEKSA ACHE_10030A MPPESSSSDVTSPTDDDWHGIDDKIERRRRQNRVNQRAWRLRHK QHPQRDGLPSRSPSANEPSALIPFVPPNHTHDGSSCSRPECFLISSETEMLLERFEST AYTSYILGSPQADHLLTLVRGNIFRALFHNLSVLGLSKEWMNEDALSPLASDSQSHLV RHLAMLPVSLQPTVLQRSVEHHPWLDLFPLPRMRDNMIELGDALDEYQLCEDLMGFWN THQNDSMLVVWGDPWDPRNWEVTEQFLRKWSWLIKGCPELIWSTNYWRHQRGEKRIAY RGYE ACHE_10031A MIAPTNQVPASESAIPVSQCFPTISEESLKENVIIIVGTCVETP APTVASMSTDPFCFSPARLDSKKPQASPFIKSLNTASGPHAFPTAVYLGPDRHNLRIN TICPWMTQTRITVGKNLGNRWAEEGLPISTPLDGAKVAAGVLTDDSLHGTSMYVAGGR AWEIEGSSHHGR ACHE_10032A MGTQFPFRNSHSPQESQSSSGYSPATTPYRQSGLEETDQELSLL RGQPSPQPSPFGAPFDNNYSTDSLRRYTLHDPGVTVFPNVPPYESQPDYVTSPYGTPA SEGGTTSSDAWKRRQGPGTGLSRRYGTRKIKLVQGSVLSVDYPVPSAIQNAIQPEYRE SEEAFTEEFTHMRYTAATCDPDEFTLRNGYNLRPAMYNRHTELLIAITYYNEDKVLTA RTLHGVMQNVRDIVNLKKSEFWNKGGPAWQKIVVSLVFDGIDPCDKNTLDVLATIGIY QDGVMKRDVDGRETVAHIFEYTTQLSVTSNQQLVRPHRDDPNTLPPVQMLFCLKQKNS KKINSHRWLFNGFSRILNPEVVILIDAGTKPGRKSLLALWEAFYNDRNLGGACGEIHA MLGEGWRNLLNPLVAAQNFEYKISNILDKPLESAFGYVSVLPGAFSAYRYRAIMGRPL EQYFHGDHTLSRKLGKKGIEGMNIFKKNMFLAEDRILCFELVAKAGFKWHLSYVKASK GETDVPEGTAEFISQRRRWLNGSFAAGLYAMMHFGRIYQSSHNFLRMFFLHIQMLYNF AQLIMTWFSLASYWLTSSVIIDLVGTPSSTNKYKGWPFGNEATPIVNNILKYGYLFCL MLQFILALGNRPKGARLPYTLSFLYFSLVQFYVLICSFYLVANAFSGGTLDFNMSDGV GAFLSSFFSSQGPGIVLIALVSTYGIYVVSSLLYMDPWHILTSSWAYFFGMTTSINIL MVYAFCNWHDVSWGTKGSDKADALPSAQTQKDGLKSNFIEELDKPQADIDSQFESTVK RALAPFEEPEEEYEKSMDDSYRNFRTNLLLLWIFSNLILSLLITAESISRMCLTNTST TRTSWFFQIILWSTAALCFFRFFGSLWFLGRSGILCCVNRR ACHE_10033S MRAQTECKYNASYSRGAVVTPQASNDVYPHHVDASSPVEMASAE GRSAAETPYESQDPDLVLDVTGQYCGPASAHSFLGRAVQNFSHTSRPSISTALPDLET SAPAVSIFSYGDRKALEVDRTRFHWPNVSVARELVRRYFDFAAPTYRILHQGTVDKWV DDIDHPQSLSNPLSAATQATLLMVFATSLMFRGDPERIRDAGDDGWRHSELYYAMAES SLAHEVGMPTLGSVQARFLMVLYLLCSSRANQSWFAFGTVVQLLMCLGLHRYRSLNES ASMEERVTRECEKRVLWCAYTLDKYLSLILGRPRFLQEEDIDQELPAAVDDDDLGREQ PDENSTPKDCIMNAPILHSLLARILSRAAKEQYAVRSISDAQQMQAIESLSEAIEVWH AQLPPILSGAIQPSSLIPLFRRQLTVLQLARFHAIMFVTRPLLLRNYAVNLPGYESSY RNYLITCVAAARDTISLILSFAKEEQLFPAFWYSQYIAFNALSAIYIYLIQMKRGRIP PCTTQDLHENTLYELAETTQHHLAQVTVRNAPSWRYSVILQGLRGEANRVLHQDEFQD RGSTQQRHTKMNRTAATRTLPVDPMLSSQPSSAAVYEEHPMIEEMAAPGYNILDPRAE SLFGSFAMDGDPSLNFWPQLDCLPIMYPDLWPGFG ACHE_10034A MTNLTTFSLSIALFGQYEPRKDTIGLILSALPESCVNLELDLDR FKYNGTGTGSEHVCEGIAGCLPRLHHLRLSMGTLCPALLLPNFARDGSIKDEAHFHAP IYQSLKTCIISCHLSGDALTCNEDRSQHPNQSNGLRARLPLVKSLRELVVRGSFPQIE RLWLLDGQNYNALDSRESPAWNRRDTVRNKTWVIPWINLHAKNMPFPLITRTPEGQES ITTNHGALAALAEAQTWKETVMGSRLPAAILDGPERCKHVVKGAPTISLAQYREISPK GSCSWWGHEKLTGIQLIWATERDGLVDRSPIHELTPPGWMREPDFEGNPGQLIRDNST A ACHE_10035A MSTSMPSSTTATASSTTSPVVTPSPTQPNSIASNCNQFAQAKSG DVCYDFAQKNSITPNELYEWNTVLGDNGSNRGTALQANVWYCVGVTG ACHE_10036S MDVLLDVLDTFVLDRAYAVVLPVHDNAIKPSVLYNENVGRYLEL VPSQWAYLSRLPREHILRQFLSFFLITWVFGLFLYFLSATISYYFVYDHRTMQHPKFL RNQIPMEIRQALSAMPVMAALTAPFFVAETQGLTKLYDFPTEAPFPLYNYIQYPLFIA FTDFGIYWIHRGLHHPMIYKRFHKPHHKWIISTPYASYAFHPLDGWAQSVPYHVFPVL FPLQKAAYLGLFTFVTIWTVMIHDGEYAMNSPVVNGSACHTIHHYYFNYNYGQFTTFW DRVGGSYRKPNAELFDREQRMEKKEIDKQVKEMNQLVQEVEGEDDRCYSTGTEKKDS ACHE_10037S MENDKMPLPTHFTLNTGAQIPAVGFGTWQAAPHEVERAVESALR EGYRHIDCAAIYRNETEVGQGIKNSGVSREEIFLTSKLWNTKHASEDVEGALDKTLSD LGVAYLDLYLMHWPVAFVRGEKWFPLNDEGVFQLADIDYVTTYKAMEKLLATGKVKAI GVSNFNIRHLDRLISQVDVVPAVNQVEAHPYLTQPDLLQYCRSKNILIQAYSPLGNNQ TGEPRTVDDPLVHEVAGRLGMDPGVVLGSWGVQRGTVVLPKSVTPSRIASNLLVKELP EDAFAQLNSLERHKRFNFPGRWGYDIFDEVGEEAVKQIAKESAESNKVKFTV ACHE_10038S MFSTAIIAAASFLGLSTLTRRDEVSSLGPNPQFAYDFPIPGKNA SDLFPMHLCHGFRLEEASIDDIQGLLTSRALSSVDLVSCYLDRIYQTSSYLNAILQIN PDASTIAAKLDQERANGTVRGPLHGIPFVAKDNIGTKDRMETTSGSWALLGSIVPRDA FVISQLREAGAVLLGKAALSEWADIRSNNYSEGYSGRGGQCRSAYNLTVNPGGSSSGC GVAVGANLVPFALGTETDGSGMWTPDKHSSSWRDISNENTVINPAERNAIVGIKPTVG LTSRSGAIPESLNQDTIGTFGKTVRDATYALDAIYGVDGRDNATHMQQGKTPAGGYAQ FLGNKSSLQGAVFGLPWESFWKLAGPEQLSQLVELLDMIKGAGATIINGTELPHHEKI VSPTGWDWDYGTARGYPNESEYTYIKADFYNHIKAYLADLDNTSMRSLEDLVAYNAEN AGSEGGHPNVHPAFASGQDGFEASLATKGVMDETYWQALSFCRRTTREEGIDAALQHG DRVLDGLLVPPDVAQSIQIAAQAGYPVITVPAGVNRASGMPYGLAIMHTAFAEPTLIK YASAIEDLQKSTDTRWKRTLPEWRGHLTRNIPVINA ACHE_10039A MYQRGLPRQDHGRMTSHKLQEHLMNATSNRNLAVYTSSMNLMTF PEVGDGVCHPRHRGRVCRHDLILKEFLNNQTLGYLLKVDQWADNR ACHE_10040A MHHTMDRVLIVGAGAAGLLIAQVLKRENIPCTVFEQDPSFRPRD WNYGVYWAQSGLSECLPPELLDQLENCQVDHHTPAATDTLPGFNGKTGEKLVDVPAPY SLRLKRRKFLQLISTGLDIQRGKRLARIESNNDIVTAFFEDGSQATGKLLIGAEGAHS RVREYLMGPEKAALKPSPVVSSIATPRLPVDVASAVRELHPRYCAVFHPDGYFCWVGI HNETEDPAESEFLLLMSWISENDTGLSGEAILHDLKEKASHFAEPFRSVFETLAPGTK IWHSRLSYWETQPWDNHNGTLTLVGDAAHPMTFHRGQGLNNAILDAASLSREIANLQD KSPRALRPALEAYEKEVLGRGREVVESSNINSVSIHNWEELQNSPLFRMGLKKDATA ACHE_10041S MGTGTGIWAMDMADAHPEATIKGIDLSPIQPSWIPPNLKFEVDD YNLEWLDHNKYDLIHARELLGTVPSWPEMYRKVLGALKPGGWFQQADPQVFLTSSYDT LGPDHVYHQWNPLLIGAGKKAGLDFDSAPRMKGWLEEAGFINVTEYRVPWPIGTWPKD PHQREIGAFNQVRIEQGVVDFCGRRFTNNLGVRQHSAVGRTLLIILQWSHAQLEVFAA SMRAAVKNNKLLAHHYVYVVLLLFSETS ACHE_10042S MIARFKLACAFLATAAVATGPLYDYVIVGGGTSGLVVANRLSED PNVSVVVIEAGYSVHDNENVTAANGYGKAFGTEIDYQYESVNQTYAGNSKQTLRAGKA LGGTSTINGMSYTRAEDVQIDAWKELGNRGWSWNSLFPYYQKSEQLTRPSPDEIAAGA SYNESAHGYDGPLHVGFNNMQEGNLTTPLNQTYDKLGIPWVEDVNDGRMRGFNVFPET INHELGIREDAARAYYWPYRSRPNLIVITKTRANKILWSDDNATGGVSASGVEIQSGN ITGVVKARKEVILSTGSIRTPTLLELSGVGNKDILEKHNITVRVDLPTVGENLQDQTN ANTMALGNGNWTGVKALAYASFYDIFGDDAEAVAGSVLHKLADYAAKTSEATGGVVKQ EDLANFFQIQYDLLFSNAVPMVEILFIPTHSPMLITEYWTLLPFSRGNVHINSADPAE NPVINPNYFMVDWDLQAHIGVTKFIRNMYQTAPLSDMIKMERAPGPDVPQNATDTDWE EYTKKNYRSNFHPVGTAAMMPRSMGGVVSDRLMVHGTSNVRVVDASVLPFQMCGHLTS TLYAIAERASDLIKEDA ACHE_10043S MKVSIVSTILSAALATASPHFGMASLDNWKPAGHGDFRGPCPML NTLSNHGFLPHDGRNLTREVVIKGLSEGLNFNASLGSLMFDMALVANPEPNATYFTLD NLNRHNVLEHDASMSRSDAYYGNNHVFNSTIFDKTKAYWKKPVLDATMLANGKLARQI QSRASNPNYTFTSSMEEFSLGEVAAPVIAFGDIQHGRVNRSLVEYFFENERLPAELGW TRPEKVISLEDISKVTEMIRNATSLITPSKSDSGSGSTKRDLHGGMFR ACHE_10044S MGAPVDAVGVSARRAELAGNKVGWRGLVSSKKTFGIALFASLGG LVYGYNQGMFAQILTMSSFIKATQGYAAEPGIGQGMLTSILELGAWVGTLLNGYLADA LGRRVTVVVAVVIFCVGVIVQACTQNPDFVYAGRFVTGLGVGNLSMIVPLYNAELAPP EIRGSLVAVQQLAITFGIMTSFWVSNLDIWTRDAHLTVDQIGYGTNYIGGTGATQSVA AWEIPVCIQILPALLLAFGMMLFMPQSPRHLMNTGREEECLHTLARLRDTSPDDLLVR IEFLEIKALRMFEVETARKKYPQYQDGSFKSRFMIGVRDYASLVTNKSMRKRTTVACL IMFAQQFNGINAINYYAPQVFENLHLGENTTSLLATGIAGIFEFVFTIPAVLWVDNVG RRTILIAGGVGMAVCHFIVAGIIGSYSGNFENNPGAGWAAVVFVWIFIINFAYSWGPV SWIIVSEVFPLSMRAKGVSIGGSSNWLNNFAVGLFTSPFIKASDYGTFIFFGCITTLA VLYVIFLVPETKGRTLEEMDEIFGANGVAEADQALKRSIEREIGLLALLGGDGSGEVK EKAEVGGDEVKTEPGAIEE ACHE_10045A MSSGPNTIKVVARFRPQNKVELASGGQPIVNFENEETCSISSQE GSGDFTFDRVFPMDSLQTDIFEYSIRPTVDDILNGYNGTVFAYGQTGAGKSYTMMGSD IEDDTGKGIIPRIVEQIFASILTSPSNIEYTVRVSYMEIYMERIRDLLVPQNDNLPVH EEKSRGVYVKGLVEVYVSSVQEVYEVMRRGGAARAVAATNMNQESSRSHSIFVITITQ KNLETGSAKSGQLFLVDLAGSEKVGKTGASGQTLEEAKKINKSLSALGMVINALTDGK STHIPYRDSKLTRILQESLGGNSRTTLIINCSPSSYNDAETISTLRFGVRAKSIKNKA KVNAELSPAELKQLLRKAQTQVTSFENYLSALESEVHVWRSGENLPKERWTPARSETM AIAKTEKPRPATPSRLQEVPRAETPRPDSRMGDRSSTPSLVLEKDERDEFLRRENELQ DQIAERESHIVNVERNLREAREELKGLKENSARSGKDNDKLNTEINELRMQLEKVSYE GKEASITMDSLREANAELTAELDDVKQQLLDVRMKAKETTAALDEKEKKKAEKMAKMM AGFNLGGEIFSDNERKLQDLISRVDSLHSVSEAGETIAPDDILELRASLLETQGFIRQ AELTMNDRGELGELQDSRRAEVERKLADLEREHESLLERNLGEGDVAEIRERLEKLHV TRKEDEVQAAEKLRDEITRKDKELNKLQQSLADSQSRVSTNGAAGKNLQQQIAEFDAM KKSLMRDLQNRCERVVELEISLDDAREQYNNVLRSSNNRAQQKKMAFLERNLEQLTHV QRQLVEQNSSLKKEVAIAERKLIARNERIASLESLLQESQEKLTQANHRFEAQLTAVK ERLEAAKQGSTRGLPTMDGSGGFSFAGSRIAKPLRGGGGSESSAPNSAVAGVQSQETT GKRTSWFFDRR ACHE_10046A MKSYPSDSATRWAEVVARHTIFDQFLHRVCFSSSVFNALSNCSS SPGIHFGVIAFPGLSSPGFLCLFALTGRTQLAYLSLRTPQTTPRSSSNPAAMSTSARR RLMRDFKRMQTDPPAGVSASPVADNVMTWNAVIIGPADTPFEDGTFRLVMHFEEQYPN KPPGVKFISQMFHPNVYGTGELCLDILQNRWSPTYDVAAILTSIQSLLNDPNTSSPAN VEASNLYKDNRKEYVKRVRETVEKSWED ACHE_10047S MPIQTSAGLSARLFAQRTAIPASRRQFSCARPLLKEIQDAYILS ASRTPTTNFNGSFASVSAPELGAAAIKSAVGKSNLPVEKITDVYMGNVLQGSVGQAPA RQASIFAGLAPTVESMTVNKVCASGLKAVALAAQNIQLGLADAQVAGGMENMSRVPYY LPRAGQLPPFGDLKLEDGLVKDGLWDVYNQFHMGICAEQTAKKYEVSREEQDEYAIRS YERAQQAWNENKFADEIVPVTVKGRKGDTVVSRDEGFENLRADKLKTLKPAFLRDGTG TVTAGNASTMNDGASALVLGSKDLAREFGKGNRALARIVSTADAAIDPVDFPVAPAKA VPIALERAGITKDQVSVWEFNEAFAAVIKANEKILGLQNAKVNLLGGAISIGHALGSS GSRILVTLLHQLQPGEYGVAAICNGGGAASAMVVQKLDKVD ACHE_10048A MPGVRLDKTMVWSLKNSVERAYIQQDLVPAYRELYTFRHNPLRY CANHSIWDQANKKVSIIGFSLTKPMRSDHAWNEKLYAAWDLAKPPPTVKWSKPGYIHW NMDKWGLYTGLGETAYYGN ACHE_10049S MLSPVTLRIFPSCYDCLSWSDDGELAVAAGEYVHILTPRSTAEQ TTNDTGTAGGQWHFSRFRTNLFTASEWPVIYPQDRQTFSIGAEQSNSTIVGLKWSPPG LGRHRRCVLSVLTSNLMLSFYEPAGPGKWTRVAIVNDALKSYFEPLVEEEGPRLRKST IRSFSWCPPIKPARSDEPSTPYSVPDAETRWGVQLLAVTNDDNEVIFLRTQRSRLEAN STNSYGFNLLSVTALGDLAGNYPMIHPGSVFSTALKSRIKASQLSCGPWLSQTSETQQ DAYSVTSNVVILYGTKVRVIKLDVALTHQNDTTESDSPHKTIANAAELDAPSGLSQDR HFTGPFQWLYTGRSQEVLLTAGIFGGLVVISVPAFRYLTGEIENTEIQTQEWPFHENT VSGLQTDESRHWEPISGMSSTSNERDQQSTLYLSTIGGYGAAQAFNGVSDQIPFSLPP WKAAIDDYTDQFDIDRDLGGMVTGRIWGLACHNGHLAVAFTVHPKDMIEYRTAAEERT TVVFIRLDKQSEEESATAMSKPLADQTAELQRAKREAVLGYILHAEQRPDGYSELSKK VIYAAARCAILDCENEVLLIRARKSLDWLMAITVLDFREEMARSSVVEPKSLEKLEPP VKEIFEQCDICDAGIACNSISESQCANGHVFARCNLTFLSIQEPGVSKFCSDCGTEYL DGDLLGSHYDEGVRTTCQTLCDAFDICIYCGGKFRP ACHE_10050A MIETLISLAFYASTAITFLILLLPSQYEPRRASDSKQNASSEPK TRIQILVLGDIGHSPRMQYHALSVAKHGGQVDIIGYHESELHPDISFNPRITIVPIPP HPAFLQTSNKLLFLVFAPLKIIFQVLSLWRCLAYQTEPAKWLLAQNPPSIPTLAIASL VCFLRHTNLVVDWHNFGYSILALKLGDTHPVVRFSKRFERVFCRYATAHLCVTEAMTS VLKRDFALEAPILPLHDRPASHLQPIHDTQVRQDFISSVPETSSAKSVLGTEDFRVLV SSTSWTPDEDFSLLIDALCEYSKLATTSKPSLPPILTIITGKGPQKAIYLKKISDLEI AGRLQKVSIRTAWLTTENYAKLLASASLGVSLHTSSSGVDLPMKVVDMFGAGLPVVGW NRFEAWPELVTEGVNGRGFGSVDELVEQLVDLFGDLTKLEKLRAGALRESERRWDGEW DPVAGKLLGLL ACHE_10051S MSTGTPVSSADANPPASKAGHGHSRSRGHSRSTRYGQVPNPIAV PSPLPPVQPFSPQPVVGAAYSNGHASRPSWHGHHHSHSQGSMHYDQWTPSPVTTVFED HRMEPNYEPDTPALLVEPEKSKGNEILTGFLITLPWIALSWFSTQYTQSTTLESPVDD VDVVAASAYLEGIGSKACALTAGILVLWGCGDAALKGQGLSLAALPKLSGGIVSGAFI RACSIGLPVYAGLGVGGFLVAFALSLAFASGIPTVASNSGQERFGQKKVSIGLLAIVV LFSLFGSGSSLDEQPFMGYVALLVAVFVIRPPFAADLPGSTSEITPDPLSPRKSSEAG LSSDTPSDNALVNLLSGVLLALATVIVSGIPSPGGVDLIYFGLTTGAFAVSFLCSLSS GIRSPQKLGHAVGAGAASLFCSPSFQSDLFTVYVSRCVIAAVSVLAARFDDRHLRLEA HSHNHTHHNHPAHSHSHKEPSRVTKMILNFCEPYPLLYSILKESDSRRIFYFMTLNFG FMMVQLSYGFLTGSLGLLSDSIHMFFDCLALVVGLCAAIMSKWPSNSRFPYGYGKVDT LSGFANGIFLMIISVEIIYEAIERLSSGSEMNRIGELLVVSVAGLLVNLIGIMAFEHG HAHHGHDHGHGHSHGNENMHGIFLHILADTLGSVAVVISTILVHYSGWAGYDPIASCL IAILIFASAVPLVSSTAKSLLLTLPADVEYNLRDTLGGVSAIRGVVGYTVPKFWLDDT NASGDNHDHRHHGHSHSHGHSHSHGHGHGHSHGHGHGHGHHRSHSHSHDHGHHSHCAD HDHHDHDHDNHDPHVLGVIHVIASRGADLEDVRQRTIDFLREKNMDITVQVEREGDGR CWCGGNKTS ACHE_10052A MAFPYYPIPSERDLKQFYIGKDIGDVPKPSVVLDVVIIKEHCER MLQTVKALDVGFRAHVKTHKTPQIAQLQVGHGSEDANFVASTVLEIEWLAPLLKDLKR QGRRFNTLYGIPLVPSQATRLAKIARELGPGSITVMIDHPVQVEYLKAFQVAAGFPAY VFIKVDCGYHRAGLPPAMLNKNGLLEKLAEAEKEGYAVILGVYSHNSLSYAGSTPDEA MEYLAAEIVSCREAIKHNSHFLSGRELVISVGATPQVVSSNNLLRSSSSSAAERLKNL LHNPSESSIPVKVELHAGVYPVLDMQQFSTNARQSSGKLEEEVAISVLAEVCSIYNDG ERSKPEALVAAGSLALGREPCKAYPGWGVVSDWRRGSGNSRLIVERISQEHAILAWED GGSSEIPLKIGQSVRIYPNHACVTGAMYGWYLVVDSSEDQGASTVVDVWVRIGGW ACHE_10053S MSAPTPPSREEEVKKTGYARFFESQTVFLTGSTGSLGSCLLYKL ALQLPTHKIFVLIRTSPQVAIEKWKKSMPQQAQAILSSGKIHFVIGDMRKSDFGIKEA DLKRLQQEVTLVIHAAANISFTMDLKDAVEQNCLPPLELGRIASRFRRLKLFIQISTA YGNSFLPDGYVGERQYSIVEDPEEELAQIISSGTSPNESRFSSTYAFAKHLTEWLFLK RYPLLPLLLVRPTIFGAAMRDPYPLYGPPNSTPMRKFAEFLVAEPGTQVWHATKGYKS GMNTLDEIPVDFVANSCLMHAAANTQGIVHIGSQLYHPMTFDDFLRLVDNNAPPGFPL PTIVFTEDYSVPQHFLAELVKVASRNWVFDCGRSYWLKEMGGPLSLASCKHEMEGLNI ARVKEVYEKHKQRAKL ACHE_10054A MSTRPRRAHTKSRNGCDQCKKRRVKCDEQGPPCSNCTSRQLECT YLKIPRARDRPEQPSPSPAGSQTPSVGLAPIVHLPAINGASGPFTASGLRSLELMHKF STETSMSLSNDPSDFHVWQMVVPRKALEHDFLLSGILAVAALHVATSIESPAALSYID TALEYHNMAFAPFRRALDHITPFNCDAVFAHSIITTIIGIALPRLTATRDESSNMTEN IIIVFELLQGVKNIVWISEPWLHTKLFTSRREFWKESSVTELDPETEAALNKLATLNN DTMATVDQEQHRMNRDAIALLHRCFLRHANARDAASVLTWLAAVDKDFVDCLRRRKPF PLLILMYWGVLLGTLDGQMWWARNSGKALVTELLVALHPGVFQWEGAQLWPKQKLGL ACHE_10055S MSRLTVRNFAARVRELPSSVEEPEQDKRAPYLDIGSRWEPCHHF YKAGLPYKIWTGQDVFHFHGLYKYLDCFPDPYGFQSSDIAILVNDLEEAAAILEGSGY FRTPKTSGELEKMGYSIGDQSNRKFLRLLNVSAMVRYHSQWDSFEEVTNKVVYAAGLA ENPHWGPPQVAGNGVLLMLASDWNYTFNAKSASPYYHDPIPDLSEYFDSHVSMWMDAP ISSPTNSIRPPSDSARLPHYASILKELICEADDAWTVEFENDIKSKHRQILYDLLEMC YQWMMKGEVCMFTRLTTDADFQEYSRSVRDQIKAGSHAPVFMADFNRCRMKGVITMPL PRGQANTYDEKQCKKDLPRLSWLFRAPRGDGWFLLSLNVARILENKGRDKQEKQKKRS GSDRFPSRQRQPKRTEDQIKTLEYYLQDILADTENWAFQKQAF ACHE_10056S MSGCTEVTPLCPVEASTYGYYPNLGGNIFFAVFFGICGILQMLF GVYYQAWGFATALVIGAFLEVAGYIGRILMHYNPWSPPAFKLQIVCLILSPTFIAAGI YLTIKHIILYLGPEHSKLKPKLFTWIFIGSDIGSLLLQAAGGGVAASAGSTDQTLLKI GDDIMIAGIAFQVATMSVCGILTLDFFLRLRKNGGGLSGEKQQDGYISPRNMMLLIGG EVFAYVTVLIRCIYRIPEMAGGWGNPLMQKENEFLVLDGMMVALAVLSFTVLHPAFFL KSIRKGSWRKPRVP ACHE_10057A MESISSLLTVVITTSPTPSSPSTELISSVIESFRLHCPELAATR VIVVFDTFDRIAAQNRLKSGSASLEVAQHYSAYKENVKSLVLREYLNDSEHEHDLQQA TATAEFGSPNDENNSVELSIIHTPDRHVTFIEPVARLGFGLAVRSALRVVETPYVWVQ QHDWALVADIPLQPLLEVMRSSSAEANTDTPKPIKYISFPSIRMLRYAISDCVNSYPA LRTLTATLKDDFPSSSQPDVRVPLTPLFFWFDKPHLASTEHYLSRVFPTRLAMRRGEF IEDKIGQRARGQMKDGEWGKWATWLYYPDEGRELCLRHLMGRTRRGGEGGLVGKYHKG T ACHE_10058A MSSTDCEKPPVDVTFASDKLAEPEPYIILDRTQKRLITFVVTIV ASSSTLASNIYFPAIPTIAEDLNVSVELVNLTVTAYFIFQGLAPSLWGPISDAKGRRT AYVGTLIVLLGARIGLAQTKDYTTLIILRCLQSTGSASTNAIGSGVIGDITTRADRGG YMGFFQGVMLISIAIGPIIGGVLAGSLGWRSIFWFLTVYNGVLLMMVIFLVPETLRSI IGNGSRTPSQRIAQFPLTLYQRYTTVKWDADKVPQQDPAPKRVDVLAPLRILTSKMAA AIIIFFAIYFTVWQMCITAMSTLFTARYGITEIQTGLTFIANGAGSMVGTLITGKIMD CDYRRVHEKFEKDERLESDGRIFPLERAWLGPVPVYASMQCLSILLFGWTVQYPDQVH IAVPIVATFFTG ACHE_10059A MATTPAGRMLSRQLQQMQSSKDIPGISCGLVDNNVFEWEVMLMI SDDVQLYGGGFFRALLSFPQEYPHMPPKMKFESPLFHPNIYPTGEVCISILHPPEEDK YGYESAAERWSPVQTPETILLSVISMLSSPNDESPANVEAARLWREDPKEFKRRVRRC VRESLGEE ACHE_10060A MVVHSLVRRGVEMASDHFSKNPDQQQPDIQVSTWLLVLCAFTSL AFVLAMWSVDYTYGSVVATLAAVEDTNPDLYVRLDADYDPSKPDSIDPIAKDVDGAPK PITSKLRTTISHLRARAGRWSRFRGFAMYMTYGIARGSLFMILPVGSDQFCGQFAIQT VLAVLLANLQMAWVHIVVSKPSSKRFYQRIPGFKSWVQIAPVAAFENIVVGLAFFLPL LMAKAFGGWDSAMEEVSSTAPPTKAFCQMWAMTMVPSILSYLVSIPAQAIFVRVAASM LPEEDEAIVPFDRSFGGKVVPAILGGSGRLSIMDAWRTFDRPARVRYLKVIGKVLLMQ GALLITFTLALLAQFSLMGVETMQKVIAHSRSA ACHE_10061A MTSTSTTPTNKIQEDVQVAETKPVNKTIAQIRSFAAGGAGGVCA VVVGHPFDLVKVRLQTAQRGVYSSAMDVVRKTVAREGLVRGLYAGVSAPLVGVTPMFA VSFWGYDLGKTIVSSLSEVRVENNTPQFTINQISAAGFFSAIPMTLITAPFERVKVLL QIQGQNPPPPGQKPKYSGGLDVVKQLYQEGGLRSVFRGSAMTLARDGPGSAAYFAAYE YIKRSLTPKDERGNVTGELSMPAVLTAGGAAGIAMWIPVFPVDTIKSRMQSAEGRPTI GGTIRSVYGNGGLKAFFPGFGPALARAVPANAATFAGVELAHKFMKKMFDE ACHE_10062A MKFSLTLAIMASAGLVGAVSESKCARMCIDNMNNKAEELGCTSG DQRCLCDSENYSYGVRDCTAQACPEDDSAKVVQIALSTCPSDTKSGEQANGGSGSGSD SSSASAGSGSGSGSATTSGSDSDSTGSATDGAAGAGAASTGASGDSSATASGNEASAT GSDSASATGSGAAGASATGSDASGSASGSDAAGASSTGSAASNSASATSSGADSSSTG SASGSNASSTLSTATSTGSGASSSETGSSDSGSGSDSGSGSDAASSSSSADGAANTDN AAPRVVLGSGAMGVAGLAALFAL ACHE_10063S MSGTMTLNLQAYDPKQDAAPSHGGQPLTSSLRSSIRQLRNLLKE QKDITLDGESLDLSSTIAVARFGRNASFPNNGHAAQRMNTSVEQLDQKLKSNEMVYGV TTGYGGSADTRTDSYVDLQRALIQHQSAAILLPSDRGLSQSSSFVDSLKSHAIPVPIV RAAMLTRCNSLLRGHSAVRPDVVRHILTLLEKDMTPVVPLRGSISACGDLMPLSYVAG ALEGNPDISVNCGKNQGYRVLPADQALALAGLKPLELQAKEGLGILNGTAFSAGAASL VLFEANQLILLSQVLTAMGTEALLGKRGNYDPFIAIARPHPGQKEVAANIFNFLSDSK LVSEADPGKIGTAQDSHVLAQDRYALRTSPQWIGPQLEDLALALKQVEVELNSTTDNP LIDPDSAEVHHGGNFQAASVTSAMEKTMGAMQMIGKMLFSQCTEMINPALNKGLTPNL CVDDPSLSYTMKGVDINMAGYMSELAYLAHPVSNYVQSAEMHNQGLNSLALIAARYTG DAVEVLSLMSSAYLYVLCQALDLRALHLEFVKQAHQEVKRVTEDLCGPVVSKHVDGLL WQEIMGHWGRTSTRNLADRADVAATTSIGLLLSLISQHGTADVHPDHAGLNDVAKGLK WKESVAAVLTETYDGVRESFLHEQTTKQYLCHASKNMYSFVRETLNVPIHRGIVDHAT KESGDGRSRTDKPLIGSHISKIYTALRAGELQDVLLHCFE ACHE_10064S MSATETITRPVQPDIQYHPEYEKYKARTLRRKETESLPTTLPDG FPQKLDSPLVWEGKDVEKRDDWLYHLSDAELDEIDAALRHFKSLNLPLGHINQSTFPL PTLHPTLRALSKEIHAGRGFIVLRGLRIDAHTREDNIITYTGVSSHIGNIRGRQQDTR LANGTSPVISHIKDLTTTTDRGKIGAPSNTPDKQVFHTDAGDIISLLCLQTAAEGGES YLASSWLVYNILAKERPDLVRTLAGEWPLDGFGNPDRPYTLRPLLYHQPATGTTPERV LIQYARRYFTGFLAQPRSKDIPPISEAQAEALDALHFLAEEHSASLGFQKGDIQYVNN LSIFHARNGFKDEPGQERHLLRLWLRDPENAWETPKQLEHRWNTVFGDVTVEEQAFPL EPALRRNVGS ACHE_10065S MADYTKESLHALGTPDPELTEILKKAPAPNPDLTLAQPREEFHS REKYRYTNGPTLDTTESVVQIPMRDGYTSETRVFKPAEASTGTPLVVLIFGGGFINGS NIQLVAFARAAAKLYGATAVTLSYRLAPEYKFPTAANDIWDGVSWLAEHASSQIGNVD LAKGFVIGGVSAGGNLAVVTAHKALKDKLAAPITGIWACIPVLLSDSTVPEEYKDRWS SRVQNANAPLLNAQSIEALRQLYQPDESSPDYTPFPNPADLSSFSNIPRTHVQVAGLD PLRDDGLIYEKALRDRGVETKLDVYPGVPHAHFSALPGLKASYRSRRDTLVGIGWLLR KEVDIKAVESVEEAWEPALLGAVR ACHE_10066A MLLRFLSILYLGACTALAIQPQNDSLAYRSDVNQIRLPCAPCAF ADTACSQDRKPNAYLTLDFSMTNGTLVANDIPVFPPTTDMQLPATRHWSSKTSKETED VHLTYALHTQRVPPRAQGKLYRFTMNLLDSQGRPATTDLVSLTLVRNAEERLLLSSIR VEPAVHHTQRWKLKYWKSQVGGYMVTVKEAVKSRLHGASNSSDKLLIIDGHRHSTESE QDLDSSTTPRIPSDDNSRFQILSFYQFTHYPSHHSSSNRHFLRLVRPIIMPALLGIMA GFVACVIGFLVGRVGASIYFHARGKKQAGSAVSAVDVEEGFVSEKQKLLEIYE ACHE_10067A MRRTAIVTGSANGIGKAIATRLVRDGYSVCINDIPSKAADIDAV VAELNATHSSDGRPKAISIPADVTSGTSVEAMVRDTVDKLGPLTLMVANAGIAQTKPL FSATGEDVDKVFSVNVKGVFNCYTHAAQQMIAQGDPGTAAGVRVYKILGAASIGGFRA SAPLGVYCASKFAVRGLTQAFAQECAPHKITVNAYAPGLIDTSMTDGIDENLTGMSGQ KKGDMKRNYSEQVIPLRRTGTPEDVAGVVGGYLANPDSDYTTGQTSVVDGGVFFT ACHE_10068S MVKGRDTVIHEFHDLVNMSPNELWDWLQKEQSQSSGWQSESGET IGHERYFPVSQFVASPASEEDGLSGRRIADILAHNPDRDPGGYTEHDIEHMRKVVSYC KRHLAQEEKAKSDTASKSYRSLKNWGHDALKE ACHE_10069A MAWVLELARGILLMQLLLLSSLIIAKAADLETGVIPGAYIVEFA DHLDNHENAFFSELSTAKISARLRLSLSSSVFKGASFHLDDTHDEHDERRKIEQIASS KSVKKVFPMRKYSLPYEKVSIADKYQVHSSPKRKIEQRATDDNVYSLHAMTGVDKLRE EGFTGSGVRVAIIDTGIDYYHPDLGGCFGPGCKVGFGYDLVGDAYTGENEPVPDPDPY DNCGGHGTHVAGIIAASSNAQDYFTGVAPNVTLGAYRVMGCNGAVTNDVLIKAFSMAY ESGADVITSSLGGSGGWSEEPLDVVVSNIVKAGIPCTVSAGNSGTMGPFHSSTPAGGI GATSVGSVDNKAYPMLLVPGTYIANGIDSEFGWAPGILSNISEGVYQLYALTYNTSST NDACHDLPEEPADLSEYIILIRRGGCSFSQKAGNAAARGAKHIFFYNTQPGTNQAYVY APGIESAGMVSQTQGYKWISLLEAGHKVHLHIRSPAHAGLVIMEEPNYTTGGHISTFS SWGPSYEVQTKPQISAPGGVIASTYPLAMGGYAVLSGTSMSCPYAAGAIALLLEARGK LDPATINNLLSTTATPQPFHDGISEYPFLAPVPQQGAGLINIYNAVHATTLLSVPSIS FNDTTHLLKDGGFTLKNTGPAAVTYEIAHTPSATFYTFTTKGSRSHFTAGHPPELIPR GAALSFSITEVTIKPGEEAFIHVSPILPFNMTTQRIPVYSGYITINATNSEDDESLSL PYLGVDSALKDAPILNKRHVFLTSTSRPGVPWAGHYQFNIPPPNSNRAKYPGLLAPAV FAELKMGTALLRVDVQPLDAFLLNQSHIPRSRVKRAFKYNTEKVLGQEILGSIDGFPA HYVPGQNVAGAWHGKLSDGTYAPAGNYTFVVSALKIFGDPDKEEDYERVKTDVFGIWY G ACHE_10070A MHISAASLLLVIAPACFASKLPVVDLGYELHQALSFNETYGTYN FSNIRYAAPPVGELRFRAPVSPAKNRSEVQTGAQGRVCPQAQPVWSEDIAPAFLASAL TGTQFNQSTNISSYPYVPQPLDPRTTEDCLFLDVIAPKKIFDRVQNKTSVPKKSLAPV LVWIYGGGYTVGEKTSYDPRGLIQRSQKNGEGVVYVALNYRLGAFGWLAGDTLTANGT ANAALHDQRLALEWVKENVHLFGGDSERVTVIGESAGGGSILHQITSYGGKGKQLPFQ QAILQSPGWYPLPTDEQQEATLQQFLGLLNVSTVEEARKLPTAKLIAANSKQVYRSPY GTYTYGPAVDGTFVPKLPGELLLEGKFHKNLSLMVGHNSNEGLLFTPPASVNSNSYAS LLEADLPDIQQNVTNYISNVLYPPVYNGTYGYTNPVLRFATTLADVVFQCNTDYLNRA FHNQTYAYLFSVPPGLHGSDLEYTFYNPAANSTVTSKNVALALQDYITSFAETGAPKS SAGPVFEKHGKKQQILNLSVSNITTVHDSTASPRCLFWQDAPYYQHS ACHE_10071S MSSEKQGGSGASPLSRPAHTLSFEAVIEELDTLVEEGLSPDEAN RRLQEYGQNKLDEDKGISVVKILVRQVLILAMAVSFGIQSWIEGGFICAVILINIVVG FIQEYAAEKTMESLHSLSSPTGVVSRGGQTFSIPSTDIVPGDMVELRTGDTVPADIRL LEAVNFETDEALLTGESLPVQKECHSTFKEDTGPGDRLNIAYSSSTVTRGRARGVVVS TGMFTEIGFIAAALRASNNKRRPVKRGPNGETKKRWYVQAWTLTGTDGIGRFLGVNVG TPLQRKLSKLAILLFGVAVLFAIVVMAANLFSDNNEVILYAVGTGLSMIPACLVVVLT ITMAVGTKRMVERNVIVRKLDSLEALGAVTDICSDKTGTLTQGKMVVKKAWIPSRGTY SVGTSSEPFNPTVGNVTYTPLSPLHFEDEKEGSPSDNPEDLVAENRPLEDFLNVASMA NLSHVYESDEGTWNARGEPTEIAIEVFASRFNWNRDRWTKGQSPIWHQKAEFPFDSTV KKMSVIFTRITSQEERSMVFTKGAVERIVDACTTVVWDQDSSTPIPMTEEHRSHIFQN MEELAKLGLRVLALAHRPYTDKARVLEGADLDRDDIEKDLCFLGLIGLYDPPRPETAA SIQACYQAGIAVHMVTGDHPGTAKAIAQQVGILPADLSTVAADVADSMVMTASQFDSL SEAEIDSLPTLPLVIARCAPQTKVSMINALHRRGRFAAMTGDGVNDSPSLKHADVGIA MGQAGSDVAKDASDIILTDDNFASILNAVEEGRRIFDNIQKFVLHLLAENIAQACTLL IGLAFKDLDGRSVFPLAPVEIIWIIMVTSGITDMGLGMEVAAPDIMDRPPQSKKGIFT WEVIIDILVYGIWTAALCLAAFSIRMWGFGDGNLASGCNRRWSAECDEVFRARATTFV CLTWFALFLAWEMVNMRRSFFRMEPKSKKYFTQWMYDVWRNQFLFWSVMAGWITMFPI LYIPIINDVVFKHVGISWEWGIVFVEVVVFFAGVEAWKWAKRVYFRRQEWKQGPTSRI DVAETTRSTA ACHE_10072A MTQAVPLCSAPVINLGADIVLQPPLSRCGRGPGLIILRPSGLAD YQKENDSLDPEPLQKWAEESYAVVQISLDLQSSGDKTRVSGLVTAGIDALVSLAECET KDKFGLLVYGSQKDYAPEFVDALRAVVANSERIIATISFDSWDIAAQKDALQHLSGKP GDIVKYDNLTVYTYPETSSAGFIVPGHVDFKTSSAGVAHTRSLTFIKKQLGGPCFDLE KIWEEHAYYEFGDRSVEKTMSTMVQEPYVNHIPTLTGGIGRARLSKFYLNHFIFNNPD DTELELISRTIGIDRVVDEFIFCFTHDKQVNWLIPGIPPTGKPLRIPMTSVVNIRGDR LYHEHIAWDQATILVQLGLLPEYLPFPYALPDGKQPAPGKRFEYRVPAAGIESATKLK DEHSVPSNQMFEYKVREVDDN ACHE_10073S MNAHMQSSLSTLESKLNLLITSLTTSPTAAGAPAAASAVLDADD SLTSAVETLRQHQENYAKILRLRAEAEKLEERVKGIVSDVETREKEIRTICGDEENDT DSDTEDDSSDYDSDEDVDMSKSRSRKKMNKEVDYRLLLDFARRISKYNHQAAADAAAG TPAAQKLEDKRQQIAEQDVAMTGVNGATDTEEGAEPVSSVTKGATSWLDESANMTREI YMLPYPAEDRIRMGLMGQIQLAAAEGRPGFDLDNEVERLIREAEGQGAAEAIEPAQPG DESRRVDEASQAAAHAGSAATSGATSGPAPAPKPKATLDLDLYDPEDDEM ACHE_10074S MSQPHTPFDPFFGADGSDGVDGVEKEKEKDGVPDGVPDGVGRPS DSQPTACHGELDKEQQDRLGKRELQETDCYDKLGFTFPKWKKWGILSVIFIVQVSMNF NAGFYASGVPLFADHFGISEQAARVGQMDFLIAYGFGSEFWAPWSEEFGRWPVMQLSL LLVNIWQIPCALAPNFGTIVVCRILGGLSSAGGSVTLGMVADMWEAEEQQYAVAFIVF SSVAGSVIAPVVGGFSTTFLNWHWNFWLQLILGGFVQAVHFFVPETRCSILVTREARR RRKMGEEVYSGDELKGKHITVKHLLMVWSRPFIMFVREPIVLCLSMLSGFSDSLIFTF LQSFTPVFKQWGFNTITIGLSFLPLLVGYVIAYFSFFPFFHKHCRVRERDPDALQPEA RLYWLLWTAPLLVIGLFGFAWTSLGPPHVHWIAPMIFSSLIAIANYAIYMATIDYMVA SYGPYSASATGGNALARDFLAGIAAMYSTPMYEHMGHSNPLEWASTLLGFLAIGFIIP IYVFYWNGPKIREKSKFALVLASDRKKAQRRVSQCGSGEPGPEEHYFSGSGAV ACHE_10075A MTRPTSHVDVLIVGAGPAGLMLANWMSRCGIKTRVVDKRGTKVF NGQADGLQCRTLEIFDSFDFAQRVWQESNHMLEICLWNPDKNGILRRSDRIADTIPGI SRFQQVVLHQGRIERFFLDSIKANSDITVERGVLPTSFNFNESKARDPTDYPITVTLK TLSDEEATPQQQRKSANGAVVEDGLFRSNLTPDDTEDMIRSAEQSARANQTEEVKAKF MVGCDGAHSWVRKQLGFSLEGDSTDYIWGVLDIVPITDFPDIRMRCAIHSANAGSVMV IPRENKLVRLYIQLQSTEVGGGKADRSKITPDMILKSAQQILHPYTLTYDYCDWWTAY QIGQRVGNNFSLQERVFLAGDAVHTHSPKAGQGMNVSMQDTYNLGWKLAHVVKGYSDL SILKTYQSERRRIAQDLIAFDYRFSRLFSGRPAMDVMDEEGISMEEFKNAFQKGNMFA SGIAVDYGASLIVAKPGSSAEQGDGTDVSSQSKHRVLSKQHLARGIPVGQRIPSYKVL NQADARPWHLQELLKSNGRWRVIVFPGSLTDQTNMTRYQQFGEKLNNVNSFLRKYTPS NLPIDGVIEMLTVHAGPRWDVELLDLPDIFHPYHEKMGWDYWKVFVDDQSYHEGHGRV YENYGIDPGQGASVIVRPDQYVSWVGEMDDYEEMEEFFSSFMKVQPGVSQQQQTDGPV ASVL ACHE_10076S MTSAGDVSYPNPNGNNAKDIFTNGPIMDNIKTEASRAGNEFRDL KNSKVTPSSTASTGQPLTYYHSLLYSLLSWEQPRATATSYISVITFIFAARYLPLLRW VFKLAYVALGFTAAFEIGGRLAFGQGLSSSFRPRKYYTVPKETIEAVLEDLVQLLDFG LLEFQRVLFVENIAHTVAAFFGALNAYWLIKFLPFWGLSLIVVTIAYFGPLTYMNHSE VIDAHIEEAQSIVNTHANQLKDLVEERAAHATGIVKQYLDDYSNKASDFITPRPRSAS PELRKVSSPVIKKEPSAEPELKPSAFPEAPKEEPVYESIEQREPLLAA ACHE_10077S MSTIQSLLNPLPDQQFPFTLPSPSLPTPRKPKPDAAPTHSHKKQ KSKGLPRKKGPIRGELRYPPYEERDDGLASIHREFRMEPMGGGNIRERPWHVPYNSDK KTFQHLTGRDSLEVFYYQFKLPGQAESEEPWWVMWDYNIGLVRMTHLFKSNGHSKTTI GKAMKANPGLPEISHSITGGATEAQGYWVPFDAAKALAATFCYKIRHVLVPLFGPDFP SLCIHPHDRTRFNRMVIDRSVIQRATQTANYYRSLELRSSPFSTSTNHTPSPNLRPTS SSGSSFVARKKAIPRSRKHASSLSSSTTGTVISGYSSGYGSGVDEYSDVYCVSPVSIG SYRGGNTFTPVNTPRSADVYTSSNVGIGTTTNTGAAIPTPQEVLASITAKTTTNTSTI DEDSTATATSPSASTTDEETSSTAYSEISSSWSDYSFVDIDKDDREYSDSPANEPLRT NRKRKAEGSGNGNRGALLVKEVKAAHALLSLHMQDASGSEYEGESDGDEDVSVPPASS LVGVYQQQQQQIYSQRQSRKRRRASA ACHE_10078A MIWIMASGLAPNIGAQLAFRFLAGIFGCPPLTCAGGTVADLWNP LEKTLLFPMYAILSFGGPVLGPVIASWMGQGVLSWRWTSWIILIASGLVMATVILFQP ETYSPLLLKWKAHQLRMRTGDRRFRAAMELEKTALVTRMAGACFRQFELTMHEPIILL ISLYMTIIYIVLFTFFDGYEYIYTDVHGLSQGLTNIVWVAMYCGIMLVGLLVPLVYRW TKREFQRAAAEAEPGADGKKKVHTRPENRLWFAMMGAPFIPIGLFWMGWTDYSNISIW SPIIASAVFGFGTITVFISSYMYVIDSYDIYAASALGFMTVSRYAAAGGMTVVGVPFY KNMGVHYTLTILGCISAVMTVVPYVFYRWGHVIRGWSRYAVNQ ACHE_10079A MDDDSVFDDSIMNDEEGSDFEPAPKPKAKAAPKKAPAKKMTQST LTGKKPAAKPTASKKRAKPDSDDGISDGLPSDDDDSAMSQTPPKKAKKAPAAKKGGSK PLADVENESIGNEDAPKDQNVSEKYQKLTQLEHIIKRPDTYIGSVERTTQHMWVYSTA SEGMEYREVSFVPGLYKIFDEIVVNAADNKQNDKGMDEIRVTVSRESGEISVWNNGRG IPIEMHSKEGIYIPELIFGHLLTSSNYDDTQQKVTGGRNGFGAKLCNVFSTEFTVETQ DSRQKKKYKQTWTANMTKMGKAKITDASKGEDYTKVTFKPDYPKFGMEGMDDDFEALV MRRVYDLAGTSKVAVKLNGSRIPVRSFKKYMEMFTKAIRRERGDDGPGSKDEIITCNP DPRWEIGFAVSDGAFQQVSFVNSIATTSGGTHVNYIADQICNRLADEVKKKNKKGATL KTAQIRNHIFIFVNALIVNPSFNSQTKEQLTTKTSQFGSKCPLEEDFYKKILRTEVMS NILHFAEQKADQMLKKSDGGRRSRINNPKLVDANKAGTKDGHHCTLILTEGESAKGLA MAGRAVVGPDLFGVFPLRGKLLNVRDASFDQISKNAEIQNIKNFIGLQHKKEYTDTRS LRYGHLMIMTDQDHDGSHIKGLLINFLQAQFPSLLKIPEFLIEFITPIVKVWKGDPKN PTKQRSFFTMPEYETWKEEHGHERGWEHKYYKGLGTSSTEDAQVYFRDLDRHLKEFHT MQDHEAGLIELAFSKKKADERKEWLRQFRPGTYLDHSVAKITYTDFINKELILFSMAD NIRSIPSVVDGLKPGQRKVLYTCFRRNLKKDMKVVELAGHVSGMTAYHHGDASLQQTI VGLAQDFVGSNNINTLEPSGNFGSRLQGGSDAASARYIYTRLSPFARRIFHAHDEPLL TYNEDDGAKIEPEIYMPVVPMILINGSDGIGTGWSSNIPNYNPEDVVENLRRMLDGDE IKPMIPWFKGFNGEVTPLGGDRYKFSGVIKETGDKEVEITELPIRTWTQDFKDKLEEI IKGDKTPSFIKDYKDYNTHTKVHFVIQMDEKNLQAAVNQGLEDKFKLSKTLATTNLVA FDPEGRITKYATVDDILKEFYTIRLKYYERRKQYQLNEMQRELDKLTNQARFVQMIID GELVVSKKKKNVLIGELKSRGFKPFPKVAEAVKAGEAEPVVEEEEEEEDAGDVEVASN AYDYLLGMAIWSLTQERVDKLRKQIGEKEMEIDDLIKLSKEDIWKRDLDDFINEWRFQ LEDADRRQRKISGMGRRTSMKLMTGGRAPARKRKAALGDDPDDEDFAAPKSKKSAAAK KTEPKGGLLSYLQKPAAKPSPAPKSDDEGSDDDFAMEVMPKKSRGAPKAKPAPAPEPE PEPEPKDEDEDMDDEPVVASKGRGASKSASKSPKDEDEDEDDDFVDAPEEAPPKKGRG AAASKAQPKTKAKKAASDDDDVFEVEERPKKGRAAAKAKPKPKDDEDEDEDEDDVFEV EEPPKKGRAASKAKPKPKDDDDDFLDDDDFAQITKSEASKTQPKPSRAARKPVKYAGL DDSDSDFGDDMLGDVSNMVKGIGDKAGDDSRQFFSERSRPTSSSGLKGLPAKSSPRRL SSEFDADETDYSKLVPQNSPRRSIQVKPKESSKLEDDDEEDEEEPVKPAPKGRGAAKG KAAAAPKARGRPKKDATTAATKASATKQTTMSPAAKAYQAKQAKTTKKKQLADDSDDD IDAMANDILDSPMANKGDDESEEDEAPVRKPAARPSRRTAATKKSYAVDPFSDEEGDG DSGDDFDEDSE ACHE_10080S MESSDSASAATSAPLQQTTPTTPITTTGQSNQNDGANTDSMVTV PLSEVQSDSEHTQPEWRLLNIPQAPADPVSPTQSDNGAKSDAGNSSRRSQCSDRGMDD EVDWAELDRTEEQEPRGEDADESTALLLARLEQENNALATNPKSGLSSHTKKRSQSLH HIKRLIKDDSRRSSIRYSQLPPPPMTELEFWAALVSDYHQTAQRLPTLTTNKIRSGVP PPLRGVVWPSLAGARDQNLLVEYQRLCGESSPYEGLIGKDIGRSFPNVEMFRDPNGEG QQMLARVLKCFSLYDTKIGYCQGLGFVVGPLLMHMTDAEAFCVLVRLMEHYNLRNCYL PDLSGLHLHVYQFQNLLARHSPTLFGHLESLHVEPVYVSQWFLSFFAVACPLPMLLRI YDIIFLEGACETLMRVALSLMQRNEKRILACTEFEDVMQLLLSRSLWDTYACHADDLV NDFVSLTSLVTKESLQTLEASYNQSQGVPTGISFPQMQQAASRFLGRFWAGSNGSTHN SVKLSPNRISTSNSSIRRSTSKQSMTSTLNSVESASDASTAPTELSAEPTQKPRAKSA MTPHKDRDLHTQIEDLLMALSDLQRQHADLSRDLQLEREEREEDQSLAKSLLHHVKER SGDEEDPAITELLTKAEERFGAADNTTPKPESATNDQTKQQLRDDLHRWKEMHQVESS RCLDLTRRIDEQEQEGSSLREQIREARSRIQDGYRDRQRLERMVRELRSIKTPISEKP PETPVDQTPWSPASDHSPTSGGLRELKLVRSNSQKTTRRTSTFSKRSSSLGLKTVLST ENNAPAPEESLLLELVNAKTAEAVAKQELEEVKGKMESLRKMVSGQQRSSGESRLSLV SGVGTGPGIAKAQTEPVNSGGFWGWGRRAVSGSQGEVEAK ACHE_10081S MTIPFPILPLDYHRSRSIRRFTPSRKFLAFTTTAASKKIDPAQL AAVFDQLLPVAPETLTRGSGEWRGIALNTGHKFMEQLESLHWRGAVFRSTEDVEPVAI DKADGEKATTDFGGACVRLLSPLPNYAIEM ACHE_10082A MAIAEKKLNLLSLDGGGIRGLSSLYILKHVMESINPECPPKPCE YFDMIAGTSTGGLIAIMLGRLKMDVNECIDAYNTLATQAFTRKAYLPITIAGQVKERF DSKQLEAALKKAIADRLHDQDALLKDPDTSCRVVLCATRGGTSTTATLRSYRNERECS ELYNTVKIWEAGRATSAASSFFDPITIGPNGQQFFDGATGANNPIRHLWMEAKDIWSD APLEDQIGSIVSIGTGVPQMTRYETKGIAGLKTLKACVTETGYTEEEFALEHSDLVAN NQYFRFNVPGGLAEIGLEKVAKIDLIVGITEKHLAENVVRERVKACAGSLSKSKGHSI SKRPSSKASAWEVTGLVRAQVQNHKESYELEHITRNTHAQEQTQTTDVRQPCSRNKKF FGLFGNKKTR ACHE_10083S MDTTRTDNVRRTAELACHECRRRKSKCNRIIPSCLLCSKFGRRC VYERQIKTPLTRRHLTEVEEELARTKILLRQLQSGRQDAGPGYAECGSDSQGIRSPNC DMREKSYGTLVSPVVAPSRQVSTADETDGAIDRTDNRPPPERNPARNYKTPSQVNTRT SSSGPTATSPNRPIISPASRRTPYCRTNGCPRESETHSVTTSGLSLEAPPSSGSFEWD ERTGKASGDRFVDGMASLTSRSNEGGYLGVASGAALLRMTDSRSTEEVDTYCHQQQEP KPPVSFVLTSLSQLEPFVDAYFQLYHCSYPIVHEATFRAQFMEVVPRPSTNAWQVLLF VIAAIGAFTTATHPTDVDIGLFEAAKARLSIDVLETGNLLLVQALTLISNYLQKRNKP NSGYNYMGLARRIAMGIGLHKEFPAWEANLLTIEMRRRCWYCLYIFDVGGIITFSRPF DFPNDGIDVELPMNVHDSDITAGSKQRPSPAPETTIYTHLRAQSTFHLATSPIYARII SSPFPSATSLVEMDDQLIGGWLASLPPFFAQDAPQKPKFRLCHSILRWRYRNFRILMF RPFLVSRLMIRPSEGIQDNDPYVDVAVQRCLDAARESVDLICTFWIEDSKNMMACWYG LYFLFQAILIPVICLRNDPQSPLVVSWRDQISKAMPVLESMGQLNPTALRCLGVIRSL CGTYLDPSMDGLGRPTEESPQTQLASLYPLMWPTLEMAQLDGVDSILQESTIMDFMNQ LPGLE ACHE_10084A MVLHQPENKHVFKAFDLSGKVAAVTGGARGIGLEVSRALAEAGA DVALIYNTSKTASDTAASIASENNVKTAAYKADVGNQEDIEKAVQQIATDFGRLDIIV VNSGITSSIAAEDYSAEQWRDIMKVNLDGAFYTAQAAARIFKKQGYGNVIFTASVSAT LVNVPQKQAAYNASKAGVVQMAKCLSVEWVDFCRVNCISPGFIATDILDIHPQEWKEK WFDMIPAKRMAQSYELKGAYVFCASDASSYMTGADLVIDGGYTLP ACHE_10085A MYYLGAIVASWTSYGTQKHLNDDWTWRIPSIVQAGFPIIQIVLF WFLPESPRWLIANGHTQQAEQLLARFHTAGDVSHPLIQFEMAEIARTIEMEHSASTMR WTALVDTPGNRKRTFIAVCVGTFAQWNGVAVVSYYLTLVLDTIGVTDSDTQTLINGLL QVFNFVAAGSAALLVDRLGRRPLFLWSALGMLISFIIWTACSAVVNGDDSNQAVGRTV IAFVFIFYFHYDIAYTPLLLGYPTEIFPYSIRSKGVTVELLAVYSSLIILAFVNPIAL DRIGWRYYIFFCCFDVLVLVVTWFCFPETKGHSLEEIAEVFDGRAVDLDEEKVGKRGV EHAEYVD ACHE_10086A MKDQDIVGEALASVLPQYNKIWFRVPHLLQLNLILMIPLLSSAV AGYDGSLMNGLQSITQWQKYFGNPSGEILGVVNAAQSIGSVVSLPVVGFLSDKIGRRW TLLSGAVTIVIASAIQAASVQYGMFVFSRYAPFY ACHE_10087S MTTIRRPNPPVSDSIFEMFSMRGRVVIITGGSGGIGYQVSRGLA EAGANVALWYNQSIQAKDLAATIARDFGIAAKAYRCDVQDFNQVKSTTDAVVADFGRL DVMIANAGIPSKAGGLDDRLENWHRVVDVDFSGAYYCARAAGEIFRKQQSGNVIFTAS MSGHAVNVPQQQACYNACKAGIIHLSKSLAVEFAPFARVNSVSPGYIDTAISGDCPSV MKEEWYSLTPLKRDADPRELKGVYLYLASDASTFTTGADFVVDGGYTCR ACHE_10088S MTETNPSFVLRAVKDVVFEDRPVPTLKDPYDVRVQIAQTGICGS DVHYWQRGRIGDFILESPIVLGHESSGTVVEIGPAVKNLKVGDRVAIEPGVPCRHCNY CRSGSYNLCPDTIFAATPPHDGTLSKYYITQSDFCYPIPAHMDLKEGALVEPVAVAAQ ITKVGNVRPNQTVVVFGCGPIGLLCQKVCKAYAANKVIGIDVSPSRLDFAKEFGVDDV FLPPSKPEDVDECEWSEKVACMIKEKFGLGDGPDVVLEATGAQSCIQTGIQLCRKGGM YVQAGMGKENVVFPITTACIRDLTIRGSIRYTTGCYPTAVDLIASGKVDVKRLVTNRY VFEQAEDAFELVRQGKESVIKVMIEGYKG ACHE_10089S MSLNPLKATAAGWLFIALGHTLNAKDWQTLPQFRQLPRLAYTCG TIGWYQGSLFFIMNALINYTWAENPALLDIPLNRVIAGLMTGIMWVSSGWYAKNGVYV TGAVVAAMGGVQAWSVFC ACHE_10090S MLSPRHGSSGSGGGIMQHHWGYFTRELPCTSDPGNCAYLDAVYG GHDLSMIYSAILWAVIGGVLLVCAVVNYFPVSRRVTGAGTKEVEQPNERQSTVYRVWR FVTAASNRYLLPESLTPVFGHTTRLNILILVILIGYLTIFTFVGITYKTWYSPVEGTK LQTTRVGLGPWADRIGVMAYALTPLSLLLSSRESLLSLITGIPYHHFNFLHRWLGWII YIQSALHTIGWTVVEGRLYQPQPQKWNEFISQQYIISGIVAMICITFLVLHSTKWCIR LTGYEFFRKSHYVIAMVYVGTCWGHWDKLRCWMIASLIVWMLDRTIRFVRVFVVHCAG SAGLNYSLCGSVLVPKAKMISFHNGQDGDVVRLDFQHDYPSWEIGQHFYLCFPELSVW QSHPMTPSSVPVQNPQGQGQSHSYIIRAKKGLTKDLAGIARETQTESGSSLDGGRTTE ILLSGPYGQSIADTDLIRTDDINLFCVAGGTGITFILPVLMSLAASNQLCCRKGLTEF VWVIRRKADMQWISTELDTLREAAEIFPSLRIRIFITREELSSDGTSSESTNANLMDK GFSDKTVENSCCGQPSPNEDDQPFMVQHIKNSDTAHPNLAPILGNFVSRTVAGPIRVM ASGPPGMISDLRKAVALSNDPGRVWTGDERCDVQLVYDDRVEW ACHE_10091A MNMLRTPTDLNPNYNAPGHAGQGRLLNNPTAPATSRLTSADPVH GALTLSETDRSNIYYEWIRLGKPHNIVCSQCRRPDQLLLCETCCRSYHTGCIPSSEVT AFSGKFHCPSCRNKNWDRLPPQFSGRSSPNASRSTTPSGRDRSRRNSPSRLAKPSGHI SPIASGTPLTGLPGSSGHTSPMEWQGVHGAGQQSDKLSQARSFLIENGAASQEHNPMI LYQIAHMMEQLESQQRLLQETQELREENVRLHHINRELRAHAHSRSPHDSAVNSPIPN IPRPVADTSGKSWDRIVMDLL ACHE_10092S MPGVPSNKACERCKKRHLKCDEARPSCQRCVNAGVECPGYVQTR KFIDQGATIRRRYAPYQEHHQRSGAHKENEVPAVVEAENPLQEQTPVDQHGNDLQTIT PMTEVPRVEGRDSDASQGAQGTPDASRQGVAQDSQIQTGTAVLPNRPAVTIPSIDQSI LNTGFSPAGHELNTRSLLENPNLPTSGSSHPSNTPDTDFSQAPGVPSSEMPESSETQN DAEGFNDVFSELMTGTEHEVAFLTRYFSEYLGPWLDLSDSSKFFAVYAPIRALNKYFL KYSMAALAAKHLGRMKGQKPAAGGIFTSPATMEIYPNAAQTDWTLKGANYYYLAFAYM RHSITVSYAAPSSSAILESPIEMVNGYLGQQPGFVRMDISDADTFLRDIEGLLATCVI LTMYRLLDVPGDDWQTCVSDIRCVILWRLTDYRHLAGIESLFDLLLQLHTTSPALPPM FSQGERAAFWNFARQDYLASYFNRIPTHFKHSNLSLWKTAGIQVNELELLDDTIRLTP EDLAANTLIWLMNKMVNFLADYRRSQLAQWTESDEHAQPSTTMWLNLCFEFQSWFEKI PETFRPSLRIERPRDMSNLSDISYLPFPEIFFSLTSCAAAMQHYHFGRLGLLLNRPPD VMSAPGTAFDRLASLREVTKEVDYRCREICGIALSRPHGEVRIYMVPLLFAVGQCLET QETRQVIVDLLRGVEADLGWATVSTVEKLQVVWSQQS ACHE_10093S MSLESLPTELRIHILHSLDKQSLHNAVLASPTYHHTYLLARRAL LHDLVRCHYGLVDLAEPVAAVRSEGLYADVSANKQKIISLLDRRRRHRELELSRKDPF AGAPVSIEESIKLLHLYNKLDTIVQAYCRRAPCPPWMDQDTWKQQCLPLQLTETERAR ILRALCRLQTYYNIVGAREWVPENETGQSRFRKSSTWYRNFTTNEIWNLFFGTMAPWE IEEFGSVWMFVRDQYQGMFDEIACEFPRSDPRWKALRPNSLPAEMMDLYPSEGDDEPA NYSYSDYCNHLVSLGPCFLYKALKQPTYEYRRNLLAHNAIASKSSFMDVVEVIKDPCP LLYPADKYEVSDIARVLPTMPAMQQPTHGWKQHWHRDGIVERVVFATRPRVTVDEDTL EAWLGETDLERTIGYFDGWEWGYPILESMRFPVGYMMP ACHE_10094S MDDYVDLAIYEKNADVGGTWFENRYPGLACDSPAHIYTFPFEPN PDWSALYASGPETWQYIRRTTTKYNLDEHVRFNSTVTSTIWDDNTSRWKIKVQSSEGI VAEDTADILINGSGILNRWRWPDISGLHSFKGTLVHSARWDTNLDWTGKRVAVIGNGS SAIQILPQMQRTAKHIVTYIRSPTWISPGLATTNLESDDGQNVTYTEEEKKRLRENPD ELRTLRKTLEHELNSFFGNVILNDGPQQAVAQAAFTAEMKRRLGGDKNAHLLEKLIPE WTVGCRRLTPGDGYLEALTEPNVTIEMSPIQRITDKGIVSGSFF ACHE_10095S MPNYFIFNGPNCPIGHGSVLSPMDWMADYILRWCRKIATEDIRS VQVRSDATHDYNVYTQKFMKGTAWSSGCRSWYKNGKIDGRVTAMYAGSVIHYKEMLES FRTEDFILHYRSSNRFRFMGNGKTIREKNGGDLAYYIQ ACHE_10096S MADNLYPYDTGLVRELLKNKRKVRGIRSCFPCRHRKVRCDGQVP CSSCVKRNHPELCRVPNSGPEEGIQQQPSPSGRLDIEASLNRIIEDRQVQYSTDNNLL ISRLEKIEEQISSLKADLRTASVPAPSRVRESSEGQVSSRTSTRLASKSPGRYYVEDA TGATIYLGSRSDAPLVLGCRQPATTGDVMLQSAMMDQFVPRAYPFTNLWGMDAAAQNV CETLPDDSDIIRYWQTYQSAVYPFYPTLVTADQFSQSLFAFLDQRASARETNRHVEEP NSSWLALLFAVLASGVQFSDDLIKERDLRSRVFVCSSFQCLRLSNFFNNTNLDQIQAM ALIGHCLRNNLDTNSAWILMGATIRLAQSIGLHEPSLSLSASEQHQRNRLWWMLIWQD TFLSLTYDRPPSSITGICPIPLTPYTEGLTFQESVFTICKIILDRTQLENSGDSHPPM ESALESRRELESIWHTVAPFLRDKSRCISLQQHLERLALGIHLGYTICRFTWMYLDSA RQEFSVLPDTIQDCVQQAIRPIESFLDLHRFSSSVCRSWAFVHNAVSCAITLKTAEQM LPEETNRSKIIIQRLIVVLEKEERDSEWVDTDTNVRHFGPYSRALKALREIYHETEA ACHE_10097A MLQCQFYLVGDDIATAKILEIDSRWKFEDLQRAVGVVFNIAQPT GISFHNAQNETFTSVQDALTAQAPVGLRIDGNAVQSPQGPPGLPLVGSYYEIYPDHLG NHYRLFRKYGPVIKTTNMGKTIYLTDDPKVAAVAFAESAYMTKKINESHPLWGVKDNT AIFIGDTETENWRLAHKFLPPAMGPKAVRHYTSLMQNCARKSLPVFDELDSREESWNV YQYMVKLASQTISSFSLGTDFGHFDSVDSPLHPIVTNIASLLSLNKKITSRGEWYRHL PWGDPARLRQVQHTIYSLLQEAIDEVAVTSTTKDAPMNEAALSASCVVDYLHHALDEK GERLPKGLILANMLIVTGAGFTTTSALMSWLIYCLATYEGTQERLYQELVEFGIVGPN GERNQTTWTPDLAHSMPYLDKFVKETQRLHNASFQPGRTTKTEVILPGGYRLPPDSVI VPALYAIHTNPKVWRDPLRFDPDRWDTQEAKDRHRCAYVPFATGPRGCIGFNFALLEV KVLLSELVSRYELVREGLDAVDYDPEFQLIRPLNFYVRAKRRV ACHE_10098S MPSFTYEQVQQHTKPDDVWIVLHNKIYDVTKYLDDHPGGKDVLL EAAGTDATEAFEDVGHSDEAREQLDPYYIGDLPTEEQAEAVEIYRPNFEQVSQSAVID VKKSSYASSTLHAIVKLGLTGLVGSLAVSCYRNGWSFDKALQSLLSISLPKGTPGSTS GQFWTGACIATVAQLSVTLGLGVWASSKLDVQQEFTHYVPRRHAQTAQFIQLPRTNVP LKKPNVLDPRQWRSFTLTRKDEVAPHVYRFVFALPNPDDILGLPTGQHIALRATINGQ TVSRSYTPVSNNSDTGRIELLIKVYPNGAMTRYLEDMKLGETIEIRGPKGAMQYSRQY AKHIGMIAGGTGITPMYQLIRAICEDPSDNTQISLLYANNTENDILLRAELDTFARKC PEKFQVRYVLSHADDKWTGYKGFINDDIIEKHLAPVAKDNRVLLCGPPPMLAAMKKAL QGMGWRMPGAVAKGGDQVFLF ACHE_10099S MTTPSKERPIVLLGAGVLGRRIAAVFLAGGYNVHIRDPSKQALS DAESFIHTQLPSFTAVLSQQGKISPGSLRIFTEIAPAVKNAWLVVEAVPEKLELKQAT FAEVVASAPADCILASNSSSFKSRLMVEGLDKKRREMTLNMHFTMPPAIRTVELMTDG ETKQELFGILSEVLRVCGMVPVTARKESTGFIFNRLWAAVKREILTILAEDVSTPEEI DLLWENMFQLPTSKPPCRLMDQIGLDTVAFIEDNYIQERGLEGKQTVDWLRENYIQQG KLGLKSENGGLYPAAKKDNTADETIYLLDVGLGANNPDISAIPTAGRILKFTPSSNSV EALVSGQSLPDGIDISQRTSRIFWTNMGRATSTHDGSVHSANLDGSGVQTIVPFGAVH TPKQLVVDDANQHVYFCDREGMGVHRCNYDGSDHCILVQTGSTSDPAERDDMTRWCVG ITVDPACGYIYWTQKGPSKSGKGRIFRAKMEIPCGQTAANRSDIELLLDNLPEPIDLE LDRENQVLYWTDRGEHPTGCSLNSISVAGNKVRPENKNILARQFHEPIGLKLNAKKEV IVADLGGSVYRVGRGKTVIMQDQGSYTGVSLYS ACHE_10100S MASAGARGQKPVGSAAWISTEKENVSQLVEQELEEVEYPVSHEM DWLNEHMAEIFNNNQFNFTEAFKTPGKLRGKTPRTARKRNFEESRVPLSEIFSTAHNQ KENKSIASPTGKRSPAKPAAPIPTTNAKPTTENAPQPHYPDLSQNLNSLPQYNTDSGY HGMTDDDGDDDDDVVLTQTQPESQTSTQASTHPLQEKKPTPEPPQTHDLVDRRTTESS FHSAQEDNRQRGETMEPADDAPKTLQKVEVHPPKLQLKEKKPEEKPVSRKSESGQKQD PERVPEKKVAEEPEWKHEQKPEPKSKQKQEREPQPEQDLESKSEEEPETEQQPEPEPE PQPGPVQNTKSSPVHTPATQPSRSVQEDDAAEMEKEDMALDNLDDHDDIGSPSDGSTP ERPPIRKSSLTFASLPAREPLTKKSLGGARVSRTSHIGSGFLGRQTGGHRTTQAALEE NASQAQGEKMDVDDDVELTRDGTDAETRASKQHNKSSTQRLHEKISMLGKLQPSRPTK SIPSVSGLSSAQVTYPDLPKTEAKPEASDRKSRETPAPEPMATDEDEWIKPSVNTPQR PNLAKSRTMDIMEKSNQAFEKDDTARSPDMGGNRMAKPYEDHTAEMSRPKSTTPLYTP PQHPNHQKSVSVSNLTGGGSTTPAGSPKPQDAPLSASKWKLQSIMKSAKGLFSTAGSV SAAARVEASSPTESLKQPEERIKPQSLSRQEPQPAQESTPPRPEGRRTRSSTEREEKR RQQEVEEREREEQEERAREQEKQKAIQLKAAREKASLEREERAASVAPSPKKIPQPQK HASKEPEPTYETASKPAAPSSIPQQKQNERRPVKPTRETLQKPKPQPVSIRVGSALSR QIPMASGSNAQESVAAAPTPASASKPSTIKKKASNNSLHTASSNNSLKSSVSSNSQRK VQLASERKREQEEREARRKEEQRRELERKRAAQQQEEARRQELRSRAEAERRERQASV DPKKAAHMQAIEKRRLENQRRLERQGSQQPGDTGMLQQPERPASQASRSASRLGSIQP FGRSINTPQPNPAKPPKRAMDDEASHRPAPPKPSIIPQPGDPKRRRTEDEHNPPPSVR PAMAPPIRQSNIRKEPTKPSIFNGQRTSTAPQSGSSILKTAQPQRPAHPLDMSKYASG KIPFAEPSNAAQPKPGPSSAQKAANKQSPKYPSGENIALPEIATDSEDEDSDAEMLPV PKWAQPRELENLLRQQEGMEVDSIFGPVAPFSLEETFKADKKIKKFRERTSSANWSGA DRLTQDEIRKDLAERQRLRLNGGWTFDTN ACHE_10101A MPKKGGKNKAKSKAKAAAGAAAAAPKNVATDVKETLTPGHQVEE NVAKAEGEVEKTKEAKDAPASATADSTATVAPDTTSKIPTAAPESAEVEPVKEAEKTV SEPAPEPIAEPEPEVAEVAKRDSIQEAVEKVQASKEGHIGSLDGGDSEGAVVLPEATA KESTLISAPDETITKPTETVETPSAPVAEETTAPVTTLPERPRDTTQPPTDNIEAIHT KRPYETPLFTKEEQKPPKMPKVEGEPEAEPAGQVVSGLTSDETKDLHKESKEIATGNK DVADVNATKEAPAAAPVVAAPAAAPVAAEPAAAAAETKAAEAPVTEAVPAQPEKVAEP EIAAPAAAPAAEPAVAPVTTAPEAAKEKAAEAPKEAPTEAAPSKEAPKEAVPETKPET KPEAKPEAQPETKPEPKAEATKSEAAAAAAPGIAAPADKAAIAATADQKAAQAPEPVI QKPEQAKVAAGKAEQSARDNLAKVQQEGKDKAEQLKAEKAEKRKSGFFGWLKKKVKGD K ACHE_10102S MPLRDRVKRVFRRSSLPAPKTNRNGVKIEYYRRSEVPKSKFKGP FDPEHQKQLAAWSFQTAMAERPRSQDLSLSPCTSLPDYMRLPQQSRPQQNEEIAPDQM TEEPAPNADVMDTSPTVTQVEDTQRQADGGSQSSTMVDPDSYSGSMMTLLPENHRDGS ICNIKETIRYTSPVLRTASPPPMSPKGAGTYMPFSPEDLTRALNAVQIY ACHE_10103A MPINQPSNQIKFTNVSVVRLKKGKKRFELACYKNKLLEYRSGAE KDLDNVLQVPTIFLSVSKAQTAPSAELAKSFGPDIPQDEIRQEILRKGEVQVGERERK EIQERVEKEMLDIVSGRLVDPGTKRVYTSGMISKALDQLSSASGQQAQAQSAEAESAS EEGRPQQPRKPLWRGVDPNKSAKSQALEAMKALIAWQPIPVMRARMRLRITCPVNLLK QAIKAAPGAANGGANKKEEPSNGGGPSKPKNKKGGKGNKKSRKGDSDDELDAPAPPAK ESKQSAKDSDAETPTKTPGTVKDKILSYIESVETQEVVGGDEWEVVGFADPGAYKGLN EFVGNETRGRGRVEVLDMTVTQEE ACHE_10104S MRLLPATMLVGAATAAAPFQQILSSPKKASESFSETLSKPLHNF QEQLENLSDEARNLWDEVSAKFPETMGHNPLFSLPKKHTRRPDSHWDHIVRGADVQGV WVANEKGEKEREVDGKLEAYDLRVKKADPASLGIDPGVKQYTGYLDDNENDKHLFYWF FESRNDPENDPVVLWLNGGPGCSSLTGLFLELGPSSIGENIKPIYNDYAWNSNASVLF LDQPVNVGYSYSGSAVSDTVAAGKDVYALLTLFFKQFPQYAKQDFHIAGESYAGHYIP VFASEILSHKKRNINLQSVLIGNGLTDGLTQYDYYRPMACGDGGYPAVLDESSCQSMD NALPRCKSLIETCYNTESSFLCVPASIYCNNALIGPYQRTGQNVYDVRSKCDPGTDLC YKGMDYVSEYLNKAEVREAVGAEVQGYDSCNFDINRNFLLHGDWMKPYHRLVPDLLEQ IPVLIYAGDADFICNWLGNKAWTEALEWPGQEKYAAASLEDIEVVDHEHKGKKIGQVK SHGNFTFMRLYGGGHMVPMDQPEAGLEFFNRWLGGEWF ACHE_10105A MSLVPDEKTNFQYILRLLNTNVDGKQKIMVALTQIKGVGRRYSN LVCKKADVDLAKRAGELTTEELERVVTILQNPTQYKIPTWFLNRQRDIADGKDSQVVS NSLDSKVREDLERLKRIRSHRGLRHYWGLRVRGQHTKTTGRRGRTVGVSKKKG ACHE_10106S MNPNIPLILRPNPPLNHPPFNLSTLPDPPRPIFLWGTTPEEVSR NIPRPVLDAVFTFHFWGMNPCIYGRTTSARMLRALMKLFHHMDERHKPKSWAEACDFT RDAGPRLLSCAVAVGVVPISRWYPDPPALDAETGVGFVRPGYALGLLPDVYVERMLVS SWNVQGDSNAGQDGNSNGDNVDGNGNGDADGDAQMQDVTMADPTSSSTDVGSFIHLPP VQHHPVFEAVERAVMQFAYADLERDPTAAPRSPRPQLLATSEDDLYKSIVASVPATSK VALGDTMTNGVSFSPTGNPFPYRGRGPVWANNSCAVDCAIVVGMFLDAGSTVYDRKEL GWQTRLSRAGKAFIDATDANWDVLSRDESASLRDSLWNVLAKESPAVRVGRFNSVWSV WAAATANIRQFQFSCVETITHCPCRGTNSTSSSSSTSFLSSFVTPPVHPHDKDGVSLQ EVIARPFAPGSLSPCRNCNLPDVVTRERRITTLPLRMVVTLDERVTINNHTQDFSLTY LDANGVPQAATYRWLGGIYMHSNHFRVCWTDENCGEAGKGAVKIYDGMQNAGAIVGGV GPYRADERVPPDWFRGSTIPLLVYERVLDPAPEVLNGAMQAVCGMMNASVTGVPVLKG HVPWAKSGEGNVQGKVWNPILPTFGDRFQVIPTPYNPPITIDDIAITSTYFQEPQIQD QDQPHPPLPSIEEATTLSLPLPLPLPLSPPNDPFSIDPQATLTTSTPPFQISTILPVS IPITQRLNLSNSAFLPSADPELTGIAGPAGRAPGPGWYAPGGFLWIPTPPEAEGPQRM TGEMERERKASPEWDTWIRMSPFGGDTQPRVVRISPGRETGGKSGKVVVGRVVQGRVE KKGRASPGKGKERG ACHE_10107A MSAPGDYDAVRKDIVAQLKKPDYDDGSTGPVFVRLAWHSSGTYD LATDTGGSNGAGMRYEAEGGDPANAGLQHGRAFLEPVKQKHPWITYSDLWTLAGVVAI QEMGGPAIAWKPGRTDLVDDSKVPPRGRLPDGAQGAEHLRFIFYRMGFDDREIVALAG AHNLGRAHIDRSGFQGAWVNNPTRFSNQFFKLLLKLKWTRKTLPNGVSQFVYADPDLE EGEEPLMMLPTDVALIEDPSFRTWVEKYAEDKDLFFEDFAKVFAKLIELGIRRDEKDA IVNTDNVKGGYVSAPKKSDTATGPVRAKL ACHE_10108A MMAFSRSNNVLHCLHCIASLLWRNTVPDVVIWFRRGTSLTADRV NFQRRNTVCVREGRKFKRCVTSPRMGSGQTTFIPELSLALQLFHLYNLADRIMADDTI HKVTTKSSSESASDYGEAKVHQSNIGRRIWDSFKPNPNSLTNTAPGADVENAAENTAN SPLERRLKGRHMQMIAIGGSIGTGLFVGSGSVLATGGPASSLIAYGLIGFMMYCTVHA LGEMAVLYPVAGSFSHYATRFIDPAWGFSMGWNYVMQWLVTLPLEIVAASITVDYWHP NVSNAAWVAIFWTLIVLINLFGVKGYGEAEFVFSLIKVIAVVGFIILGIILNCGGGPQ GEYIGGRYWQNPGAFNNGFKGLCSVFAKAAFAFAGTEFVGLTAAETANPRKSLPTAIK QVFWRIVLFYLVSLTIVGLLVPYNDPKLMSGDSDADASASPFVIAIKNAGISVLDSVM NVVIMIAVLSVGNSSVYGSSRTLAALAEQGQAPRFLAYIDRKGRPLWSLLVVSAFGLL AFLAASDKQAVAFEWMLAISGLSSIFTWASVCLCHIRFRRSWKLQGHSLNELPFRSQP GLIGSYAGFILNCLVLVAQFWVGFAPVAYGDMTASERVYNFFSVYLAAPVVLAFYIPY KIYYRTPFIRAKDMDLHTGRRDLDIQYLIEEERAEQAAWPMWKKVYKVFC ACHE_10109S MPSLQESKLAFIGGGNMASAIITGLVGQGANKQNITVSEPWDVN REKIATIGVQTTTSNVEAGKEADLVVIAVKPQVAKGVCKELGEAWSQRTTLPVVVSIA AGITLGSLEEWLKTSDGRTPHAVRVMPNTPALVNEGASGAFASKDVTDDERALVDALL GSVSKTIEWLNEEKLLDVVTSLSGSGPAYFFAMVEHLITSATQLGLTEEQATRLATQT CLGAGKMLVESSDEPAQLRKNVTSPNGTTHAALQTFESLGFKDIVDKSVKAAAARAEE LGNSLG ACHE_10110A MPRQKSLSPGSCTLRLTHITSLPSSQKTALISSIANDMKATFIY IAKQSEAGNLDSQNTAPLDNVVATIRDTAVSERRMLEKKLEKAERRVRKLKREQKWMH NEVGEVLKRVEVVGGKWKEKVERLRGKVEGLQRELVSGREGVVEQMEETMEQNEGEDK A ACHE_10111A MSKTFNILILPGDGIGPEIMTEAIKVLKAFETPTTQFTLRNELI GGCSIDAHGKSITDGVKEAALNSDAVLFAAVGGPKWDHIRRGLDGPEGGLLQLRKAMD IYANLRPCSADSPSRSVAREFTPFREEIIEGVDFVVVRENCGGAYFGRKVEESDYAMD EWAYSESEIQRVTRLAAEVALRHDPPWPVISLDKANVLASSRLWRRVVEKTMTEEYPN AKLVHQLADSASLILATNPRVLNGVILADNTFGDMISDQAGSIVGTLGVLPSASLNGL PGNKQVERSYGLYEPTHGSAPTIAGKNIANPVAMILCVALMFRYSLNMEAEAQKIENA VRTVLDSGIRTGDLGGKASTSEVGDAIVAALQ ACHE_10112S MPQIEELPDDFDESIDLNKQPKPAADLPGMPPGADQIPFPINEE RMKELEAMDPLAPKMPPNMASVKSHTKEELLDMMNKTPLFMTDISQAGDENGENWMLD ALHALQNEGTRGEVAQNFREQGNEAAREKRWIDAKEFYTKAIAVILVKEDKWDKPEDP EEEAKLLRQAEEASYINRALCHLELRNYRSCTLDCASALKLNPRNTKAFYRSSTALYA LDKIPEAEDAASRGLELDPENKPIQATAKKIAERKAALERIAAKKKAEADRIRKETQL LSVALKAREIRTRKTGQPPEMEDAGIRLSPDPLSPESTLEFPAVFLYPTDAQSDFIKA FSEMHSIEDHLDYIFPLPWDTKKEYTIDNVECYMQTVTGGLIKAGKKLPLLQILSGGK VEVVDELVTIYVVPSSKTGRFISEMKARKESGS ACHE_10113S MVHLSFIATALAASLLPQLTNAAGLNTAATAKGLDYFGTATDNP ELTDNTYMTQLNNTDDFGQLTPGNSMKWDATEPSQNSFSFEKGDAIANLAEANGQKLR CHNLVWYQQLPSWVSGGSWTNETLTEALKNHITKVVSHYKGRCYAWDVVNEALNDDGS YRENVFYQHIGEAYIPIAFEAAAAADPDVKLYYNDYSIEYGGDKANAAGNIVKLVQSY GAKIDGVGLQGHFTVGQTPSKKDLASNLKSYTALDVEVAYTEMDVRMEMPSSSDKLSQ QSTDYASLVGACAETEGCVGITIWDWTDKYSWVPDTFSGYGDACPWDEKYEKKQAYDG ILNALGGSSSARKSRKMRRNLA ACHE_10114S MDPNATTTSAPTAANTGYPVLQSHRQQQTQHQQQHYGQQFPFHP GAFPQTKTPSQQHSFGAVPFSQPGGPGGAIMPAAGGFPQHSSAGPHANFTAPFVQPPV PSSMNHFLPPQVSSSTPNPPSTVAQTFSQNMASMSANNMISAQQQRPFQQQPQQPQPQ QQPQHPQQPQQPQQPQQQTQQAQPQPQPQQQQQQQQSAAPFQGTPQQTATQSGPAAAR EKARVAVLLELNSMLLQEVVNLQVAGKAGAPPQQQGSQESNPSPGSDQGASPAQKPSP EYIECMRRLQANLAYLATIADRAKKSGGVPPPAPAIMTPPPNMPSMVEVYNKLNELFP RPNKGGIGTPQPSPQGLPGNGKPSPSPAAESVV ACHE_10115S MSYALHSSCKKSLSVATVPQSSHHRHHHLRPLRHFNNSPRLFSL SSSGSSRYSSHSSTFPTNITQSWASPRSPRRPLTKKKILQSTGYNSSVSSYTLTTASP ARYSSALAYPPRRPSALSLTAPPNRPLPRPTQHQAMNGADDFSAKRKRSSAAAPTSAD ADTRPAKHLKPELSTSTDGAPPNGTICDYETEEDASRLHAIGSTSADSPEWQATIENV VKSVVSIHFCQTCSFDTELSMSSQATGFVVDAERGYILTNRHVVCSGPFWGYVIFDNH EECDVRPVYRDPVHDFGFLQFDPKKIRYMKLTELKLQPAAARVGSEIRVVGNDAGEKL SILSGVISRLDRNAPEYGEGYSDFNTNYIQAAAAASGGSSGSPVVNIDGHAIALQAGG RADGAATDYFLPLDRPRRALECIQRGAFVTRGTIQTQWILKPFDECRRLGLTPEWEAA VRRTTPSETSMLVAEIILPEGPGDGKLQEGDVLLQVNGSLLTQFIRLDDILDSSVGGS VKLLVQRGGQNVEVECGVGDLHAITPDRFVSVAGGTFHDLSYQQARLYAIATRGVYVC EAAGSFKLENTLSGWLIDSIDKQPTRNLDEFVEVMRNIPDRSRVVVSYRHIRDLHTRG TSIVYIDRHWHPKMRLAVRNDDTGLWDFSDLADPIPAPAPVPRKADFIQLDGVKQPAA AEIVRSFVRVTCTMPLKIDGYPQSKKTGFGLVLDAERGLVLVSRAIVPYDLCDINITV ADSVIVAAKVIFLHPLQNYAIIQYDSKLVQAPVKSAKLSTECIKQGQETIFVGFNQNF RIVVSKTTVTDITTVSIPANASAPRYRAINLDAITVDTGLSGQCTNGVLIGEDGVIQA LWLNYLGERTASSHKDVEYHLGFATPSLLPVTNKITQGIIPKLRILNMESYVVHMSQA RIMGVSEEWIQRVTETNRSRHQLFMVRKVDVPPPSFNPPPGTEPLQEGDIILTLDGQL ITRVSELDIMYDKEILDALIVRNGQELRIQVPTVPTEDLETDRAVVFCGAVLQKPHHA VRQQISKLHSEVYVSARSRGSPSYQYGLAPTNFVTAVNGISTPNLDTFVKEVSKIPDN TYFRLRAVTFDNVPWVVTMKKNDHYFPMSEYIKDPSTPSGWRTVSHDKHKHKDGIAPD SSNLNPDAMDEGLEDVSDIEPDE ACHE_10116A MARVLLTGGTGFIGAHILNELLARGHTVLAAVRSQLKGQQLLCR YTPDVAKRISTIIVEDITKEGAFDQALQAAPLDVVIHCASPFYYRPNNPEEDMLRPAI RGTVGILESVKKRAPSVKRVIITSSFAAIINFNSHPPVYSEKVWNPVTYEQALNPALS YPGSKKLAEEAAWAFMRDEQPSFALTTICPPLVYGPVANRLDSLDNINTSQLPHPGYH PRQAIDIPEAANERFLVTAGHYDNKVIVEIIREEFPQLKERLPSRDLAGDLPTDVYKF DNSKSVNLLGVNYQSLRVSVRDTVATLLEQGNV ACHE_10117S MAAQKAVVITAPNQATVVSNRSLPKLRHDCILVKTVSVALNPTD WKSIEHRSATGVLVGCDYAGIVEEVGKGVNKTFKPGDRVCGFVHGCNAVQPEDGAFAE YIVAIGDLQLRIPDHVSFQEATTLGLGAVTVGQGLYQSLKMGFPTPTPTPRPNETTPI LIYGGSTATGTLAIQLAKLSGYKVLTTCSPHNFDLVRGLGADAVFNYHDPDSATAIKE HTQNRLKLVFDTVSTESSAEYCERVLSTEGGDYSTLLPITIERENVNSRLTVAYTAFG KGFKFGDRDIPASSVDREFADNFYATVERLLGEGKLRAHPPRVCLGGLNGVLEGLRLM KEGKISGEKLVYNVAETL ACHE_10118A MQPFKLNLPNGAQLTGIHNIPPCSSSLLKYRPLIVGLHGSTYDC QYFDANASHSASITSSALGIPFVAIDRPGFGGTTSLPPTPAISSFFQETGSWLHHYTL PALWTEFGVPNECNCIALLCHSLGAMGGIVAAALHSQDTKQNRTSLYPLGGIIVSGIG EQLLPEMKENPVPYDLHHLPTHVTFPLEMKDSLMFRPGTADPGILTKSEQLNSPTPFG EIDSLRTGWVGRWQKEWACFVVAPVMFGIAEHDCFFESGQAHLRECIGAFENSGRVDG SVIKGAPHCMELSYWSQGWYARCFGFAVECAVSLEADKGS ACHE_10119S MAFHTWNQNTVRQIHNAVTPSNDQPNPRVPLSSKHDLDTAVDAA RRAFTTVDERKCRIRPFLCDLEINKDALAELLSLEQGKPLSQAKTEIQRTKDLVRNMI EVDIPARN ACHE_10120S MHPFSSVVSTIYTMSDPDVYVPSKTEKGPLHARDVEQARMPCFL GLDYPEDNGQFPETCPLCFDDMTHDSIFRRLPCNHLIHKQCIDKWLCTKDGSCPFCRE TFYHLRRPIVVRKSLPEPIDDEDKDELEIGRAAFVLWWKKMLCLKECP ACHE_10121S MQEFDPTSIFIATFPHMAEQGDRTEKEFEWHSVGCGDSLNCKVQ NASHQAIVTYINGQQDIRIPHLQVLKEMEPVGMSQWMNMESLNE ACHE_10122S MPTYEAPTISDHEESALSAEPETTDSQETPSTMSQSNDSNSVHQ RPRQILPDPEVFKGDIASYQNFKHLLKAKLHVDRKALGGPYECLWYAYGRLSGNAASH ILPWMIANADSPTMVNDDTVTKLFEHLDFNYMDKELQRKAMYNLSTLKQGNKTINELL ATFDRYLMEAGQQNQPDNMKIFCEYCVQLQGIYDRHQKYQQRSAEHRRPPNRRTTTPM FPPPATSPTATSTQGDPMDWEPTISRARNPQRKRARWVSGKEIERRKQEGCCFRCGSA GHQISQCPFLPAQRPTPRVAEFTAEDVTDAVLDDTQATPVPDVPSGKA ACHE_10123A MDPLSGAASVIAVVQLAAAITQICGTYLNKVKDAKRDIQRFQKE VIALSCVLQSLHELLHGPNSAKITAAEDLVNNITTCSSTLTKLKEKIEPETMQRRMRR WGLRAFKWPLNRSEVDDAISEIERYKTMFNLSLLVDQMTSTNRIDQKIGLGRLQIAKG AAFDSYDNQHAECLLGTRVDLLQEVEDWAKSPDGKCIFWLNGMAGTGKSTISRTAAGC LKQQHLLGASFFFKRGEEDRGTAKKFFPTLVEQLVTSIPHMLPKVQEAIEDDPHISDK VLREQFEKLLLEPLLRIEQSEGMKTRVIVIDALDECDSEDDMRVILRLLPRVQKSTSM RLRFLLTSRPELPIRLGFTEITDTHHELALHEIPTPVIEHDISLYFEDQFFHLRQDRS FPPDWPGDTTVKILIERAIPLFIAAATLCRFIGDPKWNPKKRLEAILTDQSVYVSKMD STYIPVLKQLLTGQDEEESKQILEDFKEIVGAVVNLATPLSINALSQLLDRGRDDLKL TIRRLESLIQGDKYSEISGFLYDARRFVLKNRQIADSAPLQLYSSGLIFAPKGSTIRK QFHSELAAWDRLPQVEENWSAELLTLEGHSKWVQSVAFSTDGLLVSSSHDNGIKLWDP STGDLRQTLKGHSESVQSVAFSPDGQLLASGSNDKTIRLWDASTGDLRQTLEGHSSSI RSVAFSPNGQLLASGSDDTTVRLWDPSMGNLCWILDGHLDTVLSVAFSPDGQLLASGS NDGTLRLWDPCTSDLRQTLKDDFGSVLSVAFSPDGQLLASGSDDMTVRLWDSSTGDLL QILAGHSNWDQSVVFSPDGQLLGYGSTCRGISFWDFGTGEQHNIPEVNPESVLSVTFS PDGQLLASGSDDKTIRLWDLSTGDLRQTLEGHSSSVWSVAFSPNGQLLASSSDDTTVR LWDPTTADLHQTLEVNSESVLSVIFSPDGQLLASGSDDTTVRLWDPSTGDLRQTLKGH SESVQSVAFSPDGQLLASGSDDTTVRLWDLSTGDLRQTLKGHSSSIQSVAFSPNGELL ASGSGDKTIRLWDPNKGDLCQVLEGPSWVWSVAFSSDGQLLASGFDDKIIRLWDLSTG DLRQTLKGHFEPVWSESNIQLSILESQWICFRGRRVLWLPPHYRPTCLAFRAGMVGLG HSSGRVSFISHFA ACHE_10125A MAQELATFKREEQESSNELREEIAAIVRDVKVLKSESPYFQRNK HQPDRRLPGEVDDQRSQSRGDNNIVENGEWLLV ACHE_10124S MVHLAQIKKNQPSDQDAAGRLANIQLDTPVHDGGVRDGDNYASS VYGSRFAIQQMPGLEMPEREMPRDVAYRLIKDELSLDGNPMLNLASFVTTYMEDEVEK LMSESFSKNFIDYEEYPQSAEIQNRCVNMIARMFNAPTTSENEHPMGTSTIGSSEAIM LGTLAMKKAWQNKRKAEGKDTSKPNIVMNSAVQVCWEKAARYFDIEERYVYCTEERYV IDPQQAVDLVDENTIGICAIMGTTYTGEYEDVKGINDLLIERGLDTPIHVDAASGGFV APFVKPDLVWDFRLERVVSINVSGHKYGLVYPGVGWVIWRSPEYLPQELIFNINYLGA EQASFTLNFSKGASQVIGQYYQMIRLGKHGYRSIMLNITRIADYLAAQLEEQGFRIIS QRSGNGLPVVAFRLPADRSGLYDEFALAHQLRERGWIVPAYTMAPNSNQLKLMRVVVR EDFTMGRCQALLTDIQLGMKTLQEMDEKMVDKYTSYIRTNSHKSQHNHPDYKGEKHSL QGQTGKTHGVC ACHE_10126S MPFFKRKKHQPEASALTIHSAEQLSTVSFQQNTRSGSSNPDFYR FSDSRSRDLRTDRQQPLHRPSQSHSYSQAQALQEPIPEPATIRRTQTAHLLQDPEPVR PSTISPVSTIQMLTRQRNADHDDRRKLQKHQTTKKPPSTASSPPSSTAKDIPSFLGRT LSKSHRSRPATITTAPRGARRSAPKDDVSLNSQSIPEISTTATDSQQLAAAAREGDTV SIQHRTSTSTADSDKLQVQASKHGSNQTQTQPQSPHQSIRPPSQLSLATSLISAHSDT ASLQAMAADRAGPAGQSNQTGRQSPYLADSKNNSSTSQDQGRSTPGPAQGRREEEGDM RSLVQKHEELLAKYSKVKRYYFEKDAQVQHLQNTVAHQRMAVSRTVLDDNEYANRFGR LDGAIKDLAFSIRKDWKSLPHWLIGYVNDDAHTVGMKEMTAIGRAFISRWLVEEIFHR HFHPSLESTFSQQLKSIENNLRQQHGSKSVITEEDKENAIAKISNWRRTTFDGLGDAQ LHGRTADENRAKLVDHLVTKLVASLEMHLNTPPPVGMDNGARLIVENAVGVAEKIPLE SRDICVEYPLPGSILDDRQMKPETGVPPLTNVKPENVRRPDLESTDPAGQGQSTDTDP TASTDPDSPSSQSPSPTSSPAPGTAGPEKKKTSMLGSLMGKRNTPAAPATQAKESKDD NNNNNNNNNNNGAVVGPTRIRFASFVSAEVRGKGPVNVLAKAPVYALE ACHE_10127A MKMRLPLPLPTPIPTPALKKNLYITIASLILLTFVLIIARIADK GTPSTRTNTWGIAVCIKAALFLLYQTLTTYHHKLRKWASLKANMTLDIIDTVFWFVLF VISIMGAVGGRSASSQALGAVVAGLALVLCGIVGFLSVVCVREYSVWKRGGNANVDVE AGVEGDYKAGDTV ACHE_10128S MTDTKTASPNVRNEDPASPADTTNHSHGVDMELYRATVPLWKRV WQHSLTQMMILSVQSFCGPAMSDAITGLGGGGLATPQVSNIANAIRYAMLALVCFFGG PIVNKLGVKWALVIGSMSFPIEGSAYYCNSKFGNQWYLILSGAIAGIGTGCWYVAEAG AIMTLAPTGARGKYLALWIVARNLGQLVGGAINLSKNHVKGASASVTPDTYIAFVIIE CIAFPFALMITPFERVVRDDGTQIVMAETLTTKKEVRRIAKTITSKLIVLSALWALWS FFYSGSWSTYLGTYFSVRARALSSLVSPFFCIIGCFGLGFILDMKYLGQRRRAQVGLY TVVILNIGVYIWSIIMQTRFKRHDPGKIDWDDGLYPSSFLPYFFVQTTGPLSQSYMYW LLSSFATDAQENVRNGAAFRCIEAIGQAIAYGMNTQTESDPLTGFCVTFALLGAAILP MIMLVNTTPERIPADVIAEQQNATSRKGDEA ACHE_10129A MDRRLKIGVVGLGRMGKRHVHTLLYRTPRAQVVAVCSTTPHEIA WAKENEEYKEFGIEAYGDYNEMLSHPGLQAVWISTSTDVHASQSLAAIEKGVHVLCEK PLSTDLEEAQSVVDAAKSKPSLKVMAGFSRRFDASYRDTSDKIFSQQAIGSPFMVRSN TCDLRDDSGFFVKYASRNGGIFVDCAIHDIDLSLWFLGNPIPKACWAAGTLQHHPELK GLSDVDNAVGIVEFWGGKIAYFYCSRTQAHGHDVCTEITGTDGKVMVNVVPRKNNVVL ADKLGMRHEVQPEYWERFEDAFAREANEFVDAVVNDGPVPVALETGIKVMKIGRGLQQ ALLTGEVVRFDERGEQVQASRL ACHE_10130S MDQKVRVAAVQAEPVWNDLEGGVQKTISIIEEAATNGAQVLGFP EVFIPGYPWSMYAKSPFDNSGFMLEYMQNSMSRDSPQMARICAAVKRASLFVVLGYSE RDKCSMYISQAFISPSGEIIHNRRKIKPTHIERAYWGTGEGDSLQTVIPTPLGKIGAL NCWEHSQPLLRYYEYSQDVDIHVASWPAMWDALGSIKQKGSYQASGTMSRTLSQTMAF EGACCVLVCSQIMTGSNAEMNGVDGWGYPMFPGGGFSQIFGFDGEPLCEVLGAGEEGI LYADVDLRGKLKAKQFLDVVGHYSRPDLLSLRADTRASRPVHYANEP ACHE_10131S MSRPRKTTTTTKTDPKKPNPVPTPTPAPVPVAYSDAYFTKMRAF VMTPESLKIEGYKLENLGKDEILGFRRCRDCHARIRTRKSVKKDEKKKAEDGDGDGEG GGGEGNEKRDRRRGKSRKESGEKDKGENAMQEIDGSTVTDEKTDDAENDEDNEDRKDG GVRVTVTVREVTDETGKGEKSEAAGDKQGKEKGGKKRKTAVCQFHTGRVMDKHFTCCQ EHVSTPGCVEMDRHTPVEYAKGELETEWMLYATPTKPMKWWDPRMAVALDCEMGVSIY GEPELVRISLVDFFTGEILIDSLVYPRVRLQHLNTRYSGVTRGMLETARKRKQCIMGG RDAARALVWRYVGRETIVVMHGGQSDMMALRWIHDRVIDTFVVEGWRRSAAAAAAAEA KKSEAEPEKKEGTPGGRSLKHLTETILGAQIQQGRGGHDSVEDAMACRWLADWYVRTG LG ACHE_10132S MAAKKPNILYIMADQMAAPLLAFHDKNSPIKTPNLNRLAEEGVT FDSAYCNSPLCAPSRFVMVTGQLPSKIGAYDNAADLPADTPTYAHYLRREGYHTALAG KMHFCGPDQLHGYEQRLTSDIYPGDYGWSVNWDEPKIRPDWYHNMSSVMEAGPVVRTN QLDFDEEVIYKSQQYLYNHVRQRTDQPFCLTVSMTHPHDPYAMTKEFWDLYEDVDIPL PETPAFDQDQQDPHSQRVLQCIDLWGKEMPEERIKAARRAYYAACTYVDTNVGKLLKV LDDCGLSDDTIIVFTGDHGDMLGERGLWYKMTWFENSARVPFIVHYPKQFAPKRVSQN VSTMDLLPTFVDFVGGELVHELPLDGVSLLPHLTDDHSGPKTDTVLGEYMGEGTQSPV VMIRRGRWKFVYSLIDPPMLFDLESDPHERVNLVAGMTEPSVTPKPAKPTQSAAAALP TPDETPRVSPIPQRNTSSFPFPSPPRTPSPAKLPGPLPDTTDPARLLAYFSEETHARW DLAKIKDDVLRSQRRRRLVYSALIKGTPSIWDYEPRVDPSTTYIRNQGKGALDDVELI SRWPRVLQQAANAMGAAV ACHE_10133S MTIRSSPTSNEIKTTIDSALDILTPALRTLNHHIYSNPETAYNE HHAHDSICTFLESLPTTHPTLFPDITITRHAYSLPTSFEAIAGTGTGGRMVNFNAEYD ALPGIGHACGHNLITTSSVAGFLGLAALLKKFGGEGRVQLLGTPAEENGGGKARLVEE GAYEGVAGSLMAHPGPKELYPGIISDGIAGPLMNARKELHVTFTGVTAHAGGNPWDGV NALDALVSAYNNVSVLRQQIKPDERIHCAFLETPEAANVVPGRTRAYWQVRSPSLKGL NALLGRVRGCIEAGGLATGCEVEIVEKELYADIKSNSPLCALYKTHMESYNHTVLHSH PSNQVLTGSSDIGNVSYIVPTLHAMFAIPAEEGVFMHHPRFAEAAGTEGAFGEAVTVG RVLGLVGWAVLRDGGFYEGVKGAWEEAVRE ACHE_10134A MADNPGPSSPPNIPSPSTNIPPGPDSQIPAELKEQNRGQQAYGP ANLHPTQSSRHGALVSQVPAPQYMKLANPGPLGLLSFALTTFALGLYECGAGLPHSNP QGNVGPNQAIFGLAVFFGGTAQLIAGILEFRVGNTFGSTVHCSYGAFWLSYAMFLLPY LGIEAAYKGDHRAYTFALGIFLILWCFLTLLFLIAALRTNAAILLVFFFLTLAFFFLS LANFLATEHPTASLRTNKAGGAFTVICAFFAFYASASGLMLPETTFVRFPLGEIPVG ACHE_10135S MPSSKELHATTSPFEDKFGYYRAVRHGSSIFVSGTTAVDPYSPP SAPQIFYPGDARQQTRVARNECIKAVQALGGQGAESVVRVRMFVAHHEDCGPVGEGFR EVLGKDSGEQVGTAATMVVVGGFVDEGMLVEVEVDAVAE ACHE_10136A MALKCFLRPWQWTLWLLLASYCRAFYIPGYSINRYNDGDSIPLL VNKIFSDFTQLQYAYFDLPFVCPPSGRTHGGSPFGSGQSISLNLGEILRGDRIMTSDF ELAMGRNVECQPLCTQEVGRKDVQWGRELIRDGYVAEWIADNLPGATSFVTVDRSRKY YSTGFKLGYQEISPEDGKRRYFLNNHVTIVIRWRQASDGQGKVIVGFEVYPKSITASD HEESGCPKQVHDPHAGFELNIPPNLSKLKEKYPGSSYLPEYDEDLEDGATLKIPYTYS VYFREENGVDWNNRWELYFNNQEEGSTTHWLAILNSLTISGVLGVAVYVIWSRTIQGD IKGRGDGAMDDTKIKLGSSKGKIQGEGLLEQGTDVERDADAGSDDDESIEDVSGWKLL HGDVFRVPEYSGLLAPLVGSGMQLMFVVSGLLLLSCLGILNPSFRGGFVSVGMGLFIF AGLFSGYFSGRLYKTFGGSNWRRNTLITALLFPGLTFSLVFILNLFTWAQASSTAIPF GTLVSLVALWLLIQVPLVYLGNWLGYVRASPWEHPTKTTSIARQVPIQPWYLRSVSGT LLTGLIPFAVLFIELLFLFRNLWQDKSGYYYVFGFLSSVSLILLVTIAEVTIIATYSQ LCAENHHWWWQSFLTGGSSAFWIFAYCIWYYLFKLNVTGFVSGLLFFSYSFLACAVYG LLTGTVGFLTAYAFVKRIYSSVKVD ACHE_10137A MADDSATADETPVTFHIKAAEAKFTLTLPLSTQVSQLKEKLSTS EYADTPADRQRLIYSGRVLKDNETLATYKIKEGHTVHMVKSAASNQRQNPPAPSASTS APTDAAAASTAAGVPTNLAAGTGNNPLAGLTGARYAGFAQLPGAGMFGPDGGMGPPPD EDSMLNMLENPQVQSSLNEALQNPAMIDMMIQQNPMLRDMGPAARQMMQSPEFRRMLT DPGSIRQMMQMQRSLGLGRPGGGGGAFPAPGVTNTTPEENRGPESTQNNDGAAPPPAF NPFMPAGLGAGNPFAALFGTNPNMGANPSAGATTAGGDQPASAQRATGSASAADTTTG EGQNQQNAQNPFSFLMNPAMFGGPQGQGGVNPFNPQQNPFLRDPAMMSQMMQAMGGQG GAGGPDLNSLAALLGGGGLGAAAAPPDNRPPEERYEQQLHQLNEMGFFEFERNVEALR RAGGSVQGAVEYLLSNPS ACHE_10138S MATTSHMFMYSLTIQPPTAITQAILGQFAGTKEQQIVTASGSKL TIHRPDPTQGKITPLYTQDVFGIIRTLAAFRLAGSSKDYIIIGSDSGRITIIEYVPSQ NRFSRIHLETFGKSGVRRVVPGQYLVADPKGRACMIASVEKNKLVYVLNRNSQAELTI SSPLEAHKPQTLVFAMVALDVGYENPVFAALEMDYGESDQDPTGQAYEEAEKSLVYYE LDLGLNHVVRKWSDPVDRTANMLFQVPGGADGPSGVLVCGEDNITYRHSNQDAFRVPI PRRSGPTENPERKRTITAGVMHKMRGAFFFLLQSEDGDLFKVTIDMVEDDNGQLTGEV KRLKIKYFDTVPLASHLLILKSGFLYVASESGNHQFYQFEKLGDDDEEMEFTSDSFPA DPTIPYEPVYFNLRGAENLNLVDSINSLNPLIDSKVINMFEDDAPQIYAVCGTGGHSS FRTLKHGLEVSEIVESELPSVPSAVWTTKLTRTDEFDAYIILSFANGTLVLSIGETVE EVTDTGFLSTAPTLAVQQLGEDSLIQIHPRGIRHILADRRVNEWPAPQHRSIVAAATN ERQVAVALSSGEIVYFEMDVDGTLAEYDERRQMSGTVTALSLGEVPEGRVRSSFLAVG CDDSTVRILSLDPDSTLENKSVQALTSAPSALNIMSMADSSSGGTTLYLHIGLYSGVY LRTVLDEVTGELSDTRTRFLGVKPVKLFRVSVKGQTAVLALSSRPWLGYSEIQTKGFM LTPLDYVGLEWGWNFSSEQCVEGMVGIQGQNLRIFSIERLDNNMLQESIPLTYTPRRF LKHPEQPLFYVIESDNNTLAAATKQRLVEDAQSRDADVSVPPPEDFGHPRATGHWASC VQVVDPVVTKSVISSIDLEDNEAAVSIAAVPFTSQDDETFLVVGTAKDMSVSPPSSSG GYIHIYRFQEDGRELEFIHKTKVEEPPLALLSFQGRLAAGIGPVLRIYDLGMKQLLRK CQAPVVPKMIVDLQTQGSRIVVSDIRESVTYVVYKYQENVLIPFVDDSVPRWTTATTM VDYETTAGGDKFGNIWLVRCPRKTSDESDEDGSGAHLLHERGYLHGTANRLELMVHVY SQDIPTSLQRSQLVAGGRDILVWTGFQGTIGMLVPFISREDVDFFQSLEMQLAAQDAP LAGRDHLIYRSYYAPAKGVIDGDLCERYFLLPNDMKMMIAAELDRSVREIERKISDMR TRVAY ACHE_10139S MVNLDLRRRPKIQIALKNQQPNLVNTYTTGDRIDGAATITVDHD IPFDNLDITFEGTSRTTVERASVPGQSGAHQTFLKLRQPIDHYPSPRILQPGHTYVFP FTFVVPDRLLPQACNHPKDHGHLEHAHTLLPPTLGDTMVTLRNGKSALVDDLCPEMCR VAYFIRTAVLKKDGSGKRKVLGSGTQKVRITPVVEEQPPLNIPDHEVDGYCVRKEKDL RRGWTRAKRGRLVVSTAQPKPLVSNHGGEESVNSVATLDLRFEPVTEDEAPPQLGTVW TKVTASTFYGAQPWRDFPAGIRASSWAQLGRGVYIESVPLSTRCVASASWTKHSRRDS FSSSSSTSSASTASTSASSTTGSYYTASVIIPITPPTSKTLVPTFHSCLLSRTYSLDL SISYHAPHTSRLLGSAVSLKVPIQVTCSPQNIPAKNAAEVVNVSEMDVDVEAFFTPRD VTGLAVAPPAYGDYRPRVVGAGTEESQRRSVCV ACHE_10140A MTGLQARAGGASITPPLPQAAGYVVVVVLGLVVAVVMMYVTKIL KNTTGEDNKKTEMFMTANRTVRTGLTASAVISSWLWTTAILGSCFTGYDYGVSGPFWF AAGCSPMIVFFALLGISCKRKIPEAHTSLELVRIRYGKIAHAVFMILCLVNNIFASAN MLLGAAAVIAAVSGMHIIAATFLIPVGVTVYTFVGGIKATFLTDYFHTVIILAICCYF SVKAFTNDQVGSVGDLFDLIQKAGQAHPVSGNSQGSYLTMTSKSGILFGILHTCSNFG LVIMDTSYFIKSFSATPRAVVPGYAIGGILYFAIPWGLGTVMSSLAIGLESHPSFPTY PRRMTSSEVSGGLVLPYAAIAIAGKGGATAVLLMTFMAVTSTLSAQVIAVSSILSFDV YRLYFKKGASDRDIIRASHLGVIFFAAFAAGFSTMLHYVGIDLGWTLYMLGVVTCPGV FPMVFTVLWKHQSKAAAILSPILGIATGIAVWLGTAHHFYGSVSVSATGGLLPCVYGT VASAFSPILYSVLITLVKPQNYDWSNFRQEKLALEKVESDLTNVHHGENDSPSVAREQ ENRVEVEQHRNEEDGAQPAPQASTAKELKRWTRIAAFWSAATFLGHWVIWPLPMYGSK YVFEKKFYYAWVIVAIIWLWITMLVTTFYPILDGGWQQIREVYNGWKNNKRAAIDGTV PASPPSPEEVEVEGKETK ACHE_10141S MTANETPANGRKSLILNAFVEMCSGHQSPGLWQHPEDESWRFND VDHWVELAKLLESAKFHGIFIADVLGGYDVYKGPRNLEPAIVSGAQWPVNEPLAVVPA MAAATQNIGFGVTVTTTYEPPYHLARRLSTVDHLTKGRVGWNVVTGYLDSAARNMGYA HQPNHDDRYAVAEEYIKVAYKLWESSWRSDAVVLDRQRGIYAEPSRIREINHVGKYFE VPGPHLCQPSPQRTPLILQAGTSKAGKTFAAQHAEAIFVGGHSPSVVKKNIAEIREMA KTQFGRDPASIKFLALLCPILGKTEEEAKEKFAYYRSLGSIDGALALFGGWTGIDLDT YGDNQELRTVESNAIRSAVEGWSKATPEVPKWTKKTVGEHITVGGLGATAVGTPQQVA DQMEKWVDEADVDGFNIAYAVKPGTFKDVIDLLIPELRRRGLFHEDYAVPKGTYRENV YRKKGQSGPPADHPASKYRWDAGVEEHKIPE ACHE_10142A MASSLAAQLSQIAAKSTNQLNLKAQRIAHSQSLIFDRKVAGSQD FDTVFEICYEGFQELCQLDSRYTAFERTIFSEQSKAEDRTEMTAAQNQELDTVIEAFL ALVGGRLLLSPAVKAMDWLIRRFRVHEYNTGAVILTFLPYHTTPLFLNLLSILPETLP PTFKVLNPYKRGMINPPRHPLVHSATTNRAFLTDLSNYVLQTSRIRAHHHALLSFWAG IMTEAVAGMLDAARSGRRGVEKEKHEDIILRVLPVLNDAFTMKDVSELVIGCYMVTVV LAQKAALQDEVLDTLMEAVVGSWTKETMNSGLVCVAVLAQQKSNTTIPKKVFKEILRL DKPVKELAEIRTQYPSSNLLLGVVAKCVEDLTKQKDSARLDMLSVIFQNQLLEESDMS KGMSMVLHAASNAHKDGAMPLDIQTRLSDIVQVFGQSESLQPIFQRAIAESNLDKTAL EHNLQTVIESAPEPKAIEDVEMGDAEEEQDNFTPALESLSKESSDGASFLSAQSVPLF DALVQTFALAAGSPEKLDSFTNLPVLDRSNATKSPRFLSFFARVFTGPYPIGTKVAAL STVSSVLESASDLDWQALLPFLLIALADPSERIRRDTAGVLAIAGGASKKGKKDDGKI WAQDSIYGQNKSKSIQWIAGRDMQKIFERAFLPGLEEYVLDPGHVSKVFESTLRSTGK ESGASDLKKPVRLSLFTFLCSHAVNVPLFAPKVALLKLLNNIDRAGGTTRTKELQPVL EMWRDLNETDAKRICEKERLAVSEVEEQIILTVTPKEKDAVTLLLSYVTTGSESPRTS LVVAIFNRMKDIWSRIPEDRQFEAAEKLFEISLGLSAAEKSLASYCRDVLRSVGLSGG ILLNFLHQIPVSLTDVESLGPAPKRRRTSQSNMVAMTVKDEAGLSKLMDKMTFILELV DSSTPEAHPELADGLFQTIAALLQFKSQIQSGMSYLLSLALGSLLAIVNRSRQSGKPQ FDTSVIRADLVVDCVRTTESPQVQNAALLLVAGLSSIAPELVLHSVMPIFTFMGSSVL RKDDEYSVSVIDQTIDQVVPALIQSLRNQKRDVVSGTSELLLSFTAAFEHIPSHRRLR LFHALITKLGTQDFLFAVLAMLANRYAADKDVLTLMTGLVSDATPTVELTTYSKYLNL VTDSLSSKPSISQVLLGIGGDDGREPPKVAVDLLRDLSYLFKHSSLKVKMAKTFASEE DNAPAQIRGLFSRILEQTLSIGDSAQNMKPVSQAGGDVLGALFGTLSLIDFLDTIQVL LERPSDDLRRKVLQLLEGRLRQNPERDGASQTRMLDFLPTLVRIIESSPDTLLKHAAV SCVDRITDKYGRKDPSKVIDAARVVASGACIGQEDGRIRIMGVLCLASMAEVLGEAMI PALPETLTRSLALLESSLEEGKENLRLHDAVFSLFSALFVHLPFMISASHLDKVFLLS FKSAEAGIEESSDESRQEALRLMARKVDVAATFGAIDRNWSQAVAAGPDATRETLESL SLAIEKHPKSAIMKNLPVLSNVLFKAFDLRREQLTFAGKTSFDLSDLDEIEELLNDLT IKMIYKLNDTTFRPVFTKLLEWATAGLSKKDAQGNLARLTTFYKFLQVFFGTLQSIVT GYASYIIENIITVLQTAKPTDKNTKPLWLATMRMLQHAFEHDQDEFWQSPSHLNQIIS PLISQLSHATTPSTATVIATEAIPAITELATAADSTDNHKEINTILMQYLRPSSGLNA KKKAGGENPHTRVAALKAEQALTEALGEEWLALLPEMLPYISELMEDEDEGVEREVRK WVKQIEGVLGEKLDDMLT ACHE_10143S MDFGQEKKPIPRFASFKFPQAPPPEADRSSERHNRESARRTERS RHHSSRHRSHLERSRSRERRREQREHRHSHRRDGHRGDVASEPQTDSQPVVKENKDED TDLFVIDRKGDRYNLVYGTIHRYNVPHYRRFGRGGVLGLPRNHRIDRDTSEGSALVIR TTAEFADSGKLKSKNILAGLRKQKGKLLRVRPQPISESTADEQDYLSLNISSHRGDRD HLREVESDDEKFAYRSIHGKAKPEDHIPSEVEAVSDTDSDGEGFRVDLNDEIKQTNAE LTRKTQENPTDVGAWLRLIDHQSAVLSGAEESRPLTYAERIGLADIKMSLYEKGLKQI GQNSGRERLLLGLLEEGAKLWDTKELLQRWQTTLKANSQFISLWVRYLDFRQTQFLDF TYERCLATFIECLKLNKSSPDGPEKVYVQNYLFLRMTLFMRESGFAEHAVALWQAILE LTFFQPEGSNVHTDREKVLSEFMEFWESEVTRIGEPGARGWKSGSSASIDPKGPSTTE SVSQKSVFKSWAVSERERIGKARLPARSLDELDDDDPYRVIISSDLWEILSIFWDPTT PHIAEVLLDSFLYFCHLPPFTSPSNVQTTKRWVGDNFLRNEFMSGVESRLEDWFPRET NTDSSTAAPFSFPHTNFIQTIDTLFGNQQTWFSSFDSWVKAAVNTQSDIDPDWVRRAL RLLVEINPAKDDLAEYALGLEFACNNKEAKKFAKSLLKKRSSNLRLYNAYAVMECRSG NTSAAEHVWATTLSMSKSFSDQDRVDNGLLWRTWIWECLEAHDNARASHLLLALPQQG VHLKSLAEVPLLTFGATNLLKMHNFLSDAQEHALAARKANVYVAYTDCLTILSYLTHS LDLEKSLEPYNVAFIRLSTLPANDSSFKSFTLELLHQSRAKLLYHHIRQGNAYKPSHI RSLLTESIMLHPHNTIFLSLFAWNESRNRIEERVRGVIRDITTIATTQATKHDNILNT QVPITSHLFSIYTELNRPVYAGSTLHSVRAAFEKAIADPTSDSSNPDRPTNTSNTGAH SNLTLWKLYILFELSRDNINRAKAIFYRALRACPWSKELVMLAFTHLRADVVKHHRTS MGGKAKVKEEGMGFEELRRVYNVLVEKELRVHVDIEGVLDEIVARKEEGVVNLPIDMP EDATSDEEMQV ACHE_10144A MAVTTEQPFWGTHFTSAKLLFYSLFWSVHIALLPAGWYIQASDS RLSALNTLRYSVWISRGSALVLSLDATLIMLPMCRNILKHIRPRIRWMPLDESIWFHR QVAYAMLLFTVIHVAAHYVNFFHVEKHQIRPETAVEIHFTQAGGITGHVMLICMMLMY TTAHHKIRQQSFETFWYMHHLFVPFLLALYTHATGCFVRDTKNPVSPFAGQKFWNHCL GYEGWRWELVGGGLYILERLYREIRSRRETEITKVIRHPYDAMEIQFRKPSMNYKAGQ WLFLQVPEVSSTQWHPFTITSCPFDPYISVHIRQVGDFTRSLGDALGCGPAQAKDLEG LDPMGMYEVALQNGQSMPRLRIDGPYGAPAEDVFENEIAVLIGTGIGVTPWASILKNI WHLRSAPNPPRRLRRVEFIWVCKDTSSFEWFQALLSSLESQSATAAAHQGSAEFLRIH TYLTQHLDDDTAANIYLNSVGQGLDPLTELRSRTNFGRPDFERLFTAMRDGIVDQTYM SGLSGNGKGGGGSTDIGVYFCGPNMAAREIREAARGCSSREVKFKFWKEHF ACHE_10145S MGRLIKNHWARLIILTAAAFQIGSAVEGFIWPKILWDFMTKNLN GAVKPAPILQILNLLMGLLGLAWEWPLKFVAGTFPHRSIETRLVVYPLSAMFAALLYQ GTHPALYYLVGMGVYFWAYTEGEVCISSWFLDC ACHE_10146S MTVDAQTGHRNEKHGDNDVPVNKITSFNGRLNQYFHNTKNVVAS NGSSTVKDTLKRDSSSQEQSENDLLDNDNGSDGSSRSKRRKGPRLATATPTPEESPAR ITRSRSASLLQTQSPQTFPITRSQSTTTVATTTTTKRGRGSRTASQTSASRSLLRDTI PRNLTLLLVGVNPGILTGTTGHAYAHPSNLFWKLLHWSRITDIRHPPSDTYRLPELYN IGNTNIVERPTRDASMLSRAEMDAGVPVLEAKVADKKPEVVCLVGKSIWEAVWRVKHG RGIKKEEFKYGWQGQGENMGVCEGWGGARVFVATTTSGLAAGMSIGEKRAVWEELGSW VVRKREEGKQGRIVTGVGEEGCEFYSTD ACHE_10147A MAEGAQAPPTFKLVLVGDGGTGKTTFVKRHLTGEFEKKYIATLG VEVHPLTFTTNLGTIQFDVWDTAGQEKFGGLRDGYYINGQCGVIMFDVTSRITYKNVP NWHRDLVRVCENIPIVLTGNKVDVKERKVKAKTITFHRKKNLQYYDISAKSNYNFEKP FLWLARKLVGNPQLEFVAAPALAPPEVQVNPELLEQYQKEMADAAQMPLPDEDDADL ACHE_10148A MGISMEDNIHAPTVSSGDTSGGATPPKSNMFKLSVPDLTLKKEL IEHKLSTLSRVLESHGVNMDTPLTTEDGFPRNDIDIAQIWTTRAQIIHLRNDHKEVMA HIEQRVYEHFKQYEQTHFTSPPAYTTIAATAPRAILLDDATLTAGPAFAKVTSVVSGS PADQAGLEVGDTIKNFAGVNWTNHENLSKIAQVVQKNEGCVMNIKVSRKDESREGQTK DELMQIVPRPGWGGRGLLGCHIVPI ACHE_10149S MESDDNDGSQNPLVVIEDTESDANLVDAPEVQEPEPVNTTWSPS TFKKFIDYLRNKKPPRPQTRKYVEGWHDDPPTKPRDNDSRDHSFDHVLSPYHAIQDQQ WEQLSGYSSQLGTVKTNSLSAMSQSAVRSRRTTQSTVNRSSSSERRRSIERRSTDIEG LRPVRSPSIYDDVQRRAVKRRQVIQEILTSESDYVLGLKALTDILSLLETRPAVYHNV QKLREVHEHLLAQLQNVSPPKSATTDAELTNLISGGLSKHSSLRGFRSLQNRSLRTRN LKQKFNLRVKLIAADPTEARDVARVMQNLSTAAGAYVKFCNNKELLKQDISLLRESIS NWALFDQGIEALSRSVTPMESRRPKENRSMTLDDLLIKPIQRFCKYHLMLKQLSDCTP SSDCPSSDHDIRQALGIIRALGDQVNSATGDVAYEGRIRKTIELQKKLGPFQSDTLRD IYKQLGPMVMCGVLHVTYQSGDHIKGTYMVCSLFDHYILLAKYAEESRELQPVACLYV WDLKIDCLRNGKGLSCYECLFSWKLVFHHQNDHYEFVLSASTAAEERQWTSEIVKSTQ KVEPIPEPRKYSVLTLDVEPFEHSNDPLGRKSSVHSLSTSRTNHNSQHVIIERTHHPN SSEVVPPAEGEIERPRVMPPQGALTLVTRRQDRIRLEQFISDEYTRDVLPFPGMALGY QDKRHKKIKGSVHATYTKRSASLSKIVNTPTGTDDHLTNSLSKGMGDIVPQPLQDLFP YDDEKGVVLLEASPEAKLPATLKLGKVSRRTTSATRTASQGSKSYGVLGSPTWKSFGS LFHTISPRRHKRTGSSLSRSDA ACHE_10150S MTRGNEANSKVFYKGANDDFVVFVDDVAALNNWRNDRSIPLAQV VNGWKIFVTHRHGAQGLLDGASKGLLESEFGTSNEDDVMVKILERGEYQTSVNSAHQA DKNVNKGPSVIGR ACHE_10151A MAENFALANRVRHLIVQPSDAALDPPESYLFVQDGLIISCGVIY AMCYLFCMIRAYSDRTLPGKDWGSIQFLAGCMAYEFFYAFTTTTTQNEKLCFLAWFEL DIAFTSLVLRRIYGPEQRKKILRNMVFMFTAGVAGLYGLTKLYPDEREQVTAYWTGLA LQLPIGYACLYFLWRDQDTAGHSLEIWLTKYIGCYTAYGVFFWRYWNIPQNWEYVGSP LSVGIIILTLIPETIYPFVYIKIHNEKEKKEKLQ ACHE_10152S MTADPTDYSTTTFRTINNIDNSAQTAYTGDTTYGTYEDDAITDY GLRGASDPKPYSTPATATASGIRGYDPSDYSNIAVQDGGGHTAYDPVTGAYDEHYDYR AENSTKHHRERMLPDGTYQLREVDRFDDGTAKVHREYSNPNTGTNYIKDYQK ACHE_10153S MLVVLIADRTFRMIRNNMLLPPPLSRCLSSLTSLIRKLNNRRES RECDGMRKSLLSRLVDVFLRTWDLGFTAFGGPPVHFQILHARFVEGQGGKEKWVDEQT YQELFAICQGLPGPGSTKMIFCLALLHAGFIPAMLVFFIWSLPGAIGMYALSLGVQNI SETLPEAAYSLLSGLNAATVGIVALAAVQLAEKAIRDQLSRILVIFGACAGLCYNALW YFPVLMIMGGFLTALWDGWLYQQIGRAKIAWKNRHVHPQGPELTNSNPTDVESVPLEE TRVQRSETTRSRKTDPGNGGLPQTSVEATRPTQSNENPSQQHIIRIRVGLVIMGLFFA SFIGILVARDQLANPPLTMDLFANMYLAGTVIFGGGPVVIPLLRSYVVDPGWVSSRDF LIGLAIIQAFPGPNFNFAVFLGALALQNSRFPTILGAILGGLGIFFPGITLAVAIQSF WRVLRRRKYVIDFLRGVNATAVGLVFTAVYRLWEIGYLTPERSDGQSLAKEPWWVVVA AVTYSGSAWFKVPPAIAIIMGAVLGLCWFGVVGR ACHE_10154S MTEMKAKTPVELQDDRGEPSENIANRERAQLKHGKWRYVMVVAA GFLVMFTTCALIFTFGVWQSLYEEMTAQANTPFTGTSTALINLIGTLAIALMSMGGPF TMVWAKLYSPQAVIIVGGWVFGIAFILASFSKQLWHFTLTQGVLLGIGTCMAYVPTMA VAPTWFDKRRGLAMGVIISGTGVGGMVWPPVLSAIIDSIGFRNALRIAGCVSVTLVSL AGYSLGWEPDFQNQVRVQTQGISRVNGWMKIPLINWRVARTKRFVAQASGCFLQSAGY STPLFFYAAYARALGYSNTTATNFITVSNASNFVSRIIVGYGADKYGRINALAFTTLL STIAVFAFWLPSMFKESTIAGSSADGLFITFTVLYGAFASAYISLFPASLIELFGAQN YTSVNGSLYLIRGIGALIGTPLTGLLIPESKALVSSIIYERAGITVGVLLFAATVACF IVRIEAMMGSPWRWKM ACHE_10155A MQLHPCNENNPAETVNMRLQTYHHSSSTRGLSSITTLIVGVTES VLIDPPFLIQDAESVVRWVKATTTTPLKAVFVTHHHPDHFFSANPILDAFSSAKFYAA PFVLAGINREYDDKVKYWPSIFGKESVPETPRKPEAFHFTFFVLQGNPESPVMLLGPL QGDSVDHTIFWLPAERTVICGDTVYGRSTHVWVEEVETPALLEAWNKSLDVISSLNPV KVIPGHLEPGWELDAQADLAHTKKYLSLFANKVTYAPSKPKVQELYEYFQNEFNQCKE NLNFFLGHLSNQFGEGGRVWEENRHHAVDKRRIEDLNGFCF ACHE_10156S MAQFIPNVTPESLADKVILITGGANGIGASLVELCCENGAYVCF GDTAATAGDQLAQKLSASSSSKPRTLFRQTDVTDYKSILSLFDAALEIYGHIDHVVAA AGIQEIGNWFDPALTLEDVREPATTKVLDVNLRGCLYVSRIASVYLRQNRPSGTDRSI TLISSIAGFKESPGLFVYQASKHGVLGILRSLRQYLSFTPHQLRINAVCPWMTTTGMV KGIQNDWLEAGLPTNSPLDVARITAGLVVDEKLNGTSMYVEGGRGWEIEANLDRLEPQ WLGEEPSKSLAKGQAVLGSGSNWTNY ACHE_10157S MRVGTAGITDKFARRLVAHLLENDNITTIKGYCYIPAKLPEALR LSPKLKLI ACHE_10158S MYTVDGQKLLVDACDDEPSVTRYVANYWALDYTNLEPGQLFPKD PMIHVKAYLETKQNVAGLHILAGGFMEPVFSPFFNIFDPESNTFRYWGEGDEITEGIT YDDVARFIAAVVANSKASGILKFAGGCANIREIAQFFDPSRRFTECPRLSSDSVLWMF FTSICMNCGPATRRMSMDTCHCKSLIPFYDENAD ACHE_10159A MSLPIPQQLPTNEADSNAAARNSAVTRSNRVTIAQSHSSTASSP DPGRAPRRKKRPLKAELISRLKRLEDEIKGLKESTEVANRVNWCLCSWNAVRLEENGA GGQRERLIFGGGFSRYVMHDALVNVEDQIQELHDDIEDSPEQLVEGNGEETCSAFIFW IFIQR ACHE_10160S MAAVLITGATGKQGGSLIKNLVSRNSPFEILAVTRNKASGSAQR LSRLSPNIRLVEGNLNDPAGIFKNARSLTKNLIWGVFSVQVAIGNSASEEPQGKALVD ESLKQDVKFFIYSSVDRGANSFENPTKVPHFINKHNIEHYLVEKTKNTEVQWTILRPT AFYENLVPGFFGKVFMTSFDMALKGKPLQLVATSDIGYFAADAFLKPEAKQVFEANTG QSVPRTFRFLCSMFMASMKDMGYMFKWFHDEGYKANISELKKVHPGLKDFAEWLKTES ASLDVAVCEVV ACHE_10161A MKSPLHHALLGLGLSSLTLGAVVRRDVEVLRFSNPVGVTPDSLN NIHVDIVDKTFEGHVRLVYGDCGIEHAGQGHHDIGSVFIERDAHPERLVWITPSDAPH LQCLHAFSESVLVGRSSPISVESPVDKRESLADLADMEGPWFDGVAYMKSKQQDAAVV SKAKNTSVAIIGGGMSGLMTSHLLESVGIHDWHIIESSERIGGRIRTKYLNNSSPEDY TYQEMGPMRFPVSITYADMNETLQIMDHRMVFQLAGVLNKLNAKSNRTDLHVNFIPWI QSSPNVPADSGGVRLPDGRIPSAADVAANSSLVYTAASSNATAADDAEAAVDGYTDMN NRSTIRNFASNMYKMHKQAVDKGLFHWSEAGYLRYALGYDANISDYVAGTTDTPMWLY EDVYFAATEWRTIDKGLESLPRAFYPHVSDRLTLNRTIDGLVYNEASGKIAVAWRDDP LSMTPFTEEYDYAVVAAPFSKVRLWSLPQYSSLLSRAISTLNYGQSCKMALMYKTRFW EHLPKPIYGGCGTVDIAGVGNVCYPSYKMNTTGPGVILASYISGTEARSTAALTDEEH VALIQRTMVEVHGPIAAEQFTGIYDRQCWEVDRHQAGAWASPLVGQQELYLPAYYQTE YKSIFIGEHTSYTHAWIFSALDSAVRGTVQLLLDLGLVDEAKGIVEEWMGRWIKL ACHE_10162S MLSGHFLQLAQVILVVFPCFFLFGYNQVGVGGLLGFDSWTGLFP QIDTVNATGETESQKSVMQGVYVSCFTLGGAVGSLICTSIGDFLGRRKAIFIGALLTF IGELLSCTSFSFAQLLVGRIITGIGIGFTSTIVPVWQSECSPAADRGAHVVIDGIFIT SGYAISQWINYGFSYIDHHSVSWRVPMAIPCVFSLMPIGSIFFLPESPRWLVRAGHAT QAALILARIKEIDSEHLEIRHEIAGIEASLEESVQNAASVKDIFTMKDGKLFYRFMLC IGLQFWVQMTGANAISTYCTTIFQDSLGLTADLSRVLAAGMLTWKFVASFVAFFTIDR FGRRKLFLFSGAGITLCMMSIAITNRYANESHGAAIGTTFFIFLFAFFFPIGFLGPSF LYCTEVAPLRLRVAMTSISTANHWLWNFVVQMITPIAMTNIGYQYWIVYTVLCFAFPV TVFFFYPETMGQSLERLEELFQQDLSIFQTVRVANELASTTQGSDEMVGEKGEKLEQS GNESPAP ACHE_10163S MPKRQSLGRASAACTFCRQKKLKCDAVKPTCGNCTAKGIDCQQG PAQHKPRPTNERIARLESENAFLRQRLQTGDTPVSGYGAPAPGPSVEQASPQPPCSVD SPMPGPEGSIRKLANIGTRGSHIPVEPPTGDLRSNVSLYHGPTSTAYYETPSPQQRNG QNNDFYATNEWSQNLLFAQTAKQRQLEPLNLIAGRLDFDGVDPSIGMELLSIYWSRQV YTAQIIHRPVFMRDMACGGPYFSKLLLNSIFFVVSKHSLRPEVRTDPDDINTAGLIYR ERFVELLREKFDKSEITTIQALLIMSNALFSRCDERSLSWLYAGNAFNMIIDLGLHVL PSANNTSAEELEIRKRVVWGAYSIDKIQCLLQGRPSLLRKRDFNTPLKFLDEYDELEQ FDSISYTTNRHQLGIPSLNVTLLTKLCELSVIIEHLMCEVYSESTPNTQLSQKMSVCE RIKSDLSQWRKTLPPQMDYIAYSGDPGSVLPQAHCLLALCNTLVILSERPFITDSHSS SIVAHESITASTAAANQTVQILRDYAQHYSIGSAPYVLSYATYVSATIHARIVAHKGK GSVSFQSLIFCLKTLEDQTDIYTAAVKARQNLDRLMDHLGVSIAVNGSMNGNMVGDSA QLQTVQYESVSTPDKTQAVGTTFAENGFSQTSWELSNLDLDAILQGFLLDRDGQFPMY PLGTF ACHE_10164S MSGPELGQKSNNSRTNVTETPLKPPFFQRFKTHMKRWWWAYVIG FCVVVLVTVLPLVYVGVPNFANKYINDYQYNYNDLSITNPTPNSFHVHQKQNLNAGGF SGSGHLSAFNATIRASGSDIPFAVFPVQEIEFGGNSDFTIDQDLNIACVECLSRLAAD AVAKKEISVLVTGKPDLKVGGLPTAHLDVHKTMAMKGYNVEEYLDAPGSFNVTSVNLL KPPTSEGYNVNATVAFRNPSPFIVEIGSVSFNLSISGTSMGYVDIPNLYLQKDITETT VLGDIDIDVLVRKALGNGSPNDDFGDVTIDISGNKVYYKGEEIPYFSAAMQAIKAPVK VNLLDYASEFL ACHE_10165A MGIFKSDKEAKAPQVTVTSSPQSSAPGTPPIESALLSAEQNAIK LEKRPQFGRLASWRGSLILLVTSGAQFLDNVFMTSANMALSSMQEEFHESSTNLQWII SAYTLTFGGFLLLAGVLSDRYGRKTILCAGLAWLSLWTLVIGFGTSFIQLAIFRGLQG MGAAMTVPSAIGIISSYFTGLDRTRALSIYASSGTIGFCAGLLIGGFLTSSLGWRYIF YLIVIITGSLGILGAIVIPKDVPVAEKPKMDYAGSILSTAGLILLQFVLSSGGDYGWS TPFIIALLVVAVLLLVAFVVLQHYISYPIMPLSLWKLRNFAGLWIAGFTCYGSYQNVI YYIVLMAQQVDNLNAGDTALRFLPMGAIGFVASMGTGKLLEYVNGKYTLLAGLILTVV APIPSALTATNQEPDFWVNVLPASLISITAVSLIFVTTSTLILTTVPVNVKSLCGGML NTAFQIGSGVALAISAAVTDAVDISKGHSIAQQYSTGLWCSAGLAGLGLIIAFFSVRR KGIGPNDRTDADIAV ACHE_10166A MADPSNDASIEDIMEDLQLHEVILQSLDEQRPDAVEERQEILDT IKSLQSQLARRRGEPSPKPSPNNNSATPAPPASAMLSAAQLDGAYDVSPFDDSAPPPP RWGRDQSPSFTPAQRSHDLSIRKRRYTDESSDGMRPRNKRIQSDAYLPPTSSQLDQST QDDSDEYDGADDLRQLLGLDDEDTMLAFQDEQRKAEQWLKERKEQERRDEEYARMLHD GLFERPRERTQEQLRELPRPASAQSSTCTYYSGSSLPFPASPAPTPAPAQAQAPTLPA IDLTRLFHPAHESSSAFLRSVSDHQPRHLMSSFNSNKAPPTNPQLPSLKQENDDSDIQ EITPSDFWACPRGSSFARNEPPGMWTPSMGLSPMPPYKQMSQAYNSVYQPAPGPSAFE AGSGPGPMYGPNVLQNTMARLNAGRQLLDQAGRSVYGGFPGTPFKTEDAISRTLDYGF NNPTFDSLGFNDLYGDGADPKKTAEEIKQLLENIRPDMELSKQNREGTPEALKVTLLE HQKLGLAWMKSMEDSETRGGILADDMGLGKTIQALALMVSRQSSNPECKSNLVIAPVA LMQQWKREIERLLRPGRHQLSVFVLHGEKRSTSFRDLKEYDVVLTTFGTLASEYKRKE HYEQLHKQSGSNEPLPTAIVNQLPCLGPKSKWHRVIIDEAQCIKNRNTKAALAACQLD STHRWCMSGTPMMNNVEELHSLLRFLRIRPYSNLERFNREFTRPLIKGPESARNKAMR QLQVLLKAVLLRRTKYSKIDGKPILQLPPRVSEKVYGIFSEDERELYTSLETQTQVTF NKYLKAGTVGRNYSSILVLLLRLRQACCHPHLMSDFSVNVETNANTNEVDLVANAKAF PSEVVIRLKDNQELECPICIDAVDNPIIFFPCGHSTCAECFSRISDPSQAVRHGVDGS IEVKCPNCRGKVDTKKITDHVTFNKVHFPDVSDETEEVAPEKSVAEEDPDETDSESES DGEDLSKFIVKDNGFGYSDKDKGKGKGRKTKKPKKTLAELKKEGMRNQKAKRKYLRRL EKNWVSSAKIDKTMEILQAIEDRQEGEKTIIFSQFTSLLDLLEVPIARRGWNYRRYDG SMRPPDRNAAVLDFTDQPDCKIMLVSLKAGNSGLNLVAASQVIVFDPFWNPYIEEQAI DRAHRIGQQREVQVHRILVEKTVEDRILELQDKKRELIEGALDENASKNVSRLGTREL GYLFGVNT ACHE_10167A MAIPEVKSDQPSIIPQKRSASASDSAPIPKKPDVTTRTTQKKSY KKKDDFAESKVSNSTENLTESEVFRRLEAVGAEMATISWYMDLPEKLRIPEILLDFSI LNMPKWPDQDTIDKWLAQGHRKPDEDNNIDTRNEGPEDKEDKKYENKKRRMRN ACHE_10168S MSDDKSEIQPDNGTLEKGEAVHEDVVKDPVQLTALTPEELVLEK KLVRRIDILIMPLIILVYLMNYIDRNNYAAAKLQGLEQDLHLNDQQYQTGLSILFVGY ILMMTPSNLLLNYVGHPSLYLGFFVCAWGLVSALTSQVKGYGGIVACRFIMGFVEAPF FSGVLFYLSKWYTKKELGLRMSIFYSGSLLSGAFGNLIAAGILDGMDGKRGITAWQWL YIIEGAITVTFGLIITVVLPDFPENWKMLSEEMRSVAARRLAVDAAEVDIDDSGSKGQ IQGLKDALCDIKTYMLAIAFHCIVGASGFQNYFPSLTEQLYDDKIISLVLVAPPYIFM VFFSLAHSHFSDRFNNRFWFFIYPIPITIVGYVLYMETTSFGSRYASFFLMIFVFTQF GTFFSWIANAIPRPPAKRAAAYGFINAIGNSASIWTPYTYRDQDQPGYKPAMGVCIAL QILAAIMAIALDQYTRHQNRQLARMEDMEVELSGRDRRKLEKTAQMNNIGLEQARQLQ RGFRFML ACHE_10169S MTYFDEDGDEMYHNLLDVLYNVAKPEQIGRGKPPRDGFRVIAKE LRGEEPERLHHLSILQDEFRDLVKLVLVMQFDFRGLGDH ACHE_10170A MARLGRIGFLGIAIVFHVAYIYSIFDIYFVSPIVDGMRAYKVDT PEPPARRLVLYVGDGLRADKAFQFFPDPSRPTNDSSAQDVVPMAPFLRSRVLKHGTFG VSHTRVPIESRPGHVALIAGLYEDVAAVTTGWKLNPVNFDSVFNRSRHTWSWGSPDIL PMFSAGAVPGRVEDQMYEAEFEDYSKDATELDHWVFDRVKKLFEDADTDEELNARLRQ DRIVFFLHLLGLDTTGHAHRPYSQEYLRNIQIVDQGVQKITEIVNQFYGDDKTAFIFT ADHGISDWGSHGDGHPDNTRTPLIAWGSGVAKPRTVKSGKAPGHEDGFSSDWQLDHVY RHDVAQADIAALMAYLAGLEFPVNSVGELPLSFLGATDEQKAKALLVNAKEILEMYHV KEREKVAEVLHYKPYSGFAKTNIENRLASIEELIREQDYTTAMKQSDELIKIALQGLR YLQTYAWLFLRTLVTAGYLGWIAFAFTTAVDVYVLDGKIDVQRPPGLIITFASILVGL YSLLIFQASPITYYAYAFFPVLFWEEVFARSRALAEGKKKLFSQFSKSDTVKFALNTA AYLALLEVMVQSYYNRQVYTIVYLTATAWPLLHGTDFVKTNFFLCTTWALSCAAMSVF TLLPANKLEDITLISVGGILILLVGFLYIAFEKRLLVQTLPSKDGLSAADADGVSRAI LGLQVGLVALAMLVTRSSVASLQTKQGLPLGTQIAGWATLVASLVLPFAHVLRPRKHY LHRHMIIFLALGPLFIILTISYEGLFYFAIAITLFSWVQLEHRIHQRSKNGSTRNIMS SDDSRPPGNARNAAANGYAFNTKKALNEEISTPLATAAEAAKQREKAAEGNYRSLILS DVRVSLFFLFLLHSAFFSTGNIASVSSFSLDAVYRLLPIFDPFSQAALLIFKILAPFA LVSANLGFLTKRLKLQSGSLFTVVMGIGDYMTLRFFWVVRDEGSWLEIGESISMFIIA SALCVFVAGLEALSEVFVRGVEFQDDM ACHE_10171A MAAAITSWVLNPIQSLTMSRPRTRELWCALSDDLQKSFPVECVA DQDNINTLKKKIWEEIREKIKNTIPHYSDLKLYSPVVQLNHEEKFRIDDGEFLRPRRM ITTNPLFPESKDPDVDIVVVSGGATPQKQKCSESQNANIPQTLSIAEHQLICPRERTV SKLAAILDDMNIVHVRGTPASGKTRLSELLRDYYRKEGRRAFLIKRWEGLNFKNPWGS LVELVEKWNDEAQEAPTTTSQSEQDLSWVLTSNTVIIVDEAQATYSDDTLWNTIFKER LTPNVYKFRLCLFCSYGSPATGPDPTFFTPVKFSDEQRISLTPQNQQDSPPIGLFYDK EEFRDVISRLLTFHYEETFDFDEGALEYIFAVTNGHPGAVTSIVDVIYEAYRHDIKRG CIRTLTEDHVIWFLEDTATVFDKLRSKPVNRSFPDISRATNGISVILSKITEGSIPFD INDASIKFCYQKGWIHRVALDGGDVAVLPSRLHEKYVEYWIGKMSMPLPARFDSLPKL CKEVLGEFSIMILRHSAEGKKISTASQPRPVEAQYQDEFHRGFVHLAGLGVPISSEWS RTKDGRVDFYIPEKKWAIEILRDHNRVDEHISRFKEGGKYHPWLKENMIKDWIIIDCA TSLPTKEFSEPRLWHAVFINDYSELRLYNHQKALIMSVHLHI ACHE_10172A MEPARDLLARPLPHILYDGGTKSPSIDSSPVSATFFAPWPSFYE DVRVALQSMDLDGQVSLIESRNGERYLTGNEPGLTTRFVRNLCDPVSEALSVTHLRQV MFGDVYSIRKTTMEIPDLVMVKLVNPEQPNRETTILVLVGEMKTWWTLELELFPVSSP AEDLQNSSVQLVIRYMRKFNLKYGFLSTYRSTIFIRRAADYKFEISPPVDYRATRPSL RECFMAFAALADNDRIYTETPGFNARLHNEALHVRHCRPSEFDA ACHE_10173A MSSVEDLAAKVRDRRSNNGSEDHDGQKEVLQQIQSMLNKQTEEM KALQHSTQTPKVINSPPSYTPSGHAKSYRNAALASHLTSTKSPLISGWMQGHTHGSIG TNERPSTSPSSPATPFPLKADLEIHIRGTDHQIIDPLRHQKEARVVE ACHE_10174S MSGILLFCTAPVPASVINRLMQDSSIPEHGRNIFSLVRTPDQTT LDNFNSNPPINPFSTGFLSTPDTELRRYTRKRISDLERERSISLFSKWVAVLDERSIT DNTVVIHRYETKSEWEQLQREAEEEWVGTPGMAEINEDEDSIWWKWRVPFDAVFHLYN HVETFSWRGVALWARPEYLGENGVVMVRFPVGIISGGMEDPLGLM ACHE_10175S MQKRMRFDDVAWEQSETISDAWVAELFKIDTLRAIGDFIVKHRK GVPSELCQPRAGAFNVSFRMKFEDGGSALIRFPKPGATMFAEEKVRNEVAVIRYIQEY TSIPVPFILHWGTKNESPLNLGPFILMEYIDHDTDLGTALNTPTLNIEDRPILDPSID IDKLEMLYGQLADILLQLSQLSLPWIGSLAQIDDFTWDVRRRPLSIGMNELVRLGTLP RSTLPTSTFKTTSSYFNALAELHRAHLSHQRNDAVNSANDCRRKLVARQLFSKLAREG RLTQSTNDHGPFKIWCDDLRPSNVLVNKNLQIVGVIDWEFTYAAPVEFSHAPPWWLLL EQPEYWPDGIEAWVEAFESRLQTFLKVLTEREETAIQRGRLRQEQRLSGPMRQSWVNG DFWVTYAARKNFAFDTIFWKNLDHRFFGSCTVAEENRWAKRIGLLSEEESQCMEQVVS LKLGQMEKRALAWEPEEVYTVETHHFVT ACHE_10176A MRTHHMKALVKYVEQGGILETHDNVPDTIRDQLYAEERQRMDKR QKSPNPPTGSMYPPININVAPTQSPQPSNNTHDRTETTAFGQADLDIPGPIEEAVEEY TNWHLEKVDTENFKENIWKARDIVLENCLDLGQLDNPKIGAEFFVKEGVKIGVACRFV SDTGKWLKQRKRKRVTEDDDYEPISD ACHE_10177A MPDVINFRILGGIFCLEDMSSYPLNGDVSNQVSGWEQTPSYAPT PGNFGREPTPSVFCPSPAYTYGDPSFPSHRPASYCLGFLEYTGLNRRGTHNGDTPESI AYLIE ACHE_10178S MLLKSVFFANAIASAVASPASFQKRQDVDSYVEAEKPIALQGIL NNFGPNGNLSRGAAPGVSIAGNSETNPPYIYTWTRDSGLTMQSLIQEFLTGNTSLEPL IQQYITAQAKIQTITNPSGTLSDGSGLGEPKFNINLTAYTGPWGRPQREGPAVRASAL IAYGNHLLEQGQRDKAVQNVWPVVRNDLAYVGQYWNQTGFDLWEEVNGTSFFTTAVHH RALVEGQTFAKALGQTCEGCTVAPALLCHLQEFWNGTAIVSNYPTANDAKGRSGIDIN SVLTAIHVFDPAARCDDVTFQPCSARALSNHKVFVDSFRAIYGINQGKTAGKAVAVGR YPEDVFMGGNPWYLATLASAEMLYDALHQWDHQHAIHITNLSLPFFTDLLPGIKPGVY PSATPTYQKITSAVRTYADGFLSVVQEYTPADGGLSEEYDRDTGVQVSAPDLTWSYAS FLTAVARRDGYVPSSWGSSAALKVPGKCNGASVKGSYAAAKPSW ACHE_10179A MTLKNDEFPSSAAFDVINATLQADENERKDAISKAKAVVAFNIK NDAGKEEAWHLDLKEKGVVAKGAAPAGGKADVTLNLSDKDFQDLVTGKANAQRLFMGG KLKIRGNIMKATKMEPVLKKAQGKAKL ACHE_10180A MRATFFATTWLLLTGLSAQLPQRPSDTVVCQAPETTSIAAPGDA SYWLADIEHQGVAAFNPNPSGYKVFRNVKDYGAKGDGQTDDTEAINRAISDGNRVSPE SRRTSTTTPAIVYFPEGTYVVSRSIVDYYFTQLVGNPNARPVIKATAAFEGLGVIDGD VYQNDGNQGWTSTNVFFRQIRNFVIDLTDISPNKGATGIHWPTGQASSLFNIKIVMSS APGSQHQGLFIENGSGTVLDDVEIIGGLYGANIGSQQYTTRNLRISDSATCISQIWNW GWTYQGVELNNCGTAISMVNGGPGSQTVGSVTVIDSDIKNSHVFVDTVWQESPWSNGS LILENVALENTPVAVQASNKTVLEGGSKTITAWGQGHSYTPAGPKNFQSAMTPGDRPR GLLAKDSNRYYTKSKPQYEKLPVSSFVSVRDGGAKGDGSTDDTKALQSVIDNARREKK IVFFDQGVYKVTNTLQFPPGIRIVGEALPVIMASGGPWTDINKPVPVIQIGQPGDTGF FEWSDMIVSTQGSTPGATLIEWNLDAEQGSGMWEVHTRIGGFAGSQLQVPDCPTVSQV STKCQAAYMSMHITKSARNVYLENNWFWTADHDLDDPHDTRVSVYTGRGLLVEGKNVW LYGTSSEHHSLYQYQLTNTDSVFIGFVQTESPYYQPNPDAPHSPYKPNPTLNDPDFTH CLSGNCNSLGLRLLNTRDTVIYGAGLYSFFNNYDTTCSANDNNQVCQSEVFSIEGETS GLVVYALSTIGTEWMVVRDGEGVVRAGDNRATFADTVAWFKL ACHE_10181S MSKRGNEGPQGDKDSLNEFLMASTPEEKPQRASAAQLANRKIKD VRRRRPGTAAASPAPPQQPFSSPFGSLNQNALNQNANPPAPTNGFSFGQSQSFPGPAP SSSQPGTNGASSPFSFGGGSGGPSFNFAAGPSTTASNPFATVSTAAPSQPTDGGGFGG FKGNIFNLAPTSPAPSGGLFGATSGPSAGVTPATSGTGLFGQTSVSSPAPTPAASTNI FGQPTSDKPTIFSQSTGSDDSMQTSPDAKSSTASKPSIFGNGTTPAPPPTFGGFGGNN LFSKPESSAPSPSPTKPLFGAKPDEKSTPATPCTFEAKPAEQSGAASPSIFGATTQTS SAMTTSTAAPSLFGASTTSQNPFQSGNLFSGVPAATEKPQEEKKPSDSAPFQFTPSAS TGASLFSKSETTPAAGGLFGQKPSFGASQPPSTGNLFAPKPPASTEEKAPAVNPFGGI FAPKPAQPATESKPEEGKQQPAAQSSFSGGLFAPKPSEPAKPITTPSFSASTPAASTA KPPSAFQPSTSLFQLPPTAAPAPAPQRLETMQPKGLSVDLNKHLKDDVELLNRVRILN ESFKREITRLDPSKDDFDLVILYYMRVRDTIGAPTGGEHAPKRKTRDEDGDQGVPAQK KVKPFGEAPSPPPPQMNIGPSSITSTPSKLFGASEIASPSKRKADEEDGEPSSAKRSQ GDSATASIFAQTFSNSKSAESEKEETSRVPVSSPFKPSTPESKKVAPVSTTPTASPAK TLFPTSAVSKEPSAPTSLFGQPSSASKPASEAPKTDAAPANPFTLKPTEIKSAEPTAA PSFSIPKFGSGGGTNFFAQFKTQADKQAEKEKAKRKEEDFDSEEEDEAEWERKDAEQQ RKKREELEAQSQRRAKFIPGQGFSFEEDDSTNTQDSTNTQDSKKSDETNGVSFASSAT SVFDTKSETEEKPSNIFSHLSATPTEVEENDGNDTEEASDNEDEGPGPKAATASRDDG SNDSEDGDFGKALKKSKTSDKPAAATETTSESTPAKPAGGSLFGSDQEKEGESKSGEA TPKNPFASLFSTPKPAALSSGTPSLFAPTTSTSGSTSIFGASTNATGGSLFGASSTGT GSLFGAGQNGVKNDQTWKKDSPIKFASDSKPDSTSSSEAPKPFSTLFGAPPAPKPSAS GDSKPTLGFTFGAPSTQTSSIFSSAAASAATSTASTPGTSDAGAGESGDGEAAEALPQ VDLARGGAGEENEDVLIETRARGLKMAKEGWDSQGVGFVKILKNRETSRSRVVLRADP SGKVLLNASLMKDIKYTVNGTGVFFAVPQPDGSLEQWAIRTKKEDIGRLGSTMEEAKA ACHE_10182A MYYQNRPTAVTRYPCVCPFWPRNARDSNNTVHYDPARKQEINLE NQPIDNMDVTHCTLAPFTPDDERDTE ACHE_10183A MSNVQTQKKQRSAIADVVTREYTINLHKRCHGVTFKKRAPRAIK EIRNFAEHSMGTKDVRLDPQLNKKVWECGIKGVPFRLRVRISRKRNDEEGAKEKLYSY VQAVNVKEPKGLQTTVVDDE ACHE_10184A MAPRDTFFRSADMSLTQLYIANEIGREVVSALGELGQVQFRDLN PDTNAFQRTFTKEIRRLDNVERQLRYFHTQMEKADITMRSSTEFSDTLAAPLASEIDE LAERSESLEQRIASLNDSYETLKKREVELSEWRWVLREAGGFFDRAHTQTEDIRQSFD NDEAPLLRDVEQQQPQRGVNGDAGQQHSFQEMNIGFVAGVIPRDRIGAFERILWRTLR GNLYMNQSEIPEPVVDPATNEETRKNVFVIFAHGKHIITKIRKISESLGASLYGVDEN SELRRDQIHEVNTRLGDVGNVLRNTKNTLDAELRQIARSLAAWMIIVKKEKAVYDTLN RFSYDQARKTLIAEAWCPTNSLGLIKATLQDVNDRAGLTVPTIINQIRTNKTPPTYMR TNKFTEGFQTIVNAYGIPRYSEVNPALYTVVTFPFMFAVMFGDLGHGFLMTLAASAMI LWEQKLLKTKLDELTYMAFYGRYIMLMMGLFSMYTGFLYNDIFSKAFTFFPSQWQWPE DLQQGQAVEATLKDGYRFPIGLDWNWHEAENSLLFSNSMKMKMSILLGWAHMTYALCL QYVNARHFKSKVDVIGNFIPGFIFFQSIFGYLVLTIVYKWSVDWAAKGQSPPGLLNML IFMFLSPGTVEEPLYPGQSGVQVFLLLLALVQVPLMLFFKPFYLRRENQRARAQGYRG LGEPARVSALDDENGRDSLASDGDGTTEGTAMIAQDLDADDPEHEEFDFSEVMIHQVI HTIEFCLNCISHTASYLRLWALSLAHQQLSIVLWDMTIGGAFEQEDPNTRVVMIVVTF FMWFTLTIAILCVMEGTSAMLHSLRLHWVEAMSKHFQGEGIPFVPFSFKTLLEEEPVD ACHE_10185A MANSGPKAIPTAENFHNMPRATSAEQPAVLNGKGEPKARVRPRT YPYFKYLPYTTEDEPVRERNLREILDHLYIAVEAGDFSPGAVHWTRELRAWLSLKFDP TRTERINLVKLYYELSMAPGIDPNIAERFASMFMFLTKRKHYLKPVKDLVLDWKPLYK ELKAFVLPTESGLVHSTNLKRNVKTLTKLCAFIQLYIDPCEVPAMLDEFLPHYTTSFS EGAFVVVGLINLMLPTSPPPADREDLLPHHYLPTYFHLWSLVGRSRTFDTTFLDFFSR LARDCLSADHVPLSEYGLFTKEQSSLIFTAILRLLEIPVGQSTSPYSALVDISSGLGI MLDRDSRKHPVAHHIARWVVMSLSPACLESEESILSQLEGLIQAVETFFHPSNSGGWS KTLSQLVYYLADFFVMRWNREQSGEMEMPPERRLTEPLKRRFVLALRDVIFMGIYSKS STAMSYSLSTLQSLAYLEPHLILPGALQRIYPSLQGLVEVHRTASSLRALQVLSRIIT RTKGYRCHMTTLLGLALPGVDANDLEKSLHALTFIQTACYSIPLADLTRGRDDVNCNM LAMQWITGEMERMEEQGVEVQLNYDTDLDDETEEMILRSSTCGFGDFIVSFLGRVFTL LENLPDVSRVRNGSPEENIVNTLPATFMPLLSSLEPEYYDIALTKIIDFAANHVIHQA RDAMAFICNAACKVNPQKALKRFIPLLTQSIRTEIDDNGAGSTRTTGADVLPRDRGLV WNISMLSMCIVHVGDAVLPHKKELFDIAVYMQQKCRGIPTVHISNFIHHLLLNLTGTY TTDYSLYEPSVVAQGIGPDLWSYKQDPHDMNVKWHIPKREEIEFAVELFQNQAETALK QLTALTNETSSIKRDGTGKDWSDEVTRNLVLLRLILSGISVLFDSKAASTTKKGAANG SAVPDGDVDMADAENATLANGAGDEDTDIALDSSDDATIRETFTYPTGYPLQEDDPLY TRIHDLRERAGWILHDVHRFLADKQEDDVPSFGALYSAYRSWFVDVGIERSAHVLDRV TRLLAADIYPYKMSGIRKDYPRPLLARRSYVYHLQRLRHNAAPRPRSRLDEILLLDIA ESCVSLYTETRRNAQSAGESALKAVWGSRLLVIPPLIEALQKGVKENDHARIKGALFS LLLSSVAKTAGRHWKFAPTLIRTFIDASAVDKPSVQRICSSALFQIMDYGRAMERMAV LNQDIIEAIAPKGDVSEEINKLRKNINGKRVAIEKKKADLSEELVNLARVSHWKIASR AATIVITMGLRFDYVASDNFIELVTQGSIDDHPGLRGMYSQALIALFTMIDVRAICSH DYQNYIFGHQNFPSKIQVATKRDEKNWTEEYLASFAKPEAEYYIDHDFPGWLVWSDSM PAYKSNVARDIEYDETEWKVRSYMGKLFDRAWFQKFFMYLKQEPRDPSADKFRMPCAM MLLYAFELMIRDGLTAATFEDIKEEIEAVFEDGSDKHQHRATSEILGALISSVTDGGV EKRTQIWEYAFPIVRKIFSEGLTPENSGYWTTFLHMILQCRDPRRAWPLVDWLASFRL DLATNAAFKESSKINMLHQCIIDAGWHFQLEKPIVEDFLAHLDHPYKGVREAMGQTLA TVYRTRYHESYPDVKHLLEAQEKESSVGTFPYLPDENFNKMLRSIFSQIEQWRHQRTP GQQTPSSYTSGCKTVLLWLDSTLSSHECTQLVPFFPDVFTGQLLHMMDVKEDPELQSL AYHVFRHLPNIPYPAEKNSGFISSLIQIGRTSPSWHQRLRVMINIQIIYFRRLFLVSP DDRDRLFECIAEMLQDTQHEVRVGASATLSGMIRCSPVSLRDIMVSRLLERFTKILVD NPVPKRRRSGPASAVPSGSGTPTPEHTKLVIARHGAVLGLGALIQAFPYNSPPPKWMP EALTTLSIRAANDPGIVGSSVKGIISDFKKTRQDTWHIDAKAFTPDQLEDLSGVLWKS YFA ACHE_10186A MIMSSVKQIDRPSLLNPLRPLWSLTVAFVLWKSLLFLVVTACPG PGYDTSTSLLPYLNRSPTRPAADVGVGSTLPLKFVRWDPIYFVHIVQHGYVYEQEWAF GYGFTSIWRFVASFLNPSEEVGNVAQVAWTGVGLSHLAHYLSVLALYKLSANVFGRET STQRLICFLSAALHIIAPAGAFLSAPYPEPIFSFLNIFGLYLYSSSFLDDGAGRKLPR DAKLLGAAVSFAVATTVRSNGILSGFLFAYDAIWQLRMVLLHGLSWNVVVRLGVIVLG GCVVALGMVLPQWVAYTTYCTTDSRPWCQWTLPSIYGWVQKQYWNVGFLRYWTVSNTP LFLLAAPMLVLLCCASLWALKMPWAAIKERPTTVIDRTMSPEDTDSLLIRLAVPQGLL ALMAFTSYHVQIINRISSGYPLWYWYLVCLVVDHVGESPSAAKSNRLFAIVLQAMVVY GLIQAVLYGSFLPPA ACHE_10187S MLFNLGLTADAAAAHESDLVGPQSPPNPPTRASSPLTALSSLPS LGTIYNKYKTMEPQATHGAALRDMTRSPVESVLSSDGEHSVVDTGFRASRVGRSHSVR KSLRPKTSYQLAHPASHAHHRCFKIRPKLLLQLQQVSHTPRPLPILDVLPSTVFLPRL ARKFPTIFRGKNGLGPNDLIIVTSDLYDADPRDRTSQGDDSEDDEQQEVVATICQLLN EEDLSKGKAEICFNRGPAWEATPLPNGAYEFVARTAQGVQTLRWVPRGSKSRRVSAPP GSPVQPESKRFTFSVINPNTRRHPVLASMTRNNLEVFDQYSMPTAAPSSLPTSAMSMI SDGSDDTPVDRNLIAVDDDLRLLIIITSIWVAFREGWSHNFRYNDSALVLNSKMSSPV VSRHASPTTIKHAPDYFSVDKEGQTVENPPRKHRVSMSNVPLSSERLKSLASARLAKR SNSTGAAFIERSNRRSSGSKRPQRHSFLPPSDLSRDGVAGLEAIPSESITNGSGQAKN GNPHSENTVKFANPEPTSEKPRRKASQRVPQPEPTTSRGLDGQTSESSPPAPKSKRRH RISGFFDCIFRRHH ACHE_10188A MEPPSNSSFGGQKRKIADMSSDEDDARPATSFRGFKRASSRSES PPTSGLGARRNPMMQAATPAPRGGNATGGLKGANSFAARMMAKMGYKEGQGLGSSGQG IVNPIEAQARPQGIGLGAVREKTKQAREEEKRAAALRGEEVEEDSSDEERRRRQKKKE ARKRAGERSETGTPVPRVAKPKFRTAREIEEDMDGLEVPNVLKSLIDATGKEQKVLTS TAGLMAQQEFVSSGEGEAAKIARRARHDLEAFADEWKGLTERKKYIEMEEAQLVDQLD TNQQKIDQFTELVTTVGQLEIFQDESIAGKFEEVTSKLEALQVKYNDHIDEYRLSETA VAAIHPLFRQAMEEWEPLQDPTFLLSHLRRLQPLLSRKGEDEHRTRSSTSPYETMIYT LWLPRVRSALLNDWDVFDPGPATTLVVSWKEALPSFVYANLLDQQIVPKLSGGLKQWK PRSSRRHPSSEHSSRFPWWLFTWLQYLDEKHTNPKQPTGLMSDAKRKFRVVLDTWDFR KGVVSGVELWKAALGREFDVCLRNHLLPRLGHHLRENFEVNPQDQDLTPLEDIFKWKD WFAPNVLGLLLVAEFFPKWHYILYIWLTNDPNYEEVGEWFSWWRSQIPREVNELVIVD DEWKKGLQTMDLASRLGDRAVTELPPPTQPSAVPEPQPQAKPTQPPAETRKPRVVEEV AFKDILEGWCAEQGLLIIPLREAHPQNGQPLFRITASATGRGGVVAFIQGDVVWVQNK KAKEIWEPVGLEEGLVEKAEGR ACHE_10189S MAASLFRTSTRAALRSGASATPRAGVAGLTFARGKATLPDLSYD YGALEPAISGQIMELHHKAHHNTYVNNYNAAVEQLQEAQHKGDISAQIALKPAINFNG GGHLNHTLFWENLAPKSAGGGEPPSGALATAIDNTFGGLDKLQAQINTALAGIQGSGW AWLVKDKQTGQISVRTYANQDPVVGQFQPLLGIDAWEHAYYLQYQNRKAEYFKAIWDV VNWKAVEKRFS ACHE_10190S MSVESPGDRQGFRAFFANALRPKRSRRVLRKANASTPDLRSTAL AQKLDNDIPPVPKLAPLQAHQEKYRAAAGQIDSQLGENRDYTTIIHSLGIHGTGNHEQ DAEDWEYDPRRPGEPLIASLSMTLWGMVAENLDPADRASLAFASKTLFNRLGPGPWIE LDHPENREYRAEFLVSQDRFLPHHLLCFPCAKFHRRTQEGREKLQPATVINPLFECPN ARNAALPAPRHRITHGRTLYFAFVQLAMRAYRFSPYYGITVESLSRRWQRDGWSHHSR YLVSKGRLLMRVVSSTFAPPDLPPSSQRLLLYSREDYWPFFSACAHWRDGELMNVCKC ALSHIPKPRPTAGLQGVEHRAKDLAHGRIYNPNAITTLCGKCRPMRRCPDCPSEYLVE IKLTEDRANPKSIHFRHAIVVTRWCDLGDGTSPKSSPEWAAINGEGERYDSFKLLGKR TISGTFESALTDDTIPGQRVLNMNPKGKRLGEAGTNWY ACHE_10191A MDKKQRHGSFSVSSCLADPSPYPLHHTSRCRSESLKNLPNDTPE SPRPASLVRVKGQELAMQTPPKKSGMLAANSTIYPTTRDGVDNRSEMVWANDQSPTKS PSKRTPGRPGTPGTPGTPRRAGTPEASSTLVNPSSALLQDLLKEQRACRGVRASTEEM DAQVPRTPDRPSARSRSQSQSQSQSQDDTSDKQKKFNSALSAGLRQPREMGVREMDQY VSKMNKQNFDLKLEIFHRAQQVSALEKRMERMEELEGEVKRIGALEDELQELRHAEED NQRLRESNEQLRQELDKRDQAVTEAVDLICQLEAKVAELEAANPSRPSTAPSEGTDVA TPKQPTFDNLERTTPKCVTKLDQHRDASPERPHLQSAPSFLRDENRTPALRGLYIPSG NQSQSAMSVITKSESLNSMNETAEPASPRLSALSECSDLIPEEVPVLEEEADQPENGS RGEESEAGSSDTFGRKFQVRSQERKREHINQWIRPMPGVYSNQNGSPRQKKRSNDSMD PRQRVRMDGLFGGSRLPPTPDTMSTAPRNNRSNGSIQAAKGRKQQLDRPRSFDELTSR RSSDNSARTGSMGTNMSDTSGRELQAIERHETPAIAPLNSISTKHRRHASCQESTTSW DGTDHHGENENKSKRHTVNLPSSSPQLTPQDWVEAANSTPEEKAGYHKPSKYYQNSAS MGTRYPAKTSFDVRRHSMDSAIRRSTTEPTLDPHSLEFAPHPAVRPPAPAPEPARRRI SFRPPFFSLFGNSRRLQQSATQDSRSSEEHAPAPVVPKARTSGLKSTPKLIEYRPTSS RAELGPPLPTYADPAQRALIHSSTESNVMNNGTRPITSNSKEHKRRSSLSIIGWMKGA TGKKSEPSSPITPTQSNNAVRSRRSSLRMTPDISDSAKDMAANTSTHAFTGSPNPNPD EAGRRLRYIERRGRRGSLMR ACHE_10192A MSYYDVDSILTDAQKLPCTFELEVPGLGILEGNPGEDLKPGTRI DLPLWLGEMLSIGARLGTSRLVSLDLPSALSERVMNALKADPRTVDLRALAPHFYNLS ERILELFEEEEMVDVLSNTFRKRAAEIADHAHNPRGAMGDGVEFLRGLDETERQLFRI AHDSAKQMRIWSGEAKKPKT ACHE_10193S MTYNAVSQDDPEVASNASDSDASRSSSPALSQQRHGFQQLPSDA DSLGAGNHLEPARAADDISVGNLVSMIRSMSSASYDMVEEDDDYEQPIEPESNSSRRG PPPIDTATINAAASPNESQFQSRSASCNVSPDEPTLRSPASDKPVPLSHPTPDLQSLQ GAYLGNVERLERSAEQMSAGSSDIASEIRKMDLEQKRRSSTASVANSAIASNINLQSP RGSVRSATRMPSSQLALLTEDGYEGQSSNVQTQGAPVILQPPAAIQYDHQYYPGHYDI ERPSSAASGDTYQQARILFSDFDGVHYVPQDKGHGLERQASLARPPMAKRSRPYRAES MVYYPAPVPATLKLPPRLSDKPAPQRETRRAHHRLSSVFLGHRRSTPGLSDRFATPRG TDTTQSSPIATLDRMLDDSVHAPVTAPVYHPNPSPGMHRKLHRRMPSPNLTGQKKKRS SLNLFRFHKKSPSPDDRPCTSTPSYLSQGHLPQPTEAEVAEAHENTALQSDASEQERD TVGMVHSEEDIRDYMGPPNTLMAELEVRKHELKDRNRPAADRAGLRSTLLQLDAVAQV QSEHRRQRPATIALDHHDAHNGGNGHDDEDVPLGMLYPEKPYIPAEPRPLGLLEKKQL EEDEPLSRRRARLRGEQPADQSPRLSQHASTVYLPDTLNNASPESGDEEETLAQRLKR LRAKNRNITAGNSDFASEIFAEISQLKEDGKEDGEASGEETLAQIRARLRQQDRKKPQ SGRYANIPRNRRSMGSISLMRPVTAQRQSSASHHTALPYAQHAYAGSRMSLQQMPPNY GHPQHVGYSLQDAYGNGMVHPNMAYRNCMGSGAPQHTTIGVSTPDLPQRDMIDRWRQS VI ACHE_10194S MPPSTVFSYWRRDHRRSSASPVPQQQHQQQPTSSSPSSKYNENP PQLPVIPNTLDLSMGLGESDGSGSHTAQRASEENNHWEQSQTQADRLNVVAPSGSSRA PSSSATNLTVPSSSLEKQTRPHSSPEERERAASVLTAHSSNSQLSCPGPRAEFGESDS SSSKQNSPFRLSFGKGNTSSSDGQKQPPTSAPGTAVSGSSRSNVQIKTSPDVSPAEKT TLSRKESKLERSNSRRHADRDGSSEHHHKSGKAMLHLLNPMSLLARRRSSRMASSKAD DVKIGVPNLVPAIPDDYDPRIRGNIVHDFSAPRPRRNISTAPVLAQDAVGVNDSPQNG HAPEPSNRTSEQKTKHNEHPPAFTEHFEDEQNALQVENKGYLQSPLLMNPMHQDNEHS LPVFARNLPSKIPEHKEEQPVEQQQKEQQPQQPPQQPHEEAVLDPEPAPDSVKKGELP PVHEEEDTVVEMPQQPSGLPRHLKSNASRFSFDMTGMESSVQEKLLEEKHKEKEAERR AKYGSDDEEYSDDDFDEDLLDDMDGLEEKIPGVNTDADEDELVSGSGTMMMNKSWLSP GLSPIIGSPASPVAPNPATFPMQGAPVPAELLQNPSHSHSPSLYENTTPGNNDINQRQ QQMNARSSTGPGPVPLGANPGTSQVLEDDDDLYFDDGEFGDLDADVGDAGEKFDESIF DDETSHLYDRKKKAAPDTSGEQQVARLEESSEEEGLGNLACRTGALGHAPSMASNYRA GLQTSGSLSERARDAGSAKVHGGVLSEHNLEALHNALTKAATGNDRFERTDSMSDRSV DQESAAQTAQTAESHPGLISDNSRLSQAIEPFGSEEVLEDFDYDDCDALYDDPIIAAA NAEALENDDDGFYGQEFGFYAHAHGNGESELTNGGYFGPRGVEGISRSFSSRGKFREP SLTPITERSERSTRNSVISLTAAHAPGHSNASMSSPGLAQLVDLGNLDDEMSLSALMR LRRGAWGGSNGSLRSSSASPPPLAHQPHSSSNRGSFTALSDASPTIPTIPADVIWPGS GSATGSPVQELERNGSSTASPV ACHE_10195S MLRTTAVKAASGSLSRGSTCSSCRRSFSLASNVARNSNGSKFGV ATRRPLAVVDRLAGGYGRRQYAASAEDLEKGVDPNDSFLSGNTANYIDEMYVAWKNDP SSVHISWQAYFKNMEDGNMPVSQAFQPPPTLVPTPTGGVPQQMPGEGLGLSGSPEVTN HLKVQLLVRAYQARGHHKAKIDPLGIRGEAEAFGYSKPKELELDHYGFTERDLDQEFT LGPGILPRFSTPDRKKMTLREIVATCEQIYCGSYGVEYIHIPDRKPCDWIRDRFEVPQ RYQYSVDDKRRILDRLIWSHSFESFLATKFPNDKRFGLEGCESLVPGMKALIDRSVDY GIKDIVIGMPHRGRLNVLSNVVRKPNESIFSEFAGSTEPSDEGSGDVKYHLGMNFERP TPSGKRVQLSLVANPSHLEAEDPVVLGKTRSIQHYNNDETEFNSAMGVLLHGDAAFAA QGVVYETMGFHSLPAYSTGGTIHIVVNNQIGFTTDPRFARSTPYCSDIAKSIDAPVFH VNGDDVEAVNYICQVAADWRAEFKRDVIIDIVCYRKQGHNETDQPSFTQPLMYKRIAE QKSQIDKYVEKLIAEGSFTKEDIDEHKKWVWGMLNDSFDRSKDYQPTSKEWLTSAWNG FKTPKELATEVLPHLDTAVEPELLKHIARVVSDGPDSFTLHRNLKRILSNRQKVVEEG KGIDWATAEALAFGSLVNEGYHVRVSGQDVERGTFSQRHAVLHDQATEATYTPLQHVS ENQGSFVISNSSLSEFGALGFEYGYSLTSPNAFVMWEAQFGDFANNAQCIIDQFIAAG ESKWLQRSGLVLSLPHGYDGQGPEHSSGRMERWLQLCNEEPRVYPSQDKLDRQHQDCN MQVVYMTSPANMFHMLRRQIHRQFRKPLIMFFSKSLLRHPIARSELSEFTGDSHFQWI IPDPAHGTAIDEPEKIERVILCSGQVYATLLKHREANNIRNTAITRIEQLHPFPWAQL KENLDSYPNAQNIVWAQEEPLNAGAWSFTQPRIETLLNATEHHNRRHVLYAGRAPSAS VATGLKSVHIKEEQEFLEDAFSIHQDRLKGE ACHE_10196S MQLKNVILAATAAATVSAAPTDGQKPFGVLAIHSGSGVQNSGFN AAKSSLFAGLPSQNASCARPEDGQTATFYIKDSELYLYDQSATPQKFYVDRSGMGQGK IGYTTGAQQPPKNAEQKGWSVSEQNYLQFGGKSLIACPNSIDGAWSIWASAGVDNPAG NENCVGIASRVIESTNPNGCKYTE ACHE_10197S MTPYRGHETGDPVGIGEVLDVRYRIFEKLGSGWYSTVWLARDQT YYTAVKILDQDCYGGEHSIFELEILQRLRDSDPNHPRYRHVSIRLDYFMYERHMRLVM ELMAEDMNGFCFSFEEVKIPNVTMKRITKQLLLAVDYARSLGIIHTVS ACHE_10198S MVMRHKSQSPFLFCRDKGKAVLNEDEPSDDISDDEGQLPPEHYL AQAKSLNVSQLRQQRYSDGTQEKLDETRMYWDR ACHE_10199S MPGLDENVPSRAPDGPGDTTFTPTIDARSKDDTNIFPLDGVDIE SVSNKELVRLGETAPVLYQIGSSKVLQICHDLVLKCGPLVLPSEGRALEFVRARTSIL VPRVYRTFQVDDPFEYYGTRGYLVMDYVKGQNLGDCWKYLTKHQKDDVICQTAAIIKQ LQSIPIPTAGPLGGDPCRGKFFTDYGAGPFNSGSEMEAWFNHKLKICKDYNQAPQNIP SFDFQKFVLVHQDISPRNMILDAAGKVCLIDWAHAGAYPPAFERAAIAEQYRFPEFNK MILHVMPEYDVEVRQLQSIWYGLSVASLA ACHE_10200A MAAAITSWVLNPIQSLTMSRPRTRELWCALSDGLQKSFPVECVA DQDNINTLKKKIWEEIREKIKNTIPHYSDLKLYSPVVQLNHEEKFRIDDGEFLRPRRM ITTNPLFPESKDPDVDIVVVMSGDTTTRKRKHSESQSVNIPQTQSIAVDPLICPREHT VSKLAAILDDVNIVHVRGTPASGKTCLSQLLRDYYHKEGRKAFLITDWEKLDSENPWG SFIELVKKNNKELEGAFTTSYTTTSLQSEQDLSWVVTSDTVILVDEAQKTYSDTVLWN TIFKARQKSVCAYDFRLCLFCSYGSPGTGPDQTFFTPVTLANKQRISLTPQSQPGSPS IGLFYSNEEFKDVVSRLIRFLSKQKQQFSFDEDALDYIFVLSGGHPGAVESLVNVIFQ NYRHDIKHGIIRTLTEDHVIRFLEDTAKVFDKLSTESVDRSFPHIKRCTSKISNILNK ITEEGSVPFNLNDADIRFCYQNGWIHRVALDGDDIAVLPSRLHEKYIEYSIGMISKPL PARFDSLQKLCKEILSKFSIMNLRNSAEGKKMSTASQPRPVEAQYQAEFYRGFVHTAG QGVPISSEWSRTRDGRVDFYIPEKKWAIELLRDHIEVNEHISRFKEGGKYHPWLEEKM VKDWIIIDCATSLPTKEFSEPKLWHAVFANDYSVLQLHNHQKALMMSVHLKN ACHE_10201S MHKKHPIIRIGPNSLSYGDLNAIRDIYGHGTKCYKDVFYQTEAG LHFNLGNVIDKQDHARKRKMLAGAFAMKNVQAWEFKVAENVAHFIEACDKHCTAPLPE SCQPPDPKDLTFDFRTWTNFFTIDAIANIALSEKLGVLRTGNDLVSAQTVDNVQYEVK FRDCLHASKIAHTRIAYADKWYWFNSKYATRLLPSYRELWRLSQGWNDFVNHQARKRL ARSFEDGKVDDFFQCLMNSKDGSPRSLEWGEIASEVGVLLDAGSATTAIALNNVMFWL LRSPSCLARLQEEIDAALDVDTIVAPYDKVKHLPYLRACLDESLRLTPPFSYNLPRRT PPDGAVILGTLIPGDTTVSMSSYVAHRDERIFPAPEKIIPERWLCLSAVVLKHALDGT SLTWSKLLCLLALYTGMDLHSHIRNGTRIG ACHE_10202A MTAEIFDATSSVTIIGAGPCGCAFAADLASRGKSVMLYGHPDHR GAIPMIEKNDGWLNAKGDDVNGRYQIKTTSDLYLAIRHSPFLVSTVPSYGQDTILQIL SQFNLRNHTLIINVGNFFYLAARQKVNCHAILETDISPYAVRITGDTVFVKGVKKSLT IWAEPPTTQAKRHSHQAELTLRRQIESIFSQRLVWCQNLLQVGLNNINPVVHCPAALM NAGWIEATKGDFFFYAQGMSPSVSKVTEKADQERVAIGRAYGFDLVDITTYMNQNYQH DREFHDYHDFAIGSVIHNKTKSSPSSLKHRYLLEDILYGMVIWYELGQKCGLASPTIR ALIEMASVVSGFDYFEHGRTLKAAGLADATKEQILMALGGPLENATNVLAPLSGPLVN ANGSTLESHAPLQKPQVAV ACHE_10203S MNPESSAVPRPYSSFSNLQKKYIVFTAAGAGFFSSLSAQIYFPA LNALAQDLGVSSSLINLTVTSYMIFQGIAPMFLGSFADQTGRRPAYIICFVIYIASNI GLALQENYPALIVLRCLQSSGISSSVALSAATVADVSTKEERGSYMGIVMAGNFSGPA IGPIIGGLLAQYLGWKSIFWFLTIASGVFLVPLLLFLPETARNVVGDGSYPAQPWNQP FVHYFYRNNASKQPSSPAESLNQSDSSDLPEKPQHKLRFPNPLKPLVIVFHPNSIIVL LVTGVIMGGNMTVLSSITEMYTTEYNLSILQIGLCYITLGTGSILASVVTGRLLDWNY RRSAAKRAGPDATPEQIQSQKESVPVEKARSAITIPLVIMGSLTVLAFGWVVNYGVHL AAPEVLLFFIGMGQTGGFISTSTLLVDLHTSHPAAATAANNMVRSFFSAAASAAIDPM LNAMGRGWAFTLVAFILLGTIPFLLLLCGMGSRKEKNNAAGRSND ACHE_10204A MSKPLPSSIVNEFGIATLSLGNWREHRLQPRLEAAAKAGYRWID LFDECWEKYLEEHGLPGYQLWEATPANLQVARKLGNLVKSLGMRIACTQPLRKIEGIK NPVERRATLDLVAKRFPFMRAFDTDLVFMCANIRTDNGVTSDLNTVAKDLAELGDMAA AFSQADGGPILKIGYEGLSWAVRNTWSSSWEAVRFANRPNVGLIVDAFNILAVEFADP HNPDGHGRIFPTLEESIQVLTASLAAMVATVPGDRIFFFQCSDAELVNPATILPTEPD TPARLPWSRGHRLFPLEQSRGGYIPVELVAAAVLATGYRGPISLEVFNHSLNQPGECI PEVHATRGICGLHKLIAAATALPPFWSGWLEARKTEDMVVGRLRSDTSRL ACHE_10205S MLPQNPCAPGSRINGAIIRRVRSSGRSTSYYEIKAKAVVLATGG FQGSPSLTAKYLGQGGDNIFVRSNRGSVGDGFNLAIGAGAGTSRGMNTYYGHLMAAPL RADEVGPKDYLPLAQYQSRYCLLLNQGGRRFADETTGDEIINQYLAKQENRRGFLLFN EKTRLQHCVSALFPNAGNVDRPQKAREHGCNVGSASTLAELIEILTQWGVDCVNAKRT IEEYDRVVRQRENGLALDVPVGRGGLPPASLVEGDGPFYAMEVQPSITFTYGGINIDK QGHALTADKTILPGLLVAGVDAGGFSNLGYAGGLALAFVTGIWAAREVARELGLPLPR LPVPDTRDSKTKPSKGRL ACHE_10206A MTSSALTLFPRDGEKPVSNFTKSGVPGIIIGVVVFIALCICCFF LYRNRRRDAREAKSARTWNDDGYA ACHE_10207A MTESNISQRAGQAAESAKQVPWDVMSDTWSPTTNPNGYVNVGVA ENMLMHDTLLEYINTKLSLPAKYLTYNDGATGSDRLRRAIAGFLNKHFQPAHPVVSDH LAVTNGVSSAIEHVSWAFADPGEGILLGRPYYGTFIPDMSLRPSTAVIPVEFQDCDPF SLEAVDRYEQALLNFQNKSGRRVRALMLCHPHNPLGRCYPRETLIKLMRLCQKYQMHF VSDEIYALSMWENTVDELKIPPVRFESALSIDATGIINPGLVHVLWGMSKDFGANGLR LGVIISQNNADLHMALKGVTLYSYSSSVSDHLASIILEDHDFTGRYIQQNRQKLSESY AFAVQLLKSNGIEHAAGGNAAFFLWINLGRKYRDVHPDDPEDSDVSDKVMKALMKKKV FVSSGALFGSEHSGWFRLVFSQPREYIEEALRRIMLAME ACHE_10208S MSAAISSVVNPQSQSARQSARQTRTNPSRTSKTLGRSSFAYGHG SMSDAPPTPTIPHGFYPALTHFTDAITALPREFRRHNSLLKEVDAKAWALEENLLQLL KVSSESQAVQHPPNPAPIVAGVIREDVMRQDPSQPPESPESKNRRLLFDRVRHTLSDL MMTADEKNHVISNANDELDRQVARLDTVFPFIAGEISDESRLGSLTHWAYSNRNTATK TTTNERPRREVAAAKELAEAEAFSRSEARREAVLARKHRRGHADSEFDDTRSGSRKGH SSKARSGAATGEHAADNAVSGSTATTKRRKVERPTPAESSTPMERTASGAGSTAGRAG SKDAQDLAKKRSRAPNSGTAAARKRNTTGITAAESPILEPPPVIGTFNAPRKTSPVST TSRPQSSRAPQNGAQSVNGRNRPSSATSNRVTDSTFPGPGPTLELALSNAGLAVDKGI EAKPSSRDTPAKMDPVVPGLVGADVQRRETECDAGNGTTSRMPVSGTKREDSDRPAES VETGESPAPPQSNSGSKGRSSKTSTPVLQSYEPAQPRVRPTRSTNPAPTTSAKRSHKK NGGAAVVPPPPSEEEESMHEGDDEDEEGEPRYCYCNEVSFGEMVACDNDACPREWFHL SCVGMTKPPGKNVKWYCNECKDSMRRSRSGR ACHE_10209A MYTSGLTNTPITKNLLIYTIASSILLSILDSKHLASIHVSPHLW QYGQFWRAAVWQVAGFANSTEALFAAVLVYHLRVVERGWGRRKLLSFIISTLPYTTLL PPLLLALVIRPLSFNMINYLPSGPVSTLFALLAQYHALIPHTYRYRIGTSTTTTESPS KGITLLLSNKTTTYLLALQLALSQFPYMLLPSVTGWFVGLAWRAEVLPGVSVNWRVPA WAVGERERVSRRGEEGRFEDLRRRLEGEVAAAGAASGVASENGDGERRQRQRGSQ ACHE_10210A MAPPSGSATYKKKDGTLTMSQDHQSVSWIPAAGGASGTITLPVG HITNLQQTPASNPKVMLKIFVQPPNASVPEQYVFSFTAGANARPEADAIKDALSSAIQ ATKTAPQPSGSTPTPTPGGTPAPPPRDGSMSAAMAMANAVSAGTGRNAWDDDNRLKAD AELQQSLLRADPNLKRMFFESLDTKPESLTTGQFASQFWSTRLHLLRAHAIERGQLRG SYNVLSTLKPRTEENVTKLNISKEQIQLIFSQHPLVKRVYDENVPKLTEQQFWSRFFQ SRLFKKLRGERVSENDATDAVLDKYLLEDENVGQRDAHVPQFLNLAGNEVNHSQRRGN RPDLDMRPSGVDKVPIIRTLNSLSEKIMAGVAPADRDPTAPVGMDEETYNELQLRDLQ GDEEQGRVLLNVRDQSRFFTQAKDAAEEQSRLISQQDPEKVLGGLRTQLQQQLPDDGT AQLGRLVQPDEDEDEDETRSRQQVGSKPNLHQASAQILSAIRDRRAQTESSSTEGTYG LTPPLYDRLTLTHATSTEFLHQFWQAFLSGNADRAGEVASLVESLNRALQRIKAVAAD AEADRQKEVERWKQHARDMLEKTGRKKRLNLAGVAGGEKVVNQLLGPTIRALEMALGK YKEALAEEMKDAPAPA ACHE_10211A MSSSAAPSATVAPACDSHHHKPSFSRYTTAPTGYSAAQESVPHS PRDESPQTSNCSSPDGSRSSSCRRDSFGSIKEDVDGVAQSFVDTHIDHPPNEEPPKPN VSEMLQQAPDFCCPCGGFLGWKQIRLGGKSLSRSYSDLRALGNLHTRGWAWENPPALS DARPSFSKELKPPQRQQPQQLQPVQQLEPQEPQPPRRTSALERLPPEVLDVIISELAL DIPPNGYTPRNVDLVACLLTSRTLHAATLGVLYRNMTFPHSIVFSKGLNHLTQYPALG TLVRRLDFSHFTSVGLGRTKQMNAEIQNLTSRTLLQCLNLLPNLRECLLQEHVEGDIS VEILQKLFFGLPNMNAIDFCGCSTQSFSTLFLEALTTASPLPPTLPNLKRLSLHECSS LSAEAFELLLPRLVNVTHLDLTHTLVTEEALLSIPKTARITHLSLSRCTRLRGNTLVH FLTTHPAVRGSLVFLNLLTDATRYRTLDEDHLHALLPKLPSTLRSLNLGGAKVSSEHT RLLLPLTKHLDELGLSSADLTAKDLNTFFTPPSPPPPSPLTGEQDDQMAIDQPPAPPA SEWAPPSLAYMDLNKVPQLTIGTIFNTNACSLLSQQSYPLQVIEFGDKIIAPLRERTK NTKSSSGWMIRELGRRGWYVRDPDSMPSRLSDDGSRSWKMGARWWGMRKIPVAVGDVG GIYGHYMFKK ACHE_10212S MSQKSRKLQRVSKACDFCNRRSIKCSQREDPLGRCQNCADFDVP CTFDRPAKRRGVKAGTQASGRDAQFVRASVNHGIHTAAATVSGGRAYGSSTSRSSYRP SISGDPWSGWSAAEGDDDDGALHNSWKAFAIACDRQIRNLVQVYFEIVYPIFPLFHMP SFIEQVNSKEHLRNQGLFASVMAVCSLVSGRVRDGALYSNRWHREELIDPPSEAFFAA ARDSIPRDLVAVKGIDYMRACAILAIASIQNGQIRNMQKYSGLYHTLTSMDGLYDEKL WPKDLSPIETEERRRLFWSIYTLDIYSTIVWGGVIRYREAHSLVRYPSEVDDEFITHH GYGVPPVTPQSNPLPPSNVTVVSRQPMTWLRGWNFTTDLYRILEHVVDGNRRHFSSAN GTTQVWPLFNPLSMSEPAVMDQVLTMYSALPSHFRETPPTTGDMSKDLFGFQSANIQA TLQLLRMVLLSAEEIGVERK ACHE_10213S MEGNLSEASYTRVRTLLLEMADLLQRLETGLHRASGTGERLRSQ VDRIDGYMHTSLPLDLTAPSNAMQVIDAKPEPAVPSAYAQGNPAVETSLGAGLDQMSQ FQLPPELLTDWPWSLDSYNTEGFLPLASE ACHE_10214S MSLGICRRRTCHSRLLAEIRRILKPGGILPTRDAADQQFYPRSV DPERLDSGITEDEIQQTLLAVGKCVEMEPALYAAIQCAMLA ACHE_10215A MVSNMVNGTASHSLDLTVLGLNSGTSMDGIDCALCRFCQETPES PMHFELLRYGEIPLEPVIKKRVMNMILRNQTSPSELSEVNVILGETFALAVHQFCKDY HVDIDSIDVLGSHGQTIWLLSMPEPGETRSALTMAEGSFLASRTGITSVTDFRVSDQA AGRQGAPLIAFFHASAAPPDQVARLPEHRRHSQRNVFIDAVVRHYTNGEREYDKNGEM GARGTVDQELVDDFLRHPYFALDPPKTTGREVFRDTLAHDLITKAEAKRLSPDDVVAT ITRVTAQAIVDHYRRYAPKDLEIAEIFMCGGGAYNPNITAFIQKYYPNTRIMMLDDAG IPAGAKEAITFAWQGMEAVVGRSIPVPTRVETRQEYVLGKVSPGKNYRKVLRQGMLFG AGRDHLSPVKELVNYVDGKVFNNKW ACHE_10216S MGYTTLWKRLSPRQLNVTIHVFSLISIFFEGYDQGVMGGVNASP KYVTEVSIGKPDGTVTDTTHQGGIVSVYYLGAIFGCFAGGWLADRVGRINGLLMGALF ALVGGALQSAAQNSDFMICARVVTGIGTGALTGITPVLVSETSSADHRGGLLGYVFIA NYLGISVAYWISFGLAFINNGYSDVRWRLLLAFQCFPALILACCIKVLPDSPRYLASV GRKEEARELLEHICKHRASPKEINREYLEIVTLAEKSQRSSPIQFAKILLGKGGRQHP NLGRRAWLCAWLQIMASWTGITAVTTYSPVLLHQAGYSTIKQNGLAGGINTVGIVGTI ISAQIVDRLGRRVCLMVGAAVLFAVNLIAGAVYEGSLYNPGKAAQYAPGAITMLFLFN IGYAATWGTVAFLVPTEIFPSDLRAQGNGFGITGWAIGVGMTTLVNPIMFEAMTSRTY FLFAGLNLIWIPIIYLFYPETSNRSLESIEALFSTSSPFYWKMEQAYKMHGDVLVEHG VSKSDSLDASQNELTSYEKPKEHSIA ACHE_10217A MPTERENIEEQIKNAIATYERDKSQKIRPLAEAFDVPYQRLLRR VKGLPGRNSTKPVNYALDKHQENALKHWIERLDQAGVPPTAKRIEKSANLILQRAHTD PTIPPKKVSKEWPYRFLERLGPEYTRLKQRPRDPKRLQSQDLGIIQNWYDRLEILLKQ YQIQPQDLYNFDEIGFMEGQGRGEVVITKYPSRAQHPGASFSRGLISVVECISADGSV LPPCIILPGKGHLEDWYTHSDMPGNWILGVSPNGYISDEIAFEWIKHFDKHTKQRCAG VYRLLLMDNHGSHLTYEFIEYCEKNRILLYSFPPHATHFLQPLDGKPFKQYKHYHGQA VTEAAILGWSDFEKREFLTVLPGIRKETFKTHTIQSAFRDCGIFPFDPSPVMDDLEKQ AEPIPDLQIWDGDSTSSGSAQSSPKTIRQLRKEISKARASLDKIDGHLSALSPGLNRR LERIFSGGLTQAESSDQTAMELDRYLKAAAHQSKPKSRRQVPGLSHSGVLSVQDANRR IGARKKAEEKKEGRRLGQSIRTSLATTHRRYDRLELWMMGIDENADQETIDSILNKNR ACHE_10218S METPSTKVPYGHWTSPLTAEQLAHSRVSLHEVVVNESTGTIYSI ECLPTENGRYTIIEHHDGKSRNILPKESGLSAQARVHDLGGGSMAIRPDGKITFSDEK SYHVYQLDPAVADGDNGSHSGATLVHAADQDIRYADFCHHPTEFQWVLAIREDQRNAT PETQAYDVHNVLVAINTDTGEEKVIAAGDDFYAHPKFNLSGRYVSWIQWSHPDMPWTG TVLYLADWDGNGGLANVRKIAGKAMSESIAQPKWGLDGALWFASDRTGFWQLYSIRPD DEDCQVRPLMLKGLEGAEMAIAEWDLGISTYTSLDESTIVAAAVTHATSRVVLVNTAT ASFQDLDLQYLDIDGIYRVSPTSFAIVGSSADSPPELALISLTPDTHAKAQARKAVLK STASAFTLPPEYISHATSRTAPQLHGPLRNNSVHFFFFPPRNPHFHNNNNIPPPCLVY VHGGPNGNASPAFDLETQYYTTRGFAVAAVNYTGSTGFGREYRERFSGHWGLADVADT VSVVDYLVQNGTIDKSRVGIYGGSAGGYLTLRALHMYPDTWAAGISSYGISDVRALQA DSYKFESQDVDRLLLSLTQGAEEREAELTRRSPCYFAKDIKAPLLLLQGTEDVVVPVA QARMMAAAMRECGNVAEVVEFPGEGHGWVGKEAIFESLRKKEVWWRTHLG ACHE_10219A MSDIPPEAAPSPREHRGSGSLPIPNDSGNTVEIPATRSSISDAA QYMHNLSFTPSTRDRRGSRNSFGTSLPIPRSPRVSRLSSVVTAGEPSVSRDILASQVQ DMSKEKVAAAKNMAFAFDIDGVLAHGNDPIPPAKEALKMLNGDNELGIKIPYILLTNG GGKTEEARCEQLSQILEVPVSTDQFIQSHTPMQALAEYYDTVLVIGGDGQNIRPAAEN YGFKNVVHPKDIQAWDPTICPWSHITEEERAIAKPRDFSKLKFDAILVFNDSRDYQLD FQLIVDLLLAEDGLLLTRAKDPVSSRIPLYFSQGDMVFPTDHKGPTRLTLGAFRIALE AQYKALTGIELERVVYGKPEKATYTYADEVLKSWMEQIHNENKLPQNIYMVGDNPASD IIGGNMYGWNTCLVRTGVFQGGENDPNNPANFGVFDNVLEAVKAAVRKELGQDFKFKW NPKVNPVLHGDAGSAVE ACHE_10220S MSSGQTFKLNNGVTIPGVGFGTFASEGSKGESYNATLHALRTGY RHLDCAWFYQNEEEIGDAIRDFLKENSSVKREDIFVCTKVWNHLHRYEDVIWSIENSL KRLQLDYVDLFLVHWPIAAEKETQEQPKIGPDGKYVILHDLTENPEPTWRAMEKIYED GKARAIGVSNWNISDFEKLFKFAKVKPQVNQIEIHPFLPNDELVQYCFNHDVLPAAYS PLGSQNQVPTTGERVSENKTLNDIAQKGGNTLAQVLIAWGLRRGYVVLPKSGNPARIE SNFKSINLSDEDYEAVNAVAKGRHFRFVNMKDTFGYDVWPEETAKQLSA ACHE_10221S MAPPESYHALPFQEISISHVPATSPTPTSVVLLTLNRPQRLNAV TAQMIEELVAVYEYFKADDRIKAVVVTGAGKGFCVGADLGIGFGKLLQQLKENPSKMM DEYRDGGGRVALAMYNCHKPIIMAINGPAAGFGITMTLPATIRVASADAKISFAFSRR GLVMEACSSYFLPRLVGLSRALHLVTTGESYEASDPSLNQLFSEVLPSPEKTVERALQ IAADIAKNTSTVSTTVMRDMMYRGPSSAEETHLLDSKVFLGMLMSKDSQEGIKSFSQR REPEFKGSMARDAPCGWPWWDATHTEGGKTKL ACHE_10222A MTTENKHNLLKLLSARSYLPVDEKPSAARDSASSSTSAVEIITS PEQSHLLPMDSTRVTRQRRSSRNVGRPRLDAQGTAVLSESRRKQIRQAQKTYRLKKEA ALQNTQARLAELEQKINGIFEAFSDLYDIALDSDLKSTHPALFDHFHGVKRLFTTDVG RFPTSSSGPSSTSRQGNAQKCATLVPEGDSSCGRSTSQQNVFGYCPLPSISSQSMETT PETVSLSPDDHNEEDSQPDSNNSAWQSFGNFIYTYCFQEAEFSRRLQRYCLEYAFRLF SDPRSHPNRIYQVFRLVPCIQNKAKMYPYFKRLVMAASGESLEIQALPFYCIGGAGTH YPLFDDTGNPIYPPKMRLPKRLLGVLPVVRCASDVESNWDTDRLLEVCGFGGQWLDCR DVEGFLSARGVQLEESSLFPRVEGLLERECQSVSAEPSHSEQSTASGGDQSEQNEPAS NISSPPKSLHQYTLDIERFFLYLLQGMVILGRVPGFRQSDVVAAFSASLQLQVSS ACHE_10223S MLCDRCAAVFQNPKISISPFHLRQRFDDDDLQVLHPNFASLEAA IKERCGFCHRISHALSSKGDSQIFTDFQLSPDVDPDSFSIRYRLVYESDNGKVVSYMA VCLAQRADEELREHCSEYLVISPEQVPLTGESVIETENGSTTASINTITSWIENCNRN HSLCSREEGPRLNHQFARILDVGDVPDAPEVKLCLPKNLKFDTKYVTLSHCWGGITSE PPSLTTATYDEYLQRITVADLPQAFQDAIQLTRKLGIRYFWTESLCIIQDCPKDWLDQ AGVMGEVYQGSYLNIAADISLDPNGGLFTIRNSVLATPLRISVRGNISQDDLPPLRLE GASLFVAEATPQVLETEIDLNTRLGNRDGNFDLKDKDFAFTARSVELVETTLYAELRT ISGEWRCRCVDVRELLEGPSDRSSFRLVGGSTLFISEPQEIDLNECLANRDGAVNADG TDFAFTARNISLDGSTLRAELRKINGEWRQDSIDLASCVSWSSGRLRPKKFVETFYDV VTDGYAKRSKQTLESKSNAKEWVFQESLLAPRLVHFMDRQLIWECTTSKTLDERSNEK SDDRQGVIKWSEPEDPYSVNLLHEPKTRELGLDYIWSSLRAMHGRSKFTFRRKTLSQN SDLLRQAKQMCYGESEFETTHSLIKYWSDIAVNHSAAYPRQIDRLIAVAGLAKILSTR TSFRYVAGLWEVQLPRQLLWTPSHLKEMPTEKSTAPSWSWASYPGGITDRGIKPGPIL ETNGVMDLVKCLSIDIEGLSEKDDMPFGQVKDGRLLVRGRLLPISLDLSVWPEGATRE DTLVQSWTGSTSEAPCGETFLVPILFTCCDDGFSDSIPNSLLLESTEEKGVFHRIGTA RLRDCCDLKNITPQLYTAEYIDHEFEDDDESEASAEDDGFVIIPTPDAVKPNPQQDAS NNATSHHASNDTQYTFLDEASEIATERETDPDSERTMTPEEIISSTEREISRWNTLFP KLPKTEEEFEIDINTDELEREMMGFYNNDSARSSRTRTQAGHKRKVQKLTPKDAIAGH YYIGYIEDDKDTNRYGHFVFEIR ACHE_10224S MLDPNPKMNQLMQTIPLAIPIDGYGKIAITTALLTLYAMLVRYY RYQRCTAIQSRFQTPKRPLSSMTVKEAHQVVRELRELEFPYSLHNAMKISLLKTGSIP TMTKLFTATGQLNERNAPKRAADTEVLLTEVHDRHPGSNEHLLAISRMNYLHSRYRKA GKILDEDMLHTLGSAVVDIFRSVDENEWRVLSATEKCAIGVFHKALGDAMEIDFRFLE SCGTGWRDGAHFARELCEWTVEYEKSVAKPTESTRKIGRRLMELAQWNLPGVLRPVVE RVIATKVDEHVRVSMDFADPGILITAMMKTLITTRKFLLRYFALPRPESKAVRTLNGS PDPTTGLYTTNLWIAQPWYIEPSFKNRWGLKAMFARLFGDGAVPTRDGPYKEAGYDLR TIGPAAQEKRGHEEMEVIFEGLRGMNFAGGCPFHA ACHE_10225A MRNNAGPSAFLPPQICSTQKQSQSEDRFRFTPVKRASTLPRLWD RKPSTSFLSQQSRPRKVWKRFRTSFNSMKSLQQMPGADRPLEETLLDTEINVSRGASV LRGVKRRCLGVDAGEMEGRGRSFLETKWEGEVRRRRKLPTSYTPPLVDGSQPESDRET GQESSTSDIEADLTQDPIEMDESVDIEPNSPIAFNQDTAYPEMLHGTSSCQEVESEES DIDVQPRDGQSTLNTAREVSKEISKGSETTMDQSEPEKETAPAQEITSAQQESTLVRS ALRSSLDGEDAELLNNFLTKARAKRAAKAAMQENVVEEVTKEEQIPDMPTPRSRRALE ELDTNSPSPSPQKVRLPSPKKPENPPASPIRKEIANNHEEQTGDEKQQSSPVTRRSTR VRVPPRTTTTAIRNTLSLRRAKGTEFVFLQKTEAQELALATRKNTRQNKGSAVFPKYV LKNLAQKQSKEESPKPVPSANKKGNRVCWNDARLVEFEDGEEPQAAPAEREPSHEPSD VDSTQPTKKGSEKRKMTGGSSGRTRSQRAQKGNETAPSTGTLAPPKTTATPPAGRVRK LGGSATPTKPKPASSPANSSSKSTTDTPAGKRKKLTPKSPSLLSPAKNTSSAPTTTTP ASKVPKPTSRASTTSAAKSKSIFKANAGSTPMPKRVRARA ACHE_10226A MPTDYDGDSQMASSPESGARTPTMTNPPGIHSSELSPPGSQQME RTADLGVFERRNSAATAQGKQQKVKPGEWKSKRAEDEAQRAMEFVVDRDFSLKEFGDP FDERDMEEKLP ACHE_10227S MSASTAGHNVWDDDWEKQADTLDEQPPPEKKVSSKVTKAQRRAQ QAEFNRQLWAEAEQPQTFHFLEAQSDVPLKQEFKPTVTVLSRKPQASASRSAATPNTA TAGMARLALVADDKVEESDEEDEKKKQPEPTPEERQAIAMRSLEERQRKYEEVRERLF GSPSANTSGTSTPRSATPPNRQNEGRGKGKSRGGGRDNNRDNNKEKRDSSSTSSKSRQ FYDPGNAPRSNSNYVQRKDNQSPGERAGGSQQQSPRQPYRHPRGPDANGRGGFRPRGA KTT ACHE_10228A MDTPDTQRIGQLQPITLPAFADDAASLAAQQSLGVGDPADATHT FHPLQSDIENLQHQHRHHPQNAISTDTRAFLRPPRTGEPANNLLITDTPHHTPTQQYQ QIRDNDTDNGRLGHLNPQLQQQNESNFALTADMAIKQTDNGKDMKCIPHPPDLDSWRE RLFNVDGTITLSEEQFLTYFPHIDNVYSHRSTQRYKRKPLVSHYWDCRLKGRPPGTPK SNDPNKKKRKRTARQRDLCDVKIKITEYFPGFVPGLTPNDIDPSFPSELLPGVHALLD HSGNENGNDRESQPFGILTPNPSPPDGHDGFAGERFFTIQRVNGNGANGKNDGVGGGH RHTLEESDRVKKNSVQRRVLSQARERKRSSPIKTMARQHQTSQKTYHTEATGTAALTA LNHKNECDLKLFGSCFCPFVQRVWIALELKGIPYQYIEIDPYKKPQSLLEVNPRGLVP ALRHGDWGCYESTVLMEYLEDLNAGTPLMPKDDAKLRAQSRLWADHINRHIVPNFYRV LQEQDQEQQISKAQELREGFTKLIDAAHPQGPFFLGPHISFVDIQVAPWILRLRRVLK PYRGWPDPEEGSRWATWVNAIESNEHVRTTTSTDELYLDSYERYAQNRPNTSQVANAI NSGRGLP ACHE_10229A MADSRPKILLLGKIVHAHSTWHSLSELGELVAPTATNREGFLKE CRDGKLDGVVAAYRTFDSVTITGKIDEEIVNALPRSLKYLAHCGAGYDQVDVHACSAR NPPIRVSNTPTAVDDATADVNMFLIIGALRNFNTGMAALREGRWRGQPVLGHDPQGKV LGILGMGGIGRNLKRKAEVFGMKVVYHNRRQLSEELSEGAQYVTFDELLSTSDVISLN LPLNKNTHHIISKSEFAKMKNGVVIVNTARGAVMDEAALVEALDNGKVYSAGLDVFEE EPKIHPGLVRNPNVLLIPHMGTWTVETQTAMEEWAIGNVRDALQTGKLKSPVPEQADL ACHE_10230A MTLEDFEKALAEEGRERQEKGDREKRHRDRDRDRSRDRSSRHHR HRHHRHSSRSGERGLGRRDRESRHSDENGHRHKRSRHSTDHGDDRDRSHKRRHRHESR DDGSAGTRESVKEVVQEEPSRPKRDAWMEAPSALSVDYVNRRDSTRLQEPQPKMLQAD FDLKIHNREINNHLHDLKDGKALEEVEEEPAQHEVDYEFGDAGSQWRMTRLKAVYREA EESGKSVEEIAFNRFGDLRSFDDAREEEAELDRRETYGEGYVGKVKPSGELFQERKLD NNVRRDSHEHVRSPGREHQAQGQGKPMETEPPANTTQHLDLTALNRLKAQMMKAKLKG APDATELEERYNTAAASMANRKESDVVVLGVMENRMLAGKRNEVKQVETRRGRERGHV EENEDMSIEDMVQEERRSRGQFGGDGRRLADRIAKDSKFENDLEYMDDNASKLARRVH RSEIDIKNTAINDFQKMNRILDNCPLCHHEDTNTPPIAPVVSLATRVFLTLPTEPELN EGCATIVPIQHRTNLMECDDDEWEEIRNFMKSLTRMYHEQGRDVIFYENAAQPQRRRH AAMEAVPLPYSLGETSPAFFKEAILSADSEWSQHRKLIDTLAKSRQGLGRSAFRRSLV KEMPYFHVWFELDGGLGHVVEDEHKWPKGDLFAREIIGGMLDIGPEIIKRQGRWQRGG DRRVEPFRRRWRKFDWTRVLVEG ACHE_10231S MATTPVSVVCVGMAGSGKTTFMQRINSYLHSQKNVPYVLNLDPA VYSVPFESNIDIRDSINYKEVMKQYNLGPNGGILTSLNLFATKVDQIIALLEKRAAPN PSTPSAKPIEHILVDTPGQIEVFVWSASGTIFLETMASSFPTVIAYIIDTPRTSSTST FMSNMLYACSILYKTKLPMILVFNKTDVQDAEFAKEWMTDFDAFQQALREEEETGAFG TEGGAGGFGAGSGYMGSLLNSMSLMLEEFYRHLSVVGVSSMTGDGVEDFFKAVEEKRQ EFERDYKPELERKKKEREEAQANRREEELGKLMKDMNMSGPSGQRRFQDTEPETVSEA EEEEEEDAKAEVEDDDDTDDESAGAPRAGDDDGISQRYRSALSDSQKGPDHEDYSFTR YLRANNING ACHE_10232S MDVFYTYTYTTAGWLSIQSAALTLAPQIIITSLLDETRPPTPLE IYFARTLGFSLLTLAVLVVMLTGSVPLSTTVTEAVTTDESDPKAPYAVPTLMVSTVFQ GVCAFYAYTWYTFNGQAAFAIGVLGYALVASIGLWCLLFASSHGKISRKTGADKRTTG FPFSNREAERKHTGKSS ACHE_10233S MQFFKRALLLFGALLPAALGHPMVQGRHRSPEMIPGRYIVTFKH GLDTAKVDAHTAWATDLHKRHLEQRDQTNDASMPIGIQKSYRFTSFSAYYGSFDDATI EEIRKHEDVEYVEQDQIWYLDATISQNNAPWGLGSISHRGQPSTTYVYDDSAGEGTFG YVVDTGINVDHREFGGRASLAYNAVDNSDHVDTVGHGTHVAGTIGGATFGVAKKANLL SVKVFAGESGRTSDILDGFNWAANDIATKRRTGKAAINMSLGGGFSFAFNRAVENAYD SGVLSIVAAGNENMDARFTSPASAENAFTVAAIDRNNSRASFSNYGSVVDIFAPGVNI LSSWIGNDTATNTISGTSMATPHVVGLAIYVMGLENLADANAVSKRLKELGTRDVVTR TSGSPNLLAFNGAQGGAGTGSGDGHGRVDEDDEDEDENWDWPFEMKRAVRRW ACHE_10234A MFCADDIPRNDTSNENDSTSLEAFNLHSKAPSWCAETKSDLKNH GKTLFENDVPGMAALRNGTLKYDASRHIKNGFRLSDGLERVWI ACHE_10235A MAPTRYGQENRPTRGPAGDGLALTARDSDSAVQGHGSSSYDDGY YHPSSYHTGEKGQMAAEDGSYPSKKKDSTTRQDSSLATADQASRGRQQYQGYESGSQS GSQYSGSEYDSSLGNGSQKAGSQYSGSQYAGSQNTNTQNTGSYNAGSQSGSHRGFPSG SPSGSYSSGAYQQSSNTQDTGQQHSQQGTPNSGYLHGQGVAGQQQANSDAANTNAQQQ SSSSQQNAQEVHSKPKQSSQQIQETPSDADNEQSSYKDNDHHATEPSHEQDNKDATLS DAHASGEHHADTAEEGERHNLVNNHVAPVATMQTAQQPTHTLPTLTTGTLDPTSSLTT SVATTDSTHTTSPTTSSTSSATSSPTPEATKDSDDGSYHKATGALAGLLGVALVAGFV VFWLAKRKRQQKSEANRSKMVRDAFRQSLANTKQRTSQFYTNFLGTAVGTGASALGAV RRSLAMAKFNRRSHEQPEKAPMPQEGHVNHPCNDGIHVRGHDLDYSSGHDSDRNDSIA AEKADINESTPTLVVSPSESIARDQTPTVRRVVSGRPQMESSESVEPKSGLSHNMQES EPEHNDKAPEQSPSPSPPAPDNPAPDHGAQENGPPPRNGNGQNLLAITSMVPSSTSVY RVEIAFQPKKVGQLDLREGQHIIIRQSFDDGWVLCTPADGGQEGLAPRACLSPWPIKN ASSASSSKHNLSDGTRTPESPTVPGTPRFYSHFFSARSVTQ ACHE_10236A MTESTELANPPGESAQQSTESQNGPTAETTSAPAATESSFPALP TIDSTLPAIDKTMPPMDSSLPAIDSSIPAFDSSVPALPSIDTSLPPLDTTLPAEVNLH TDHSFFSDMRQDDTQGAQSGDPTSAPGESNDGLPQHADSSHASTPANQTNQPASNGTY HYSQQSAEQPQPQPQQHQPPPPQPQGQEQQPQQQQAQQNQQPQAQSQQQYQQYQPQQQ TQPQAQYQPQQQAPQQYQQAPQQQYQPQQQQQQYQQQYQQQGSPQAGGQPMNTNHMDH NGVQGQQAHIPQAPIGSPMPANSPPMPSAGQYMTGYPTNVSQMNNAQMRYQLPGDPNK MLSGSRHKKEVKRRTKTGCLTCRKRRIKCDEGHPVCRNCVKSKRDCLGYDPVFRPQSS TPSAIQPAPNPSPSLVVTPQEPPSGASYPAAPPGYVPAVSQPFAPSLPSESSAPSSTE QSQFNYSAAIDPSLEQNNNSGNMASVQHATTNLTDGFYQAPINASGMLCSLGSPAFLA STDIRIVKQVQISDLLALRGIPPPPPHPVTALPPGRLEEIQAVFLATYAPAIDRFFET PWFQEKALPHVMANAQLMAEYSALIDAFNDRNLEDPNVVARLESFEASVIWSTITLCR HVMNMANGNHGQDFDLLAASKRLDVMEALLTGDHVETNPLEQSPVRQPAADPPALTVQ ITQRSLDFWSCLGHFLTLHDNEASSAKEIDDTLARCRTLLDTTENRDVIYSIAIARHL GQRWADFPHSLPQPITTNEKDAGAKLYVAQKFLEQQANGSGTTQVSKRICGMAVRSWF VSRE ACHE_10237A MAEYWKSAPRFWCKQCKIYIRDTAFEKSQHEASAKHQSNLKRFL RDIHRNNELQQRDSQRAKDEVERLKQAVSGNAPPGKRTAAPPPPPTERPVSVDERKKQ MAQLAEMGVAIPDEYRRDMALAGDWQTTSVKVIRDDNEKGKQATKSIGVRKRKAEEDA DTDEEQEEPERLVSKGWGSTTRKYPGAQGEDEDLDTLLASTKNIKKVKPSKDEWPEEE GVKKEAADDNVPTKSEAGEEAAAAAAAPAPAPESEQAPIKEEPSDTTSASAPVKNETE EPEPAGGVLFKKRKPKAMRK ACHE_10238A MDFAVNQRRSYDGNLCTIRYVGQVEGTSGDWLGVEWDNPTRGKH SGEHNGVRYFTCRNKEATPGSFVRPSRPADKPRGFLEALREKYVSEFMEQKGSDGFDA TYPLHYPVKFSSKVVEEVGFDKIRKKLAELQELKIVLLDGLRVAGVQAEDGVQKSEAE GLGRERACREIEETCPKIAQLDISRCLVSQWRDVKDICARLERLRVLKLNGNRFGAVE EGLRFEGIAELHLNETLLSWEELSTLSYQFPALKSLSVSMNQVNEVSTPISETITTLI LEHNEIDSLFSVRKLAALPKLKYLSLRGNCIEKISRPSTAEDPIQFSFSLEFVDLSYN KINSWSFINALPLVFPGLQSLRISGNPLYNQPVAPTEITNMPDKPMTVDEAYMLTLAR LPSIQILNYGNITPQDRNNGELYYLSLIGKELSALPEDAEKGILAKHPRYGELCERHG EPIVRRAEEKLGRTVNPRSVAARLVKLVFRLSSQEETIKTKEVPRSFDTYQVKALVSR LFGLTPLEFRLTWETDELDPVSKENREEEEWDSEDEEMDIGNATGDETRFVRREVELV DSTRDIGFWLQDLDETRIRVDLLG ACHE_10239S MDYQNRAGSKFGGGGVASQSATNADRRERLRKLALETIDLDKDP YFFKNHVGSFECRLCLTVHQNDGSYLAHTQGRKHQTNLARRAAREQREGKNQDPSSLP GAMGVQVKKQTIKIGRPGYKITKVRDPLTRQVGLLFQLQYQEITPGVVPRVRFMSAFE QKVEEPPDKNFQYLVVAAEPYQTCGFKLQAREIDRRDGRFWTWFDEDSKEFWVQIMFK TEREERFSGVPGLAPMRT ACHE_10240A MDPNGNNRLHLNFGYNERAFNPAAMNNRAYPTTPSAFPQPIYQN QGPQDLMDTQNGAYGGQGYFMNNPYPPQTPQYAQAAYGQQMASPQATYQNRVPYNDGT NGLIQQFSNQDLNSPRGGFFNRNASPGQRPRTAGSSAPGQQQPHLVPPMPRSPRTPAE NEELQRNPERFSENVHKRGKAAKELVNVFFHENIERARDRNMRSAELDKLIRDPAVPK EKKCQDTGAVSIKESNFLRFLRTKETPNNFQTIKVIGKGAFGEVKLVQRKTDGKIYAL KSLIKTEMFKKDQLAHVRAERDILADSKDNPWLVKLHASFQDTAYLYLLMEFLPGGDL MTMLIKYEIFSEDITRFYMAEVIMAIEAVHKLGFLHRDIKPDNILLDRGGHVKLTDFG LSTGGKKTHDNSYYQNLLKNSTSKDKNRNSGYFNDAINLTVSNRGQINTWRKSRRAMA YSTVGTPDYIAPEIFNGQGYTYLCDWWSVGAIMFECLVGWPPFCAEDTTDTYRKIVNW RECLYFPEELTLSRESEHLIRSFLCDPEHRIGSEGGQYGGASQIKNHPFFRGVVWEQL RNIRAPFEPRLSSNIDVSYFPIDEISQEDTSAIHRAQARAMPDEQEAEMSLPFIGYTY KAFNAFQGN ACHE_10241S MVDSSNSALAAGQAQSKPAPKAQNPAMRMLGMPNFRFKLPSRNW MIFLTVTGSFTAALLYDRRERKRSQQKWCDLVAHLSKETLPIEQTRRKLTIYLAAPPG DGLRIARDHFREYVKPILVAAALDYQVIEGRREGDIRAGTAENIRKLRRKAGEPSSVV EEQSTEQIIAAARQSMGVYEEPGPQGDIVIGRHTWKEYIRGLHEGWLGPLDPPQPPAP VEEVTNLQNNPETTPAESPEKEGEQAPESKEKEEEKEKAKKKPAGPAPAYIAPADYPS RALPPTLPESFDGSVPIAFPHLLGFLNTPIRLYRYLNRRHLAEDIGREVAGAVLASYT RPYHDDIMPSDSESVTTTPTSIPGHDVTTDLPPRNYEQQTILEEAEREWHKSVHKRDP VGPNGDNEREWLDNVVLDPRIASRMQRYTLCPHEEARAQRIVEGTEYILGEKRPAPVP FWKNLWVQYGYGEDEETLKRKPILGNIDEE ACHE_10242A MAMISCFCGSSKITTPRHRRKSPPAHIQLPRTSQPGRSSQHHQT RCATAIMPQQLSSKDASLFRQVVRHYETKQHKKGIKAADQILRKNPNHGDTLAMKALI ISNQGQQEEAFVLAKEALKNDMKSHVCWHVYGLLYRAEKNYEEAIKAYRFALRIEPES QPIQRDLALLQMQMRDFKGYINSRSAMLQARPSFRQNWTALAIAHHLAGDLEEAEKVL TTYEETLKTPPPIADMEHSEAVLYKNTILSEAGKVDKALEHLEKVGHRATDVLAVMEM KADYLLRLDRKEEAEAAYTDLLERNPENSIYYDALIRAKGISEDDHQALKAVYDSWSD KYPRGDAARRIPLDFLEGEDFKQAADAYLQRMLKKGVPSLFANIKTLYTNSAKRDTVQ ELVDGYTSGKAQNGDEFLSSSYYFLAQHYNYYLSRNLPKAMESVEKAIELDPKAVEYQ MTKARIWKHYGNVQKAAEEMEKARTLDVKDRHINSKAAKYQLRNNENDKALDNMSKFT RNETVGGALGDLHEMQCVWYLTEDGESYLRQRKLGLALKRLHAVYHIFDVWQEDQFDF HGFSLRKGMIRAYVDMIRWEDRLREHPFYTRAALAGIKAYIQLHEDPDLVHGPMPAGT SREDDTGEDSERRKAIKKAKKEQQRLEKVEAEKREMRKTATAVKGLDGEVKKEDSDPF GTKLVQTSDPLNEATKILTPILEHSPQNIEGQLLGFEVYLRRNKLALAQKCLTAAHTL DASNPTVHIQTLRLRKALDSPKEPLASEVSESVNAELEKILPKSQNLDEWNNNFLSVH KDSVSHIQAALACRQLIDPDTKAQNEKDLAATLDSSDASIETALAGRELLNEWRSEQA TKQVYAEKAKGKWPESSVFGLE ACHE_10243A MSRAASTSAPTLSPQFCFDERVLRDFLRVSRSTIDDSITQNLNA LITPARRGFDPSSTAVRQTDKLEKPIHPEACQSFKENVLFPSWQTRSDVLSYCAGVAT SPDPEDPDLILRQIESARDRERVVNERLDPYSARFFPREARTESLANLVRNQRTVEEI IRARTWAMVSERCSGSSSNWEEALNSWRERQQR ACHE_10244S MPELAEVSRIVHFIRQHLVGKTLSKVAAQHDDVVYGKVGTSAAE FQKAVQGKQIAGAGQQGKYFWITMSSPPHVVMHFGMAGWLKIRNADTFYYRTDKPEDK DWPPKYWKFLLETDEDPKTEAAFVDFRRLARIRLVDCPADEIRKYTPLKENGPDPVTD KDAVTDSWLIEKVRSKKVPIETLLLDQANISGIGNWMGDEILYHAKIHPEQYSNTLSD EQIKELNSSMRYVCDTSVELLADSERFPEHWLFKHRWGKGKKDQPSVLPNGKEIVFLT VGGRTSAVVPSVQKKTGAVSKDVSDEDVNGAQTQSKRKRGAVKKEENDFESKDDESKV QSKRQSKASVKDENEEDTKQTTETASAGRRRSTRNKK ACHE_10245A MNRITSQSVETERHNWDAGRQQRREIAKETVKVLPGILKNLPTK PDGYKWTPVEIRRLDPKYCPNLNGQVQVVNGDTFDTAIRFDELFPRKNPINTKPVCVL NMANAIWAGGGFRNGSLAQEEELCYRSSLIFTLKLRFYPMKDLEAIYSPTVVIIRKGI KKDYELLDLKKPRSLPVVSVISMAALYNPGLWWPASGPPTYEDPADREIMKEKMRVIL RMAAHNKHRRLVLGALGCGAFNNPREEVANCWAEVLKEKEFRGWWETIAFAIVPPPPS LRERSNLEIFEEVLDGLSI ACHE_10246S MSAILSADDLNDFISPGVACIKPVETLPQKAPQNSEDPYQVTTE DKVQPENFPPAEISLTDCLACSGCVTSAEAVLVSLQSHMEVLNTLDAHPQIPLAFEQD GTAKLATNAVPEEGRIFVASVSPQVRASLAATYGISEKEAGYMIDQLLRGPNGLASGG KHGNGFTWVVDTNNMREAVLVLTADEVDESLSPNNTTAADGTNNAPPKRPILSSACPG WVCYAEKTHPFVLPHLSRMKSPQALMGTFFKTVLGKSLGISPSQIWHLAVMPCFDKKL EASREELTDVSWRPEGSASQPVRDVDCVITTREILSLASARGLSLPNLPLKSLPQSLT PRFPDRTLHDMLFSKCASKDQTLASSTSGGYLYHVLKTFQSRNSGSEIVIQRGRNADV VEYILISAEKQPIMKAARFYGFRNIQNLVRKLKPARVSRLPGAKTIGSAGGNRRQPVS RNGASAGSGPDYAYVEVMACPGGCTNGGGQIRIEDAKQITFDTQTTDASETSSKPTPH EQRAWLARVDEAYYSAESESESIAENQQPPSLAEKEPKIHDAMQYWSQIMGVPLPRLV QTTYREVQSDVGKTQTGDNDTARVVELAGKIGGGW ACHE_10248S MSREAYQVPSLGGQNAFGDGFAGSMDGPIVAYLCGECNSRVSLK RGDQIRCKECGHRVLYKERTKRMVQFEAR ACHE_10247A MPSHPADMQAEHVSISPLLQRLAYPATQVPVEASEIASAFALIF EDRLSAIQMAALLTLLHSTGKDKEADVIAKCSHRMREAASQVERSALKQALKARGKKE GNYKGGLCDIVGTGGDSHSTFNVSTTASIIASPLLMTAKHGNRAQTSFSGSADVLNAI SPVPPKISAVNASNVARVYEATNYAFLFAPNFHPGMGYANPVRRGLGLRTIFNLMGPL ANPVDWALEARMVGVAYQSLGPVFADALRQGGAKKALVVCGEEDMDEISCAGKTNCWK LSEYPNPAYQGSDKPDETSSSEEDTPRTLVTLETFQLHPSDFGLPTHPLSDVYGRKMP KDNAAKLMSILRNELPRDDPILDFVIMNVAALLVVSGICDADTSNMGPGDDGQVITER GPGGGRWKEGVRRARWAIENGASLKCLEEFIEVTNKLEQQQ ACHE_10249S MPPRLRVSNARLPRSLRCQRALYQHELPILVRSASTATTPAPSH EQMTHSPPPIARYPPSQPPSHRSPEYRRSQLLRQYTSLIRTTPLMLFFQHTNLKSVEW VGIRRELNKALRTVDEKIAAEGRATPALTPHIKMQTVQTNIFEVALRIVEYFRPDQAA MAAGQSPSAVDPASQTSAEINLAGSRDDPSLFHDLSRTAHDAVLNMKNKHEFSTLLAG PIAVLTIPQVSPEHMKAALSVLCPKAVGYPAPTRRANPGWHETGVQEGLQKLNLLAAR VDGDVFDVDQTKWIGSIEGGMDGLRSQLVMALQSIGSSVTNTLEGAGKSLYFTLESRK NVLEEEQKGPEEGKSDS ACHE_10250A MAAEHLTIRNLTSTPVTVKRIERFHATNHGFSCLTGTLKNTTHS KSVVVIDSHTEPFAEKEVDIRVEPFTTVKTELPAFVDSKKERLRWTIDAEGEQHQVQT PVPTSESATMKPLSDSPRFQFTGIYVTPEAHLAIYSSANLNAWMKELRDDTLMSSLSI PGTHNAPTYHHAPPSVRCQAVSPREQLQNGVRFFDIRVQPVHPENPDRDELALVHSVF PVALTWRKYFRDLMDEVNDFLEKNPSESLIICLKREGPGTHTDEQLSRIVADHYATPE SRWYTEPKIPTLGEVRGKVVLMRRFNIQERLKQAHEGRGWGIDAAGWADNCAHSMCPS GQICIQDFYEVLKPEVIEKKIQYVSEQCHRASQTCYPFGILPPNADRNHPFYINFLSA SNFFKLKTWPEKIAAKINPAAVDYLCRRHEAEGESDWSTGILVTDWVGKDGDWDLARC IVGMNSRLKFRQSKCA ACHE_10251S MNALRGSRGITWAARTYRSQRFLPTLSPTLLTIPRRTFHSSSSL WSIKSQVLKDVGEGITEVQIIQWYVEEGAHIEEWNPLCQYQSDKAVDDITARYEGVVK KLHFQADDTVPTGRALCDIEVDDAKYPDGDAQPAPSPEPVQISPIPTETQHTQPTQPS QLTSSEPASEAPKSRYATLATPAVRGLLKTHNVNILDISGTGKDGRVLKEDVNKFIAM RDSAATAQPAAVSTPETEKVVNLSPFQSQMFKTMSRSLSIPHFLYADELNINDISTLR KKLASDSKDPQKITFLSFIIKAVSLALDQYPLLNAKVDTSNPDKPQLIMRPKHNIGVA VDTPNGLIVPNIKDVANRSILNIATELSRLSALGKEGKLTPADLSGGTITVSNIGNIG GTYVMPVIVPNEVAILGVGKSRTTPIFDDAGQVTKGELVNFSWSADHRVVDGATMARM ATKVRQFIESPELMLLNLR ACHE_10252S MDFDDDEPIDKELCMSPMIGIANVKRTIIMGRIKLTFSNVGEKD PFFKKESEGPQHKPYNSTAPSSTGRPTPESIRQSASWKFKITNTLDPSKKPGAATLAR WSKAPTRSWWPPQLLPSAAFFALEVEIGRAPLQKRTSCLYELRGCFAIVAFGTRYKLF YYVHYGPNPFPPLEPATNNSYCEQIAAKVTDPVSKNRLIQLIPGDEPMDLRNSEGWDM LAHWL ACHE_10253A MLSAGERPQPSTINASLDAPVKPVSLNHSAHTAAPWPDMDQVGN IQHIWVITGPAGCGKSTVGRDLQEELNCPFLEGDDFHSVSNKQKMAQGTPLTDEDRWD WLISLRKAAIDALSPSESNNFHPPSGVVVACSALKQKYRDVMRVAAYGTPLVQIHFIY LRLSESVLIQRVNQREAHYMKSGMVHSQLEVLEEPLGEWDALTVNVEGPKEEVQRNVW DLVTAKLAEYE ACHE_10254S MTSLFFSTPVDIDVVLEDSDERQTVDVKLDKGRRERAPLYMDGE SVKGAVTVRPKDGKRLEHTGIKVQFIGTIEMFYDRGNHYEFLSLVQELAAPGELQHPQ TFPFNFKNVEKQYESYNGINVKLRYFVRVTVSRRMADVVREKDLWVYSYRMPPENNSP IKMDVGIEDCLHIEFEYSKSKYHLKDVIVGRIYFLLVRLKIKHMELSIIRRETTGSPP NQYNESETLVRFEIMDGSPSRGETIPIRLFLGGFDLTPTFRDVNKKYSTRYYLSLVLI DEDARRYFKQSEITLYRQAPEIAATPQIAQQQQIQQQQIQQQQQPLPPGSATAGPGRG EAHQQQQQQQQRTAPPPAA ACHE_10255A MSSQFLQTELLHLIQESKRKNADLRNAAETSLNELKALPSTSEA QTTADLIRRPKFVDPFIITCHSRHAKLAGIGVVCLQRLVASRSLPPERLRDVLNGLRE TTNLSLDIQLKVLQTLPSLLQHYSNELSGELLVSTLEICAMLQASKTLAVSSTAAATL QQLVTSAFERIAIDDKILDLSKPTEIVTVDDHPVTISHFAYDALRVLDDLCRLVDGEP LQFLRMKSLSPTFTLELIESILVNNGNLFVGHAELTQVLRARLMPLTVRYLSERHNFA QTVRVSRILLICLKRHMSLLTAECEMALGLLTHLLEPDGTAPWKRVICMELFRSLYAE PGLVRLMYSLYDGEEGRKNVLRDHMASLVRVASERPSLIGVGSQSTVPTRAEHSRSIT EEQITLEAGGVAGVIGTSVPTDTNVPGVSSQWSVVRSPYMDMLDKTDAPLPPDTYIYS LILNCIAVFAEGLAKFILPLTVPEFKHKRKNRIATPDQEPSSPVALSSDFQRTHSWKI TQNSKSKKSPVPVNLLELESHPQISAIKMCAGIIENCWPAVLATCSTFLYAALDDEFY HSLVRSFQKLAHVAGLLRLSVPRDAFLTTLGKAAMPPETGGAKTGTSTAPVFDSQNDY ASEKRRKGADIGSPLPADSPSATSDSHSVLLSTRNLLCLRALLNLGIALGPILDQPAW SIILETLQDTDLLIGISSVSTIKSSAAVNSPGDAATSSGIDVPKANLGAEIIAVQTAS NKMFESTNGYPTDSFQDILVALLSLSRFTEEASQEDPSGNVSGAPRSAQSLRCSGHMR KSTRRVSLTVGKSKAQDEQLKFVLEKADQIAKANLERLSSLDENDLRSWQSLTDSLIS GASHGEVSQALRLKASEVLNNLVFQTMKQNDVDDDSLRNTRQLRNLETLKKQINSLYN SKLPGSYPAVVIEIHEQSLEILKNILEQYAETFADGWTLVFDLISSVFGEVMAEETSE TGTANSGKASLLADSPRLIRAAYKSLQLVASDFLSLLPAPCRLDLVDSLSGFASQQQD FNISLTTTSSFWNVSDFLQGQIERFTIESHVDASVGEEDLAALAKDNDVSVSRNSLWL LLLLRIVDLATDSRTEIRNCAVHTLLRIFDAYGQQLSAKAWRLCLNRVLFQMVENVEA ELTRVVRERSGANSLELKPWIDTTVVMIKGSAELITTFFDSIVQDEEFDRSWERLLNY FQTLIGLGLLEFSEAVFSSLSNILLRVESPTGFSKQALESTWSLWDSGHPASKEDLLD LDCPNQDSALAYLQSFEQVYRLHKDNLTRERIAGILGHMKLLAWNSVSPRYSPDIDRS STLQSLIIASTKAICLDKKDSQPEILLCLADYADSALSKWTPGSDSRRPTFVAFSKSA VDLLRWYIADFGIKEDVFTDGSLATALKHLSNPITRKYEWQGKDREPRLWQKATTTSL DILQVAIPYVEKQYEKSIEGEISRFWECVVDILRGIVSAKGYRTKELSMTDMLADEFF DITAFNRLKSLIIPSLGAAVIKDNIRRDFAFALFHSSFIYAPKRFDLPDITTLMNDPL KGIYNVRPGRTFEPPPTTRFRMAYVLVDAMFELAAVPGDDDGHQEPHTLLARSISPYL ILRSAVSLRAYIADQPLRGLMPQPTPARKVLLHLLRGMVELRSEPSAIPEPPVVRSVA ASLVQPNDGYQLKKHLEWIYPLVVKALQVAGKEKDDGEVLRVLGELLQEVGHCGI ACHE_10256S MSAKVPRNFRLLEELEKGEKGLGAEACSYGLADGEDMMMSNWNG TILGPPHSVHENRIYSVNIHCGADYPDNPPSIQFISRVNIPCVDQRSGKVDPTKLPCL AQWKRDFTMETVLLELRRYMALPQHRKLPQPAEGSNF ACHE_10257S MRKITAPKLSGVHRFACLALYRALLRQCAKLPSTAPTLSEVKPT IQQKFHRYRNLQSPSQTLDALNFGYEALDLIHVASRGNQNVIQRVSTLISESQSERQI NSSIQQALSKAKPAKPKSKKEQKKKIIQAFEKQTARRHPDAIPITFRPRPAVSGKRRI PVLVNARGVPFLRIKKPQPMNLSGAIRSKLENRWRRIERRESLEAALEIARGEDRWDQ LTHGQEPATWSQVITDALTEVNDKIRESDLQNKKLAKDMWNVVLAERKLAAEEEKRAS NA ACHE_10258A MGREEQIEEREVLDSIFPEEITDLSETSYRISVTLETPENDTIE DAEQPVLLLQISYPADYPDVAPELEISAPHNAPKHPRLDVQEDRDRLLEALAPTVEEN MGMAMVFTLVSALKESAELLMSERSNAAQALKEMEAAKAEEEENRKFQGSAVTIQSFL EWHEQFRKEMAEKEQRQREEKEAEDKKGRKPAAREEKKLTGRQLWERGLAGKGDYDEE GEDALPAVEKMKISS ACHE_10259S MGKPRPHKKKASKSKSALRARNSKMNEDPTKLLEQATLLLQTGQ ADEALSVAQRALELASNSPLSALNTVAEVYIELGEIDVAREHFLRAVELDPNGTIPES QGGGAEKFLWLSQLSEQGGQDSVKWFEKGVSSLRHTIQQLEGTNDPENLATLEEKKRK MANALCGVAEIYMTDLSWEEDAESRCEALITEALHVNPNAPEVLQTLASVRISQLRTD EARSALSRSMELWKDLPPEDQTVPEFAIRISLSRLLMEVGMELEALEVLERLILEDDQ SVEAWYLGGWCLNLLAEKQQAPKDEEPEQETPESNRLASLVASREWLKQSLTLYDMVQ YEDERLKEHALELVANMNKELPEDIDDEDDKEGEGEEGDWVDEIEAESDEEMADS ACHE_10260S MDLAPAPSGESSSLKEPVIEVQAVDMTSTETEETTNPVTAEQEE ELAKKEDEEDQKLLSEYETDSEAGSDEWETQSLYEDALQFVRDDQLRDGVPGACTLDE AVAYRQRLHDIGKAAFVEETIVREIVSAKKLCTAFGILPPPFLEGAPDEAYHPLLAMG ISREFSRRQKLPQYNTLDDAVKLLKDSKNIIVLTGAGISTSLGIPDFRSKDTGLYAQL EHLGLSDPQEVFDIHVFREDPRIFFSVAKDILPTENKFSPTHGFIRLLQDKNKLLTNY TQNIDNIEANAGVFPDKIVQCHGSFATATCFKCQHKVPGDTIMEDIKKGVIPECVECK KRLDGDLLKPQGMKRKRSSNGTQKDRKDQDSSDDEDDYELPTPGIMKPDITFFGEDLP DEFGRRLVHHDRERADLVIVIGTSLKVAPVAEVPGVLPRTVPQIYISRTPVSHTGFDI DLLGDCDVVVSELCRRAGWDLKHEMIPPDEKVEVKQVEGYESRHEFKVVGA ACHE_10261S MEFLIRFAQAHETFRQPEIEALASLAGYEVKFLYYDKFSPYAVV KLPDEAAARAVISRSILAKDIFVLWGQATNYDDLHADVRRRTSHLWEDLKHVSFRFTV DAFAGKHTAEEKRNIIQSFAYVGFEGPIRMKDPDEQFWVFEEYISDVEVPRLSRSAEP MPELLRPKRIFLGRWLAQGSRDIMAKYDLKKRKFISTTSMDAELTLITANMAHAAPGK LFYDPFVGTGSFCVAMAHFGALNIGSDIDARSFKGKDPATVGNNKQVRDVRTGRSIGL LSNLEQYGIASKYVDAFTSDLTNTPIRLGQFLDGIVCDPPYGVREGLRVLGTRDGRGT EEVLIDGVPAHYLPGYIAPKKPYGFEAMQNDILTFASRTLVTGGRLCMWMPTSNDEVE LVIPMHPNLEIVSVSVQPFNNWSRRLITYRRLPEGQVSDVSLGRQKDDAQGMYADELN EFRRKYFTKNEKKLAKEQ ACHE_10262A MSDSLLNNHLNKNLKFIRFPSWRMKYILQLQTILVYISRVILHL RIMTSARCPFCNIAARYPHILPSLFNSNSNNATITTPDSVLSRLRAETEETRPESGPA SGSGSEPELEAESSHAYLILSTKSVLAFLDIMPLTRGHVLVVPREHYGTVGDVGVTAG RELGQWIPIISRAVMRALFGDSEAHWNVVQNNGIRAAQVVPHVHFHIVPRPPLDQPPS SKKTSYVMFGRGQRDELDEEEGEKLAGEIREELAREVQRVRDVEGVDLEGDIGGDERK RRGGGKL ACHE_10263A MPPQVRSLRTRGAMNENDENGPSTRVTRAKAAALSTEGQAANVP AKKPLQTKKVATSTANNGAPRRRAALGDVSNVTKSENTETKEGKKPATSKIGLTSKAT SQTGGVQKLSRTNTSRTGTTATATAKKPSSETKRVGSAQVKRTSSQKSVQEKTLQAEE PPRKKVEVEKKAAEKQPPVEKVSTVKEELEVPVADNAKQAFEDAVVDLDTEDLDDPLM VAEYVVEIFDYLRDLEIETQPNPEYIEHQPDLEWKMRGILVDWLIEVHTRFRLLPETL FLAVNIIDRFLSAEVVALDRLQLVGVTAMFIASKYEEILSPHVANFSHVADETFSDKE ILDAERHILATLEYNMSYPNPMNFLRRISKADNYDIQTRTLAKYLIEISLLDHRFLVY QQSHVAAAAMYLARVILDQGPWDATLAHYAGYTEDEIDPVFRLMVDYLHRPVCHEAFF KKYASKKFLKASIITRQWAKKYHHYYIKSSLAQSYSSL ACHE_10264S MLQARMALTGLRLPFRCLPSLSLPARAYSTTINQTEKPPQQESN PSNFDPGIAFAPPPTREDTGVLLRSYKPRTPGIRHLRRPINDHLWKGRPVQKLTFPKR GHAKGGRNHTGRVTIRHRGGGHKRRIRTVDFSRIAPGPHLVERIEHDPGRSAHIALVR SQQTQRLSYILAAEGMRAGDVVQSYMSGIPEDLWKSMGGTVDPGVLAARTAWRGNCLP LHMIPVGTLIFNVGLYAGKGGQLCRSAGSFATVIAKGGDFQGRANDTSRTQTGGPGAE EEKKPLSQREKQKLERATQHVTIRLQSGEVRLIHKDCCATVGVASNPNHQYSQLGKAG RSRWLNIRPTVRGLAMNAADHPHGGGRGKSKGNVDPKSPWGIPTKSGYKTRPKWKINK AVVVPRVRNQGKRRRGYS ACHE_10265S MADNDPSQYSAFQSLPQSQSQLTEIDHDAAASPATAAANGGGDG SSNYVPRPKRIACVVCRRRKLKCDGKKPSCGTCSRLGHACAYDEARKKSGPKRGYVKQ LEARLAQVEVLLNGQESTALPAPQTLPQSQENAFDAPMSNSTLPSDLTSIPAIPDVVD RCIVSGEIATQTQPARGYLPEQGFNTTGNSTWDMISLGLEESLPDQNVIDELHQIYFE KVHPSIPVVHRPRYLAASNLAPSLRPPVYLQYIMWCHAASINDKYHQLHGHFYQRARK YAELEEMKGLGENILSLAYCQTWLLIATYESKMLYFPRAWLSTGRCARMALMMGLNRL DGAGLNVKQSLPPPKDWTEREERRRLFWMIFCCDRYASIGTSWPMAIDERDIMTNLPA TEDSFIKSKPQRTLRLADVLTGEGNATLSPLASVVLLAALFGRNLTHLHRPDPQDNDY DLNGEFWKRHRSYDNLLLNIALQLPSHLRLPAGMDDPNIIFSNMCIHTSTICLHQAAI FKAEKNKMPSQMIIESKRRCIVAADQIANIMKLISHMDLTIMNPFLSFCVYVAARIFV QYLKSRPDDSLVQSSLQFVMSALRALKSKNPLTETFLVQLDVDTEGTAFQGVQTQKNR DGHGNHCAPGLEVCAPENIADCPAFLGLPDSHSGDASENAPQSRASDPSLNHNSIPNQ YNHDGTQPNLNAGFIRPSHNFDPEIDTTARAIIDMDAAPNLILNDDSNTPSNHQSPST LNSMSRTEDPPPQKLSPPNTDHSTQIPMNPLNMPSSVGGGTDPSQFSNPSSLARHLNQ DTAPFYSTRPGTSFSIPSPSAWDFANSQAGVSSPGNVTSGPTDSYAEAQFAQFILDTT WRG ACHE_10266A MAPPRRNLLSKDRFAFAFETLKTQNYYDPASKAPGSHTIRTLAW NPTGQLIATGSADRTLRIWNPERPAVRYSTDLRGHSAGVEKVLFHPVRESELASCSTD GTVRFWDVRSKTCVSRLDVGGEAFTLSWSADGSVLMVGRKDDTLIPVSVESPSSPTML IDGVTSNGFPRDGKTTYTALESHPQPIQTNATTFSHHIPTPTASDLHLFATTGEGTVK IMSYPSFDILHTLHAHTSACLSIALAPTGRYLAIGGSDALISLWDTTDWICKRTVSSN NGGAVRGVSWSFDGRFLCGACDEAGCGGNGIEIFHAETGESVYTVPVGGSSNTGIPAV AWHPSRYWLAYSTTNDGPGSGGAGGLKIVGAAGGSL ACHE_10267S MLDLGDDASRREKCYTTITQLPAYVDPKQPPTKKSPFSAILSLP YIHTVETILPEALYSSIGESLNAKLQKPQYARVLMPLASLLEGEFFNAYIKIGKILMI SEGRSGTDNVFSLRDGILRLELGKEIFERTGLAGKPIRGGGRKHAKERYLVELNLRLP SMLHGKKGFERIVWAFRNVLTESVAWLFCDLASESNDLPKDIGNTPLQKHQPQIIECD MARILHCEVLVPPSQMDITESTPSEDVQEHCNALSEWLAMVSLESPRVTANDTIDPYL SRYSVPDADDANPTNLISLKWHGFINSRWITQLLTALLVETSSQTAGAQSWFALSSNA LGREAVDGKDGYTILSQLPNSHSSNPSRRQCICWEFVGTSNTLVMK ACHE_10268S MDTRNGGAHYSISRDGNTTIADESEDATATAPVTQLPTPPATSP VHTESTRRYTPKLPRHNRVASAGLRITIPNDSDYYAYALCRPLPESKDPMAYMTPVGY GYTVFASTGQMLYLFIPGPKLPHQVSRYSVPPDGSIRPIVAPMDSVSPLSLPGPMLPH SGSHAGSMLPVGSTLCTRENTSFIPVSTISQGIVQGSALLMAMSNFPQAPFGASNVFI FAQYEAAPPAQRPSSSSQRQPNEHHTPLKQRAFETQRTALKRKFGFAEHIVPPSFMTN SDKNGRWDIDYYSRWYPLIASKNKRPCLR ACHE_10269A MSKPLNSYPISSKSRTKLHAFRYDDDAEKSTEKSPAKSSSKSNH ATKENQASWLNGVVEQEEPRPGKEPLSSQTTETKQIKECPQTPMNRLPLADLIGNAED AISRAPGQELTPEDHVIWQHVPTSSNSGSVSRSARGKKRRHSATPSSSPLADNSNYAN QEPLDMQSAQALLKTPQNDLATDLWNNYVGKGVLNGNGDLPPPRLQNLLSSSPQTPAS AKKGRDSSGLRRAISCNVDWPTSKAKRRRMDGDGSRTGRNIFSRSRSNVVDSGNAKTT SLRSLVEKMESLHKAPAAPADPPGSSSVPVRANVQQRNRSIPPIEDKTALKVSGMAGS KDTTNTLGPNSRELQETTPQGSSSEFEDDDLDMDLLEFADTTLDSFTEPTQSRSTVHS VKPVPTNRPVSKEQHMYDHSMEAMPHSTNVGMNIRNAANDIDEFDDDDDELPENIRMV LDGCDKAPVAAKPESSPTPKHAVSTNSSKEIEKPDSSSGDEFDDEDFDFEAIEQSMRH PGDNGRSHNIRSRQAIKRYLIADTAEDTYTTPKGRAQPEQILLVQDEKSKLRKVIILR ESWFDSPCSKDSYIHLIGEFDAQGHCIVDDSQNMIILHPDHLISATVVSDSTSCQRRA VLQERIKYSGDIGKAQVFGNVFHEIFQEAMKKNRWDLSSLKSLATNVLSKHVEDLYII QMTMAQAVEYVMGRIPALRSWAETFLRVKPTNESVVEDRNSSKMRLSINKLLEVEEHI WSPMYGLKGNVDATVQIACNDGEGDKNLVIPLELKTGNRDTNHAHRAQTALYTLLLSD RYDVDVNFGLLYYLEISKIFRIRGIRHELLQMIQERNRLAGYVRQRLQLPPMVKRPGM CNKCYAKTPCLIYHKLADDGNGDSSGLGDDFDKDTDHLTPQHQAFFKKWDQLLTKEEQ DMMKFRRELWTLLSTEREALGRCFGNVVIEPGSSSDDNDNVKINRYRYTFIKKQASPS FSFTESQLTLGEPIVVSDEKGHFALANGYVVQVSPKRITVAVDRRLHNARTKTPGFDS KWNQSFKGVMEILDNGASATTAQADDSEEEMVYRLDKDEFSNGMAIVRNNIVAMMERD LFQAKQLRRLIVDNEPPVFKPTSSHKMSEAGMSNLNIDQKRAIDKVMSAKDYALVLGM PGTGKTTTIAHIIRALVSQGKSVLLTSYTHTAVDNILLKIRGENVRILRIGATAKVHP EVQQFVDLAATPRNSVEEVQARYENPQIVATTCLGVNHSIFSRRIFDYCIVDEASQIT LPVCLGPIRMARTFILVGDHFQLPPLVQNKEAQEGGLDVSLFKLLSAAHPDSVVNLEH QYRMAEDIMLLSNNLIYSGHLKCGTPEVASRSLEIPNIAGLEQHHIDQFPQTPTMRQR CLGTSQGRCWLRDLVDPSVKTRFVNTDTLVTTALEVAVGSRIVNTTESTLCAQLVEAF ISCGISARNIGVITFYRSQLSVLKQDLRHYLPELEMHTADKFQGRDKEVVILSCVRSN AENYVGDLLSDWRRVNVAFTRARTKLLVVGSKNTLRDGNELLGKYMNLVENRGWVYDL PEGAIENHVFQHDSLSATQTQPPRASQSKPSFTPKKRPSQTKAARNPLSPVQDGQGPN GAKQPAKKGAKILNGNRVIGNRPILQDVVNDFVG ACHE_10270S MSARLFSNRLRSSLLARRPQNVQGFSTRSNLRAADHGDHYDPPT GYLFGVKPGQKYVKEGWENLWYYGFIGSLLVAGVAYIFKPDSSIQTWALEEARRRLEA EGILEDPDKAQRK ACHE_10271A MAIAAVGQICSTASMTANLAQCQTLVRKAVAAGAKALFLPEASD YIGSSAAETISLARSVNDSEFVLGLQKEAEQANLHINVGIHEPAQGGKVKNTLVWINE KGDITQRYQKVHLFDVDIKGGPVLKESASVEKGMEILSPFATPVGRVGLSICFDLRFP EISLALKRQNAEIITYPSAFTVPTGNAHWEALLRARAIETQSYVIAAAQAGPHNEKRR SYGHSVIVNPWGEVVAKLGDEYREPQIATAEIDLDLLAKIRKEMPLLRRTDIYTEV ACHE_10272S MKSLRRDFSSNPQAANVSSKIFVRSTKSGKVQKIVRELYLRQDI PCSSKLCLTCPSVAPADANGNIAPFVLSDKPAGTAAFPQGHYLVPDTNALLNGMDLFE HTGAFYDVIILQTVLEELKNQSLPLYNRLLSLIKTDEKRFYLFFNEFRLETHVRRGPE ESINDRNDRAVRTVAKWYSEHLRLAAKRKKEKAFPVIVMITDDKGSLQKAKQEDVTAL SLADYVSGLEDSDRLLDMINEAREARDTKDARGQLFYPEYYSMSKLMTGLRAGTLHQG VFSVSPYNYLEGTVNVAAFDKPLLILGRDNSNRAIAGDVVVIEVLPKDQWKSPSTKIV DEEAVTRNDNPDTEDTEPVVTDRERKALQEEARKAHGKYSEGQPQPTARVVGVIKRNW RQYVGHVDSGSTGVQTSSGRRQQNVFVLPMDKKVPKIRVRTRQAGDLLGQRILVTIDA WDRDSRYPTGHFIRSLGELETKGAETEALLLEYDVQYKPFPKVVLDCLPPQGHDWKVP ASKEDIGWKGRRDLRDLLICSIDPPGCQDIDDALHARLLPNGNFEVGVHIADVSHFVK PNNAMDLEASLRGTTVYLVDKRIDMLPHLLGTDLCSLKPYVERYAFSVLWEMTPNAEV VSADFTKSVIRSREAFSYEQAQLRIDDKSQNDELTQGMRTLLHMSKILRQKRMDAGAL NLASPEVRIETDNDEVGDPLTDVKTKAMLETNSLVEEFMLHANITVAAKIYSAFSQTA LLRRHATPPPQNFEELINQLAKKRNMELDVSSSRALAESLDRCVDAKNPFFNTLVRIL ATRCMTSAEYFSAGAHAESEFRHYGLASPIYTHFTSPIRRYADLMVHRQLASAIGYEG EDGRATLEGITTRNRLEDICRNINYRHRNGQFAGRASIEYYVGQALKARGEKLAANGI DTGIDEEGYVMRVFENGVVVFVPRFGIEGVVRLEDFVLPGESAVRAVEDRRELAIRRE TEFDREEYTLQVSEKGHPENERGVTIELFQKVQVNVSSIKEEGRGAGKRRVRILVTGA GK ACHE_10273S MMIQKHHHHHSLRDGQRKVSPPGPSYMSDNQIANYLKDLRTNRP LRPSGSRPLPSKPPGSTAQHVKGSSPPRASSAMSSYALASGQSVESAVEGSSPPRASP PRAASAMSHYRSSPSRMSYGSSAGRPLVQEPNTTEPRTIPIRKNISPPQIFSRPISVS PNAAYKESDQRRMEKEEARSLRDALEEMDLHDDIHLHQAAQDEATELVWMHQNPGVPY KNPGAPYRNPDMEKRSQSPDKNNRSPSQYRGFRKNFMSSPRRNSRQSASDTSSDGLHG LGLEDSPGKQSQTDSNGEGSSPKRNSLFRKNLKVNFALPSEESSSTPAVDTSGPNKTT FSSETSKGIFRNPNDQIYEEPEEQQSNSTNDRSEFSRSDSSALRNRPRNIFPRGSRPL PSRFGNLPFVDKLAKFDLHKNSQTQSRNPEYTVNEPIIQPGVGAKAAEEETVPTKDGL EIRSDDIRAATSKKRTDRSSNLPMPTAVSDRTGRPIVSFDPTWKPTEAASPGRSRDSP INRGSSSPTPPPAAPTIQVSDAPTIQVSEAPSIQVSEAASIPVINLPDSKEPTISEID ASSRPIPEPTRGNSRHPISPTKQPAAPQNRWYTPYTRAGVPTARCESCTLPIAGKIVT AAGSRFHPECFVCSHCQTPLECIGFYQEPETKRNERLVQTPVEDEEARAIRFYCHLDF HELFSPRCKSCKTPIEGEVVVACGAEWHVGHFFCAECGDPFDSQTPFVEKDGFAWCLQ CYSRRTAPKCMGCKKPVQEDVVISAIGGQWHDECFNCHECGHGFGPNGRFFVREGEPK RTAKGRIIGGPVQLAICEGCESIRLKSSSPRR ACHE_10274A MTPPPSKRQKRIAEDAAATQLSEEKKENNNGEIKMPKKKFYRQR AHANPFSDHRLDYPLSPAHMDWSPHYPAFVDPDPSKINLRGARKLLKDVEVVDIGCGF GGLLVGLAPLMPDTLLLGMEIRTQVLDYVRTRVRALRYQQSQLKNSSVSSTSQPQEPT PSEQQPEPEPSATSDDTPATILPGNYENISAIRSNTMKFLPNFFARSQLSKIFICFPD PHFKMRKHKARIISETLNAEYAYVLRPGGLMYTITDVEEYHHWILRHFRQEKSVAQED GGAAGETAAGTAGDVTDLFERVSEEELESDPCVQVMKEATEEGRKVTRNKGHKFVAVF RRKADPQWPA ACHE_10275S MYTPPPHSSFHESPTRMATSSITTPFQFPPTYSFPPFFTPQPNT STRLSQLQKWSSLIQSYCRHHRIYRLSLIEAVESPLFHNAALRKRLGLSEARAVLEWM AKAEEEGGGGRRAEWIDGGSKTVVWVWWKRPEEWAGILADWVEATGQKNTVLTVYELI EGEGTASQEWHGMELEAMFKSLNVLVKRGKAQVFGSEGQEGVKFF ACHE_10276A MADEEGEQLFSNCKVCIICSKDLSQDTAQQLASMLEENGGESVI WEPPSPFPPLEEFSHFISFTIDFPAFDAAADALKPVVKPQWLRASLEKKKVANPRQYS PDPRLFLNDVVVTCGDIPEGDKDAIIGGVLAKGGIYSSKVTNTVTHLVDLTADSDKAR IVLGKRPNVKVVLPHWFDDCLKLGRRIDERPYTLPDPEILRAAPNAPIRSSENRDIIG ASTPAPSTLPTPVASPDTRLHLDLFGGKNIMFSKDLGIGSHLRETIEEIITEGGGYIT SDVYEADMFICRYREGVEYRVASRLNKEVGNLAWLYHLMTYNTWTSPMRRLLHYPINR TGIPGFKGLKISLSNYIGEARVYLENLIVATGAECTKTLKQENTHLITAHGHSEKCTA AREWGLHVINHLWLEESYAKWKLLPTSEPRYTHFPRRTNLGEVVGQTRVDRSVAESLF FPSSEHPQEQTSSPRRAMQNENQNTTTTSAPEESSEMDIDPPEPAIATPRTTTSRKNL ENSNKKLQTPASARLTSEGKENETPSSTSSRKSKDAATAKLHGYAPDIALYEKEMKRV GGVIYGGRKKTDEDRVQLDNRKKRRSVDPQEESDTEDAMDAKRQKKSKPPVAMHLLIT GYQKWVGNLRKEDADKRQLRDLGILVVQDARKCSHLAAPSILRTPKFVNALAYAPVIV NIEYITQCLKKNELLDPRDFELVDKAAEERFSFSLKEAAIKAKQNKNKLLQGYNIYCV ESIRGGFDAFKSIVDANGGNCLLFRGRVSHKVQQPDSDDESSDEEPSEREVYLLSSVA PEHQKLWPRFRQMVEEIGKTPRIVRVDWLLDIAMSQELHVADTYELDEETIEKN ACHE_10277S MEANYLRHMSRERRGHKRQRLSSTAESERQASSQNFEISHENSR GSSSQVADNESTTSSRNLRRVASTNILTIEQRRQELELRDLEAEVKRKEEEVRLKQLQ NEQLELELIVMGRSLAI ACHE_10278A MTTFSAEISDHESNDQTDGNMGDNIHSGGTTTPVPQDLVAVIAG LQRQLQQMEERRIEDLRRLKEELTSPPREPLPQPTIEEIAPQPMADPVRRPRPKLTDP EVFDGRNRSLYRPFRSKLRAKLEVDKEALGNAYDRMWYAFGRLTDGAAMQVLPWMERF AKKGATESQLDGMLDQMDFIFLDRNLEEKAVRDLASLKQNNKPFTVFLTEFNRLLMEA DGHNWPENTKRSYLDNALNREMNTRLETVEKKNGFEDYCRQLQQIADRMEKNQLRYSR NNKHTTSTSPAHPVNTTRASSPPQDMDWEPTTTTSARSQPRRVAKHVSREEMERRRQE RRCLRCGDSTHFISHCPYDSPRNSTRMARSHIHGPELEDEEEQLREQPKLGKE ACHE_10279S MATSGPFRIVEHVVPGEHIREYPAATANEQEEMLYLAVKQYIPL DNPNPQLGDVTIIGAHANGFPKELYEPLWEEIYKRSKANGFRIRSIWMADVAHQGRSS VINEDRLGNDPSWFDHPRDLLHLINMKRDEMPRPLIGIGHSMGGAHIAQLSLIHPRLF HTIILLDPVIQRQSSTHESQSSQPTSLIAKTVQLSTYRRDIWPSRKAAAESYEKSPFY QAWDPRVLERWVQYGLRDLPTAIHPLEESQLKGKDAKDRYANHPVTLTSTLHQEVFTY SRPNYDRPPGTDTPVNKMTHPDLDPSSLDTYPFYRPETSRIFAQLPHLRPSVLYIFGS KSDISLPEVNAEKMATTGAGVGGSGGAQTGRVRDVTLDKIGHLVAQEAPAQCAEAGSS WLGQELQRWRDEDQAFRAEWSKKSKIQKVTVDARWKEHIPPMRKPKKTPSKL ACHE_10280A MQWPSLPFYPYPPSKDGYWSPVTSTLNWCEEDYYATIYAAEIIN TLTNLLFMWLGVKGILSCRRHKHDQIFLIAYCGYLVVGTGSFLFHSTLKYPMQLVDEL SMIYTTCFMAYASFSYSRPTGVRLALAISLTSLAVFITLYYHYLQDPVFHQNAYAILT AVVILRSMYTMEKTLRPKWRGTREEDRLTREKRGFPVLTREQQHYENVRDMKILKTMW YMVGYGLSMFLGGFAIWNLDNIFCSQIRQWRKTVGLPWGIFLEGHGWWHIMTGVGAYL YIIWGIWLRHCLNGRQEEYYLWWPHTWNIPEVICINDSQHESGSVKKSN ACHE_10282S MSNNAAYAVSPQVKKPSTSSKSTFHFAAGLCSGLTSSILLQPAD LLKTRVQQSHQTAALLPTLKAILSSSNPIRGLWRGTLPSALRTGFGSALYFTSLNALR QGLAQNGSSILVAKNNGGNISALPKLSNSGNLATGAAARVAAGFIMMPVTVIKVRYES DYYAYRSLYGAGRDIVRTEGMRGLFSGFGATAARDAPYAGLYVLLYEQLKRRFASISA PNGDVPTTSSSSINFVSGGLAAGLATTITNPFDAVKTRLQLMPGKYGNMLRAVSLMTR EDGVRSLFGGLGLRIGRKALSSALAWTVYEELILRAENHWAAQNQIHL ACHE_10281A MPRYPAMSIVSESETDYSDTDISIEARSPRRRTSFRRRSVSRQR QPDFSNAYLSPVVQDIGGLQRSASTGGRRPNLANRDHHREWAREREPPAIVVDINNNT HRGPHKGRRSQPQLETSDSDESEDESMLRNHRRVKPTSRRPSPGVSREREHPHEYYRE HPYPREYQYAYVPHPPAAPVAPVPVAAPTPVPAQAPPQAQAPTPRGYSPWGREHEHPQ RDYDMLVDQRLLQRNDARQDMQLMKQQAEIERLERDLARRREEQEAHNDERLDHRHEH RLVREEDLWYEDEISERMRRLERFEKKERMEEERSRAEYNLKMKRFEEAERQAAEQEE VQAKIHEEKMKELQLRIAEEKERERIQAKIQEEKLQELKRKMEEDEEKERIKQEIREE EARKLLQEQERAAQEAAMKEAAVAEWKAEEERRIAAERKAQELRDQEFKERLRMEFGY DEGAIQELITKSKTPEQPPPPAPPAEEEKKEEKEHHHRPTWIKVHRKHLLPDTLIAYR LPWDWDEIDPNYIIIKQYISEDFQDELFAHTRRLRDGRLVAQDSSSLTELKVNDRHKD KMYLVRKKSPGRRSWIFT ACHE_10283A MSIPNEALQKLLQEIEAQAITSQQQLNITKAQITAKQKNARLLE LTSKELSSLPKETKVYEGVGKMFIGVPMQAVDKRLSSENTTLKNDVSGLEKKLNYFET THTKARENLEAILKPRK ACHE_10284A MPSKITSAINILVVNISLWKDPQDRIGRLQVAQEIVDASKNVGF VPRQPFPAKTCTRCSV ACHE_10285S MKASWLLPALSSISTALSADTIPFHEPVTAASEQKRAFEVLQVL NKRVANNCPGGYNPCSNLNNLNVCCRTDAHCARDAADNIACCPNGASCTGSLAAATGT TSSFMFPQTATATATGDATSTTNEISITGSTMNGAYPFVYVPTSFVDAATCSAYYSRC QSDYTQCTSSLGSNGQYAVTVAGDNGAGVTVSGGEATPVVSACSNLRLQACHGLNLGY CDDAYGSGSGNENAAASFRGATSLADLMFGMVVGVAGMFI ACHE_10286S MRAFQLIPAVVSSLVLLSQHAAASPLDMEGGDLDRRGCSNPCGF YSQLCCTSSQTCVTSGGQAVCSDSGSGSDGNYDYHTTTWTETDTKTYTSTWSSQRAGQ TAVGGGGSGSSSGSCDSSIGEEQCGSTCCGAAYVCSNGQCIIGSSSIWATATATPPVR GTSSGLSTVTETGNPTTTQAFDTPVNTDGSPAIGVKAPDDDGLSGGAIAGIVIGTIAG VALLLLVCACLCCRGALAGLAACLGIGRKRNNDEHYSQGGKPEGRTWFGAKPPAQSEA GGEKKSRWGGLATIGIVLGALALCLGLKRHRDREHDEKSSYSYPSSYYYYSDYYTNSS MSCTSHLPLTSGTNWFIGSASSDRRTRDTRRTRDTRGTRDTRGTRDTRRTRRSRARSG RS ACHE_10287S MLQTQTHHVFSHQHQYPQADPSWLHQQQQQQHHQAAQQHPHQQQ HSLVAQQHAQVQAAAAAAAAAQQQHYSRIAMAGAAGAANPAQGAGAGGMGGDAGLSGA ISVIDGGISEENRKVFIWVAELLDPNRREAALMELSKKREQVPELALVIWHSFGVMTA LLQEIISVYPLLNPSQLTAAASNRVCNALALLQCVASHNETRTLFLNAHIPLFLYPFL NTTSKSRPFEYLRLTSLGVIGALVKNDSSDVINFLLTTEIIPLCLRIMETGSELSKTV AIFIVQKILLDDIGLAYICATYERFYAVGTVLSNMVTQLVEQQTVRLLKHVVRCFLRL SDNSRAREALRQCLPEPLRDATFSSVLRDDAATKRCLAQLLINLSDNVSDGAPGIAM ACHE_10288S MVSRKRNHSEAGVAPKQPPEEPGLLHRLRNSWEFANLMQYISMF GKLMKIDENFGIEDLETECLKPTPSEKLLEIGLCLLKWISSHRGLTFDNFDEYTRRQY NAKAPHLPNPFGHDEVPNRFLDFDVFLKLRVLHQLSVWTFWNPDRIREKMPEQRETDQ TIWRIEELGYDSEDRYYYVLDDNRLYRLTFPPIPPPKSQPKSRSRNARAMRVSKRRRV SEAAGEDSDEANTNASGDLAKDPMHGMKWECIAVTLAEYQGFLDTIQKTRDPNEKVLR DRLNEQVMPIIEKEEEAQQRQIAKREKELLNMELLAGAKRSSRLAGKMEKERQEREAE EEALRRQAELAAARKEEAKQRKMEQERESRVNQREQRIKERERKRIEHEEELERITAE QEKLERGESRMSERNLKAELERRRKNLEDISQDDQWIFDCSGCGVHGENLDDGSHSVA CERCNVWQHSKCLGIGQDEAEQEDFHFICRECKRQEEEVKLPKLPLLKFRVDSASNSP SAEAQHQHQPQEQDLPFSKKPQGPGFTNIRNGSPAKLSAVPPSLSAITAQLSSPERRR SQPVPRFSPSKSANGFGVFSKNPVPTKPSGQPPLPPVQQSLSRRPSTSNSVQNPFSSP VQNRPSMSPTQGNRDVGPLAGFPAGAPPNDAPWTPYGQHQAPRSDGPTRGASSSFQSN PPSFSAATPSNPQSSPPQSSHGGMSLSGISPTKNSPRPMTSGSITGAPILPPIQKLEP SPKLMGRSSPDAPIPAPVKCMTPEQEERRQQENSLMMRGRNPSQSQGQGQGPSLMSSP SLNRIPPLGPSAVAPKSTAESMKQQSPTK ACHE_10289S MSHSYEHPDSTVKMAYDSDTQPLIAGHPGLINTPPPTADESVVP GSLESTSRWSVPKSSSAKPRVSRAPRIQRRSKKLKERSKPNVTAPLSKSTKHMTHIPL RDMESWVHRPIKIRMQEVAKRNGRVARPMNSFMLYRSAYAERAKQLITETNHQKVSEA AGESWKIESREIREKYELLASIEKSNHLKAHPGYKFSPSKKDKNPDESRSEPDPEQGP SPMSSPSFAQSGRGMSCGVENGGWDSRDFTPFDQDHGLPSASYLSSSWQTGHPGRPFT GINEAAHHYIPSSVPAGVVNLQELQYSSSTALAGLPGGTHHDLLQPQNSIPTPGSFTD GQLDPQLLKRDTASPAQVYHHNGVYHPMWQEGPAYLPATTSMPPNAVPYSVAGYQPSM QTLLDNRESWESSQETNVDGPNGGDFDHWINPHPTGY ACHE_10290S MYGELGNKLVQHAKRTQSLAHLPPYQTEMVRAVAREVRDLDRDV THLLTPFEGSFNPSAQPAVACALLVDHLCMRRNKRCLLAYHRVRTEKLEELCWKGVDL LEQQLPEDSLQQQGQQESGLGQVGNHSSLSPEEEEYFRLYGDMLAAYKGQWTDVDLTG SLEPPQDLFIDVRVLKDAGEIQTEYGVINLTKNSQLYVRQGDVERLIAQGFLERLN ACHE_10291S MGVLPPRRRRDSRLLGITPSNTEWSHDLLAHDSEFPVEHANNGS SPPAPGVFGIEPSVHGGSSYRTPSRSFLHRSFHNSLDPANYSSNGVREETAELASFAL SLNGTQSHSLPSDRNRFPQNLDIFRRSEGQSEDAISSGSLRDLAIPEVGGHEPVGVPA TGSSALTQLIRSPSSSLEERISTGSNGNGGEEEEQYNDGEEDSQQEGESTTADEGENP TTERTSLLSKSYRGYGIAEDVESQGVPNKCPRNTFSQFASKVTRCLQILSNPMSWNRR AVWHNGVVYPLSLLPSVFLGLLLNILDALSYGMILFPLGEAVFADLGSDGISMFYVST IIAQVVFSSGGSIFTGGIGSEMIEVVPFFHQMALTILQRVGEDNPKSVIATTILAFSV SSVLTGLVFFLMGTCRLGSLIGFFPRHILIGCIGGVGFFLLQTGVEVSARLSGSLEYN LSTLQKLSQLDTLPLWMIPLFLAIGLLILQRFVRSNFLVGGYFIFVGVIFYIVKFSAQ VPMDVLRNRGWVFDAPSSSNPWYHFYTLYDFSAVNWSAFADTIPAMFALTFFGVLHVP INVPALGISTGEDNLNVDRELMAHGVTNALSGFAGSIQNYLVYTNSLLFIDSGGSSRL AGLMLAAATVGILIVGPSIVGFIPVMVVGALIFLLGIELMQEALIDTWGKLHRLEYLT VVIIVVTMGAWDFVAGILVGIILACVSYVVQTSRKSAIRATFSGKITGSTVRRPPVQQ RYLREAGQQTLIMKLTGYLFFGTIVNVENTMRGLIEEEAFNRRPIRFLILDFSRVYGL DFSAAEAFTRINRILRKRNVQTTISGLDVRGDVGRSLQNVGLFAPENGVQIFEDLNSA LEFSENEYLKVFYNYREELLDSNAPSRISLEVPTITRSATVPPQSGPASLADGLTSSP RRRYLQQAATETIREDETAVLIPAAWTAMRQPLPLLLQTFQGLTSQNEDFWYPVCAYM TRENYTAGTVLYQEGDYPQGFYLLESGMLRAEYELPQGRYFELIVAGRPCGELPFFSE TRRTATVRAEQDCVAWCLGAEQWRALREEAPAIAQELLAVSLKLTTERMDSITSYVLT MAA ACHE_10292S MSAEAQKTVQETPAAAPATETTPAPAESTPATETPAQPEAPKEE TPQENTEAAAAPAADNADKPAEEPKDENKKDEVTPATEGQLGYKAPGLVKGLRFSKRF FYFQDDAVEAKQLTVFQQHEKPAVANPIAAWASQTGKGLLFLTKRAEDKATPAGIFSL ADATDLTKEGSTEFLFKVNGTKHTFQAANAVERDSWVAALAAKIADAKSEKEAITSSE GYKAELEKLTKPAGAEAAKKPTENKEEAKKEEAKPEEAAAAEETPENKENKENKDKSA KSRSQSRKRASLFGSLLGKKEETEEKKEEKPEEAKAEANTEAPAESAQATETPAEAAP AAETVENKEENKAEQKEEPKEEKKEEPKEEKKDDKEEKKDKKAEKSKRASLFGNFFQK VSSPSQEKTEKEAAAPAETSVASTAPQLDNPVEGAAGRPIEPESVTAPADGEAAKDAS AAQSPVETPKDKRRTSFFGNFGKKKAESSDTEDAEGKKGNKLGGLFRKPSKAVKLDNQ KEETPADSETRAEEKPEPVSKDAPVEEKPAQEEAKPAEAPAEESKTNNVTSSTPVQAA A ACHE_10293S MASLTPFPAASGSQTRIQRQQQQQQQQQQQLPSGGLCALSSNTR ARANDNAFARVWSSNYNP ACHE_10294S MSQPNLAQGLKMSDFFNDIPSHPFGDDLDTTMLSQQFSTAGLGS IPDSTASAPLGTISPKDLMMDASAPPSATFTDLSTPPSFESPGYFSQDTSPMFTELEF PAGHEQWDPLFPTNDTDAFSAAFDSTAMEVATAMPPPKASLPPIPPSPVVKAPSSPAP QAAPSPSPSRASSAKPSSVAGVNARQRKPLPVIKYDSNDPVAVKRARNTEAARKSRAR KLQRQDELERRIAELEKSLEESQKREAYWKTLAQNNA ACHE_10295A MCGIFFSLSTSGPVLPTEETGDLLRQRGPDSFRVHIVQRDVRIN DFKDDDQILKTVPACLTFVSTVLSLRGDHVHAQPLVGKESQSVLCWNGEAWKIAGEPI QGNDTELIFQLFLQAAKPSSATGDAVQRLADLISSISGPFSFVFYDAINSKLFFSRDC LGRRSLLHGTDENGSLKVCSLCDSTSSTVFEEVDTNGVYMLDLAAPVTTTTYNIQILP WSNDKDSPANHLKNPIPQMNKSIPNGNPSLTIESNVVNELEQQLHQSLEFRIKHVPDQ GQGPEATKVAVLFSGGLDCTLLARLSHEILPSNETIDLLNVAFENPRVVAAAARSKEA STESVYESCPDRMTGRSAFAELQKVCPGRKWRLVAVDVPYTETISHRDRIKLLMRPHN TEMDLSIACALYFASRGQGTVYEGETSFSYTTPARVLLSGLGADELFAGYGRHGVAFN RDSYQGLIDEVYLDVSRLGKRNLGRDDRILCTWGREARYPFLDEVFVSWVLQTPIWEK CGFGMADSEIPESEKGLDAEKKALRLLALKLGMEKVSREKKRAIQFGSRTAKMENGRT KGTDALS ACHE_10296S MSTTQPPASPSLTGQGDAHPTPPNEGTEGPQQVTEPTGQEPATE TTAAEKENVQETRMKSAALHEPETAAAPTMKAPPATPSRNESLPEKLKGLSVSTDIPE DRPSSSSDAPPPPPAKDEVYLNPASSSRPTHPPQSPIDPSLEWNEKELPDVPGGQIVD NKGTPSAEYSREHDSSQPEIQSIMGQFQGGQEQLMSPRLELAEQFFGTYPPRQSSLDH KKKVEVAATPDPAGMASSSSEKQPARAPSNPHIHDDDMLSRRSSSSTAPPPPEPEKRF DFHRFLEQLRHRTADPVAKFLRSFLHEFGKRQWMVHEQVKIISDFLTFITNKMAMCEV WRDVSDNEFDNAKEGMEKLVMNRLYSQTFSPAIPQPPSIPRSASRSKRRELERLHGPW RRGQHQEDIERDDVLAQKMKIYSWVREEHLDIAPVNNHGRRFLNLAQQELLKINGYRA PRDKVICILNCCKVIFGLLRNSKKGDTSADSFVPLLIFVVLRANPEHLVSNIQYILRF RNQEKLGGEAGYYLSSLSGAIQFIETLDRTSLTVSDEDFEQNVEAAVSAIAEQNRQTE TMEQKAAERSGSPQGSARSSGEGQRNTWRREQSSDEDTAPVAGLLRTIQKPLSTIGRM FSDEPDSPQDRHSPSGASPLSPAPPRLSPNVYQPPRHSTEERWSGEGPREQQQLERVL DAQDAAARQASAEDAQARQIQRVEHNDVVETLSNMFPNLDREVIDDVVKIKQGRVGLA VDACLALSAE ACHE_10297S MPIPTYDQTKSSNETRPSGSAGYASLEALSGMENNSSGRLVGTG SGGVVTAPGYIAAENSDLLENAGSDRALSKEEADQLYEERMEEEYAKREGGA ACHE_10298S MSSSAGPFHLLPQDCWKEILEYLPVDDRSRVSKTCRALRVSAEP YLYRKITINWDTPRLRTPLQLLRTFFARPELANFVHHVASFRQYYADPEWFGWPSPWH LRDWPREQTAFIDVVDKTIDIIQRARFPNTDEWIQAVYEGDFHVFWTVCISKLHNLRT LRLDYSFVWQGGYPGRMVRHALLGSNNILPRFEALELVDYGGNVPLPEPYGHLPEELE ETEGFPSVYNKEQFTGWFYLPALQHLAIWLREITGLKEAKFKCNLGNLRTLVLARSTI TEEDALFLLSQTKTLRNLHLGLAYSWPDKSVLQKGELLVQALGSVSETVEKLSVGLDC YPRVYEEYVTFEDCKALCVPFNGFLKNFPRLQSAELPIIMLLGFDPGDQIDLRSVLPA TLLELGLRDDLALCMGRWHQLTIYERVQHLHPTYKEATPFLSRIYHRLWSGIDFEEKE LQTTRTGTEIRVDIVADELGPGFGPYSYFKL ACHE_10299S MQYKTLSLLLLSATAMAAPQDGNNYSDLIGDLGSLASQTGILSS IPTNLDIPGVPSSVVEVLATAVPSTWLQNILTNSAAQTSVLNDLESSKYPDWWSSVPT GVQSYLWDVQSSLDASVTPTSGSSGTTTGGSATATSTSDSDGDNSDDSSSSSSSSSSG AAASDTSEGAAPAQTAMAATVAGAAGILGLALAL ACHE_10300S MALLFIKQKFFPSQANNGKSKDSSRKPLLSPEDSDTLHGFSDSD LESQRSYSTFHNPSGLENNDYDTDSTSSGSTRARINPRIVSDAILGLSDGLTVPFALS AGLSALGKTKVVVLGGLAELTAGALSMGLGGYVGAKSEAESYETTVRETKELIQTSPI ETGAIVHNIFSSHGIPSDVVSQINASLHASHDRLLEFLITFHHKESQPDCNQAWISAI TLAIGYFVGGFIPLIPYFIADQVIAALYYSIGVMAVTLLAFGYIKTCIVRGWSGRENI IAGIRGGIEMCFVGGVAAGAAIALVRLIDTA ACHE_10301A MTTPYEKALHQVDQAHSEDPRRIPYPNSSQPTSLQQQQDEIQET QNETIPYELHYATKMTQYLDKRQPNASELLRLAIRAQHLRRWEVPRDSYPMTKIGYHS WRNHLAKRQAELVVEICVKSGYTQDEAERVGALVRKEGLKRNPGEESETQVLEDVACL VFLDDQFEDFEKGIKDEEKVITILRKTWVKMSSKGHEMAIELSEEMSERARGLVQKAL GG ACHE_10302A MSDRTSSATFRVGFPSPSSPSVGYLKENDQPYISSDHFPQTPTS PPLMSVSAQSHATYFAPSQTSPRQATSQSADYSSLPPSDPMSSQISQQPTLSSTTTSF PTPASSVSGHLVDADKLTGTGMQESGSTVTADANLVPTQTPPEHTQTDHDRNMGGADP GTRVRDSANMDAMEIDNNDNSGSSNNEGAASSENNGFSLDSLQREFTSAYHLCKSSHV ATGPDPSLDLVSLYGLGPIAKSVARMDPVTGEKINRLRKSYEGKLKGLGLAGRNKPVK NEAGMPGSLRHLTMWPEEEWQNQKVFGKQIKIADMDSALQQLQMKAMKLEPGTVPNND YWEDVLGHEKPSKHAGAGDAGRKAAAPSGGVRAPSQSNGTPAPAELERSRPSRGHKRR YDDNSFVGYGEGYADDDDEGAFYSNSEGIGKKKRKKV ACHE_10303A MRSKNATVPRTYIISLRERARALESEVEKLKNEIQHAADAELMV RGAGRIRFKENDEPRYLGPSSGIAITRLVMEMAKQHTDSKSIKDVVPELTAQEIKSTF SEESNKPTSKIYPMISSIPQSNLPPRNLTYRLMDLFAVKAQAMLPTLHYPTFRQEVEE VFDGSDDPRQNFQLRIVIAISMQKLSTDYAGLADSYYLAALPYLEPSLKRMDLGALQC LALIAQYSMLTPTRTAAYWVVGLAIKLSQDLGLTEESTISKSPTGETLNCLEVDMRRR LFWIVMSMEFGLSHSLGRPSCLAVSHDHVNVKFFEQVDDQYITPDGILPGSKPILTKC IAIHFLKMRLLQAEIRRTLYLNRRDNPIHDHDPWFRQMEEKMEHWVVTCPKNDGGSGL GETWFRGRLNTMIVFLYRPSPQVPEPSPVAAEKAYKASIFNVGMQRQQIATGSVDLTW IFTQSLFMALNTILWSLSYPNIRKDHPIEEVQGHLDVALEAIMLAAKRWPGVESALLL YRSLVAACLKAYNTEESFVVRSPSNPSNHATPGSCQDAMSPPDMSSPSSITTSLQSHN ARFGKPSITDGSSTSTASRAHSTDPTLPYSHSSISSTPPIGVAESTPYQMLTPPMQQQ QQQQQQQQQQQQQQQQIPISNDSPAMQFTSPSYATPAATYGGLQVDPTTPFNAFPTVV PGLQGWDPDFNLASTTAGHLAYVEAAVDPMNWTSTIADQYSQYLNAPLPVPSWRERTL SYQEQVELMASLEDNIPDVSAQLMGDASNTFYQP ACHE_10304S MSSSFLDHPILKVSRPVAACSRCRTAKIKCDGKLPACSACEKAG KAGSCSGASDEFAKGKERSYVASLEGYCEKLEKEIATLRHRKEHASINDAGVLQESSI TAISSTGTVAEAHRREVSDIDDLVGDFGYLSVNATSRDFRGITSTMSFSNLLLSVAVV DSLPNISSPPLLPRHEATPLLQFYFDNIYTQLPFFVERSLWTSIDSIYEPGGRFAKPF DHFLVRMVLAIASATMSYHSDDKSQQRSLALVVGALEYAEDVLQPGSIVGIQAILLLA QYSLANPMRFRSWYLVGMAVRLIVDLGLHQDPPEEVVSNRDRLDLCRRVFHCTYCLDR GISSALGRTFSFSDASINVSLPAPTTSLGSSLTEQSHLFQRSSEPGLYLVKIRQIMST AYQEMYFSGRESSQQPLPLIWARCSQAQEWFDRAPTNVPHHFPVLYRLEALYTTIIIL SPSHRCPIVCDFNKVMLFDRCMDYISQLHQALENPNMLPCMTFLDIQRAYQVGRRFVD LLSQDYDIVLRPSAPAPPPVPPGSVDPPLLGNEGRTNCPARAIRCLTYTQNLLRYSAR KWAMYAFLQQYEQAAAPVRKWLTQPSVVYLPPGSGAFMAGPPTTVTPSAGGVHYPGFN YGPF ACHE_10305S MPSKMVNKPTDSKQKEQSINQKLQLYGIYHGFKHGKLPSNKQCD IALNSALQSKIISSPSKDLSEEGQQLVGDLREVIDQARKLILTKNDGHLLQEFIWEAQ RLTDVETKTPNAPVDKEAAKQDGDNAVQGLKTLGTLLITNGEFRKILSDALVIIRDMA SDASQTAANKLRPSEEQLSQIDQPAEENTWHEKPDKEQLKAKFKRNKGDRESMLSDPS ANADGESEVSKSTRRSTKERAREYADKGKEYLSEKVPKERREQAIWRLKKMVMEVQGH ADYQRAIETLLNLAEKYARHSQDLSKQGTGTVKDVRGNDGVRTVETNLRVLLERFANS TSLDDFFDSLETVYRDAEKDPELKGWFKNVNTFIRKCLQEQGYVMEEDCNRDWNHLYD HGRYLLRDRYKSHTTRILDEVKFFGEQYNEDSQNKAFGDAMNKLFLDLGRNSEGKMAF KKHLLKDIGNVILPGIFEHVRYVPIPRIEVSDPMADVVVENLVVESDNLMPNVLEFGS DNYFRWGRKQISSKRDNKIMISASGIQADLTDVSYYIKKKKGFPSITDKGVMDILLGG EGFGFKIAASIANKEDREHIVKVDKVSVDIHHMDIKLRKSKHKVLFKTFKPLLFKIVR PTLEKVLEQKIRESFISADNFARDVHNEAKKSEELARKNDPEDKTSIYARYMDVCRQK LSDKRKKAQEEAAKRDTKVQTTATLRDSQFPEIKLPGSVTTKATEYAELAEKGERWES PVFSLGNASESTDVPKPAPITRKPHRAGSVNGSETAAAAGTAGAANGAANGADGVTKA DGYPSRGFSDEINQAFGTEGGNVGAGANGAAKNVADGTTVPGAPAAFNPQTA ACHE_10306A MWNSRKVGILGGGQLGRMLVESANRLNIQANVLDAENAPAKQIS AHTGHVTGSFKEREAVRELAKGCDVVTAEIEHVDTYALEEIASEVRIEPSWEAIRTIQ NKFNQKEHLRKYGIPMAEHRELAKNTPEELAAVGEQLGFPLMLKSKTMAYDGRGNYRV NSKDDIPEALEALKDRPLYAEKWAYFKMELAVMVVKTKDEVLSYPTVETVQEDSICKL VYAPARNVSNAINQKAQELARKAVSAFEGKGVFGVEMFLLEDDSLLLCEIASRIHNSG HYTIEGCPLSQFDTHIRAILDLSIPPQSLEIRQPSIMLNIIGGAEPDTHLKAAEHALS IPNASIHLYSKGAAKPGRKMGHITVTAATMHEAETHIQPLIDVVDDIRAQRTDVKTKA QPSGPSKPAPSVAVMMGSDSDLKTLVPGLKLLRDYFGIEPAVDITSAHRTPTYMAEYS ADAAARGIKVIIAAAGGAAHLPGMAAAHTALPVIGVPVKGSSLDGVDSLYSIVQMPRG VPVATVGINNSINAALLAARILGSFEPTIQRKVEAYAEKARAENMEQKGVRMQELGWE KYFESM ACHE_10307S MSLDEEALQIVAKGGLMESGKWAGLVEPLVERLEYIVYNIFPMP KMPPEAAPSSVPHQLPSSADPSSQDSSIPSSSNKENNLPDLQTPPRPAPTSAAPATSP LSERVPDSQSQSFTGPTNESLPAPLALLLDSIKSTLRSLFSSKPPHTIQRLAELILHP TAHYRTLPAYLRAVDRVVSVTSSAEIFPLQVQASTSQPNGVTNGGGSSGLLFDHTPGS DESLGGALLTPIPWLNAASFEEENGEPVAKAITTESISITVHRAQQAPQGEQQQQPQP QEEIEPSTLTQETTSPTHTTTSAIENEALGSSGSPPPADSEEVPHARGPPVVGVEDMG LQDGKGVEMPLSNVDNAGDAPPDDNAIKQPAQEKDDEKPKQESASASEEGKKDGDGDI SLDDVKGKNENESATGSSDKEQKEQAETEPAKS ACHE_10308A MAGPSPSALLRAGAEDQPSALMATTTVKVDGMTCGACTSAVEGA FKGVEGAGNVSVSLMMGRAVVHHDPTLLPPEKVAQTIETSGFDAAVLSTDTSSAKQAG HNNEGLSVSTTTLAVEGMTCGACTSAVESGVNEKPGVKSVNVSLLSERAVVEYDPSSI TPEEIAEAIENRGFGATVLETASPQDGSQETEGQKSGLKITTVAIDGMTCGACTSSVE NAFKDVEGLVQFNISLLAERAIIVHDPNTLPSDDITSLIEDRGFDASIVSSEAHASTS KTIQQVNMSLHGPRDASSAMALEDALVQKQGITSASVNMATFRISLSYDSTVIGIRSV VEAIEAFGYNALLADSDDTNAQLESLSKTKEIQEWKRAFLTSVSFAVPVFVINMFMPM YLPALDFGNFALLPGIFLGDVACLLLTIPVQFGIGKRFYISSYKSLKHRSPTMDVLVM MGTSAAFFYSAFTMIIALLFSPHKRPSTVFDTSTMLITFITLGRWLENRAKGQTSAAL SRLMSLAPSMTTIYDDSIAAEKVAEEWDRTNAKSESKSTNSTNDEASGPGLKYIPTEL IEVGDIVLLRPGDKVAADGIVIRGESYVDESMITGEALPVYKKKGSLVNAGTVNGTGS VDVKVIRAGKDTQLSQIVKLVQDAQTSRAPIQRMADVVAGYFVPSIITLGLVTFFGWM VVSHLLPNPPKIFMAEDGGGKVMVCLKLCISVIVFACPCALGLSTPTAVMVGTGVGAQ HGILVKGGAVLEAATKVNHVVFDKTGTLTSGKMSVTEAQLEAIWKSSESRRQLWWLIV GLAEMGSEHPIGKAVCSAAKDESGHPGEDGLPGSVGDFDSCTGKGISAIVEPTSSGER TRYRVLLGNVPFLRSRDVPVPECADPDSRPSVDRTSISSKPGSLAGITQIHVAIDGQY AGTISLRDNIKVTAVAAVAALHRMGLSTSLITGDTYSTAVAIATAVGIPTSSVHASVS PSDKQSIIGSLQESGEHIAMVGDGINDSPALATASIGIALASGTDVAMEAADVVLMRP DDLLSVPASLSLSKSVFNRIKMNLMWACLYNLIGLPFAMGLFLPFGGFMLPPMAAGAA MAASSVSVVVSSLLLKFWKRPQWMDAEKLEKEFQMEHSQFGTRGSQKKSWWAAALSVT GSRRKPVSQRVKDAGFAVWSLVTGKRTRVNDDEGYVPLQTVEPV ACHE_10309S MDHTHARALEALQPFIHLANSNSATSPRFIAKIITDATSSPQAY VFAELLESRTIQSLRSKETPEEYQGYLTLLEIFAWGTWKDYQSTPNLPELNQDQTLKL RLLSLLSLAPTIKPLTYEALMEALSISKAAELESLVTKAIYSSLITARLSPASDPPTV NITSVATLRDVKPQSLPSMISILTEWESRCGGVISDIEAEIEKIKANSAKRAAKENAR AELLNKAINSWSEEGDEGHPKRSGTRSGGRRLGPGGGGSKREYSADDNDDDGYFENGS DDAEPVGSRMEIDEGVGSSRVAARQAKRLLGKKT ACHE_10310A MASPSLLDVEQRNRLPTLYEVLSRRTLAPVDLFSFYIYMRDQQR SVDYLDFWLDVSQHMSLCRHYVRELRRSVLVATPELEKAESKGSSAAVLDNMDTMGDI PLVEAGPSNTRNDLPDEKDADQRLSAFLRLDGHNTNGNTTEASHETNHHERSASDEQA RESSETRDISNSPGHTVARADIRASAEKVLYTYLLPGAEREIVLPDEMVSTIINLVED DGRDDPEVFDPAKDYVFQAMERDAFPGFLQAKALGNLVPLSIVFRLAFALISFGGGFW GAFYVVLRPKPRHIRCWIILPFVVAVYFIITYQYKLDPVMALLGYSEYTFMNWAPIRE PYVRKLLNKRSTSVLFIGAFIAAALSVLFIFVPGTSL ACHE_10311A MAAMAIDSAKRKASEEITSPDSQQQSKKARTDSPEREPKVEEDE DTGKPLRIVPFPEKPAVLEERRGDIEFRVVNNDGSRDSFVILTGLKCIFQKQLPKMPK DYIARLVYDRSHLSIAIVKHPLEVVGGITYRPFNSRKFAEIVFCAISSDQQVKGYGAH LMSHLKDYVKATSPIMHFLTYADNYAIGYFKKQGFTKEITLERSIWMGYIKDYEGGTI MQCTMLPKIRYLEIGRMLLKQKEAVHAKIRAFSRSHIIHNPPKEWKNGPCQIDPLSIP AIKESGWSPDMDELARQPRHGPNYNQLLHLLNDMQNHSAAWPFTQPVNRDEVPDYYEV IKEPMDLSTMEEKHEKDMYPTPQDFIKDAMLIFDNCRRYNNESTPYAKSANKLEKFMW QQIRNIPEWSHLADGH ACHE_10312S MSLNEVWEAASAYPFSPVVSKDNQFSVGFSLLLIAFFLTGLFGL NRSFLSIASLGVPASLAFGFGAVYMICAVGVYV ACHE_10313A MVATRSLQLTVKKTTRQQKTLEGQLLMVKDGERTAISSRVAELD QIMPQYLGVSKAVLDSVIFCHQDESLWPMSEPSVLKKRFDEIFEAMKYTKAIENIKVL RKKQNEELAKYKIMEQHAKEDKEKADRAEKRSIKLQEEIEALRIEAHQLSEEMRRVAD LADKAWKESESYSQILGALKGKRIEANSIQSTIDNLKRHLVELDDSDEWLESNLEQFE SKQLHYQQNEEAQKENYMEIKEQIEQARHRLGLKQAECGKFENDKANYERQLERRKKL IREIARTHNIRGYDDDLDQTDMNEFMRKIRKSLTDQNQTLDRAKREAQTELREVQVTL NEIGQRKSALQESKNAARRQIASNDKEIASYQRKLNEIDVDEGVQAAIESNIEDINSR LSSSKGRAQAASWDKEIQEINTAIRNLEDDSSRLNSELIEATRMAGDLARLDHLKKEL KDRERSLETMKGAHDERLTKLVSSDWRPETLEQEFQQVMNEESRLVTNAENDRNGVNR ELEQVEFKLRNVRKTLDQRQKELQDSIKEIREAVDDEPEEYLEIVKQRQVQLDIARKD AEQYAGVGEYITTCLETANKAKVCRLCTRPFRNDSEFQSFKAKLEQLVKKTQMQAEDE DVRRLEEDLNTAREAGTAYDSWIRLREMDIPELEKEEQQHDSRRDELLTELENRDKVV DEKVEKKRDVESLSKTVNTIVRYDGDIKSIKSQIKELSSKRQGTSATRTLEDIQDEIA ATGEKSRALKKTLTKLTHDKEQARTEITTLELQLRDAKSSLDNAKFQLEKKADLLARL EEYKNFNAQQREAIEKADQDIEGLTPELLKAQARYDDISRRAEARERELQHAISTLSD SVNQLDLANDEINSYNERDGPGQLERARNELRHIEGEINQLETKLANITKEINKISAQ LKDSENTKRQYSDNLTYRQSTRAFDAVTAEIEQLEAQNAEVDRSRFKKESERRTREHN ALAAQQASKMGEMKSKDDQLMQLLADWNTDYKDAASKYKEAHIRVETTKAAVDDLARY GGALDKAIMKYHSLKMEEINAIIGELWQRTYRGTDVDTILIRSDNENAKGNRSYNYRV CMVKQDAEMDMRGRCSAGQKVLASIIIRLALAECFGVNCGLIALDEPTTNLDRDNIRS LAESLHEIIRARQQQANFQLIVITHDEDFLRHMQCGDFCDYYYRVSRNEKQKSIIERQ SIAEVM ACHE_10314S MEPASIRHGQAYGLQIDGGNSYTIQAQRMRQAQSLTGDFEAQTV QQSGARSWPQRAIAEMKDMFLLLSADGTITYASPSCQSITGYTTKQLEGKPLSYFTHQ DDRAIFSEELDQCVATGCALHLHFRFSRVGDSHCILEASGHPHMSNQGGNNADGNSKP YDGVFIICRPYPTKSAQFIDSFLEHKIENIRLMQQVTRLKEEEEEESKANKAPYARSD HSSSSNKTYFNQPPRFIASNPAGFTGTIIPDFITSAEEYESSDTLEPEQPKPFAAENL SHIEGIEVLTGLHYGEGERSQGISTGIRRGRLIQCDTDFTKIDQQVRSMEESDRRKRL RGEYRCSDCGTSDSPEWRKGPKGPKTLCNACGLRWAKKKRQTPPT ACHE_10315A MSWKQNLLYFPRNHRYHKVFLWLMAVELPFTIVILTLTGIASHD LYRTRLWQDGADNGFNSAPDEILYAMANYRPYKVPKVWSSFTDDYNLALGVLSTFFLI TKVPLHFLRLFYPPVAAFVHAGLLAVYIASASFQAGSDTSDSKHPQNGAPWYIAKSCG VAAHPNNIQYCKQAKALFAITIIVIILYFVELVLSFHSCVPTKEEIEKRRERQEEKRT MKEYEEEILKSPVMIPMTPGPNTSGLPPMTPHNFVFTPMSNGPSDLPFRNQPCSRAST HQESAETLTSGPQFFPPPPKAVTK ACHE_10317S MYGKSLQWLCFNQLFRSPPSIVTRTLYQNRNQYPFSPRYYRQMS SKPKTGVPHQVRTAAEPRQNRLYTVRLTHIDELNPTVRLLQLTIPPNVQSLENDTQDG DEDKTPQPLTFLPGQWLDVHIPTIPNAGGFSITSTPADANVLPIPEQPPKEHFDSVAE PGLPPVDSAGRPPYVELAVQKALSNPASAWLWKPKDEILGAELGIRVGGGFVWPPSGI DLNDVRNVGLIAGGVGINPLISILSHLNNNESNTVLPNPTNIQILYSTRLPKPTPSST EATLDQILFLTRLRQITQSQCQSHRLRIGLDLFITDLPEDSPLRTAPPVDISIHARRI SREDLSVAVRGADASIKPEETVCYLCGPPEMTDEFVGVLRGLLGDGQERILFEKWW ACHE_10316A MTRRIVRAGVQLALFAGFALLLVLFLDNRYRLLPASIHGHLPTH YSGLVVTDVTVSTCSSFNIFSNCKVNKDTWTRVDKDLYLRTGWTSSAYLHFERKKEEE LLPTDKVVLDMKIGRLNPKLMEGTPQSDLELWEQRPGGIWLKRTAKRHASDSQTTVTS VDVLFGADAVEPRVGWEVKDTPLLLNSWTEQLEARVTVRRGDPQKTKRAVPRIKDNGR FKIMQLADLHMSTGLGACREPVPVELVPGQPCEADPRTLDFVERLLDEEKPDLVILSG DQVNGETSKDAQSALFKPVKLLVDRKIPYAAIFGNHDDEGDLNRSELMEIYEDLPYSL SSAGPDDIDGVGNYIVEVLGSGKSAHSALTLYLLDTHSYSPDERQFRGYDWIKPNQIR WFQNEARGLKTKHQAYTHMHLNMAFIHIPLPEYRDNRNFFRGGWPEVPTAPGFNTGFK DAMEEQGVLFVSCGHDHANDYCMLNKDKNDKPSSWMCYGGGAGLGGYGGYNDYVRRVR FFDFDMNAGRVVTYKRLEWGETASRIDEMMLVDGGTVKGPDGAN ACHE_10318A MAPADLPYLKTNPSIIFFTDFDGTITLEDSNDYLTDNLGFGQTK RRKLNIEVLEKKTSFRDAFWQMLGSVKTPFNECVETLCKVMKLDPHFVEFYEWAKANN VPIVVLSSGMIPIIRALLEKLLGHKPDHIVIIANDVESRDGKHINNPGGWQIKYHDDS HFGHDKSLEIKPYAQLPHDERPTLLYAGDGVSDLSAAAETDLLFAKKGNDLVTFCERE GMPFTTFEDWSSILATSKDILSGKVSVKKVAKEGLEKVQKDEA ACHE_10319S MARLLQSLRRVLGLNTSKPALPKFSRSLDTDFHIFREGDRVIVH GKNPILSKPLRQGHKTDTRRGTLYHDTIIGRRVRDLYPAHKGPEYRLSLPNLDEYVAM TPRLVTPIYAADANLIVSLLDIHVAPPAEGEQSAPLEILESGTGHGSLTLHLARAIQA ANSNSPPLPEKSQIQYLPDRPLRPDEDAPKTVRKEQQSDGDTAEDSRQKQWDTWRASR GAVIHTVDVSPKFSAHAEQIVRSFRRGIYAGNVDFYVGHVENWIAEQIKQRAAALSAS TGSLSSLTSRDNTQPGPFLSYAILDMPSAHIRIPHVAQILKRDGFLAVFMPSITQIGE CIDLIRRQRLPFVQEKVVELGAGISGGRQWDVRFAVKKSRADPSSWAESSSSTELGEG AVQQDQEEPASSSNIEEEAPKEEDSVLVCRPKVGTRIVGGGFVGIWRRIEDSARK ACHE_10320A MADGTYRFQQPGAGQFFFQTQPQQQSHQRQLLRNGTNSPSGKSR YTHDTPSPARSPPLTQAAALNFAMYSQAHQGQHVMMNGSQAHQRFGMQIPKFQTQTHH AHAQQTHPHAHHNQPLTQHAFSAAALATTTPHFTPTPMQNGIHTNLDDDIDEMNEHWQ QQLQLAAESRQASSPHYHARSVAQQAKGIQIAPSQIEVQEVSTDGRNGVVKTKPAPRQ GWNALDFGGQGLRALSTSLFHYTFLEQLYLNHNKLKVLPPAIGQLRKLTHLDLSGNDL TDLPEEIGMLSSLKKLYLFDNNLRTLPYEMGYLYRLETLGIEGNPLNDVLKAQIMKDG TKALIRYLKEETPVHLPPPDRDWIILDETASSTNNPTEKVTVISYNTLCSTSATQSHY GYAPSRVLSWEFRRELIFNELRSHNSDIVCLQEVDQGSYNEYFREQLAYSGYKGVYWP RGRAMGMQEDDAKNVDGCATFFKEKKFILLDKQMINFGQTAVRRPDAKGQDDIYNRLW QKDHIAVVIFLENRQTGSRFIVVNAHLYWDPAFKDVKLIQTAILMEEITKLSDTYAKW PACTDKTAFRFSEAEEESDAPLSEPAPSMEYASGDQIPLLMCGDFNSSPGSAAYNLIA NGRLSEEHPDLEKRLYGNLSRVGMTHPFKLRSAYGTIGEMSFTNYTPDFKDILDYIWF SSTSLHVSALLGEVDKEYLKRVPGFPNYHFPSDHVALFAEFTIKGKKGKVVEADFGPQ RN ACHE_10321S MDSMTSSTIPAMTAAASGSDLNSTLSSTGRGWAGTSTSSLSSLQ NDYSTYPLIHHGDRTYLRDPENLYPLPCDLPEIHRQSLRTLMLIRVFGGPFGTPSLAE KPPKRVLELACGSGLWSSLCHDYFARRGHPNISFTGLDIVSVAPDLRKKGVNWQFKRH DLRKPRLPFPDDYFDFVFIKDAGMCPSSPAQQASGLSEPLRVLKSGGVLEIWDSDWVF RSLIPNPAPARKLASREQETADTTATYTFSSATPFTRAQNKYLQDYNSWLETAFDRRK LSAMPCATIGLSFNAEVDILENVDSRRIAIPLGELRWEREGHGRDSTGRTRKTLTSDQ FAIRRTALLTVIQMIEGMEPMLMEASGKSRDEWDRWWTAMTADLFQKDGLTSGECLEV SAWWGRKK ACHE_10322A MTNPALRREVINIYKELLNLGRAYPLGYDHFRNRLHKAFSSQAH LSDEEQIKKGIARAEFVKKEIEAL ACHE_10323S MSILARQVLRQQPLVASTVIKPSIAAGRFFSTSPARAETKSASA SPTPTKPHSIDPKWLTLTKQRIGRCMMFGLKPPQIQEAGDILQQIAKDWRELVSGSEG FLTNETVRGLFQHNVAWGEMV ACHE_10324S MYVRYAETARVNFMRNFATHMDPANKKAWMNLVGSTGIGLILRS IKIDYKFPMKYPDKISVYHKLVQDPSSQVDKSAFELQVVILSETHQRPAARCHEDIVT YNYRQAKKTPMPPFMMEQFQKAWALQEEAKKTWQQRILEIEGRVRTLETDSWDHEDAV EDKGSANK ACHE_10325S MPRSSAAARKSQSSRHENGPVGSSKKVTKQKSNGHLNGHANGGA GGFASSSSSSQVDLSSSRSSSDPAITATVATAAKLNGTADTSPSPSKSDSNVRGLLNG YTKSNADMSNGVGPPNNGLTGPSSRRTEQAATTTTAMGPKRSGSNASINPLQLASTIL KSCPMYDTIAILIFLLQLPPMVLTLVQFLFASLTFMPPSGASAGSFTSNFDIFQGPAG TPSLATMLAADGFSLLVWGLFMWTWAQNFALDLAHVQVAITLGGGGSGKSEGVSWVCV GIVLALHLLRSKGIQDFVMGYLLSAKIVSPDLLSQYSHFLPTEFRRTETQSSPSWIRS LLAVHILAQAGTAMLRRSMAQNRSPTPPRLGKRGDTEASAGSSQAQADSAFESGTSLS SILGTDGQIAPSSSALKDGRDRLVSAKKRRRQANQVRSRQPFWAALASTKVTVMREYE HSRAMSKTTRGSTMTEEDLQGVSLDDGLIWITDVDGSSIRYAAGDFASADDPAVSGAA CEAGHLGNEEMEPFYVCVNGALWATATVYKVDDASNGSNAVHWRGEISGLAPNCAYTC SFVRSDTDEEICVMSVKTPATNDADQVSSVSTPPQPSYRPSSPTTTLKNSIVNAEAKL NEKRARQKKTRADHTRVLNKIKRDMESLSNRLNSGTDENKQKQRSLQLERTIRQTEEA TTALEAQLSTKTQIPEEELQEWASQKADYERERDQLANAKEELVATRTAIAREVSSLE SDLNSTIQRRERLQSRRARVNEQHERIVSANAQGLNERERRAAEQFAREQDQAKLEAN YNDQFASIGQSVQDYHVRTNQLLQQAAAIEQAIQQQQLIDPGPLTPGAPISDGMALGP LTSTASNHRSLLGLSFPPLKSSPLQHSATLTGTTSSHPTSPAPQPSYLHQHAPSSPLA HTNSYFATDSAYRDRSFSNRSGRSGQFNSTAEFLDGSRLPPLQLDLSELVTDKRGSFC SENNNPLNSSMRPSPTSFKRAGSGSGSSGSGSPGSARGQGN ACHE_10326A MKLFTYSRLGLLTGQAIAQLNVPQCLTRLARHPAGLGLNRPAQE CQRRTLVDTPKPSLSDQVRLLMRRVPYPVAIITATDPHTHTHKDTQIEHSYRGMTVSS FSTVTLHPEPVVSFNVKRPSETLNALISSGRFLVHLLAPAKPTADLARDFSRGNHNLS IVNGKGDFEFVAHAQKVNENESDRPLPLLRRSGLRSRDIVDFPFVFECRLLPQQMEVF DHTIVLGHVVDTILQRPLDAEVRSQADSGELCLTYANTKFWEMGHEIK ACHE_10328S MSLSTPLRRSAATTSTIRTPTTFICSQCRHATLLRRPKRPYTFT QLVTLSDGSTYTQRTTSPVPVYRSTRDTRNSLLWNPSSHKLMNVEEDEAGRLAAFRAK FGRSWDANTPTEEEVKKKEEDAQVRSEAAAAQAAGEQKLEKNNEAAEAEKARLQAEQA KADQEEEDNLLDLISSFGQEEEEAGNKKK ACHE_10327A MDLDAGDSPWGDVPSQSTANLGASNPDIEETSTEQPTQQTGSSS SARRNPRVNRRINAQATKLEAVGDEVDPLGPLGDTAVDGGPSPIEQAPEPPQKEFAAR NARPTSSTSRASSLAGMVDSVTLEENGAGFRDPPPVQPPVEGEGTKRQSQPSVSIEEA AKPTFEIVVGDPHKVGDLTGSHIVYQVRTQTTSKAYRQPEFAVSRRYRDFLWLYNSLH NNNPGVVVPPPPEKQAVGRFDTNFVESRRAALERMLNKIAAHPILQHDGDLKIFLESE TFSIDIKNKENREPDLGQNKGMFSSFGISVGGGTKFVEHDDWFHDRKIYLDALENQLK SLMKAIDTVVAQRKGLAEAAGDFSASLHALATVELSPALATPLDGLSDLQLRIRELYE RQAMQDVLTLGITIDEYIRLIGSVKTAFNQRQKSYHSWHAAESEMQKRRHTQEKLLRQ GKTQQDRLNQVSADVADAERKVHQAKLLFEDMGRLMRNELQRFEREKVEDFKSGVETF LESAVEAQKELIELWETFLLQLDVGEEGNPLFSPQAPSNEPAAATAASAEVTTAGEGA ACHE_10329A MTDIQNVENNDHLVQSDDPEHPANKIPDLCRRFYNWGWVTGTGG GTSIRQDDHIFIAPSGVQKEMMQPQNIFVLQWPTPKYPPSERKYIRKPLALKPSDCTP LFLSAFERGALCSIHTHSQWAVLVTLLVEREKGPDGCFEISDIEQIKGIPRGRGKGML GFHDTLRIPIIENTPFEEDLTGSLEKAMDRYPDTYAVLVRRHGIYVWGDTVAKAKTQC ESLDYIFQLAVEMHKLGLPWVKDS ACHE_10330S MRFNSAFTSALVSSATLMGYAHAEEAEQKPDSSSVAEKPTFTPT NLEAPFLEQFTEGWDSRWIPSHAKKEDSKSEDDWAYVGNWAVEEPSIFKGIEGDKGLV VKDPAAHHAISAKFPKKIDNKGKTLVVQYEVKPQNSLVCGGAYLKLLQENKKLHAEEF SNTSPYVIMFGPDKCGATNKVHFIFRHKNPKTGEYEEKHLKAPPAARTSKVTSVYTLI VRPDQSFQILIDGEAIKNGTLLEDFNPPVNPAKEIDDPKDKKPADWVDESKIPDPEAK KPEDWDEEAPFEIVDEDATIPDDWLEDEPTSIPDPEAEKPEDWDDEEDGDWIPPTVAN PKCNEVSGCGPWSAPLKKNPAYKGKWSAPLIDNPAYKGPWAPRKIANPAYFEDKTPSN FEPMGAIGFEIWTMQNDILFDNIYIGHSAEDAEKLRKETFDVKRPVEIAEEEAAKPKP EGKGATPSVSFKEDPILYVREKVDHFVGLAKQDPVHAIKQVPEVAGGLGALLVTMILI IVGAIGASSPAPSDSKKGKETAGKSKEKEGEATSSSADTGKGGATKRRS ACHE_10331S MAARRSLTQSLPALRITASSARVASASASRSLTSLSKSSSAMSS SQRIPSCVSSPLTATRRLHATAAQLTPATTSAASTATEYPTTHERIANPIDTTNFLDN EFVSSKATQWIDLHDPATNNLITRVPQSTDEELRAAVESAEEAFPAWRATSIMARQQI LFKFVGLIKANWDRLAASITLEQGKTFPDAKGDVLRGLQVAETACGITTQITGEVLEV AKDMETRSYREPLGVVAAICPFNFPAMIPLWCLPVATVAGNCLIMKPSERDPGAAMIL AELAKEAGFPPGVINIIHGSAKTVDFILDEPAIKAISFVGSNRAGEYIYNRGSANGKR VQANLGAKNHAAVLPDANKNQTLNAIVGAAFGAAGQRCMALSTAVMVGETQDWLSEMA ERAKVLNVNGGFEEGADLGPVISPESQKRVEELITSAEQEGATILLDGRGYRPEKYPN GNFVGPTIITNVTPEMRCYREEIFGPVLVCLNVDTLDDAINLINKNEYGNGAAIFTRS GSTASRFQKDIEAGQVGVNVPIPVPLPMFSFTGNKKSIAGGGANTFYGKPGLQFYTQQ KTVTSLWRAEDAVSTKAQVVMPTNS ACHE_10332A MAAPADITIKNLNGEWTLDKSVSNDADPVLALQGMSWLTRKAIG LATLTLKVHQYPDSANPTVINIDIDQIATGGIKGTSEKRITDWTARPHNDHIFGNVEG QSRVVRGAKGADGKVRPNLEVSTKVGNDADDANVGRFLRGEILADGSETEGFLVETLG EEYGDGEGLYIQNFVVNKDAGYGWTAEQVWGFEIVQGERRYTRRIVVAKDGKYQMIRF VYSFLKHRDV ACHE_10333S MALNKKYAGLPDLDQAPDIYETPDLTDEASTVPTQTIRTASEAD EDDTNSDIDRQGVNADEARAQFMGATVDARDVNFSDSIAAKRKSYKSKNRRRRIRDDG LEEVGDLSDSEDESLERKLARLRREVEELKDEMTARQEKVDIETKESSGEGQEKLDDG VQELSRALDNLYTLSRSAAGPHSAAATLSRKIATDAAPDTTPPRSPAPENKTDASTSA SSGVLSHAAAFDGRLALVEAAMGISSSSNPFVADGNSEPSLQPVLPALDHLTSRLSTL TTLFIGPAPASAVPTMSSAPPSTTVSTPNLEALSTRVRKLTTDAEALASARKRALDAA KAAQTAKVAPASVEPSDMSVSSSSATEVDPVATQRDEQATKIQALYATLPTIQSLHPL LPSVLERLRSLRAIHAGSAQAAESLDELERRQGEMKKEIEQWREGLRVVEERMGQGEA AMKSNIELVEPWVRDLEKRLDKLKGSGV ACHE_10334A MGRDIVFLFLFLLIPGLVLLHLLVAPYTKVEESFHVQAIHDIET YGIPSLDEATNYLQEHYDHFKFPGAVPRTFIGALVLSGLSRPFIWLNENADRQVFARA ILGLFNASALMSYASGIERAFGQPTAIWYLFFQTSQFHVLYYASRTLSNMFAFGISTL AMRFLLFEPGVRHKHRAQCRFSLCLLTVAGIIFRSELALFLATHTLFLLLTGRVSLLR DVIPAGILGLSIGLVSTVFVDSFFWQQFPLWPELAAFKFNVVSGGASAWGTQPWHFYF SNSIPRLLLNPCTYLIGIPVAFSLSSTRAATAYLLTPSLAFVALFSSQPHKEWRFILY VIPPLTAAAALGASYIWTHRTKSIIYRLLSIVMVLSPLASFAISTFVLLPSSAANYPG AHALRALHENHADTSSQSEVSVYLGNLACQTGVTRFLQVPSNTPSTVWKYDKTEDETI KSSSTFWNQFDYALIEAVGPEECKLTSWAVSRWENVELINGFAGIRVLRPGEKAKGSV EESALRRLGGERAIGAWEKGRELVRRVVTRGWWVELRMEPRIKIMRRVE ACHE_10335S MFFFGLGKLVYVIILIINAIAVLSEDRFLARIGWGRTQPDAFGA SYDSTSVKAKTIDLIASVRTVMRIPLIIINSVIIVAKLIW ACHE_10336A MGSFFKSFRGNAGSSMSSSGASPAKKEPQAPPMSPLEKKLQGMG PIRNDGSDKFFGMENYGSTCYCNSILQCLYFSVPFREAVLNYPTRTPIESLEAALEKS LRFQDPNAYLEAEAQAQREKAANPQRIGAPPNQPQKPEDKESPEYKKKVALQTLPLLE TQNNSNSYGMSESLFTALKDIFESLVGSKSRIGITRPQQFLEVLRREHEMFRTAMHQD AHEFLNLLLNEVVSNVETEALKQPPPPKSLPFTESADSFDLANSSGSKTPNTTRWVHE LFEGTLTSETQCLTCEKVSQRDEIFLDLSVDLEQHSSVTSCLRKFSAEEMLCERNKFH CDNCGGLQEAEKRMKIKRLPRILALHLKRFKYTEDLQRLQKLFHRVVYPYHLRLFNTT DDAEDPDRLYELYAVVVHIGGGPYHGHYVSIVKTEDRGWLLFDDEMVEPVDKNYVRNF FGDKPGLACAYVLFYQETTMEAVLKEQEQEDLAASMDFPIPNEKQHNGVPPSPSLNHF QSTSQLSSPSSEFIRSNGSRPPTAPRLSTQIERTEPEITSLRTPTVHTPPPVPPIPVA HTMPLSPKKSDVQSRKDRAREEKERKIAEKEREKSEKQRRVSNAREHRHEGVPKPPVD TSKSSRPEDDRRNVPDNGNTDSPKKMHHHGFHRFRRSSKSLSHRFGMDKDSRTSTSDL PRTPINEHIASAGVSPPRKSELPDRPSLNHKTGTDAPKEHKHGKWRSFSFRKML ACHE_10337S MKTSLFPGLLCPAQRAVYRCSPIRTSPAATVIGQRKNIHPTRTP HYNHHRPSYYQFPCRYYSSEFSLDTMPKDNKNTFNLKTPKGTKDWSGSDALLRDRIFN TIADIFKRHGGTALDTPVFELREILAGKYGEDSKLIYDLQDQGGEICSLRYDLTVPFA RWLAMNPDVRSIKRYHIAKVYRRDQPAVSKGRMREFYQCDFDIAGSFDAMVPDAEVLK IVTEVFEGLGWQGRYSIKTNHRKILDGVFEVCGVPSEKIRPISSAVDKLDKMPWADVR KEMVEEKGLDSAVADKIETYVARRGGRDLLNDLLEDEALTANESAKAGLEEMTNLMDY LEAFGVLDRISFDMSLARGLDYYTGVIYEVVTEGSAPAVQQSSLPTSSSEAPEAQKLQ KSGKKDKSKSGNLEDDDRSNDPTLGVGSVAAGGRYDNLVGMFLPKANIPCVGVSFGVD RIFSITKARIEREQRAEALRSSEVDVYVMAFGGKGFTGMLKERMDVCKTLWNAGIKAE FSYKVKPKLPQQFKAAEQGGIPFGIILGEDELANGKVRVKEMGLEEGHPEKEGVLVDL SSLVDEVKARLARKSQSNVDALAQQLEGTKTEDAGV ACHE_10338A MRPVNCSVFHLCVRSPCRPGAFAVQRRGFASKPDQAPEIYDVVC VGGGPAGLGLLAALRALPATSKLRVALVETQDLRKARAWNPAPHEFSNRVSSLTPSSV SFLQHIGAWDYLDANRAQNYQEMKVWDGETGSRLSFDWSMETSPFEDLRTVATMAENS NLVRSLLARIEASGDENLSIFANSTVSSIENGTNFYPDGPNMSAWPVLSISSTQQQQQ PSRIAARLLVGADGINSPVRRFADINTDGWDYGRQGVVATLALSDPDPAPFPLGTRTA FQRFLPSLGGPIALLPLPNNHATLVWSTTPENTAYLKSLAPKAFIAMVNAAFRLGMAD LKYMMGMERPSSTSVADTENLHENELVWRLQHTPLPSHVPPMVTGVQEGSVASFPLRF RHASTYISPRVALVGDAAHVVHPLAGQGLNLGLGDVASLSNTIEYAVTHGMDVGDLLT LERYSAERYGVNAKIGGVCDMLHKLYNVPGQGPVTWARSLGVEAIERLPFVKAFLMRN AEG ACHE_10339S MASSTGNSSPAATTELKPQSKSKSKKEDNEPPLSANFARSSSSM IGYDHEVYLQLIAGGKSSTKTANQVYREERTRHLTTRALDPAILEPQGDPSSVDFECA EYQRKKDRIQ ACHE_10340A MNQHDDFDSVSWRNEPDSDNSRPTTSGTDTEEQRGLNYDVNGKR RMSSAHDEPQAGALADAIDLAGIGDGVLECNVSSPLKENDGTKDAYVSYLVTTHTDFK SFQKPEFSVRRRFTDFYFLYKTLYREYPACAVPPLPDKHKMEYVRGDRFGPEFTTRRA WSLHRFLKRLTLHPVFRRAPILTIFLESPDWNAHMRLRSSRTSQGSSETAGGAGIFDN FTDTFVNAFTKVHKPDRRFIEVREKADKLDEDLNHVEKIVGRVARRESDLETDYNELA TQFRSLVSLEPNVEVPLQIFAASVEETGRGLKTLKDHTDQNYLGSLRDMESYITSVKN LLKTREQKQLDFEALVDYRNKAVAERDSLAANPSSYYASNPLTSSPASFIRSKMEDMR GVDHEQSRRERVRKLELRIDELTREVDSAKTTSEMFDEEVVREVADFERIKAAEFRDT LGDFAAKHIDFYQGVINTWERFVADMEGEVEGNPERTEDNTER ACHE_10341A MRFLTSAAVALGFLCTASTTNFQPRSYDTHDFFALQLDESTLPS EVAQALGARHEGQVGELPGHHTFSLPREESSNIDALLQDLQLRRKLRRRSGNGAALDK REDGLDGIVWSQKLALRKRLEKRMPPPINQPRSFFSSKTDAQAVQTQQEVAMALGITD PIFADQWHLYNTVQVGHDLNVTGVWMEGITGQGVITAIVDDGLDMYSNDLRPNYYPEG SYDFNDKTPEPKPRLYDDKHGTRCSGEIAAAKNDVCGVGVAYDSRIAGIRILSKPIDD ADEAASINYDYQHNDIYSCSWGPPDDGQTMEGPGIMIKRAIVNGVQNGRDGKGSIFVF AAGNGAASDDNCNFDGYTNSIYSITVGAIDRAGKHPSYSESCSAQLVVAYSSGSGDAI HTTDVGLDTCSKLHGGTSAAGPLGAGVVALALSARPDLTWRDTQYLMLDSAIPIHEDD GSWQITKAGRKFSHDWGYGKIDAYSMVQKAKTWDLVKPQAWFNSPWLRVLHEIPQGEQ GLSSSYEVTSDMLKKANIDRLEHVTVTMNVNHTRRGDLSVELRSPEGIVSHLSTARKS DNAPVGYVDWTFMTVAHWGESGIGKWTVIVKDTKVNENSGEFIDWRLNLWGEAIDGAN QPLHPLPTEHDDDHTIEDATVVTTSVQPQPTKTQVPGEPNEAVDRPVNAKPSETQDLA TTTIASPEPRPPMETQSAIPTSTPVSESFLPSFFPTFGASKRTQAWIYAAIGSIIVFC IGLGVYFNVQRRKRARDNPRDDYDFEVVEDEDELHAMTGFSSRTQRRGGELYNAFAGE SDEELLSDDDDEGPYRDHKARETSSGERYDEPEK ACHE_10342S MAAAASRRLADATNGHPMPSQETENKGVERIPSSRRQNGYERDV AYHPRAPQAQVSRSQTVSYRRYPAPTARGHHRSSNSFSARSRNMPPNWDDKPLPPEPE TTEDESTTPSRRPLPQPAVPQDESARGASPQTILSTQPTSPLSRSDTTRSTKERRHDW ASDRSPLQKLEVALDGISKEEKRARVMEAEMKVKERLERQKAESEAHDSPAPAPAPAK ESASPSPALETPKPKVETPQMNLDRSTRSTTRSINSSLGTNTPDGYRDSPEERHIQRS NTTREPSSFRYAAVPRNDMRYVKPSAGQPVPAGQAPRRAVSVSHHPGRPMPRPLVNGK SSQETPVIHKRTVSQADPVSVPPRRVMLDENQAPRQASIPVPHDLPGRPAEQRAPSAA MPNSQRPSAGAYLAQNAMVPEPVQPVTKDLAVPQDLPSHESNECTAPLKPKRNTVSFN VPPPTPPPLFEWKNAPVARLNISDFEFQNLDVARIKAWWGEGASNRRRSRGLPKNYQM PSQKPRSYKAFQPSLHLRSGPLLRYTGMKRVQIDGPNGPFEKVTWRGSIMIVTQDSKS VYEPAPTLRLFSQPMDLLPPPPVVVNGDDEGSQLPPEYVDPTAGLMKLGRDGRPLYVK PVEHTEEELDLSHVEDDDGIYELSASILDFSSEGVKQPMPANRVHTVDGEVAGAYKEL TGIRLYADLDRDVTFWRFNIEVELGEAQQRIAYRINHSPALGFWVPAKSQMMNMTFHS GNGFTPGVDTNKFCGPDPLWRDILNEHQTRPFHVVIGGGDQIFNDKVTAESIHFQDWL KIKDLGDQYNMPFDPEFRAELESLFLKNYLTWFSQGLFSLVGSQIPMVNMWNDHEILE GYGSYPDEFMQSPVISGLGRIAFKYYLLFQHQTAIEETEHDEPSWIMGAGPGPYIKER SRSLFIPLGKGVTLLGLDERTERMSHEILSEPTCDIIWDRCHREMNRGEVKHLLVLSS IPIAYPRMAMLKNILNSRKSLGKAGLFGGLVNKNGGKIEIYDDHWTAKHHKAERTFLI EDLQDLAADKSIRITILSGDVHLAAMGQFYSNPKLGLPKDKDYRYMPNIISSAIANFP ETEMVSDMLNRRNQVHHMDSNTDEDMIPIFTHDVDGKPRNNKRLIPRRNWCSIREYQP GFTPPVTPDIDDDEEEPRPGKLKRTLSLTRGDRPAGGLLRRLSGRGRPPTTDIDFDNL GNGKASRRMSMDGPFPPSSNSSLRPPRSTESFNRPGTGAGTGPGSFFRRPTNPSRRGS IRSKKSTTSNTNSTTNTTTGDDDGMGGLVNLEGGLAITLNLELNPKDPAGITTPYKLL VPMLRYDGVEYDPPATRVTKGWKKWLGVKKGGHLSQENNRNGEETEGDEVESDGENYD NGDQHGQAEDHSDSDADVGAGPASEEGRKRKKWFGRNL ACHE_10343S MVHTKVVIIGSGPAAHTAAVYLSRAELKPVLYEGMLANGTAAGG QLTTTTDIENFPGFPDGIGGAELMEAMRKQSIRFGTEVITETISKLDLSQKPFKLWTE YNDGPDNAPAHTADAVIIATGANARRLNLPGEEKFWQNGISACAVCDGAVPIFRNKPL FVIGGGDSAAEEAMFLAKYGSHVTVLVRRDKLRASKTMAHRLLSHPKVTVRFNSVATE VLGEDKPMGLMTHLRVKDTVSGQEEVVDANGLFYAVGHDPANALVKGQVDLDEDGYII TKAGTSYTSREGVFACGDVQDKRYRQAITSAGSGCIAALEAEKFIAEAESESPPQAET QATNQAEGSTIQPAAQEVPGTERRKDSQGGTAEYQQNPLL ACHE_10344A METTASVETQGLNGQSSETLRIRQYMPTTETLRHSSNGYSSETL QIKQYMPPTRTQSNGNAQSPQIPSHQSLPESPAKHPRSARQSGDAECHTGMERSDSQE GSYINFRISKKVTDSGLDDGLLSTETDTNTSTPNESMTSASLLSSANPKKSASPLNPV HSFPSTSSFGSPSYVIDSKNDLARFRPKSSIPSGLSAAAYGQQCVAAAYASRLNPYAL HPNEQEALQDHLCHLHVTVYLNIRNRILRLWTRNPLVSVSKDEALGCAKDYRWMNLAS FAYEWLLRNGYINFGCVEIPLPPAPAVPAKPGRKKDGPVVVVIGAGMAGLGCARHLEG LFNQYHDTISSEPRVVVLEGRRRIGGRIYSHPLQSLKTSDLPSGLVPKAEMGAHIIVG FDHGNPMDPIIRGQLALPYHLLRDLSTLYDIDGTPTDEVQDAMVESLYNNVFDRSGLY RHKSVISRTAEGDRELIDSGRDLTVSDGLTVRQYEEARAAGTVGLLKPNKRVRRGVGH KTADIKTKVAPVADLGPAEEQPAALTCQTMGWKLNAGISVNTTLDLDPVAKASDQQTL GAVLDEGVRQYQRMLPLTPKDMRLYNWHFANLEYANAANAGQLSLSGWDQDVGNEFDG EHSQVIGGYQQVPYGLFSLPYKLDIRTNKNVSKILYDTTGTRQGKTVVQCEDGEVIQA DRVVFTGSLGILKSQNIEFSPPLPDWKLGAIERLGFGLLNKVILVFNQPFWDTERDMF GLLREPTNPESLKHEDYAANRGRFYLFWNCLKTTGLPVLIGLMSGDAAHQAECIPDAE IVTEVTSQLRNVFKDVAVPDPLETIVTRWGTDKFTRGSYSFVPPQSLPGDYDLMATPV GNLHFAGEATCGTHPATVHGAYLSGLRAASEVINTITGPIEIPQPLVPEKGSVSNTPV TTGQKRKEPAAPTPSSTDRQPPARTNNSFIPAEKALRDAYNTAMWDAIYAEIGHPQPR PAKTGLNPFLFYQKDYWLQAREQADDQKRATSKNCNAKAARDEVRQVLGLMWRQAAEE IRRPYLEQVEVNRRMNEKAAERWRREAMEWERRSYEVKDRWCKENPFESWQPESSTAN GANASVSNPSTNPS ACHE_10345A MPADYASTARALSISTSPEPLSPADEDSRPLWGHRSATSRRNSP RAEPNKVTSTSTGEATATFRDKVVQRGAQIWRRIGSTWRKMNWWQRSGAVAAVVASIA LALVFLYFTSQIFHWMAPVVEDWERSWTAFLVLWLCVFFVSFPPLVGWSTFGTMAGFI FGVWKGWLLYASGTILGSTVSFVVSRTVLSRFVNRLMERDKRFAALALTLKYDGLKLL CMIRLCPLPYSICNGAVSTFPTVHPLMYGLATIIISPKLLVPAFIGSRLRLLSGDEKM SAGSKAINICSIVFTVGIGVFTGWYIYKRTLARAKELEAKEREEIRNSLDRDHSARRP HRAFSEDPNANIAATTLARDEEERAGFHDFDDDNVDIVIDDESDDGSSSPAKNAVSPY RDEFTDNDSDVFRDGDEPERETYRMHTHIRSNQG ACHE_10346A MARPSPLACIECRQHHVKCDAKTPSCSRCLDTGISCKYLPSRRG RNRKARDGRRPESSTLVPEISRPGDSGPLGGDIINSPFSSMGNITKDNASITSRAHGQ SPLPGASAQNDLDPDTRLVRQYYENFHSAHPILVPANEYERRGYPECVRQVVRFIGSH YSLLISSESLRESTAVRLSSNDTRSPSMVKALLLYSIILYARGENTEAQEWFSRAVDG ALELGMHRKEFATMYCDGQELEAESLRRTWWELTIMEIYMASFQRKITLRCGSIAHDV GLPCEESIYANSNDDGDTTESRYSSYSYRIDAAYILARVLVLNSLGETHRDHLQAVEN ALVSWTNHLPPNKVDIVDTYGVVDEMLFQAHTIIQYAAMLLHLPRSNIRPAVPDAETV TCPITPARLPPSFTRHVHDIKATEASKQLSNLLSMRPNVQRYSPFIICSLALCGLVQL ATSRIHSPECSEHHRNRVVLVLGCLKVLKRNWSIAQHAYQHVRQAAAETFAASSGPLR WFQSHGSSNSSATLKNGPVSGRSSVEGPELLNVGGNLPAMPPTNTDGQDMFSQGLLSA YIDPTCSDPSFLNNIFDMELT ACHE_10347A MIIDAKVFRIFDVEEVPFYRRPAQYLTASMAAAGFNDKEAKIAL DKLQIFPAILAPQMQ ACHE_10348A MAFRLHVVRHAEGIHNPKHDISILDPPLTPKGVQQSERLSQIFP FNDNVGLVITSPLKRTLQTTLIGFQQTLDGKYYPETAGSGQPDGARLLLSPDIQAHSA RLCDTGSDQAILQSEFPHLPWDELAFDPVFPAKEELYAPDREALVERGRSFQRFLKKE FVALDSGRPDIVVVSHGGFMKHIIPNEKLAFDQAGWMSFFVQFDRDSEMTSIRIQ ACHE_10349S MRHIFSALLGLAALGAASATLHAAAHQSPVINTTYGDVRGAPSS FRGGSTATVYKGIPFAAPPTGSNRWKAPKKPRSWSGVLNATEFGPQCAQTISDAGIFS SGKNITSEDCLYLNVWTPTYNDTSEITTKNLPVFLWIYGGRFTGGSGDVITYDGTGLA SKDIIVVTINYRLGPFGFFAHPELSAESGRNTSGNYGILDQQAALRWVHENIRNFGGN PDQVTVGGQSAGSASALDAMWSPLVKGLAHGVIAESGARGPHDPVTGSAATSYRSKEA AESHGVRFLEELNVSSIAQLRNVSMETLLEYDSLSDTIFENTQFENLTSAFMEPPMWR PNLDGYVFPHTYGEALRLNGHSDIPILTGNNKDESGASPDTSYNVSTYHTLYQELFGD FADEFFQLYPANNSTQASENSNEFFRDLSRVGTWRWAVDWAAGGAKSNVYTYYFTRAP AENRDKGAYHGSEIWYTFNNIPYSDYSNVTWYDYDFVVEGRMSEYWANFIRKGDPNGD GLPRFVKTTNQTKETMWLGNSWGAGPLSIQDKRIDFIERWYATLKEW ACHE_10350S MSIEPTLGHVTNYPRPCSKVANLQIKETTQSPSMLLLDLPTELL WLIGSFLERKSDLNFLSQANRHLHLLFNRVVYDLDVKNSWSCALEWAARNGCEATARK AIDAGASLDSEEYYESKPLTLAVENGDDAFVRLLLEKGANPNDDSYWNWGLNPLTDAS MRGYESIVRLLLEHGASQYRPDSAPMTFPALQIYNLPLPSAAGEGHLEIVKLLTGADH EKRFRDLIGPIALTQAAAGGHISVAQHLIEEGVDPNLHEWQGEDDPISWASRQGRLEM VKFLLDKKAEVLPKEQDNLLYPMTLAASRGHYAVAELLVSTYVERITQSGSIEERGTL LAVAVICGWEVTVQRLLEHGCPPDTLSLGYNRMNWDASLTPLARAVENNDYEMIQILL HHGANPNGQGCSELYDSPLFLAITNGYPDIVQCLLDHGAYLSCPEGHTCDHEAMVLLG AIPFKTIFPLLLDRGANPEAITEDHEYPLAQALRSGHIARVQLLLDKGVPLQTPPELK YAPSVLACAVDGGQAMVEFVLAQGFVPQPEETERALYVAARHGNPALLELFLDQATDQ GWCPNASVMMEAALEHNIDDAKAMIDLLLDRGVHIDTRDRDNTTLLFKAAELCHHKMV PFLLERGADPISRNGSGSICPLVASAANVTNTSLSVTTCLLEFIEERGIPLQDWEDQL KVIEEATYEPWKDQVTRLLQRLYWRNVYPPPSNMPSDSAKGLKRRRNNSSAGGREVRG RQDA ACHE_10351A MEPIIHPIFEKQTSTWQYIVACPRTLQAAIIDPVLDYDQAQMSI STTSADALLDFATSHNYTITRLLETHAHADHLTAAYYIKQRLKVENHAHVPICTGYRI RQVQNTFARRYGVPKDELDNAFDHLSQDDETFRIGDIAGQVLHLPGHTPDHSGYLIGR NVFTGDSMFNPDVGSARCDFPAGDAHALYSSMQKLLSLPDEYKLYTGHDYPPSSGDYQ SRDPMPYVTVKEQREGNKHVKGGTPKEDFVRWRQERDSGLNEPRLLHQALQVNIRGGR LPLHADRRVFLSMPVRVPESWN ACHE_10352S MGTGIVSILLNTLPYNGEWLYWISVVVFALNVLIFVIFLCLSIL RYAFYPEIFPVTVTHPTQSLTLGSFPMGLATIINMICFVCVPSWGPWASYLAWGLWMA TVVFSVATCFALMFIMTTSEGEVLLSSASAAWLLPIVACVVTSASGSVVAEVLPNPQY ALGTIVASYVVWGIGVPMALMMTVIYLLRLMLYKLPPKAAIASTFLPIGPLGQGGYGI QRLGQAAKMAFPQTGTLQPGTGDVLYDIGFLVGLIFWGFGLVWLFFAIATVFRVRRFS FNLGWWSFVFPLGVYATCTNQLGQDMPSRFFKVLGTILSLSVVISWIVVSYFTVRGVY KQNLFVDPCLTALHDKQQRKLEHQHRERHSYP ACHE_10353S MVAEDVLDSCLRLLQDAALDEEEQAEKVEDFLREKTSLTGSSLE NAVLDILWRHRNRSVPDSSPPPRHTIIRRSSPAPWQMARSSTPLSPASNLGTSPGSTS WLHSSRGTFSRAPLSATVSPFSSPRPSPRLALAQPIPHSPNLNAYEFSDQTQASDYFY GDLGNDSNVDWLVADDANSTTSSIGGLSASGSLSATAPEFVPDMSPHDILRTVLGDKR SNEEIETALEANSYDLGATIASLTQNDGESLSNQSDDGRIVVGKSMAIEQPQLVTSTA QNRSPVVCKYWLSTGQCLRADCRFSHDLTSHVCKYWVMGNCLAGDGCPFSHDPSALIS NISVQDDNQQLNAGSSFPVDNAADAFPPLQSPALGDPWANQYTSKYPAHLSGMGGSRA GSHLQVHGGRRNGSTGNVSRPHSRPTSRQQHRELNPTAPSVDDPDAFPTLGTVTAKNA GKKHGKRNAREYQSGKENHPTSLADVVRMTPSPAPGKGKPSSRNGRDGFKSRENTAAA QSIPAPQNIPWLETGSRANQQYIKYRTEAIRHGTVRNKFLQSAAQAWNRNDARAAKAL SLRGQAENEAMRKCHREAARQLYEERNKHLLTMGLDDSTEELYVDLHGLHPEEAIEYL EKILLKHAREGRRVLYAITGTGHHSKNGKDKIGKAVKTWLNEWRYLFREFSVPGERGG YVGGILGIDPTSYDRSLAKSLEEEIKDDDNRNQPTISMGKIQLLRREDLEPQSN ACHE_10354A MATRNALRRSLLYIPGSSQRFIDKSRTLSADCVAYDLEDSVTPH KKVEARGLVRRALDQPAPDGIRERAVRINSVDSGLALGDLTEVLQSPNLSTIVLPKVN SASDLTFVTDVISHILSQQEQQEHQQHQQRPTISLLALVESAKSLTNLTQICSASPLL QGLIFAAEDFALDLSLTRTPALTEFLFARSMIATAARAANLPSTIDLVCTAYKSTTGD GRPPAALEEECRGGKHLGFNGKQCIHPSQVETVERIFGPEDSEVEWAVRVKIADEKAA AAGWGAWTLDGKMIDVPVAEKARAIVKKAEVCGFNVHELREKWQHQEPE ACHE_10355S MEQVQPQQGDLNWRLSAHPITLICFLAFRIGALLMYLFGVLFIK DFILVFIFTLLLLSADFYYLKNIAGRRLVGLRWWNEVNVSTGDSHMVFESSDPNTRTI AATDKRFFWLSLYVAPTLWVGLAILAIIKLSSVIWLSLVAIALVLTITNTVAFSRCDR FSQASTYATSAFGGSIVNNLAGGLLGRLFK ACHE_10356S MPGWESGEAAGAWDSGDGGFGGEDDFNSNGFDGGDSRFEGVGDG GAGGDNNCRNCGGGGHFARECLEPRKMGACFNCGEEGHSKVDCPQPRKQSGACFNCGE EGHSKAQCTKPRVFKGPCRVCEKEGHPASECPERPPDVCKNCKQEGHRTIECTENRKF DLNGIPDKLPEEAWAAMKAASDSKDLGEYREAFKIYSKAVPQVTFVDIEKKMREEDFN IYLIAMEKPIGDIMCLINLQGKLNCKYVVGFYYSPKPQRAALRERWPASTEENLARLE DAGLPYDRQIPKCINCGGKQARVPNEENKNKDADTDEQELGHVSRSCKEERAERERVE VKCVNCNAVGHRARDCPEPRRDQFACRNCGSPEHKASECTEPRTAEGVECRRCNETGH FAKDCPQASAPRTCRNCGSEDHMARDCDKPRDVSTVTCRNCDEVGHFSNDCPKPRDYS RVKCNNCAEMGHTTRRCPAENSGEPDLMGGTDDYNNGGDDVGAGGGWGASEGAAGW ACHE_10357S MGGGQYLGLRGNALQIAIGVIAGMDFLLFGYDQGVTGGLLNLKS FISVFPTIATTGAEYENLSRPEQSARSTEQGIVVAAYNLGCFAGSIPTIWIGNWLGRR KTIFLGSFIMVIGAILQCTAYHLPQLIVGRIVTGLGNGMNTSTVPTWQSECCKSNRRG QMVMIEGAMITLGITISYWIDFGLLFTDPNPVSWRFPLAFQIFFALIILAFVMFLPES PRWLVLKGREHDAQEVLTALLDDTPDSTLVQNELIAIKATVLEMSKGSFSDMFTMTED RHFHRTMLAYVNQMFQQISGINLITYYIPKLLEDQVGMDAVTSRLISACNGTEYFLAS LIAIFTIEIFGRRTLMLFGAAGMSLSMVVLAITASIANTPANIVCCVFLFVFNTFFGI GWLGMTWLYPAEIVPLKIRAPANALATSSNWIFNFLVVMITPVAFDNIGYQTYIIFAV INAFIFPVTYFFYPETTRRSLEEMDRIFRKTTSVFNLVRTARDEPHMYGKKGELLHTV DDVEDAAVHAARQVSIADGTHKEHREHIENEKFGDGSSDRS ACHE_10358S MLSGRPEKTSKMSDQQDTKDITNVTTRCPEDPAHAETGDEPDVA SIERIYRKLDYRIIPPFWILYFLCSAIRSNVGLAQTMNEDAGHDLGTVLNATPHQIST GLALFYVCYVVFDLPSNLIMTRLSPHVWMSRIVISVGVIGACMAAMKAAWSLYLLRLL LGIVEAGLWPGMSYYLTLFYPPSRTGKRIGQYYTAAQISAAVVGLVSAGFQGMDGDRG LVGFQWMFLIYGLVTIVLGIVLLWWLPDRPVIPGSPETEKKKYFGVIPRSAPALSGAN ALAHYHDLRRVYHRPRWTLRDLARVFLDWHLWPLLIMYFGVVGVGIGVQNYSTIIIQA TNPSLSSVDLSLLSAPIWLMDLLAILLITPISDRFHRHRALFFSGPVVLQILGLLLMT YAGSDANPWPRYGGLLIVGFGLGPTVPVTMTWTNELFQPRHGEVGVAAASAVVSGWGN LGSILTTYALYTGWKSDAEAPGMAKYRKSNLVMVGILCGSILAAFLMQFLVWFVDRKN PDSTATGQNDIVDGAARREAGQRGLHGLGSNLTKILPKK ACHE_10359A MAETSTVISNTENLMKYMDLPQKGHVQAEYVWIDAVGGCRCKTK TLSKPVTSVDELPEWNFDGSSTGQAGGDNSDVYLRPVAFFPDPFRRGDNILVLCETWD SDGTPNKFNYRHEANRLMEAHSKEDWWFGLEQEYTLLGTDGWPYGWPKGGFPGAQGPY YCGVGTGKVYCRDIVEAHYRACLYAGIKISGINAEVMPSQWEYQVGPCNGIEMGDHLW MSRFFLHRVAEEFGVKISFEPKPVKGDWNGAGLHTNVSTASMRADGGMKFIEAAMKKL EARHVEHIAVYGEGNEERLTGRHETGNIDKFSYGVADRGGSIRIPRQCAKDGKGYFED RRPASNADPYQITGIIVETLCGGN ACHE_10360A MATPSSKQNPGATPTHLTSSPRPAGVPMARPMSHKSPSARSPSA SGHFHGGGGSHAPLHQYSTPLAVAAAGLDDPVTFSSPSALLALGGYSGISPSPATHEV LVGAGMHDSDIHALGMQGFKLGNARDSDEERRRHIEDVVQMLRTRVAGRGVCREGIER LGQLEGFESIWQEDNLSIAGNFVDLEIEFHPGKHTVKDVSLKYATPEATEGEGREEAT AVLRRDLMQSPEEIERGAWKSLNGFYENLRWLAKLDRLSQEVNCFEAIEGLYESLKRI WDVESRHSKFSETYEHLCSGWVGRPSLHRGGRIGISLDYWVYQAKILDAKRKSTSPGA MVLDNADQEFDNHQHKMWSAMIECEEGYPSLRVSKDWVNSEVLVPTETDESSAPKESA APDVSMVNWAEPPMTTSSDNQGNPDAMALDSGMLGSSTPNRRFVAKIEPPLDVPILAA SDIYRQLGLQLPQEFRMVTYDGLLVPGSSPLSGADIMGFDTEEASQADRRKRRLSVQA FDSEDKPCKKQHCYTFQAFESVAGRTMRDIPFSHPRQLAEILPVLRQYATLENMIQKI FDTSSRDHDDKQDGQGSTKLDRKQQAAQSGTANGKGDVTILSNQDPNEQKLDALMKGL NVEGASTDNDADDVKIDITLRTQLGQAPVIMLLFTINDAAIENNYLSTFSISFEVGLN GHVTVVDTSGLWEEPVSGDDRPEGADTDDQKNEASEMPKKIARALEVSQDLGILVEWV LRWRRQREST ACHE_10361S MFSIRLVVRKGLRFPKRTQIRSVTTLDGRPYIYVIPNESASGES HILSLLPSKPTNPNVPIGITSKLPPTPESFKENRGFLNILQEVVSKHAHEDPDNISQA QMMISTSGANLSSGGVLLTGQKARRRRASADSSGGASGQGGAGGAGRGGWIHVFDERR PPEYGRIPWPEDIFGSLEVDGEGKFVNGNGNYQPSGTYRTITRDGIIAPSPFLRGKLV QRLREIESQ ACHE_10362A MCGRYSLGVRMAFVRQRLEQQGMQVDDAPGDDEVRETYNFAPGY NGVVYCADPGERDHGATRETEEDEATDKAQEEIREQEDEYNTQYLGLENESATKYKLQ SMRWGLIPFWTKRKPDYGSLMRTINCRDDSLIEDRGMWTSMKRKKRCIVICQGFYEWL KKGPGGKEKVPHFVKRKDGELMCFAGLWDCVRYEDSDEELYTYTIITTSSNPYLKFLH DRMPVVLDQNSGAMKTWLDPNRTTWSNDLQSILKPYKGELECYPVSKEVGKVGNNSPD FLIPINSKENKSNIANFFANAKQKKSDEPVKTEGEKNTTSDMSEQKVTKDNDENRTTQ DNEWSEDNAPVPVPGVKREHPPDSNDEIGVTEQKKQKTQFVSPWKQSNHKPVKKADEK KSTDGSQRITDFFRK ACHE_10363S MLRAASRRACASAQKTAQHTPQPLPRSISSNTNRPTPLHTNYRL LNPLVSRNAFLQIRYLSFAQRMRYGFREASKGIWRKNPILLPIALISTIGAGLLFAYI AYIEITRVGPQYHKFPPPVAETLRTAVYYTEVDLNPPKALKAYKEALHIAVEMGLHPF SDEVIGIKLQVAMMLEKAGLVKPAIDVLERTKVEALNWVDDMRKKKTLQSKEAALVSD RKKTEEDKVVVDDPEILEEQKRIRELEEFEDLQQDKTLKKTVGIQMKLAELYSSDYIQ DEKKAEQAQVAAVELSLKEMYRRQALGLPVGGGSQNENSDSWLNLTEMATALTDLATT YVTQEKYELAMPLYLRALDLLRVDEGKSPTCKQVTLLNGVATAMAGQVQRGIRQQQQP VPREQTIDAAKKWAQKAIDVAARIQPPVRDEDCDLSCVAATYNLGELAEMQGKREEAV KRYRESKSLAVGLGFEDAVAVADGALKRLSKK ACHE_10364A MSVTYPFQFTTPDQPTFSGDGTTTSLPIQLENVRGRIPSAQASR LRTMMLEAHNDPNKIIAHACSYDGLSSRLVEEAGFPMVFLAGYAVASAFGLPDTGYIA MEDLCRKIQEVVRVTTVPVMADGDTGYGGPMNVKRTVESFAIAGAAGVMIEDQTWPKR CGHTKGKSVVSRGEAYARIQAACDARNEGHDIFILARTDALIHGWDEAMTRAREFKRI GVDAVFVEALPDREAMKRCAEEVDIPIFGNIIEGGKTENLSALDLAQLGYSAVAYPWT LVAAKLKSIRETLDNLKKSMTVSAPPMILGYSEVCEGVGFNWYWDREMRYEYDQDGLV NLRQ ACHE_10365S MEAVKDTESQHVENAESIDAEKNAIQRIQVSVSEQAYIRRKFDR RVLPIVCILYVLSYLDRGNVGNAKTAGLENDLGLSDSQWTWVLNSFYICYVLFEWTTI LWKLLPAHIYVAMLCICWGAAAMCTGAVDNMAELIICRCFLGVFEAAFGAGAPYFLSL FYQRYELGFRVSLLLGMSPVANCFASALAYGIIQIRHSIASWRYLFIIEGAPTVIFSV VVFFFLPDSPGTAKFLTETEQTHAVERLQTVDHTAKTRLDRRQVFNGLADYKNYVHTA IHFCCNFSFAGLSNFLPTILNDMGYTSVDAQGLSAPPYFASFLLCIVAAVVSDRWGGR GLVIAFSATAGMVGYLILAAVQDEYKTGVRYLGVWLATCGIFPALSINITWLLNNQGG DSKKSAGMALLAVFGQCSSFVSSAVFPNSEGPIYIRGCAIGCGLTGCIAIMALGLYAK LTLENKKRDRLYGTVSRDVHVDVTRDGDKNPQFRYLT ACHE_10366S MHTTPNDRDPPVTTEETPIQERWEQDVVEPTRRSDRMSWMLVSS ALALAHELGVFDSREQSSIANGPDVGNYIKHLEFRRERLPALLFIVSSLLASRIGCPP IMSDTYKPKNLNDILLVDPQWTNFMASWICLTGFVRVIGEKFFFGARDNDHRSIDFKS LEQFKDQLATWNGNHRQTGNFHYDDILHFEYQYLRVFTNSLGVQGIVERVLSDTMPQR TIDGTFISRARQTNISRNEYDFLEEVIDGACAILSRIVSLSQTKLLQYFPIRIFLRMV SSSVFLLKALSLGVRTTKLQESLRLLDQAITALQSNQQDDIHLVSQYASLLQIHVCRL RQTFAKSGRLGNQNNEPNQEEAPDSGKLSQLIAEETPWDPGMIDWANQLDNLGDWLSL PLDPLMAPFGSWDGVSTDLDSGYLDLDFIWNLPP ACHE_10367S MVALLCALSDDGSWIGAWPLGDGQNASLPVNCSELNTLLWEYTQ ELGIPIDFSAVVDDYFETDNEAGIILTGGQKLTADIVVAADRVGSKSWSLVLGEKDVA ISSEFAYYRAAFPAGEALKNPIIAKQCENQPDRASMHIGPGAVWSWEKLNGKYAIY ACHE_10368A MAEQLVLRGTLEGHNGWVTSLATSLENPNMLLSGSRDKSLIIWN LTRDEQAYGYPKRSLQGHSHIVSDCVISSDGAYALSASWDKSLRLWELASGATTRTFV GHNNDVLSVSFSADNRQIVSGSRDRSIKLWNTLGDCKYTITDKGHTEWVSCVRFSPNP QNPVIVSAGWDKLVKVWELASCRLQTDHIGHTGYINTVTISPDGSLCASGGKDGTTML WDLNESKHLYSLHAGDEIHALVFSPNRYWLCAATATSITIFDLEKKSKVDELKPEYIE KGKKSREPECVSLAWSADGQTLFAGYTDNKIRAWGVMSRA ACHE_10369A MSVPRLITRFLRPSTTTSKLPSTHTFGTTTIRNSDHENDKRLRP DLSTAPEHRKGQTSKPANQAIPNTTSTMTDDYPKVGAKSAPPEMLSSVDPNYKPADPY PGRVEHFTGGRQKSGAQKPELGVGEMEGITFKVEPLKRKGEDSATMRARLLYQSRKRG ILESDLLLSTFADVYLPEMTTKQLEEYDRFLDENDWDIYYWATQDPPAESAATDGQLA EQQTQTQDTVTETWKRTGAKSGEWAQTHGAFRAAYRPVPSRWADSEVLGLLRQHVRDK SAKGFESAKNKKTAGGGLGRMPNIKVFS ACHE_10370A MGFTLKSLLRPLLSLLVLVQLTSALRFDLPAVSGHSSKNERCIR NFVLKDQLVVVTAIVDGNRGDGQMVNMHIKDALGNDHGRPKDVAGETRQAFTSPADIA FDVCFENQLVSHNAVTNPYRSIELDIDIGADARDWSSIQAQEKLKPVETELRRIEELV AEVVNEMEYLRAREQKLRDTNESTNERVKWFAFGTMGMLVGLGAWQVVYLRAYFRSKH LI ACHE_10371A MPPLSKTPEPFDFGSVSRSRSTSISSDGQLPRISLLLPPPVTPQ PAFIASSAASQIITADQEFNTADFVADDRDGEENGDSASALVMPEALSHLNGFLDHLL FNILAVSKSTQLSSIRPAVAEVLKPRLAKEVVSAADDELSEYMGGEGDEHLEFRGGQQ PTGEFDLVRSWKRTRLRCMVYTRLGDMEEDEEDEHIVRDGLAETETAPRRFASHVDNI TPAAAIFLTSIIEHLGEQALIIAGETARSRLSTKLSLEHDETTESGAERGRIDRLVVE DYDMEKLAMNATLGRLWRTWRKRMRTPNLSRTLSRESFRRRGIASTLASSRKSSVVTI DELPARSVTSFETTEAHEEDIDPAFIPLPMSEHDIKEISIPGFSAEPEGEIRTMEAVV AHKVRPRSLMVLPSPLPRSHSVASSPVTAPESRPKLNRHARSRSLPNNSYFPLDVVAA QEEAASPTDEGATKQEDVQDIVSPTPSEERRRLETMYEQDEPVDPESQTGLAISTPTA TEFSTERRQSEGAKTPTARHSIIAPSLSGASVEVEVSRPGSAQTAYLNEETQRGITEV IEARGTSRPTSTIQRPRRKASDDSKKSLSASASSASGMPEEVKVPIPTQGTDADSTDA QKVADDASKANDAKKTVEKTWFALDDEDDDDGAAPAPSVPKRPSAERAGRSSPAISRP NRASVQRIPAQVSAHSKSDSNSEKRPPTAGSSSSQVSNKLKGMVGRSPRGETASLRVR SSSETSRPSSGSRNSPGLDQLIQSDETIHYTLTPKNMREMEEPDSPRWKVNRSSTADL ADFLKTTGPPGDQGPKVNSKSLARPVSKFQPIAAASEVLSSPKDAKPAADSTRDFASS VKSSGPSGPTIVREATKTPDTASKPTRRFSDATELSKKFIRPDSGLSNSTRNTTGPRL QARSAVAPRGEQTSELIDFIREGPPTPGSHRISRSVAPFRNTMDSDDMNNSASRASGE STPNGSMATKSHTSLGSRTGLLDSSNRANAKADAPAPTSASSGGAKAFDGDRMPARKQ RRVRDPYAIDDDDDEELEELLRLEEKPQRKEESLIDFLRSEPPPDFDSTPQPLVTTNV TAASSKSPSSLTSASAMKARFLRNNTEKAPISKTSKSSLRSTQTDPRPSGQSDYATKV GMERNGGNKPAAVHVTHGTAMPKRHTETSDLADFLKNTGPPEPPRVPSAFATSKAKDS NGISRLFVRRKKVEA ACHE_10372A MGEPTTLSRKSWESLSSAFSNLEVSLSQDSSYASDEISVVSSNP TTVDTSSQDPSSESKLRPYLKSILKKPETELEEENAQSESGYGTDAFDYEYETMSEGE GEEEELYEVSICWDTDDAMSEIVSGDEDGMFDDSFIAFESMVRFDPNVQYIEAPELEE DEGPETGLTCHELMEMARSSGSLQTFDGEKPVEIKLTEGHWRNAFDPEEYPGDMVDVD RQLFVAYVNGIKGLADSQYKGRLRALVDDIRLGQAETPYLETNSSDGVYLDHALNHVI GVFRNLLVPNEFEELVALSSQKMSLTPQTKALESHNASLMDRIQRLLVERLAQGNVHV EADELSFFAGGVTYALENWKADQH ACHE_10373S MFAQQFDHSFNDIFNQYVDVESSSADGNKDVSFPSGDFDQLFPL DSLSSDCGALSSPTISPSNKRRQSPQPWSTPTQDLWSLPQETGAASSSSVDQGTFSFH DTVQPSAVSSLSLNLDAQSSSSSSRPAAAHGLSSTSLSTPPETPRKKVCTGSGITTPK SIRHHQDTGDRRRLLRKQSFSPSLTRSSRAQKARMAYSDASAPRFHNFSLHSTDDRLP LSPPPTDLLAQHENLSADNATNLNGSSDRFSGDPAEMPQQYDSSIFNQSPAISMPSPS ASALARRQQKYMSQPNSTTLTSSPPSADEVFSSPHSSGSQSLSSWYSDSLAPSAFSFT PDLNHDAQQWWSPMASRIAQQHQSSYQPMLEAPTPQRPIANHDQHNDILQGGLMIQFD PSFDMPAPAEPSFPPSTMSSSAPAATQESQTYHPVSNTPQKYINPSFAASTPQIQHHT RSPSLSPKNNGASTSPKSGSTTATATPRTGTVMRTPHRRSYERKMSAPSNTPKSVKTT SPRGSNKSVSVSFVNFTPSDSKKILTGVAPSGSSKTKARREQEARDRRRKLSEAALKA VRNAGGDIDALEAVLC ACHE_10374A MSPINSLWFKWKGLRLPWRRSFLAGSDLSGNTYWEFKESLSASR LRRIVKYNPKTHYADIKVSPQWHQWLRYVRADPPSIQEQQQDVVRQIQIKHLARLADE RWASKPSYLDKPQTQQPKPALRTSDPTLRGPEENAPSSQSEGTESTTEQVRRYAEEND PWAKAKAGNPGDSWQPEPWTPTAARK ACHE_10375S MASVTSLDKDLRSLRLSRYTPQAAAEVRDWIEEVLQEQLAPGDL LDALKDGVALCKLINLAVSPGVKFKQSSMPFVQMENISHFLRACQIPPLSLPPHDMFL TVDLYEGKDPAQVLQCIMSFSRRANAVQPSKFRRTIGPQVNKGSGLSPNVTGSSQGPT TPSRFRGASPSSSGPSTPAKSPNVSSWSRKTDEISTAPAWNIHQYGYMGGADQGNQGV VFGGRRQITTAAPVVPSLAEKEKRRREAEARALVEKQEAEQTRQRQREAEEEQARLEE QRRWDEQTDRLREQERRKVEEEKKRWDEEKRQWEEEEQRRLNEEREAEEKFEQERQRR RSMADNRLNGQFLSQYQASQAIPAKAAVPPSAESQESQRVKELERELELARQRELQYQ HERGDFKKKEAEPPRPRPVPPKPSYDFSSLEQERRMLRTEWHKNQDETSAPPTPPRPL PDPTAAQKSAQTSPRPLPDPVAYTNARENRVDRFLASNKPPVGPKPATHRPADYSTTA EVDAENSRREAAQQKTKAGGWASKSLLEREMERERERQREWEDNQKETEEAAAKGLAD PSQGSGPGGAWNVFQYGYMGGDNQSRGGPGLSNWGARRQIIGPRPPP ACHE_10376A MAVENGEETPSYRASRPVPFELVQHSGIFFEEKLYTQALNLLLN IITSGTVASGPVFVPSPPLLAVAATFLVHPSTTTRARSSEEQEAPSAALRLLRLTNTL VGPIAARFDNAFAFTHFEASRHGGIRRRASEDEGTSQNEMLRNEWKLLNTELGQSSAV WSRAEDFWHAVGWAFNCSVLHPERWERWQVWLQFMCEVLEDDWNERKRHVEREVSGAG NGKLKKRLRQNILQQSLIFRYIDGSSGSYGRNRRILRAIFADGTSGSVNEFREVFRNE LKQLKRDQENIKKREAEVNIDEEQYGDYLTKDDEDESEEGDGETDKPTTTERRSKRTR RGTRNTIAEQQPTATFTTNNTLYSHGTLSLLGGLPSLALRQRLLHLLSAVSESLPDIF TTLEELYHLFIENIRHLPLPIYQSFVSPHVLPYFSAAAQTTLCEFLLFRLRESTAPET EDEYLSQTKLEQCFLPYAASTASVVDNTKMALALEALVMLLAESEMLKVTPELRTAVE DGIMARAERAQEVKRNQSSKQVEEIEWCWLIESGERLLFMINELVPSMQAS ACHE_10377A MSTTKVAIVGASGESGQSIVNALLDSATPRYEVIALTRPQSIQK PVNTKLQEHGVKVVSADLSGPSDELVGLLTGIDVVISAIYFDNLDEEIPLANAAKAAG VKRFVQSALMIVIPPKGVVDFREKKEDNFHYFQKIRLPYTYIDAGWWYQLTLPRLPSG RIDHLLSPAHKDLPIGLDGSVPTALADLRDVGRYVARVIADPRTLNKRVHVYSELYTQ NKVYEVVEGLSGEKLPRGYVSEKEASTNIDNARAKLQQNPTEIDAKLAFIANQLFYSW GIRGDNTPENAEYLGYLNGKDLYPDFEYVTFEDYVKEVLDGKVKGVYQG ACHE_10378S MLISYASVEVEYRFEVHKTAINAARSSSVSHIFYSSLAFAGNLS PTSVASVMGTHLLTEQYLSSLPAQGPATYTAIREGLYSEPFPVYTAWFDIHNPVEEIT TPHSGDGPGIRWAKTDELGEATAKMIVSYVQSSGDFLWLNKAVLLSGPQVLSLKETAD ILGRVTRKDVRIKEISAIEYAELPFKDKYWYRGVNLLNDYTLCWEAFRRRETAVVSPL LGEILGKEPGDFETTVRGLLH ACHE_10379A MDQTKSGRRRRSSSIIYQEPAESLEHTSDQAALPNLNANWVNAK GAWTIHFVLIVALKIFYDIIPGVSQETSWTLTNISYMFGSFIMFHWVRGIPFEFNAGA YDNLNMWEQIDNGDQYTPTKKFLLCVPIVLFLLSTHYTHYDLTYFTINFLATLGVVIP KLPFSHRLRIGFLSGDPEEL ACHE_10380S MPNSSGEENDSRTASVGLQRTRAQNQDSHPNKRQRRTRGNSGNN DVRDFVPQGGTFSAKPLEVDPDDTSSSGSDSDSGSNWSDANPPAANPYAGTTSQAINW NQGNKRAVRTTLGGRGKANNNKRPEPQSQREPEKKLDAQFDAVNGAYWRSRSESVSIG GGDNGKKDECKDMEEGEVNEDTTEAPALDTSGDSDDSESLDSEADDSILLNIGQRQNG HATTDENDEDDDYDPEMQPFVGVVISNGTTKTQKGTQDGTAKDPSKKEAICIFTQRYP SPPAVMTDLVQEDKDIQTRFMYWTESKDPQIPISCIECMQEGHIAQVCPSKECTHCGA WDKHDSNFCPTWRRCQRCRERGHDEAQCSSLLKGSASEVPCDLCGSQSHLELDCDYMW KLPLRDPSSGPVLVSISCSHCTSNHHLAGDCPSLPKPLSSSSWTLNGIDPNMVTNINS VIPGRGRPGPGPVARGRGGMKIRGRAERSPTPDSDEDDGMFTRPNQRPPPPGRGGGRG NIRIGSGIGRGKNLGPGGYRDQNDSFGDRSRQRSMSPVGRPGPGSGRGRGSRDNWNVR SRSPPRRGRPPPPPARGGRGRGGGGGKRGGGGGGGDAYRPMPSAAKKNWDRYRF ACHE_10381A MDLFFHIQRQPNTILTTSMRLLPSPPFQPLLRFNTLSRAISTKV MSSPSIPIPSYPLRQRRFAPLKPERKSESDAPALEGIVFDVDGTLCLPQHYMFSEMRS ALGIDKSIDILHHIRDLPTPEARLAAADKIKAIEREAMSSQQPQPGLVQLMDYLQARG VRRALCTRNFETPVLHLIQTHIPAHEKDFLPIITRETPDLLPKPDPAGILHIAQAWKV GARAEHLIMVGDSIDDMTAGHMAGAATVLLLNEKNGWLKDHEHTDLSINRLDNLVDIL ENGFVGHRGGDKPATSDREG ACHE_10382S MSLPTTTHDLRRLPETQQPQPTTDNYYNYSNYSNSITITFTQLF TLLGALLTLKFYHGLSTLSHGKRPAHQSIEELERRIVALFQAIVPEGLYQVNSRGSNS GSGSDAASRHSFPCDNGGNKSGNGSGKRNGNGSAARSMASASTHRLQPGNAMIDLDNA TTEEGSAHSPLMRVTTNIAPAIRMSQGLSRMSGEQLVRTATAGTTITESIPEMSLDNI HGDNRQSFASCQAYCGSMSSAAPPPVSAYAAYRPGTPSFCPPPQNTPESGTPAITNLD RFILQVRPPRSTFIGRFPTDEDGWSPIVSQRELTNESEEESPTNRLNKWPGVEQEQSR SSSHEEESPKNELVKWRFGKEDVDDVHSSIYDAEGVETDSNSDEDGNEDDDGDGEGGL KLVLVRSAESYPGKFPVSEGLPLEALATAPICLRGKAQTSVRPLSEI ACHE_10383A MGVDTRKPIMPAASDSLVETSGGSMTGTDLATDLSRRTDKTSYS VPDDGSPVTIPTRRRNRDSRRDDPSKLSRTSHNSQTSLLIEYFEGGTGAGNIVSRPSV RVRVTPSSKKLKDQRDHHLQITESNGNRGPVYARRISLGSLPKSRKHLELDDLDEQGL SDDENHHGSRPLEIEFENREQAEEFLNNPDQESVLSSLSRENRHIQPAMSDISSMPTD SLLEGPTSSLHHKRSQSLEPEADNKELLKTPRRKRSRSLSTERIAHRVAEKLTSGPQE RERDDDHSSNPRSLLDPDTPAARRRRQRAQRRQENDDSVYNPAESSLLSASAVSANRR SNDQYSFLSQNSNKSTFNNNPKLLETVEDAIRRLILPELKELKKDQKVMHNTSKFDRD MNASMQSSTQTRDGLGRRLSKHASAPDIIKPKVLLKKDSKNEGTTLLGDDPAPTKNPE RKPSKESSQSKGTEADPTYVKWGLRPEMNENDKLRRAKSKGLRDAEKAGRVGTALTAA ALRRHDSKSSMDTNESNRQSPSPTKERAGNGNETELVFQKHNVPPMPLRSALESDMTR DSLVSHRTAETESIVPQKTRFQEVARGSPVQSPSPLSRTPNRTPLDTRHELDIRHPNK STHNVSVESVSDEEDRASFGGHDRGDSEDAAAAGDIAAAAVANLLDTQADPSRRRALS PIQSVASEQSDLRPPPPPPPPQVRPSPGQQSGGDKELKPRLSIDSLSSAPSTDLARST RTKPSGLSLNKRHESEQDSSRQPRAEDWDEQSDLYSRRHSAADTSSLDPKRMTNHTDD SEIDYMDKVRRGQRVSQGNGANPHFVHPAPVESAVASLLEPSVVERYTQVFSELTNRS KSDLSKRQEQRSPETRGPQPRSPQPTAQQPQETTTPGSRQGSPLKQRQDAGSPDATSF GKRMGVSSPPQSIAQSMDEQAELGHSRSFDPGDDAQIPEAGDAQDSESEIDTNPSIIQ GPRAPSQNQDYWPYDERSQSKEDVQDDQASKGLGLDEELSYDPGYYPEGAYAPEGYFD QSYDQVPGTPPAVKDEGYASGAHPLSPSVGTPKSEGKGLGGMDTLGLFDSPSGAEHQR NLSGYSHGVGSPMYDSATGQGVDRIQSKDIIALMDHLTVRDAQRNARDTEILVSLVRS AAEMRNSFEDMKKYIAYQDGLIMEANDKQHERTFRAISGPRPQPPSARSRQLAAAAEG EEDMRSKRKNVFKRALKGLSLKSGNDLTRIEDMLEQLLDDVDALRAQQDDHFGRSGRG SFDHDGYETEGEDGTSPVDQPGRPSTGGSRTIHNQPSRPSTVPEEDEEDDYDERAEFL SPKLPPQESSKPPMASGALNGEATPPKQNDKAQKRKSGGFLPKFIRNTLQNKKERSDT SLAPYGAYKTDDYYDPQGDDRIRSNTNLEQQQQQEDRPPSPLIPSQVSEAPKYRAHRD SMDLQHPQPRQGPTGRYQTQLETQAQVYGFHNPQSEQWGSNSSFSGGNSSNVQKRYSG YSGGSRLSPISDTGYSEASSRRTGPPRPPKVRDDGPLVPERPPKVKEDDERSYADRVV SRSSGMRSSPVPSRKPTGPRPLNSGSQYSRTSRYRGASPDQVDDLDY ACHE_10384A MHYAIIDARSVGLEIKNANGPRLIEVGERIKQAVRKTYTPVHPK NDKIRQVTIVEFTEPVRQASDGTKMAMNTVVVSPGRFDRCPCGTGSCARLALLHARGG LHVGEKLAHQSIIGSTFDCHIRGTTTVGGFDAALPTVKGNAWITGFKYMSLDPSDPFP TGFRVGDQWHMPEPSGERIVE ACHE_10385A MRLTRTISIVGVHCAGKVGDVIVGGVFNPPHCKTMYEKLLYFQN EADDIRKFLMNEPRSRPANVHESCSVSLRSSRRRRIAHYGKRRIPAYVRGKHHLYGNG VA ACHE_10386S MSTGFGRTFYLGTSSNWSFARKVLSMIHEHLYDSPLPTDRRHFD ETAYELGWDGLRTSMSTEVPMVPTLDFSMYLLNAVKFHVGQLFHIFDELSFMEGLYAY HENPVHRTSADPLWYIQYLLILAFGKAFTVQRGPSTRPAGCEFFVKALQLLPDLTHLN QYPMISTEILCCVALYLQSLDFRSSAYGFIGQAVRLSLASGMHTNMPIDHLGGEALQR RRRIWWTVYILDRQMTSLMGLPQSIEDNQIYHELPLFPESPHKVTALSIEIKMCQIIA DVNRTVYGVDGRLNRKFLSSTKTALGNTANLDTRLQESHRLGLDESSPNGVSRLSAHL HLLYHQCIVLATRPLLFCFLKMRLQSLDPNAKPLTSSAIVCKLLQVCVESAKQMLNIL VILQKQTLLDSFLPFDLESTFVSALVLLLAPFVDPYLLDDSLPWLQKAYDVLDEMIYR GNQIAAFRRSDLEQLHDLLRESSGQCGRREEMISHMSLLYSEESQFGDAADLTTADIM AVAESIDSVDVDWMAHAVTENCIW ACHE_10387A MTSIQVLDNPTMYNLLINLSKEESLTFREIIEHTLESFSVNGER QYQPPPSIVNRPNGQNTLFRPFTSNTCIGTKITVEPGPDGQGRKSPLHGAIVLTDSKG NPTGLLSSDEVTGYRTSMNAMVPFSWRRHVDHIVIFGAGMQALWHTRLILTLRGEQVR SITYVSPSKERVDGLVATVSEDNRVRWKSGCSFHYINSTAFNAQWEIESCLRNADCIF CTTSSKKPLFPASYLTKTEDGRRRPLISAIGSWQPDMIELDPSLLHDAVTASYGYNPL TGKEGRGVIIIDDREFGIESCGELVQSGIAANDVVELGEIIALRKGVSSTKEHAEKMN WFFSEGFVVYKSVGVSLTDLTVGDAILDLYKKRQQTPLDSS ACHE_10388A MRLISLFTWFFVALLAQFGGATKLIESNALSLCQDSNNFTATYF SVTFTPANRSLAFAFDGVAAISGKVKAELVLTAYGYTALRKELDPCEMGLAGLCPMQT GPIDVPRANLDLPENVVSQIPSIAYTVPDLDANVRIYINSTDTGESISCLEARLSNGQ TVYQKGVGWTTAVISGLGLTASAITSGLGHSNTAAHVAANALSLFGFMQSQALFGMVA VHMPPIVESWTQNFQWSMGIIRVGFLQTICTWYQRSTGGTPSTVLSELSTTSVQVLKR SVDPSLALMKRAAEPLLKREAESQKATQKTTTVRGIKRVGFEAGIEETNIFLTGLIFF VFFVAVVMIIVAIFKGVCELLAKNGKMNSDKFSDFRNGWKVVARGILFRLTLIGFPQM VVLCLWELTQRDSVAEIILAIIMIVSMVAALGWASVKVIRLAKRSITMHRNPAYILYS DPTCLNKWGFLYVQYRATAYYFVIPVLVYILVKGMFIGLSQPAPIVQTVAFVIIEAAM LIAVSVLRPWMDKKTNIYNITIQAINFLNAIFLLFFAQVFNQPGLVTGVMGVVFFVYN AVFALVLLILVLIASIYAIVSKNPDTRYQPMRDDRGSFIKSQTQLTTELDALGATARG DAKGSAYNTSPFEDDDSFSSGNGASVGRQNLEPPHSATSPHNATGGVPVSPVDPSVPL FPSDNRGPPPSYNGMRSPSPVPRGYNASPFQRAQNNASPWQRGAGYDH ACHE_10389S MAKEIEKKAEPVDSAKSIAKKRKRKHARAGAGAEEETPKQQAAI ANGNREVSDSDNENENEQKKTFSKMNATKEKELSRKRKVSDSPSEEEDDEEKSQSEND DDDSDEEEDKGDEEAADDKAGADLPSVDAVRLPQTEGAPQKFSDLNLSDKTMKAIGDM GFHTMTEIQQRTIAPLLAGRDVLGAAKTGSGKTLAFLTPAVEMLSALRFKPRNGTGVI VVSPTRELALQIFGVARELMAHHSQTYGIVIGGANRRAEAEKLTKGVNLLIATPGRLL DHLQNTQGFVFKNLKTLVIDEADRILEVGFEDEMRQIVKILPAEDRQTMLFSATQTTK VEDLARISLRPGPLYINVDHRKEHSTVEGLEQGYVICEADKRFLLLFSFLKRNLKKKI IVFFSSCNCVKYHAELLNYIDLPVLELHGQQKQQKRTNTFFEFCNAKQGTLICTDVAA RGLDIPAVDWIIQFDPPDDTRDYIHRVGRTARGKEGKGRSLMFLQPSEVGFLKHLKEA RVPVVEFDFPASKIVNVQSQLEKLIGQNYYLNKSAKDGYRAYLQAYASHSLRSVFDVH KLDLVKVAKGFGFSTPPRIDIQLGSSLGRDKKQQQGRRNYGSQPNGKGLKFKRQRRDD ACHE_10390A MTNRAAWIVSEKAKPLKVDDAPLPKPEPGTLVIKNHAAAVNPID WKIQNYEYSAYVKNYPFILGTDVAGTVDEVGEGVTRFQKGDRVISHVPSLLTANPIHS GYQLYPHAYSSLTSKIPDSLSFGQSVVLPLAISTAAAGLYLPGYLSLPLPTINDNPKS RPTFGAKKSVLIWGGSSSVGATAIQLAAASGLRVVTTASGSNFDFVKSLGASLVFNYQ AKSLVEDVVKKLSQAESELVGVYDAISEEKSIAPIAEILERLGKKGLRIASVLPYKEA RGLNARFVFALHLAMSEHEQIGKAIWEDFVPAALDNGQLQTKPDPIVVGKGLESVQHA LDVQMKGVSARKVIIDFQ ACHE_10391A MPKFFCDYCDVYLTHDSMSVRKAHNAGRNHLRNVLEYYQQIGQE QAQSVIDSITSSYAAEGQAVPNPVMVPPGAYPPPFGFPGRPGQMPPPPFGIPPPGAPG AVAPGMPPPPGGRGLPFPPPFPAGSGNLPPPPMPGMSLPPGGQGLPPPPPGGFPNFPI PPPGAQGFPAIPPPGAGFTPSPSPGTPVAPGQEGFGGPPPMPAFAGAPPGASLPGPPG PPPGLGDGR ACHE_10392S MPASTNYHVIEPHPSVPHTSRPAIHTARGGAGNVISLKNTKTTD SRNASGPPSLTRLDSRVPRTFKSGRGGAGNVHSSSERAMFSFDEELERELRRVAPVYH VGRGGAGNMIHNDTSGSSSLSRMFSGSSSGTSSSSLSMGDQVRDKAIHGLQKGWGKLR GVA ACHE_10393A MAEATLHNAPIVIDNGSGTIRAGFAGEEVPSCYFPSFVGRPKHP RVMAGGLEGDVFIGDRAQELRGLLKIRYPLEHGIVTDWDDMEKIWHYVYENELKTLPE EHPVLLTEPPLNPRKNRDAAAQIMFETFNVPALYTSIQAVLSLYASGRTTGVVLDSGD GVSHAVPVFEGFAIPNSIRRIDVAGRDVTEQLQLLLRKNGHVLHTSAEKEVVRMIKEK VCYVSLDPKREEKDWMNSYHKSEAKSVDYALPDGYKIKVGQERYRAPEILFDPELIGL EYPGVHQIVQDAIIRTDLDLRKSLYLNIVLSGGSTLCKNFPDRLMREIKRLAVEDMKI RISAPAERKYTTWIGGGILAGLSTFRKMWVSADEWHEDPEIIHRKFV ACHE_10394A MKLCFVTVGATASFESLLQSVFNETFLSALKQHGYTHLLVQYGK DGQAICENFTKKNPEGSVARHGIDITGLDFNQAGLGAEMRLAQANAELDQEGGMIISH AGSGTILEAMRLGIPLVVVPNPSLKDNHQKELANELQKQGYVIASNVNEVSEAVSEAE ALRSHMLRWPPVRGRNQRQPTLEQVMSDELGFVD ACHE_10395S MASVARSLGRPAFLLARRGPLATSSRSFFLTPFRYMPEDPPVPP PPKARKPEDYAAMPEYSPDLLSKEERSMYDMMAPEEKEQFDAENRRIVEEFNDPQKRA AAFAEIENLANQVEREEPMRFNDEPERRRGFWAEDDGDEFALVEDGDEAFNDDEITSM AHAEVELHREIREYARIAAWDMPLLSHLAKPFTLPPETHILRFRYTTYMGEQHPAEPK VVVELSTKDLTPKYLTEAQRQTFLKLVGPRYNPDTDIVRMSCERFNTRAQNKRYLGDV INNLVKEAKEGDSFADVPLDLRHHKPKRQLRFPKEWIMTEQRKKQLEARRAQRERSEE KRQIVDGSAVFAEAARTLPELNPALRAQASNEREKVAVKVGARAKKLR ACHE_10396A MTSPLRPQFFCTRPNGTVTPLIAVDELPSHISIRGVSRALSPND TQGMTSLGTVNARNQTYVVDGVPTAPMRATAGNSAAAVAGDAGARSRDYELQTSLVRI LSDESIPANQRLALHASIQQALAPQWPVGAAGNSSTQTAAAGNWLVPAGGSGGRAGGP KQGPNFNAKKEYCSYWIRHGECDYQQQGCLYKHEMPTDPFMLEKLGLRDIPRWYREKY GVPSILPGGANARASTNNSHNWREDAADSSTVKAIQYPVRLRYNGTTTPSSSDTEKAS KQKATSYAPTVQSNGNGAAMFPGNPRASYPAMNPPRAPSSQRHGSRNAASQSIGVPGT RKIDLLSFDPLPDYPSLDSLHGGVAGVTYPASGPGNNANNTNTKTPDEAHREGMVRNL QSLMPNSPDYLPTPLGPNSTQQRSKRTQKGRRLYQPRSQIVVPGDSQEIRDQASFKNA QHGNTTYSSAASVASKGTNGSQVTSPMGILGSSDPPTRVASPSTHSPTSLSSQSSPQA FYNKNKDGVMPGAIGSKRGYNRKKSANTNSSEEDLFDFGVESGNGKGHGK ACHE_10397A MDWNFQLDPNDDLVADLLSQFDAASAHVQAEEPAAKSSHGVQPD NSQPTGSQVQPANQLQETLQLDVTTEDEQPPGPKLAAVEIPAIVNGEEYDTLPGHSAV WYIISEEQGADGDVVYKVRMRSSELQTVNLTQLQTLQNGPEALERFESSDQDLRITIS SDEDYNSTESRSVNDRQSKRATKSRRLFTEFFAGVSSDDDGGIMSSSSDDVIVSSVTT SRARRLQRGVRHKGLQNRRQSSRISDFFDSDDEPKSKGTRFSTRERRTVRSNLRERFE DDFSENEIRAKKKYIGAKEVFHTVPRRDPFRHRHLNVCDVCGISDNNDEKGPLIFCQG CTFSYHQVCLGPRGSRDHLVTKVADDHFVLQCRHCLGVAHAKDRLSPHLGHCNACNEK GSMSKPLRERLSPKQEQQLRQENGGKDPITSVDMTRFNNLDNLLFRCSGCRRAYHLDH LPPLSNDNREDVAASPFQQYSSRWHCLDCISAPDEIAAMVAWRPVQLDLVDCAASKLA EGKKEYLIKWRNKSYHHSTWMPGTWVWGVAKHAMRRAFLKSDKDINPQKSAQEAIPED FLRVDIVFDVRYSEKPQDRSEEADLARIDKVQEAYMKFKGLPYEETVWEVPPEADSER WNDFKTAYEDWVRRDYIHTPSQDALKKHLAYVRKQNFKTTVVRDTQPESMTGGEIMEY QKDGLNWLYYMWFKQQNAILADEMGLGKTIQVIGFFATLIQYHKCWPFLVVVPNATCP NWRKEIKKWVPSIRVVTYYGSAFSRKLAHDYEMFGKEGSVLRCHVVVTSYEAMVDDHS RKTLSRIPWAGLVVDEGQRLKNDRSQLYEGLFKIKFPFKVLLTGTPLQNDTRELFNLL QFCDPSIKAEDMEAEYGVLSKDNIPQLHEMIRPFFLRRTKAQVLNFLPPIANIIVPVS MSVVQKKLYKSILAKNPQLIETIFQRKDDQTGVKQVERKNLNNILLQLRKCLCHPFLY SKAIEERTPDVTESHRHLIEAAGKLQLLQLMLPKLQQRGHRVLIFSQFLEHLDIIEDF LDGSSMLYRRLDGRMPAMEKQKRIDEYNAEGSPYFAFLLSTRSGGVGINLATADTVII MDPDFNPHQDMQASSRAHRIGQKNKVLVFQLMTRGSAEEKIMQIGKKKMVLDHVLIDR MIAEEDDGRDLESILRHGAQALFDDDDSGDIHYDSESVDKLLDRSQAEQTKSPDANST EDPFSFARVWANDNQNLDGQLGDGVDEGPINSSVWENILKEREQAAEEEAKRKAENFG RGKRKRTAVDYSHAAGISPAKPRRQDDDSDVEFQAGNLDAPESDSDSDPGMLSDDLKP ATKRTKVAPFERVDLPDPEPPSAPAPVRENILVCYACNRTHPPGQCRLKSAGVEHCPL CGLAHYGVKRTCPHLQSEVQLSRMLEALKNSIEDREIRNLARSYVSGIKGDLARRRRS LNPNPNPTPTSGGKPALGVLNGQKRNSTHGTAAPSPVPGGPGPAPEPGVSPGIGPGPP PATNLTGDNGTNNTAMGSGPNGPSWRSTFKTWLNGQR ACHE_10399S MSIHASLGSLRVCIWPSNVSSAQLTTVRHASLNSAIGRGIRKSR GLDNSPPSRNPTNDNNSRNGQKGSWSRNHTDYDDRRDTRSDVDEFVRSGNFRERVGER QHPSWMKRGRMDKDETLKKLSRAKKREPRHKWSEKMPERVKDHVFVPKSIPYTTPASE FIYGTNAVESTLRCSRRKIYKLYIYQNKGEELGPAKLMLRKLALVKNIPVKLAFAEWD RLLDKMSSGRPHNGCVLEVSPLPRLPVQSLAPVPSPEEDFFRVELGSQSREEALINGT SNRIEIHQPVQQEHRRYPVTLLLDGIVDQGNLGAIIRSAYYLGIDAIVFAGRNSAPLS DVTIKASAGASENMTLLEVSNERAFIQQSKTNGWRFYAADVPGPGSTLEGQHDANPTS GGSRLTQGPSVIMMGSESSGLSRHFMSHANAIVSIPGSRFVGDSSVESDPARVDSLNV SVAAALLMEKFLRTPLAVTEIPQEG ACHE_10398A MVAPLKSLDPHSDAPSQADTPMTDANEEITSVPVDSTDAQMTDD NSVAGDTTVDGRKRRSAESNQRKSALGKKHGRLDESKETDSIRRFRYLLGLTDLFRHF IETNPNPRIKEIIAEIDRQNADDEAKAKKKGTSRSGGAGNERRRRTEQEEDAELLKDE SGEQTGTVFRETPPFINGEMRDYQIAGLNWLVSLHENGISGILADEMGLGKTLQTISF LGYLRYVCDIPGPHLVAVPKSTLDNWKRELEKWTPDVRVLVLQGDKEERQRLINEELL EENFDVCITSYEMVLREKTHLKKFAWEYIVIDEAHRIKNEESSLAQIIRVFNSRNRLL ITGTPLQNNLHELWALLNFLLPDVFGDSEAFDQWFSTQDSDQDTVVQQLHRVLRPFLL RRVKSDVEKSLLPKKEINLYVPMSEMQVKWYQKILEKDIDAVNGAAGKRESKTRLLNI VMQLRKCCNHPYLFEGAEPGPPYTTDEHLVYNSGKMVILDKILTRMQKQGSRVLIFSQ MSRVLDILEDYCVFRDYNYCRIDGTTAHEDRIAAIDDYNKPGSDKFVFLLTTRAGGLG INLTSADIVVLYDSDWNPQADLQAMDRAHRIGQTKQVVAFRFVTEKAIEEKVLERAAQ KLRLDQLVIQQGRAQQQAKNAASKDELLGMIQHGAASVFGQENSTISSEKQISEDDID AILRKGEERTAELNKKYEKLGIDDLQKFSSESAYEWNGQDFTERKKDIGINWINPAKR ERKEQFYSIDKYYRQALATGGRTADPKPKVPRAPKQIAVHDWQFFPPGLQELQEKETA YFHKEIGYKAQLPDGPEEELSEREAERDLEQQEIDNATPLTEDEQAKKAKMSEEGFST WNRRDFQQFINGSAKFGRNDYDGIATEVDSKDADEVEEYANVFWKRYTEIQDYPKYLR VIDQGEEKLRKMGHQRKMLRKKIEMYRVPLQQLKINYTVSTTNKKVYTEEEDRFLLVM LDRYGVDGEGLYEKIRDEIRESPLFRFDWFFLSRTPVEIGRRCTTLLNTVAKEFEPGD GKANGEAGKGRGRGRDDEDEENEEEAPAKKKTKNGAVNKQVKAVKGGSKSNSASTSRA ASVSSNTAPKSKSRKK ACHE_10400S MDSMRSLNTSLPSSTPRPQPPEQLLQSFKAAALSVTNLYKNAVC EQSQAKQAGYQEAIEDLLQFLDRENLGLGDGEGWSVRQWATERLDGNGVPGSDDDDTE KRARSTTPAAVRKEQSGPDASQSPPKPASSPYDAHVAPQQQQQPQPQQQPAPPSQPEA NSFDRPAIFTFTSGPSFPQPQEQDVDMQPSENSPDGAPVLSVFPRNSRSQHRHNNLTR SNQRTSTREPSVGVGSKRKLAVPDFFDLSDMFGGSKRGRFT ACHE_10401A MAPAQNESWNVQEKDAKRAIAEDTYDDCLSCRVTGSAAFVGLGV YSYYTGMNNLRQQEKAIMQSASKYKMGSRQLGIATISATLVGMGIWRALN ACHE_10402S MMSGEIDALYIYDEHNQPLVEHVYRSRPPSAATLRPLYFAHRVP RPSLLYFPNTSPPVTVFSVVQSNLLFLAVSEVDTEPLLALEFLHRVVDVLEEFVGAPL ISTKIQSNYDVVAQLLHEMCDGGLVCNTELNALQEVVDMPGWMGKLLGGVGSSSSTPS LGGPNSLKPPLSSAASQGPAILWRRPNVRHTSNELYVDIVESLSVTIAPSGRLLSALV SGTIAFTAKISGVPDLLLSLTTPGGQQAIGRKMDLPVFHPSVRLARWRERPGDLSFVP PDGRFILAGYGVDLLPIDPDLDEPPSHMEKLFLPAFVDMRKSLGPTGSEFEVRLTLNT SFPGVASAARPGAGRANSGTSTPSFLGGGGGGTPSAPVLDEVVVTVPIPQLVRNITDM QASRGEAIYNPGSDVLEWRVPTKDAGTVSGTATLRCTVSGHQTGDEDVEDEAAEADTN LLQGYYDPSTSYQDGTGMDPSVRRKRKTKKKKKTKKVSKSKHASADPDQQQQSETQPE ASDPHLSIPQSPTPQQSSPIASSPLPPSSPNPTSPLRSSQPFPQSIFRPAPRKTKTQL NASLMPDSVSVSFVVQGWLPSGIKVDSLNIDPRRSRGLGEGVRPYKGVKYLCVSRRGV EARC ACHE_10403A MGAIDIPELQYTPLNDIQGRVAELRQTFSEHKTRDVEFRLVQLR KLYWAIKDREDRIAEACRLDLNKPPFETIIAESGWLENDCVFVSRNLHKWAKDEKAED IDLTFKFMNPKIRKDPLGMVLVIGAFNYPFQLTLGPVIGAIAGGNTVAIKPSENAPNS AVIIQEIVEEALDPSCYKIIQGSIPETQALLAERWDKIFFTGGANVGRIIAKAAAPHL TPVVLELGGINPAIITKSADPRLVARRLLWGKTMNAGQLCTSQNYLLVDRAIVPRVVE EFKKAYNEFYPQGAKASPDYSRMINAGHFQKLKSMIDNSKGKILVGGTMDEKELFIEP TIVEVNSTDDSLLIQESFGPLIPILPVDDLDEAVKIANGIQATPLGLYPFGSKQDTDK ILSMTRSGGASVNDAALHIPTLPFGGVGESGYGAYRGRASFDTFVHRRPITTSPSWLE SILAIRYPPYAGKAGPFRAASTLVPDFDRDGKKLTMGWLRMIFTLGGGSATAGAGRAA AVAAVAYVVMRLLERRAKL ACHE_10404A MGFFEDEDRKYQEEVNDVKAWWQDSRWRYTKRPFTAEQIVAKRG NLKIDYPSNVQAKKLWGILEHNFKNKVPSYTYGCLEPTMVTQMAKYLDTVYVSGWQSS STASSTDEPSPDLADYPMNTVPNKVNHLWMAQLFHDRKQREERITTPKDQRHKVANVD YLRPIIADADTGHGGLTAVMKLTKLFVERGAAGIHIEDQAPGTKKCGHMAGKVLVPIS EHINRLVAIRAQADIMGTDLLAIARTDSEAATLITSTIDFRDHPFIVGSTNPGLQPLN DLMVAAEQAGKSGEDLQAIEDQWNAQAGLKLFDEAVIDTIKSGVHVNKEGLINDYLKA VKGKNNSEARAIAKGITGVDIYWDWDSARTREGYYRYRGGTQCAVNRAVAFAPFADLI WMESKLPDYKQAKEFADGVHAVWPEQKLAYNLSPSFNWKKAMPRDEQETYIQRLGELG YCWQFITLAGLHTTALISDQFAQAYSKQGMRAYGELVQEPEMERGVDVVTHQKWSGAN YVDNMLKMVSGGISSTAAMGKGVTEDQFKA ACHE_10405A MSSSQPHHHHHRRISSADPLSDADVYYGDENVLNRFRDRRRAFS TSLKSFNREDIDSYLGHFPARRGSHDETSAQPRKFLIDVDATLHDLLEREDTDRNMQI TIEDVGPKVLAVGTASSGGYNRFDLRGTYMLSNLLQELTIAKDYDRKHIILDEARLSE NPVARLSRLIKNSFWKALTRRIDGHNIGEAGRDPKDWTDNPRPRIYVPPGAPAQLKYY RNIAKDHPELRLDVQLLDSNITPEYVKDLNDKPGLLALAMHPKYNESTMKEDLIGVPF VVPGGRFNELYGWDSYMISLGLIVSDRVDLAKAMVINFCFCIKHYGKILNANRTYYLS RSQPPFLTDMALRVYDRIKTEPDAKEFLRNATLAAIKEYYSVWVSAPRFDPATGLSRY RPEGKGVPPETEPSHFTHILTPYAEKHGMKFRDFVEAYNHSKIEEPELDEYFLHDRAV RESGHDTSYRLEKVCANLATVDLNSLLYKYEVDIAMIIRTHFNDRLDIPEEFRTDSSR DITHESSAVWDRRARRRKMRMDSLLWDQEKGMFFDYDTAKKQRTSYETATTFWAMWAG LATPRQAADMIEKALPRFEAFGGLVSGTEESRGPVGLERPNRQWDFPYGWAPQQMLAW AGFLRYGYEDEAKRLAYKWLFMITKAFVDFNGVVVEKYDVTRPIDPHRVDAEYGNQGV DFKGAPREGFGWVNASYVYGLEILNAHMRRALGTITPYETYRHAMEQSEEAFS ACHE_10406A MDAQSLFDVKGKVVLVTGGAKGIGRMISEGFVSNGATVYISSRD AKSCDEAVKELNALGKGKAHAIPANFYKEEECQRLAEELKKRESKLHVLVNNSGSNWG APYDEYPSSAWSRVLTLNLHRVFDITKLVTPLLEKAATPGDPARIINIGSVDGLRVPA LETFAYSASKAGLHHLSRVLANHLGKRNITSNSLACGPFQSKMMAATLEAYRETIEAG IPLGRIGTPQDVAGSCLFLSSRAGAFVNGATIALDGGTLVGSKL ACHE_10407S MEELLSKHRKEQKDLQARITQKKKSATKKTRKGINDECDRIQQE LNEKQRAEVALVNGEDTAGVDGVQDLSIADPVDEDGEKNSSNDAPKDTGVSDTTSFST PSQQPPAYTRKPNRQKARLARRAAEQAAQTEIAHEEAAKLTDHRANEKEVMDGVFKQF NLKEIEVNPDGHCLYSAIANQLDESGLGLRPDPKRIALQSTTESRVETVSSPKHDGYR AIRAVTADYITGHKDDFEPFMEEPLDQYTRKIKLTAEWGGQLELLAIAKAYGVDINVI QGDGRIEKIESGDMESFDEEEKNKRVIWLAYYRHTYGLGEHYNALTKQA ACHE_10408S MASSMEESRPPTAAPIDIEQLPARDGDEEKSLDHSSPAVEGKEH DDQSIGPGPTVVPRLKRRGLFGQFTLLAEVENPKLYPRKTKWFITFIVAVAGATAPMG SSIFFPSLARVTKEMHTTTTITNLSISLYMLSMSIFPLWWSSFSERLGRRTIYIVSFC LFVVFNVLCAISHNIVMLIVMRMLSGGASASVQAVGAGTIADLWDTHERGRAMTTFYL GPLCGPLIAPIVGGALAQRWNWRSTLWFLAAYGGLVAAFIFLALPETLAKRKAPAMVE NIEQPAPVERRLSRASSRQVVQLTTKWLKMLKLVFLDPLKIVLYLRYPPVLLTVYYAS ITFGSLYVLNVSIEHTFGYAPYEFPTIIVGLLYIPNSLGYVVASTFSGRWMDSIMQRE ARKANRYDEDGKLIYRPEDRMRENAWLGALIYPAGLIWYGWTAGEGVFWLVPMIANFF FGVGSMIIFGMATTMLTEFMPKNASAGVALNNFMRNIFSCVGSLVTAPIIDAIGNGWL FTILGLVAFASSSTLYIMRVFGPRWRKSMDALTQ ACHE_10409S MAKGGKKSNRKKSINNKQLLPVINDNNLPVSEPTSDEKAIVEDG VLGPDQGDGDEPNGTEDAPAGDDAAAPDAEAAEHSTPPEEPAEPVDEEVKPEKEASPE EAKPEGEDEAQRDEAAAEAEEAPAADGEDAPVPEEGALDGEQGGQPAEEVPQEAKPAP EPGEDAPPAADEAPAEENEGKGDGEEPSAEEPAAEPAGAEPVADAEETPADPPAEDEP AADKTAPANDNAAAPEEPAAEDPVAEAEGAPEGENEKAEEPEETPAEQPEGDEEDAEE PGPAFEEPAEAAQSEESAAHSGPAGDEAEAEAATGDGADEVTPEETPAEEPAAEDSPE EHPAEEAPAPAEEAEQTAEETTEEETSGGSADESGEEPADEPAEETAEKAPAEESPPE EEATAAEESAEKPPAGDVPAEEAPAEEAPAEEAPAEEAPEEEPAEEPAPADEQPAEES AQSAEEGAVEESAAEDVPADELSAEEEAPEEELAEEAPAEEPAAEEPPSEEPVPEEAE PAEEAPEEAPVEEPSEEASTEEEAADAEPATEPAEELAKEALAEEPEEPPADEPAAAE EASAEEPTPEAPAEEPVPEEAPEEAPAEREPAPEELAVEELPAEGTPAEEPAEVPAPE EIPAEEGLAEPTPEEPAAEEPTTEETPELAAEEAPPAEEPAPEKPVPEEPVPTEEPPA DADSGESSKGDHLTEAAVAAGAVAAGAAGAAAIHSRRKKRRSTDVDGERRHSRSSSYG YRDLERGGKGDGNLITNRWVKALGEAKRQHEEKAIKQEDKRQKHKVAVIERGRRSEER EREREESQPRERRRSRRASRSERTERTTGKESVALETKSRRRSSVSYERDLASRHAMS ASSAPKPRAFLKYMNTESDTNGPLLMINGHKASANVRYHDHSHGSRRSSKSYAHSHAH SHSHSHSRDEGRKSLERSHSTRSRHSNKGDSEDEAAKREEREARRARRKAAEEEERKL KEQEEQQKQQEQQQNEAEEKESRRRRHSGEKEHRHRSHHHRSRQLETPPPPRPSKLRQ ILKATTVVVC ACHE_10410A MPLNSKAVYSKLNADFVPFSSRRSTVHSTKGIVSCTQPLAAAAG QRILKEGGNAADAAVAVAAALNITEPSSTGIGGDMFCLYYDAKTKKVGSLNGSGRYAG NASLEKVRGDLNIAPENQGGRIPMTSALAVTVPGAAAGWIDTIEKFGSGKLSLEEILK PAIELGEEGFPVSELASSFWQESENLLRNASPNFREMLKTDPNGKDGVRSPLPGDILK NPTLAQTFRSLAAEGKRGFYEGRIAEAIVKVVQDLGGYISLDDLKHHAEAGTQATDAI SLKFSGQNIVENQRAGTDDGAHHGVEIWEHPPNGQGIVALMALGILEELERTHKIPQF TEDQHNSAEYLHAVIESLRIAFADASWWVTDPDVEKVPTKELISQRYLTERAKLFNPD QASNVLDHGSPAHNHCDTVYFAVTDKDGNGISFINSNYAGFGTGIIPQGCGFTLQNRG CNFSLTAGHPNALAPRKRPYHTIIPAMITNSTDGSLHSVYGVMGGFMQPQGHVQVLLN MLAFKYHPQAALDAPRICLAATSPEPGKDMDRTVFVEEGVSEKAVEGLKKKGHKIQVL EGWKRGMFGRGQIIRSHYDDGVLVYSAGSDPRGDGMAIPVV ACHE_10411A MAAEGSNDLPVRPAEANPAKADAKQSNTDALPDFIVERNNFFEE LWQKHLEELKNKPHPDINITVDLGNGTTTTVTAKAWETTPAKLLKDLPKELGANAVIG KVDGELYDLGRVLEHDCTVAYIPFDDPEGREVFWHSSAHCLGEACECQYGCLLSHGPP TPQGFFYDMAMPDGRVVNQSDWPPLESKSNRIMKEKQSFDRLEVTKEDLRKMFAYSKY KLHYIDKLVTGEKSTVYRCGTLVDLCRGPHIQSTGKIKNFKIMQNSSAYFLGDQANDS LQRIRGVAFPDKQRFHEHMKFLEEAEKRNHVKIGKEQELFFFDEVSPGCPFLLPNGTK IFNALQSLLRSEYRKRGYQEVQTPNMYDVGIWKTSGHWAHYKDDMFKLDVEKREWALK PMNCPGHFVLFGHRERSYRELPLRIADFGVLHRNEASGALSGLTRVRKFQQDDTHIFC TQDQITSEIEGLFDFLQSIYGLFGFTFKLKLSTRPEKYLGEVETWNYAEEQLKKAMSN FKGSDWTIDEGDGAFYGPKIDITIADALKREFQCATIQLDYQAPINFKLEYMSHEKAQ AGAEEAKEGETKSNEPGPGRARPVVIHRAIIGSFERFLGILIEHFGGKWPFWISPRQI LIVPVMPAVNDYVEELQQLLRDDKLNVDIDVSGNTMQKKIRTGQLAQYNFIFVVGAQE KESRSVNIRNRDDPATQKQGVMIPLEEARTKLRALRKERRLVNTL ACHE_10412S MSFRGGGRGGFATGANRGGNFGGRGGRGGFQPSFGPPAQVLEMG SFMHACEGELVCESINPKIPYFNAPIYLENKTPIGKVDEVLGPINQVYFTVKPQEGIV ATSFKPGDKVFIGGDKLLPLEKFLPKPKPPPGAAKPKRVTKPGARGGGMRGGRGAPRG GRGGAPRGRGGFGGGFGGRGGAPRGGGFSRGGGRGGRGGPRGRF ACHE_10413S MSRRTTAMSSSNSSNSGKPASGDGGQEKQKMLLSAETGHFSMIR ALHLADLVTELNGFCGVMSVFSSMRYCLGEPTDYGNIWAALAFMPFGLFFDFMDGKIA RWRKKSSLMGQELDSLADLISFGLAPAAAAFALGARTPADHLFLTFFVLCGLTRLARF NVTVAVLPKDKTGKSKYFEGTPIPTTLSIASLMAYWVSQGWILDDLPLGVVAQGTPFE FHPIVLLFVLHGCLMVSKTIHIPKP ACHE_10414S MRFSTIRSAFLTSTLFFAASVPVMAADSSSKGCYSDATPLEDQG PYTFQSSGYCKTQCTKKNKAVYALYQGSNCLCGDEIPASSKKVSDDECNVSCNGYPSD KCGGDNAYAVYLTGESDNVKTYGSSSSTDSSSSTSTDGTVETSGGHTVVVTAASETGA TGEKSKDSGPNTAAIAAGVVVGVVGFCALVGAAFFLWRFRKRKGMQNEYARNAHIDNF GKPKSTSSMSDSRFDGDFMAQRRQSNGSIDDDQDFSRRILKVTNPDRS ACHE_10415A MKYRGVVYDVGLRFQDQGFSVEPFDSVLVEYDMRVIANDLHANA VRIEGEEVQRLTTAARAAHAMKLTVFFNPWKMNADVDETRAYFEEAAEAAEQLRNEGV DIVFVAGCEYTIFSKGVFPGDSFNERGAWFGAQLAGFTLENIPETVREKSTKLNEILR SFAEAIRAKFAGSLTYSAGTWEIVDWSIFDIVGIDHYRRGETEEQYVAGLQRHRSDKP LVVMEVGCCAYEGAAERGDAGFILLKGTNPDGSGMFEDDVVPTRSEREQADYLGTQLV LLANADVHAVFVYVFSFACMRMGEGAKDLDMMSYSLVKTFPDQNPRSRTMPPWAPKES FHRVANFFRDHAAATSGSL ACHE_10416S MRRTSATLDIPLPITYTPTTHRISKAKKGKRVHACQYPGCEKVF TRAEHRRRHELNHNPEALFRCTYSECQRTFHRQDLLNRHIERHELDAQMEHAARWKQT QLPVAPQPYPSKNLMPTPFDSNMFLPTPQQSTSTSMSIDSLVTPEIRLDLTNDQPFQW DGLDFPLYPQQPSLLRSPMPEPIDDNSSFYSYPESCASPSSSGGATFLSSRPGSSLSS TPATTMESYQENYPEPILDCGLTSSPLPLQADLPPLDFSGVDISWSDIEPLSLDGDFA PQPLQYNTAPAWTLPQEESDPQQYAAKSFFF ACHE_10417S MVVFVDLDDDAFSNQHHLPGGPEDALLQSFITRPEKASLMPSSK RMSDSTTDESSVDSSDHLSTDRYSNQTTFSAALSCYPIIKELARCVDLNTLHALSQTC RQFYTNLTPYRQQLTKRTLRCENEYIETLSDMLNSGAAIPESVKSVIQLLSQGTGQSG RMTRGKVSKCARDMVGECRRCSKVICRNCTIKTPTNAMLKNRIRRLCITCRTVPISQH MAYSASQDMQTVSPFDPSSFTAPAFLRTPCSCEDAVWLCYQCGHTIRREDTTYRRVWA WRTRYSTHLGGLGTGLGEGCQGVKCGRGESCLAAQEIELELVSEADDDPDGLHNHGLL QHTGENTISTLDDEEPGYFRQEIVGIGGRFKQKVKRRVMVGACVPEYEDERDTGRYLI REEEGKHRGWCGWCWRVIPAKNETMNDYNP ACHE_10418S MADLQGRKIYKVFGQDFIVGDRYHVTKELGQGAYGIVCAANDTV TGDGVAIKKVTNVFSKKILAKRALREIKLLQHFRGHRNITCLYDMDIPRPENFNETYL YEELMECDLAAIIRSGQPLTDAHFQSFVYQILCGLKYIHSANVLHRDLKPGNLLVNAD CELKICDFGLARGFSIDPEENAGYMTEYVATRWYRAPEIMLSFQSYTKAIDVWSVGCI LAELLGGRPFFKGRDYVDQLNQILHYLGTPNEETLSRIGSPRAQEYVRNLPFMPKIPF DRLFPNANPDALDLLDRMLAFDPTSRISVEQALEHPYLHIWHDASDEPSCPSTFDFHF EVVEDVAEMRKMIYEEVVNFRAAVRQQSQAHAAAVAAQQQQLGNVPIPEGQHGGWKHE EPKPQEAGGGGGPANDLEASLARGMDAHHR ACHE_10419A MAVDGGSISSSAPPNHGMAQGPVEARSLSSITGIASNPPAYPRN PTQKKLDPLELYIVRVPGSKDVFLSPLKPPTKDSVSAEAINASLYYLHVATPEDEALL QEVEEEREQEAKLRREMHGDEAESGPMQQEFARLNNVRRKPVPGGAPTAGTGNADANS ITPTPPPSLPSQENIAPAVPEHRPQASPPPPFAESVPDTEPPKEAGQRVQFMERKSTI KRKPTIRSPVPQRPLPSVPNEEPLETMENGHPAKRNNRWSALAGYVNIQGLEGWKEKY EAISNNRHSLDSRRTELRPPSSHESPRRSPGLSPSRRSHDSRSPDPSSGFHITLIRRD PTHGTQWNVATISTTRLDEGAIDLEISTPGYNRFLAQDEPISLASLGLNSDKMNGPSF SALQALQQQAESHGAVPHNDTHDNSSQEPAGPKRFRRKLCVSRPLQDEKRGSMDQQSA PRGSIDSIGPGSPPPKTQSGHSLSKLKSGYYTFNSPWNGICTFSTSVNGRSLKCKHMI PLQAPAGFPPISAQTVGSSPAVTVAEIRFNTPFQPGHLHHQPSPTHLSPWQLSQTPTF ANPHADPNDQPLSTIPGNIPPERQSPPLQPTNPTSKRASLAQMLNPNRPRAHTTNNTL GNIGLTRKPSTASTASKSSDDPDRLDFSLARERAGGGMRGKSAKLGKLVVEDEGIKML DLVVAACMGVWWRGYYY ACHE_10420A MSSQRYQRVNAHDEDDPNHYPSNPSRSNSHLAPSSPPPSFHSRS SSPSSRRLLNDDSRRADDTDQTLADAFDDGSDSEVENEPDDRQRLMRANPEPRAFAGG SDYNNDDNGNGNAAASSSSQGGGQGQQDQTQQQGRGIFRRLTILPSFTPSSSAPGRVI GSNDGVFANLAAKPERGEKNEDLPPSYEEAAADATPPYWETTILAPGISSDEVFVDGL PVGSIFSFVWNAMISMSFQLVGFLLTYLLHTTHAAKNGSRAGLGLTLVQYGFYMKGGS DPKPDDGGDADQYVNPPDPNSHNFDPDAVTDGGAGGDGNGAMSAISTSEWISYILMIV GWFILIRAISDFLRARRHEQIVLQSPDRGLGIPVIAEGERAETVV ACHE_10421S MAKPPVRPQTLQTRISHLLSHWPSDPVRPASVSVQTYLQTRLSQ ISPQDAAASQQQQHLPQNHQPQEMSEASLNALASLLEDRYTRRYPLSPKLRRPASNPD HYDNVVKEFEEAPSRDWFGRLGKRLKGLLRFS ACHE_10422A MSSQLATCLRIARQFSTDPSKHQKFLARTFSSSARRYEINKVVA SADLAIKDMKSNSTLLAGGFGLSGVPDTLINAVRANPSITGLTAVSNNAGVDGSGLGL LLQSKQIRKMIASYVGENKTFERMYLTGEIELELTPQGTLAERCRSGGAGIPAFYTPA AFGTVVQTGDLPLKHNADGTVALYSKARDVKVFDNKSYVMEEAIKGDYAFVKAWKADK LGNCQFRYAAANFNGAMGRNAKMTIVEAENIVEVGELDPAAVHLPGIYVKRVIQSTTD KKIEKYTFAKEEGEEKDAAALGKGDTANKRERIVRRAAKEFKNGMYANLGIGMPMLAP NFVDPSVEVMLQSENGILGLGPYPKKGQEDPDLINAGKETVTLLPGAAVFGSDESFGM IRSGRVNLTILGAMQVSAKGDLANWMLPGKIKGFGGAMDLVSNPSATKVVVTMEHTDK KGNPKIVKNCEFPLTGKTCVSRIITDLCVFDVDFTNGLTLIELADGVTVDEVKAKTEA PFKVADDLKPML ACHE_10423A MSSLQRSGKTAPRLVQDVQDYDPAHPPGTGRNLLSDVPPVYPEY YNGPQDFVSPLACRHQYARKEMQTFLSQPDQRRNTGTPSRVSAMGTKCRTHLQVIVNY AGAIGQSGQNLSGHIHHFVYKSGRQRSGVSPVEVTPKGQVAESFHYECSYLSCSATTS VRVLSPVLADDWVRLLTDVDLLAERTDAAIAAYPERMEGIARPPPISVLANLRIYITN SIRDVQRSKSISAVNKRFMACFGVEGRPCGDLLEFLGFTFKEAEGLWDPPRPNPWAEY PYQDQQKIFLDDVAHELAALMEQRPLSEKRGNQVDPIGSPASDDLLDALEALAYPKTT RSNDFSMAPAPYYEDLGAVENMSSTLIVDAFNRQVSVDPGRTSLYLQCLKAIGELRGG EDGAIIDQAVQVAYAEGKYTDDDIVTAYRYFGLSHDDIRLTEDSIIGKFYAFLSSTSQ ETESRTQLWRIGDSRKSERIKSAAEDRVSNAEQAQVFLGVDDKTSDDFIITMYTAKVN DNPTTRDLARRAVDLIAQSRKSEGLKHFLQTGEAGAGEMDVADAYRLLQIPDRTIDDG AIMAAYTICIDEAPAQAETYNRALCLIAQDRESPLLSSMVPGAAAQPGRNISEWPVGL QNIGNTCYLNSLLQFYFSVLPFREMVLDFENFRMEVDDEGIEKKQVGSRKVQKQEVER SQKFLRELRILFNDMINSSRPYVIPGQELARLTLISPSNEAAIRRRSTISAGRPSGLG EVDGMPILGPLGPPQTTTEEAEKRDPSENPGIAEAERPRRPISDGDSDATLVSETTKQ GVPAVHADNQENASQQTDVVMENSENDAPETTNNGDMPPLGPADGPIAPPSQPPPVPP RPTPQVDPQKQLIEEVEIGAQQDVTEVINNVLFQSQCAIRPIGIASDGEQLDQIKDLF YGRTKSYLSTEKNVRSREEWWCDIKVDVATGSRDIYAAIDGAFDVQKVNIDNSIAEQY GAISKLPPVLQVQVQRVQFDPVKKSSFKSTHHLDLKETIYLDRYMDTQHPEILKRREQ CWGWKKSLKKLEARRADLLRKNESDGLDMPTLFSSTKDLLDDLNSMKEDPEAAQDALD INPQLASELGQLSQITQTELSHIEKEIRDTQAMISSQFADYRHLAYRLYAVFVHRGSV SFGHYWIYIYDFKNNVWRKYNDSEVTEVQDPSEIFQDRQEQNPSTPYFLVYVNDTMKD RLVNPVCREIVDPQSNAQTFPETEQDTAMQEAIMASQQPTEGDVNMDPPAYDEASGGA PGTGADLPSLTQEKKAYGNEGKWPSDTQTNTNDAQW ACHE_10424S MELHCSSRKAVSHGRTALPNSESITGPPANNYESRQTIHHPSTP STATMAAEHTETHYHPHDAIGAAMKATTLTGGVGLFAAAVQNTLTKQNVGPLGIFFKS GGTIGIFAAMGGTYEFVKTASANLREKEDHWNVAIGGFFSGAILGLRARTFPALMGYG AGLAIGMSGFNYAGGLWGYKRDKDLSVDEFERRTQLRKNYRTPGEQTLAELGEGRGLY GPGYAERRRERIKEAYGYDVPTTQAPAS ACHE_10425S MAMAARPPSPLVQDAYGPIPQQPNNNAANFNNDGATHPDVVALS RGYGSRSNSRPNSFVASSAASNVAHGALLDPPSIAAGSRYYQPPYQQHHHNPRFHEDF DAASQRGSVVLEGPSAVQATAAATPGVQRSVSQMSQSRSATPTRTSTLKKRASLTKRG SMRRSGSKKSMRAGSVRSLDRERYGADGVDDINSAFTVPIPTDGNPTEALANRFQSWR KILKDLIIFFKEIQKSYEMRSKLFLSASNVINNSTMPPSFLKSGGIADATEILRDFHR QGYLEANKAAEVESEVVNQLMSLRNDLHKKIKEIKGLASDFKNTVEKEVDGTRKSVRN LHEALDLVDSDPAAASGRGDPFIVRLNVDRQIEKQIEEENYLHRAYLNLENSGRELES IVVSEIQKAYNAYASVLKREADEAYDTVEKLRAGPIGMPQDHEWNSFIASTDDMVDPR VPLRNVENITYPGRDHPAAAEVRSGMLERKSKYLKSYTPGWYVLSPTHLHEFKSADLV ASQTPMMSLYLPEQKLGQHSQPNSSSYKFMLKGRQTGTMHRGHSWVFRAETHETMMSW FEDIGSLISKTGEARNAFVRRHVRSISGNSISFSGGSDAMEDEEDEADRTPYSSEAAL MNVERPTSQPRQPGGRFPSDVHIDRHLQVPLSPSSGESSGDRDLLAVVGSSGPDRSTS PLEGYGNRLSAVSDRDGDAGSTARSSVHGVNDASSLGPGRVERHDSYYGDWIGPAALA ARQQQQAQYKAYNPPAQNEQGLLQPDERRPLSSSDSNLLASTGSTHSQDPSSTGFRRR RESASTAPTTTNVTNVTDHTSNTLPTSIDEPSIVGTGDPAGPHRRQPTDETLKEDPTS AAIKMDGVSTTDFPVRGISPGRSASNQFDPSMVGEELKPTTTAATGTTGTTGRTKGSV STLELKIPGHYPPHVAA ACHE_10426A MISFFGTQSVGVKLCPSDDYNDSAASFKEITETYIYYINQIMAR EVGFINLSGRGCDVSREGTDEYFKPSPRPEGLELPVVYELVQQFGGLIKYPGSKTMLM VNHEYSVEAERVVREGVVDLVAFGRPFIYNPDLVSRVRNGIPLPGNDRGERVNYGSYE TVDEYYNDWPRAVA ACHE_10427A MTSPALLHPTTLDPLSLRNRICMASLTRNRCTDANKPTLASIHH YTDRACDGAGLIVAEVTFVYLNGRSGRMRRYVRQESCGGLEEGYGWGAWGWGEDFISA VPPWCFSFLDFDVNNENMPMLKKIGYPVLAPSKIKTKGGKVRTLERLPGYTENIAEIE DPQVIVEQCRHSVALAKGSLV ACHE_10428A MMQNVRESSQQDRRVYVGNLSYDVKWHHLKDFMRQAGEVLFADV LLLPNGMSKGCGIVEYATRDQAQNAVNTLSNQNLMGRLVYVREDREAEPRFTGGPPRG EFGAGRGGFGGGFGGGGFGAGAAGRQLYVNNLPFNVGWQDLKDLFRQAAQQGTVIRAD VHTDPTGRPKGSGIVAFESPDDARNAIQQFNGYDWQGRMLEVREDRFAGGPPGFGGRG GFGGGFGGRGGFGGRGGGFGGRGGFGGGFRGGYSGGPGGFGGPGGPGGPGFEPVSAVP PNPFTDFATSGGDRSAVIYVRNLPWSTCNEDLVDLFSTIGKVDRAEIQYEPNGRSRGT GVVQFDSPETAETSIAKFTGYQYGGRPLGITFVKYMNAGPGEPDAMDSDFQPPSGITQ DQIM ACHE_10429S MAQPFLLSLPRRGLTPSPRLSLTSPSRTIASCSRFTPTRPQHHY QPHQPQQPQLLQRNYHSENHPSTPPQENEYSNSQLTILSAALAHVPRHGFSRDALILG ARDSGFLDVSVQLLPRGEFDLVLFWLASRRGLLRDVAEKLKGKEMGVEKKVRCLVMER LRMNEMVKTEWQGVSSCPSFSYNKSVIGCGEVVLTDILCRRWHLCPSLEISPSPSPNF TPSRLIS ACHE_10430A MATSRKWLLAARHSSITPFLYQTRTLAAPTLRTSYSTTADGLDE ETSNPSSTSPSSTTTSTERAPRPSFLQKHAASRLSSSRDASKKRKVAMTRSERKAFGE LLGELQKSEPYPAFAASQGLKKKPESGNSRGDEMSQLSEIFESVLAEVRGKDKRGGER RQLRRRSVHAEEEGDEEDEMWAEMTLGEGGETVVDISAILGEEGKQVPMQRAVRIIVK REAKKIENMLREAVSDDKGDIGIWDVCKARIFSMLEHIGPNTVSGQRKRHSQQEQEQP TLEIPPSVPVEPVVVSLYPKMLLVAFRLLNQHYPKSQLIGQFRSTIKSHGRASAVLGT STGLYNELITFYWQGMNDLAGVTSLLQEMEVIGVDANERTCHILKEILRQRDRDLKEH WYRRRQVEEGGKVRTREPWWDMAPNRRAVRDLLGPEGWVSRLEARVQKRRSV ACHE_10431S MPAEELSEFEKQRLANIAERDQLLKKLKQEAQSSGLALPPPPRP TAKKPPTDSSRPKKKPAPRKPVAQDDPAPRRVSSRLRGIAADSEVAKRKADEQQEALM EAERAKKVRKSDAFSFNEMVVSGQKLSLGGEGLIGVDVVTKGVAKPYERTFGDEDIKM TTDEDLKALREEMSGLQLWEAWEPNRIKLTPERIYTMTFHPSETKPLIFAGDKMGHLG VLDASQEKPVSVKNEDDEDAEDDPDPILTTLKPHTRTISSMTIHPAKPTHLYTASYDS SIREMDLEKTTSVEKYAPTSLADDLPLSGIDMAPDDPNTVYWTSLEGAFGRHDMRAPP PSSTEVVESWQLSEKKIGGFSLYPTHPHYFATASLDRTMKLWDLRKLSRDEPVPVGEH VSRLSVSHAAFNSAGQVATSSYDDSLKIYDLAAQGINTWPEGHGKTLSDEQMKPDTVV RHNCQTGRWVTILRPQWQQNPQSPIQRFCIGNMNRFVDVYSSSGDQLAQLDGEGITAV PAVSVFHPSKNWIVGGTASGKVCLWM ACHE_10432A MESENQHGTKRSSTHQGGIYSHAVERRSSKKSSSKDRRGMVYHP ESFKGTGIRAVTPESDTDKQFPLPDADYVPGSSVPSPRATHRVRTVDGDRREHQYPHS VGDEAEASNAMNGRIRSRTTTTNEERTEVPSNNTMLPWRRFGSFHTSGSQVRTPDEQP STMSSPATYSPSSFGERPRPGRPPGVLTGLNSLNASSLTSPISNSDSAKILHLMKTTS GRMHGVLSFRTSNQTAWTSGVCAINVATGSLVYLIYQAKGEPALTKTLIPDLRGCRVR TLYDPDFQNNYLTVSTFTSGLGVQLRPHVSETFDSWLAALLCWQPIRPRGVRNKMTKP QPVTIGERRYPDRHRRNSEGTVQKDAAIIKVGKMLLWDKPTASGARPASSRQISSSRQ QRTLKSSWQRVSCTLQENGHFKLFTESDVSLLNSVQLSQLSRCAVQQLNASVLEDEFC IAIYPQYAAHANIDPSQIRPIYLSLESRVLFEVWFVLLRAFTVPELYGPESSLEDDLR PVNLQPAPTPPAATASTADMFRIERSLTVKVTEAKLFRTREDTPQKGRKQSRSHGHST TVRSAEGDYYTEVTLDGEIRAKTAVKYRTANPFWREDFIFHDLPPVLSQASLLVKTLN PAQKDWTLIAHGTYLISQSRDISTIPLDDVEVCAHDLTYGRVELRLDDLEPGVETEKW WPILDDKDQPVGEMLMRARMEETVVLMSQEYEQMSELLHSFTNGLTVNMAQIISSELN QLSETLLNIFQVSGQAVEWISALVEDEIDGVHKESTVNRLRYTTRIQSNDARESNQER EFLVRDLGRTATVEANLLFRGNSILTKALDFHMRRLGKDYLDETIGDRLRSIDEENPE CEVDPSRVHRSDDLERNWNHLISLTTGVWKAIASSASRCPPELRLIFRHIRACAEDRY GDFLRSVTYSSVSGFLFLRFFCPAILNPKLFGLLKDHPRPRAQRTLTLIAKALQGLAN MTTFGSKEPWMEPMNRFLISNRVEFKEFVDSICAIPADRPAPIVTPSYATPIQILGRL PPTSREGFPSLPFLLDHARSFSNLVRIWLEVAPPRLAELEEIDPVLQNFHETAIRLHQ RTRECLDRAEEAERPNGNLVVKWEELVELMERSATFYDDANSSMPATPAADSALASSA TVTNNHRNSIGYFARPYLPRRSTDYEQQQQQVDDETPPSSSSATWDASRVPFTIPRWS EARDSASSSKNSSTFSLDSPEITTRSGRRSSVSRESSGRYRGLFEFPRRKAKERDHSQ HQPREEVRNES ACHE_10433S MMKSNILSRILPPAGSPSVYEAIQEQDADSNASDVEERAGLAWD EKRGGDHYNDQELEEAIADAQGSVLSSPSNSTTAFLAQDRSSREGKGKGKATSRHRKP SRPRWAQDGPPEYDADDADEDVPASLLVEGNHDDDELRSRLPPPPRSYPHHPSPDPGP SSRSNRSRWETNRAAMEQGTRDGPQRQREPPAARWSIGQHPNLAFVDPKEKAMWRWAN VENLDNFLEDVYAYSQGNGIWSISLGRVIGLLNFAFIVGFSTFLTNCIDYSNVRGSRT LDDILIKRCTTNMSTTSTFLLWVLTFFWIERAFRYLLDLRRLKHMHDFYYYLLGISDA EIQTISWQEIVSRLMALRDANPATARVSSQHRKLMGSQSKQRMDAHDIANRLMRKENY LIALVNKDILDLSLPVPFVRNRQLFSKVLEWNIYLCIMDYLFNEQGQIRTLFLRDTHR KALAEGLRRRFVVIGILNIFVAPFLVVYYLMHHFFRYFNEYKKNPSQIGSRQYTPLAE WKFREFNELDHLFQSRTNISYPYASRYIDQFPKDKTVQLAGFVAFISGAITSVLAVAS LVDPELFLGFELTNDRTVLFYLGVFGSVWAVARGLAPEENDVFDPEYALLEVIRYTHY FPSHWKGRLHSDEVRKEFAMLYQMRIIIFLEEVLSIIFTPFILWFSLPKCSERIIDFF REFTVHVDGLGYLCSFAVFDFKKGTNEITQGPAGRQRNAHDPSRQDPRADYFSTKDGK MLASYYGFLDNYGANPRPSGRRPFHPPPAFPTLGSPSGIDLGQYPYPRSGPAAGAPGS TMIGQMSTAGPRFGAPGAMDHLSPAPSMLLDPHHQPSTMNRTSAHPIYRASRAVPRVA GPIEHGDEPPSITTNARRLVPQLPAHTTTASSGGIGESESNLGDSWRMNLMGSGEGEE EGEEGENVDRIVEGPGVLGLIQQFQKANNDGRGRTTVGI ACHE_10434S MAKLSALHTASCPRTRTITELAKVLDEHRVALVRGPPSSGKTTL ARLLQSYYVSREEPVVFLTGWPRKTVDPPIYLYEKCKEAGYEEVDYMTVQYSNITFII DEAQQSYNDKALWRGLIKSQSGCQSGARICLFASYGNPVMGTPEGPGGPPVHFESEQR VSLLRYYASHGPHSNNLGLFYTVEEFEYVLLLWSAVNEVEIAPVARKVLYYVTGGHPG AVESLVAYILVCFLSCSHFSYLRDVVHVLQAYYHCEVENNSIKTITEKHLMDSLEDGP NLFASLQTTVFFRSFPTSQQLALTPAAATALRRIIQEGTIPCDLDDHGVELCFKRGWV HTDYTHSSPAGVENLVCFLPTRLHVKILEDLLGINQPGSFF ACHE_10435S MLVPRRYRGLLIFAVVFAVVFFHFVRSHDWQIVFPGDYHDHHPH FPPPPGDLFSQNPPSLDFVPGPPPHQQTANTKQSHENANQVGVKTSGNGDGQAQNAGL GGSGLRKQQQKQGNQGKQKQGLSMFPVKRPPPHWKKVPEIFPLAPEERITLPTGQPKS LPKLQASFEVESVEEERKRLERLDDIRKTFEYAWNGYKSSAMGKDELKPLRGGYKDTF NGWGATVVDALDTLWVMGLEEEFALAVEHVKSIDFTTSEKLEIPVFETVIRYMGGLLG AYDISDQQYPVLLEKAVQLAEILMGAFDTPNRMPTLFYKWTPDQASRVHHASRRAVLA EIGSLSMEFTRLAQLTKDDKYYDAIARITNELESVQSSSKLPGLWPTKLDATGCEKPI PAHAVVRDVPSPSNSSTTPVLTPSARPAPTDIRSYTHYFERRGESGLAQDAQPADYEQ LIKEKNPDSYIVPANSDCKGALITQNSYKDAFGLGAEADSTYEYLPKEYMLLGGLNDQ YKSMYEKAMDTAREHLLFRPMVKHGRDIRFLATLDLSKPLAELQPNQIPTRYEATHLT CYAGGMFAVGSKLFGIDGDMDIAAKLTDGCVWAYESTYTGIMPETFLVIPCKKGESCT WDEARYKDALDPWREERLAAMQKLKEQAIEAKESQEVAVEADEPAATPEKNAVIYERN GVKRDATASQTTETPVPTGPRVKVTRRSLLSPLPGDPASALADDYVKTRIREERLPPG FVRMTDRRYLLRPEAIESVFIMYRLTGDNYWREKGWRMFEGISKHTRTNFAHSAIEDV TSMHPEFQDSMESFWLSETLKYFYLLFSEPSVVSLDEYVLNTEAHPLKRPT ACHE_10436S MESEVILEREQQFWDELQQIVSTPCDSEDLIDNVLRSYLSLTVQ YKDEYLNSELDISRCSFKLFSSTLYASHGDYVRRQLLYGLLQEDDPKTLHLISSFLLF DGRQNEATFHAMNDEGAFPRLLELLQKRKNDSHGHSDEDEDGGAGLHRMLMDLLYEMS RVQRVKIGDLVLVDDDFIKGLFELIENLSDDVNDPYHYPVIRVLLVLNEQFMISAHDP IDERSGFLTNKVIKVLSMQGNYYKTFGENIILLINREAETSLQLLTLKLLYLLFTTPS TFEYFYTNDLRVLVDILIRNLLDLPEEASALRHTYLRVLYPLLAHTQLRYPPYYKRDE LKRMLNILMRGQLSGQETDEEKIQHFEDVDETTKRLVMRCATVEWLRDVEPEVESQAA SRTGSVFATTGEPLEASQITSSSSSSDRDTASPTGHQQAPGSPDVRHHHRKLSAVKRL GMHLEPASTSSLSVREVASQHEKPGVITPSRADLPPETEFVHPGAKPKPKVKPEPPKA RRWRGRRNHEEDENADRIPEDSPIPSSPIPRAVTPTPPPPPPIAGNRRRSTSTSSSLA PPVSAHSRRSASNPPPAVPPPRRSATHPAVQSQNSQYNNQSNLNSMVQQGKYGQRPEP PKTRRWGRKQAQQSEQSGTREHTVSVEEAVQNASLE ACHE_10437A MRHLTQALITLNALLVVAVAAPHGISYSHIQHHKRDVPNPNLAP NLGTNVEVELHPSPRHHNNHDHHHGGHGHGHHGKPPQLPPKKRKFGISYSPYNTDSTC KSQDEVNADIDRLVSLSTPPPAENNEGAESDYSFIRIYGIDCNQTATVTTAAKRHNLR VFAGIFDFSDFPHSLDAIIDVAHSHSTDPEKRDERAQQNGWDIFHTIAIGNELVQTHQ ATIPEVISALHQARSILRSAGYQGPVVTVDTYTMLLAHPELCEASDYCAANCHVFFDA TQTPDKAGDYAIDVAKRISKGTEGKNGGRKRTVIAESGWPHDGTANGKAVPSEENQRV AVDSLRRVFREQGEDEGDGDAGLVLFTAFDDLWKTDNEGTFGAEKFWGINR ACHE_10438A MDIQETQRLLSEYLHELANLFHQLPGSAIFLRYVKSSYQDDPVR SMVELFLFLFAVRYLLAPKYSTKPGVVQLSDDEVDDLVDEWTPEPLVGSPTPLEEMEV EKRAVIVGPVGPKSKLSNGRTVMNLGSVNFYNFNTNESLKEKAIQTLRNYGVGPCGPR GFYGTQDVHMKTEADVASYLGTPACIIYAQAFSTISSVIPAFSKRGDIIVADKGVSFA VRKGIQISRSIVRWYEHNDMEDLERVLAKVTKEQARKPLTRRFIITEGIFESHGDMVN LPKIIELKLKYKFRLILDETWSFGVLGRTGRGVTEHQNVDAAEVDMIVGSLAGPLVAG GGFCAGSEEIVHHQRISAAAYTFSAALPAMLSTTASATINLLQSNPDIISQLREQTKV LRAQLVPRSDWVYCTSAPENPVIILPLKPEVVASKRLSFEDQQFLLQDIVDECTTNGV LITRVKTLDDNFEPRQLLLPALKVCVTIGLTRKEIEKAGTVIRHAITKIVSRKK ACHE_10439A MSGYPGGHYDDGYGHPHGDSYYQDEHGQGYYDPNDYGDGYYDQH GYYPADGYGHEGGYYDGQQDGYYGDPYYDGGNGHQSYGGGRRRGDSEDESETFSDFTM RSETARAADMDYYGRGDERYNSYADSQYGGRGYSYRPPSSQVSYGANRSSGASTPVYG MDYGNALPAGQRSREPYPAWSSDAQVPVSKEEIEDIFLDLVNKFGFQRDSMRNMYDHL LTLLDSRASRMTPNQALLSLHADYIGGDNANYRRWYFAAHLDLDDAVGFANMKLGKAD RKTRKARKAAKKAAQENPENVDETLEALEGDNSLEAAEYRWKTRMNRMSQHDRIRQLA LFLMCWGEANQVRFLPECLCFIFKCADDYYQTPECQNRVEPVEEFTYLNEVITPLYQY CRDQCYEIVDGKYVRRERDHNKVIGYDDMNQLFWYPEGIERIKLEDKTRLVDIPIGER WTKLKDVNWKKAFFKTYKETRSWFHMVTNFNRIWVIHLGCFWFFTAYNAPTIYTKNYQ QQLDNKPPGAYYWSAVGFGGALVSFIQVLATLCEWLYVPRRWAGAQHLSKRLMFLLGM LIINLAPGVFVFGWGSKVKEPIPLIIGIVHFFVALATFFFFALMPLGALFGNYMKKHG RQYVASQTFTASFPRLQGNDMWMSYGLWICVFGAKLSESYFFLTLSFKDPIRILSPMA LKKCIGIKYVGDVLCYKQPQILLGLMFFMDLTLFFLDSYLWYVICNTVFSVARSFYLG VSIWSPWRNIFSRLPKRIYSKVLATTDMEIKYKPKVLISQVWNAIIISMYREHLLAID HVQKLLYHQVPSEQEGKRTLRAPTFFVSQEDQSFKTEFFPAGSEAERRISFFAQSLST PMPEPLPVDNMPTFSVLIPHYSEKILLSLREIIREDEPYSRVTLLEYLKQLHPHEWDC FVKDTKILADETSQFNGEPEKNEKDVAKSKIDDLPFYCIGFKSAAPEYTLRTRIWSSL RSQTLYRTISGFMNYSRAIKLLYRVENPEVVQMFGGNSEKLERELERMARRKFKICVS MQRYAKFNKEERENTEFLLRAYPDLQIAYLDEEPPANEGEEPRLYSALIDGHCELLEN NLRKPKFRVQLSGNPILGDGKSDNQNHAIIFYRGEYIQLIDANQDNYLEECLKIRSVL AEFEELTTDNVSPYTPGIPTTNTNPVAILGAREYIFSENVGVLGDVAAGKEQTFGTLF ARTLAQIGGKLHYGHPDFLNGIFMTTRGGVSKAQKGLHLNEDIYIGMNALLRGGRIKH CEYFQCGKGRDLGFGSILNFTTKIGTGMGEQMLSREYYYLGTQLPLDRFLSFYFAHPG FHINNMFIMLSVQMFMIVLINLGALKHETITCKYNSDLPITDPLRPTYCANLIPVIDW INRCVISIFIVFFISFVPLAVQELTERGLWRMAIRLAKHFGSFSFMFEVFVCQIYANA VHQNLSFGGARYIGTGRGFATARIPFGVLYSRFAGPSIYTGARLLLMLLFSTSTVWTA SLLWFWVSLLALCISPFLFNPHQFAWHDFFIDYRDYLRWLSRGNSRTHQSSWIGFCRL SRTRITGYKRKLLGVPSEKGAGDVPRARISNVFYSEIFVPLILVGVTIIPYFYINSRT GIEKASTDKNQSDPILRIAIVAFGPIAINAGVSGAFFGMACCMGPLFSMCCKKFGAVL AAIAHAIAVIVLLAIFEVMFFLEGWSWPRCVLGMIAMIAIQRFIYKLIICLALTREFK HDQSNIAWWTGKWYSMGWHSVSQPGREFLCKITELGYFSADFVLGHLLLFLMLPALCI PFVDKFHSVCLFWLRPSRQIRPPIYSLKQSKLRKRRVIRFAILYFTMLILFLVLLVVP LVARKYKAALGLDSDPLSSVAGGGLLQPLDTKNNNTIDGYTGSNLPAGMQPITSGFGA PTPSGSASS ACHE_10440S MKLTNVVAGATLFASTVLADLDPIVIKGSKFFYKSNDTQFYIKG VAYQQEYSGPKSDTDNFKDPLADADACKRDVPYLEKLGANTIRTYAIDPKADHSECMK LLQDAGIYVISDLSSPSESIVRNDPKWDADLYSRYVSVIDEMSQYSNVIGFFAGNEVS NQANNTDASAFVKAAVRDMKQYIKAKNYRSMGVGYATNDDADIREKMANYFNCDNADD SIDFWGYNIYSWCGDSNYQKSGYKTRTEEFKDYSVPVFFAEYGCNAVQPRKFSEVEAL YGKQMNDVWSGGIVYMYFQEENDYGLVSVDGDKVSTLDDFNNLSSQLAKVSATGTKKS EYKPTNSALQSCPAVNSAWRAAATPLPPSPNKDLCTCMMDSLECTVKGKVDGEKVGEL FGTVCGYDVCDGVSANATTGDYGAYSVCTPQEQLAYAMNLYYKQQKSKGNGASACDFN GAATTKTSSSPSGTCSALLKEAGSSGTGTVTSSPTGNANQVSASGSASASGSEGAAPM VAPGAVRVGVWQFGAYLATAVVAGAGMILL ACHE_10441A MDSIQHQNHPVSSEYLHPWTTFNEDIQSTLQSLDLSKPAGKADS SEGEKYLIRNQRGLATRFVHYVCDPVAKALSTTFYQYLVFENVEGVQSSDDSFLGLIN QRPETEGNGYDHNTPVAAGDVKRFWISKLEKYPVMGRSIGLSSEYPI ACHE_10442S MPNTKTVHVPHLGGIDAAYQMPHPFDPAKPTLVLVNSFTTSSEL YSKQYANKELTDKMNLIAIELLGHGQTRTKREHWTYWDTAEMNLQVLDSLNIEKAFVL GTSQGGWITVRMSLMRPDKIAGIIPLGTSMDYESEPTRQLGCWDAPNLLTPTIDAFTS NEPTPDFEPPLAYCDMLVNTGFGEDCPAETREYWRKTIRENYQGDDGRRRLRMSAINL RDRDGLYSRLFDVRCPVLWLHGTKDVVYSLANAQREIGLFVNSPDAKLVPVEGGAHFL SASNPKEVDAEILGFVTKYS ACHE_10443S MAQRIRQMHGDPKPIWSHWVSQFKKRHPEVSSSLGRRIKKSKKR AVPPVKIMPREDVLESMRLKKLGKKAEKAPEGV ACHE_10444S MARLNNTPSPRKRQEPRAPLGAQTKPERGLSNFSLPKTTGNSTS RGLFAETRTTKDNGATKEPSSARTQSHKKSKSSLDFQIFPDNNALFYDEAEETEEEHS DTSESHTSPTFSPSSSIGSISSHDKTKNPLKLAHVNSMLLPMPSPPSPVRQRRQTRKS EILDYAKENDPIEEPGDDDLEAASLSRSSSDASSRRIPVQGNVRQIPGGRNRNNNLSF SSYRQLEIEEADSEDPEDNGSDLEGFIVSDNEDLSFHDVSENESTEEEEKMPSPPPKP RRRLMRGRRPASTGEPKPDPEPEEVNRKSWKVPKEQSPIMDTIPPMGPTSTRLSQESF NINEELDNLNLDDNNNDPSSQLFNDLNDAVIELNTSPKKPAYEPPNLQTPPSSPSKNN RLRSPTKNKVCIPPTPYRESTDAFWSQETTNDWVDQHSPKKLDQLLREFKESDDEHNR ERSFDIMPRSRNVKGKEPKSPSKTAQKKAEIEHRKALMARKKSFDDKKASLAYDFLKV LDIAVAGGQVQEMANETGGVKIVWSKTLQTTAGRATWKRVRDDNGCDARKPTKHYAII ELAERIIDNEDRLINTLAHEYCHLANHMISKVYNNPHGASFKQWGLKCKQALQDHPVY AGRIEVTTKHSYKIDYKYVWTCVDCAQNYGRHSKSIDPTKSRCGRCKGILQQIKPKPR NVSPKKKPAAGASTGLGIGVGGAAAQGSRLSPVDTKAVDDVMQVLGGVSLH ACHE_10445A MAYSGRDFYGGAIAGAIPTSWLDSSDLREVPDHQEIYLSPTTLS NFILEVNEAVPNNKALAYLDQQQQQSTAPAGGVHANKETIDKAAVNYHLHDLCDEGDT IQAVIPPAPISLPRFTPEQARAYKGVASFTTPKTQRKGGGRVPGSVDGSAATTTMGAS NGVGGTDAPQISRLSCHYLLVRLEKQETDLLAFMNVPHDEFDAKGDPSGLSREEEMAA GFIDKLVETLEVKNWGLFG ACHE_10446A MLIVDFERDIGPIKIKGTIEKAGQIKGEIGTHIPAFDYKSLNPF AGFIKDGVHGSFDLRAGITDSYFCISVKGHAVIAQTNLPDKGHETTIFELEATVQGAI RFTRHNNVAYFDSVDGSPCHFEFDFQGFNLSPLDTPIAILTYRSLQKLHGPQTVQGII EPTKYSFKPQVEKI ACHE_10447A MTGKRYWNWIVQTEMDLTRLFYFEMFDDNDYTNTFTCNHFRILP KNGTTTSTPSSNTPSASSSTSAESSDSDSGTEVGVGIGVGVSCAFFIALSAIIWYFRR RKAASATAPDSNAPNQPPAWSPPSDTPDPSKQMPPPVEVPGYSAVAEAPSDRVRYELS S ACHE_10448S MPGPFSEDMALPQYSFMDDYSEGAHPQLLEALVRTNSTQQLSYG NDEHSNEARQLIRTKLSATEDEVAIHFVPSGTSANLICIASCLRPFEAVLTVDSGHIV SKEAGAIEATGHKTIVVPGVGGKMTPDNLERAVRQNQFFPHNAKPRLVYISNATELGT IYTKRELKSLSAACKRWGLLLLIDGARIGVALSAPSNDLTLRDLVDLTDIFWIGGTKN GALLGEAIVVRKHLADGFAFYLKQHGALLAKSRIIGVQFAELFRKSLFFELAANANNA AQMISNNFQELGYQLSAKTETNQVFAILPESLAKRLEERFRFYVWEHLDNQQVVVRIV TSWATNTSMVDKFNAWVQQWTI ACHE_10449S MNIAPNPAPYTYEVEVYQEGLRDKRPAITFNAFEWEKLAKERLS AESFGYVWGSAGSRETDDNNRAAFKKWGIVPSRLVKSDFPSLKTTLFGDKYDYPLAIA PVGVQRIFHRDGEVAAASAAQQENVTYILSTASATSIEDVAMANGSGPRWFQLYWPQN NQSDITVSLLRRAKAANYKVLVVTLDTYILGWRPSDLGNAYNPFLRKDSIGVELGFSD PVFRRKFQEKHGKTIEEDMSKAAAEWAHTIFPGTSHGWEDLRFLRENWDGPIVLKGIQ TVEDAKLAVEYGMQGIVVSNHGGRQQDGGVGSLDVLSEIVDAVGKKLEVLFDSGVRCG ADVIKALALGAKMVLLGRPYVYGLAIGGAEGVRHVLRSILGDIDLNLHLSGIKSVSPE HLNRSVLRRVA ACHE_10450S MIEKEYGKHTEHNHNFYCKTVLRKNYFTETNCVNCLLPINGDRD LVIGTDNGIYLVNQWPIDENIGPQLIIDTVGVTQIDILEEHRLFMILSNGTLSSYPIE VLNASGDQDLVSCEPQKVQDYVDFFKIGISVGRHLVCSVNTSESSTEIRVFQPTDIFN RNGMRLALDSMGDGNTLNLFKVFHIPVECWSVHFLRSTLGIGCTKGFKIINLHTADIY PLLDPADKSLDFVSQNENLKPFHIEKMDNGRFLLCYNGFSMFVNRNGWLAHPDWKISW KGTPKSFSVSYPYILAFGLDLVEFWHIKTGKLLDTIVGHNIRMLHSRPGQTFYAFEDD GGEDAVASLTFSAASGPS ACHE_10451A MSDLQDQACHLYDQADKLRSSRNCGSNTSSSQSASDWQDQSHMA TQSNDYYSGSGQYATQNDNGGAKGSNGDIERRWQRW ACHE_10452S MQDDIAELRRQLEEERRAREEAEQRQEEERRAREEAEQRQEEAE QRQEEAEQRQEEAEQRQEEERRAREEAEQRLQPNSLFRLLDRCHESLSQTIRVETNAT LTTQGNVTDPVNRLFPKRIVPWLDFPQIQEQIWKKLERAVGFTSRPLFPSDNQLDYVA TNVQDRPIYSEATLRNFERDTVDNFVEKIVEALRGDETLQREFGIEGRVAFYDRTNST SLDDNLEMHLDDAPCQPTNTSRGRGRRKGKGKQMGQSQTRARSRRRRNRRADQFCVHV VADERQIPVYAVEFKAPHKLTVAELVAGLHEMDLARDVIDQEGDTFEFHTTRLVAAVV TQIFSYMHDLGIQNGCIRTGEAFVFLHIPEDPTIVQYYLCFPNQDVQAGDESRLHRTA VGQMLAFTLQALAAEAPSQEWHNTAHEQLSTWKVEYLDVLRDIPETIRKEPPPSNYRP SYWKPNPKTHNTRSYARCNPGVSTPVGSPSESSDSDEDMPSPSTAPAARSRSSRGKGK HLSTGRRERAPPDRKTKQTPSQRVQRPYCTMACIRGMVNGGPLDKKCPNWQHHGGQRH PMGPQEFTRKLHRQLVQNRNKGFEPLHIRGRTGYLMKATLLSHGYTVVMKATTKENQH ALKTEVDNYRKLRSLQGYQIPVCLGDFEPSIAYWYHGQVMAHMMILSWSGTRLQRIIK DENMDFFHKEREKALKSLQKYGVEHKDKEWRNMLWDEQTRSLVVVDLEDMEWLKRPRP FQPTPGNSLGRRIVLRRKNGRRRLSSRAAVCTS ACHE_10453A MTKPPSHDKSPENLKAALTEFIEILGQDNVMTDHEIMKSYAGSD WSSYAPKENEVPAIVLTPGSTEDVSRIMKVCHKRVIPVTAYAGGTSLEGHFAATKGGV SIDFQRMDQIVALHKDDLDVVVQPGVEWEALNEELAQTGLFFPPDPGRGAKVGGMVAT GCSGTNAYYYGTMREWVLSLVIVLADGTVIKTRQRPRKSSAGYDLTKTFIGNEGTLGL VTEATLKLTNYPENQTVAVASFPSIHSAAGCASAVVRKGVHIAAVELLDDVQMETINA SGLTSHPFEEFPTLFFKFSGTPSGTQEQISMIKDLAEREKVKTFQFARDPDEVDKLWG TRKSVLDSIVQRKKDPSDRVWTTDVAVPISRLPDIIEETREDINKSGVVGGIAGHVGD GNFHAMLVFSNQEQKIVEELIHRMVKRAIEMEGTCTGEHGIGLVKRDYLPHEVGESTV DAMRKMKQAFDPLCLLNCDKVIRMQVPKREEVSEW ACHE_10454S MLAFPCCKIFTFCTICERNFIIMNATPNSTPHSYEVDVYQEGLR DKRPAITFNAFEWEKLAKERLLAESFGYVWGSAGSRETDGNNRAAFKRWGIVPSRLVK SDFPSLKTTLFGDEYNYPMAIAPSFEDFYFQTIGEI ACHE_10455A MASDDIPDYKKLFLEAEKQRKQEEERRKQEEQRRKQAEERQKQA EEQNRPTTFGELIRYGHNNVARSLRVANQSRCTSGKISAPIGKKCPVKLRPWTECQTQ QEDIYRSVCNHLGSTGDTARRAFPPLVALEYEGQNVKERPISSERDLEGYERSAVENH VRNIIAELCKIPAARDEFRLGDGVQFDSHANSLDLQTNQPSRSGSSRPDQYCIHRIDD GTSTLLTTVEYKPPHKLPVESLRRGLKSMDFWEQVVKAHSVPNTEDEKAERVVGSVIA QEYHVMIQEGLEYSYVTNGLALILLRVPYEDPGTLYYHLCEPNEEVNPEDEQSFLQPA TAIARVLCLCLMSFRSRPRSQQWRNEADAQLPIWKSSFGSFDGTWFPVSEFESPQSTP NSKHTYPSPKSTTSEFLPPSSSSAESPTAEGRRAPTRSRPGCSPSTTTYHDESSDPDS DFEASGQKGQKRGLSEISSSPVQRTVRRAGSRHFSQSDGQHGRHDADFCTQRCLLGLQ QGGQLDDDCPNVMLHKQGGDGRRHAIQSTTFLQGVKKQLDKNIDRNCTPMGGCGASGA PFKVTYAKYGYTVVGKGTTSCRWPELLREAEVYRVLQQAQASAVPVFLGAIDLEKTYF LHGAGAIRHMLLMGWGGNSISSIENALSCPEFTEELNREISRSVKKIRSLGVLHEDLR PDNILWNAELQQALIIDFHWARLDRRPKRKRMLSCAAEARQPKRPRTIC ACHE_10456S MGLTESKIVLERFSAACFEADCHRRDDIRDLLSDDNFLRLLNNL WKQIPPVSRKRIIKANRRKSAKYATPPKVDNVSDDVPESLMKFIPQWKNNIQSFFTEE DALDLNLDHPVAESYRLLLQLENRGERDTWRTRFLKVVFHRLMKQISSGQYTQSADVT RATTIIKNSGIGDNSEQIGERFIAWGKAGQRLELLCRDLLDARDRCDDGRKKEEHLGF LFRLPKYMTDNYLRKLPKTGVTRNQEIRRLKDSGLHAGTQYSTLGDLAFNIFHHLWGF IERSLAQEAFIIQEGPILSRQAVSRSRSKRSACGTADAVRDDMPLSNVSTRPSSEQTL NYQSAPESKRVDEVFRHNSPPSAASPIFEEAGEQTDYTLPHTSQRLDVPQNSLHQQSL MVSLDSNHQPEQIQMDTSPDDFNQQATPEPSSQTSSQHADTDQNTFIQQQQQQQHMKI PHSDGQPEQIQTDGAVKGFYPQLYLLDNSQSQFNAQQLDAVHNPFPQQSYMAPPYSNG QPEQIQTEGAVKGFYPQLYLLDDSPGQFTPQQSDAVHNPFPQQSYMSSPYSNGRPEQI QTNGAASDFHPQLYLLDDSPSQFTPQQSDAAHNPFPQQSYMAPPYSNGQPEQIQTDGA VKGFYPQLYLLDDSPGQFNQQSDTAQHAMDLQVQDSLVESNARSNYPDAQRHQQPSNL MQRWANQAVGQVGSGRIEANGFDRLDPFQNHLFRTQSMITGV ACHE_10457A MSRHLEPDAEKVRKQIKEMISETATEDNSNLKKVDCLRSDDGRV MYAGEELAKQVVTLRHYLGLGPDWGWTLWHFPAPRELINDSSMYLVPLSGSASIPQDE SLTEGSFMCTTNNSMLSPRTTVLIFMKRNSHSQSC ACHE_10458S MSLDSLNSDGKTLLGICWNLETNGYSREEDINHLNTNSILDEHI KCVSKDIADDDLFKLAILTWSVDANSQLLSQELFYFLINLREKNDILYPILHTTYSKF AQKCSTYRSFRRDFTKWLNSLEDCLTHEWNVIWKYTSLERQTPAPYPKLSQDHLLAMD NSSSRDVGGCHTISASAVYSNLQNEGTRPGQPEHPDVLPSKEGEDNGGEERGLSSNIN EVQNHTVPGAEREQQILLQLDTRPVTEKQLAIDVHGIYAGLVMAEEKCIEMTKQQAES PEKPSDYQWQALISLHQTLFCEHHDFFLASQHPSASPALKRSPEEYAMPARMWRYGIH SFLELLHHRLPDSLEHTLTFLYWAYSMMTLFLESVPAFEDTWIECLGDLARYGMAVED SVARDHENWAGVARYWYHKAADKNPNFGRIQYHLAVLAYPDILQELSYYTKSLVSVDP FPPAGESIQLLFRPLLNEPKPYNQPAVAVFMTIHGKLFMQRPLSDFKTLMNAYLLCLD GYIRQHRSAFKMHGVFIASCNFAAIFQYGSTDAVLSNEFKEGLAQDETSLTASKNWTP VGNLDTIEAEFCENQNSQSQKKLVYYGAHLTFKTLSALLDQIGNKNVFPAVHAYLAFI WCMAQNNTNIMHIELVVPWRKLTIFLNTMICSDTDSRVMERNEFPVAEDRKCFPEDFL LRGQVWSQRYFSADYFEGCLAEDDGRSIEVPSLTVARMYRCLWLGVRLAVYNRWIEYI STSQRFSVKPFALELEKRAQNCEI ACHE_10459A MVQAAGSGSILLFIPDKPAQYSAAPAVSATKYRNLTPKHAHVVI GMVREARQLPCSLFKDEDLFNSFLFHRPPQIMYRLELLTDDEIRQEPIDSDQLFTISK PLKTK ACHE_10460A MFALLIHLPEPLEKYTEHPDMVFEVKQKVATFHRILSQLLILLE WLAPHFPRSFYIGSIKSPDQETGSLSAPLPPEAHCGKLYRTDDAEAQFYCHDDSTTQG SFGFNNLSGIPGSEELSDEEFNRLVDTMYPIESCANQSLGLTDYKAGIETETTAGNKD KDIQGTGKPHAKGISGGVCLGEEESKNLAIATKWEQLQHEHNFAGNLFTIFRRFVPDI GAQVTNRLLQLALALFLPETLLRVKKHIEFLRQKTEFRTEMYGPVPTWIYRTAVQCCQ GRNPNQFSMRLFQWYVYQYIETQRGLWDPAIARHPSRDHIINQIATSEINGPRAPDYA PSGLDLVKRKIQSWHDQG ACHE_10461S MIYGYNTCTLQIRRLHLVWQVKSIDMTTAAQGLLNNLLEDDIID EGYILNISIYVENGLAHNKTPFGKHERVCLYQGVPDYRSIISLEASGDQIERLPNIRD EQGRTLVMVSTADDLRDHIREIVRGYLHQGVKLSEMEYQPNAG ACHE_10462S MITITVGLQDIQNKGPDLDEDSGSDLVNQIGKKLEHIARLNDSQ KTDTFIRAQSGGFIRWHILSAGETIISSTHRDGCMDVFFALVTLEDRSRVMPLDLNKT QLCQLVRGLGSDLEQLQKLKRSQVADIWYGLEHAGFVERGCVESSQVQEVIQDNLQGN CNTDVVQPTLSPPLALSLPMSDPTTSDPANDTLQFDFEHDSWTLWTDDMYACGDYPGS VFDTALGTRTPDNSAVPMALNMIPLVNPHPVSGISSPAETTSTPGLHQSVSSYSTPST TYVDSPHMGEQLLPGLGATMLNQAVKRKRRDSDQSMQSKSTAVKLNPTIFQLLQSCAF YKVDKPEEERFQKALSILKAEVHLFMDPCGMLQPLLGGRDKNMLLSFHALGLPAVWRG KEGAVDYIRLLDRHASQSCLHPIAERIAQVLLYFNYKELCKHPQKYLPPGSKPNVTSV LNCIVDAYHDDPRKSMPLQSRRNRISGHHVRGGRRWWDLAGTWGAGILLTGDALLMSI MCNDSFSILQTNALVTFVLNTRPGTIRIFRALEPVVKSLMFEQVTDDLTALFNDESGL LGQNELTHAHAEDEAALACQRIENPWTEIDANECAMAKMREFVNVLTM ACHE_10463A MMELMQKYVKDDGAVGIDNPDRWRNCPAAIEFLSATTSAGSFKE LKRQHLLAEIRWAPGCHGALLG ACHE_10464A MQPVDNKRATQYRADQVPLLQQPPPDTEAAVPSSPHELKSKHKG PVPSSARLAKRPLAPSLVEPSRKVIRGSPRALALPETNRLGNSSGSDLVIRQEPPWDT FKKYYECDLAGTVAVCVRRSGRRGVWAIRQYPCKDADRILGILRSTSHKNVVSAFECF RTSDALYMLSQFHPLTLDHVVACKAFPDQRQLAAIMSQFVDGLSYLDAQSLQHTSLDC ASVLMSLDGEVQIGNPIA ACHE_10465S MRRALFTEEEIRLATERRLKYLGAAKVNIYQIQFNPPLPRDLDP KNLDRLREVFHKNRCRRLDVDNHVPATVSRQDLADALRQAWLQVT ACHE_10466A MSQAVSSCGLDPPHVSAKSLIKPIYPARALRSPPEIKEPDMLIP LTRAKEYPTTHIHGYTYVIASKGRTQVEMEHLPDTIQYAKRQPFGRKRPVYCPFLGFQ VKKWTWKCSGIYACEFLSPFLQSYHHTSVDEGTWQEIQKSQKDVQILESDIRKRNAYS YYRSKASFFKKGHACIDQLPTCKAVFKRYNQMDVHGEYAPFIGCINGSYGGLTKHHMG QIQGHTAIDLQFLEDLFNKEILPATEECGVFEPLSSRRKYCDRDHPQGSGRLKHTPCD VIFNALVPTNIEQCPYIIFTSHGVHKHPPPPPSKAPERILGGVKRIIEQIRDPNLTTA QFLRNPQLEEFCRQYNASTLAEIHSSFCNKDRIAAIIQKQRLISYPNGQDINGLIFLQ NTDRHLKDYIQEYYHDPQGIMVLCAFREQIQLLSRLSSFEIDMSYKRIRSKDINEVLF ATFLPDQCKIITLLRVFTSTDSTEGYYLLFKRVFDLVQRVSSQPVLFDSIHGSGIHGI IVDMDSKQYTGLGQYLSEIDPQHQDIIWHLQRIIVFCRVHFQRSILKAIGTNNQGSPL WSRMMSLLDCRSEDDYDRLLDLLITYENANVQNWAVQKKGKVIKAGLNKACSKIQPHY FDVLRNHTNAVEQSHQKSYASGKYLTLVQAVKNSAKLDRDDIVQYNNFQDFNIHHSYR TSNMEANYLRHMSRERSRKRRRSALSISSEIESGSSASPLLPGNTRSRSQTSSRNGDN ESMRSSDLRRTISTNVLNLEQRRQVIELENLEIELQQKKANLKKQEEDIRLQQLQNEK LELDLMERRMRIQEHDST ACHE_10467S MRISMLHRLIATGCVEEILTYLDHIEDFWSSLVGSDPVLMKKID RDTIDALQLLAPGKSRTDGKTACGRVLSGQAFAEFSDEERRIIWDRMKDFDGLVPSLY TFFEDFKYLESCAHCVKRLFGPLTESVWETMKSIFIPSSNSEAESVIQTSESTFRRQR ATDLERLERGYLQVWLYTMRHYPLMPPDPKKDDDLLAKPARAKADERAIYEMAELARR LGFKSPEIDALIDGSPDHQIAQAALLQARKPGRFRYDAQQFDILVSRIVDCFAEAVPD QPDMGHDLLADSAMKPRARCGMPRIRTHKQDSPLLFLDRLHADDAGVSDTTTSFFVRR CVYFAFFGKPTQPGLTDSDPTGGSPGDMPWSPLFVTEDDPSGGHGFAMQAALPREPPQ QDREEPQGQRARQDREQRTLRCQQSLRRERGREVLKRRRTRKAQMRRRQLRPMAGSDQ EPMELEWLSTEPSDQDMSDQGRSSPELPIEGLQDESIPFDPATALTLHSTHGPAGPGE ADTFSYCTRISLEATPLERVSEDRPTVEGQNHDEGLEEQAQVDHPSQRQSTKAEDGNS SSVGDTGGQQPVLEEYLDQLMRAQEEQEKLEAELERERLEEELGLSNQEQPAPDLSPR PQEGQNSPARPPDKQLTEVTHTRDPDLAQAALPEPTQDPSPGSRLEGQPEPPAENLEL VALTTRDGDSNPESQNPPADDAGPLTMMEAPPPTLVEISFWTFEQEEWKQSDRLQVDP SDPSPVERVARKYTWKNYSLYDWKLQSLSPAQCYRAATVDGNNAIFLISEHEEQKLAA EGRFVKDRKLLSLVSRVLNRTEPKSTTKRHRLQH ACHE_10468A MTPPPLPPANPDEHQYWTDPILCEETRTRLEHFRNLGWLPPNYK PRTLEGLAVVERYWRRYCAHSNEDYVEYLLSEDKAIYMNFLDWMSRTSREKRLQTYDE YWRRLCQYFGLFARRPVNHHVHEQMRRVCLSFLFHSYTWILNRQQYLEQVFPAERKIN RRVKKKSTLDIDDFCVLLRHHWVHSSFFRHGSMIIQQAVIMLWSSITGTRPGVLLPQR DATNDPNASQDGSLSLGPRKRKRGDSFKSDLPQRISPDDLPNTICYRDIELFYLRNPD DGRDVLCAIIEFRNLKGRPEGADGTKFFMHGDYQLAYCPIVQIVSLAFRDDAFENELT PELIWRIKVPKRTRALPLRWKKDKLNLPLLRRVVRTQYGYGVHPTLPMTYDSSRLALK DLGEDGGFEDNLGHYNFRRWTANEANRHFTSQERKRVLGQSGDFIFEKHYQAEFIQRD LQHVVLLRPPQEGLLQRAAGMLRNRDPLAPSNITDEQLRAIRRHPEILELRREKRELK EEMRSLAGTIQNARNHFPDLYQRHDEISRKLTKLRKALRDNTRQTARKDYFHTAPVLE IDRQIQQLLGKSGAENCDDDSTKDGDEDWQPPIPDYVFPERARLVESFYGPEGECFDE DRLLAKRIQVTEDLVALSHLCEPNRRGKRANWDGDDEQSETSGGKEAFLSEEKSPECP MDVCIICCGISRRSPSNPHPHNFPSKRKDSLRRHLIGHLMNAHDGVRCTWETCSKLPT FIDIAEFLAHAANIHHYDLHIKLERIPKRQKPSRDETPSFSSSSMSLRSSRSATETPA SSVGIEIGKIDPRLLAASNTKYNETCRRSQRLNPH ACHE_10469S MGSSDDDPQIASSNPVASLSPSTLISACDTYRKKFPVANFLHYP SLIANISTNPSSVDPVFVAALLSLCARFLPEHNLDSGETYAEYARSELAHKAFESPSL SLAQSSVMLVFYEWGSGRPYKAWMYSGMATYMIQSLLKTADDSMEHNPDEFHASQIQY EQLVRTYWVCFAQDCELSSGARQHFALSFRHISVPLPVSDYDYNFGRRGPPRLMPADL TRGSPLCVKLTIEHGLTVVTRGFDIFVRILRFANESRRGRVSLTTTALSSPQQTWQVL KEELDEWRSLQDSTLQYPATSVQVHVALGYGELFAYINLIYFMSILFLYRDQLLSSLK QIHDPRHDPQSSTSLDGTTWSEGAIDRLFEAAQNIGGILSVLQTCSAPVITPYAGFSV FVAAHINMYGTVSPLQYPGGQGRAEKEKKANFSYLERLCEFWPVGHNWWRAAQDANKF YETARNNQEHALSGDRPGHITLAGTLDEYGDIRSSRPRNETSSNTSHITLPRGQTDSP TQTRLPFNDQFILDAQELETDMLQWPFIDETWSSGFDTGFDAAWPNFG ACHE_10470S MDPTYTTRQFREYLQFSYPAATLLVFLIGFITNSVLIAKSSQNG GAVRCGLEEKPLSKGLRSTIHKIADAQKHQFSSSARYAFVWLVVGVLVTLVADVSVHI SHAIAGHEERWWCGQATVIYVVGSFFSHVIIFLSLLDTNPAPTFAQFIPWSMTIPFEL AIVATSLSIYTNTHHDPIVGNAFGGPLRERITKWELLEVISGVIRLLFLVFLVALYVL HFVRANSAEGSREDSPMEQIGLLDSETKAGAENNEGDDAPEKPTTAPNTWWQYLSGYS VLFPYLWPSKSRRLQIIVIICFGLLILQRIVNVLVPYQVGVITEALSIEGNNIHLPWL QICLYIIYRWLQGSQGLLESLRSYLWIPISQYAYMETSTASFEHVHGLSLDFHLNKKI GEVLYALNKGSDSVNTFLEQVTFQVVPMVIDLGVAVGYFLIAFDAYYGLVVAIVTFFY LYVTVRIAQWRAEMRRKQVNAHRQENAIKNDSLSSYETVKHFNAELLEHTRYRTAVST FQSAEYHSLYAQSFMTAAQNTVFTLGLLLTCFIAAYQVSIGQRPVGQFMTLLTYMAQL QTPLSYFGTFYRYIQTAMINSERLLELLRERASVVDRVSARPMDACQGRITFEDIGFA YDARKHKNALNGLSFHCEPGTITALVGESGGGKSTIFRLLFRFYNPQTGRILVDNNDV QDITIASLREHIAIVPQDTSLFNESLLYNLRYASPSATDEDIHNACRAACIHDKIMTF PEAYETKVGDRGLRLSGGEKQRVAIAQTILKNPQIILLDEATAALDSETEGHVQEALG NLAKGRTVIMIAHRLSTVTEANQILVLHEGRVVERGTHGELLGLGGRYMGMWRRQSRQ GAGEELRRRNPQQRLL ACHE_10471A MAPKKGGGGAPKQRNAAEEVEETLQAVVLADTFETRFEPFTLDK PRCLLPLANTPLIEYTFEFLANAGVEEVFLYGGAHSDQLEKYINASKWRALSSPFKQL TFLKSTSTSVGDVMRDLDGKHVITGDFIVVSGDVISNMPIEGALATHRARREADKNAI MTMVLREAGRNHRTKSSSVSPVFVVDPTKDRCLHYEEIDHHAEQSEHGARLNIDTEII ASHPEIDIRQDLIDCSIDICTPDVLSLWSDSFDYQAPRKQFLFGVLKDYELNGKTIHT YIIKDHYAARARNLKAYDAISKDVISRWTYPLCPDTNLLPGHNYELRKSNLYQEQNVT LARSCVVGRRVVIGQGTSIGEKTTVKNTVLGRNCKIGRNVTLNGAYIWDNVVIGDNTI VNQAIVANGAVVGNQCKVESGALLSYGVKIADGITIGEGIRITKSPKEDDEVAPESDP AVVGAGGEGYEFTRDEDSDDEEDDASDTSSGLVYNMANLSLSTESISTLSSETSDFAG SRAGSYGTSVSEEEKEDHFVQDAAVSLYDGLREGVSPDVVQLELVSLRMTANASDNQV RRAVVSAFMKRIQNLIDESQKSASQAVRDIFGTYREIVERCMFDRETAAKPDQVDLLL LLQQDLVTRPKGDTVLLFTAKELYDLELIEEEAYEQWWDDERSSGTEDMRKIRVQTQQ FVDWLANAEEEDSDEDEEEESDDE ACHE_10472A MLQEVMRVQKTVLNHENVIHQLMTYLLSVDARQRRDSKAAAPFQ PQGQAGSTLSPSQVTPMEDEPSSPLQQASKLLNDLHSEMQFNLTGVDQLGEAKQTPVV STPTTGMVRAPTTGANSSALVYPTTTPKMNGEMDTVVYPVGATNGIDPMYSEHVNNVP YPMPPKPEIESSDARRQFPDNRKKSTNVDPGWMRSPHILLVEDDATCRQIGGKFLYSF SCVIDTAFDGLEAVNKIQDGSKYDLILMDIIMPNLDGVSACHLIRQFDRTPIIAMTSN IRSDDIQLYFQHGMDDVLPKPFTRKSLLDMLEKHLVHLKSIPQGMEAPQPAPAVTMAA QGSAAQSVKEDSSPGQSPAASMSNWQSPGQFHGMAVHPSIPHVQGQYAAATPAAPVAY TVDQNGVQYPAPAVALAPAGAAPAAVRPPHRRQVSEMSSAPDNPNMAKRPRMYAQPAQ AMVNPVQARTG ACHE_10473S MFATRRVATSLPRAFSQRALFHNTAPAFVQKGDSIPDLDVLVEN SPGNKVNLAKEIKGKGVIIGTPAAFSPACSSTHVPGYINHPKLKEAGQVFVVSVNDPF VTKAWGTSLDPTGKSGIRFLGDPTGKFSEALDVTFDSTSVFGNQRSKRYALTVENGKV TGTYIEPDNTGVNASTAEKVLG ACHE_10474A MFSRSTTIFRRSCRYLLRAPIHNPQVKKSPFSLSARSFAAVDAA MADSSTGVTAELVRNKLIEKLQAQHVEIEDLSGGCGQAFMAIIVSPQFESKNMLARHR LVNAALKEEIAAIHAWTPKCYTPEQWQSMMQQ ACHE_10475S MSIPRTLRRFSNMPSSSPAYCSPFTRAVVSSMRKLYPESLADKS WDNTGLLLEAPFDGSRYRQRNSVLLAIDLTKAVVDEAINRGDSVVVAYHPIIFRGLKS LTLNDSQQTSLLRLAQAGISVYSPHTAIDAAPGGMGDWLCDVVTGCFISKPQDLPPAP AEQKPIPQLYTKPTYPQPTGITANPPELKHTRKTIHPSSPPVPEGCENAGMGRLVTFS EPQPLSTIIDHIAAGVGNPGGIPIAIPQGSQLEDIRIRTVGVCPGSGSSVLMKGVSEI PDLMFTGEMSHHEALAAIENGKVVVSLSHSNTERGYLHAVMKEKLQSALRGEWESVRQ EGIKAFEDGGSVQELEMFEDGTCEVDVSKADRDPYGIMIRRI ACHE_10476A MASYFPPGANFSHASTRTPSPANSPLSPPTQRSNALSNRLTSVL SASYADSDIRDALETLSLRGVHNTVETRRQLRLDVQKEVVDCNAEVVNDFGKVAEQLK RIGTVITSLNQTCDEMRKHIVLAKQDTSPVLDEATSLMNQKQEAETKQQLLNAFMQHF IISDEDLLALTSAEVPIDDHFFDVLARVKQVHHDCEVLLGGENQRLGLEIMERSSRNL NSAYQKLYVWIQKEFRSLNLEDPRISSSIRQALRVLAERPSLFHSCLDFFAEARDYIL SEAFHYALSDAVSGAGGDRSVKPIEFSAHDPMRYVGDMLAWVHSTAVSEREALEALFV ADGEELAKGIQAGLSSEPWSRIDEDEETVAFDGRKALSDLVNRDLTGVSRSLRQRVEL VIQGHDDPITCFKVVNLLSFYRTTFSRLVGQHSNLAELMQTLEKFTFSHFEALMHEQT TTLSNDHPALTPSRDLSAPQFLLDALEGLTSLMKAHEVSFAEDTETPGGNQFTPVLRV ALDPFVKLAESSAEDLQDETARTIYKTNILLTTRTNISAYPFASATHLQPLSTSISTI RIDLLEIQHRYLLSSSGLQVLLEALEPYSPSTSTTQTSNHKRQPSTNLASITTLPAFQ PSSLIAASQQLDDFLPSALMDATENLKRVQSAAFVKSVTEEAVEAFCRDFEFVEGMII GADEARGISLVNEHVDWSGSEYEGEGEEGQEKYSLRALFPRTTGEIRVLLS ACHE_10477S MDPLVSPAKARQAAIQAKDWAYVNSWLSRQCAPKPVPAFERNED TLRTLLALAAASDAADEEATLVHRAREEVVQGFKAREEAEDKQKLELLDEVEMCLDDK GRRNLDYLAETSAVLGALSTEAPNLGQSIIELTKEEFDTHEQISKVDALQKYLEKELA SLQEQLETLKSDEAYDTSSDLPALTAEWTRNTKLLTAKVREYRDRIASLERSEHKGAT IEELIAEEGSVLQIKGMVETLEKRVRMFLDLPEDIPSARSQYRQLERELGQLIQQRNS MLEPSG ACHE_10478A MSDYGRKDFTTKAKEEITPDSAKSTQDKVKETVTDTTDRFTRGA QSDKDKSAPQEAWDKTQRVHDNEHHGGAGQSIGDKVKDTLGLGGNK ACHE_10479S MPASITSNWDFTPVINLLRSPAYTAGDSSAPSRHSEDHVATPPA EELKDINNDPGCVTPKNTNNVDTTTPKLGDFGSLWDFLGQGTAVASATTIAVEGPQGS ESSNTTPRPRSPQPSTPIKILQRPSPKPLVPEVLNKGPSSISRRILVPKSRKNKLEVG VEFGSGNEHNTQKATLEATSSDSTAESDSIFDPPLSKKGGVASSAQPAKSELYDSPPS SFDELDGALTSETIKKSHESGVIRVQSNVYKSVDERRDGLHSKLLKKFPDYAGIVSGK GSSPRPVHVFVDISNIMVGFHDSVKLSRNIPIPTRIRRLPLSFKNFSLILERDRPAAK RVLVGSDRFAAIDEGEKLGYETNILDRVHKAKQITRRQVKFRKNARTASQEAATSSET NEVAAQRWVEQGVDEILHLKILESLLDTDEPATIVLATGDAAEAEYSGGFMKMVERAL QRGWTVELVSFSQVTSQAYRRKEFRSKWGNRFRVIALDDYIEELLAM ACHE_10480A MAKTTSSLQKTYDECYLTCSTAVYFEGKNNEAEALRSWRSALET INYHNAYRLSATYTPRTETEKALQDSIRQLEAQCRERVDLLEALRESRKEAQKDNNPS INGNGTGNGNGNSKPTSPTGLSSLKGKFSSKSPTSPTNNTSHNNTTPGWIGDGTIPAV HYADLSKPPTLPGRPQPQTRISSESIAPRHATTTSSAVSPPSQVLSNSSSRPKSKPKP KPQSQSRILSPERKAPDNIPPMLSTLRKGYPKKDPKKDPKKQAKKKASSPRISPERTS RPAASQAAAGLAWDSNYRSPSSSDRGASDAALVSSRISVSDSRYSSGEEVPTRRTFAD ETEPSSPRTSDQAIRRVPAKTPVTISSAASGGARSHSASRERSYSGSAPRSSPKPSVK PKPAELKTSQQAPVRPKLNRAASGSGTPRSSRTSQPAPRTRITPTSTGNNDAGLSGSM DRMDISDPAIRRKPQVRRETPPSSDAESPGPRSTDADEDNEEDDEDEDGDEDDAIMDI MNKLPKGVDTMAARQILNDIVVRGDEVHWDDIAGLEGAKKALKEAVVYPFLRPDLFSG LREPARGMLLFGPPGTGKTMLARAVATESKSTFFSVSASTLTSKWHGESEKLVRALFG LAKTLAPSIIFVDEIDSLLSARSSGAENEASRRSKTEFLIQWSDLQRAAAGREQGKAD KKVGDASRVLVLAATNMPWDIDEAARRRFVRRQYIPLPEHGVREQQIRKLLSHQVYEL ADDDIEVLVQVTEGFSGSDITALAKDAAMGPLRNLGEALLHTPMDQIRPIRFADFEAS LYAIRPSVSQEGLKQYEEWAKNFGERGG ACHE_10481A MAAPDQVPGEEYDYEALPSNYGLGRNMLAGAFAGIAEHAVMYPV DLLKTRMQILHPSGALYTGLTNAVSTIYRIEGWRTLWKGVSSVIVGAGPAHAVYFGTY EIVKEFAGGNVDEGHHPLAAALSGASATIASDALMNPFDVIKQRMQVHGSVHKTLAQC ARSVYRTEGLQAFYVSYPTTLCMTVPFTATQFVAYESLSKVMNPSQEYDPFTHCIAGG LAGAFAAGLTTPLDVVKTLLQTRGLAQNEEVRSAKGLINAATIIKRQFGWGGFLRGAR PRIISTMPSTAICWTSYEMAKAYFKRQVNE ACHE_10482A MDSDDDFMSDASSNADFLDDQGSDIESMDDFGDDIDVGFSQDKD IIGKTKKPYEVDHKVLSPEDIEREQNVQVNEVSSILGLPPESCAILLRYGRWNREKVI ESYMDRPEKTLEDAGLGTNFEGAAKTEVIRGFMCDICCEDGDDLETYAMRCGHRFCVD CYRHYLAQKIREEGEASRIQCPGDSCHMIVDSKSLSLLVTENLKDRYHTLLTRTYVDD KENLKWCPAPNCEYAVDCPVRQRELNRIVPTVQCGCKHNFCFGCTLNDHQPTPCALVK KWLKKCEDDSETANWISANTKECPKCHSTIEKNGGCNHMTCRKCKHEFCWMCMGLWSE HGTSWYNCSRFEEKSGSEARTDQARSRASLERYLHYYNRYANHEQSAKLDRDLYLKTE KKMTSLQSQSGLSWIEVQFLDTASQALQQCRQTLKWTYAFAYYLARNNLTEIFEDNQK DLELAVENLSEMFEKPVPELANLKVDILDKTAYCNKRRVILLSDTAENLKDGEWSFNV EW ACHE_10483A MTDYPRILVLDIGLSAEPPLLQAGQVLELNPNDNTKQLRVLVSS QSLPDGIAVDSASQRMFWTCMGFPGKWDGAVYSSALDGSDVQTLVAPGKVNTPKQLAL DEAAKKVYFCDREGLGVYRCNFDGSDLEALILNGEPTTPPDVAEWCVGITVAPSLGKF FWTQKGYSKSGQGRIFSADIDTPAGQSATSRDDIQVVLPGLPEPIDLEFDESSNTLYW TDRGEVPFGNSLNRARLDESGMPKTIVTSSASFTAEVQERKYEILTRNLNEAIGLKLD VERGHVYLTDLGGSVYRCDLEGKGKERLYLEDSRAFTGIALL ACHE_10484S MNTIKTIGVVGTGVIGASWTALFLAKGYKVIVTDPAPGAEEKLQ AYLQKEWPTLTKIGLVPGASLQNYQFVENIDEYLGEVDYIQENGPERLDIKRPLITHL DANTRPDITIASSSSGLPSSQFVTDCTKNPSRILIGHPFNPPHLIPLVEVVPHPGTNA ETISTAMNFYPKLGKDPVLVKQETPGFIANRLQAAVCNEAYSLVGRGVISAEDLDKTM TSGLGLRWAVNGPLMTNALGGGRSFRHFIDHIGPAVKSWGDDMKQYEFGHEPEKRDKV TEKVEEYVGGVDLDEVEKRRDEFILNSIQFKSSARGA ACHE_10485S MATHTVIPGDDIYGPGSYIDKQPIPVPQDARRIFEYLAKTTPGF TQDQRHWDNVTFNGRPEPSIPGPIKAPVVAAALHAMCGVVANEIVEDRDNQKQSSEQA KVSVNTDHAAIWMGSIFTPHINGTDVQDLFKANKLGALFEKDLEHGWMDSFMNLRTTA IYKTATPGVWYQLHGSLDAAPVLRSMGMDPEYPAKTPYEAYEYIASHVEKWMADELEM HNVRNGFCGEICYTPGDWRKTEMGKRLAEHPLINYKRQSHAIPTPPTPFPSRIGSSDR RPLAGVKVVELVRIIAGPVIGNTLAAFGADVIRVGCDKLRDLNVLQLSLNTGKRTINL DLNSPEDKQRLHDLLQDADVFVQGFRPKTIARKGFGLNDLLSLAGTRGKGIVYVEENA FGPDGIFHERPGWQQIGDAASGSSYVTGRSLGYTDGTSVLPPLPISDMTTGLLGALGT MLALRDRARTGGSYHVLSSLVAADEIALRPEIGLYSPEVVAKNVETFKWGTMGPSQYV TEVLNLVMDGWKRVFPEHLTPGTEQSFMVGFEESVWGKMEMLKPVVKIGDEAVSPRWE TPTVPNCGQDKGITWL ACHE_10486A MSADSQYEFQVFTSQELQEHPCLLSLWSMINSAFISHRKGFLQP SHRDSDRFQTPQDVISDLGPHGLCCVLFIADDTYTPIAYAGVKPYKEHFRLEVGGDVD YSGSRPTHWYSIIDWELSSVVVQDDARYARKGFAARCCTKVESALVNRFRDERSKQQD DRGMPEKITIWIQAIRELAGAYWSRRGYQEVSVKRVGAGRWGAARPFDLITGKKELAM ACHE_10487A MAAAAASAAALDPGNSSKNTLKLENTQRRDALIELEKKYQEKWK NEKVFEVNAPSLSEVPAGSMTAAELREKYPKFFGTMAYPYMNGTLHAGHSFTASKVEF MTGFARMEGKRALFPLGFHCTGMPIKACADKLRDEVQKFGQNFEGYKEESEQVEETAA PTQEVKAEQEKFSGKKSKAAAKTVKMKYQFQIMLAIGLPIEEIHKFADADHWLQHFPP LAIRDLDSLGARVDWRRQFVTTDANPYYDAFVRWQMNRLHELGKIMYGNRYTIYSPKD GQPCMDHDRTEGEGIGPQEYTAMKLQVKEWAPELAELVKGKIEDDAKVYFVPATLRPE TMYGQTCCFVGPKINYGLYKVSEKEYFVVTKRAAWNMAFQGHFFDSENFPKSQDQLPL VVEAPGSAFVGTLVNAPLSLHTEGVRILPMDTVSATKGTGVVTSVPSDSPDDYATLMD LAKKPEFYGIKKEWAELEILPLIDTPNYGNLTAPTLVKQLKINSPKDVNQLAKAKELA YGEAFYKGTMIVGEFKGEPVSSVKDKIRQSLYKSGDAIPFADPMGKVTSRSGDDCVVA YLGQWFLNYGENDAQWQQDTLNHVVNTLNTYSNETKNGFEKNLSWLNRWACARTYGLG SKLPWDPQFLVESLSDSTVYMAYYTIAHLLHGDRYGSQPGLLGLKPEQMTDEVWDYVF TRRELSDELVSKSGISKESLQVMRREFEYFYPLDVRISGKDLIQNHLTFFLYIHLALF PSEYWPRGVRANGHLLLNGDKMSKSSGNFLTLRDAVDKFGADATRIAFADAGDGIEDA NFEETVANSNILRLYTLKDWIEETAKDQSLRTGPADTFFDKVFDNELNSLVRETRQHY SDTNFKLALKSGLYDLTHARDTYREAATGAGIGLHRDMVFRYFELQALLLSPIAPHWA EYIWLEVLKKPESIHFARFPEVPEPSPELTAATNYVRSTSSTITSSEATLAKKLSKGK GSTFDPRKPKKITIYAAKKYPEWQEKYIDLVREAFDAVSISINDKELNAKVGKLGEMK KAMPFVQTLKKRLITSREQPDAVFERKLPFDEFAVLTEMIGNLKRITGAKEIEIVAVD EGGKSGEVVGTGEKREGISAENAVPGQPTFQFVNIAE ACHE_10488S MVNLFKRMRKLRSKILHVTVGPGAAILPSPQTATKEFPAITRLH LTYAQKIYNGHQGARHFWRQCLPRLKYHNPSIPMTVKQTQDQDGPAALTIYFAEQVSN AATLNAAKDVNDKYAPAAGESEKTAVVDLKDLDWKRIWDKVRIMTGAKEVQASQKEEE EVKKLEQMAVQSVKDRERNAKIRQAKKDQERMLQEARGEVERLRQT ACHE_10489A MVRVNIPPATRICLVSLLTLSLLYNIARWRQISSISGTTGKTQL TMPIVVPYLTLVPLRLFYYPWTLLTATFVEQNIFTVLLNLATLFYGGKYLERAWSSRE FAKFIVTIAVIPNVVIVPFYLLWAAIRGDPSIGLTQICGGISIQASFLVAFKQLVPEH TVTVFKGLVQMRVKHFPALFLFLNTISGVVLGTESAAILSWLGLLTSWTYLRFFKRQP DLTGTSTDGMGIKGDASETFAFACLFPDVIQPPIAAISDQIYNLLVSLKICTPFSEEA IASGNQQVLARGEAGLPTLLSSHRASSMRGTGKREEAERRRALALRALDQRLQAAAAG RAQSHSPSSAASSQPTRAATPTVSAGQGMLGGTNYTPDNA ACHE_10490S MSCSCPGPLNHHHLTIFNCFNHFPLLAATLSVNRLAASFPGLSA REKSNDRPTPPDFNASPEYFRRACLYPSLSSHCFDLPSFDSLLLLTPFSILYYILSLL LLLSPLPLSVPHPLTNRKNPVLACERFLRHSSLPHM ACHE_10491S MSHPDLTSHHVNYLIWRYLQESGLGDAAVMLQRAWNHDPQSLPF APYIRTHALVSLVQKGLQYHELEKSLDKEGNPVPFTPSSYFFGPQPLESDLLRTPAGP AEAADHAALVSPTSKVARDAATNGHLTAETGKKSRKGDRADTAVAAANGEESSMEIDT NGIHKDHDESPVADATIDGDGDVSMIRSESQGQEPAAPPPPPPPPTLATGHSVGVQIS PAKAADLSPGTALLNVAGKDHVTRTLWRPRDPTVVVAAGDTFCSLWKLSSSSQPAPEN LVDGSREDTCVSAVAWDPTGQKLAVATYNDMRGSITMYDVHGHAVDLLPEVPRMITGL HWAESGSHLVVVASDSRVSELALWDDSLRPDVFPAPQVIDGSIYDLSWIGPNQAFACS DGSVYQCQVDSSIHITRTFSSGAPDTTWTFIRCASAGPSSSMAVAASSSTASFWIPTH DMRLDEAHQGDITAIELRADSRQSPFVLASSSADDTVKIWHIDPGSKRFECIHRLFLG PSIPALAGCFSPDGYALAAASKERLYIWNAERGGSAMATWALPSSEDAKEGPDQAVNG HNGTGDSLPDRSLSWDTNGKKLAFGVGHQMAIVNLQR ACHE_10492A MHRTTYDMDLPPTLTRHPQNHDNGPLSPSFADSGIDMELETELP QTQTHIQTSEASTEPDTNFDAGETETESLHLAARLAKLAMNARKSDNGIKSRSFSKTD TAILHRCLETIENTLSLPDDDDDDDDPRPTLTQEIAKHRPQSLNLTPHYPSPPSSTVA EPSPPAVSHTTEPRSEPHPTESQLTAVLEEVTALGSELDKRRRETFQIYELYTQKCQG LERRIAGLEGEVCELQADILENSIEREGFRGTVYGLQNWVVGWQRDHELAIIQAREAR KGWTKRKPARREDDADALFDGITAWMRGWKDVEEGFQAREREREQRRDKRQKQQSLDT IRDNCLPLSSTGENS ACHE_10493S MAPPAIVPTLETAADVITSTRNTTFPPNLLPLTASIPADLLTPT LAYLKISEKSKLSFLYESAATTETIGRYSFVGADPHKVLKTGPGHGPACDPLPALESE LSQYRVATVPGLVLPPLTGGAIGYVGYDCVKYFEPKTERPLKDVLGIPESFFMMYNTI VAFDHFFQVVKVITFISIPNSNAELEAEYRKGAEVIQRTIDTLLQPEYPLPLQGPIVP NQEYTSNIGREGYESHVTKLKEHIGKGDIFQTVPSQRLSRPTSLHPFNLYRHLRTVNP SPYLFYIDCEAFQLVGASPELLAKEEKGRIISHPIAGTVKRGKTVEEDEALADELRGS LKDRAEHVMLVDLARNDVNRVCDPMTTQVDRLMVVEKFSHVQHLVSQVSGVLRPEKTR FDAFRSIFPAGTVSGAPKVRAMQLIAELEGEKRGVYAGAVGYFGFNMSSTDGSKELPG AMDTCIALRTMMLKDGVAYLQAGGGIVFDSDPYDEYVETLNKLGANIQCIKGAEAKYL SLEREA ACHE_10494S MSKVVRSVKNVTKGYSGVQVKVRNATSNDPWGPTGTDMAEIAAM TFGSPTDFYEIMDMLDKRLNDKGKNWRHVLKSLKVLDYCLHEGSELSVTWARKNVYII KTLREFQYVDEEGRDVGQNVRVAAKELTSLIMDEDRLRSERSDRKLWKSRVSGLDDYQ QMGYEPPRRAERPRRRQHDDEDDTEYRLAIEASKAEAEEERRRRAKAMEAAEDDEDLA RAIRLSKEEEELRKRELEESNAQSLFDDTPMQAAPAQATGYNQGYQQQNAVDWFGNPI NPQQPLTTGYLNNQYAQPTGFQSQPTGMNGYGTSVFDQNPYGQQNNFLQPQATLQPQH TAFNTNNPYGMDVYSQQAQQQQQMMLQQQQQQQQQESYPSAGSNNPWASSQPADALKP MPTGSNNPFAGRTQFRPTSPLTQGPPSLNTLAEDRATTQFTASTTNPIANFQPSPMAA PQPPKSTPPQLNNPHHARLNALLSSGEGQDTFGNVGDLRIPAQHTAPGTFVNSAGQGL SRLQATQTGNNPFFGQQQQQQQFVPQQTGFVNNPWGGQPPQQQQQGGSLIDL ACHE_10496S MAPLKLNSKNLSQISAAGEAQVKVPSYQRGGAVKEGIVHVGVGG FHRAHLAVYVDQLMQNHGVNDYAIAGVGLQPFDVAMRDALGSQDHLYTVIERSAKGSF ANVVGSINSFLFAPDDREAVIAKMAHPDTHIVSLTITESGYYYNENSHELQSEHPDIQ FDLDPANDKAPRTTFGFLYAALARRYQQGLKPFTVMSCDNMQKNGSITRHMLESFARL RNNPEIANWIAEQGAFPNAMVDRITPQTSAADKTALVDTFGIEDSWPVVTEPFMQWVI EDQFSDGRPPFEKVGVQVVKDVHAVEEFEKHKLRLLNGSHSAIGYPGQLAGFKYVHEV MENPVFHKFVWQMMQEEVKPLLPEIPGVNIDEYCNTLIERFSNPTIMDQLPRICLNAS GKIPQFIMPSIAESIWVTGPFRRLCFVAAAWFRYVNGVDDNGNTFAVDDPMREELQAK ARAGGTNPAELLSIKSLFGDDLRGDKRFLQEITTAMEDIARDGILKTLPKYVD ACHE_10495A MLSSRGTSRAEMLDIPWRYAAPHTYDKETNPNGTISFGMAEHSP MRTEIANYINQKVTFTTDSVGYRPSPLSSTRLPAALSTHLNEILHPHPSNTPITPETI FVASSPTALGHMLGFSIAEPGDGILVSRPVYGRFELDYGVEAGVEMVYADNDVEEGFT PGVVEKFESSLKEAGERGVRIRGVLIVNPHNPVGRCYPAETLSEIVKFCSRYQLHLIS DEVYASCIFNSGHPDAVPFTSVLSLDLPALIDPNLVHLLYGFSKDLASGGLRLGFLIS QNKELRRACQAILRLHNTSTAAVTIGATILEDREFVSNFFAKASQHLASTYKITTSTL EKEGINYVKGGNAGFFIYIDLSPYLALLPDTITNGINGTSKAGTGTNREVTLAQKFLD AGVFLHPGEEHGKAPGWFRLVFSHEEEVLEEGLRRFVGVLGSLRQV ACHE_10497A MAPCLRGIASRAIKPRHIISTPLYRTRLIPRVLPSRSLLSSPKI QSPGHSTINQQRSFSSTTQPCANLDTATDTLPICCPGCGAYAQTIEPDELGYYSEGRR RKFAAKEQQAPKEGDEDAEITEELKTEGEAAAERIEKVLRDAEEKGYNKPAPRHGAMI EEAASTASRYLEASASRAPVCDRCHDLVHHNKAVSAPSPTIDSVREFLDESPYKHNRV YHILDAADFPMSVIPNIYEALSLQEQRARNRRATDNKYRGNRKLPTISFIITRSDLLG ATKEQVDTKMEYMRSVLRQALGKEAEDVRLGNVHMISAHRGWWTRKVKDEIQDHGQGI WVVGKANVGKSSFIEACFPKDSRNLEKITELVERRRQEGLGVADQSQAQAPVLGPDSL LPPAPREDLYPVLPVVSSLPGTTVSPIRIPFGRGKGEMIDLPGLDRGQLEDYVRDEHK RDLIMSKRPKPERLSIKGGQSLLLGGGLIRITPVNPDDVLMAACFVPLDTHITKTDKA IEMQAETRPYPGKVIAKEGLSQVIKSAGTFDLKHDVTKSHLPTSIAKAVKDNKASPPP LPYKVMSTDLLIEGCGWVELTVQVRAKSMSADAGSPRSLPQVDVFSPNGQHIGSRMPI ECWEFIAQKKASDKRKRGPRGRQNIGLQRRAR ACHE_10498A MAERVNVLLSSFPGLSLHSTVSFSLPSISTISDLTEKVVSLLPS SVPFQSLSLTTTNNKQVVPSSDRLQSLLVARDGESAITSNLLPLRLTVPLCGGKGGFG SQLRAAGGRMSSKRKRTQGDDNASSRNLDGRRIRTVNEAKALAEYLAVKPEMDQKEKE ERRRRWQSVVEVAEKRQEELKNGGGKHKIDGQWMDDKEEMNEKAREAVLLAMKEGAWT DNLRDTIMGGSSTSASEGSENAASSSSEAESEEENKAESSSGSNQTSAAPRKFIGFDD DDEFMSDSEEEEEESEGKGKGKGKA ACHE_10499S MGIELATMLIPKDDRKKIHEYLFREGVLVAKKDFNLPKHGDIDT KNLYVIKACQSLNSRGYVKTQFSWQYYYYTLTPEGLDYLREWLHLPAEVVPATHIKQQ RSHAPPRGMLGGEERERRPRAPREGGYRRREEGGKEGGAPGEFNPSFRGGFGRGRGAP AS ACHE_10500A MQRLSLSQEDKQVRDWFIETTKALKCNVIVDEMGNIFAVRPGRR KDVSPTFIGSHLDTQPTGGRYDGILGVLSGIETLKVIDEMGLETEGGIGVVNWTNEEG ARFPISMISSGVWAECIPLSRAHDLKEVPTVASLPTASSAPETMKSALEKINYLGTVP CSYKETPMAAHFELHIEQGPHLVSAGQRIGVVTAVQAYRWFRLNIVGRDTHTGTTSFE HRADALYAFARMMVRAREVAAAKSCLASVGIVEAKPGSVNTVPGLVSFTLDIRGPETD MVQAVEVELRKEFDAIAAEEGKGIGKPCRVEWTLDFDSPAVKFHESCIDCVQQSAEAV IADAETLEPKSLVRTIMSGAGHDSVFTSKRAPTSMIFVPCRDGLSHHPEEFCSAEDCA TGTSVILQAVIRYDRKRFAPT ACHE_10501S MSSSAACIFCKIIKGDIPSFKLYDSEKVFAFLDIGPLSRGHALV IPKFHGKKLTDIPDEDLLEILPVAKKIAQASGAEDFNILQNNGRIAHQVVDHVHFHMI PKPNETEGLGVGWPSQPADMDKLKALHEELKAKM ACHE_10502A MHNCLFPRRRLVKFSANTIRSSSSVPRRAQFPSLSSPSRPLKRS PTRRTPPTRPFSTFPLPFVRAPKRASQSFWAFAFTVLLIGGGSWIYFDPEKDSLQPSN SEKSPPDQSQEPFLGIVDLLQNMPVEQPPGTVGNLTHEQEAKLQEFWQLALKTFGVKS RETEQSSVSSLNVAADDDKHGQSKEFQQALADMSAEEIRITFWNMVKHDNPDSLFLRF LRARKWNVNKAFIMFISTIRWRSKEIKVDDDIMRNGEALAQKQSQSADPAEKKKGQDF LDQMRLGKSYLHGVDKNGRPICVVRVRMHKAGEQSEESLERFTVYVIETTRMMLPPPV ETATIVFDMSNFSLANMDYAPVKFMIKCFEANYPESLGAVLVHKAPWLFSGVWSIIKG WLDPVVAGKVHFTKNANDLEKFIPRDRIVKELEGDENWDYKYVEPQPDENKAMEDIAK RDALIAERQEMAKEIQDATVSWLSASASKDKDAISLAEERRNGLINRLREHYWVLDPY IRSRSLYDRTNVIKGDGKVDFYPGA ACHE_10503S MDPSTSRSSSRQRLPSRPTTPLRPSSRSSFREAHGYGGSISNAG YSQPAINALEPQFAELADSMADLEANFMHLQLLHESLSRFSESFASFLYGLNMNAFCV DFPEAPIPDSFRRAKQTEAEKEREAEAEEARPTVNDGETTFMTTDTSFLENPPTTVSS KPTPKYSVPRTRGSSTRGTTRETAGGRYTTRGTSRARPSALPRGRGMR ACHE_10504S MASINSVSLLSEAAFGCEHLATVMKSGQTAGQFKESFIKANRAL APLGDSPAALLPSKSRFQSSALLRPRYICLSCSEPCLSNERRAHTEKTGHQFYMDSRA RNVYCQGCDDFVYDHELERLRSPAPEVSLKASKRKIENSADELFVRSNASKRPCARQG VRGLWNLGQSCFMNVILQALLHDPILHAHFLGNGHQTQECTIADCVGCSVAEAFTEFN TTDKPESFVILNLLAATWRGSDALAGYRQQDAHEFYQFLVDKLHSSDDDHVDDYECPC FFHKTFHGKLRSTVTCDKCGNVTQTEDPMVDLSLDVQVQAKKRAMGSGIGPSSTATLK GCLDSFTSPEHLMADAYNCDQCGTPQKATKQLRIKKLPAILCLQLKRYEHTFAVSEKV EGRIDFPLSLNMLPYTTNPDVRVDRSKYVYDLSTAVVHKGKLDAGHYYAYCRQGDQWV LFNDDRVTVASEAEVLNADAYLLFYNLRTLAATAGS ACHE_10505S MWRKTANAAFNAAFLLASLANALPHGDGHSIDDMNMDMGGHGHN ATSESSEPAEDSPMSYFAYGKHSGTIIAHIGLMVLAWCFILPAGVMLSVARSRLALPT QFAFLVFNAVGLLIGIIYNSQTPDLYENNAHHKVGWIVTWVASAQVVMTLLFAYAGRG ETEVSSHERAAFLPVATEDTPSPLQSYPSGSFHDYRWSRDSGQGSERNSSSLHSRPGS PSDEYDGFEKPEEDHAKPAESRRWFEGTVVDRFLSSRVPSMVSSRVLRTLNIIHMVIE RIIMPFGFAAIATGGVTYGGIMRGHEVFNGLAHFIKGGIFFWYGLLTLGRWMGCWADL GWAWNVKPKSPIVSKWKANIASGEFTESSVIFTYGITNVFLEHLAGWGKEWSATDLEH VSISIMFLGGGLCGMLFESKRIKSWLNETILQAPGRGSMDSTWKLPDTQGVSLNPMPA LVILLLGMMMGSHHQDSMVSTMIHKQWGNLLVGFALARGATYVLLYIKPPTSYLPARP PTEIISSFCLIAGGLIFMLSTRTVVESIEYYQLDAMFTFTVGMGFTAFIMACAILSIA LKAWAVKRESKPQLPPFQFPATA ACHE_10506A MTRGSINENKRSPDENIQAQTSTTVMYTTPICRFHPRKSNANLF LVAIGLTSSFLQSLQLLNIQDHRAGIPMTAVIIDNMSCMDIEVVYLMPKYRPNGKMEF EKTIMVQ ACHE_10507S MASNPPGACCATGFKHEGTPVGEVKNIAGVDTYITYPKDNKNPD KAVIILSDIFGLFVNSKLLADEFANNGYLTIIPDLFHGDPIQIGDMEAGKVNLPEWIP NHQPGRVDPVVESSIKYLREELGVKRVAGVGYCFGGKYVCRFLKNGRLDVGYTAHPSF VTHEELGGITGPLSIAASEIDQIFTTQLRHESEDTLIKTGQPWQINLYSGVTHGFAVR ADLSNPHFKFAKEQAFCQAVVWFNQYL ACHE_10508S MATPAALPPLPFNPSRVRSYILRLPLFTRLVLLAILAFWILELQ TIWSVVRWGSLTPDEIGLGSMYRLNTYPFIHMGFFHTLLNVFALTPLLERFEAEHGTL TSLALFIGPLSTLPAGLYLLVEKVLLHRNTPVVGASVWAFLLLGSEAVRTFKSHPYFS IGSYKIPTWTSPLLACIFASVLIPNTSFLGHLCAVLVGYLLGLGYLKVFVPPEKILRW IEGKLNLLGRLPHYVSVDQKTYGRYGVLPSTNPVGDRPTPLSYLGSTQRLGSSE ACHE_10509S MALKLPTRNLRSNPHILFVRLPYLLSFLCIVVGVIWLLLLPLNE YSRRTYISENALLPGQVHAYFSGSEQNIFRGYKKELGGLLVGGAGGNDGEHGSRGEVE VTPEVSEKVQSILRAAGLKVATQNYEYTSAGIRHRGQNTYAIINAPRGDATEAIVLVA AWKTVDGELNTNGITLVLTLARYFKRWSLWSKDIIFLITPDSKSGAQAWIDAYHDMHP PSVQPLPLKSGALQGALVVEYPFDHRFESLHVVYDGVNGQLPNLDLFNTAVSIAGGQM GIGAVLQEMWDHDDSYEARLETILRGMVKQGFGYATGAHSSFMPYHVDAITLQTKGEG WQDEMALGRTVESLCRSLNNLLEHLHQSFFFYLLMQSNRFVSIGTYLPSAMLIAGNFT IMAIALWMRTGYYAGSSSSTCAGAPTEEKKETTQKGSDACGVMERQLALPLTFVVGLH LLGLLPLYIFNNLSHQYFSSAVYTCIIADIVLPLTLAALLTHHSPSTPSTPANTIPQH CLLIKSFSLLLLGLFLSTLATLNFSLSFMIGLLCAPLTFTNRTASTPVLRYIISTSGL VILNVLSPPVVLVGGCWYAGVSVESVLTQAAFGWDVWGMWTQVVVWCVWWPAWVTGCA LLGSSMF ACHE_10510A MENQKISDFLTEQLSQAPEQSQPFFLSLEDYWERKLWHQLTDTL IDFFRTPESAPQRLAIFKTFVLSFADRINQLKFVLLGLMASTGCADDQERLSFLTSLA DKVNKPDSQDAYAYALADVANVKQRLQDFDGAKKDLEMCQRILDSFDAVETVVHASFY KVNADYYHAKQEFASFYKNALLYLACVNLEDLSASERATRAYNLSIAALVSDSIYNFG ELLMHPILDSLTEAQYTWLRDLLFTFNRGDLTAYDVLAGNLSKDSLLAQHRIFLYQKI SLAALTEMVFRRPPHDRNLTFESISAETKVKPEEIEHLIMKALSLGLLRGSIDQVAQV AQINWVQPKVLDLKQIEAMRNRLKDWDAGVNQLGHWIEGVGKDVWAA ACHE_10511S MAEFAEKNRQVFDKKAASYMNDFSGVIQSICNQVENRRLWISDK WTDTHAGKGQEFRLLEYACGPGAISVTLAPFVTKVLGLDVSDNMVAEFNKNAEAAGLS DKMSAQRGDLLADNASTELSAPEFFNFDALVVSMALHHFDDAGKALKSLAERLQSGGT CVIVDLVPDHSHDFREQVREHFSRETAETVKTHGFTLEQMRDLFAGAGLTNFGYHVFE EPVVFRKNGKEISKTLFLARAQRS ACHE_10512S MTMQKCRAVNSALGSKAFVPAPRVQFQAQRRFLQDVAITRTGKP ILKVQGGRSSLGGYTATVFGATGFLGRYIVNRLASQGCTVVVPYREEMAKRHLKPTGD LGRVVFIEYDLRNTQSIEESVRHSDIVYNLVGRQYPTKNFSYADVHVDGTERIAEATA KYDIDRFIHVSSYNANKDSPSEYFSTKGWGEEVARSIYPETTIVRPAPMFGFEDNLFH KLASVANLFTANHMQERFWPVHAPDVGTALEHMLHDDSTTGETYELYGPKNYSTAEIA ELVDREIVKRRRHLNLPKPVLKSLAHYLNKYLWWPTISADEVEREFIDQRIDPTAKTF KNLGIEPAQLEDLTFHYLKGYRSASYYDLPPATERERQEERKYVHVLDDQ ACHE_10513S MTHKTPILLLKTKSTPSDAYDEYFTDKNYNPLFIPVLSHQFHAA NLAHIRDLFNTGALKHDDTERKYGGLIFTSQRAVEGFTRMIEEDADEQIASDSSKSLP LYTVGPATSRSLSSLVTSHLPHATIHGTDTGNGENLALFILEHYNNLAGNKNGRGEKL PLLFLVGEQRRDIIPKTLMSGKLPEGERIGVEEVVVYETCVMESFEDDFTKVIDSYKS EDNDGGGEKVMWVVIFSPAGCDAMLRVLGLDSSSNDNGDGVLVAPGKRVFVATIGPTT RDHLRLKYGFKPHVCAEKPSQEGVGGEIERFMERRNGK ACHE_10514A MQGSRKISFNISEQYEIADIIGEGAYGVVCSAIHKPSGQKVAIK KIIPFDHSMFCLRTLREMKLLRYFNHENIISILDIQRPRSYDSFNEVYLIQELMETDM HRVIRTQDLSDDHCQYFIYQTLRALKAMHSANVLHRDLKPSNLLLNANCDLKVCDFGL ARSAASTDDNSGFMTEYVATRWYRAPEIMLTFKEYTKAIDVWSVGCILAEMLSGKPLF PGKDYHHQLTLILDVLGTPTMEDYYGIKSRRAREYIRSLPFKKKIPFKALFPKSNDQA LDLLERLLAFNPAKRITVEEALQHPYLEPYHDPDDEPTAPPIPDGFFDFDKNKDALSK EQLKYLIYEEIMR ACHE_10515A MEAPQGGYPPHEGYGQPVGYGSPQPGQPPQGQAGGRKKRVYAGE SFEFGSGANAALGGQMPAGGSYGYPPQAVAGYQQPVAQPIYGADAAAQMQPMTQGYAP PVAPGVAQMTQQLGAMGMADPHQMPPQAAQARPVSLNQLIPTDLLSQPFNVAELDLPP PPIILPPGTSVFPSPHANCPPKYMRSTLNAVPTNHSLLKKSKLPFSLVIQPYAALHDA EDAIPVIPDQVISRCRRCRSYINPFVTFMDNGHRWRCNMCSLTNDVPQAFDWDSAAQK PADRALRPELNHSVVEFVAPQEYMVRPPQPLVYLFLIDVSYTSVANGLLATTARCIKE SLDRIPNADRRTRLGFMAVDTSLHYFSIPRDGSESSDPRMLVVSDLDEPFLPIPGDLL VPLSECRENIELFLDKLQDMFQNTQSNGCAMGSALRAGYKLISPVGGKMTVLSSSLPN VGQGALTVREDKKVLGTNKESGLLQTANSFYKSFAVECSKAQVSVDMFLFSSQYQDVA SLSNLPRYTGGQTYFYPGWNAARTEDAIKFAREFSEYLSSEIGLEAVLRVRATTGLRM NTFYGNFFNRSSDLCAFPAFPRDQAYVVEVAIDETVTKPVVCMQAAVLHTTCNGERRI RVLTVALPTTQNLADLYASADQQAISAYFSHKAVERVLSSGLEPAREALQAKVTELLS TYRKELAGGSVSGSGLQFPANLRALPVLFLAMIKNLGLRKSAQIPTDMRSAALCMLST LPLPLLMQYIYPKMYSLHDMPDNAGLPDEQTGEIILPPPVNLASEQMVSYGLYLIDDG QTQFLWVGRDAVPQLILDVFGLPDKLQLRVGKQYLPELDNDFSQRVRAVVEKSRDHRA KGAGSIVVPHLYVVREDGEPGLRLWAQTLLVEDRSDQSASLVQWMGSLREKV ACHE_10516S MSVRVVARIRPLLKSERELDVIIRTGFNNKGEKKNTEDSRSLAA LRDRDTLVRIPNPKHEHEEYSFQFNAVYDADASQQEVFDAEVAPTVKHLFNGFDVTLF AYGVTGTGKTHTMRGGKSLADRGAIPRLLSTIYRRGRKIEKDSEGATSVKVSLSYYEI YNDKVYDLFEPPEKRTFAGLPLRDNGGKTVVVGLTERPCTSLKEFEILYDQANTNRST SATKLNAHSSRSHAILCVKLTVTSGDKTRVSTASAIDLAGSEDNRRTDNGKERMVESA SINKSLFVLAQCVEAMTKKQQRIPYRESKMTRILSLGQNHGLTVMILNLAPVRSYHLD TLSSLNFANRTKKIEVREVENEPMFKGPPRPAMRPSVAAQRQPLRPLTASVNANLPAP GTTDASKPADSKPAKAFSVYSDKPQPSHSAQFKKPEVPKRTSFSSNAPRTQPSKIGRP SQTATQQQTNHEDISAAKIEEMVQKKVEEILAVRAVSEQTRQTQVRELNEQVQKRLES LEQRIEGSEDARAEGLSYLLMAKQHQGRGEDHSALKMYQLALPFFPNNEKLAMKISRL EERLYGSRGQENTCSATPASPPAKRGLGSMLSIKKQPQKPQIKRAADHLDKDYEEPEA ASEAPSEDEIQDTSRAQCRKRARTIKVASSDNEDSDVTERDKDAPSPRTIHLLSVVNS RDVNQIKLLKGVGVKKAEAIVDCLCEMDQKIEEGEEAHAQVKNILELSNLKGVGARTV QSMRTGILI ACHE_10517A MNQSLRRGVRALSWTRVLPQWARQDPLQQAKRGIQIRAVPSEQL NGDHLPVAGTPNSAQSPDARFDIIGSPYSLLSVSLSASQHLYTRRGTLVGLSGKADNV VSTLSVLEPVRRAPVGVPFLYQKITSSSPVTALISVRSPTTSFAVLHLNGSEDWIIAQ KRALLAWTGRSISVKPVINTNMSVTHWGNSEVTGRGLLALVGNGQLYSVDVKPGEQYI AHPSNVVAYTMTSNPPRPYRFKSTTLNFQVPGLKALPSFLQNTRFIQNMSQTDTWETA MKIFHKIRTWSRMTIWGDRLFLQFDGPAQILVQSRGPRLNDVLSEREVNEIAGSPRGL TSGPSQQSAERKRGEEKFRKEAVEATHAAPVPARSVESLSQEVRGIDQRIAKLTEEGK VIFDKPASKN ACHE_10518S MAKYLWPKEDWGTKLRVGSALSLLVGAKILNVEVPFYFKSIVDS MNIDFAAVGGTAYTVAGSMIIAYGVTRIGATLFQELRNAVFASVAQKAIRRVAGNVFE HLLRLDLNFHLTRQTGGLTRAIDRGTKGISFLLTSMVFHIVPTALEISLVCGILTYQY GAQFAAITAATMLAYSAFTITTTAWRTKFRKRANAADNRGATVAVDSLINYEAVKYFN NEKFEAARYDKALKAYEEASIKVTTSLAFLNSGQNMIFSSALAGMMYLAANGVAEGAL TVGDLVMVNQLVFQLSVPLNFLGSVYRELRQSLLDMETLFNLQKVNVNIKELPDAKPL EVKRGGEIRFENVTFGYHPDRPILKNASFTIPAGQKFAIVGPSGCGKSTILRLLFRFY DVQEGRILVDGQDVRHVTLDSLRKAIGVVPQDTPLFNDTIEHNIRYGRIDASDEEVRR ASQRAQIHELVQRLPDGYKTAVGERGMMISGGEKQRMAISRLLLKDPQILFFDEATSA LDTYTEQALLQNINSILKEKGRTSVFVAHRLRTIYDCDQILVLKAGEVAESGSHRELL EQNGIYAELWNAQETSLAEDVEPDEVKEAEEKRESTPDRR ACHE_10519S MGIIEKLQAKIELYRLEQRYARRKHRTSFHDVQYVDGEYVFNGS LNSPTSSVSKHSTGGQYKSSTWSASNDSRWR ACHE_10520A MDHSIQRLLNDKLYDRRKQGALELEKIVRNAAFKGEIEEIQKIV DQLCHDYAYAVHQPHARNGGLIGLAAASIALGSEGVAPHLKEIVPPVLACFSDQDARV RYYACESMYNIAKVAKGEILLFFNEIFDALSKLASDSELSVKNGAELLDRLVKDIVSE SAATYISVLQLSEKDALDSDTLEEADLPTAFSLAKFIPLLKDRIHVISPFTRIFLVQW LTLLDTIPDLELVSYLPEFLGGLVKFLGDPSRDVHVATQGLLDRFLSEIKRIARLKKG IEDSRKEQESKRQSAASDDVSAITDQAVAPEEDKDENAIEDSASESIIEEEDGAQVDG DWVPGQDVHIDYPKILDILVGFVDTSFDEEMQLTALRWIDNFFDISPEDILPFVPCLL TQVLPAMSSGSDQVRQAANRVNTSLLEYIVTLSEDTSEENRPAATSKLPPAPNNKENS ERRSSTPGGKPSDTQGSELRKQISQQEPSIDQTPRSGIVSAPAPPADLDYAAAVNSLT LQFLNENEATRVAALSWLIMLHRKAPRKVVAFNDGTFPALLKTLSDPAEAVVTKDLQL LSQISKNSEDSYFASFMVNLLQLFSTDRNLLEVRGNLIIRQLCISLSPERIYRTLADC LEKEEDIEFASIMVQNLNNNLITAPELSDLRKRLRNIDSKEGQMFFVALFRSWCHNAV STFSLCLLAQAYEQAYNLLQVFAELEMTVNMLIQIDKLVQLLESPVFTYLRLQLLEPE KYPYLYKCLYGVLMLLPQSSAFAALKNRLNSVSNIGLLHASPRLTAPISTFERPTGAR LKSRDDSSIRWADLLDKFKSVQEKARRAQRAAYQRQLDHDGIRTGPQNPSLTAALSAA ATSDQTRKDRGFAEGGESLRGGNAGGTGRMNSVDSSGTQKGVGGNGGGGSILGGAHRH KSSLPNLGRLGIGSRKSKR ACHE_10521A MAAAITSWVLNPIQSLTMSRPRTRKLWCAVPGNLGRPFSIECVA DQDDIETLMKKIWDHAPAHAKKDASDYGDLILYSPVVQLNHEQKFRIDDSEFLHPRRM VTSNPLFPKSKDPDVDIVVVVSGGATPQKLKCLESQNVNLAPERPITEDPHVCPRERT VLELAAILDEVNIVHVRGTPASGKTYLSELLSYHYRNRGRRVSLIKEWEKLNHKNPWD SLVKLVEKWNEEAQDAPTTTSSQSEQDLSWVLTSNTVILVDEAQLTYNDSALWNTILK KRQSSFLGYNFRLCLFCSYGSPEAGPDQTFFTPVRLSNQQCISLTPQSQQGAPPIGLF YDKEEFKDVASRSIPFEYRKRFTFGECAQDYIFALSNGHPGAVESILSTLFQAYHHDI KHGHIRALTEDHVIWFLEDTATVFQKLSTQPVNRSFPKIPEATDGISDMLNKITEEGS IPFDINDASIKFCYQKGWIHRVALGSCDIAVLPSRLHEKYIEYSIGTMSQRLPVRFNS LPTLCKEILSKFSIMNLRHSAEGKKMSSASQPRPVEAQYQDEFYRGFVHVAGRGVPIS SEWSRTKDGRVDFYIPEKKWAIELLRDHGEVDEHISRFKAGGKYHPWLEEKMIEDWII IDCATSLPTKEFSEPKLWHAVFINDYSELQLYDHQKVLMMSVHLYN ACHE_10522A MYRPRPAATENGGTTPSSKSLHNSPHNPLDRLSKPFRCPGSATP TRASDKPARKRRKVNYTGADGNADEGEKPYTNEERLALTTRDVNRFPVFKPKDKDTTF KQRFKVPLVNKTSDAYNASRPAPTLGMRRGATFVVKPLHDPSGEFAIVLYDPTVDDVE EKPESKVEDQSEQQKLDEPIMHKSLADILGLKKEVDTRPKVPVVIDPKLAKILRPHQV EGVKFLYRCTTGMVDKNAHGCIMADGMGLGKTLQCIALMWTLLKQSPEAGKSTIQKCI IACPSSLVGNWANELGKWLGEGTITPFAVDGKASKTELTMQIKQWAIASGRSVVRPVL IVSYETLRMYVDALKDSPIGLLLCDEGHRLKNKDSLTWTALNSLNVSRRVILSGTPIQ NDLSEYFALLNFANPDLLGSQNEFRKRFELPILKGRDAAGTEDEQKKGNERLSELSGI VNKFIIRRTNDILSKYLTVKYEHVVFCNMSQFQLGLYNHFIQSPEIRSLLRGKGSQPL KAIGILKKLCNHPDLLNLSADLPGCEHVFPDDYVPPEGRGRDREIKSWYSGKMMVLDR MLARIRQDTNDKIVLISNYTQTLDLFEKLCRARGYGSLRLDGTMNVNKRQKLVNKFND PDGEEFVFLLSSKAGGCGLNLIGANRLVLFDPDWNPAADQQALARVWRDGQKKNCFVY RFIATGSIEEKIFQRQSHKQSLSSAVVDSAEDVERHFSLESLRELFQFKPETRSDTHD TIKCKRCRPKESQFIKSPAMLYGDTSTWNHFVNDGEKGQLGKIQDLLIRQETTERDVS AVFQYISH ACHE_10523S MASDFCAGYLSGAIGIIIGNPLDVIKVRLQAGHNSADAAAAAAS KQRLSRFELARGAAAPILGYGALNAVLFVAYNRSLKFMDSSVTDPTNPQGIPLYRLWI AGAVGGLASWTISSPTEYIKCRAQLDNRPEVSSWTVAKDIAQTRGWRGLYYGGGITSA RDSIGYGFYFWSYEYCKRLVASENDDVHQAAIKVLLCGGIAGVATWASVFPLDVIKTR LQAQTSGICPESRPLLPSQNNRRELNSFQIAREAYRNEGMKVFYRGLGVCSIRAFIVN AVQWATYEWLMKAFTNVAWNESFMPENAQAHR ACHE_10524A MGALRRVKTKRLTRGYDQVREDLESPKHLAQYKATKDADDLPGL GKHYCVECAKWFESEYNLVAHTKGKNHKRRLRLLREDPHTQKMAEAAVGLGTDNGKRP QESEIAMED ACHE_10525S MISSRLARMGALAPKSRAFFGNRGMATVTDSPLDKKVEMTHWEK GNYINYKKMSENLDIVRQRLSRPLTYAEKILYSHLDDPHGQDIERGKSYLKMRPDRVA CQDATAQMAILQFMSAGMPSVATPTTVHCDHLIEAQVGGDQDLARANDINKEVYDFLS TATGKYNIGFWKPGSGIIHQIVLENYAFPGGLMIGTDSHTPNAGGLAMSAIGVGGADA VDVMAGLPWELKAPKVIGVRLTGQMSGWTAPKDIILKVAGLLTVKGGTGAIIEYHGPG VDSLSCTGMGTICNMGAEIGATTSLFPYNDRMYDYLKATKRQNIADFARSYGKELRED EGAEYDQLIEINLSELEPHINGPFTPDLATPISKFKEAVDTNKWPEDLKVGLIGSCTN SSYEDMSRAASIARDALDHGIKSKSLFTVTPGSEQIRATIERDGQLQTLEEFGGVILA NACGPCIGQWDRKDVKKGEPNSIISSYNRNFTGRNDANPATHAFVTSPDLVVAMTIAG TLKFNPLTDTLKDKDGKEFMLKAPSGEGLPAQGYDPGRDTYQAPPADRESISVAVSPE SDRLQLLAGFKAWDGKDATNLPILIKCEGKTTTDHISMAGPWLKYRGHLDNISNNMLI GAVNAENGEANKVKNAFTGDYDAVPATARDYKARGVKWVVIGDWNYGEGSSREHAALE PRHLGGLAIITRSFARIHETNLKKQGMLPLTFSDPADYDKIQPTDTVDLLCTELEVGK PMTLRVHPKDGATYDIKLSHTFNESQIEWFHDGSALNTMARKSAS ACHE_10526S MATQLIPLPEVERLSASVVRILGGNPGKFTLQGTNTYLIGRGRQ RILIDTGEGKPTWATSLRNVLSSENATVQRALITHWHVDHVGGILDLKRLCPQVIVHK REPEEGEADIEDGQVFSVEGATLRASYTPGHAFDHMAFVLEEEDALITGDNVLGYGTS VFEDLKAYISSLQRMHSLASGRGYPGHGPVIEKVQSKVTEYLRHRQQREDEVVRVLRY GKLDVGDDEKSPERKGWWTPLELVKVIYKGVPESLHLPASHGVLQVLMKLEDEARTVH DSVSGKWRLIDGRSAL ACHE_10527S MPSIDNDGNEARLLLVSNRLPITIKRSEDGKYDFSMSSGGLVSG LSGLSKSTTFQWYGWPGLEVPEEEVPHVKERLRDEYGAVPVFIDDELADRHYNGFSNS ILWPLFHYHPGEITFDESAWEAYKDANRLFAKAVAKEVKDGDLIWVHDYHLMLLPEML REEIGDSKENVKIGFFLHTPFPSSEIYRILPVRNELLLGVLHCDLIGFHTYDYTRHFL SACSRLLGLATTPNGIEFQGKIIACGAFPIGIDPEKFQEGLKKEKVQKRIAMLEQKFQ GVKLMVGVDRLDYIKGVPQKLHALEVFLSDHPEWVGKVVLVQVAVPSRQDVEEYQNLR AVVNELVGRINGKFGTVEFMPIHFLHKSVNFDELIALYAVSDACIVSSTRDGMNLVAY EYIASQQKRHGVLVLSEFAGAAQSLNGSIIVNPWNTEELAGAYQEAVTMGDEQRALNF SKLDKYVSKYTSAFWGQSFVNELTRISAAAEKFQSKPATPGPSSQVDGVNGAEAN ACHE_10528S MSPSNTILRAMLPPRSSMTGLRTKSSSTATLLPQLARTKLMISG SQRAASTSAENQQRSQQQIPTPPSTPTPTTAAETKAAPPSLRSYPYTLKVGTVSSVGK MDRTVRVAHRHTFWDKHLRKTYPQVTNYLVSDPHNSLREGDVIEFSSGFPKSRTVHHV VERIIAPFGSAIEERPPVMSREEREAVREQKRAAKWERREQRRLESGNEDSGVKEHVG RIRGLILEREAYR ACHE_10529S MAEGEEDLSSLPLPDRFSHKNWKVRKEGYEDAKQQFEKTPDESH PIFAPFIQDPSLWKGAVADSNVAAQQEGLGSYCSFLKFGGVQACTRTRGYTVSAIVEK GLPSTRPAAKTNALEALLLCVEFDKADPVIEEIVPALSHKVPKVIAAALVGLRAIYHN FGCKIVDPKPVLKALPKVFGHADKNVRAEAQNLTVELYRWLKEAIKPLFWGELKPVQQ QDLDKLFENVKQEPAPKQERLTKAQQDAMAAASAAPDDDEGEGEGGEEDADEDDGEID AFDLAEPVDVLSKIPKDFHDNLSSSKWKDRKEALDALYSIINVPRIKDGPFDDIVRAL AKCMKDANIAVVTVAANCIDLLAKGLKSSFGKHRATIMAPIMERLKEKKQSIADALGQ ALDSVFASTNLSECLEEILEFLKHKNPQVKQETVKFLVRCLRTTRDVPSKPEQKLIAE AGTKLLTDSSEVNRAGGAEALGTLMKIIGERAMNPFMDGLDDIRKTKIKEYFETAEVK AKERPKPIVGPPKTAAGPAGKKPVAKKPALGAKKPAPAAAAPPPEEPAPAPATKPAAK APARPGGVPKPGALPTPGSGLKKRLAGPGAAASPQRRVASPPQDEQPPPPAAPKFGLG RGLAGRPIAKPSPSPSAEPTPPPAPEMSGMSSSDRAELEELRLEKDRFMRMIEDMKYE RTKLNSQVTELQNQNAQLIEDHTRDVLSVKAKETQLVRARSDAESAEQTVQKQTREIE RLKRELARALRASAMSPPNTIADNISMPVPDCTPDTGSNGNGTGHGATPRVSSLQMGS RLESSRPRSFASTSPIEDNQNNINNGLESPGGPNSRDSALGRRKFSPTFGTSQSGLAS PTRSSRVGSGSYSGEEQGQRNAEPAENWKRAAEVTSQLKARIEAMKARQGISRPAGR ACHE_10530A MPPKSGKKVAAMPYPQGKAGASKKGPKNPLIEKRPRNFGIGQDI QPKRNLSRFVKWPEYVRLQRQKKILNLRLKVPPSIAQFQNTLDRNTAAQTFKFLNKYR PETKAEKKERLQREATAITEGKKKEDVSKKPYNVKYGLNHVVGLVENKKASLVLIAHD VDPIELVVFLPALCRKMGVPYAIVKGKARLGTVVHKKTAAVLALTETRDEDKAELSKL LSAIKEGYTDKYEESRRHWGGGILGAKSVARTEKKRKALEASIKV ACHE_10531A MINAVLVFNNTGQARLTKFYTEIDTQTKQSLIAQIYDLVAQRPA SACNFLPLPPLLARGARSSAALGPSDAPTQITYRTYATLSFIMISTSTESPLALIDLI QVFVEALDRVFENVCELDLIFGYETMHAVLAEIIVGGIVVETNIEKIVGGVKIQEGDY GKRKAIQAASSSVGRGAFPGLGAWR ACHE_10532S MRPRNYICATCLRKRTPYLRHRTVATTSTQPTTAKPPNPNLLPF HALTPSQISCYWETHIPNEPQLAYANSLFTPSRHSPIKLWSANRFRTLPMSSLEPEVA FLGRSNVGKSSLLNAIMGKEICWTSSKPGRTREMNAFGIGGTKGGENKIVLLDMPGYG KGSRTGWGVEIMKYLQGRRQLRRAFVLIDCDHGLKRTDHDILALFRQYAIPHQVVLSK VDKLLRSKSGASVASLTALQDRLQSLRSVVQPDGRFEGPGALGEILTCSTEPRMRVAP GQGQFLGISALRWAILSAAGYGGSVEVKEDPVVSSEKLGQKVPDFRF ACHE_10533A MTSNASTAIDVVEVDSQSAPAPTDASLAPLEPPSSHLSSSSRHR QSRKREMDNGDNTQVDGSFGQFSFAPATQTTVVTTTTTTTTTFPPLIIKPPRATRNLD TKLYPLAASPTPNSLRNIKFELGGKSVVFNEPEDTLSAVNETRERNDALKTSNGLLRS VASFTTPNDTGHTRRNAHTRLSSHPSSHPSSLRRRPVSPVSIPEAQPSIPRTRSRVPS EPAARLSRSRAPAVDGLATPETENNSFNAGSAFPRRRIHSAALPRRASQLRSPLSSEV ESQGNLKSFLGKDAARTRFVAPGKSPRLDRGTGLSSDKQLLQDDISARVENEEEEEEE EGAAPTSRLSDNVSVQIPQQRKSLDTNTQRTAADNVAAQDMCLPSPSLSPVAAMNAMN GDSSFDSTEDAGVDTDSSLDNNVPRSSKGADVPRSTASLMDMPNVLDFFDSVPEGFKT YLMYQLLRRCPKPTLRFVANVVNPALKCDFLSMLPLELSLNIVKYFDAPTMCRAAQVS KKWRHIINSDEKTWKGLLDQDGYVLPEGELERAIREGWGWQFPNGDEDFEKDLSTSLP LNSGPGSSASASLQAAGHNDRILVTRQSKRKAATRTSTRKYAKRKISSSGTDRSETPD LRVDIATAEGPYAAASAAAAAVPYPDVGLPSLRSLHLYKSLYQRHHCIHKGWMRPDVR PKHFAFRAHDRHVVTCLQFDTDKILTGSDDTNINVYDTKTGALRNTLEGHEGGVWALE YYGNTLVSGSTDRSVRVWDIERARCTQIFHGHTSTVRCLQIVLPSEVGKRADGTVEMM PKVPLIITGSRDSNLRVWKLPRPGDQLYFQSGPHVDDADCPYFVRALTGHQHSVRAIA AHGDTLVSGSYDCTVRVWRISTGETVHRLQGHTSKVYSVVLDHERNRCISGSMDNLVK VWSLETGSLLYNLEGHTSLVGLLDLKCDRLVSAAADSTLRIWDPETGRCKSMLSAHTG AITCFQHDGQKVISGSDQTLKMWDVQTGEHVRNLLTDLSGVWQVKFNDRRCVAAVQRD SLTYIEVLDFGASRDGEPPEKLGKRIVVNRRGLETGDYAEEDYDMSDG ACHE_10534S MARIKYTGPLSTVQLQRTPVQKQTQAEFQEVSRLKLQECLAVRQ QQSMEMVQIMLHVSVSSLFTLSLHVYEILTSSLLSLVRNVVLSSVCKPSITIRGDRSS LMAINREFLPLGSFDDRDLKQTQREQKYSYEEFINGRTRTESPEIQDLGVGKGKRGQP LKIILRNSDPKADMVLDLLEHGVFDALSKNYLEAIQLTVLVDKDEPQNVLETYTFSFK YTGARGDVNSRLESLSLDPVGCVADMKSAQTARTGLEMIVRRLITLSTFLPALPNKRN LGIHLFYTEDCPPEYEPPGFAKSTNDTFKYPFNENWQRETQSCGTMDSGCHTVGLNVT SLKWTGPDPEGSEALPKIPSQIEYNDKVQRAAEIGLTSEEIPNPMLSRDGTVAETPTP QSSMLTWTLIGSFLEATQETAERRKLQMMIPASSYPDSDLVPTQPIYTVSATDTGGVN MDHNMRLLQKKALQIEDFSRMQISRLARETEDLANGLIKCQCGWDGEEPEMCLLEPDE TQLLHEMHTLVLLRRALKIILDEGFPSKTSIFTQKLHCNGQTVVQITDLLRKHKFLQP TPGSKSKGFLQKGLPKFVVPSAEDTRKRMQHEILHPFAKIYHHYVSQDTGSIPGAKLI TDDQQMSQGPASSMDDGRAYGQGPQSSNAEGNRSPGHKRRHTQMESNGQMERQDVLRP TTSLGNRSDKFGRGMMTSDRIRPQPETPTSRHQSDKNHLRRSSRKRRKISNYTQLIDV GAASSGDESS ACHE_10535S MARDPQSLQLPLRSSNANVDNFDDNQEDLRSEKANNRTSKAQKI LGTAEAPIQQSNSPRDDASSQKRRTRRPSFMKGGGFVPFPTINADDGMAQQNQPQLRV RASSPLLINTQDAAASNPKKTVHQSGSASTLFSYFNSRDSTTATTIGSPTDPKPAPSS ISLSSSKNSAKDSKRKLRPPRIDLSLLFPKPKPEKPADAPMLSPQRMVHSPAPLSALG EFPKSTNSDTRSSGRRHTETQSQTDLPTTAGPPPAAIPRPGSHRSTPANRSSAISTDT RNTEFLEPSLQRTVRTNEMDMALQNYSEQQAASERAQSDAASSIYSRSRDQLHSSRAK SDRSTGRVSSNGSAGGWSKETYLSPKSQPRPARRRQSPPRDQWPAPPSRREIDTSSMS KKSSKSMLANSDLNISSVLCLSSSEDEDDHDEELPSDRTGVSHLMRDSVTTYGEFEPE ICTASAAQATRGPTVRRLDLRQAAAVKHRGPTMLMSPSIHRNGSMSTVRSSYIGRRPP SGIPTISEPDISDHFPAPGGNGRASAASREIKQTNRRSRVIAVTRQEENLLEAMRQRR GKVTPSLFNETRFSQNTIATHRSNPNLNHHNNTDNNSHAIDTDKESMLSAAPSRDSLY SADMSFLRLSASIPSYTTATTATNDRNNNHSRSDQGAAHLDKDSHPFQGAASDAEQKT LNSPRASLVYSESLPSPATSGASPLTPTLPIHRFSPLPGQQHSSPTRSLPTTAQNDQR RHSRRRTDSSEAIVLGEATEGSAKETDEFPIWALGWNHDLAAVH ACHE_10536S MGERMQRQPPGSVSVVRHSSWILLAIQYTAFVLLLHYSRIMPLE DGKRYLTSTAVVLNEVVKLAVSLTMALYEVSTTAPPSMPATSLFFSVVSAVFSGDSWK LALPACLYTLANSLQYIGLSNLSAATFQVTYQLKLVFAAIFSLGLLRKTVPLRNWALL LLLLVGVAFVQLQDGGPKDESALEEETARIAFPRSLEEWREVQAGGNIYKRSATYEGI EEDMLTAFPRLNGAVGLFATLGACAASALAGVYFEKVLRDSAKSTSPWVRNLQLAFYS IFPALFIGVAFLDGEDVAANGFFQGYNWAVWSTVIVQALGGIATSFSIIYANTVARSL ATTASIVLSTVGSVCLFDFEVNGYFLVGTATVLAAIYLYGEPSAGYPWHAQAKMQGGR PPPIRIDSYEKDALGEASPVSAPNEISIKLPTTPFLSDAGLSTSRPTSPGQVRVSSSR NASGSYFDRSSHDA ACHE_10537S MRRKPFKPPYQRRNGDESSSNRPIKRSGIIDQRLIEGAQVSMPF FSQFRMNGDGDGDEYQRRGLGSSLALETYTGVEGSEGNGVGEEDMQLDAFDLQLLAQK DRAMNNGRDIMRGNRPPKQVSRFFPEPDSHITPHRGIASSSSELDVGSSPLTKFHQDR TERKMVAAGPASVTELSSQTEGSPSSHLDVEGMAARAGQSQSLQQTTTASMTPFQNIP MSIRGIVLVSVHELPDNYRSIFPFPVFNAIQSKCFRAIYHQNDNIVLASPTGSGKTVI MELAICRLLGALKDERFKVVYQAPTKSLCSERFRDWSKKFMTLGLQCAELTGDTDQTQ LRSVQSAQIIITTPEKWDSMTRKWKDHAQLMQLVKLFLVDEVHILKETRGATLEAVVS RMKSIRSNVRFVALSATVPNSEDIATWLGKDATNQHMPAHREHFGEEFRPVKLQKFVY GYQSSGNDFAFDKMCSGKCHQHTFLSKADYDLLLHQEFFCCYGERACSTLVYDEPSG ACHE_10538S MMQMLGRAGRPQFDDSAVAVILTRKERVNHYEKLVSGSESLESC LHLNLIDHLNAEIGLGTVTDMESAVRWLAGTFLFVRLRRNPTHYKLKEGASRDDEHGM LRHICEKDIKMLQECGLVMTEQLRSTQFGDAMARYYVRFETMKNLLSLKPKATILQIF SKISEAEEFHGLRLKSGEKSVYKEINRANGIRFPIKVDIALPAHKTSLLIQSELGGVD FPDSHQFQKHKFPFQQDKSFVFTHINRLIRCVIDCQISLEDSVAVRNALELARSFGAR VWDNSPWQMKQLDQIGTVAVRKFAAAGITSIEALESTEAYQIDMTLSRNPPFGSKLLA RVAEFPKLRVSVKMIGKDRKLTSSVKVRFKAEVAFMNEKVPTFFQRRAVYVCFLAETS DGRMIDFRRLNASKLRNSHEILLSADLQSVEEYIVCYAMCDDIAGTMRSAELKPGLPA SLFPASSEERTESESRKEPIISVTRPRGNELPQNGESSNQPIDIDDFLGEMDVEDILE TDQDVEEKDIDWPVVIAESSPQNKPHQQARKNSKPEKTREQVPEIEEEPVRLENGKWA CNHKCKDKRTCKHLCCRDGLDKPPKASKKQNANGNQKKHEPNQLKLSASMAKKGASAG REDKEDKSNTRSAQVQSVKPTLESTFTQVESTDPLAMPSGVKRMQSSSDYGGDDFDDL PSPSALLNGNVSIETDDSIKEKENAREEKQFEKSVFDWDDWIEIDDPVEPLTPKQNET NTLQQIDLTSQSPNTLVLSGEEMDSDSRVLCSFHDVEGYQPSKRTIPFVDENRPPLKR TKTNTQCESFPLYHGQGEIALVERNGTNPGPRTDKPTSSPKDWEDIDPLLLDEFKDIV NFF ACHE_10539S MGKLNYSARKIDGVKAAQVVVRDLKKRITPGSGARNTSRDPILE LDVSDKNLTDTGFAEVIDALLECMKYRDTEHPDGAAKLTELHLSGNQLTIMSLEKLGE VVELSSGDLRELDISRNAIDIRGDDDQGKGIWLEFLKAFAGCYVLKKLDLGMNRLGIA GIELLSRVYTRSDLDFFEEEDEEEIVVEEMATLDVNEKENDRGRSKKSPKGKARQNGT IATSGPSTTKTVSPADLKRYACTRGLRSVPYIILSNISLTTGAAIHLTSMILAHRNPE QLLPYLPPGKMPPFPDTDGRCNGLIWLPNDSLSDLGHKMLDSAETLRQFASEMLPEED QAHREGRLPRDLEGVNLMDFMEQRRQHTKLNVEYTRIIKRARIEALRMEGVHAAELWG AALQMITMARTLLMDGSKRDAEEQSESENEQENVPPALSDPEPVPTQNGGLVSTSASS NRTSISMSRFTLHTPAQTQPVAPSNSVLGSSRTYAPLNYYAIEHERLLPSYPIHTPQT MPGAYPNCDHAFTTSSVGGPWSLDPVSGILYDERGRPANLHSQEYIQTSNYEAYVYSA SVDPEPTPKGPFQPGGATFDVNFPALGNTAANKGPASGPEPIEPPVKEKIPVTPPQPQ RSQQQRHQRQKSRAEHTNRTNEKRTSAQGQEKPRNLFHRLPLRVNCQIIARRAGAEGI LSLAQQERLVKYATDWNSIAAEMRAQGAEEHQQIWKILDSVNCFTYSSLS ACHE_10540S MNMVRAKRQNTAPLEDTSVAPATFNDGLPLPKLIAFDLDYTLWP FWVDTHVSAPVKPRDNNSRCVDKWGESYAFYPAVSSIIHACKTRSIPLALASRTCAPD LARDLLKALHIIPTFTDNPAANKTRTLRALEYFDYVQIFPATKTQHFARIHQASGVAY EDMLFFDDEARNRNVETELGVTFTLVRDGMTREEVDRGVLAWRKRNGIKPGQRKDEE ACHE_10541S MDHRPSQYLKPPSTHPHAHSQHRTPTTSSSPRAPVTAHPTAIIA DTVVFQGTHPIAIGAETVIHPRTRVYSFDGPVIIGEGCIISEKSTIGAAPLSSPVSSP TIGGPSPAGGRDGVPTRISHSVTIAPLATVLPGAHIHSSVTIDSLATIDRRANIGAHS KVCATCHVPAKASIRDWTVVWGSGAGFGQRRKRATKAARNAGSVQGQGQGQVLEGKVI EDARLVVLKKEREALVKLIGASAAGSGRRR ACHE_10542A MATATVELSYLSSHYSIPESTLSTLTQAPTVELVNQLLESITKK AHQFDELNSDKLRLEVELENAVRSTDSKIKVLKNSVEKGHAEVEETRKKLHESENSRS SLESEIATLKSSSTSNESEASSLKSRISSLEASNRDTLSLLESKTAAYDKLAEELSTQ HKKTIELRRELSTAEQNLQSANSSSASARFREQSLQQELELTKKNNEWFETELKTKSA EYLKFRKEKSARISELQRENEEANANIDSMRRSENNLKSRLDEVEQRYEESLSSIQQL KEEAIQAAESFRIELDSANRLAELQGSSAETAKQRVQECQLALEKAKDDAAEEISRLR VEIETEHSDKEAAERRVAELEMTLSQLESEGPVSRRSMSPAPRGLNGGPSTPVRSGTP VGAFSPRTSRTKGGLTLTQMYTEYDKMRTLLAAEQKTSQELRSTLDEMVQDLESSKPE IDELRTDHTRLENAVVEMSNILESAGKERDDATKEARKWQGQVEGLAREGDILRQQLR DLSSQVKVLVLEVAFMKEGEVYDREELEKIARNEIDESTAELSPTGRFISRNLTTFKD LYDLQEQNVTLRRMLRELGDKMEGAEAREQDAVRQQEQEELKELRIRVQTYRDEIANL VAQTKSYVKERDTFRSMLTRRRQTVGDYSSAFSQSLPLGAVPPGGEDQSGPDYAELLR KVQAHFDNFREESATDHSALRQQVNELSRKNSELMSEISRSSSQLAAASQRAELLQSN FNMLKSENSELQKRYGTLFENANRQDLRTQQAAEDLVEAKGLVDSLQRESANLKAEKE LWKSIERRLIEDNETLRNERSRLDGLNANLQTMMNERDHTDSENRRRLQLSVETLESE LQSTKRKLNDEVEESKKAGLRREYEHEQSQKRIDDLVTSLSSVREELVATKTTRDHLQ ARVDELTVEHRSAEERLQVLQSKKPSISAAAPAEVPAAPEPGALDSDLTREQELGIQV AELKRDLDLARGELEHAKEQVEDYKAISQSTEERLQSVTETDEQYREETENHLKEKDE KIRDLEKRIEEISTELSTTNSELSKLRDEQGDASRRLEEQKTHFETEITRLKDENERQ VAAAQFHQEDLKAQAEISQHAQQNYESELVKHAEAAKNLQIVRSEASQLKLEVVEMRT QSETYKKDLSQKEQSWNEIKDRYESEITELQKRREEVLHQNSLLHNQLENITNQISAL QRDRANIPEGEDDQDAAPNLEGLQEVIKFLRREKEIVDVQYHLSTQESKRLRQQLDYT QSQLDETRLKLEQQRRAATDSEHTALSHNKLMETLNELNLFRESSVTLRNQVKQAESS LQEKSARVDELVQQISPLETRVRELENVVETKDGEMSLLQGDRDRWQQRTQNILQKYD RVDPAEMEGLKEQLVALEKERDEAVTARDEAVTVRDTLQEQATSFPEQLKQAEDRITE LRAKLTEQFKARSKELTGRIHAKQAELNTVVEEKETIQAELTTTRNELNELKSKIGET PSVPTTQTAPAAGETAPAEPAAPAPEAGGNVNSTPASQFPTSATPVAVATDDERAKAL EEKIQRLEAALAEKDAIIASKDAEHDAKLKERTEKLKEMYNNKLAEIKAVHKQEVERL TAAAQQQTPQQEPGTPGPKIEQPPSTPSKTEGGIPDLTDAQARELVAKNETIRTIIRN NIRNMMAKEKEKNQGAQQQQQQQPQPSAEPNQEAISAMEKKFSDERDALKKSHDENLE EKVKSAVELADKKSLARISMLDTRFRTANAKIDVVQKAANETPQKPVVEVWEVAKTAR PAPAAQVQGAKPSVAASPGQAAQAAPAAQPAPSPAAAQQQRPPQQQQPQVQQPQQPPQ SQQPQQAASVPAPAPTPAPAPPAEEGQIQEDAQQPQIQQPPADATAPQAGTLPNPFGQ VQNKQQQNLPNKPPQGNAAPGVLRALQSGLPVARGGGRGGGRGGSQQQHAQQNEQQQQ QPPQGQGQRGTGIPRNRGGRGGGAGRGGNQNVQNANAAQGGQANRGNLNAGARQFVPQ GNKRAREDGADAGHEGGKRMRGGGHGNRGS ACHE_10543S MSHLQQQLKSFNAGVMDYAHRMPQQRRFIHNGNSTSTSQAPSSA ASTPTPGIDPKRKRHDADIVYSQPANTGTGKDIMTQVFFAIEHMKNKGIPLKFSDIVS YLSLQHRANDEGYIQALRSILQVHEKVEFDPSGANGEGTFAFRPPHNIRSGEQLLQKL QAQTTGAGMSVRELREGWPQVEETINKLEKEGKLLVTRNKKDDHAKMVWANDPSLIVH FDDEFRQIWEKIRIPDQQTVKEELEKAGITPTSKNKVVKARPKVEHKKVKKPRRSGKT TNTHMMGILRDYSHLKR ACHE_10544A MPSSPSDSVLQFDPIEDTVEAFKRGEFIIALDSQDRENEGDLII AAESVTDAQMAFLVRFTSGLICAPITPEIADRLSLPQMVTHNADPKGTAYTISIDSSD PSVTTGISAQDRSLACRTLASPTARFEDFRRPGHIIPLRARPGGVRERTGHTEAAVDL CRLAGKSPVGVIAELVEDGEMTEGVAEMRGSNGMMRRDGCLRFGKKWGIKVCTIEDLV EYIERTEGKLAASINGKH ACHE_10545A MDTLPVEILFEIIDYLTPREQVLLQFVSKSLLALARDNILWKLH CYEKSWANYETTRPRPAETASLVGNPTASLSSLGQESLRSLIQPRSTALNETGPDGRN GHSSSLVERSRAATAWDPSYEKEEIDWYSEYRDRHGPISFSWLQQPYTRSRNNKKEAP EVKGIGLLRGRNSAGHHKVVAPLEDGSVCIWDLNHSYSAAPQSTKGRVLGVSAPGILT ASNSASEFLNLGECVSVDSVRRRAYMAVGNVLNEVDLETLQVVTQQRYPCPVFALSQE TDYSAPLTLATWLNLYIHDPRLSAPGSVEPDVTYALLSQPNPLSILHPPSLHEHAILV AGRFPAILHYDRRFFPHLQNIVHSGGSLCGLASAPSPQFSVCADSNGSDVQKIVACGE YKGRGSLELYNLTPSETSSGLSSAAYQNRQSAARSKLLSVASHGVRLVYSDADGNVKW VERDGRSEIRHWNINNHLSDMKANQRTANSGAAEEPDEDDAETGGLFTSSRGNNEVVQ KVMPTGGSLMDDELLIWTGERIGRLQFSGIPEPDLNQGDEEEDIPIDEELDSTTRERL RDQRREQLRRENEYARTMRRALERQADEVRRMGGFGL ACHE_10546A MMQHSQLAPLPSDLPFRIVSKTIGQGAYACIKKACPSNADNPVI AVKFIHKEYAARHGRISPRQLQMEATVHKHIVGHNNIISFYATGEDDVWRWIAMELAE GGDLFDKIEADEGVGEDIAHVYFTQLISAVGYMHSKGVGHRDIKPENMLLTVDGNLKI ADFGLATLFEYKGATKLSTTFCGSPPYIAPEVIACSSRGGQRGAGYRPDVVDIWSCGI VLFVLLAGNTPWDSPTETSAEYDEYVRTNARTSDELWKELPPATLSLMRGMLNIDPAS RFLLEDVRRHPWYTRENRYLTPDGKLGDPINLATSMFESLHIDLTRPVSRVANGATPR PDRMDVDTDDMDHRLPSTQPEMVRADMLVDWDMPHLADTFSSTQPSGRPLIVDDAMLA DNLEEEPSMSQFSPHPSVPLSRTQKAQRFGDIIPLRTMTRFFSIWELKLLVPLICEAL HRLGVPVPAIPAVSQGDTGAMIRVVTNDPRKCVLQGKILIECVAEGLFEIEFMKVKGD PLEWRRFFKKVVILCKDAIYTPES ACHE_10547S MDYTMEDSQNSAPDAHETTKLGSSSTQRNDTQSVTKRLQAELMQ LMLSPSPGISAFPDADGNLLSWTATITGPTETPYEGLTLKLSFSFPSNYPYAPPTVLY KTPIYHPNVDFSGRICLDILKDKWSAVYNVQSVLLSLQSLLGEPNNASPLNAQAAELW DTDKEEYKRLVLARHRDAEDIE ACHE_10548A MADHATKQKQEGTMNSQIHTEPVTQPEPQPQQHIQPVDEKAHGE EVGYTKDGTTWEQNAGKIPSQSDEDGEVISKPWYHRWLRHWKHVAYAVIWLLFTGWWI ASLILHRHDKNWVIPFLLYLAITLRIIFFYVPVSIITRPAFYVWKRTARPLVRVIPEK LRTPAAALLTIAVILIGSFASPESADNTRDNRAVSLFGLVVCIFSLWITSRDRSRINW HTVIVGMLVQFIIALFVLRTTAGYDIFNFISTLARELLEFSTQGTDFLTTNGFAADHS GWFIPGVISAIIFFVSFVQLLYYFHIIQWFIVKFAAFFFWSMRVSGAEAVVAAASPFI GQGESAMLIKPFVAHLTMAEIHQVMCSGFATIAGSLLVSFIGMGISSQALISSCVMSI PASLAASKLRWPETEGETITAGRVVIPEDPEEERPANALHAFANGAWMGIKIAGLMSA TLLCIISLIGLIDGLLTWWGRYININEPTLTLELIVGYICYPIAFLLGVSRDGDDILK VGQLIGLKLISNEFVAYEHLQKYEEYSHLSARSRVIATYALCGFANIGSLGNQIGVLA QIAPSRAGDVSRVAISAMLTGAVSTFTSAAIAGLLITDEQQYISS ACHE_10549S MDADWELEEGIPQVEDPFIQKYLNGRSSLIAQEQKQRHDANLRK SLSPIADRACRIVSKIRARERRQVWSKELNDPRDDNETAHQADEILYPGVMFHLARNR MEKTKLWKIVQCMPKGSLLHAHLDAMFDIDFLIDQAFSTPGIHLWAPQPLRSQKDFDE APFYFRYVSSPTKAGEEPVWSEKYKPSSLIDIHAAAASFPNGGEAGFRTWLRSRCVLT PGHSFYHYHGVDAIWAIFRRTFPVINSIVQYEPIFRACLRRLLGQLAADGIRYVDFRA AFVFEYRREGSETPEPDYIEWCRVFKDEIGKFQSTEEGKNFYGARIIWTTLRTLSNKM ITDSMKQCIETKQTFPDLICGFDVVGQEDQGRTLVDLVPILFWFRKQCVEEEVELPFF FHAGECLGDGDSTDNNLFDAVLLGTRRIGHGFSLFKHPLLVDLIKEKRILVECCPISN EILRLTPTIQTHPLPALLSRGVSVALCNDDPAILGHGRNGLTHDFWQALQGLDNMGLT GLATMVENSIRWSCYEDQTTPEWLADIRDGMLGDGTKAARLQEWYRDFEKFCEWIVLE FAEEDIDD ACHE_10550S MASFLPSFFQKRLLRYALSRLELVDTEALDLDSLGIRWGQRSTV ELRDIGLRLEKLASFLHLPPSSELLSARVRFLKITVPADIYSSGIVCEASGIEVHLRI LSEETKPSYHDRKLSTTAQDATDDQILPTPTDLAQSFLETEPKEEKDELQAAISSRSQ VLQQRSSTSLSDDEEELGLGNESLSLPSFVAAFLKGIADRLQVRVNDIAIRVDMELKQ DGPAKRQPEEEPDLVTAVLSVREVKVDGVSMTSSDDASHARTEGKRAILVSDINMILV SDPVIFSNYSRFAASLTPDEMTQSKSSQLPSRAPSPPLSESSGNSNLAMTRSTIFETR NESQEEEPEQPGTPRPTAPQMEASTYTYDGRFSDADSEGDGKGDRYLQDLQNLADDGI LDNPDYLDSVINTQYDDDDIEGASRPWPRGEQRYTDSETTSCPPSPQLHFSESMPRES DLGEPGTAAGMSASHEWAPDAHGSVHDSQAGLGITDSAVHRTGFSELPHLSDSSLTDG YPEEQPPSHTSSPPSEAGSTGSHPSSSNGDLSESKFFSHEEAQSMYMSAISQGSGSRS FMPAMPGAWDSESTVFRPNPVREAASREEQEESVSTPKLSGQPGPFTQAQEQINLEAR SETTEKGLGQSTPELTKVTGVAKRFFSADQVLIWIPSVEKSTEGGEDTPAESRRDTYE DLEESTADLRESRVEDDLLTSRIYDSTRHRPGTSRRPGEPCPDLHDEHKTIAVEVFSV DLQFDIATGWLLTKMGQKVVQAFASSEKQPQPPKKGHQSEQPQGRQAINLSLKKLSIK FVEHLHGHAYPADGTGLNSSQSFGPSIEDIVLQAAASGLQARFAMEKDTTNLHLDISK FTLGFASDSLISFDESLKMRESTRDIMAPTHGEISLFLSKSPDSARINLTTLPLLVNF NIQRLEEVFGFLGGLSTILELGSSISSVSTVKGTKQEPPPPKRPRGVHFETAPPPASV EPDHSTPWKVNARVGGIVLDVVGESHCLKLRSTALKMVSRSEGIGVQVDKAKLSGPFL IDGSQDAPAKVSLTNIRVEYLFTPKEVDLDRLLALITPSKDKYDEDDDIMLDTLFRQR RQGSVLRVTVAEMKTVVSQIKDLNSISQLGNELGRFSSMAKYFPEDDRPGLFILALVK EVESQVHVGGQVGDISAHLRNAEAAYISIPSLISAQIGTMGIIRNGEEELLGEALPLS RGSQDHTLLPVLMARFIADEMEPAVKIKLHNLRAEYTIPSVMAFLGFSEDMTRGDVAS NMANSLANLAEAQESHHAFNKSPIGPDSPKGPVKPTRLALDLRDCVIGLNPRNTPARG LVVLTNAKFSGTIHGPESSDATLDLRKASIMVIDDVQNVGYTDNVSRARSAVPQSTQV RSLIDMGFVPVSSISSATATVKLLTSGDDGTKSIDVELRDDLLILETCADSTQTLISI ANGLQPPIPQTEAVKYRTEVMPIQDMLASFSGDAFETDTITTRPEGVSDPVPIGEDHG RGEIEDELEYVSDFYPVKSGSDTDEFAGMTDSPTAASDSEDLLDSFHSQYEVSSSFSE LDFQSDHFAKKSAVGGTAHRWDSTQNTYGLSNDSKLQRSPLRVKVRDAHVIWNLFDGY DWQRTRDTISKAVKDVEKKASERRARTGGSRASPALEEEEESVIGDCLFNSIYIGIPA NKDPRDIRGDINRNIDDLVSETGSYATTTTMTGATARQSRSPSIRGKKLRLSRSKYHK MTFELKGICADFVVFPPDSEETQSSLDVRVNDLTIFDHVPTSTWKKFATYMHEAGEKE SGTSMIHLEILTVKPVPELSASEIVLKATILPLRLHVDQDALDFLCRFFEFRDESAVS TPPAPGEVPFLQRAEVNSVPVKLDFKPKRVDYAGLRSGRTTEFMNFFVLDGADMVLRH VIIYGISGFDKLGQTLNDIWMPDVKRNQLPGVLAGLAPIRSLVNVGGGVKDLVVVPMR EYQKDGRIVRSIQRGAISFAKTTSNELVKLGAKLAIGTQTVLQGAEDLLSTQNAAAQQ PIPSAAAGLTEEDILDEEEAKKISLYADQPVGVVQGLRGAFTGLERDLLLARDAIVAV PGEVVESGSAKAAARAVWKRAPTVVLRPAIGVSKAVGQTLLGAGNTLDPANRRRMEDK YKRH ACHE_10551A MNLVPRELDKLIIAQTGLLAQHRLARGVKLNVTEATALLSHVLH ELIRDGTRTVAQLMSLGKHILGRRHVLPSVVTQLQVLQVEGTFTTGTHLVTVDQPISS ADGNIELALYGSFISPPSESIFPVYEDADYDPHLAPGAVVPANVDKIELNPGRKRTRV RVTNKGDRPIQVGSHFHFIETNPQLEFDRIKAYGYHLDIPSGTSARFEPGETKTVTLT QISGLQTIKGGSSVATGTIDMSHVNAVLRRLEEEGFRHVPEDPPSDPSVIRPYTMDRM SYAMMYGPTVGDKIRLGTTDLWVRVEKDYTAHGDECTFGGGKTLRDGIGQAAGRADDE CADLIIVNALVIDWTGIYKADIGVKNGMIVAIGKAGNPDTMDGVNSNLIVGSNTDIVA AEGKIVTAGGIDTHVHFICPQQADESLAAGITTMFGGGTGASTATVAANCTPSKTYIR QMMQALDHLPVNYGVIGKGSDTGKPGLQDQCNAGVAGLKLHEDWGCTPSAIDTCIGVC EEYDIQCQIHTDSLNESGFVERTAAAFKGRTVHAYHIEGAGGGHAPDMITLVQHTNIL PSSTNPTKPYTCNTVDEHLDMVMSCHHLSKNIPEDIAFADSRIRAETIEAEDMLHDTG AISMMSSDSQAMGRCGEVILRTWNTAHKNKQQRGPLPEDDDTGADNHRIKRYVSKYTI NPALAQGIGHMVGSVEVGKMADLVVWEPANFGTKPFLVLKKGFIASAQMGDPNASIST VQPIITRKMFSPLNPSSSVLFVSRASVESGVIDSYNLRKQIESVKNCRTVTKHDMKFN NATPHMEVDPELFTVVADGKQCKAGEVTSVPLGQQCFLF ACHE_10552S MTTSLPRRRTVGGGEIDIEAFQRSSSIATLSSTYPLRLISHPVR TSSQAAIIFMSSYGGGLVAGDHVDMHVRVRPKARLALLTQGSTKIYKTPSKDFCTEQN LTATIDEGAALLLLPDPIQPFKASSYKQSQTFHVHPQHSSVAFLDWLSAGRAARGEAW EFFQWRGRNEVWCTLPANAAHAAPKRLLLRDNVILDGMSPLEHSYRDEMDDLGIFGTL VLRGPVFHPLALFFLKEFTSLPRVRGVSNTTPSIMKAETTPAASPRLTWTAASIRGCV IIKFGAKDVDDAKQWLRDMLEREGTVEKEFGRHSLLCLQ ACHE_10553S MEVRPSNPHPLGLRYSVVDFDNYPGSLQFQLHQPKDSLGAGTAY NAAMEESKPDCSGLLSVHQYRQSLWQDDESCSSDGYGAKALQRSNGASNPNQASSVSA TSSPPPLSPSYSLSIASQRSEQELEVPRASSPQMAHSEFPIRRKVSNRLNTFRDRLRK PSLTRESSFRSSHTKTRSDSGLMDLKQAKATMVHQGTSFEILNPHESLNFARIVSYIE DVDMDDFSRASSDYKRESFLSASTINTKVLKSEKEIALSVEVPDNGGSETNGDHTGNE EAQVHSDLVGEPPHTPMPSISERLESNEEGASNHGLRSETPESSNLGEPGPCEEDGVV IIEPEQVSNTPKQDTPIAPKQAPSNVPKQTPSNKPEPKPPIQKRFYPEAWSLSMYDDG PASGLRAQAQAQPRPIIHHQISALTEKPKRNGAFSRIRAVIRLPFFRRKRDSLGRW ACHE_10554S MTESSPTALDIITTQSLHNTLPHKTILITGCSSGLGTETARALA KTGATLFLGVRDMLKGRRTLSDILDGSRVRLLRLDLSSFASIRHAVHEFLKHASYLHV LICNGAVMATPHEQKTEDGFEMQFGTNHLGHFLLFQLLLPVMLRSVDKEAGEAARVIG VTSSAHRRLGIQFHDLNLESAHLDPTEVPRLAYAQSKTANIYMMNEITRRFGSRGVQG LSVHPGNVFTGLQKYIDEAVLEEWRQPEMKQHLKSVEQGAATTVLAAVGREYEGVGGL YLEDCRIGGLVEEGYRSFDPGFEEHAFDEEAEGRLWEVSLGLVGLEGDQA ACHE_10555A MFKDFTFSPRPRVAFDGDDRLMVDSDSSLVSPLSSRCPSPGPFT SQPQGQRFPRSVRPSLLRSRQPSLPPTSVPADHQHGGLSIETLTKKLHEHTLQQQQQQ QQQPQPDTRNNAQEECISPHSLPELVPGSGLPGYFLTPPDTDVDHDDDSSLHGDGDNE STLTSPTVSHIQTPFLSPTSVPPEFLHTDSNNTHEAINVRAQRQQISQIQCSAADVEA IRRALLCCAEEDSPMDTFGEYDCHPSSLPPRRSPRRQRAVTMNRSRSRAVSGSGGGVS GSGAGDSSEGYRGRRKSSSGALLPSFSSRIDKSHYPPSSSREMRKKSEQGLRRKSLVS AALASMVENCL ACHE_10556S MASLLKKPLKLALVQLASGADKTVNLSNARTKVLEAAKAGASLI VLPECFNSPYGTQYFPKYAETLLPSPPTKEQSPSFHSLSSIAAEAKAYLVGGSIPELD AITKKYYNTSLVFSPSGSLIGTHRKTHLFDIDIPGKITFKESEVLSPGNQLTVIDLPE YGKIGLSICYDIRFPEAAMIAARKGAFLLVYPGAFNLTTGPLHWSLLARARAVDNQVY VAMCSPARDMSSTYHAYGHSLVANPSAQVLSEAEEGEEIVYAELDNETLEGTRKGIPI YTQRRFDLYPDVSGEGQA ACHE_10557A MFDNANPGLSRKRVFRFDNYTVPQLDQIMDLKMSQQGLACIPEA RKVAQDSFERALMRPNFENASEVESCLAGVKLNFENQQFTQFSETDVRNEVLETYDFD KDLDRNKLDYYSLLTGKVQASIVDKFAAHQIHSHTTKQRGLNPRDFVPTSFIFKEYPG REDDDCKAHGQFF ACHE_10558A MKEFTPHNGGVLLIDEAYQLVAPHASVLGTRILDLILKLMEDNI GKMVLIFLSCKDEMEVFFEHNPGLTSRIPWVLDFADSTKVELWTILKPSIEKQYHRKM MIEGGYGGLPMKIAIKRLAEGPGDRAFGNARAAHSLLARIARRQSQQFVQVKKDSPST DQTI ACHE_10559A MAYQGLESVKQQFLSITSHIDVCKKQGRDPKLDRYSIVFQGNLP TGKTTIGRLYAKFLYSIGVLGSCHVIETSGVKLASEDPK ACHE_10560A MSMTMPPDHVRTTLDDLYNSVVGGGNSTSRYNYSATAANTNADD ARMDDYSDSEDD ACHE_10561S MTLQAIIAQGNFPSLSDTEAVNLFGSAFRSELERLRNAEPTVES GTEKPAGSLKNGETPSRRLFQTDYAEVNRTLVNILALKWILAEDYASFTACQRDPGKL SEDSFRRLCEFFKSYKDIYTLLVAVVTDDLGKDPQLANELEKTRNGPTTTVKMVNHSE FLYEAAKAGMIPALESVPVSGRETILRSMEIEVYLNISQLVQGENVPASLSILRNIQD GKNGFHMRAMVTILDVAGAAAHSNARGCLVMTESVYQGYMTAIEALEKLVLREIPSER ACYDQVLSKRARNLHLKGYDLLSTNNAEERALLRIFCMGRVDNKQSANLFNKAFAKLS TTENSSLVNALNVDGLEDGIAILPYYAPGLIAEVLRGAQKKEEPAIIEALSAFMRFLA RVMEYESETGDPRVIERDLSFVQDVIKSNGFKNDPYVLDNVQLPWSQ ACHE_10562A MAWFPSERSKPDGWKFDIVSLVAVIGESTIERHTQLITASRLSW IPRLIPAPQTLLKTKRPERLPPVKDVEIFGVHSGTKVTELNFFADVIHKIQDLEQYEF RRYEITKRPDNTLCRNATQSLVMAGVAMAEQGQIYKKDKKDKKDKTPNEIIIDNPFQP FSTLTIVTVASILMTIGLFIWAALIHDGVAMIAIATMSASTSIACWANTWHPTLSSRP TSNVVPPGDIVIKTRTGAFVVVHCDEEVTRELYTCADTCRYMFEDGALQVMLGISTVL LMASIIFFTNCGWTMQTAIGMAYIILNMMYWVIPFVMGDEKTWDMSLYQKKYNAKFDR TLDTNASYTQTLWYAIKETRSVEWVKRGGAAPNTGFWEEWLKLAQENVDKGNFGWDAV GVKNELMNKATQATRKQSRRSLSMCL ACHE_10563A MHDTTTIDGKHAKDPKGWHGTFAFKADNQVQRQFHVASHGYTNG KENFTLNEATHTPEKADGTPRGGKRSGKVVWPADDLLEEYVDSPIAYSHLPERN ACHE_10564A MANNGGAPPVGQENINTDIITLTRFFTEQQAKAPEATGDFTLLC HALQFAFKSIAYYIRRASLINLTGLAGSSNTTGDDQKKLDVIGNDIFVSAMRSSGKCR ILVSEEEEQEIIFDEHPNARYAVVCDPIDGSSNLDAGVSVGTIFGIYKLPDEVLGPNK KVTAKDILRPGTEMISAGFTMYGASAQLVITMRDGDVNGFTMENSLGEFILTHPSMKM PPKKAIYSVNEGNSMYWAPWVNEYFHSLKFPGEGKKPYSARYIGSMVADAYRTLLYGG LFAYPADSKSTKGKLRILYECAPMAMLFENAGGLAINSKAERLLEAVPEHIHDRSGVY LGSKDEVQKVIDMYKKHHG ACHE_10565S YKRIRKTILVFWLRKITEPLHDQSLPKINVVVLIDCQSKVLSLR RHVVFRSNSLANCLQSTYKVDLILLGRTNCIQRACCLLTSNYFEVNLE ACHE_10566S MNSWFSSSSNAGEAHHSRSGWSPIPTGTVSNQPPSSRREHAVQP PPLTTALSGHQFQGLGVALGPGYASTPLSTTSLSSPFTQSQSPCVSSPSSAAVAPSPM ASRHYNVPYNPRDWGPVNSSSSANSGQSAYPHSSSSNSLRRSVHRPPQNDPDVLSPPP PPYSPPSHQHQQQSSWDAAHHNSIRETPSGAAPPYPGPSNMNAEPMVNGRQRSVPGPR PLSMVHMGDAGPSSQVSLPPPPPLPQGGPPPRSSSQNRHDFYHGMSSGPSIMVSQESP QFPTTVDHRHAATGSQLDPVAPRPPTSRRAVSAGPVVGSANSSKAGSQSPSRSPPPPP RAWEPGMPLPPPPPGPPPTSRSRSVCGSPEASSSRNNMSVPIKTRVRAPPSLGTGLDS IPPTPAGWVDDGIQHDKGKNLSTEANGASPLSYTAANSDNSVHPSTSRGNLLRSPALR DPSAKGIRERRIERRNRQSQVFDDHNALSPNNACGDGVDQVKPSNLVLADPNGDSRDS RAPSSAKYTPRSAQSVESDTPQSTSRSRASSIGLFSSRSSFSTPRAEPSPAAPTRGYT QTPPFSPDGDHPSPYPKSMPQPLPPKALPTPPLQSAREGKMSSRPSSREERPVSHVRH SSNGSMSSDSPILRHRSSISKESSPDHIKRDTDGFFQNSIQRHKDFIDKEASAADEAE ALRLFADFVIAESRVRRHRYSQAWDSGSFDHEEVRRKLFETPPVQPPPAPEPINAAPA VLPVRRPSIGPKLDMSHVSRLEPSWGNSYKPSLSPIASLSISNDEMSSRGRAPSRWWE SRTGSSSEGDGRKVHRSKQESKYMGLSPGSLQENHHQPPSENEVPGYSLDSSMGQYAA YGPNEYPPEKVGWHEELAPPPDPYMASSSYAGLPKMDVSRLITLPPPYPRHYPAVNNN HPDLVGYRTLVRSISDLSEIKAMRQRHDAEVDRLSQDHKERTRECRRMFKANTQTQIQ QGSITFSEAAEAEAALVLEENKLDRALAKQILDLYQASVLEPTHGILTDRISRATTCI DELSSKLFDDAQHGTPDQAQEEGDEKPELLEKLTQLKWLFETREVLHREMYDLVCARN EKYRTVVVLPYRQKANDEKVRETNAFFDQDALDRRAQYESNTLSRLESFLDVIESNVV RGVEVQLSAFWDIAPSLLTLIDEIPPDLNGFEVQIPANEYEENPSYHRHPLQYLYSLL SHAEKSSYQYIESQTNLLCLLHEVKSAVMRANCQLIEAERTRQGEPGDEVQREIMDTR ASEERALTADLKDKVSTVEVQWTEAMGSQIQELRERVRMQLEAEDGWEEMEALEQT ACHE_10567S MVLHNPNNWHWVNKDASSWARQYLENNLVGIAAEDNGIAANISK VLTMDGDVDVSQRKGKVITLFDVKVQLEYEGKTKDDELVSGSITIPEVAHDTEEDEYV FEIDIHSESPSKQPVKDLVRSKIIPQLRQSLVQLCPRLIEEHGKDLQHAPGVNPSSGF KPATSYPQVKKEAPASTTTTTTSSNTKVAVNTTTVTASDEFRTTAEELYSTFTDPQRI AAFTRAPPRQFEGSNVGAKFSIFDGNVVGEYSKLEPHKLIEQKWRLAQWPEGHFSTLE INFDQNDMDGVTQMRVTWTGVPVGQEDVTKQNWEVYYVRSIKQTFGFGTIL ACHE_10568A MVKQVAGSKALLLGSGFVTKPTVEVLSKAGVQVTVACRTLESAQ KLCEGFENTTAISLDVSDDNALDQALSQHDVAISLIPYTFHALVIKSAIRTKKHVVTT SYVSPAMMELDEECKKAGITVMNEIGLDPGIDHLYAVKTISEVHAEGGKINSFLSYCG GLPAPENSDNPLGYKFSWSSRGVLLALRNAAKFYQDGQAVSVDGPELMATAKPYFIYP GFAFLCYPNRDSTPFRERYEIPEAQTVIRGTLRYQGFPEMIKCLVDIGFLSDEGADFL NAPIAWKEATRQILGATSSGEKDLTWAISSKTAFANNEERDRILGGLRWIGLFSDEQI TPRGNPLDTLCATLERKMQYEPHERDMVMLQHKFGIEHKNGEKETRTSTLCEFGIPGG YSAMARTVGIPAAVAVKQVLNGTISKTGVLAPSDMEICGPLLKALKDDYGIEMIEKTI ACHE_10569A MATSMHLSRLRKWFLASPPIEYAISHLRELLVGALKQGPVPRHV AFVMDGNRRFARTHGIETVEGHNLGFEALARILEVCYKSGVQVVTIYAFSIENFKRSK FEVDALMEMAKVKLSQMAQHGDLLDSYGAKVRVLGRLDLLKPDVLEAVNRAVDMTSRN GDRVLNICFPYTSRDEITTAVRDTVSEYSRPLKPIQSPSAGPRTPFSESHIALNIQAQ RTEHNSDTESTSGSSEDGNGNAKQDRNRNKVYESGSSFSSSTTLHLGQQDGPNTKTST PLTDGTDTDVPSFVSPETISRQTLTDHMLTKDNPPLDILVRTSGVERLSDFMLWQCHE ETEIVFLNVMWPEFDLWHFIPVLLGWQRRISKSKQNPDAEGDFDGDGSEFAEEDDGFV LRPDNKVKGM ACHE_10570A MAAKQPYIPPLISWLYDLVLWTFSVLIDLFFREVHPRGSWKIPR RGPMIIVAAPHANQFVDSLILMRVMRSEAQRRISWLIAEKSFRRKFIGMLARGIGTVP VARAMDNTKPGQGTIYLPDPMNHPTLIRGIGTNFEAPGYEKDGTIALPTINGTSHNTA IAEIHGPEELVIKKVFKHKDALYQLTGRKDITDDGQFTGDVSDKDLENFKGSKFKFSP HVDQTAVYQAVFSRLNSGGCVGIFPEGGSHDRSDLLPLKAGVAMMALGTLADNPDCGL KIVPCGMNYFHAHKFRSRAVIEFGTPLEVPRELVDQYKRGERREAVGALLDIIYQSLV AVTVTSPDYETLMVIQAARRLYNVKGKKLPLPMVVELNRRLVKGYTHFKDDPRIVHLR KSIAAYNKQLRLLGIRDHQVDYAKFSIIQVVATLIYRLIKLVLLTIGTLPGLLLFTPV FIATKFISIKKSREALAASSVKLQGRDVMATWKLLIALAFAPAVYATYTTIFTYWTYR NRIQGLVPACVPLWLIVPIGMILFPTVTFAALRIGEIGMDIVKSLRPLVLSLNPSSAN TLVRLRHRRAALAQQVTDAINTLGPELFPDFDAARVITDPFREINRTDGKTSDPISLP EIRRTSTTDFNEGSATQEPLPRNESFHNLANIGFFSTRPASRSRSRSHSRSSSIGARP GSSGSQLRPLSQLNTTGDFEEVSSKIRDAMRERGERRRRRSDDGSWDMASTGTSTPSS PDDGSRKNI ACHE_10571S MSINWVMLKDQHGFVHLPNEQLLFKSPARTGFSLQPLGSYKGSD SISFQSSGGHIYLTNQRVVYLPSQSTEEFQSFSVPLLNVRDSHVSAPFFGPNVWQALI QPVSGGGIPPSLPAVQVKATFKEGGAFDFQNQLERIKERLQQAMEFSSESTRGVQEVN LSGVHLDELPAYDGPQDGRSAGHSHEGSISTSHNSHAPPYSGPEPAEPPPGYEEVQQQ SVANELEERLRRAG ACHE_10572S MGITDFFSDMISSLGLPEAQAEAPAENVEQSNDQEAEPEEKSAE STEEPAEEPAEEPAEEEPEQEEEEEEEEEEEEEEEEPEDIKPQLEEECANSSQCAPYK HHYDECVERVTRQQEDEDYNGPAEDCVEEFFHLTHCATQCAAPKLFKALK ACHE_10573S MVTNKDVIIARKQGNMHMAPNRKENTHGAEKISQVVSLSSIRKG ISFYYILSIVPTLLLDLSSDLVSFCTLICRSRLCCRLFQPSLFSRLSHYLGIHSFLAQ HYPYYNPPRNELLLLFQTYAMMSMSV ACHE_10574S MNGNDVRSSLSSYGDARHMSAASLDAVVPPQPPAKILLDGYQDA LNPQHEEKSRYNPLNQTHPRSSALLNANDPVTMYMLTETAMGDSKNYEVLSMEEVEDL KKEHKFLSGRIGSTKRKLALEVKVRDAAKSLSKLYNPKSPRTSEDFNNGSPKSNRSRR SLFRRSGDAEPLDKSDEELAVSTRKCEALAQELWKVESRSQHIQQRLLEHTAGVLQMT HKGLKKNAKSNGALSPELNGNGHARDSIDDFDDRSLYQTSNYLDEFNGYGREDHANGA GSMSVGLGAIQDTERKLEELSTQMRNTILRANPDYYLDPIPQPDHNGGPVNPTATVEA YVSYLANGLGILGTQPSGNASHAPGTGDESESGDYVREINGRVYHIVAESGFSRGPTL PPPPQSGGLQENLSYLTTGVDSLQSRLEGLLEQKNILTTQIQQQRELNSKSDAERDAY IADLVEQLAHARKEHELSEREGQASRDELDLVHQQLEALRRGASHHQANSISRGDVDE GALASERESREHAEAEVARLGVVLAQLEREIHSHAEVREARKRAETEVARLEAELEQL RSEFHSRAEELNSSRSQTDGEVERLQGVIEKLRQEADARVEEVTETRERAEQQVSQME EEMQQIRNESDARIKEATDSHAQAQSEVARLEAVIAQSHNDVDPQVKEATEARAKAEQ QVIELEAIIQQIRNETDGQVREATDAHAQAQSEVARLEAAIEQLRNETDPRVKEATEA REEAEQNSERLQKEFTELETLYVRAQTELTMARAELDSAYGSKSQRAAANPGLQKEFE QLHTRNLELAQELAAIKAKKPGDGNLQRRVESLEKELRETIDDYESMTKASIEFEKER EGFEGVIDRLRDRCEQLETQINEERINWMGLSSPSSMGRDGTSETTSTMVLKNEFKKM MRDTRTENIKILKAEQEERRRLENLIRTLKKEQQQLAGKT ACHE_10575S MEQDIIAESSNHTHFGRFRDSHRLSRSSESAQSEYGSIYNALKA SSSGTEDNNGRQYASSQHHYPFQSSDLGGNIAMFLSTSPKPTVVEHPTTPRRTRSRWR RSHTLPDNWYPSKAKTIDPIAELFKDAKAKANNLCRLGHRRAASLSDADDRSRTPRWA ANLFSPVRPFLPRYPPPARSPTPPGVPSFGSPEAINYSRQFSVRSYAPSPPPERTPSR RATEYAHTLRRLFGIASPVDPQPNRRQTYTLARAEDGTTVQGRFPYRASGHGVNLYRQ LDDHPFHRAVYPVPELEVSHLDDRFNAGIQPLRREHQDVAKSRYSTPAVPHRVHSSQV SRSRHAHPPLRSSSQRHRPSVTFLASPANTSYYSCMSQPRTGVTVPAVDGEIGPSRTG RANSPPRGMLYQQQPQVQATRPMSILTASTTRDTQGTMSFWTRYELLSHYLPCCCLAP TGDDDEDNNEDGATIGDDSRTSRISRTSRTSGETFMTARSWADDNQERPQYRRSPPSR PPPNTFAGWNPVFKERCPRPIRSPLVADPMLA ACHE_10576S MVRINILSVMALATGALATSNCQTKDNACRTAPDANMAQCAADN AACCSTAYDECRGAPNANMASCAAEHASCTGSLQRRDDTCQTNYNSCRTAPDANMSSC VAEHASCCSTAYDECRGAPDANMSSCAAEHEACKNQA ACHE_10577A MAEESQSPPSKPAQVMDKESAIPPGSYLLSSSPDGAPPQFITPS TRAFKLDEGYSDEAKSPSYQEINPFANDAMSLPDWVLAQSEQDRAEFAYRVLRSLRIS TVAQIVDRLDPLLHIDPVAKLPPEITSEIFSYLDPQTLLTASLASRPWRSRVFDSRLW RELYISEGWRVDVDAIRNSEQEQSESSSPRDRKSRIRCADSDVGEPKLKKRVPPSWLD SRAESSTATEADNDGDHLMSDDASLVQNAERITIPRPLTPYSPFKSSVWIQLPNGTVK VNWPHLYKQRRRLEDNWNMARYKNFQLPHPSHPEESHLECVYAIQFVGKWLVSGSRDR TVRVWDLETKRLRYPPLVGHEKSVLCLQFDPSQSEDVIISGSSDMHIIVWRFSTGEMI HQIPSAHFDSVLNLRFDERYLVTCSKDKLIKIWNRRELTAADKDYPKFHKGPGVTYPD YIVDTSEYTPEDLEADIANGRIQTLPPYSLLMTLDGHQAAVNAIQMHGDEIVSASGDR LIKIWDLKSGACKKTLIGHEKGIACVQIDSRRIISGSNDDTVRIYDHVSGAEVACLHG HQNLVRTVQAGFGDPPGAEEALRLEALAVDNEFRDAQRSGAAVDLGPRAFRRAGYHQN LAGSRKPQDIRAIGAKIPPGGGGSKWGRIVSGSYDETVIIWKKDREGNWVVSQRLRQA EAAARASQAHQSYGARNMISRPAIHHQPTQVVQVPRPHPLVAQFNPGQATRIPQNNQT NPQPQDNQLANDQLETQGNRAPPQPGQGTVVVNGHQPAARLNVAIPPTAHQAHQARLA RTVNMQPTSRVFKLQFDSRKIICASQDPRIVGWDFACDDEELIEACQFFTSL ACHE_10578S MIESISAGAPIAEDNIINRRGGESIYQSCVNLKKRLAEVPNFEQ HMQEMEEEDKAQGNTDPVASLWNCLRNGYPLLTIYNASVPEEYLEVDPAKVPEAKRPK AATFKFLQASLQELAFPQQECFLITDLYGDSTTGFIKVIRMVNRVLDILEMQGQLKRP SEVSSAPEQGGTGVKLTKRQHILKELLETERDYVHHLQNLQALKKELEETGALTGDAS HQIFLNLNNLLDFAQRFLIRMEQHYALPDDEQNWGELFTQHKEALQQYEPFIANQMRC DEICLKEWDKIHNAPRPLDLQQMVAQPSTLNGFFVKPFQRLTKYPLMLTELRKQTENP DLQADITRAIDEIQIVLDAANDAIDKEHLATAVVELAERVDDWKSLKVDSFGELLRFG TFTVVKGDTGKDSEREYHIYLFERILLCCKDINPNKQKKLIPGKEKVPSTVRGKPRLQ LKGRIYMANVTDIVCMRKPGAYRIQIFWKGDPGVVDNFSIRYQNEDMLNKWHKDIDNQ RLVQGEQRSARSTGTSETEFMYMKSVSNLPNPYQQEYDAEEKEAGFFSEFPMSRNASS TSLRTRSATGGSGGSGRPTRYPIPDANLSVHTQMSGSSMSMSPGERNMSSYFSPTAET PSTRSSSQSAGFPYGRQPSNNWNEEPNRYTAPAMSRATSRDGSHMNGYFNAPTTNGRG TQRPSLPPMSQHAANGLASQRMRSASSPDIHNHPESRRYIHTMQTVDNVPVPPIPAHM ANMKAPVNRSQTNSPTGQSLPVRNANHTPATHFHEPQYSESRGNPAASDQPTSPLSQE PGSPLMPTQLKAKVNFDDNYVTLVIASNIMFRSLTDRVDAKLARFTDRSIGNKTVRLR YRDEDGDFVTIDSDEAVQLAFQEWREQHRDMLSKGQVGEIQLYCQVIDN ACHE_10579S MSFSGRRLSILSPSNRRFSAGKDLSLNELRSETHRQFRAAHEGH RPHAGLDASRASTGVVWCTERATEHGYAEDPSTWANLGQGAPEADDEIEGSFPRPTTI PITSASREYGPTAGIKPLRAAVARMYNEHYRQGKPSQYTWENVCIVPGGRAGLIRIAA ILGNSYLSFPIPDYSAYSEMLTLFKNIAPIPIPLAEEDHYHIHPDKIAEEIARGTSVL LTSNPRNPTGHFVSNEELAKIQDICRDRATLILDEFYGGYNYTTDCDGSTISGAANVV DVDQDDVLLIDGLTKRFRLPGWRIAWVVGPKEFVNALGSAGSYLDGGANVPFQEAAIP MMEPSLVHAEMKALQHHFREKRDYVLKRLYDIGFRVRDVPQATFYIWLDLTSLDPPLP PEANISDGLNFFNALLFEKVIVVPGIFFDLNPAKRRDLFDSPCHHFVRLSYGPKMDVL EKGLNGIERVIRRARGEPVDEEAVADD ACHE_10580S MKPKLSRILHLTAFTFLSIVLLCLILLTPSDAIYQCYVTQRLIN IFFIAGAYIVTFLLAVLIYATRIYTNRSVLTGIPKAWIPVEKEDVGGSVRRLVMEGLA RSAVIAYQARPRDVAVEEQTQTQTQSQQVQAQQEQGLVVNRDRPPWGHIEHPGWSSPE SRDLPDLPYRTVIQELPHLIEAKAVSLAPPDPVFTATSYSPQGGGQGQEHAIPDTRVV EILQRRTSMGVRDYIQRLTAMEVIRPPEVGAEFVVLYERARFSAHELFESEFRELMHV FAEMLRGMKGLGPEKIMKLEADYFDGSGSDERSIMTSSGSLIGPSDEDGETDTMDYSQ DDDGSLRLRHSSSGYGSSREDYHSNYATPRSRMSAAPFHNQAWYERPSSRRMLAPRAP SMQPLRRVRSNISGSSGGSVIRLADRGDIPYTFDTSNV ACHE_10581S MQSLFKKGASLFTPSSTPPICSAATSTDNLPVTSTEDFRTAPSH ERLFRKVDPAIDGEECLHDCASCTVRYPSRFDVDMKDKMYGNIGGWATHLLVATGKTD WVRDVADEKGSLMEAIERGGVAPSNGKLKLSASNMPVPDEYHDHEPGKQPTNVLLLPS FTIIDHVTPALTPDLINYFVNPAITTTTPLKTSKNETSSDSEEDQQETPGIKTLTPLR SRPCPHAAVILLCSQRTRDVRCGQSAPLLRKEFERHLRPLGLYRELDDERPGGVGIYF VSHVGGHKYAANVIVYRRKNFDWYKTSDRDGCDLAQEEDEEDDEERASEGASQGIWLA RVRPEDCENIIRYTVLQGKVVKPGHQLRGGFDREKGLISW ACHE_10582A MAPGTGRDFNCSWEHCGKSFNRKSDLCRHYRIHTNERPYHCTVK DCNKSFIQRSALTVHSRTHTGEKPHVCDHEGCHKAFSDSSSLARHRRIHTGKRPYICQ EPTCERSFCRKTTLTKHQHRSHPSGAMTRPPSEDTSSEHSYQAPVSMSIPNEQYILPQ QQYYPQSVTPSHDFYPPPQQQNLPMTQMVPEPQPAPPPLVTQNVAVSPPLEIQQMQQQ VEQQYLQLMQQRYEANRVVNYVPPAQTYHQPASYAGQQPMTETHPLLMSTYLPSNYEY KPPMRMLDQPEGTDWRFLGVG ACHE_10583S MADQVQQGLQDQLFVLVTGANSGLGFTICCRLADEFLTSRPSHQ SLTIIFTTRSTKKGTDTLNRLQEYLRNSKPGPSQFNRVTFVPENVDLCDLVSVRTLSR RLLKTFPKLDSIVLNAGLGGWTGIDWPRAIFGVLTDLVHEVSWPSYKVAPAGVITERQ IASAGTDEKNEEEPRLGAVFCANVFGHYMLAHNVMPLLKRSGMENPNNGPGRVIWVSS LEATINYFSTDDIQGLRTTTAYESSKALTDILALTSNLPSTAPWVNSFYSSDNPTPDD SKPNMYLSHPGICGTGILPLNLPLFYCMIAAFWLARLLGSPWHTLSTYLGACAPVWLS ISTQSTLDDTEAPYLAHGGGRAKWGSSCDLVGRDQPASTEVDGWGHGGVVGGAVVQAD RERRRKRGAVNLTGEDRERFEELGRECWRQMEELRVTWDQLLDKAGV ACHE_10584S MVTTIIDWQSASIEPAFWYCDEIPDFATGDEIHTKAFNLCSQFH TLALSGQMMDENLFRPFIHSYQTWKDCAVALPHELLETAQRWKHLEFAGGYPFISPLS KELVDHEKKYRLFVAAPRSQAGPVGLASRCN ACHE_10585A MKALILVGGFGTRLRPLTLTLPKPLVEFGNRPMILHQVESLAAA GVTDIVLAVNYRPDVMVSTLKKYEEQYNVRIEFSVESEPLGTAGPLKLAEKILGKDDS PFFVLNSDIICDYPFKELAEFHKRHGDEGTIVVTKVDEPSKYGVVVHKPHHPSRIDRF VEKPVEFVGNRINAGIYLLNPSVLNRIELRPTSIEQETFPAICKDGQLHSFDLEGFWM DVGQPKDFLSGTCLYLSSLAKRNPKLLASNSEPYVFGGNVMVDPTAKIGKNCRIGPNV TIGPNVMVGDGVRLQRCVLLENSKVKDHAWVKSTIVGWNSSVGKWSRLENVTVLGDDV TIADEVYVNGGSILPHKSIKQNIDVPAIIM ACHE_10586A MNTTVFLQKDYGRDSVISWPLGYIFASLSMPTKIILLMGAPTFK SLQWDEDNLLNAPVSPFHGSDSHLQEAWPFSDDHPVKWRLLQEVPALEQAHDTKLEPN CNTMFFTTEGLTDTAGKDSVLSQFYDHSFAVHETSEISLPMSFSQNSSLQESGLWVDS TMTSSASGFSSKQDSSGQPSFIPIQGQVGDLQDIPSASYLRSIVPQTMTVNLIVGIIT IHPPRRVITWQWKREVDIVEMVVGDETRSGFGVTFWVPPESGHTDNNNSNNDGLGRSL AGLRPRDIVLLRMVGLSSFRERVYGQSLRKGVTQIDLLHRQRLDATDAGGIYGLRRLL DTGRDGEDLVVVKARRVREWIQRFVLAPEPAGGDEAGGIHRMKRGQTLPPDTPEIV ACHE_10587S MAFQAPVLRPQSFATATTSGVRPLMSTFLTASPWRKLERISPLP IRQFQNSSLVSRPYSSLTPFGLNRFRQLSKVSPISRIQRRLLFGGPSYNLLAQKEKTA NNNPNSANAQATFYQALLQANMPAIIIERYRSGHFATNAVTDSIYLKATQSMGGDVAA GSLAGQNQNVNTEQLQAIGQAVAARNSHGGQIGLAAKQGGTGAKESPLYVVVEESLGS AVFRWVKFLFWFGFLTYMSLILVAILVETTGVLKNIKGPQNNEAQPQQQNVRFSDVHG CDEAKDDLQELVEFLQNPERFSSLGGKLPKGVLLVGPPGTGKTLLARAVAGEAGVPFF YMSGSEFDEVYVGVGAKRVRELFSQARTKSPAIIFIDELDAIGAKRNERDAAYVKQTL NQLLTELDGFSQTEGVIIIGATNYPQLLDKALTRPGRFDRKVVVDLPDVRGRIDILRH HMKDVQVSTDVDLAVLARGTPGFSGAELENLVNQAAIKASRDHKNKVGPRDFDWAKDK IMMGAEARSRVIQDKDKLSTAYHEAGHALVAYFTQSSMPLYKITIVPRGMALGITHFL PEMDTVSRNYTEYLTDIDVSMGGRAAEELIYGADKVTSGISADIQNATNTAFNLVTRF GYSKKLGNVDLSSNYDRLSSETKQEIEAEVRRLVEEGRVRATTILTEKRHELEVLTKA LIEYETLTKEEMERVLKGEKLDKLESTPSAPLMLPESLQAAPGLSNTQHPPGPGSTTG SGEAADGA ACHE_10588A MRNPFDMSEIDNALEEQQLQPQVDIHAYQTYDGNRSLLQDENRR PDDLKNRFIGAIDQGTTSSRFIIFDCTGVPVAKYQVEFHQIHELPGWHEQDPLEMVDS VFTCIEEAMNTFLALGHSASDIEAIGITSQRETTLCWDWETGEPLHNAIAWPDTRTKN LVRELKERPGAEDLVKLCGLPLSTYPSSVTLVWMLENLPEVKKAYDEGRLAFGTVDTW LLYNLNGGPESNLLVTDVSNASRTMFMNLETLDYDDRLLNFFGIDRNKIRLPKIIPSS DRDGFGCVHSGPLDGVPITSCMGDQSSALVGHCAFTPGMAKNTYGTGCFLLYNVGDKP VVSNHGLLATVGFQMGKERKPVYALEGSVAVAGSGVSFLMNNLGFFRDSRKVSDLAGS VPDSGGCVFVTAFSGLFAPYWIDDARGTIFGITQHTQRGHIARATMEAACYQTKAILD AMEKDSGHKLADLAVDGGMSNSDICMQTQADLIQMPVQRPSMHETTALGAAIAAGFAI DIWKDYSELKEMDRANRTTFTPHISEAQSARLYKRWTKAVDMARGWMNPVDGE ACHE_10589A MLYRTAAARSALRALSSSNASVARSTVTNNVFKAQLTSSARYPA RPMPSPTMALAARKPVTTALIRHVSSASGSSNSPEEEDPDMMAGMKSDAKVIKDTFSL EGVPKEAMYLGMAGVIPYLATSLQTVYLSYEINRANTTGDGLLFSGDTAELLLHLLEP VQVGYGAVILSFLGAIHWGLEWAAYGGKVGYKRYAAGVVAPAVAWPTLLLLPVEHALI SQFLAFTFLYYSDARAAARGHAPSWYGMYRFVLTFVVGASIVATLIGREQIVNTISSE HSIKDKINALLFLQKKEKEEAEARRRAELGQEEEE ACHE_10590S MLEGLVANLLNRFLGIYVKNFDAKQLNIGIWSGDVKLRNLELRR EALDQLRLPLNVVEGHLGELTLSIPWSNLRGKPVRVDIEDVFLLAAPKEDADYDAEEE ERRAQALKMEKIESAEIIKERNSEGMSQEEQRRNQSFTQSMITAVVDNLQISIKNVHF RYEDSVASPGHPFSVGVTLKELSAVSTDAEWNPTFIQSTSSTTHKLAVLGALSVYWNT DSELLGTGRGSDIGAEAQGTSHADLMQKLKDAIDNDEANQFMLRPVSGRAGLELDKSG KHDRPAIKTRLLFDELGFVLDDHQYRDALMLVDLFHYYIRHQEYKKYQPKCRPKEDPR AWLKFAGNAVLSKIHERNRRWSWDYIKERRDDRISYIQVFKKKKRDEPLSLDESTELE RLELKYSYEDLRFWRSLARNQLRKENVGVKKPARQQTWGEWLWGTKQEESEDASMTEE QRQELYNAIDWDEKKAIAESVDVPREWVKFQVHSGLRAGSFTLKRDPHGKANEIMKLV FDEFRANALQRPDSFFVDVGLGGLRVYDGTTEGSLYPQIVKVKDSVPESNTGPRITDN DELASEESVDGTEDEESLFRFQLEKNPLESDADSIVKVKLRSIEVIYNPTFLVEVVKF FEPPERHMESIGAILDSAGATVQEIRQQTRAGLEFALEEHKKVDAQFDINAPLIIVPE SITQESSLCLILDAGHISVNSELVDRETMRDLQSKQKRQYDEGDYKELEHLLYDRFLL KLDSTQVLIGPGIDATKSQLDSGDTSMNLHIIDRINVDFVIELCIVPKVTELTRTRIS GHLPELHASISDAKYKSLMKLIDIAIPRFDDDEQSVAIRQKKKEEKAFTGNRARSSSL QASNRQLPVVDEDSDAESKEEKKPDKSLDRPSNIHRRDFELKFTVGRLRGSMFRSDAQ DSQRDRLLVELVAEGFELDFYMRPYDMVAEVILKSLSVDDHIEQNVPEFKRIISSKGF NADEDKDLFQLKFVRVKPESPEFESTYEGVAMNLDMSVSTINLVVTRKTLLTLLDFVL LTFTNPEQPSNQAQKDRAVQGDAEVAQKRQQAGKIRIKADLKSIALILNNDGVRLATL SLNTADVGVFLVGRAMLVQSRIGSLTLVDDMNTGAPEDSDIRRLLTIEGDNFADFKYE TFDPESADYPGYDSEVFLRSGSIKINFLEDPYRKIINFLVKFGKMQAIFNAARQAAAN QANQMQQNASRMRFDVVVKTPIVVFPRAMVKDNRSQDTITAHLGEIYAKNTFVPLDDE KEDSPAVNVISSGIRNIRLTSKFNFDDGAVEELEMIQKVNLDFSICYLEHQPDNPRPD MEIEGTMSPINLRISQNQFKFLMELSKTVPAAFATDIEQQELEAMEALPSSVKKEETS KALQNVKSSEETGNKNESTQETWVRLDMVFKVDSVGLELILGKDDEPIGSLEDSSLSK FSLNDTRVQLRMLNDGSLESELLIHSLSIRDNRSNDSNKFRKIMSLINNDVQQQFMAS LSMSPGPDKHVIAMLTIDSPRIILALDYLFALQSFANSAFATEQPAVEEDGDESPDES TPRSSVGDSAYNDRSAVVPSNGNGSAPNPAGQSTVSFRVNLVDAQVIMLANPAINHTE AIVLGTKQVLFSHQNVSTLQITKVGMFLCRMDKFETSRLRILDDFTLELSMDSRGQER GSSLTSIDVHLEPLVLRLSLRDILMATQIVNKASEMTTKPSQDIEGKEMKKISDSKGG RPRKRSVGKSASTATNRTRREPSESGHSSGRRIVPQRSAVLKREELKAQIDGVRVILI GDLHDLPLLDWSVKKFSVDVRDWSSALNADTSFDTFVNIYNFSKSAWEPLIEPWQLGF HMAREVEPEVLSIDTYSHKTLELTVTSATIALASKSFQMLNTDEDVLSKPRGADAPYR IRNYTGFDLRVWADVSADDDGPAAKLVDGEEYPWRFEDSTAVRETLAPEGHAGLVGVK LEGSGFESVGRIPVVREGEILYSLKPKKDGILHRLLVEVKLGADNVKYITFRSPLLME NNTQIPVELGIYSPNDGHLLKIEKILPGDARPAPVGAAYMHSVVVRPDQGFGYDWSNE QLYWKDLLKRPTRTIKCLSENGQQSPPFYFQMHAAFDSKDSLTSAYPYMRVRIFAPVE IQNLLPYDFKYRIYDKDTKKDWTNFLRKGGVSPVHVVELSHLLLLSIDLQDTVFKQSE FAIINGNAQDFRREHTLPLKDDRGIQLKLKLHYFNVPDSGGAFKVSIYSPYLVLNKTG LPMEIQSKAFMQSARSAAGQGLRADARHGGRTLPYMYSYPTDDQKNRSMIKIGESAWS KPQSFEAIGSTFEVMLPDRAGRSEFHSGVSVAEGEGKYKMTKVVTISPRFILKNKLSE DLMVREPGSPNVLTIQSGELLPLHFLRQVAEKQLCLCFPGVNNQWSSPFNIADVGTVH VKLAKENQRQRLIKVDIIMEGATLFLHFNIETRNWPFSMRNESDLEFIFYQANPNVED DEDDRTSGWRPIRYRLPPRSIMPYAWDYPATKNKSLVVTSNGKERHIKLAEIGNLIPM RIPPTQYGEPQRIIDINIVADGPTQTLVLSNFKQSKSMYRQQRGQSSQASLSAGFEVK ELNSDVNFKAQLRLGGIGISLVNQNLKELLYLTFREIDIKYRESRVYQTLNTTIKWIQ IDNQLYGGIFPILLYPSVVPKTGKEMEAHPIFHAMVTRVKDDSYGVLYIKYATLLLQQ MTLELDEDFVLALLDFIKVPGASWAEEQEGALCDEDLRIPEPQHEGGEQDVYFELLHL QPMQLDISFMRTERVNVEDTMQPSNPLMFFVNVMTMSIGNINDAPVRLNALMLENARV SFGVLVSNIQRHYTQEFLRQVHIVLGSADFLGNPVGLFNNVSSGVAAIFYEPYQGLVM TDRPQELGYGIAKGATSFVKKSVFGFSDSMAKFTGSMSKGLAAATLDKEFQDQRRMSK SRNRPKHALYGITAGGNAFANSLASGIGGLARHPLQGAEKEGLQGFFKGVGKGVLGLA TKPAIGAFDLASNLAEGVRNTTTVFDAEGLDRVRLTRFIGTEGIVRPYSQREALGQFW LKTTDDGKYFNQDYIAHLELPGRDMLVMLTYDRIMLVRTKRLRSEWDIRLTDIQTISK ERTGMSITLKGGANGPFIPVQDESSRNWLYRQIGIAVNAFNERYNTRG ACHE_10591S MAPNWTRLIRFIAEEDGQVHLGEVDTNQDVGLALFNKEKVTAKL VTGSIFDGTVTAKRLQVAQLLSPIEMENVPIIRCMGLNYRDHAREANMPIPDVPVLFI KPRTALNGPYPAKINVPKIAQDGSSDYEAELSFIIGKSGRDIPESEAMNHVLGFTASN DVSARTQQFKNSQWSFSKGFDGSCPLGPVLVAPSVIDPYKLDIKAIHNGSVVQDSNTR EMIFDIPKTISFLSQGTTLERGTVIMTGTGPGIGAMRDPKVVLKDGDDMRVAIENIGT LVNKVYYE ACHE_10592S MVAINLFHRPGFHEKLSRITSSTEATALLAAMISFAVRFQSSED GEIQSEKDTHRRAASFLDLASKYNDDTLRDCGDNTPSLCLLQSFILVSHSQLTQGVLG RAWRTLGTCVRLAYETNLHLVDARGAEYALNSKRWYEDEEKRRAWWAIWEMDVFATTI RRTPTAVDWSQLETLLPVDDEHWFERKPTQSCFLQQDPILRWKALANSGSQSPKAWFI VINSLMKEAQRISSPRGIPNGNGPSSDRMDEARQRLEVIANAVHCFQLALPSHLKYQQ QHLGFEARVHGKALPLRQTHCSIYNIYMMTQLARLMIYRYDVFKGRFRVALPTRDSDV NRETSDIHAKGKTERLAVNEYFEAADDMLTIIHRSSDDHIRYINPFLSNTIWLASAVH LMRSQLCRSGAVKSAVKSRYEVLHLTYKRCVRFWGMNTAVQQNLETLEEQLEIWQQSK KRCSNQEQNITSSPACREERSAQSASLDYRPVLSVPNTNEINTPINPTQSLSNSFYAT DWQTDIPGDIRNTSALDISSVPSADAQFITDQMIPSATLIDPMFLFGSTQSQGPWIEN SRAMDEELDIDWRYLQLPNELPDAPF ACHE_10593A MTPSISDLPASPCSQPHHETTTDDTIDTEILIVGAGPAGAALAC FLGSYGLKGIMISAAPGTANTPRAHITNMAALECLRDIGLEQEINQVACSGDQHMVHT RWCHSMAGEEYARIHSWGNDPKRKGDYETASPCSPVDLPQTLLEPILVRHAILKGFTT RFDTTLLSFIEDNGIITATVTDNLSRHEYRIRTRYLFGADGARSQIVKQLNLPLAVKP GQGLAINVLVRADLSHLVEHRKGNLHWIMQPDRKHPDFGWMAIVRMVKPWNEWMFILF PTKDFDPRANPSREKYLQRVREFIGDETPVEIVDISKWSINEIVAEEYSRGNVFCLGD AVHRHPPLNGLGSNTCIQDAFNVAWKIAYVHQGLASPTLLASYSTERQPVGHSIVTRA NQAFRDHADIWDALGMLNEDVESRRAALEELSAVTRNGQKRRRALQEAISHTCHEFHG LGVEMNQHYSGSGIYDADESMPYALRGKAAKDPVLYHEPSTYPGCRLPHVWLHKTVPG KPVSTIDLAGHRTFTLFTGVGGESWKIAAESVSKELKVPLKACSIGFRQDWEDMYYGW ERVRGVGESGAVLIRPDRFVAWRASAALENAEMCERKLGEVSRSILGY ACHE_10594S MGSTTDHRQIRLVRLAHVYYTHKDMTKASQFLNNFGFQEVTTIN KDKKTIYYRGTGPEPFVYCAREGDFNEFGGAAFVVETREDLEYASQSLPGASKVYELA EAPGGGLCVTFRDPVDGFPFHLVYGQSLANKTRTLPHLKYNYPTEKHRSTNSSQRFQP GPAAVHKLGHFGMCVTDFAKAYAFYTTRFNFKASDLIHDEAGKDITVFFHLDRGSELV DHHCFFMFEGPKSHVHHSSFETHDFDTQLLGHHWLRQRGYKNCWGVGRHIMGSQIFDY WFDPSGFIVEHYIDGDLLDETQPTNRSLASPNNLHIWGPDLPEGFLE ACHE_10595S MNHIDTHFRSTDIPILGNLHQLPQKGSYPKFTEWAYKYGGLYSL KLGTETAIVTTDRRIVKELVDKKSSKYSNRPESYVAHTITGGNHLLAMQYGPLWRSFQ KLIHHYFMESMVEKSYIMVQNAEAVQMVRDFRL ACHE_10596S MEVYGAPHMIQLYDMMEKWSKVMEPGNTPPVDIYSFLYYIPQRF LGNWIGRAQGVNTEINELYAEYLNRVSQRRREVGSTGSFINIVMDQNEKLDLNRHRQR LGPSPQQSPLSEPRL ACHE_10597A MFQQIQTEHGHPPDIVISNAGYGKRIPNVLNISLDDFDYMLNVN LRASFILVKRVVEHMISQRWGRIVFVSSIAAYGGGINGCHYAASKGGLTGMMKNLSSR LAEYNISVNDVAPAMIGSTGMIPSTMVMDDVVANIPLRRLGTPEETANVVTMLVTTGY MTGQSLLMAGGLK ACHE_10598A MAPAAPFNPPSADLPGKPFVPQWVPPAVTKEKHNFAELKSIDLS LLDSEDPAVVDELIQKVKFAIRNDGFLFLENYGVSLEQLHRQFSLAQYLYNNISDDDK ERLLFHPDTGRWSGYKHPYGFKRHRGPLDGIEQFNWYKQDWEDINRVPKCLHPFMDEI EAFSNYLTKSVNRRLLTLFSRVLELPDDYLWDNIQSHGSPTGEGYFRHALFRPVQKET EQASKGLRMHGHTDFGLTTLLFSVPISCLQIWGRDEQWHYVPYKPGALVVNIGDTLEI VSGGHFKATRHRVFKPPVDQLHEERLSIVLFNSSVGDLRMGPAQESPLIQREGCVEEQ GIYKEFKNLTSHGKLVPTNQQWREIQIATVTDPTDTERNRVGADQVLINGKIMHQREY MGIKVVLPV ACHE_10599S MSRQLISSEKFPPKPHNCPAVKVPGLIFCAGQTATGEIKQATRT VLQNLKEVLELSGSSLEQVVKYNVYLADMKDFAAMNEVYIDFLPKPMPSRSCLQALAP GEGTAIEIECIAQA ACHE_10600A MTITKYPTPLTTQIENPRLRLLNKLKTGEFPLLTFMAIPSVRMA QIVALTGLDGIIIDCEHGHIGDDAMHNSVAAISSLGVSPIIRIRGPAHDIIKRALDTG AHGIMVPQINNAEEARQIVASSKFPPQGVRGQGSAFPAIGHGLTTPEYMRSANETIVS MIQIETRAGVENVEDICAVPGVDLVFIGPNDLAQSLLGYTPARGDEPEFVDAVDKIIT AARKHGKWAGRMVNNGSMAKEARKRYDMVAITGDTKAIQNWYMAEFDIARS ACHE_10601S MDTKKPSELSLKEDNVSSAEGQIDVTDAAYQRMPETLRDLSEDE LRRLNQKVVRKVDLLVLPTIGILYILNYIDRQNLAAAKLQGIMEDLNMTTQQFATAVS ILFVGYLPFQIPSNLIMTKISRPGMYICCAVAIWGCISAATAAVKSYGQLLAVRAILG AAEAVFFPGAIYFLSAWYTKSELGKRIAALYIAQQVGNAFGGLFAAAILKLDGAHNIA GWQWLFIIEGSATVGIGIVCACIMPEFPHNSRILSPVERDLAVWRIEAEAGAAEGSNE AENNANESALRGFASALSDPKLLLLIFANMVSQTQGSIANYFPTLVESLSFSHIITLL LTAPPYILAGVIYYCIMYYSDRKNTVYPIILVCAAVAIVMYIIPMATANVGARYFSMM ILPTASVGPQLLLFKTINLHLARPISKRAAASALVNAIGGTSNIWASYLYYAPPHFYA AFGALMGSAALLVCTMTIYRWLVLRENKRLDSGDPALVAKVVKGGVTEEMVQLNWRYE MY ACHE_10602A MSVTQTNRGMPSYQPFQCLVCQSRFTRHENLKRHAALHNRSQKE ASLPCDVCHATFSRHDLRHRHIKRKHPEYEQRRTAKRSHRDRSGGWRTEDKLDSASPT ESQDDLQPRHLGSEGNLDIDGEIWQAALRYAQQQIDHGNAASTNLATNVPTSGGDRTH LNTDPLTQQPSINELNDFDQIVQNATDLERSLLVGTSSLNPAHNLDNQLQTIPQSAPF DTNLTGFNFNQGLPDGLLFMDSPRLQNDWFPSSLQLTRGCELFFAHVSPFVPFLHQPT FDVTQTAPLLALSMLCLGYQYGEDPECGNQAGSGVGLSTRCFHRARALISFYSDEGPA DGLTNTLMAVQSHLLLQVCAMMYLCGDNSAYGLKIHSNMISLVRTGGMMAPASNASAT TEDLESLWREFIKAESHKRTAFAVHQIDALWYQFLSIPRSISHLEIKHELPSPEDYWT ASSSVEWAHRQLIARNPGPSVQYTEAVRRFLSPEADLSSIPRFDPYGAINIAQFLVSS AREISGWSAMTGMLSMDRFTALRSSLVALSYFIRPEQQQPASVAKATTYPAAASGAAE ATWETAMIELHMWSPSHTGGIVEASIDAVLHQLTAYLGASSGIIESNTAKAIQPHVNW FLRYLDMKITPDSEMPWIAFYAYKAFLIAWQLMHGKVVGAMQVVDVRDGDVEGALRWA RKVFERRRRWQLGRLILACLDELGK ACHE_10603S MANYKLSVRYENKKAYDTYSKVLLHIVNLRFISKGAQAVEPFTA NDEQPPVETTTLRAINAISLGELRSVDLGPGLLTEIHVQKEEGS ACHE_10604A MANEMEFTRLGNSGLKISKVILGAMSYGTKEWQDWVLNEDEALP LIEHAYKRGINTWDTADVYSHGQSEEILGKALKKFQIPRNRVVILTKCFFGVDDEGKM PPISASGTNDGEFVNRVGLSRKHIFDAVDASVERLGTYIDVLQIHRLDRNTPREEIMK ALNDVVDSGKVRYIGASTMAAWEFQTLQNIAERNGWHKFISMQNYHNLIAREEEREMI PYCVDTGVGLIPWSPMARGVLARPWGSRSTTREATDGALKMLIRSRETETDKAIVDRV EEIAKKKGVSMAQVAIAWSLQNPNENPILGLNSKERIDEAVGAIKVKLTPEEAKYLEE PYLPKTLSALER ACHE_10605A MPDRYFTALSQNKEWAAKTAREEPDLLASLAVGQRPEILWIGCS DSRCPETTILGLKPGDVFVHRNIANVLHTADLSSSAVIEYAVRHLRVKHIVLSGHTGC GGVAAALGNKQLGILDPWLVPLRQIREQNLQTLQSLPADEANTLMAELNVREGIKTLQ QKSVVLEAIEERGLTIHGVMYEVGSGVLRELDTNDTQEQLKARLVSYKTEA ACHE_10606A MPYFQGILYPTLHERLERWAQWLQSLTVSFLTRDSPDNQQPDRH SEDEALERIRSTCRAYGFWNDVWTSRLQYIFGDLGKPQFHLSDSLWDDLTNCVNAVIH TELFSTGSTSTLKTEHYVQESENALAAGKAGVSNEGHLEAVEDRRELLSVLDLFSVTR PQDVRTPTPPPHISVSQVTGHPRLRFNQLLGALQLYGYNVPQVITCRQITRATTGYLY HFVASDLLSNTAAALRANAAWSGIDASAGAAVTEELVGLYASYLTKIGLLPTPTAVVT EPETFPEAELNEDECVAHTQLIKSTLNISTVEAFGGNSAFGTSWDFAAINLPRTTAPV HRPVKHSPFPS ACHE_10607S MIPHRSTALISIVVFVALLLIIFSSSPSPTPDPLTGEEEVTGPA KYVPKLSNFHLPMFRPAAHQPPEQKNSTSGESKWYSHWEWINPFSSSITLDEGRSVLP PLADRPFIYTYYDANSEKNNKEEENADGQLLLAWRRAWYAQGFRPIILGRGEAMNNPM YEAVQRMKLNPDLEADMFRWLAWGNMGTGLLADVHCFPMARYDDALLSYLRRGVDPAQ ISRFDHIGSALFAGDKVRINDAIQNAIQKENTQAKSMLDIISPEIFRVEQPTALAYYS STSITNHYPEIAEKIVRSPTAGRLALVELINSHLHNTFQNTFPAGIAVLKPFPKYTTA LVEPSLRLAKALAQCPTSPMPSSCPPSNQKCHPCSPDKSMVITQPSMYKNTSQLFTIG TLPHPYTLVSLQNNTEEVTSRYIRRETERDAWLKEVTSTVADSELGGSSRAVILKEAV ASDSAMGTSLWMTVESLPAEAGQALPSTLLDEFEWQFGFKIPRNGNVDQKNEGKAKES MQHANPSEQGIEREYDLLQKARDAIKDKKNNRVHIKDMAEAWNLADTEVWRFVRAYRA RSVVERKRWEDEEKDFVGARLKE ACHE_10608S MSPGITETVVPSKATTLTHLTEGWDDTLRFYLNGTRVTLDSIDP EVTLLEYLRGIGLKGTKLGCAEGGCGACTVVVSHFNPTTKKIYHASINACLAPLVSVD GKHVITIEGIGSIKNPHAVQQRIAVGNGSQCGFCTPGIVMSLYALLRNNPKPSELAVE EAFDGNLCRCTGYRPILDAAQSFNTSNICGKATANGGGGCCMEKQNGSCCEEHPETHG ENGSSAKFSPPDFIPYSPDTELIFPSALRKHEFRPLALGNKRKKWYRPATLEQLLEIK SVHPTAKLIGGSTETQIETKFKALRYNPSVYVGDIIELRQYSFQDDHLEIGANVSLTD LDDICEEALERYGPVRGQPFNAIKKQLRYFAGRQIRNVASPAGNLATASPISDLNPVF VATNTVLTAKSLGKETDLPMSEFFKGYRTTALPPDAIIASLRIPISQAKGEYIRAYKQ SKRKDDDIAIVNAALRVSLSPTNDVTGVNLVFGGLAPMTVSAKNAETFLNGKRFTSPA TLEGTMGALERDFDLKFGVPGGMATYRRSLALGFFYRFYHDVLRELNVCAADLDEDVV AEIERAISSGKKDQESSVAYEQNTLGKSTPHVSAMKQATGEAQYTDDIPAQQNELYGC LVISAKAHAKILNVDASAALDMPGAHYFVTHKDLPSPEANWWGAPVSDEQFFAVDKVT TAGQPIGMILASSAKAAEDASRAVKVEYEDLPAILTIEEAIEAKSFFGHDHSIKNGDT DSAFKQADHVFTGVSRMGGQEHFYLETQACVAIPKLEDGEMEVWSSTQNPTETQAYVA QVTGVAENKIVSRVKRLGGGFGGKETRSVLLAGICATAAAKARRPVRCMLNRDEDIAV SGQRHPFLCQWKVGVAKDGKLLALDADVFANGGNTQDLSAAVVDRSLSHIDNVYNFPN VNVRGRICKTNTVSNTAFRGFGGPQGLFFAECIVEEVADYLNIPVEKLREKNMYQPGD KTHFNQELKDWHVPLMYKQVLEESSYKERRKAVEEYNKNHRWSKRGMAIIPTKFGISF TATFLNQAGALVHIYRDGSVLVAHGGVEMGQGLHTKMIMIAAEALQVPQSDVFISETA TNTVANTSPTAASASSDLNGYAIFNACEQLNQRLQPYRKKMPNASLKDLANAAYFDRV NLSAQGYYRTPDIGYVWGENTGQMFFYFTQGVTAAEVQIDTLTGDWTVLRADIKMDVG QSINPAVDYGQIEGAFIQGQGLFTTEESLWHRATGQIATKGPGNYKIPGFRDIPQVFN VSLLKDVEWENLRTIQRSRGVGEPPLFMGSAVFFGIRDALKAARREWGVSEVLRLRSP ATPERIRVSCVDPIIERVRVVPEKEEKSFFVEI ACHE_10609A MGRTIFPLLPPYGAQDPNHGHIVPSNPDGITPYLGLKSRLSQVW LNRWTILLLLVLARVLIAVGGLETDMGSAKREALSACTSVESMGSAMASMPHYSSRGV NELTASSVEAAVRGLKSTLMLMVTGVEEIILFIIKVMYQTYLCLITMAVRGTVDVGVG LLKDATSFLNSTVKEVGHDIASAVNTFEDGLNKFLDTVNSVASAFGGSVPDLNISSSI DKLENAQLPSSINEGLDKINSSIPTFAEVQNFTENVLRWPFEEVKKLMNESLGTYEFN RSVLPVPAKEKMHFCDGNDGINSFFAGIGDLATTAKKIFVAVLVILAVLFCVPVAWSE IRRWRTMRDRSQLVRKEAHDPMDVVYIVSRPYTAGAGIKAASWSSNSRRQTLVRWAVA YATSPPALLVLCLGIAGLFSCLCQFLLLRAVQKTVPELTSEVGDFADEVVDSLQNTSA SWANGANGAIADVSSDINNDVFGWVNTSTTAVNDTLNTFVDKTTGVLNDTFGDTLLYE PIMDVYECLIGLKVAGIQKGLTWVHDHAHIDFPLIPNDTLSRGAQESIDSGDSGASFL TDAGDDTADKITSVVARVVNKIEEEIKTEAIISAVVVLIWVLVALMGIFRAVFLFFMR EKNRGEGGGLPSLSPGPNPNAGSGPGPDGFFDVPLTAMPNLNASGGADGAGVRELDPV GRDYGSGQPAPRYEASVGAGAGVKGASAGVAVVDENVYPDEKLDKSYGL ACHE_10610A MPWHFARRSVSDYVKHDDVECHETTAGPDGAKNNNEPRIAPTHV PLRDQVDAIAKTLIN ACHE_10611S MVLLVKLLRTGLGLTSEAIHAARDRPSSSDQRPSRFPPPYTTSA TDTVDNAENPVQNAQVENSINRNIEHQQSNAPQTARDSPADYLATYDQDEAIWQLDDM AESVREQPHDETMATVTPEQQETEEEKVKQREALARELVATAGPVPASIQRLPCPVII PQRRPRNKDRGFVRAYAPVLDDCGISQDVFLRFLEYLDAVNHASAWIDVVFIAAQIAG SIPTPAAMIVGTIVSIVAGAARELQKRTRANTFLEMVNRDLFMPRGLFAMVMAFKPEI PSSRQGPLGNVAGAVKETLSKKEKLDINQTVEKWSNTDPNKSKFKKGLDNIRLQSGET NSEVELPETASLIYPDLDQVAAQISQDEGVMNKFKGAGNWVNDYMDRRAAVFYEAKHP GTPLVQPAEQRKPMKSRFNDPNHPANSGSIISLVTGGHVPVPAKNKLHEKRNEKLGVN RLLRRSADPSRDGRLISGAGRQFVKKKLQKDVLYLLIVNLPTAAEEREARELDARLGD MMEQPEAGGP ACHE_10612A MGDASSPASDLALVPAHLEELDFVIEEYGNRLFVFQGFPTPLFA EIIKINYIRMRAAKYMPVGAGDLTYEAFETLNRIENFSPKQWAESKPLSKREGWTLLG KVHQVTVALYCIHSLQSVSVLPHIQPYREIYASHGQGLHTLLKTAMSLPPTKRFMLWP QIVLDVEAVKGGVAKRSFVQCQLPALSRHTGMLAPLTAKSVLEKVLGFE ACHE_10613A MRPLPELKTIAHAFFQASEYFNARIFPSVLPILELGSNSAIYQI SPKLLQNGLARPDYVRLGLVCASLSHRMNQRRDDIHLNSLAMTFFHYRGLIIRSLNDD IGVDHKRMSNLAVAGILTLIIVDSPGKEHRHSGGTTFKEPGK ACHE_10614A MDVLSLVVMIRLSGPLEATCKAASTAQQATPSAWQQTKSLNTKL CLRRVKSLQLMHVNTETSLLLSVEAVGAPSALWYRLRSKHTIHIRSFHTLQVVPLNGS LTQLLNTTVGIISRYPIILDEGPAGYASVLRADGQVLYEHTFIKMIESNSSATIERAK NIMNQQVVNNLVRLNTTTFDVKSNFQYFSFKEYFLGSGSHQAEAASTPIMASQSIETL QTLFSLEGPGVHATASFLELCLVGGGQVLQPAPHTSVHPAWRRIYLLAEQVDFWPENA DSQGIQQVKDEATLKKLKVMKALTPGMGTYLNEADGYDPGRKEDWYGSRYDWLKSVKQ NYDPEEVFWCWRCVGSEDWEEVKGGTIYGPLCKKN ACHE_10615A MSASVRSSKMLLTSLMLLAGAPFALAECKCNPIDDCWPSPSKWN ALNTSVDGQLIYNQPIAKPCYPGSGYDTQLYQDISEQWTESPFQELSLIGYTYSTVNT CSPINAHYVNWVMRRFTPSARQSG ACHE_10616S MRESISEKPLDNPEVPRNQDSGKETQESPPGSSKTIVDSNPDYG RQTDPVFPEEYVLKTETGLIPEQTLEQIQTRTSRRRRPSQRELEEGAPTESTEFVTFK IDDPDHPHNWSRLFRWYITMVASTVVVCVAFGSSIVTGGLGLIEEKYNVSLEVAILTC SIMVFGFAVGPLLWSPLSEIIGRQWVYIISLGLYTIFNIPCALSPNIGGLLVSRFLCG VFSSSGLSLAGGTIADIWNIEDRGMAIAYFAAAPYCGPVLGPIVTGWINVGSQRLDLF FWVNMAFSGAMLILMGSIPETYTPVILKRRAAKLRKETGNPNIVTEQEKYPLTLREIA QTSLIRPITMIMTEPVLDLMCMYIVLIYSMLYAFFFAYPVIFGDLYNYNDGQIGLMFI PILIGAGFALLITPLIEKQFKNICNTRAPTPEDRLIAALLGAPFIPIAFFLLGATSFK HIIWVGPSSSGIAFGFGMVLCYYAVNNYIIDAYHKYTASALAAKVFLRSGGGAAFPLF TTQMYHRLGLQWASWLLAFIGVAMVLIPYVFYAFGGKLRAKLGRD ACHE_10617S MLSTPLLDLIHQSFFYQALRPNRPEALAIDIDGDASFAIALTEL STAAQFNIGVKVIVLNNEEQGMTTQWQNSFYEDQHAYTHQRNPDFMELAKAMRVHHRR VSEPKGVVDSLEWLINTGGPALLKVTTEKKVPVLPMVPAGCGLHEFIAWGVEKDRQRR ELMRQRTCGLHG ACHE_10618A MAEDGMSIVPYGSNLDVVLRHNDSVVCLDRDSQELVLRNTAHSN GGLELTDPDCPYCHRPLREDQPGQGNHRASSGGQPEFVNPDYFRMLHNSLPNSVNSSG SSPPRRRLYQPALPGVPTDPNYSGRAAQSQGISSGAFTQGYFKKFFVEESILGRGGKG VVLLVKHVLDGVSLGYYACKRVPVGDDHEWLEKVLGEVQLLQHLSHQNLVSYRHVWLE DAKMSTFGPSVPCAFILQQYCDGGDLHNYICGSLQTSSSTAQQLKEQLRRKSKGEADG AGLAGPRKLQFDEIYSFFKDITSGIRFLHVNGYIHRDLKPNNCLLTQTTDGLRVLVSD FGEVQSQDAIRRSTGTTGTISYCAPEVLRREYPDGPFGNFTFKSDIFSLGMILYFLCF AELPYTNADIINEELEDLDQLREEISGWTGFGSAQRMRPDLPDRLYTFLERLLSVDPN RRPSADEVLNGIQAGDNFRYRRNSPAGPDTNSNSRIRPVDNSDDMPFSRSPRSHKKSL SRSNPITLRPSTSYDPHDFDSSPAPMAENIRPERRIELSPERNLIVRPRYQSTTPSGS PTRRTHDSQHREPPATVEPVTSMEPVPQTPQLLPPPPNRSVLAPLVERLGFRVDWQLP LPFLQLSFFILKVVSAFQPCMPLAVNPWVFYPLLILAAVGLRTRSMLLQIIILGVHLL VVGLSTRTRILCVWHHPGEGIFGA ACHE_10619A MGTGKKEATRKERQGKNGDGMGNVRTKGENFYRDAKKLRTLNMF KDGKAKHDKYGNVTQAASYQSKDAPTARIEPNRKWFGNTRVISQEALSSFREAVAERA SDPYQVLLKTNKLPMSLIRDNGNVNGLKQHEAKMAIESAPFNDTFGPKAQRKRVKLGV STLEDLAGETAKVQDAYLEKQDQGTHADGSAAVAGDVPVAEDDGTASTAPTARESVFS KGQSKRIWNELYKVIDSSDVVLHVLDARDPEGTRCRSIEKYIREEAPHKHLIFVLNKC DLVPTGVAAAWVRHLSKDYPTLAFHASINNSFGKGSLIQLLRQFSSLHSDRKQVSVGF IGYPNSGKSSIINTLRKKKVCTVAPIPGETKVWQYITLMRRIYLIDCPGVVPPNQNDT EEDILLRGVVRVENVENPEQYIPAVLKKVQPKHLERTYGVKNVDDPIEFLSVLARKGG RLLKGGEPDLDGVAKMVINDFLRGKIPWFTPPPHGSGEENDGIDGRQGKLGEMGRKRK LDESGSEPADAPEKPSKESKSAENEDDFEGFSDDDSNDSIANLEISDEESGEEND ACHE_10620S MSFLRNCRTAGVQVRGFASSTSLRVGPESPNFIDVPRTIQPELP SKRRVKGTLPVPRELFPTRRTDKPSKAYIKDATPLPTNQTPIDPNDPHAEYIESKRRM ADMRRRNLRQGLKELHQRKRRTDWSMMERSLEKQRRREEIFQQPERLDESLTRPSVVQ GMQPTRTAVLPDPDREIRLAESRARTEAVNTQKKMEREEALQTLYMNARNFITTEEQL AAEIERVFPDGYNPEFTNDTFQGENIWNKGAPTSVQSIVNDSRRNEVARWDTIQDRVK KLGEELTGGKL ACHE_10621S MKNFVTVAAFAAGANALVGRSSSCCFSLNASGGASGTLGQLSDG QNRIGDDSLSPAQYCIDSNGAITDGNGRGCILTPPTTQFQCDEGATPTPGFSINSQGQ LEYQGSTKFVACETGQNNGLNVYTEESDAVSQCKDVTLAADSCSGSGSGSGASSSAAP SSPAPRPTSSVPVVSVSTPAVSSPAASPSSEAPVGSGSAPAPSESASASPSSSQVVVP VSPAPGSSKPKTTVWTTVTSYECSSQSTPVVPVETPSGPQSQTVPGTPGVPGGSQPSG TPGVPGGSQPSGPAGTTPVVPGGSQPSGTPGVPGGSQPSGPAGTTPVVPGGSQPSGTP GVPGGSQPSGPAGTTPVVPGGSQPSESAQPSGPAGTTPVVPGGSQPSESAQPSGTASS SQPSGTSTGSQPSGSASGSCPTNLNGEYTAPHLIIPVDSSSPDTAPGTSYNGTISSSK TTLYNFDVPQSYADKTCSLVFLFPKQEDLETSAFTFSGDGKIDFASLESAVTTDTSYS NMPSVKEDYGVTAVAPGNSYVISTFSCPAGEAVSYEMKNAGSTYLDFFEDYNPSPIGL YITTC ACHE_10622S MDHLPSKLPFSKRRLRPRIIISYILDYVILVACIVGFYILDSVE PFHQPFSLENISLKYPYAVHETIPMPAALCISGLIPLVTIAIYTLFVDGLFSHNKPRN PASGKKKLTGPYRLKDRLWEFNCGFLGLLLSQGLAFVITQVLKNACGKPRPDIIDRCQ PNVTQDPQPFGLSNYTICTGDPEILKDGFKSWPSGHSSSSFAGLFYLSLWLSGKLHIM DNRGEAWKSLLVMVPILGAMLVAVTRIMDARHHPFDVITGSFLGIVCASVSYRQYFPS LSEPWKKGRAYPIRTWGSEPANPFDDSNRPPSEYRESTAALRNPTEERLGESLTVPPA QEPPRYPTPSAYSTPTPVNPYTHNTFHQRRQHEHDGDWSSSSEDLADGYEMQHGYMRT QNPGLSTTPLPQYEVDTSYHRPTEPPMVPGVSALHSPPGVVTSGHGRA ACHE_10623S MIGSLFFIFDRLVEIVFLIPIIGMLAYFIDGFLKANQITPVYIL VLFIVSVIAVFWCFDTMIRHATTKRSAIFVSFVDLLFFGAFIAGVYELRFIANANCGN WHGDGEYASLGPFGLYGAQTGNPLAKDMNKTCAMLKASFAMGIMEVIFFFWSAIWALW IWRGQPRPVARKEVSSSSSRRRSHSSRRGHGSRARSSSRRPHYVV ACHE_10624S MKDQGRGKGDYVPEYSHGYSEDIDVIRDREYPLLKDTTYLDHAG TTLYPKSLIESFSRDLTANLFGNPHSMSPSSQLSTHRVDDIRLRALRFFNADPEEFDL VFVANATAAIKLVAESLRDSTPQGFWYGYHLDAHTSLVGVRELAGMGNRCFITDSEVD TWISELSTVQAKTPKLFAYPAQSNGNGRRLPFRWCEEIRRASGGDANVYTLLDAASFV STAPLDLSDSTSAPDFTALSFYKIFGFPDLGALIVRKSAGYVFGQRRYFGGGTVDMVL TAGIQWHAEKESSIHDCLEDGTLPFHSIIALDSAFDIHSRLYGTMANVSSHTRFLAKR LYDRLFSLAHPNGVRVCQFYKSPASNYDDPFTQGPIVSFNLRNSQGGWVGKTEVERLA TVKDIQIRSGSLCNPGGTAHSLGWAGTDLRRQYSSGLRCGDDHDVMDGRPTGMLRVSL GAMTNMKDIDTIVGFIEEFYVEKAIDFSVPFPVPGVSLPQQTGFYVESLSVYPIKSCG AFKVPDGKRWEIRREGLAWDREWCLVHQGTGATLNQKKYPRMALIQPLIDLDHGVLRI TCGGMVSPGRKSLEVSLFRDDTSLVCTSLCQSSKKSSNVCGDQVVVQAYSTPEVASFF SDFLGVPCTLARFPPQSSNHRYSKSSRLSSTSLKQAFKKFIMPGSFPPDAVSAPEHNN NPILLSNESPILLISRSSVNRLNETIKANAKKDAHGNPITPTNKPRKAVAADVFRSNI VVAENVSQPRNAEQPYIEDNWSSLKIGPGQLRLDVMGSCQRCQMVCIDQMTGVKGDEP LSTLAKTRKVGGKTYFGRHVCLSVGEMGEMSEGGGDGEGKTVMVGDRVVPVYDGHE ACHE_10625A MATTGLVSNLPQRLRNFFARYPPQIYSAAVAPRPPTTQAPTASD QTTAIANTPSQLEHLQSQSPETTSPYTPNRDAKGHKRPDPKLFSPSRALLHNNDPSHP NPFLPQKNVRTGKWIGPRIGLRRQAELVKMAIKYDVEGLLPVGRKSTEFKETRRAERG GLAVKGTGVGQKVKGHKWERTMEARLEDRRKAMMEMPEMIRLWKQRGHGRGWKQWPRR ACHE_10626S MADYTYGGSEEENAELKKLEVDLADDPDNFETWEKLVRAGEALE GGINRNSNPQAITTVRSVYDRFLAKFPLLFGYWKKYADLEFSITGTEAADMVYERGVA SISPSVDLWTNYCSFKAETSHDPDIIRELFERGAKCVGLDFLAHPFWDKYIEYEERVE SFDKIFEILGRVIHIPMHQYARYFERYRQMAQTRPVAELASPEILSQYRAEIEAASAH IPPGAKVEAEIERDIRLRVDGHHLEIFSKTQTETTKRWTYESEIKRPYFHVTELDEGQ LSNWKKYLDFEESEGSYPRTQFLYERCLVTCAHYDEFWQRYARWMAAQPNKEEEVRSI YQRASCLYVPIANPATRLQYAYFEEMAGRVDVAKDIHEAILIHLPNHIETIVSLANTS RRHGGLDAAIEVYKTELDSPQCDMATKAALVAEWARLLWKIKGSPEEARQVFQGNQQY YLDSRAFWSSYLTFELDQPTSAATESVQYERIKQVVEDIRSKSALSTEVVKELVQIYM TYLLERGTNDAAKEYMTLDREVHGPASVATTRTGGAAQPAPQNAGQVTPAAPTPVAPV TPATPVVPVPDPAAAAAANAYAYYQQAPVNGGMGI ACHE_10627A MVKTLPFGDIHVASPGFGAMGFSYGLGKNMSLEEAEPVLLKAIE LGCTFWDTAVIYQNGVNEKLLGDFIRKHNVRDKVFVASKCGFNVFEGDWNNHTVNDSA SHIKEYIEGTIERLGFAPDLYYLHRMDPNTPLEDSIPALDEICKAGKTKYIGLSKCSA ATLRKANSIAKVDAVQAEYSAFETLHVTDGLINTCKGLGVAFVAYSPLGHGWLVDNCD FSNSPEDFAPDDFR ACHE_10628S MDPIIPDDPSDTSLPIRSPSNLEQPPPPPPLDFAPPPPPDVPAP PPPPEEEPPAPPPATKKKKQGWGTKRPAPAPLSVEELVRKKREADAAAAKPKFLSKAE REKIALEKRAKEVEANRRMKEQATNGADRNRTLFDEPSQDGGSIPTGPRAMRSSVPNK GYDMSPPPAPKSMAFGSKDSKGGPADKRTAEDEEAAAQAALIKERYMGADQTSNFSAK KKRKRTTDRKFNFEWNAEEDTSGDYNPLYQHRHEANFFGRGRLAGFGDDVADTVARKY ARALEDRDREAGGIRAKEILEMERRRREESTRNQLDKHWSEKKLEHMRERDWRIFKED FNISTKGGSVPNPMRSWDESGLPNRIMELVSRVGYKEPSAIQRAAIPIALQNRDLIGV AVTGSGKTAAFLLPLLVYIAELPRIDEFEWRKNDGPYAIVLAPTRELAQQIEIEAKKF TQPLGFNVVSIVGGHSLEEQAYSLRDGAEIIIATPGRLVDCIERRMLVLSQCCYVIMD EADRMIDMGFEEPVNKILDALPVSNEKPDSEEAENPNVMSRHIAGKDRYRQTMMYTAT MPSAVERIARKYLRRPAIITIGSVGEAVDTVEQRVEMIPGEDKRKKRLADILSSGDFR PPIIVFVNIKRNCDAIAREIKQMGFSSVTLHGSKTQEQREAALSSVRNGSTDVLVATD LAGRGIDVPDVSLVVNFNMANTIESYTHRIGRTGRAGKSGVAITFLGNEDTDVMYDLK QMLMKSPISRVPEELRKHEAAQSKPNRMGRKNEDAGGKSGW ACHE_10629S MSTLVNITSKEQFSSLLTSSAIVVADFYADWCGPCKAIAPAYEQ LARQLTRPNRITFTKINVDQQQDIAKAYGVTAMPTFIVFERGRPASTVRGADPRKLNE VVQKLASEAGKAESTGEGASGGSSVSGGIGWLGASVPKGYSDITDQVEPKGLELLNRD SAFAQPRTLFDTSKPSALSGGKAKEGSTAADWVESDTDEQLMLYVAFQSRLKIHSLHV TSLPPADGEEDERPMQPKTIHVYANRSQVLGFDEAEDIPPVQTVTINPEDWDKQTGTA KVELRFVKFQSVNSLVLFFADGDGNSEKLRVDRIRIMGEAGEKREMGKLEKIGDEVGE ACHE_10630S METYTMAPTSFAYYPSDVSQRQHTHYPNHASEMQPYYGQMQYPQ HPQQQAHGLPDQHYQPTMNMHQMATANAFRGTMNMTPIASPQPSNLKPTIVVQPGSSA LMPLDTRFVSTDFYGFPSTPPLSASGSSISSPPSTSGAQHTPISDTFFAFEKVEGVKE GCEGDVHTEILANADWTRSDSPPMTPVFIHPPSLTASQSSDLLSANSSCPSLSPSPSP VSSSFDPQAQAGLVAQSQSALPVEPATTHFCDPRELTVESTIAGSASDLPPLPTLSCE EEDPKSALDGAAAVTLPVHENSSPTFTSSTEDPLTSLPTFDSFSDLDSEDEFVNRLVD FHPSNNAYFVGDKRQRVGAYSFEEDGFLSEHSLEDEDVAHAGFLDGADVTGAHAHAHA HAHVCEKSEEVSNKKQRTNSRKPIKRSNSTQSESDDKKAQAAVNAQPAAEARPVADAA DAGAAPVSVNRRGRKQSLTDDPSKTFVCSLCSRRFRRQEHLKRHYRSLHTQDKPFECN ECGKKFSRSDNLAQHARTHGGQSVVMGTMDSNDAAAHYHDPNALGAVLYEAATASDSS DAGSSGRNSQKKRKRDDQ ACHE_10631S MSSQPGLNVIALVSGGKDSLYSILHCIRNGHKVVALANLYPKQT KTTAPDDSHDAHEDGDEEEEDIDSFMYQTIGHSVIPLYETALQIPLYRGAITGGAVDT SRVYRNTATAHQQNDRAGQGEEDGDETESLIPLLRSVKEAHPEANAVSAGAILSTYQR TRIEDVAARLHLVPLAWLWQYPVLPPPVERKDGSALSANIADAGLLEDMAAVGCSARI IKVASGGLDDTFLWEDVSSSFSSSTRGRIVKAMRRFADADVGGVRGAVLGEGGEYESL AVDGPGFLWKRRVEVLGREVKVGEGGVGFLGLRGAVCVDKEEDDGVKPGDVRRPGVLD EKFDALLDGLSLGAEAEAAAPRVSEQWQCEPVQANNGGLWTISNLSAPEAGPDAGKQM SAIAEKVKTILSTGDNQSTDDIVFATVLLRSMTDFTSMNNIYVSLFKKPNPPARATVA CGSALPDGVNIMVSFVVDLGPRDARQGLHVQSRSYWAPANIGPYSQAISVPVLAPASD QESSKLVYIAGQIPLEPASMEMITWETLSQQKQVQQSWMADYALRAVLALQHLWRIGA AMQVDWWVGGVAFLTDDGNKHIQAKARLAWQAWAKMHMRPEENGDEDDEPTLDAWDLK YGRRDYVYEQTSSTKSGPGLPNFNLLQKPDPADITTNTIPPFLAAQISELPRGSDIEW QGLGCVCDEVSVTTDKPVAEIGVGADACTTAIDKKLNYICVEIGEDSGSDLESRLQGI LRVYGQGQEHMVLYTAKALRDGFVWPGQIVPCLSVWGREGRRLGGGVVIQCS ACHE_10632S MPSPLTRDEDRLSPSRFSPPPPIPNPDSLASSITATTTTIPNPD LLRPFSRSPHPYHRTGHGQSERLRHPGWSRTSSDSGTEADDESTGVLKGLPAPPIRPR KGLRAVEDAESWVPGLRSLARARTRGAQRDGARAAGAGGEKGNKEGAQGKRVEVLRRM LEVALVASVGAVVVRPVDVQAIAWIWRKEIATYGLLVSSLYAAYPFRISRRRSARLKL PSFSIPASFDPAPLIYPTLIPLFVSLSLSYHRPVLILPNILLGLSSLPTPVIPLHAWN HGHSIVHWVITLVPLCVCEHLAWGNAPPKPLSLYGIDSEALALVFPLQQALVPILDFL LATSLLPAELQLLATALINLYLFAASPQAEILKALLWLGGLCIFVFCRHVLSWEVALA RMPSWKFRRYPSNSQTTRGVLNFIDHQVCEKLSRTGCPDELMSDSEEGEDPPPLKSRR TIETPLAGGLMSFERAQEKPARPVDAGHKRRHTISTVENIAPTRTTAKGRRKRLMAPG LASFLSMTVPQATVRKWLYAAYIYVAILGIAIGPVRKYVAEKALSGDEPFGWGLGYLF GNLSLFRFWVVVRNLEGWIRLPARIDVDEAGSSCVLGCVEHLRQETFGEANTRLLVSA YCFTVLLAGMAIVFRLSNIAEVDTRRKVFHGMMVLMFLPTVFVDPAFCSLALGTVLAM FLLLDLFRASQLPPISRPLTHFLAPYVDGRDHRGPVIVSHIFLLIGCSIPLWLSLADI PRTGDGPWIGWGVLSRDVSMVSGVICVGMGDAAASLIGRRFGRVKWFWGGGKSLEGSA AFAAAVFCGLMSARIWLAVGRWPVNGQEEPFSWIWTMVKAALAAGGTSATEAILTGCN DNVVVPVVLWLLVRGLEL ACHE_10633S MASSHHSRRPRDASGTQMPVGRYTRLDEIGRGSFATVYQGVHTK SRTYVAIKSVNLSKLNRKLKENLYSEIQILKGLYHPHIVALIDCHESTSHIHLVMEYC ALGDLSLFIKRRDTLGEHRYTRDMMAKYPNPRGGALNEVIVRHFLKQLSSSLKFLRDR NLIHRDIKPQNLLLCPAPASYRAGVAQVVPFKGSEDSFNPETGLESLPMLKIADFGFA RSLPATSLAETLCGSPLYMAPEILRYEKYDAKADLWSVGTVLYEMVVGKPPFRASNHV ELLRKIEKGEDRIKFPEENPASEDVKKLIRGLLKRNPVERMTFNDFFENNIIKGPIPG LVLDDTSDHRRSSVEAGAVPQVSRPESRPGPSTSIEPQREKEVTQPAPTREEPSEKPT TAQQPPAPRQKSGTPPGVTPMRRMGSADRPPSAAKEPPRAMTPPQRPPPVSAATAPAR PELVDRNVPTGAQRLPQGQKPATDGTREERERAAQEVAFERDYVVVEKRAVEVNAFAD ELAHSPRIQGYARPGQGTITRRTTAPSATTNPSGQPPASKAMQIIPGRGRADSGHSRQ GSYERRYGQSPTSATSAISKALNMASGRLFGMGFSPPMTVTKGGRSPPLGYNPFPAYP TQGSLMIVGDGTKTNVALDEDTKTVQVIEECATRSDVVYGFAEVKYKQLIPLAPSAQL DQHAMRPNAPDFEQDNSEFADSGLTIDATVTLAEEALVLYVKALSLLAKSMDIAGAWW SRKNRDPFADKSSDPTSGAVGTRVNNVVQWVRGRFNEVLEKAEFVRLKLVEGQKRLAG QTSNRSIASSAGSAASADVMVSSGVTAEKLMYDRALEMSRAAAINELTGEDLPGCEIA YVTAIRMLEAVLEEEGPSSSRAGGAPRDDDKIILDGMQAEDRQVVVKLVSSIRGRLAA LSKKLALMAKRAPTPVAGKISPTPNVAVASPTVGATPPK ACHE_10634S MEDPVAESHVQKGIIPDAKPVDEAPRRADGVSQLYEGNVFEATP EDRRQIGVVSASFLIFNRVIGTGVFATPSTILELSGSVGLSLIMWVVGTLIAMAGTAV YLEWGTAIPKNGGEKNYLEYVFKKPKFLATAMYAAYTVLLGWAASNSVVFGEYILNAA DVEVGRWNQRGIGLACLTTAFLVHSFAVKWGLRLQNLLGVVKLVIILFVIVAGWVALA GHMHIEDPPHNFRNAFEGTTSSGYGIVMALYNVIWSFIGYSNANYALSETKNPVRTLK IAAPMAIGSVGIFYMLCNIAYFAAVPKEQFLSSGQTVAAAFFGNMFGARAEKVMSVFV ALSAFGNVLSVIFSQGRIVQELGREGVLPFSKIWASNRPFNSPAAGLFEHWVVSLIIL LAPPPGDAYNFLVNLISYPLSIVNVFVSAGLIWIYLTRETRFPNWNPGIRATLPVTIF FFLSQCYLVVAPYVPPSAGQSVYNELPYYLHCVVAIGIFAFGALYYMVWAVLMPKFGK YMLVKETVVDADGWSRSVFTRLPLAQAGSSASS ACHE_10635S MRRLSSTRLPLVLNAARQPPLSRRPLSLSFLNPSSTLSLSTTTP STTTSPTTNRLSRSSPLSHTSKRYCSYRRMCRRGEELSGSTTMQGREVLPKNVKPVHY DLTLEPDFEKFTYDGSVVIDLQVTEDTTSISLNSNEIDIKKASVLSQGSVIASNPDVS INKDTQVATVKFSDTIPAGTSAQLKLDFVGSLNDNMAGFYRSSYKTSDGQTKYIASTQ MEPTDARRAFPCFDEPALKAKFTITLVADKSMTCLSNMDVAEEKDVGSGKAAVKFNTS PLMSTYLVAFIVGHLNYIETKDFRVPIRVYATPDQDIEHGRFSLDLAARTLAFYEKAF DSEFPLPKMDMVAVPDFSAGAMENWGLITYRIVDVLLDEKTSGASRKERIAEVVQHEL AHQWFGNLVTMDFWDGLWLNEGFATWMSWYSCNSFYPEWKVWQTYVIDNLQGALSLDS LRSSHPIEVPVKRAEDINQIFDAISYSKGSSVLRMISKYLGEDVFLQGVRNYIKKHAY GNTQTGDLWGALADASGKPVQAVMDIWTKHVGFPVVSVTENPESSSIRLKQNRFLRTG DVRPEEDTTLYPISLGLRTKQGVDEETMLTERESEFKVPDLDFFKLNADHSALYRASY SPERLAKLGEAARKGLLTVEDRAGMIADSGALAASGYQSTSGLLSLLKGFDSESEFVV WNEILARIGTVRAAWLFEDTQTKDALKAFQRSLVATKAHELGWTFSEEDGHILQQFKA LMFASAGSAEDPTVIKAAQDMFAKFAAGDLGAIHPNIRGSVFTIVLKHGGAKEYDVVL DRFRNAPTSDEKTTALRCLGAAEDPALIQRTLGLASGDEVKNQDIYMPLGGLRAHAAG IEARWNWVKDNWDALYKRLPPGLGMLGTVVQLCTSSFCTEEQLKDVQGFFENKDTKGY DRAVEQTLDAIRAKVHWLKRDRDDVTGWLKANGFLRDGKL ACHE_10636A MARDNAFHDHDYYTILDLPFTSFATLTKQHLKLAYHRALLRHHP DKAQPQGTKEADVTLDRDAENQPMKTNTYTIDQITTAYKTLSSPSLRSEYDRALRLDR AKTVDREKNGTVFHTGLEVVDLEDLVEDENDNNGESVWYRSCRCGDEKGFMVTEGDLE REIEGGEVVVGCRGCSLYMKVLFAVEDGEGEGT ACHE_10637A MMFDGLLLGDGCVYLRRLLLLFCWPLVLLLFCVTSCLLWLIRHF SSCVFAPLFVSPLFIHSSASTMASAARTASRAFLRSTPATSSFRPAARSARFTLPSQA FRSSRRGYSTEAPEQGKSSNGLLWAGLAAAGGAGAYFYLKGGDASAKNFVPQQKDYQA VYDEVARKLADETDYDDGSYGPVILRLAWHASGTYDKETGTGGSNGATMRFAPESDHG ANAGLKVARDFLEPIKAKFPWITHSDLWTLAGVAAIQELGGPAIPWRPGRQDKDVAAC TPDGRLPDGSKSHGHIRDVFYRMGFNDQEIVALIGAHALGRAHADRSGFDGPWDFSPT VFTNEFFRLLVEESWNKKKWNGPEQFTDKSTQTLMMLPTDIALVKDKEFKKHVERYAK DNDVFFKEFSDAFVKLLELGVPFASKAEDRFVLKASE ACHE_10638S MHGLLSDNDTKNDRQKEVAVELGQRSSSSTDPSDELPPLIYTTE AKLLRKIDLRLLPCVSGLVLLCYLDRSNVANAMIYGLKEDLNISGVQVSTALTMFFTT YILFEIPWNITLKSLTPRVWLSICMLGFGLTTMCTGFAQNYGGFVATRLVLGIAEAGI FPGCAYLMGSWYRRREAQRRFSLYLSATCLATAFGGLIAAAIGNMDGICSLSGWRWIF IIEGILTVLLALVCFAFLPNFPEQAKWLTDEEREYVRARLRAEQGSSALNHRITVRDV WEVIKDPKAIITGILHLSVSVPGTMGTYFAPTIIESLGIYSRIETQWHTVPVWMVAFV LTLVVAYGSDKIGNRYVLTIACAVISIVGYAILFETDNVQVRYAALFLAIAGVHALMP LEVCWNAMNLGGHHRRAVGSAWQVSVGSLGGIIGTYAFRDEDAPKYRFSYALCIGFTC SSAALCTVYWGWCWWLNRQRASQGWGRDMSEEEKERLGDRSPSYRLML ACHE_10639S MVVGISKRQQFRNERALQDLVRSVPGNDRCADCGALNPGWASWN MGIFLCMRCAALHRKLGTHISKVKSLTMDSWSSDQVDNMKSHGNNIMNKIFNPKNVKP PVPTDIDESDSCMERYIRQKYQHRSLEDGKPKPPSRHDSGYTRSPEGSPPPLPPKTGK FFGFGLRGSSSTSNLRRFSTSKPTSPRSQGHGSPPPPVPVNTASQGIGASIGDMGTPS FESKLATLREMGFPDDRRNAVILRGLGGNMERTIESLTRLGEGSGASTRPISRARTPN PTSTSIAAPTSPPRATTSYNPFDQLDSKPANQPSGQSYNPFDVPNAQPQSATLEASFQ NLQVSQPLFPHSTGGYPLPNRQNSLPQPLYQSATPVSATFAQNGFVASPQTQTFDGGN NPFFQSAPQPQPQPQPQLQANNASPFTSQFPNNTTQNNPFFNQLTPQYTSVQQPQQNQ SAGVPPVPSLQHANTMPNTSSTSPFGQPSPFLQPQPQQQSQQQQQQQQLLQPQATPLG QSNPYNPFQSMTAPSSPQNPGFQNQFQPQAQQPQQPQFQSQLQQQQQPFQTQPTSQHL VPQATGRVDKGSILSLYNMPSPTPTLAQQQQQQQQQQQQQQQQANLSPVPGLIPSLGS TPQTQPASNITTPQQPQQPLSAGLPQTQTGSRNPFMSSPPASAPSTQPDFGTSPFNTQ PQQFQQQPFQTQPQAQQQTQSGLGIGMGMNMGMGIGMKPSGPPPSSPNNMGFTRSHMS QQSVDLNGLQNGRHSPDAFASLSARYG ACHE_10640A MASRSGRSSSGRSSSKLLSYLSSNTSSAPPPSSSSKPRRSKASS SSSSGSVKESSPREPPRSSLPPLREYREYRESRRPKETRETRPSRDTREKESREPREI TPSTVFLSLIAFRLINALLVRTFFQPDEFFQSLEPAWQLAFGKDQGAWMTWEWRNQLR SSLHPLLFAAVYRVAALLAFVLRLSPTLRANLLIAAPKTAQAVIAAVGDFYTWKFARR IHGDGSRKNWAVLALTVASPWQWFCSTRTLSNCLETTITVVALDLWPWEWSLDSELKA ARKSRSSRTQERLDKELLNRLRQCLCLAALACILRPTNILIWVTLAGIVLYRNSWEIQ KILAREVAICGSAILSVSTLVDRLFYGVWTFPPFKFLYFNIVQSLAVFYGKNDFHYYV SQGLPLLLTTALPFSLLGLYRSANRPPSSASKHWRTNIQTQLAYVCLAMPLVLSLISH KEVRFIYPILPSLHILSATPLLETFYPAVSRSSRIYTPRRLTLIFILIVNVFIALYTT IYHASGTINVLSYLRDQHDRHTTPNHAQSPSSGPGISTGFLMPCHSTPWRSHMVYPSI NAWALSCEPPVNLNASEKATYVDEADQFYENPTTFLRQNMLGGLWHIPRKPSYLSKPS NRRNPKGYHEWPDYLVFFAQLEPTLQRLLKSSAYGECYRTFSTAWHDDWRRKGDVVVW CLDPGEQQSWKTVLKEREERAQRKLLDFDIDLSPITQTLERHASTATKTLKTSANEAN IRARKAITNIRREIESGKWTPAFLQQSRTQSGVKLSWPSWGKTTQKKTLLERVQRSLF SKPSSSSWLSWWDTKGKKGSRKGKRELWS ACHE_10641S MAYHSSSTNEAIQAEHDFAAHNYHPLPIVFARAQGTSVWDPEGR HYLDFLSAYSAVNQGHCHPKLVEALVEQASRLTLSSRAFYNDVFPRFAEFVTKFFGFD MILPMNTGAEAVETGIKVARKWGYKVKGIPENQALVLSAQNNFHGRTFAAISLSSDPE SRENYGPYLPNIGCTVPGTDKPIAYNDKAALHEAFEKAGPTLAAFLVEPIQGEAGIVV PDDDYLREARALCDKYNVLLICDEIQTGIARTGKLLCHEWSGIKPDLVLLGKAISGGM YPVSCVLGRKDVMLTIEPGTHGSTYGGNPLGCAVAIRALEVVRDEQMVEKAERLGHVF RSGLQAIQSPVIELVRGKGLLNAIIIDESKTNGHSAWELCMLMKEKGLLAKPTHQNII RLAPPLVITEEEIQKALKIIEDSVNELPNLRGSAEDKIVPPPERDVKIALEN ACHE_10642A MGALQELENIYEATNDDKRSKALDDAYEKAMQRIQGQVQEHQEL AKQVLSWISCAKRRLTSVELQHAIGVEENTSEFDRDNIADIRLIVSVCAGLVIVDKES DIIRLVHYTTQEYFERTWEYCFPNAHINMMKARVTYLLFEVFKAGYCPTQDALRERLQ SHVLYGYASQNWGYHAGKFLIEGERLILNLLEDTAKVFACSQAMLYQGSWSIFVTETK MTGLHLAAYFELWKPASILLEKNASTESGDKYGRTPLSWAAGNGYEAVVKLLLEKNAN IESKDEYCHTLVL ACHE_10643A MPFIPVPEPGFYEHIINRPLPTIVHFWDSQGPPTQEFQILESGH HPGSELETFFVDVSQFPVPDGPSDVPVTILFNQGQQLDIADGGDIPKFFQLLERAERG F ACHE_10644A MALPMASYVYWQNDASGKQLMCINIATLAGTLLGQVLFGYLADR YGRKKMYGVELTLLITSTLGVVMSSTGVHNSMDVFAWLVWWRVVVGIGVGADYPLSAV ITSEFAPTKHRARMLATVFFMQPLGQIAGNLVSMIVVAAGKSHEHETIDSVRSVDSMW RWVIGIGVIPGAIATLFRFAIPESPRFLMEIEDDPVQAEFDATNLFNFTPQSPTSPPA TAVSMTSWQDLPMPALSITGHSIEDRASSSQVEMIPPATLNSHWGLTRSDIVQYFWTE GNWRILVATASAWFLMDFGFYGISMSSPQFLAKTWGSLHIRGAAPPWQTDDRPEGNIY QMFLDSSVHGLVILNSGSFFGCLLLILVVHKLDRVGLQKWSFVALAAHFIALGTVFIT TQTEGAVAVILYILGQILFNFGPNATTYIIPAEVFPTRYRATCHGISAAFGKLGSILV QVFSAYYRFNPAADRESTIRHGWSLIVFSACMVVGAAVTHFWIPSVQRVNGRGKLWGG KPETLEGLALGRLGGRSRYAGTAKGRVVRPLSYVSYQLG ACHE_10645A MSQHPYDSEDWPLPNTPRESILSSSTRSSRSSQFIPPLSPGTSS QGSGSRKTSYSLYDDVVRLTLNPSVTVTFVRHNKLFRLRYLYIDICKDGGGALKCLEL NGGVGQQTAFVHSFHDTKLPVPHLEHPKLPHEPSLRVSFLDEQTVQTAHTVFTTQISY TFDDEQDAVQFQELILASKLVFIAGIAEAKSKGRGEECISQNLRILQDYNGKQVMLFF ANSLRREAKRYVSIPVSCIESINPGKKAGKPVVLQLQPNFDLLSQMKVLQIQFLDDSD RIRFCEFLSAQKI ACHE_10646S MASGNSTYTYEGLTGWKRFRPLRPFRGMYHDIKRRLPYYRSDIT DAFTYRTVASTVHMYCANILPAIAFTLDMYRRTGQFFGINEALFSSAMAALIFSVFGA QPLNIVGITGLISLFNYTIFNIVTRYEPVIYANFMCWTAIWAAIFHWIVAVCNLCDYM RYVTDFSSESFGMYIGIVYLSKGVGELVHEFSKVGLAAGFMSCMIAILYFLTVYALEL LATSTVFRPTIRALLTDYAYVFATMFWVGFSHIPGNLKAADISRVPISRAFYPTQPRG WLIHFWELDVKWVFAAMPFGSLVMLLFYYDHNISSLTAQARRFPLKKPTGFHWDFFLL GITTFLAGITGVPMPNGQVPVHTNSLVNFRTELDIVSMAEGEREGEREGAEIRRHIMK PVSVVEQRVSHFFMGLGIIGTMTGPLLIVLHTMPAAVFAGVFFTVGWGSIGGNGILKK SVFLLLDHRFVARHEPLLKVRQRKIILWIACQLFGVTASLAISFTIAAIGFPVVIIAL VPWRVWILPKWFSQEELQVLDCLTANNAAVLESMGGPPSFPGERPTRPEDMDTEQQQK RADGQQRFGTYHR ACHE_10647A MSAAHQVMLKSVEQGSPFTVDNIPFGVISTPDNPKPRCATAFGN YAIDLSALERDGFLSDIPGLEGKGEIEMTGNVFSQPNLNAFAAMPKEIHLKVRTSLIR YFHGGLPPSYYIPLDTVTYHYPMDTSNFSDFFCSLEHVKNCAKVMNAPITPSFFSIPP VYNGRTSSLKITNTPIHRPRGVIQPSPSSPPTYAPTQALDFELEMGVFISKPLPSGEV LDIRNAREHIFGFVVLNDWSARDIQGFEMAPLGPFHSKGSGTSISPWIVTCEALDQVA CPVKVRQEPGPLPHLEWKGEVGEATFDVRLEARILRNGKSYSVTSTNLNELYWTPYQQ LTHLASAGEGLTTGDIFGTGTITSDRTNFKGEKDGIACLIERKVPENELYELKTDGIQ FLQDWDEVVMGGWCVNSRTGVKFGFGECRGKILPPK ACHE_10648A MNKSDFPEDHIQSTPADYVDYDANPKEELALNVGGRAALQRRLR NYQVTMIGFCSGIGTGLFVGTGAAYAKAGPAGLLLAYIVVGLVLWCVMQSIAELATLF PTAGSFPHWATRFVDPAVGFSLAISYGYCYTIAIASETSAAAVIVSYWTDITPAVVIT VGLVLILAINLMSVRFYGDSEVVGGAVKVLCFLGLVIVSIVITAGGGPNHEAIGFRFW NNPGAWTNYNGITGPTGHFLGFLSSFVNASFSFIGVETVVITASESVDPHRAIPKAAR RVTYRIAFFYILGALLIGIIVDPRNSNLVSGSDNANSSPFVIAIKNAGITALPSIVNA CILVAAWSAANSYCWVGSRMIVAMTTDHQLPQLFGRVDKNGVPYVAVITAWLFGPLAY LSLGSGGAAQAFTWLLNLSTVAGLIAWATLCFCYIRFYAAMKKQGIGRESLPWKAPFQ PYTAWFGFIMSTVITLIAGFPVFLKGNWSTSDFVASYIGIPIFIVPMICWKLWHRTKF ERAANIDLWSGRLVDGEIMPEEDAHAHKSPGRKFVDWLF ACHE_10649A MTQAVLSGLQGRPINVHTGLFINNQFVPATNNHILETTNPANSR KLTDISAAQKEDVDRAVEASEAAFREWKTTPPQTRVRLLSKLADLIERDSIELASLEA IDAGILYGESLHLSVPQAVDTLRYFAGWADKGAGQSLPIPNGLAYTQHEPLGVCAAIV PWNAPLMITIWKLAPAIATGNVLIIKTPELTPLYGQKLAALILEARFPPGVINILCGE GKVAGQAIAEHPKIRKVAFTGSTLVGRQILRAAAETNLKRVTLELGGKGPSIVFPDAD LENALFWTTLGITANNGQICAAGSRIYVHASIYERFLEEFKGRVAKAVHGDPLFATTS KGPLASAGQHQKVLGYINRAKEVGSRLLCGGEDLNGNFISNTAFADVKEDDTIMKEEI FGPVAAIARFETEAEVIAKANNSEYGLSAAIFTDNVSRAHRVAAAIETGQVTVNCWGN LHSNTPFGGMKQSGFGRDLGKEALDGWTSTKTVKVHLLSTGAKL ACHE_10650A MADFTPRKRRRPALSCVQCRQRKVRCDRAFPCGPCTRARHPIPC SYGEQDGIESIQSIHSSSQTAWDMSHYSDVNVAGDEPGDQAARPSLPLEQMVQNLQHR VQRLEQVVSLNRDTEHSVHDRGLSQSLYDLGNRVSNIEQQLTRDKAGVGGQDTFIPAA TLRLKTSPEKTKVAGQSHWTNAFHQLRMLEKIDDRQAAEMREKIKKCKGLRAKLKRVP TLCDEPFKSLEHDAFWESSNCIEMVNAYFRTLGPIYRILHSSFREEYKWRLVSKTETP PHSFLLKALLVVGIGSVFHPDSIQRSHIRPQVNRWVHAAQWWLTGPDEKSAHSIDGLQ VYCLMLLCRQVHSFNKEAIWVSAGSLVRLACSLGLHRDPSHFPSLSLYECEMRRRLWA AVMEIAVQASFDVSMPPLISGDDHDTEPPMNIDDSQLDKNTRSVPIAKPNHQPTDSSI QLLLLKSLPTRLQVARFNNQIRQPKSYEHALKLGTELETFCKEFAHLQSQNLSESAEF HHKVLDTFLRRTILLLYRPFILKYPQDQRFYLARKLSLESAMAIASYADNTDIVSKPL DDYAKLSISGIGAFKGAFSIDTIIVICVELVTQLEEEAKTRPRLPENVLHRMAQAFRR PIIDTLEHIQEQLLQVIGLGIPSMKRCAILSTVMGQIKAMERTGQYTKDDVYEALVDC IKKCTGVLERYIEEIGSGTSGSVDEWTPMTSDLDVESLLQEFGFPWDDIPLDFSGVQS WTES ACHE_10651A MRGALLYGSALVALTEAQQLYLTTTGYTERPQCTQAAASPEYYF RPFSYTLNETVRYATSVPAPTTTKTYAAPYSEAVKHLTTTPATTTWGNWLPGQTEITA TDTDEPYGEAAWSSLWKLADIKNYTTTGIYSTTVSPTPVPSSELVLPPRDYFEPTDCY NFPDDFIFGVAGSAAQVEGAVGLEGRSPTIQENLATVDQPKNYVTNENYYLYKQDIER LAAMGVKYYSFSIPWTRILPFAVPGSPVNEQAIKHYDDLINTILDAGMQPAVTLLHFD SPWLFVSSDNFSATPDFGNANGGYQNETFVDSFVNYAKIVLTNYADRVPVWFTFNEPF LYSFNFTGANNVVHAHAQVYHFYKDELKATGKMGIKFNDNFGVPRDPTNSSDVVAANR FQEIQLGLYANPIFLGKQYPDSVLETLPGAKPLSSDELSYINNTSDFFGIDPYTATVV SEPAETFTDCAANHTTANTIFPYCVVQETRNIYGWNIGYRSHSYVYITPTYLREYLNY LWNTFRKPVLVSEFGFPVYKEDEKEELSDQLFDTPRSIYYLSFMSEILKAIHEDGVHV MGALAWSWADNWEFGDYTQQFGLQVVNRTTQERFFKKSLFDLVDFVGARMASS ACHE_10652A MPPDHSNTNKQRGKYTTRACEECRRRRAKCDGKKPSCSRCLQGG VSCQYSVVEDGRRPASKTYVLSLRQRIESLELLLERHGIDPREREQPISRKTLDAAAN AKKGGDDTAIDELAEGVKGKLALDESLNFDKDGELRYFGPTSGRLEFQGSSDARDTSE HGKISGITCLDPIITGLDDVGFSRPIQEHLISLYFKWEQPWFAVVDEDLFRQSMYRCG RYWSSLLHVAILAVGSRYSDRMDIRSDPDDPNTAGKFFLEQAKRRLHDEMEKPSLTTI QALAIIGIFYVAIGADAACWLYLGMADRLCLDMGLNLDPAGFEETNMMSHREIQLRRQ IYWTLYCHDKWASSYTGRICSMLDSQGAVKMPDDDEVSDTDSLGRKAFRPLQRAMVSI CRIQERIMLSLWAPKPLLKENQRPEFLESCLLDLRTWFYDLPTELRVDRPNEIPQAYT LHMVYHTARILLAKPFIMRVNSHPSKNQTYHKTADLALRICRESARAICVVAQRYRQV FGGFRLSPISATHCTLSAALVLLDETENLNLPSHKNKISLCLTVLDELANTWHPARLI GHNLRKLCRSAIPNEILSPAGGESNIQRDGNEPEFPLDLDLGSELPDISFDGIEPDQH VNFGSALASQFELSVPMESLPIDYGFFDILNQSNWDQAW ACHE_10653A MMVPQNLWSMATASAAGLWFASGTHASDCSTSSIKNLSIPHGQV LDLSATPVNDYNYQNSTLKFCNVTVTYTHPGLNDTIHVNVWLPSSNWNQRLQGAGGGG FSALDSVDVLEKAVAGGYAVVGTDAGHKLNSASSDSWSLDESGKVNMSLLKDFASVAL NDAAVVAKDVTRDFYGHGPRHSYWNGCSTGGRQGLMLAQRYPTAYDGILANAPAINWP EFIVAEYWPQFVMNQMNTHPPPCVIDAITAAAVEACDGNDGVKDAVISEPSRCQFNAS TTVNQKVNCSGQKVTITRNDALVVQKIWDGMRSTNGSSLWYGLEKGAPLSGGLAITTC STPSNCTGAPFSISSDWIGQFILENPSADLTQMSHEQYQRIFEYSHKKYDPIIGTNNP DLSAFKQVGGKLVTWHGLADQLIFPKGTEKYYKEVESLDPSVRDFYRLFLAPGVQHCK GGDGAIPVDPLESLVNWVEKGIAPETLLGETEDGSRSRELCPYPLVSVYKGGNSRDKS SYTCEEASK ACHE_10654S MTTTIPSRNRIEPGSFNVPVGKFPTTSQSLSVDPNEIASDILHR FNTLLSKKDYVGVANLFLEDGYWRDHLCLSWDFRTVNGREKIADFIQGSKLTQIDIDR SSAFRAPKIGPIDAFGDVIGIEFFTNVSTEVGRGQGITRLVEKDGEWKIFIVFTSLQE LKGHEEGLHERRPKGVEHGQHLESKNWKDRRTADLNYEDKEPAVVIIGAGQAGLTAAA RLKMLNVDTLVIDKEDSVGDAWRRRYYQLVLHDPVWYDHMPYIPFPAHWPVFTPKDKL AEFFECYVKMLELNVWTTTTMTSSSWSDEKKQWTLTLQRQKADGTVETRTLHPRHVIQ ATGHSGKKSQPTFKGQGSFQGDRLCHSSEFPGANPESKGKKAVVIGSCNSGHDIAQDF YEKGYDITMVQRSTTCVISSDALGEIAMKGLYDEGGPQTEEADLYFWSFPSTLFKAQQ VKVTQLENKYDASMLEGLEKAGFKVDRGPDDAGLLLKYFQRGGGYYIDVGASQMIADG KIKIKQGQEITEILPRGLRFADGTELEADEIIFATGYQNMRTQARTIFGDEVADRIGD IWGFDEEGEMRTVWRRTGHPGFWFMGGNLALCRYYSRLLALQIKSIEEGLSA ACHE_10655A MQSTSSSIYSSGTSTHSSSNVSSTTTSTHHQRPQGRTKQTFPIA YPPPQKAPTCLRRFTPNLLLQIQQLSTTSNKRRHIPILEVWQPSMFNTRVAKKVPKLG AGDVYVTQCEGFLHLRANLEGGGDGKGEGEVERRVVGVVGRDAQDEGRRIIYFEDGVG WEGSNSGDGVYRVSFRDKVLEWEKDGGQGEKFVLRTADSRRVRVAKMSRTSIEVNSWS RGAREYLRDGLISESGSGTNEELDARLCTLILTSGVWVTGQEGWINTS ACHE_10656S MPARDKDAHIGSGILRGGNTSRLSELNPENKRRDMIVLAALIEY PGVGLILFETGCAEDLKVKWGAPITDIFARTKYTENNKLPAAIKATGNDIKDVKAVIM GHLHLDHAGGLEHFVGTDVPIYVHEEEFKHACWAVGTGADLGVYLGHYMLLEKLNWNT FTESHLDLFQGIILHHAPGHTPGLCMMQINLEQDGAFIWTTDQFHVVENYELGHPQGG LARDHTQWYRSLNLTRRLQRLYNARLVFGHDKDVAAKLMEKGFYT ACHE_10657S MFSSRISLRRSCQSCAKHKRRCDQRLPQCTRCATRGTPCEYINT PWAVEKRSNRPPASVKATSSMNLPLHLEIIKTFDGTIIRFLVDSMRTFPVTFAQQMKT LFIHPDLYRSSPHLAPIQEIHTVCKSYQSNVYSPRLFGILRQKAVQIHRCATRASSFE ELLFCVQALILAHCILAFDEHENSQYSEATSTMLTNLALKLWHQAPIQLPHAMSARRA WLFAESVRRTIIIAYMLCSVYSFGKRSFSVRTPFVDALPFDVRTSLWDEPTDSGWEEK ARHAPVAMVSLREYSDMLESGRVHGISFFGSLILAACKGLPAEKVAFPPVQGYRDISL ACHE_10658A MSFPYKKVLVIGATSGIGKAISERLVKNGISIVIAGRRKENLDE FVAAHGSGKVQAKVFDVLKLDDIPQFASDVLSSNPDLDCIFVNAGIQRAFNFADPASI DIDTFDTELLTNYTAAVRLTKAFLPHLQKQPTNTALIYTSSQMALVPMMRAPGYGASK AALHHFILALRTQLKDGPGDVKVVEVYPPAVQTELHDTKHQPDLKNGHLIGMPLDEFA DETWSNLARGEEQIAVGSAKEIFEAFEPKRQSVYENLTAGLTEVLKQFLR ACHE_10659A MSQKAITVTAPKQASLVTDRPLPSLRDDYILVKTVSVAINPTDW KHVEYLAPPGVLVGCDYSGIVEEVGKDVKKPFKKGDRICGFAHGSNAVQHEDGTFAEY IVVKGDVQFRVPDYMSFQEAATLGVGVNTVAQGLYQSLQLALPTEPIKEKTPILIYGG STATGTLAIQFAKLSGYTVLTTCSPRNFDLVKSLGADAVYDYNDPSAASKIRADTNNN LKLVFDTISLEDSAKFSDNALSTEGGDYSALLVVGIERENVKDRWTLAYTIIGEAFDF GQAKFPAKPEDKAFIEGFLPVAEKVLGEKKIKVHPVKVLPDGLKGVLQGMQDMKAGKV SGKKFVYNVAETP ACHE_10660S MSFKAENAFIDAYDQAGRRARSITQGKPSPLRQSTSLEQLEENK QPESSICDSSPRTAIDVQNILAAIHRLQVRYRIQDISWISDINVNEKDDFKELKNAPG KPPKLQEQTPLILRKALDALASFCVSREDEVVAVGLEAWHAKKANQEWVRLLIATDNR VEEESKQQLRLIWKSIKDIVSSFNGRSASSTEESKNPVEEFWQDTQELIGNFTIICLS FSFEGWKKDINSDISLLLAVPLDGFAKSHPFRTVRENIHYLYAAYTSPKASIGKPHTE DKNKWRQFVSCLAETQESIEVFMSSDPFIGPENHVYAHYFPSMESYMKKVPLYLDMVQ HLQMAACSSECKGLLKRPFSLYALPAMNNTARDVPHTAKDWQCLLEKAASIERDKYEL DADVIARDMEYMAQEPVARDLPVHCELKLVLEAMQRPKSVYAYIGMSKLSCYGCYKFL DALNNIYGTKFGTRGCTMKARYPWQFPPGLLFGKQVADQTYRSLAWSWIWGYHGYRPK RRHFQPGSVHSVPSVAAGDDPTSPDPTTIKTKEGGNHWFNGSLACSVSKGLRRVSSLL VSQRDSV ACHE_10661A MTSKKLSDSAVIVIVIVCCLAFISLGAALTRQLFPPSESGTRYE PTRDQEMYMRTVRQRNRYDFHRQSLVTKDLESNYTAEESSRM ACHE_10662A MITVANTMAGDEKKMHHVNDDPDVQALPGAESSVDDEKRVRRKI DCVILPMMCIVYFLQYLDKLSLGYAAVFGLIEDLGLQGSQYSWCTSIFYFGQLVAEGP FIYLMGRLPLTRFIARSLGRSMHVSGSSNELRRVRGRASAVSPAFVTITSIWYKKEDH ALRVGIWGGMNPLAQVIGSLLMYGIGKIHHPSIEPWRILFLLCGALTSVFGVVFYIAM PSTPQKAWFLTPREREVVLERMERDREGGDKVKFSWAQVRETLFDTKAWFILLFGFIA SMPGAVIFFGTILINGLNYDKFQTMLLTAPSGAISLGMLAVAMIGCSILPKYRCLVLI LVTIVPLVGNILLLKLPLSATWGLVASSWLASCNPGILVMIMSLSASNVKGNTKRAIV NTYFFIGLCVGCIAGPQLWEPSAAPRFLSGVTMGLSCWCVFIFLVGLYWALCHLENNK RDREQGVDLGPVTAYAGEDLTDKEDVLFRYIY ACHE_10663S MTTNQPTTSTPSFLSSLFTRQPPQPQPPTPAYHNPTEIPAIKKA QKHASGTRTKQLIRAAERDYDDPPVPGECCGSSCDPCVMDLWREEMAVWRERWGGNVI EKKGGLDW ACHE_10664A MSALLYILTTIASPFLTSTTYNVWYDTVHIPELLSIPGGPNAAH RYKSTNPENRKWHFLTLYPLNDIAFTGNPTIRERVSSHHPVLPEGKSIWELIVLEGRD YVAAGDGKELKGGKKWVVTVEVDGGEESVKELLDGAEGYARYRIHRAPTAMAGADTPE IAVPRELVIYELDREEDIEPLINTLHKTSESLQINIQCSSWESI ACHE_10665A MPTAIVTGATGLTGAAIVRHLINDPAYTKIYTLSRSQPGIQHPK IQHAHLDLQDSASSMANSLSGVSADYIYFCAYLAKSDEEEAARVNGALLENFIKALEI TGAIKQLKRFILTCGFKHYGVHLGVPKQPLVESDPRLEGGVGGVKWPSNFYYVQQRIV EDAAASGGWEWICTLPNDVIGYAKGNFMNEAASLGLYATICKTLPGSKLIFPGNKKNY FVYNCWTSAELHARFCLWASTAPGAGNNIFNVANGDTQSFQELWPRLAERFGCKIPAD MFSPATTEAYANESTNMDMRTKHPITVHAQKMGLSKDHLLTMPPALRLPIDPAKWSQR DDVKEAWKKVQSKYNLDEDAWDKATWDFLTFALGREWGCVGNMNKARKLGWTDYEDTW DSFEHAFRMLEEGGVLPPVEQLKRDYS ACHE_10666S MVGISNEEAPLRLLSLGMSVNTFANSQTYVLITPDGGGVRGVSE LIILQQLMLNIQKYYRLPTIPKPCEVFDMIGGTSTGGLIAIMLGRLQMSVDQVLDEYT VLSKDVFGEFKATLNGRFKATNLENAIRGMIQQYGDGDPNAKLFAPENHCKAFVVSKD ASNVTGPAQLYRTYATGGPDDYLDVTICEAARATSAAPTFFKRQEISRQGQTRKLVDG AMGANNPTRLLIAEAQSVFPLSRSVSCIVSIGTGKKDISDVKAPNLFQRIVMPGVPHL SALRAMKDITTDCEAVSEELERRFYDIPNLYHRFNVERGLEKIGLDEYKQLGVIKSKT IQYLQEVLVEKKAEQASFYLLDNATANTTVEGLGF ACHE_10667A MAIEVLPITTADIPAAVACIQRAFADDPYFKWIFNTSKLNVHRN AASLSAHLRYGINCKAPIYVAKFTPDPDQKVPIPILTPKIVGVCWWFPPHPPSKPESW TEWTQEWILSFRQFLFNVRYFGRGGLNLRRYHIWKDVQKETHDEVWVDPKGYYFCNVI AVDSEMRGMGVGRMLVDVVTERADREGVPCYLESSKGMPNLKIYEKLGFDLVKEIECV DERDACKLYCMTREPKLKA ACHE_10668S MAQTYYRRPYSLLWFFAIQLTLMIIYIILLTLDKHPHLAFLTIT TVSINSILGTLFDPETCYKTKTTLDDGTVVRVKKPFIGLKSHEDLVGLTGGYEVRVDG WRYEKALIRI ACHE_10669S MAETQRLRSKIACQSCRERKRKCDGNFPCSYCHRLDHECFYGPT RRSKKTAHRLRQHSTDIKSPESADHDAKDPQLQLLEANSPAVFVRQLALKMDATNAPI LNYYAWNIGFDREIACLPQVSCITEILSLSEMHELAAIYFAHVAPVYDFVNRAGVDEA IIKRWTNGFPYDPADSMLLGIAALGCLFGHRSAGVEMQLVHSARIVLEYSSQLAAPEF DHVVGWLLRVIYLRVTSSQHATWMASCTLMHLIETTKLHFDSATDSILAQSTGPRIHP ERRRKVYCVAQLFNTWVSLDCGKSQVELRGASSALPETGWTVEQRELCRISALLGPEV YRDIDELESELVELCKLNPTQPMLRLIQCNVGLCIYRRFRALGRIMSEDSLDMIVNLA RRSLKAAEDLVGISSPWWHILNIPFQIVCVLLVIDHPQALVMLPDALQTLRSVADHYQ TGMTREAYEIAVFLVNQQRERRLQAVNSLDSALRGHESSNGTLNMGKCVEEQLFLEDL L ACHE_10670A MLRRARRYYYASFPPQSALWSQCREGIFFPPWFIFSHNYHPGAH NLSTTHAIEGNTFVILSSFFTQRCVDRMNLGDGKLYHIGGGGCACIIAPDGRKLTEDL GEEEEGLLAADLDVNEILKVKAMLDVHGHYSRPDLLWLGVDSREKRQVRREVGETLTE A ACHE_10671A MWSILPFVRDIVDEGCYFDLPAAVEKTCRFIEEAASKGCDLIAF PELWIPMYPGWIWQRPIDFEMVTEYIENSLRRDGPEMNSIYQCAASNNVSVVLGYPEN DNHSLYLSQCIIGRDGKSRCTGAKLSPRTLSALSLATAAVPH ACHE_10672A MVRIIALLLLSAMARAQTASSTSSGQSSAITGCHNHGDQVFCIN GSGEEVQVSMTATPTGELPAQFTGCHAHGSEQFCMDAQGNEVQVIGEETTDEHGHDEH SHEGESSEGSAGQNCHFHAGVEHCVGAGESEQSSTPSCGMQDRDYDVPLRIGTLFVVL VTSAIGVFAPMLLINLPFKTLNTMVSTGIKQFGTGIIIATAFVHLYTHANLMFTNDCL GELDYEATTSAVVMAGIFLAFLIEYIGHRIILARGSKSPTTTPETPEQNVAEPKEQPT THQCQQTHALAGLGHSHGSPYDPTEPTSKFSVLVMEAGVLFHSILIGITLVVAGDSFY RTLLVVIVFHQFFEGLALGARIALLPGRIFPSKAIMAIAFALITPIGMAIGIGVLNSF NGNEKSTLIALGTLDALSAGILVWVAVVDMWARDWVIEGGELVDAGLGRVAIGGISLI AGLVLMSLLGKWA ACHE_10673A MSYPKLYVAFFRPFFGNYQHWALYLDNEEEQLIFEVTGEHPDFE RNVQKSPPDLLDGFLHTLYVGVIDRNDIHAVTQVAETVFVDNETFEWDCQEYVLDILD RLEEEYVLDCDDEDYQDAREVLRDERGAML ACHE_10674A MVPGPGTLAPANTPYPSRTPTAQSCSAPPNSPEPMHVKRGKRSS KEPFPDLDDEQLNYNPSADVIPSSPLRNHETSTDAVSAAQTELGQDLNYDPEKGIPRG HSLKRRGRSGLCDDKERRCACWRRLSKKARLAIKIVIAIVILGAMLAIALGIAAAAGG VEVRIGK ACHE_10675A MAQTNITLSSKVIAITGANRGIGLGIAESCLVNDAARVYSLDIG DTTDEFHGLSQRFPDKLFAIHTDVTQESSIATAVDKIIDEADALHGMVVNAGRTKHKP ALEFTDEEIEALFSVNLFGSFYTARTAARAFIRLGIKGSIVFTASMASYRPNKRVPSA PYGASKAGIRNMTHTLAMEWAPHNIRVNSVSPGLVNTAMTYWVPQQEDWKQQLEYYGG FSRLAEVQELGGAYVYLLSDAASYTTSIDIPVNGVIGIC ACHE_10676A MESFLVHDDRFKAILGPSPTLELLLENKEYAFAHEAGVYIPRDN TLFITSNHIHTTTGDRTVQISKVLLTKDHHGVVRASHRELPSHAIPMGNGGVNYKDGI LFCAQGSLKVPSGLYYMSSKHPHEVEPVITNFYGREFNSVNDVVVHTDGTIWFTDPIY GYEHGYRPVPSLPGQVYRFDPVSGAIRCLADGFIKPNGICFSPDEKTVYVTDTARFRA IGAVDETLPSSMYVLFHFGTDSRMMLTGSDSYAFDVAYYHGEPFLTSRRLFAMAPVGI PDGIKCDLEGNVYSGCGDGVTVWSPGGVLLGRILVEGGAANFCFGREGEMFILNEHRL WRAQLNSRGALLGI ACHE_10677A MSMTPTETRKRTRTGCLNCSRRRRKCDETKPTCVGCKRRGEKCQ WRMLGSFRDANIKVLEPEHPSMKQSASRKQNNKFKILNVQPRRSQERESPQVSPKPVD DINLPNANNDLDDPNENDDNNDQRPEHDHGHHSPVNNNDSLPAPTPAPPPATAPPLEH SPSHHLNFANHSPSSSHGSHVPYLSSPDFMGLISHSSFSEGYPAAIASPLFDHGVFSD PANVANIDVFVPGSAYEALHTALRNRQLWTARPDIPSRGSTPDIVPGVAPNETEAISG REFTLSSEREIILWQNYLNEICLWLDMFDNHRHFASTFPQMAKSSPHLRYSILALSAR QMERKQNEKSQSESLSLYQEAIHLLLPELESKTTPVIASCVILCVLEMLSCNPKEWRR HLDGCAFLIQASETNGFSGKEEQALFWCFARMDVCGGLISEEETIIPIHNWTPRTMSP HQAAQLFLASKANFDTYANYTVYLCAQTLGVLCGASKHHHPSCFSCQYLDGDTFVDRW QGLLDRVEQWYGQRPSQMKPIFSGYTPGGREMPFPTVIYGNGAAISGNQLYHACALLL LQRKPKTVSLSRKPKSVLWHARQICAISASNTHHGCWTNALQPLWLAGKAMSHCSEHE AIVETLTRIERETGWATAWRVEDLKEFWGDDD ACHE_10678A MSLLVGQHLSWAITATAGSGFLLFGYDQGVMAGLLTGEAFVRTF PEIDTTPTGHGSSSLQGTVVAIYEIGCFLGALLALFFGERLGRRYCIMIGCVILAIGA ALQCSAFSIPHMIVGRIVAGVGNGMNTSTIPVWHSELSKAASRGKGIAIELCINIFGV MTAYWVDYGMSYVFNDAQFRFPIALQILFAITTFVGVLFLPESPRWLIAHGKPDEGRH IIWSLQPNARSIAYDDAVINIEIDEISRTIAEEQQATSESSFKMVFTNGPQRFFHRTM LGMGGQMMQQLSGINLITYYNTVIFESSVGMDHNTALLVAGFNGVAYFVSTLIPIWTI DRVGRRKLMLFAAAGQCACMAILAGTVYDGGRSAGIVATVMLFLFNFFFGIGLLGVAW LLPAEYAPLAVRTRSAALATATNWIFTFLVVEITPVSIAEVGYRTYIYFAIFNFCFLP IIYFFYPEPRNLTLEQVDRLFTGPKVQLHWDASMGQAGDVGGRTEKDEEVQHVE ACHE_10679S MSPSLGLDHTTPGPVPETNQTPAGFARTSDLFSLGNRTTVITGG GRGVGITLASAVVEAGGDVVCLDLLPNPSENEWNALQKLATARGLQATYVKCDITNEE NTKKILEKVAVEGAQRNMPMRGLITCAGIQQMVPALEYPIDGFRKMMEVNVIGTFVPA KHFANILKEQKIPGSIVMIASMSGDIANRGLTCTAYNSSKSAVHQMCRSVAQEWGQYG IRINTLSAGYIRTAMTDQLLQEKPEVEEIWMRGALLGRLGAPEDFKAPTVYMLADGSS FMTGADLRVDGGHCASA ACHE_10680S MKGFSAGIPIAILAALGQVQAQAQVQDVAKRQFGSPFEHHIEHG LEDVFDHPPHPHGGPHGGPPGGPHGGPHGGPGPAAHPPMAHAARAFRPGEDAPSIDSD PFFSEGLNEGRPADHGPSAVPTCSTRTEHVVHTITKTVTPEHEHKHTPTSHAIGLDPA AHVPQSSSSSSVHAPVTTPAVAHVAHSSSTVQAPHTSSAAHIDNVPHTSSAVHIVNAP HTSSAHSALIPASTQAPTSTAAHGPVATSTSTVHAPMSYNVIPVHVPSSSHSSIKLHA SNTPSSSSAYPSGADGTRFHGTYGTPGAGVSFTGAGAHLVPNTGIVTAVCGLLGLLAS TL ACHE_10681A MSSPHTGGTLSEMAPSGTTIPNNAGIQNTIPSVPRPDQRSENPQ FDNAGLAEPTSAFAADNATDLPRSTRDVGTTGEVVTGTGNSIGAGVERKRTQGANDPG ARGDVRNLKHGNLNRSAFERFAKEDEDSWEKVGEHFGRNAGD ACHE_10682S MNRMVHSRPSLDEIEPNLFLGNLSSSTRYLTLQEHQISTLVSIN TGCFEIWDLPYNRALIPKERHLFIPAFDSSTQDLLQHMTEACDFIERMCSLKIERPAK TKESKAIPETQTSPNVILVHCDVGISRSATIMIAYLMRKYRLPLKDAMARVKAKRRKI RPNDGFREQLEIWERTGYQVYEDGRAKIPKLEYKKFLKRRTKRLKEKGLKGNEPIVPE SARTEAMLANMQLDE ACHE_10683A MNSYILNRALGSISPTTFHVAQTTRLVHHLEPAPGIRFASRKPQ QAGYTQEDGDAGDPGNEILAHKSGVNVLAIDQFEGRCMVSGGADASIRLWDLESRGSE LDHIHTARSSVTRASHEGAHTHAITSISIYPFDPVPSTVLTTSHDGTLKLSVLEPEAI TPVHTFNLDCTPYSHSLSSHPGSTLLIAVGTSEKSVRLLDLRTGLSTHGLPGHSSSVL SVSWAPHQPHILASASADNRVIIFDIRRGGHNSAIATLDMDDAVGMVHPQLAPSSYQS RPVFSRHARAHNGAVTGVRWTSNGSHLVTAGQDARIRVWDATTGANTLVHFGPRVRNS ASSHLAERVPLLMPRGLMAPGHETLLWPNFSEKDDRGEIFMFELRDGSFIKRLKVPGL MAGRQQFQGRSTALSAARINALTWRGNGASGEGLEMFSAHGDGTIRSWVSREPEGEPG EAEQAEQADRKRKRDVLEEIYQGFINNNP ACHE_10684S MSYGKSLALSQNNFVQPPEEHEVDETFDTGISRQKRQATVYDAV AGRLNSHGFLSTVPYSSTYRDTTSSSANPVRPEEVLFRRLNAPTRYEETDFYFAHENL PSTCPLPSSELLESIHAYTADFYDHATIDRGQDDYQSMDETALIAMGILLEEMAKESL GETGDLVLVEGEEISGGERLPSMRHRRRMSRKRESSTLVSSGDDLENVVKRRRTKRPR LMSDMDTEPDEKPRVEINE ACHE_10685S MPPKLSKSAKQDLIVSHLRATRTCHTLKDLEKMLPSVASINGMQ VKEYIQMLTDENLIRVEKIGSGNWYWCFGSDEKKARETRLGQLQKEVEKAQASYDDMG SCLAEQLAKREAEANGGGGESGGDGNNEDERDTLQYQKGVLEKQVQQLQAQWKAVMPS TGDGEWKSVQEKKAELGTLRQTVQQWTDNIYILEEYLGKLAGGDREIIAAVQQECYGE EYVEGEGLREI ACHE_10686S MSVDSFGARPSSSSRTRSSILSRFRSQLGHRNRSISDIYIEPDD PWRSYFPGDAIKGTVVLTVVRPVRITHLVVCLHGVVKVHRNAVPASEAGPDVGVLGPG RGRRGSEYLGNGLATLFEDEVVLCGEGRLKEGIYKFRFEMGFPPYPLPSSINFERGTI AYMLTSTLTKPTTINPTISCKRRVNFLENIDIAPFPAPKARIVTLEPVSRRSKSRVKG KSPATDSTVTATTTTPPPQAASSTADTLSREPSMNGGGSTSDHRAPLSPAPSNVSSSS RLSNSSQSFQIGSDPSSSTSTRMRNSETRSITPSTPDKTITAKTEVLRAGVLPGDSLP VHVTINHCRQVRSAHGIIITLYRQGRVDMHPSIPVGYSDDGKKPIYEDCYPKSRTGLG GLTIGTSRSSSIFRKDLSQSFAPLIVDPSNMTAVVRTSIRIPEDAFPTITRTPGGMIH FRYYVEVVADLRGKLTSPERFLPRLNMVSSGSTFSPSGQILNPADTSGTNSVTANWAG NILDTDQIRREKGVIAVAFEVVVGTRDSQRVNGARAATTVGSNAPSATPGSEFLPSVG NAPVAEGGEWLENPNSLPSAPEEYQYPVQEDYGYGYFHDPQWAEYAENYGQPPQHYHH HQPFAPMAATPEAEEPMDEKARLRQAEETLLPSRPPESPEAGPSTAELAVPTAPVLPE DDHINGYHHVPSVPVRENGISPAVMSAESVHTIVPGQHGHHPMERSSAPPVSTPTHGD DKQELERQRLMMEASAPEDPESSHHVNGAPVEEGPSAPILDEDEELVGGRANGDESLP RYQR ACHE_10687S MPAKPFLHPLKTPKTATFPSELHDDINTAKTPSTISDTIKYEPG TATPITPPTAYTEFLKALTPVFTSPVSAGTSFPRFQFDKPAGPAGQGPAQGPTSAPTP TSTTTSQPASAVSGTFGFHEREPPVKSATLPPPPATATGTGPYSGPGGIRKHQSLRRL RIPPSMHLSPITTAASDAPRSATTLRSPFSPGDWKLRYMEPRSATTTTSTGGGGRSVS VRQVVTRTVTYKRMQLEAPPKGKGKRRRYHEGKDV ACHE_10688S MTAPFTPDSAAPEPSTPNSAISITALARFEFEAGKANDGTKILM VEWEDRRAGSWHVFWEGKKAVLPADEQTSESTRRFYFLLPPDVTIPPVVTLKYHHPAS GQMTKENGADTGAEQKPNTIQLNPLPAIFPPELGVTGRSAGKKGVLHTIWAKKRLQVL EKEIREECLNNAEGIALHMAVQEKEWIETHFGLGPNADTSDANNGGHDHGRNYPMGPT TPVSPGGSGKLGEKLKGLKLQTSEQGLSPREGSAARLLSPQSPDVAVSSFNSFHSIHN PNPPAVKAVAPPESIQAQQHANNGSSGFASMNSLAGPSNPDPGEELFAKALSPRSPDL PRSPFSFAPETLHM ACHE_10689A MAIMSIGSFATFLIVCRASPITPRTTLIHNTATNKTTATCFFLG IIFSLFPYDYPILWSTSPTPASHYDYLESHLRFLHASPPLIPRILHIVIFLGLAGLLT KLYKPSESNMLFDGASLVLYMCGVTVYIANIVKGLRLVSDGRYGDYIDEKVSEGLLDE AQQMGAAGGAGAAGAGGQILGREDSLKVLSASNTILALVLVGVLVLQAGQWYAERKDA LEFESLDETSAKEGEEGTGTDGDGEGTSSAVKVGGKKQGLKKKN ACHE_10690A MSDLQIVTGFAILLSGFAQFQCGLAALKWRTILDLAWFSCLTHL LCLTMLRRHLHTHTFQRIWRLFAMGVLAALLAAGLLITANPKWLLLSEDTKATPTICI VGCYLKPGPNKEWVESMESIPSVESWQPLEWFWTPVISASFVMVAFVSQVVRLHKTLS VGVSRATKWLDGQMQRLLWVLFRILCTEGDICSLGYRPIFGIVMILRFVLDSWASFAV EVSWVFAAFLWGILRLVKDLSPDSKMEPLDLNKQAWTFGQVVSVFALAAPLISIIGTL EENLKGEESSVPQRPSDGHQSSRLPLSSIRYSTFEDLERPDTGWASHTSCLGTAITYI YVSCIFIGYLVFTSMADGSALLNSIRRSGVAIPTAIYCFYCVVLCSLTIELAVSQDHH WLRRFLQFLIIGLFMIGWVWCTSLFYYLQIFAAIGLYVMGALIHRAIGWCTR ACHE_10691A MPATKKKSTPKPRVAKKIESESPPAKIPSTPMERTERQNRLHKR SRSGCFTCRLRRKKCDEKHPSCSACNNLRVQCEYKRPIWWGNPEHRRHQKEEIKNKIK QTKMSERNASVTDPLIRRNLVASPVTPPEYEFSYPVMSEQYDLFAPSQMPVATMDTVA YGPYGPYEIDVKTERQTYINDVPLRHDSSISTFSSFAPPQIDAPLPTFPGDEWLQDDC FTQIPTLEGIDPALCDTDTAESYTTMQSNIPVSDQDRPLLDHFIHNVMRLIFPVLEAH QQGQPRAQTILRALETNKCYLHCCLSVAAIHLKTTVGIVSEQIDHDIMRHRYEAVSQL CQALGQDVDHEEILDATLAMIFFHCSVGPADDYLPDIPWFDHFQAASNLVNRLGLPTE MLSAGSPYMPSPPFNMTLAAWIDILGSTMQGTTPQFAHTYRSKHLSGSSSGLRELMGC DDRVMYLISEIACLDALRTSGRIDSMAVCSHVSALGQQLEFTEPADQTLEHPYSPTTG AIRTDVLTKNMTRLFRIAARIYLCSLVPGFDRNQPSTINLVSAFIETLNYIPTGPTGF DRSLVWPLLITGSFSSPGSEFRTILAQRAASLEEHAELGSFGRMYRLLQEVWRQADEL EEPVYMPEELYSNPSEGSGSGSASPVAKLERSASPEHAPLMAAPKQTVSWRDIMQRNG WHYLLI ACHE_10692S MSFKVIIIGGSVAGLTLANVLQRYGIEYVLLEKYRCIAPQLGAS LGLLPYGSQVLDQLGVNEAVQAMCERVESMHYYNSDGAKLGCHDTFGEMLLKLTGYEF NFLDRQELVQALYDNLQDKSKVHVSKGLSSIDRLDTGVIVTTEDGTTFTGDILIGADG IHSQTRKEMWRIADSEVPDYGTQQMAKCGNYLLVQMHVRHLRPPRRCPRWPRIQNLPQ KAYQAGRAGKLYFFAFSKNPQVTIGKDIHRYTAEDEKAFVDAQRDDVLFPGLTFGDLY QKRRAAVLVPLQEYVLEKCFYKRAVLIGDSFHKMNPLTGQGGNSAIEDAALLGDLLKE ALDKNPCPTNETIHAQFTYFQEERKPRTKILVDGAHSLQSLEALETPLLEFIQTKFIA KGGVDKVAFAMAAAHSPGHILKYLPRPSKEGVVARDIEVVARPGQRSSVATGFWIMLL FLIACLPLGIGQSVSMGSVTALHDSLLNYTLVFTMGINALWTLESHRPGLSGGYLGSA IPYILASTAFGWHLITPIYFAIYIYLSQSRPFCYPNPRAIDLRAAEYLPTGLLVTYFV PALFILRNAAAIAIPGWILSLAQLGLPILVSLGQTLSKRVPPSSDFAEALYGTRDMPY ISQFYNFSFLITSTLHIVIASRIFPCIQGVAVVIETAFSSEGAQLGCLIGAILIWSVF TVWDLRRTNVLQTPLSLAVLAVILGNICFGPAAALIGLWNWRESALERSRRRK ACHE_10693A MGADTEDDHHSESAMSSETAGPSSSAMAARSHMDMDPQEVDNIV RIASHLSRRHENIHPGSTTDGETLNEDDPALDPSAKEFDLRKYLTKVLRDFSREGIEA SHAGIVFKDLTISGSGAALQFQSTVASMMSLPSQVKDLWRERNSPAKQILHDFNGTLK SGELLLVLGRPGAGCSTFLKSLCGELHGLTLDDKSVVHYNGVPQKQMMKEFKGEVVYN QEVDKHFPHLTVGQTLEFAAATRTPARRLGGMSRDEFAKHVTQVVMSIFGLTHTYNTK VGNDFVRGVSGGERKRVSIAEMALAAAPLAAWDNSTRGLDSATALKFVESLRLFADLA GSAHAVAIYQASQNIYDLFDKVMVLYEGRQIYMGPANEAKVFFERQGWECPLRQTTGD FLTSVTNPSERRVRPGMENQVPRTPEEFEAYWKASPEYQNMQVDVASYEKEYPLAKEG ATDSDFSQRKQLVQAKHTRPASPYLISVPMQVKLNTKRAYQRIWNDISSTASTVISQM IMALIVGSVFYGTPNASAGFQAKGATLFFAVLLNALIAMSEINSLYAQRAIVEKHASY AFYHPFTEAVAGVVSDIPIKFVIAVAFNIIMYFMSNLRREAAQFFLYFLITFIVMFVM SAVFRTLAAITKTVSQAMSLAGVLILALVVYTGFVLPEPSMHPWLGWIHYINPIYYAF EILIANEFHGRDFTCSEFVPNYPTLSGNSFVCSISGAKAGQRTISGDDYIAVNWEYTY SHVWRNFGILIAFLVGFMFIYFVATELNSSTTSTAEALVFRRGREPDYLRSNQEGSSD PEKGAAPVATRQPTRTDEGELGKIQAHTDIFTWRDVCYDISIKGEPRRLLDHVNGWVK PGTLTALMGVSGAGKTTLLDVLAHRTSMGVITGDMFVNGRGLDQSFQRKTGYVQQQDL HLETATVRESLRFSACLRQPPTVSIQEKYDYVEEVIRMLNMGDFAEAVVGVPGEGLNV EQRKLLTIGVELAAKPKLLLFLDEPTSGLDSQSSWAICAFLRKLADNGQAVLCTIHQP SAILFQQFDRLLFLARGGKTVYFGEIGDDCRTLLDYFETNGARKCESDENPAEYMLDV VNAGQNAKGENWFDVWKQSNEARGVEMEIERIHEEQKHKQPVDEDDHAARSHEEFAMP FWFQLYLVTYRVFQQYWRMPSYIMSKWALAIMSGLFIGFSFFQAKTSLQGMQTIIYSV FMLCTIFSSLVQQVMPLFVTQRSLYEVRERPSKTYSWKAFLIANIVVEIPYQIMMGIL VWACYYYAIVGIQTSAQQGLVLILCIQFFIYASTFAHMVIAALPDTETAGAIVTLLFA MSLTFCGVMQTPTALPGFWIFMYRVSPFTYWIGAIASTQVHGKAVECSAAEVSMFDPP SGQTCKQYLAEYMSYAPGTLQNPDATADCRYCSVSVADQFLAGSNIYYSERWRNFGLV WVYIAFNIFAATMLYYLFRVKRWNMDGLKEKFSKKK ACHE_10694A MHKVELTHNKRRRVWDCGFRIQALNESEPHIVAKARLLVSKINQ RHSQPLKITNRISCYAFHVMGEIALGMEFEYVQRRTPKDIISWLIQAKENGDPGAVLS KRALQDAWTLVVAGSDMVSTTLTNALICLSTQHSVLFKPQSEFGQVFPRDMQD ACHE_10695S MFRQPITSAVRKALSPSLASFAFSPRAAARCSRLTSALHPCHRN PITSKTSFTFFQEQLPRFHSRHFTSSASSHYGFGNQPYRRFNNPQRQPFLWRLLGNAK PHHFVLIGLGISGLYIYNSETVEMTGRRRFNCISSEQELQMGNQSYKEVLSSSRGKIL PEHHPTTVLVKRVLDRLIPQAPIVGANWRVHVIKDDGMINAFVLPGGKVFVYTGILPV CEDEDGLAAVLGHEIAHVVARHPAERMSNSLLTIGAVFLISAMFDISGQIPSLLLNLM YSLPNSRTQEAEADDMGLMMMSKACYNPEAAARLWSRMQRLEKEAPPQFMSTHPSSYN REEAIRDRLHKAQDAYEQSGCDSMKTYMPGFRQAYGNFGW ACHE_10696S MSLSMPGPSQGGLFKPGYQSHDAEDGAVIRNIEACQAISKTVQT SLGPYGRNKIVINHLQKMILTSDAASILRELDVVHPAAKLLVMASQQQDAEMGDGTNM VIVLAGELLKKAEEVLRLGLKTSDIVQGYEKAQNFALKTLEELEVDRLQDLRSQEELT KALRTVVASKQSGTEDILASLVAEAVLSVLPKNPVNFNVDNVRVVKIMGGSLEHSRVI KGMVFGREPDGIVKKATKAKVGVFSCPIDVSQTETKGTVLLKNAEEMMNYSKGEEERL ESAIKELHDSGLRVVVAGANVGDLAMHYLNRFNILVVKVLSKFELRRLCRVVGATPLA RLGAPMPDEMGAVDVVETAEIGGDRVTVFRQEDVNSPTRTATIVLRGATQNHLEDVER AIDDGVNVVKAITKDPRLVPGAGATEIQLVERISAFADKTPGLPQHAIRKFAEAFEVI PRTLAESGGLDATEVLSRLYTAHQSKGSSEEPYWTTGVDLEHGSSEGTLDAVDEGILD LLASKSWAIRLASEAARTVLSVDQIIVARQAGGPKPPGPNPNWDED ACHE_10697S MSIPAQTAARCCRQLVRPSTSPLRFSTSTYLSQRTPCRRWQSTE AEGAAAAPANPKITQIVDQISQLTLLETADLVSTLKTRLNIPDLPVGGFAMAGGAAPA AAPVEEDEPAPAPQEKTLFNLKLEEVDAASKAKVIKEIKGLLGLSLVDSKKFVESVPK VLKESVPKEDAEKIIETLKGVGAKVTME ACHE_10698S MSLPRASDSFRRLPRLSSQFQQSRPVTVPHKFAPTHQDNTTSTT LKPTRSFHSTPHLSAARRSPTVRRAEASRTRIASSPPIYNAPVRGVKDGRHQFLEEHG IQLWADAQRAGLIPNAVDQQVFMRVGSALLDKAYTQAPSREAIREIHDDPDLTFNVGQ VVSIGDPRFREWVVTACTLAEARFATIISATRFLDKATTAPKLSIALNRIEYLALQVR DPVSMTLHARVLILREQYNEALALIEEVMRVIRPVTTSRPSQDKHFPLDLAPWNVYEE VNRKMGNDDAADKAVETAAREYHDPQALFRLAGRHMKDGDLENYEACMSKAASTGNAD ACRKLANFYYLTCLGHYPRRGEDATEQKLRQQQEQQKKSWISSFFGRMLSPDDYLNLA REWYELACTHGSHDAALTMSLLLRLDGKFDFGKQYLEMAAQKPGLASAIRGYRVNWHN KELTMNVDLKRLDV ACHE_10699A MRPKARNITATKAVTKMRLTRRWPGDEAQLDLAGGQTMWIPSEL PLFPIDELSLILAMLCFFLSDWEVSDSRTQGRRSNSGDMSRHSGSSAFASPVNSDHSM ASFTGSSAMTKPQSQQSQRQRPSDCSAIMGIDNILQRPLTPPPAPRRQPPQQQQAPAL APNPTRTTPSQSRYHLHIRQQPIAARACGAGDRDRRPVDPPPIVQMLLTDFNLSDVDI LQDPRFTVGCLLFPVAPAPSASSERHSWESRTSGITRSDDDSGNLPGQSTPLLSGKAF VSPFYVDADPDPVTAPAHPSSYNINTDLSTNTKPKPDENAKIPSTFFIFSDLSVRTAG LYRLQFRLMNWGSVEDTGQSMPILAEAWSEPFRVYPAKDFPGMRDSSPLAEGLKEMGF VELKTRGKGKGKGRRR ACHE_10700S MPPKRETDDSTATPADSEQAPESLPIGDEDDASEETNSKKSADG GSADATAEKEEDDAAAKARQRQERFKALQARAKSGAERNLKETAAETQRLATDPALMS NLSRKHAFASHNLLKADTEASGEDFERKRAWDWTVDESEKWDKRMNKKQRHRDDVAFQ DYTQDARKVYKRQMRELNPNLEAYEREKMAAIEKAASNGDLEIVETNDGEMIAIDKNG SFYSTADSVGFTESKPDRAAVDKLVGDLKKAEEVRLKKRRDRRGDDEADVTYINEKNK QFNMKLSRFYNKYTTEIRDSFERGTMI ACHE_10701A MGILLEADYKGVVQGREPIISGLQRAFSSSKLGSQNPLERKEGE ALKPKEGDRQLTYELMNRDSAIALAQESSGEQVPTFVYISAAGGAPILPSRYITTKRD AEATISSKLPDLRSIFMRPAFMYDSSRKFTLPIAMGGFIGTEVNNFLGNRLQFLGSMV EKPLQVDVVGEAVVEAMEDESTRGAVGTKQIEILATRAWRKSML ACHE_10702S MPSATGPNKRVRGVSVFRPFVFGSEAQPFDPANKPAHVPADHTH QWRVYVKGVNDEDISYWLKKVQFKLHETYAQNVRTVEQAPFEILETGWGEFEIQIKLY FIPESTEKPQTLWHSLKLHPYGHDADGKKERREVVVSQNYEEVIFNEPVEQFYDLLTG GSINGQPQKGKGGKNTKSGQQGKRSAEIPLNETPGNPYSRATENKEMGRLAEANKTVE QMIKDEKARLVEREKKLAELRESEGVPANTKKR ACHE_10703A MLDTLEVVLEQFRVSPQNGFLPAVPPLKRLEDPYYEPWENIVCD LPACIKDGSIRQKVDCLPVLSTLMLDDEAEWRRAYVVLAYLTHAYVWGGEKPSERLPP AISCPFLDVSSRLELPACATYAAVCLWNFATNNDQTDLTDPDNLCVNTSFTATKDEEW FFMVSAAIEATGAKLFPIMLNAIHAVNVNDAPTVAASLNRVCEGIREIAIILQRMFEK CGPSVFFHQIRPFLAGSKNMATAGLPNGVFYDVGDGQGEWRQYSGGSNAQSSLIQTFD IFLGVQHSATGEVKSDAAGQQSGRTGYLQEMRNYMPGPHRRFLEMLTRLSNIRAYAMR QRPGSAVRDAYNTAAMTLGSFRDIHIQLVSRYIIMASKTKPIDDHARKTNLATATAKH ARTTEKHKVSLTGTGGTDLIPFLRRTRDTTKAAANYMDSAVL ACHE_10704S MKAPSTVPKPEFPADADTKEYAASLDAVDPLRGFRDEFIIPSKA NIASKKLAKPGLSEEPCIYFCGNSLGIQPKATAKYLEAQLDTWSSIGVGGHFTDLEGS PLRQWQLLSEQAAESMSKIVGAAPEEVAAMGTLSMNLHLLLASFYKPTSDKHRILMDW KAFPSDHYAIESQIAWHGLDPDQSMLLVGPDEGEYEVTTEKILSYIDNHASEIALVLL PGIQYYTGQLFDIKRITEYAQSLGIIVGWDLAHAYGNVELKLHEWNVDFAVWCTYKYG NSGPGGIAGLYVHERHGQVDYSAGRDSPKFRHRLTGWYGGDRAERFKMDNKFKPIPGA GGFQISNPSAIDLTSLCAALSVFDKTSMSEIRKKSVLITAYLEHLLLKDSTDETRQFQ IITPSNPEARGAQLCLLLKPGLLQQVAQRLQEIGVVCDKREPGVVRVAPAPLYNSFTE VWTFVENLKQALKE ACHE_10705A MANPMTPFPPWNTVLSIAGLYLLYCECQPLPLFHRATFVRTLGG RDPEIIYAVLALSIRFSEELYANDDELVEMVNSYAEVARGLVTKRVWEGPVELSTLQS LCLLSLVDFTNGNTHRAMIHGSLAMNLAQCANLSSESHTGLSPVAREERRRCFWSICL LKRLHGGDLTNLEFSERNYLPFPESPARPLLHFSPESTADESRLTDLQDQGIIAYVIM LSEVFAKTAKYVRRHGKPNSVPPWSSQSEYSEILALQMDLETRMPYTHRFKPARLNER TPEELLEHRDYWGPWFLNQFLYHTNLCLLNHPLLLSLSLRNFRSSIPEIFLQHTSDLI SSHTTWIVYFIDYFEKKSFVASDPLLGYSAAVVATIELQLSFTESPTIRQEKRNRFSK CVRFVQSIGRKWPHMARMAERLQRLEDAVTSSYQPDPNAQNKSLLIDLSRFWEILEYS FNSDATSARRMFGDTLYLGPPTFAAEVSQTSPLPAPTRLNLLGQLRSQEDTGFGSGPD SPVPQYTVDALASPRHMDLINDEFSILATNFFSQGQDFLRSYDTREGVGDF ACHE_10706A MYRTVPRMAGFVFRENRVPYYQRLFQRHDGQRQWWKTSRSGYIM YPYLISVYGMGAATLYALGRMVFGHKTWI ACHE_10707S MRPALVRLLKRPSAVSVLDSLVSTPLGIEQLELNYKRLRCQNRT ISQSTSLNEPEFGSQPSATKESPAAEYNKSNRQPFSFRVHEIGSSREKTDDDIPHPKK YPGAKAPARSLALQQERLEFESDVGHIKDIGTKLVDDPARRHDFALWEELLRHRQRHY GDKGTLDIWEGLTIRHDGIELPVDGEHADFLWESFIELGLRRGLLLQEIVTYASELWK KSGKRWERLYLKVVGGLLERGMVQQAVTWHENLQHPHLSNPNDILHLLEPAISLGAHQ MVDQGAIAPGVRAFRQICRRTQVRHIYGPVISRLLQSGLGREALWMHNFFVSHNEHPR RFEDIQRLLQYAMEHTPTYVYQKLETYAMDTFESKRVESNDRNVTTGEERVENYMVKE KPFSDELGARLFATRSFNLDMILSGLRMLGVTAIGPQSLREMAVRAHGSQDLLDKLRL LQRSGISVGDSVFARLIRRLAEEKRDILLSDLLHSDQHPDVLEDATMQESLLISYYMT RDWRHYQMTLAILAELSEEGPELFNVHFRKQIIAGHLKSAAKVVDTMTLRGRTLTKDS LDLLVRKALGPRRPGVGPPVRFGLHPSKEVLVVFRILKRAVPKGCHVDADLWVEILKR FGMTHRWYEVRDCSLWLARFYSSLAKPPGNEPWPIRPSDQHKARIAIPPAHYGSDMLK AIFSSQMQAALVSWGFRCRVSLSEAKAYNPFKVKGEQLVPWVRGLVLLRELEQHGIRL ARYWIRRTCRHRLAVLFGRDRLSSRPRNRMLRRENPYDVHQVTQDMNRAWGSHTLFRG WEVKDLHRLVNPPSTKMSLNRTRRTVFRATHLRKGAFVRTR ACHE_10708A MAHILLSLVIVLLSSIANAFHLHEVYQFPNGTWVENIAIRSNGN LLVTFASTPELWEVIPSAQLGATQARRLHHFPNAKMATGIAELEPDVYAVITPNTIWK VDLNNGIKKTPTRIATLQPARSLNGMAVLNPDTQTLAIADSEAGLVWRLDTKTGNYTV ILRDETMAANTKEGVLLGINGLKVHNGHVYYVNSPDRLFCRIPVNTTTGRATGPREII SQGALADDFAISRHGVGYLAGLTDHVITRVMANGTQEVIANSSVLMSATSGAFGRLGG SDVLYITTGGETSHVVNNTSSRGGKVLALSLEP ACHE_10709S MRVKLGAGHTLHSAYENLERYNLTTLGGRAASVGLGGYTLGGGL SHLSPAYGLAKDNVFEYEIVLPNATVATVNEHTNPDLYFALRGGMNNFGIVTHFTMRA VRQAQFYSGQKSYSADKRDIIADIAYELTTGSGKSDTAMSFYYDFGYDQETDNYTLAF TQEYSRPILNPRPFYRLNRVPSESSSLRIDWSTSFSREVDSATPPGGRNLFATVSYYP SPDIDRQIQDIMIEELQSVKKTPGFLPNLVIQPLYEASIRISKERGGSAAGLDADGPL TVVLLTTLWNNASDDDAMNTFVNNWVEKSTAATKDAGKHHPWMYINYASKEQDPYAGY GEGNLQRLRRIQSSVDPDGVFTSKGLCRGFFKLL ACHE_10710S MDPKVLIVLTSVAKIPGTDHQIGWFSPEFAHAYYVLKEKAEMVI ASPDGGETPLDPPR ACHE_10711S MAHFEKLNAQRTFTDNTIAVGMTLEWLRDDDSISIYNVASVGFS QDNIPLALRPTPLQRTKPHHPWIDCFPFPQIQDNLIAVEDKFDESELCSDLMAFWDTR NSGTTPLVWGFPWDSNNWEVMEGF ACHE_10712S MKGLGFLALLPLVAARSLRPRSTACNNSPDLCSKSYGEITHLGA HDSPFVRDASTDYSTAGDQYYGTTDQLSAGVRLLTAQIHKKDSAWHLCHSSCELMDAG TLTSWLTDIKKWMDSNPNEVVTILLVNSDGASASDIHSAYQSSGLSDLTYTPASSGSW PTLQSMIDDGKRLVTFVTALDGSTSSDTSYLLDEWTYVWENPYEVTSASNFSCTPDRP SSVSDNLSAALQSSKMPLMNHFLYQTTILDIQYPNSSYVSTTNAPSGGTGNLGDTAKK CKQEYGGRQPTFILVDFFNEGPAIDTVDSLNNVTNAVGRKEISTGSTSDGSTYGNVFK GLVEMVNKAKSGSNPSMADWVWVGGDWGSLLGGGIALN ACHE_10713A MSTSELPTPAKCTADFCLIPMGTSNPSVSAQIADVQRLIEKSGL KYTMHSAGTTLEGPWDRVYQVIGQAHTMLHEQGILRIQTDIRTGSRTDKAQTAEDKVN KVRQLLGKD ACHE_10714S MTNPDRLEFPSGAQLAYLAEGGANVIYRIIWAPAPAGPKQKDAA PSKEQMSIPPMLRGKLLRLRKETKSGISYQEISRNFDRIIRPLFQPEEVVDQTLVQLP RGLVQQCNERLRAAELNGTRPRRRCGVYLSLNEPFGLLVTDMTTFTDPGMIMAELKPK WLLQSPSAPPDARRCRTCALRDMKNRESRKVCGSEDKSFCPLGLVSDKFEHVLRATRF VKGCQDQDRLARFLHRNSTLLKLQSHQKAMRDVGLHGQSAQSSEKSLAMTLRDCTMFI KMPLDGEGTVEVRLGDLDLKTGAGGKAQYWLDLENRLISQGWYLGTNCGQTSSECVLQ GSSKHSHH ACHE_10715S MAALRPLLRHASTSTSSTVRPALSSSVIAPFLRLPTTTPTTIRT TPSQPHPPSQRPFSTTTSKMSAQPLIDAAQTRRTIYKLGKNSPVPDSKIEELVNAAIH TVPSSFNTQSTRLVVLLHAEHEKLWDVVIDTFSGLVNTGAVPEETWKNQTLPKLQGFK NGVGTILFYEDPAHIKPFSEKFALYKDHFQPWAEHSNAMHQYYLWTGLSALGFGANLQ HYNPLIDAPVAKQWDIPSEWRLISQLVFGSPEAAAGEKAQKPVQERLKIFGKQ ACHE_10716A MTCLKEKLKKLFNCRKIREIYDEWAILLPAKSHLDSDYNFPKGR PPGREGGRGPGAMGWAAAGMG ACHE_10717S MKVTLQLGLLALLGTTITALPAQSQSSASTSPSAAPQPKTTSPG CGKHIPPGHSPGDSYRANMISDDGRNRSYLIHLPSNYTTNRPAPVVIAFHGRGKDPEY MEKLSQFSNEDFNPDAIAVYPQAIVDDYWHVTSGPNDISFTLQLITHLEKNYCIDPTR VYASGKSNGGGFTNDLACDPVASTRIAAFAPVSGGYYQNLTSSEDECDPSSVEIQCNP GRSRVPILEFHGTADTTIPYAGGARHGFCLPTVSHALRQWADRDGLGTSNKTEELWGG HVQKYEFGSGELQGVVTHYRIDGWKHVWPSKHGNYDSSKGTYFDAAPVIMKFFKRWSL ACHE_10718A MVSLRYLPAYAYALFAASITTAAPAPIASQAASSATAKTAVAVG AVIDRCTTPGTIALTFDDGPFQYTADLLELLSQNGAPATFFLNGQNMGNIYDYAEVVQ RMHNEGHQIASHTWSHPYLTSLDYNGIVSQMTQLENAFQEIVGVKPAYMRPPYLATND VVLGALADLGYHVISCSIDTKDYENDDPSLIANSVNKFNAELNAGGNIVLSHDVHEQT VYTLAQAMLNEIKARGLTPVTVAECLGDLNGWYR ACHE_10719A MSGILKNVFGGAESSGAVKPDDEFADFVEAPQPSPASILGSSST PAASPSDGATVPYTAWYRVWERTSPKDFMQEAMVLPFIILIVAFHLWGTRKNRRKAKE WAKAHATALRNEFAVVGFGGLQGAATPELASADSLLKEKSPQEFISYATGRQNVAFVD ISVKLPKRYNPVTYWMDYAFSFFWESWPAPAEKIEATSYAFDGKEKELVPVPAKDPSS LKVNNSAYDGFIWAIVHKSCMRKFRQDRYDASITFTKDNAKLPPWVTVMTESAEITEA LLTPELIKAIEQAGHEFEYLIVTDQPTDKPMKIEETAPRKRISLSMNIPSASDAYAST LPLFQQFLGFADKLVASAHFRAEVMRKVRNVRDAEIKKLRRADEEEKAEERKLAAEKQ KKEERERILRGMTADEQRKYLDREKEKENRRSTKKITRRA ACHE_10720A MAFNFNWSPLMADASFYTRAQELLTAALNKSPKPPIIVDDIIVT ELNLGSIPPELEILEIGDLAEDRFRGIFKMSYTGDAFLTLKTRVQANPLNTYLMTRPS FASPLPLAAATPLTIPLQITLSDFKLSGFVILVFSKQKGITVVFRNDPLESLKVSSTF DSIPFVRDFLQREIEAQLRILFMDELPAIIHRLSLRLWVPEYRAKEEMQNQMNTTPTP TEGPGHDPLASPPQDPVDSSGNALDESEIASLSLDSAVETHSLFSQKNLLSLATLTDS QRTLSLFTPSIQQVVYRAWTSSDQNDLSASVMSPISPALSRTQSQTGSMSTFLDNAST ISAQSRTPTGPTQSFSSYGLSLGAGRHSKAHARRRKKRVVDLRNRPTTPDDSASASVS DEITYTESASAPSVSSAPLPAVHEQPDDPVTPPLSPESDQRLPAIPERRRMSLSRSLP RRDISNEILRDQTGPSEPPRNTFKTDDASVTVGGVRPAPHQEAGPSSGPTHQLSAAGL PFPHDSPTGSSIVDQVLVEKLAGEIARRMRDEKLMAPNSCGSFWDHGPPEETPPPAYG Q ACHE_10721S MARIDFCRLKNGRCAATAEMVSHQVVFRRRYQGGTTSHPEARSL DRPLCFFGILDEVHRPGQHKYAILPILMDPAHNLDNAYVSGVQTDLNLHGNELVQLQT MYTLGAVLGQIPFAYLFTKFPMSWLIPFLDIAWGIFTLLQYRVTSYSELAAYRFLVGW FEAAFFPGMHYIFGAWYRGDEIARRGGVFYVGLTLGTLTASLIQAGASSRLDGVNGLA GWRWMYIICAVITIPIGILGYFILPGTPDKPNKLILKDRDIEVAQNRLKRAGHGIAGT FKWKTPIDILRNWKFWAFLWLDIFFWNACYNTNAGGYLLWLKSLDRYSTSKVNELNAI APALGIFYTLFICFASDLVLGPAWAITVAHTWNIVGLIILVVWKVPESSLWFAFATTY SSVAMSSVLYGWMNSELRYSPIVRAVVLVTTTVIAQSTTIWTPLLVFKTVEGPRFTKG YSFVLANAICLILTAHLIRYLTTREGKRRNSEIEGQDSVGSGEDAQDPEAVNYTVHPK ACHE_10722S MGDIGATTNATAEANGYEVPQRKKQILLNAFDMSTVGHLSPGQW KNPNDKSATKRKLDYWIELAQLLERGGINALFLADTYGGYDTYQGKLDECIRRAAQWP VTDPTIPISAMAAVTKNLAFGITSSTSFEPPFLLAKRFSTLDHLTGGRVGWNIVTSWK KAAFKAIGLDSPIEHDERYAQADEYLRVVYKLWEGSWAPDALSPNPEADSYVDPDKVR TIEHKGKYFNLNTRHIVDPSPQRTPFLFQAGTSPAGSNFAATHAEAVFVSSHSPTLLR KKIANIRNLAAEKNRDPQSVKFFATFTPIIAETDEAAWAKYEELKKYASIEGGLVLFS GWTGIDISRIPLDQDITAADSLEAHKVTSILDAFTTTSKEIPKWTPRVVAERASFGGL GPLTIGSAATVADELERWFEEGDLDGFNLGYVTTPGTFEEVVDLLVPELRRRGVYPGP LPEGERLTAREKVYGKGQSGLREDHVGSGYKYDSYEETVAKEGEVKEGA ACHE_10723S MSSPAIFATPTRDPQPTTKRRTAGRRVYGKRKADAPRAVFEHKS PPKETAKVADNVVVDAVDGLQAKMASVTIESRAAKNEKRRQAKKEAKKDFDEFSVPCG AEEPVKEEPDAPKSEPDTAEIEPQMEEVHAEQPPLESTTKEPQCEMIASVEISPRKPI PESAEQKLQDETATQPRRQAAPARKKKPTPRLSSGCVQDEKANEYARSILNEALSPIA AQGVQKFGSWAARGGNMLQVVKLAEGSYGEVYKLRLREEVCKQEMSKSKLAKLRAYGD GVFKVVPLRAVKGLGSKKFTSVDEIVSEVKMLKYLDPIPGFARFREIHVVQGRFPESF QEAWDYYKKTKDDCLNPNPANKRAYPDSQMWAIIEMDDAGCELEKFCWSSVFQIYDIF WGVAMALARAEEYALFEHRDLHLGNVCIRSTRSDGRMDPPTDLEIVSRTHSSGYGFST LETTLIDYSLSRAELRLSEDPNAPPEVASSDLDKKQLFDAIGHDEDDALLRDTYRHMR SELYTGNPANPEKPADVPGIWSEYAPGTNIVWLRFLLKNLLKNKKEDVQPTQPQTTQR KPLAPCSSNKQNIIISKQPGQKQGKDSGNKPLVQRIDTAETQLQVTQWRKILDDRLEA VLDLLDLEHRHEDMCCAADLVAYAIDSQWLDEEDFF ACHE_10724S MLKWEYYFGDHYFKIDGRLIIFDEQLTETEDPHLSQPQALNQAH YKDNGEQVLTKIRYDLHPKSYDHPKIPLYDRDYATDEFLLECEIVEILSEIGHGPRYI THLNIKAGRNSRIERATSILS ACHE_10725A MEANYLRHMSRERRGHKRQRLSSTAESERQASSQNFEISHENSR GSSSQVADNESTTSSRNLRRVASTNILTIEQRRQELELRDLEAEVKRKEEEVRLKQLQ NEQLELELIVMGRSLAI ACHE_10726S MKHSPDLLFYNRQKMETPSATQSPYFYLLDEEEFAPQDTNLSSL PDFPYITNTLEANPFAALPDDVLSPYPDESLVDTTASAIQDDHIPPALTDIDAGTYIE NYTCSDLGQNGSTNDGSLQNSLPVGWTNQHSEPTFPELPDLFATSTDPSAGIRKSGEE ESGPARPGAQRYGFRRSLPRRRSRYFIDRSGKQASPVAIPSGASTPDPLRRWQESPPE DEAAPLSAIKDAVHGSLSEHSTGISDQDIANAFRNYRRPASRAGSTTSAGSATSASSR QSGASSSSRRTAEKKTSRVRKTRQGKKDKSHSEDDVRPFCCTFCCDKFKSKYDWMRHE KSLHLNLEKWVCAPFGGSVLLPSTGRVHCAYCNQLDPTAEHLNQHNHGACDAKQRSFR RKDHLVQHLRLFHRLETLPLIDNWKTETTNFTSRCGFCDCQISNWPERYKHLAGHFRS GLTMADWRGDHDFPESIAAQVTCAVPPYMVDMESRTVVPFSASSGHAKDLFSQMLSRA SFNRRETDTSTDTSQQRTQPQSELPALLEQLQVEDSPLNSYTKILTLHLSHYAQEQIR LGIVPTDEMFQREARRLCYDCDDPWNQTMADHPQWLSTFREQHFQKHASVGPTEDATL HPS ACHE_10727A MALTLSDLWGRLSFQNTAYGTLLAALKRQLLTYYIGHAVYALYI HPLSRYPGPKFAAISFIPQIVYEIRGQQHSWVKTLHDQYGEVVRIGPNALVYRAPQAW KDIYGHKKGGQKTFVKDPQLYTAAPNHVEGILTVNGADHSRMRRLMAHAFSDRALREQ ETLLHTYADLLVQKIHKQLVECKTTKVIDLVRWYNYTTFDLIGDLSFGEPFNCLRDDQ YHWWVSLMLDAVKVSGYLKIPYFYPFLKPLGKLLIPKRLIERRDAVFQQAVERVSRRL QRQMERPDFTSYILKHKDDELAMSRGELDANAGTFVLAGSETTAATLSGTTYYLLRHP AVYRRLVGEVRGAFKDALDIQLSSIASLPYLNAVLDESMRIYPPVPAMLPRLVPKGGA MINNQYVPEGTSVSIAMYSTVRSATNFAEPDSFIPERWLLPADGGITFEKDNKFALQP FAYGPRVCLGQHLAFAEMRLILVKTLWHFDLELTPEGLDWVEQKSYNLWDRPPLRVKV SLAPNAV ACHE_10728A MDHIRRWFQPDPPASYQPVEDAGEMHDAEDQSLLSRSSRVPPFS KVEYGISFVLGVSMLWAWNMFLAAAPYFRHRLQSDDWAAAHYQPAILSVSTLTNLATA FTLAKVQKGASYPRRIVLSLLINITVFTILAFSTILLEDVAVGTYFKFLMVMVFSASL ATGINQNGVYAYVSGLGREEYTQAIMAGQGVAGVLPSAVQILTQLAKPGPNSDPDAPQ GSTKSAFIYFITATLVSSSALFAFLYLVKQSSGRVSMLPADDDGSILSEHVDRKTVGL WDLFKKLRWNALAVFLVYMITMMAPVYTVMIESVHDDADRSRLFEPSVFIPFAFLIWN SADLVGRMIVLVPHLSLAHRPLILFVCAVSRLVFIPLYLLCNIHGRGAVVQSDFFYLF IVQLLFGITNGYLGSNCMMGTNYWVLPEEREPAGGFMSMMLVGGLTAGSLLSFLAAG ACHE_10729A MAYNVAAELFAEWAIGLGIIAVRLYARWKVGGEKFYWDDLCLGS VTIFWTFHTVFLYLCTDVYGSNIGLTEKTALEVPDDKVPVLREGSIDAFIAWLSYIFM AWSFKGVLIFLYNNMTMGLWQHRLAIIASIFCICTFFASLFFHLFICYPVHKTWQIKP YAGDNCTVRPLNYIVIEILSIITDIVIMCVPIPLILAARIRPTQKLMLCCLFSSGIFV MVAAFLRAYYSVKNISSLSIALGWASREALVSVITVSAPGLKPLITRSRWFQSYGSST GATGTAGTATIGGSNKRLDTTCSRSKSRGWRESIGAHPIELGSSLGFKKGRRGSEAES QEHIIGEEESGDKGQGKNGGIMVTTNLTFSEDIEQSGDQKNA ACHE_10730S MDAMGVETVGHDFFAEKQPVHGARAYYFKHVLHHWSDEKATIVL NNLKPAMKHRYSKLLVEEFILPDRNAQVLPCMTDVAVMAFCSGI ACHE_10731A MRGARSIASWTPGFTTRQVAQPKRNILGNLYPGPYRPVSPFAVQ NGLIRLYSGASGPARNTHNCSLRQPFEFAPPIYNNGKMRTDSSSRIADQVRLLSTTRC SLQAKPTTPTKPNTDGTSTLDKDNEDVEKGFELSEKAAQAAQVNLSARLAKDGAGGKK SGFREIWRLLMIARPEGRKLAFAFMFLLISSSITMSIPFSIGKIMDTATKSKEEGGNE LFGLSLPMFYGALAGILACGAAANYGRIIILRIVGERIVARLRSKLFRQTFVQDAEFF DANRVGDLISRLSSDTIIVGKSITQNLSDGLRAAVSGAAGFGLMAYTSLKLSSILALL LPPIGLGAFFYGRAIRNLSRQIQRNLGTLTKIAEERLGNVKTSQSFAGEILEVNRYNK QVRRIFELGKKESLISATFFSSTGLMGNMTILALLYVGGGMVQSGGISIGELTSFLMY TAYAGSSMFGLSSFYSELMKGVGAASRLFELQDRQPTIPPTKGKNVESARGPIRFENV SFSYPTRPAVSIFKGLDFEIPQGTNVAIVGPSGGGKSTIASILLRFYTPTEGKVLIDG KDITEMNAKSLRRKIGVVSQEPVLFSGSIAENISYGRPHATRSEIVAAARKANCQFIS DFPDGLDTQVGARGAQLSGGQKQRIAIARALIKDPDILILDEATSALDAESETLVNSA LAALLRGNNTTISIAHRLSTIKRSDTIIVLSPDGTVAEQGSYEELSSYPDGAFTKLME WQMSGGDASHPPKTAPVSPETEEKLSELDSGEPERQHKE ACHE_10732S MVLDYSKWDALELSDDSDIEVHPNVDKRSFIRAKQNQIHQQREQ RRYEIRTLKYERIINDGLLSRIDKLLQTLKQHESSSGNPEELVFQVIMESAGNPAEDQ PPAPPEGVHTHEKEQPKYSAMMGALVDQVKKEIGEVKSEELFQAYTKGVQGHKDKVQG LQKELLQRLAQLEKEEGSKITSEGLHDGFNTSHVAKATDKGKASPGGQTSSVELLNPG AAPQQASSSAETDDDDPENARPSDLAKTFAKISQNDYRAQLQFIGDHPEIVAEKETDG LLVEAFNSQMEGKDDYARRCVHHGLLLQYCRSLGRDGVSLFFKRITTENHQASTLFRN DVNETYSKIKIRATELAKNASASNDPAGVEQIQLHAVDPNTKITINIPPEGSEDPEIV QARKIFESFSADLRNALASESLDEVNKVLGKMPVAEAEDVVEKLGEGGLLSLEEGIVD ATTEEGKKKLEEIEAEGKREKAEEVGEPGEGVVTELD ACHE_10733A MADRFPSLDEFSAGQTEPVETNGADADDFLARERAVLGEDAEQF ATAQDHVAEGQDAGDDLLGESAPAASAAPEEISGFESSFPAIETQNEQVAPGGTITGT GAPFPPTGYSSYQAPEEEAEPIKEWRERRDADVARRAEVSNEKKEANVKKAREDIDDF YVSYNNKADKLRAQTRADAEQFLANREDTSAGGTSWERIAKLVDVSGKGTRGGASGSG KERFRELLLDLRKDQNAPGVSGV ACHE_10734S MAYTDDAVKAKLSALNETQEGIVTVAQWVLFHRRHAERTAQLWL QKLRDSPAPKRLNLIYLANEVAQQSKARKKEDFLIAFSPVIAEATAVAYKGASNEIQQ KIRRVVEVWRQRSIFELPIQEAVEARVDEIDRSRSTGKKPLLGGSLFSSSSGSTPSEL QPLVPLQVALSKATMASGAASTANAEYEKMQDPNIPLPTPPVHAARLSQLLKTLANAE SSVSEIIKSRHALIDGLEKLLETNRSALSKEQSLATQLSERRVDTETKKRDVEDGIMK GLSVENMPAAHPTGNAEGEPIARPQIEALTPPPVESITPIGSPKVEPQENDPSTAPLP FVLPTVEPQQGSMPLPGTGLPGISTSLGQHQFDGSPNGTNAKKRKVDHGEEDYAQFAG GDLDADVAQLLEQEGNAQT ACHE_10735A MWRDRTNLYISYRQSFAHHPAKKPRNAFPDPSTEPEESRRLISS TEDDGDAIIEMDILPPRWVDVQDEVTEQLADIAQQSSQLDKLHQKHLLPSFGDEDVRR QEEGAIERLTQDITRGFHECQKAVQRIEVMVREAKMQGRVSAGDETMAKNIQISLAAR VQDASARFRKKQSTYLKKLRGLEGVASPFDRTPTPTQMQNPYTDPSLMESDADKSFSQ TTLMQTQQRQRRTGQNDAAIEQREREINDIAKGIIELSDIFRELQTMIIDQGTMLDRI DYNVERMGTEVKAADKELNVATNYQRRTTKRKILLLLIIIVAGLIIVLLVKPKNHSSP APAPPPPPSSNNMLFPRRTDEMIYRRRKRYSNLARMTRDRILIDPDRLR ACHE_10736S MADSLTEEQVSEYKEAFSLFDKDGDGQITTKELGTVMRSLGQNP SESELQDMINEVDADNNGTIDFPEFLTMMARKMKDTDSEEEIREAFKVFDRDNNGFIS AAELRHVMTSIGEKLTDDEVDEMIREADQDGDGRIDCM ACHE_10737A MPITGVFFIPSNPNSSTILSTISERLHTVLSDEPIPVGRWALEH KLMRDTPSCLPASASQRPAQPRWMQFLSLSYYPFHGFVYASEADKSQFGHAAQVQPQP QAPGQPQLQPQAQAPGTASVQPQPQQIQSTSGTDTSTNSGMIMTTIPLPSSATLFQHF VYACQPFWCHRHTHAVPGGMVYDIGDFRVRIGDVRQTQPVARVRGTVVEVEWRGPSLF DSLVLNHSVAETQGGDGEDDDSGIDVGLGSIEEEDVDAEFAATAGLIREFWGRLGVEG AREAILVPDVGKEVKERLRRLKAQLQEGKKDVGGRGDGEGNGQLLDEDPDPQAGVDIA RQFMEIFRFSR ACHE_10738S MLFVRKSYLVTTVILIFVVYTLFSSLPPSADIFNLDENDNWEYV QSTTNSGRIRWTKYPEKHPVTDFIPYPKNPPSPIPKIQYDFPEESWWARRQRLNRQKA VKEAFEHAWKGYKRHAWLRDELSPLSGGHRTSFAGWAATLVDSLDSLIILGLDDEFEE ALDALDRIDFYTTDLTQINVFETNIRYLGGFLGAYDLTDGAHPLLLRKAVEVADMLYG AFDTSNRMPQSRWQWTRSAQGLGIQPSRNTIMAELGSLNLEFTRLTQLTGDPKYFDAI QRIADRMEEAQNHTRVPGLWPIMVDAEELAFNDPRYTVGGMADSTYEYLPKEHILLGA RTDQYRNMYSTAMKAIKRRLLFRANTQTGEQILFAGDTRAGYSDGVIEFQAEHLKCYL GGTVGIGAKVFDRPEELSIARGLVDGCIWAYDVMPTGIMPETLYVSSCETIEECDWDE RKWYRDVRQRLLRGGRTTDEDNTKETRSLIESHGLQPGVTDVTDAQYKLRPEAIESVF IMYRITGDKSLQDAAWRMFSSIEKLSRTKLGHAVINDVRDKHSDQSDFMESFWLAETL KYFYLIFSEPDHVSLDEYVLNTEAHPFKRPS ACHE_10739A MAISYANSLPTDHSQTLPSFRELLPPHLHDEIDSTSYFTSPRQR SSFEFSGRQPLQSPPKMPLNDAPRDYSLTPIRASDSGDHSSLPPRFPGNGSPVAPGST PRYAATSSRGPSPILPPIRDLHSLPDRSLTSGSPFSDGRPASRPDPFLPDYRSAPMDA RGPWDRRNGDSASYMGTSGPAAMMHSHPAPYGYPMAYPSDSEQHSPQLVSHAQSNFGI MGDQTDSRNRRRRGNLPKPVTDILRAWFHEHLDHPYPSEEDKQMFMTRTGLSISQISN WFINARRRQLPALRNQMRNGGSDMDSQRQSPFSDMDQTSSESMPSPHR ACHE_10740A MIRYVERQALSLAILCSAVLALNTVSRANAENLARGRVTVLDGI PYYVGDIPVSQLLHVPPSTLDSTDLSNVDILPMTVVSSNSSELTGRELNATIAEYSMR DDVFSSAFLNTIYLTNTKYKSATIDLQSAFPELRRNGNSVLMVSPEYNASEKVQPVIQ MHLSLELPIGPYFLSTKTGQIFKAHRLYEDTQLAFLEPSISDETGGYCSLPGQSVAVP SRLYYTVTPEKPLAGLRLGVKDIFHIKGLRTSGGNRAYYSLYGPRNATGTAVQRLIDK GAVLVGKMGTVQFANGDRPTADWVDFHCPFNPRGDGYQDPSGSSTGPGAGMGAYDWLD IAIGSDTGGSMRGPAGAQGLFGNRPSTGAVELDNVIPLCSGLDTAGVFARSAHTWARV AHAWYQDFDGGYHSYPKKLLYQSSSFTTEAINNTDASAVIEEFVLMLETFLGTQRTHV DVNAAWNSSRPSHVPNITLQDMLHYTYGTLISVYQWLHLGVPFFRDYAAKHDGRTPYI NPNSLLRWEIGREAQQEGWNKAWQNKTIFQHWWNGNASFGAHNNETCSEGIYIYPNSV GSVSYRDEYFGTPSAPFWGMSDSNIAVFAGVPDLVVPIGEVPHNSTKSGKTEYLPVTM SLVAARGCDLMLANMIREMEDQHILKLVAVGPMLYP ACHE_10741S MVKTLPFGDIQVPSPGFGAMGLSFGLGSNLSFEEAEPVLLKAIE LGCTFWDTAVVYQAGVNEKLLGDFIRKHNVRDKIFIASKCGFNVFNGDRSVTNSASHI KEYIEGTIERLGFTPDLYYLHRIDPNTPLEESIPALDEIRKAGKTKYIGLSECSAKTL RRANSIAKIDAVQAEYSAFETLHETDDLISSCKELGVAYVAYSPLGHGWLVDKFDYQS PNDFAPDDFRRNSPKFQGENFYKNKAIVEEIRKLAFQKGTTVSQIALAWVASQGMIAI PGTTKASRLEENWASRDVELTEEDKQEMRKIIDAAKPHGNRYAPAQQAMVGH ACHE_10742A MVHAVLPPSPPSARTMSTTPSFNNHPNGDHHSIPVSATSHPDNP IPEEPRDRSLAIPTSFNALPTPSQQETDMTDAAPLTPPSEPQDEVQDDNAESFNGGRR ASTVLLSQNSDDMRRVLENVGHAGTQKLQPLCCGGGCCRSQPLQRDPIPGPTFTTNNV IPPDNEAFRSLQLNIDYLTLDSMLTDLADLPEKTVSFSSVPASAVDMKLGPSDHPPPF VQPHPPYEVFRAPLHHARELTKTGAEKRTYHFDIDVTDYPAESGTVDFVVGGAIGVCP KNKDEEVDDIFNCLGIPKSIRDKKVTLHTAKGRWPTIWGDDQPRELVTSRREILNWCS DIQSYPPTKALFRLLGEYATNEHEKKILLFLASSQGQGAFCDLRTTSHVSVSQLLHAF PSSQPPLDHLLSSLNTLMPRFYSLSQDPLASYSIKDGVSRRLVEVAVSVAESKDYKRG YRTGVGSGYLEELARKVVDAEKQGIDPATLNLHIPMFRGLMANPLATRFASDGPMLLI GAGVGIAPFRGFVQRRLQSANCANKVWVLQGVRDSLLDELYSGEWGVEESKVRTVVQS RRGESKYVQEEVRHQADLVWFVINALDGRVFVCGSGKGMGEGVEAALIDVAMAKGNLN SEEAQLFWDNKKEAGQYIAETW ACHE_10743S MALDGETNLKNKQPCQPVAKVCATVEDICSNSIHFAVEDPNLDL YKFDGNVVINGQEKLPLTNNEIVYRGSILRNTEQVLGMVIYTGEECKIRMNANKNPRI KSPSLQARVNRVVMLIVVLVVALAVACTIAYKYWAEDVENSAWYLTQASVDLGPIFTS FLIMFNTMIPISLYVSMEIVKVAQMFLLNDIDMYDEETDTPLEARTSTINEELGQVSY IFSDKTGTLTNNTMKFRKISVAGTAWFHDLDIREEEAKEGDRGKLIHKKRSVKGKKAV SRKSNVSEARPSGVRCSNVSTGVDMAGTMSMRGRSLRDGKMASTCRTTDMIEYIQRKP YTVFARKAKLFLLSIALCHTCIPEEDEDGNTTYQASSPDELALVSAARELGFLVQDRQ SNTLTIRSFPNGAYEPAVDEVFEILEVIEFSSARKRMSVLVRMPDQRICVFCKGADSI LMNLLKKSNLAQEKAVEIERRASRRKAAEANSIIRRNSEYNNRKESGFSRPSMHRRSS VSGQQVNTLRDSIDVWLRDRETDHGLLTRENDSAYYSPRPSTQLGRASMAVSDSSSMH EAEYEDLVEEALVVNETAVFERCFQHLNDFATEGLRTLLYGHRYLDEATYENWKKAYH EACTSLVDRQEKIEKVGEEIEQQLELTGATAIEDKLQKGVPEAIDKLRRANIKLWMLT GDKRETAINVGHSCRLVRDYSTVVILDQENNDVEQSIVKLTADITKGVVAHSVLVVDG QTLSMIETDATLRLRFLNLAILVDSVICCRASPKQKAFLVKSIRLQVKDSVTLAIGDG ANDIAMIQEAHVGIGITGKEGLQAARISDYSIAQFRFLLKLLLCHGRWNYIRACKYTL GTFWKEMLFYLTQALYQRWNGYTGTSLYESWSLSMFNTLFTSLAVIFLGIFTKDLAAS TLLAVPELYNKGQRHAGFNIKLFLGWTFMAACEAMIIYFTMYGLFGNVLFNNRAGNDI YSLGLLSFSACVVVINTKLQALEVHNKTYLSVIVMVISIGGWFLWNMILSVRYTMESG KGVYHVNGNFLKQTGHSLSFWAVLLVTVMAVIVFETAVSAARANLFPTDVDIFQEYEQ DLEIRKRFEEAAAMELQQGWDRGTKKASFELVRETEKAEEEEARERQVQELLARPRVM TKTGSGQVAVATTNMESGPNVVIEVPGTGHSGEDAQRSKDIHELFSKGYGAIRKGHLK ACHE_10744S MQTMSSTDVPGPSATTISSATASSVASTPSPSISSVTNTPSTSS SAARRPHRKSTLTQQQKNNKRQRATQDQLSTLEVEFNKNPTPTAATRERIAQQINMTE RSVQIWFQNRRAKIKMLAKKSIETGEGCDSIPESMRQYLAMQVDPNKPGARDAFGRTG GYGANGYPNESAPSGKVVIHHFTCRSLSIGSWRRIGQNAMDLVVFYSPEKACMTYYIN NDSAGYKIEYPFSHIKNITLESGDQGPQPNGAPPRPGGLVVELNRPPLFYMDSSNSGG FYQCGDFTEEQQASQILVHHLGGHPKVLSVQLAKLVSLESFQNRMAYTNFAMPAPPMS PPFIQRPASQPNHHFTAPFMGMYQDAPQHHHPHLSLHPGRGHKRQRSRSVPVAVDFSA LQAPLSFNMPQTSPYTSHADSGIYAPVPQSAHPLSLNLRIDTSTPYGMDPRGPPMSAT TAASPSEFASPSMFTTAAGESTPIATTMGAPFHMPFVSPAVESSHMASQSASPYSTVS AADPMIANHSPPLSNMPHASTEMYGFGHENHSSLADEGMGLGEMYPKQNVNYSVPTSM GLESNNFEIPIHTLSGHNSPSVHGDYQSMGSLDNVDPHTLAPGS ACHE_10745A MTNTNLRGFTQAVRTLLTTPSQFLPHLTIPTFTHLPENIGPHLQ QTPPTTENPTTKSPVIRALVLDKDNTLCPPKTTTFPPQILSKLELLRKSPTSPFNQQT SPSSILIVSNRAGSHPRYDAEIHSLESSLAHLQIPVFRLPAGIEKKPFCGDAVVEWFR ERGIVERADEIAVVGDRLGTDVVMASMMGAWSVWCRDGVYEGVEAGKGRPGMNMLERM ELLLERYLRESRGLCAPPPKGWNGSS ACHE_10746S MGKLTSTIGIPIKLLNEAQGHVVTLEITSGVVYRGKLLEAEDNM NVQLKDITVTARDGRVSHLDQVYIRGSHVRFFIVPDMLRNAPMFRSRGQRGRGVGLAR GKATVQRARGQRRG ACHE_10747S MTPSTPSSPESTATTPPKPTPGPSNLQSKSKLIPSPRRLLILSP TSHSLTTIPPLLHTLTGVAVKDPPSVTAATAIASQDEPASVKTTFAGYTTHTPLTIEN KYYKAEVPIWVDEIPTNSTTDAKRVTGSEAETTDTGVKGTDTGAAQWKAEFSGAEARV VRDAIGGVVICLKNPRPGHDGSEDVAEREDVKSLKDFLRCIGDVKRLVESERSGDGDD DGEGGGIGFGEVLGLIVLVEDGDKDKKTKNGSEDEGVLGETEKPFSISWWEDQLDDIG LMDFDIASWDPSAPDTDVRDKYGEYQGMRRIRQIIETHDWASDDQSTDVDADLGFDDH LEEQLLGLDKSSSGFNLEVNELEREMFGLRMAIERGGDDGEDEFGDFGDDDGDLKVES MEALMLRMQAIKDMSADLPESERKKFAAKAVRDIMKEM ACHE_10748A MSLTRFYLFTYNALNFILWATSTIYAALLVTQQTSLPAIFNKVY NPYLISAQSLAILEILHSLLGLVRAPVMTTLMQVASRLLLVWGIMYPFGDHGAVGKGI VGGFGEGQLGDYAFLGCLGAWGVTECIRYGFFALQVSGVGVPGWWTWLRYNTFYVLYP LGISSECTMVVKALQPAAELHPAFWWFLVVVLGIYVPGSYILYTHMIAQRRKALKKQK N ACHE_10749S MAPTTTTKTTEETTKTGPPKLSDMADSIDESTFEQILEMDDDDD RDFSQGIVFGFFEQADSTFEKMEKALEEKKLSDLSSLGHFLKGSSATLGLSKVKEACE KIQHYGAGKDDTGTADEPDQSTSLKNIEKTLGQVKEDYKEVEEFLRKFYGEES ACHE_10750S MAVGPRVNKEEFMRALGLTTSSQDEQFYRAMRDEAIQIYNRMNQ DRSNLLDSLRDDPRTQPPFYWHHIRPECQRWGILEIWRTASSYTRPYFDRGSTSGEYG PNWVAGWLLYSVFRSRDERNNRNRRRGNEAARKQVDLSHQLQQNQQQQQHGHGHGHGQ QEQGTKGYYDPVRNGTVN ACHE_10751S MSNPAIIIIPGAWHTPRHYARLITHLSNHNYSATAVALPSVNSS PPLPTWEEDAHAVRHAITERLDLGQDVIAVAHSFGGVAMSEAVKGLGKEERQKQGYAT GVLRLVYMCAMALPKGQTHVGQMRPVTPEEEEREKKQKEYAEKNGGMQFTDDGAILLP KHAIRDVLYNRCDPADVDEALTHLGSFPPGPLTVPTTYTAYHEIPSTYIVCENDNALP LSVQERMIAQGEGVFHVERCQEGHSPFLSNPEFVVGCLRRAAGEGA ACHE_10752S MLPQRRQNSSCDPCRRSKRRCFFSSPRIGDTDIACTHCERLGHD CTFEFVDSQAQKKRSKRARTRQSTSESAAEASPGLASGDFQADAVAGHDVLASWLDFD YLEGYSECLDLPNFLAPDAVTTIETSKNRLCVRPNLQYLIGSSLDSPIRLLNSKLDAT ILDVRLARIHDMIVTGCASRFADYDCNLYATACRYQLEDGNSTSQEQNLLSSSLSPQS QALASALTSQTDASPMGPGLPPRDPGCMMTVLGTVRFLDHFSYLYGNRLTKAARRQSD AVLKAVLRACSLQWLSTTDTLFPDSFDENQTARDPLENAFHDAWFQAQTLLQNAQSAR SFRVVYATLLFDGIAIPTKAHGAIESVVTHEFLDAGLQKLISLDTLVKKYCATLGSRS VYSALLEASLSVVRWGGYIRDIGAALTTDHHCKLPGILSHAKGHTASPSCGPILVSFD DQDLHPDIDNSVPSICQKAVAEAFCVWRQIVEVKGSVSRHAQRESRVEAIASTVTAVG KFNQLFRPFMKTCIDNLERLSVRSRTSAVSITLYWDLSILILADSLGDYDSPTDPHVT STIRSYQEEAVSSVSRTVERVLSLPTEELFNVENGLSAEVPILAYHITPSLTATTFQK TIENIVSLHSYQENANQGVDSIWHRQIDIVMKGLCSLDVIVGGSQVASAAIQSLMRQH GDILSECWTSDFST ACHE_10754S MGSIATDNAPYRPTPADITINNHNAEDPSKILFKNARILDSTGQ PPYNGNVLIENERIVAVGESIDTTTARGALIIDGEGKKTLMSGLMDAHTHLSWNNAAD LDGLTSLKLEEHVLHTAHSAKTFLDCGYTMCFGAAAAQPRLDVAIKGAIKSGLIPGPR TLANTPEITTTGGAIVPSISRYADGPEQMRERVREFIELGADNIKLSMSGDDIHPTMP STETYFSLEETQAAVDEAHSQGKRVCAHARSADSVKFAVQAGVDVIYHASFIDDEGMS MLEAVKDRVWVAPALNFFYTTCTGEATPYGLTAEAAEKKGIKFEVDTACKAMQEMRKR GIRVMPGGDYGFAWAPHGTYARDLKHMVDLYGYTPMESIVAATAWGGEMMGYPKELGK VAPGFYADVILVDGDPLADLEVLQDQKKLHAIVINGHIHKRVVPPQAVYVE ACHE_10753A MRPLRLSIPAVHIPLRLRGNSGTRLPFRPFQSVWSAQRIPSTQF PIATYGVRLFSLTRLRAADDTLERLEIREGKDLENDKVTNKRRLEEMNKGKLLTTPSR LFKLLMPLTTIDHNPNHRDIEPIAVLIHPQQPLSYLERLIQSEVPKIRGENGHYRPPA VSFIALQLEQDAIRPRKAIYENTDTEARKLGGEESDAVLERRDRPDDSDDTYSYLRGS EEGQERRFVRWSQSTEIGDFIRDAARAQEFIVSIEGAPAGLGHIRVTVPSFDERTYFL RMRLRKISGRIQSIAEIKHDCDVTAHRGAQRVAVGGFGILSFWWYLVYKLTFETDLGW DTMEPVTYLASLSTLMGGYLWFLYHNREISYRSALDFTISARQKKLYQAKGVDLRAWE FLIDEGNALRKEIKSIAAEYDADWDEIQDEQDERVTNALKKERREKNGKQQKKDDDDR DTDD ACHE_10755A MYYVLYLSSLCKRRHWPDPLYSSYKTTTTTTTPSPTGASTGPNT SSNAGYTCTVRVNNREYQTDTICESEPLARENAAMRAYLICRNFSVNDGMYPAGHDHG GVVQGVPVAIGTGRKGRFQYGEDNGGFGEVYAGYGGAGRVGSESGASSGSGGVSP ACHE_10756A MPIIAATGKQRRKVRFSNHRPTTTNSNNLQATSNTPAPPAVPNP IASNSSTKIIMSTTTAVRPGVPALFAEPPAIHDPLTTETSELQEQTVEKCLPFLQGIQ KSQREPFSRHGIPALRRDDHIGFLYDSLEEYPDDFVALDASRPWMCYWALAGLSLLGE DVSKFRQRVIATFTPMQNPTGGFGGGHGQMSHSASSYAAVLTLAMVGGEEAYRLIDRR GMWNWLGRLKQPDGGFRICENGEEDVRGAYCAMVIISLLDLPLELPPDAPARQYGLET FTSGLPEYLSRCQTFEGGMSGSPGTEAHGAYAFCALACLCILDRPEKVIPRYMDVPLL LSWLSARQYAPEGGFAGRTNKLVDGCYSHWVGGCWPLVQSALDGMQPAAAPNKASGNL YSREGLTRYILSCCQAKRGLRDKPGKHPDSYHTCYTLTGLSTTQHRHYHTDTSATSKE TFASAFSWKYTPVSSNVNDDADVSVFEEADRVNPAHPLYVIPHQAAEDMRVWYEKEPL DFAIA ACHE_10757S MSNVDISSDGLIGLDYDSRGYLQSHSWPVAVDQHSHHHHHHLAH AHQHPHHHHHHHHHHHQPARIQRDISPLQAATTTGHPYDQSIAAHDPLMVDWQFQQFP SHLQYSPPPPQQDDPTSAPPFTTAAASYGMPIQSSPPMDLMPAAPHGQMSTGLLDGSY LSLSAPVDMMFPYQDLQPDLMAFPQTHGLPDMSPYSAPPNVIDSSSPADTYLEVRSLG SSSSDNGWNTIERRSIDFSFPEQGLFINPNQTLHDRSLSESSYSGSYGSWVDISNPIN SPTSETNLESPFMPVNRRVSFDHTSHGSQSPTAVSPVAIVRPIPVPGKKSTSPTRSAV SHRSTGSTSSTASSSVSPTTRKPSRKSPIAAKTNDTKVRKQSQNGKPETEKRVGKRKG PLKPDQRKQASEIRKLRACLRCKFLKKTCDKGEPCAGCQPSHARLWQVPCTRIDIKEI GYFMKDWKADYERHISMGFSVGNIKGFSEHERTLFITHGYGQILPINAREVYVRDEQC FSIDWVETMNREPTQYEVETAKLSAGMEGISHAMLSDYLDRHIDGNGTFEKFVDDYFE GTPFLTQMLKTAFRYYYRTKLPVIRKALKLIVAYNLTLHVTMVEGLGEEDEFLGKIRD GSSKFSGKTMAPVMINFQIKCAMANMWRELQKDVLEELSALYSSVYGGDKLKNWPTIF ILACILLAVWEEMQFDSHYRTPDAASVDKFCNDMETTPVGVIVGLFQAISQKLPAFTD WETQKHHHLLASNPDVCSTMTEVRQHVTQHENYLRSRSSNSKFDRSDFDCLSNKFISR LVIRAN ACHE_10758S MHFLSIFLTLLPLAYTSPIPSRCLELQQLDQTLTTLADIDRTNM TEHEVKKLGELLVAQPAIHRELEKCFNGNRRRDFEDILSGLGESVHDMLVDVNVDQTN FIGNYGDYNREDNGDDSGDNLNDSTS ACHE_10759S MPSYFYHLAIELFARPLTDLGAASCLDDQSTSLSFDSACEALRP FQKPIRPSSRRSRASPSDKSKCLCPPNLPIRSASSSLSNTRVFDNAIPTTSNNTTTPH PYTKSHSSATDIQHDQRLDKVSIECIDMVSLAEREDTSTKPSSKRKVHFTDNPVTTGI GTDILGGLRTKGRYIPLDQETTDSVWGIVHLYRDAQETPYLIDDDYPAELKGSSAAAR QPGDQVGHNGNTRVEGDSSDEECTTLCILAVPSYLSPSDFLGFVGETTMDDVSHFRMI RTARANRYMVLMKFRSGNKAKEWQRVWNGKVFNSMEPETCHVVFVNTVEIQAVESGTP SGLGSSFQNTLATNSPKRGSISSQSQSNTIPSATLSTKPLAPRTPSLIELPTCPVCLE RMDETTGLLTIICQHVFHCTCLQKWKGSGCPVCRYTQDDFRRTSQSLSLEDEPAECHV CHSDINLWVCLICGTVGCGRYDGAHAYEHFKESTHAFAMDLSTQRVWDYVGDAYVHRI IQNKGDGKLVELPAADNSALDPPDWTDAVPREKLENMSVEYTHLLTSQLESQRAYFEE VVERAADKASQASAAASSAQEAAEKTTLALTNLQSQYDKLNHETLPGMERDKARAEKR AEKFEGMARKLEKEWREEKTMNESLLKRIEFLSTEVGELKEMNTDLSEQNRDLTFFIS GSQRLMDQGEDVQQGTVSVPEPEVKGKKKKGKGKGRG ACHE_10760A MPPLRRATLTTRILTSFLRNTTPHRLHSTGTAPSSVSADELSHF SALASSWWDPMGPSRVLHLMNPLRHEFIASCLAEGPPTYDHQQQQAEQAQAHLHYLDV GCGGGIFAESLARTIPSTENKTVTPTRAASITALDPSSTLIQIAREHARTDPTVEAHL RTGRFSYQNRTLEDLIESTATGEKKQFDIVTLFEVLEHIDPSTSSPLAFLTNCLRLVK PGGWLVGSTIARSFPSFLVNQVIAEAPWPIGVVPRGTHEWSKFVNPDEVKVWVQEGLM RGRDGASEKAGSEALQGSQWKCEGVMYFPGLGWKIVKGSEDWGNYFWAVRKGV ACHE_10761S MDITTILNRKQSAALVAAEGPFQQQFVPSPFGSPSSPRMKPEPG VSDAGDHQVLTYPTHAPPLGQMNLHQGLQYAPRTQPNTSMPLMPNAYYPGGYASTSPM PNGIAAAPTGRADPPPKTFYCSTCNKGFARRSDLARHERIHTGIRPHACDWPGCGKQF IQRSALTVHSRVHTGEKPHMCERCGKPFSDSSSLARHRRIHSGKRPYKCPYANCQKTF TRRTTLTRHQNHHTGTIEEAAAETEANLRQNKDRARMPGDMFSDHGSVHSTPSPAHHP ISPAGDLPPLHMPRSVSDYYGSGSIPPHMRGDFSQPSPRASPTATSPSLSSYGSAPPI RPSMTSHPTGYGPPQPLEPPANNDHRPNSVSGSPHMTSLGWASPSHTSIPSPGSVNDY YPEPSAPAYPTSMPPHMYFPNSTIRRPASTEPENYEMKPRLGETAWSTAV ACHE_10762A MSSSRPRGRVGQRSPTVLVTDSREQHPLNPQRRRRSPSTRFITV DNVLQYASDVPSMQQRHPLPNTRSRPRSRLASAAGGLIGSSGSAGIHGGTASSSGTVG RLAAQPRLPPRSTKLSEKLVLLPETSQMEEEGDVDEEEDEYDDEAVDEELVERLAKDK GWDPERIRQQLLTQKKLGGDFGVDNDIAPLLAEEELLRRRKVGPERAKSYAERLPKAR RAEKLARVTAYCTAQAYKMSSLASFVKEQHGGRTKLYDDCLYTAYHLPLLPGNDGYRL RSSPMVKKPGGKSLLDEEIERNELRDYHDEFNMEAEEHSVGGRMRHVEDDLHQHEQET PDSVDRLIHNVNQESHRMEEGTDTPERPSSAPGSTSHEHHSPSDIQDRPTATTEARTT STPSMTAAAARLAYDVAEMFVFSYGVVVFWNLTEKQEKDILADLAFATSSVTGSPIPL ATMPLQEEDFETEEFHFEYSTEISRPRVYNDMITLRNGDHMIKLAISHGIAQSTKLCF FEEVMARQMAEAKDVPRRLAITGKLGLKREEVFRILGRLFKSRVEVNLSSNMLDVPNF FWESEPTLYPLYIAVREYLEIKPRIQVLNERCRVFLDLAEILSDSIADNRTSHQTWII IVLIIISILVTTSEVFLRFGMISSSSSSSAGMVVARAFGLGSNSDLSTGAGAGACNCP AIPDSNSTGLRVNY ACHE_10763A MSALDVPETGLSLCRQAPGTDAATRPTNVMRLNLAQNTVDELIQ SLRENKSARIRFGKHQTLYYGSKSQHFHSTPEAHRSEIYTCGSASDKENAYFTGVLSH RLEVQKAREATAATDQALANLEQSLNAYEQGKESKKTHIIDDINQVKSLRGKPSGLLR TPASKVEREKDRLLKTSSANRSLSSSPGLGVSRSPASIPTLTPTSAPATQNKDRIRLD ALKVPFIHLLAVRAVSVKFLAGVTRASLDDCTVLTQKYGVENRLNRDKFDLKDKAYKD LDVWNFPYPSQEDRQEAIENAISAFDRMRISRSDKQWQMLLPREERGKGKCLSRLNLS TGPIKKPATSRVQADDKDGDMTGHETDRASTSGAKTATTQKKRTGEKDSAAAKKAPAK GKTATNSTLTGRVTKKAERKPAAKSDAKVKSAEYVHESDEDEDTEMPDVPAMTDKTRE KTDATERTQPKPAAKRDVSHAPETKHPAPSKAEKSERPLPKADTTTNPHPHPAKQTTA LKKPPSSRASNSPQKPSPLGSSPPTNASEIRTHSRSSSQNHPPSGTSSSSSSPLISQI SRPKQQQHQQQQQPKATATSAPKAAQTNGAVKSTPVTNPLKRKAEAERPSIRQTHTIT NGSLEHKRRRAPSTSSGSTGSASPPMSYELLRRQLREKSQRFKQRYAKYRTLYEAMAR HPDPPRAELDNLQRQHASLQRTKKEIWDEDRRLREELRR ACHE_10764S MQYIENSMLVCWSEQSAVGNLDERDREIPLVAAQNRGQQSAGGP ILSQRTLRQNPNSRGDNIRLNESLNASCIGVDRGDYCAYVDMSAVSHLYQQLFVQVGM LLC ACHE_10765A MLAVADPVRLEAKNILQALRDRKIAVWMISGDNPTTAHAIGKTV GIPPENIIAGVLPEQQKTDKIQYLQKTLHGTGTTSKRALIAMVGDGINDSPALTVADV GIAIGSGSDIAISSAEFVSVTSCLGSLLTLSISVGLCSDGSSLT ACHE_10766A MAIRHRKNSFFDAIVFLTMFLMVGRLLEAYSKAKAGDAVSLLSK LRPTEAILVDRDAKNGNVIIPIDQLEPGDVVRVANGASPPYDGIVVEGESRFDESSLT GESKPVAKKAGDEIFSGTINQANVITMKITRRSGDSMLDQIIDAVRQGQIRRAPIERV ADAITGVFVPAITLISILDWIIWLSLGVSGRLPEDWMDNNVGGWPFLWLQFAISVFVV ACPCGIGLAAPTALFVGSGLAAKHGILVKGGGEAFQEASHLDCIVFDKTGTITEGGEQ AVTGYQMPYGGEIDRVWGALLDLEQNSSHPIARAMVSFATSMNPPALRATAVVENPGK GMKGSFPVTAGTNSMRLDVIVGNETLMHGHSVSIS ACHE_10767A MHCTHCPKRVLEAVETYSDRLEVTDPPTEKTYKLTVSYIPEAPH FTVRHILCTISDIDKAFTVSIYHPPTLEERSHAMHRRQQWQIASRLILAVLSAIPTFI IGVVYTSLVSKDSPAENISKSLCGLARLPESSGLCYSPLRQSTSLRTSSTVA ACHE_10768A MVPNAHKRPPGSRQTTILVNNLHCPSCIVNIEETLSVLYPPPLS ISTSIVLRKIKIVHLDTLSPSRIVRALADAAFEIDSVLPPSDSEDLELYDASRLNPPK TDLQKLHAQKCDECALRQEGPPSGVSSSTEVEALPKKDSSETTISASSSDVDLTSINT DHCTGSLTRIMLAINGMSCSSCVSKIAAAIQEKPWVRTADVNLLTSSVSVVLLDGFRV DEVLRTVKDAGYNAELIDREEVQPPEQSKPTFASADIWRDTYAIGGMSCSSCVGKVTG TLNHHDWITKVDVNLNLISSSGMVEFQGEGHLEQIVNTIQSRLFCDAQ ACHE_10769S MWLLQTVYPELGMGAIMAASMASGITTSIILETSLLRLGADRLS WPTAARTAMGMSMVSMLAMEVAENVVDYHLTGGVVDFGHLQFWLAAVVSMGAGYLAPL PYNYLRLRKYGKACH ACHE_10770S METQKKPSSSTDGFFQVLPDVPPAYTHADIQQQGSHAVSDDTVF ARILGLYLPRKSDQVGTSIHKLGRRALHPSTLRLATDAEINQPVLRPLTTFGEENRID PLWTGEGWRELKAIGQEEGLVAVAYQKDNHTWNRRVHQFALNHVWSSSAAMTGCPASM TDGAAKLLAGRLDDPDGDQPGRSGVLREAYRRLISSNPNEAWTTGQWMTERTGGSDVR ETETVARRLTAEELSRDMESGRELDAHGMPLGPWRIDGFKWFSSATDSDMTLMLAQTD EGLSLFYTPTRRRSGKQNDAGELNGIRIQRLKNKLGTKSLPTAELELKGVRGWLIGQK GKGVKEIATVLNITRLYTAGGSAAGWGRGLAICRAYTRVRKVRGALLQENAQHTRWMA SETMKYWAAMHFTFFSVALQGTVEQDWESMVRNTKAANLIPQDSTKAATLLRLITPVM KAVVSVNAVHGLRACMECLGGVGYCENNEDGGLLNIAKIFRDTAVNPIWEGTISVMAE DVVRVVTDKRLGGGKVVDTVFAEWVKDVLNVCQSKFGEECAVVQQILDALREITGKLS KEELLWRGRELLEHVAAIVCSCLLMYDASSDGDGIATEVASRWTRSKALQGTRIASKG TNWLHESAIDKKIFMGETTLLSKI ACHE_10771S MAFKISRVQRLSAVIGISLSFFVAEISVGFYTHSLALIADAFHY LNDLVGFVIALVAAIISGKHNPPKTLTYGWQRAQLLGAFFNGVLLFGLGISVFLQSIE RFVSLQEVEKPKLVFIMGAIGFGLNIISILFLHDHDHGHDHSHDHHGVEHEVAPPENF DSVGLFDEKHLHHKHYDASKTGPHCPKRDLAMMGVLIHVLGDAGNNLGVMAAALVIWL AHYGGRYYADPAASMGIALMILASSIPLIKKAGLILLQSAPSGVDHDDVKHDLEKVPG VLAVHELHVWRLNQAKSLASVHVTLSDDDMSTFTTAARTINECFHAYGIHSVTLQPEI LANLKNLSTVASESAMSETSIAKTAKQCQVVCGSLCQNLICCD ACHE_10772S MELSQQLQNSRRSIMRVNRQSNVSSPRRHRAILSCATCRKRKIR CDRLTPCSQCVRSKIVESCYYPAASTTSSAPVSAAPRPRVPVRVQPSRQYIAIPSTPT AEGRCATHHLEHLESQGQVLDEMPSSSNLPNASLPWPSSIDPIQSLPSLSFRGKDQKT RFFGRSHWAMTLSLFPDLNAHLRDYHREKQNPTNSPFAEYLSLKRLKHEMRLHENQQA RVDRGPRSAELESLIPSRALADYLIDLYFSTFENTLRILHVPSFMIEYNAFWISCGER SGCKSCTDVFAAKLLASMTCASCFADNEALALAETDPDWLKRNSQIWIRTVASWVGSG TNHAQLSLDMIQVKCLLLIARQAIAWEGDLTGMSSGFLVREAVMMGLHRDPVNFPNRA PFWAEIRKRLWLTIIELELQTALYSGVPVAISWEDFDCNPPSNVEDEDLLVESTQLPP SKPINVHTRTSFQIALAQTLQARLRIAKSINSVRFNMSYDDVNQLSETLTAGLAEAPV ELQANTSAIDEHSGNPNQGLIFRRSLYLFLVYRCLLALHRPFFLSLAENHNEQYVVSR HLCVQASLAVLSQLKPSTHTVQNMPIDYSTSNYPYLLRLRGGMFRDDVFHATASLCFE LRLQAKDNPNTLFPPSDSIEPNPSYYQRIVLFQNIESAIRYFEFKVRTEKRTCKAYML LRMLLASAKRQGRAFNVLPQLSGSIDNEDTMTLDDACPRAARRCRDLLLAGETNFATS SSEAGGDGDNMGLHVPYGRPQTNKTRPSQQTESPEQNSNPAFVEGAAGDSFEQLLSDW DMSLDPMSLYLIDSWPIPPDLLMQSSDHAD ACHE_10773A MLSTLRIARTAGSRQANLRTSIVGARFASAWSNVPQGPPDAILG ITEAFKADSFKEKINLGVGAYRDDQGKPYVLPSVRAAEDKVVASRFDKEYAGITGIPS FTKAAAELAYGADSAVLKDDRLVITQSISGTGALRIGGAFLQRFYPHAKKIYLPTPSW ANHNAVFKDSGLEVEKYRYYNKDTIGLDFEGLLADIKAAPENSIILLHACAHNPTGVD PTQDQWRKISDVMKQKGHFAFFDMAYQGFASGNADQDAFAPRHFVKEGHNIALCQSFA KNMGLYGERVGAFSLVCESAEEKKRVDSQIKILIRPFYSNPPVHGARVASTIMNDPAL NQQWLGEVKGMADRIIEMRALLKKNLEDLGSKHDWSHITSQIGMFAYTGLKPEQMDAL AKEHSVYATKDGRISVAGITSGNVRRLAESIFKVTG ACHE_10774A MSDALSVEQNNKIRAALGLKPLPVPGADATAGPEHDDSDSDISS DEEDPASTLESRQAQAGENWKKLQDEAEAKRKREERNAAIRKAREQAQKNAKLEGPTL GETGGVEDTKTWLQQAKKRQKKIEKERARKLEEELEERERAAAAEYTSADLAGLKVGH EAGEFGEGEEHILTLKDTAVDQEDEDDELENQHLKESEKTAEKLELKKRKPAYDPTEE NAGILAQYDEEIEGKKRKRFTLDATGSTAEERDVKRQEVSEKLKKNAISLDLDPEPQA APVSDYMDISEVKIKKPKKKKSKTTKQRAVLDDEDIFPATESGNAPDGTLMEVDNNNN NGTPAPAPAPRKRVSEDVSFADDDDLQASLTRQRRAAFKKRQKVKPEDLARQLREEES QTPMEVENAENEEEPGLVIDETSEFVSNLQRPTLPDRRESQKTATPAPAKAPSSPVEE PHVEPEADVDMDRTYSGIEDEEEVKERFKQDQAQAQQEISGTGLEEETTLDQGLGATL SMLKQRGLVKQTDSAQHNALFRERQRFLHEKQHLESEAEKRARQQRERDRASGKLDRM SAREREEHARWENKQRDQQEARHMADVFNREYKPDVQLKYVDEYGRLMNQKEAFKHLS HQFHGKGSGKMKTEKRLKKIDEEKQREAMSTLDSSQHTGMNNAMGATARKNRQAGVRL G ACHE_10775A MAELERLPPSTTAYVIATAILAGVTGYFVGQGSSLRLFSGEKEG WPNSYNVKVHKDSSDEDYEDNEEEDEEDSEEEEQGELGNFGDEEEVKLVLVVRTDLGM TKGKIAAQCSHATLACYKYFLSHAPESPILRRWEREGQAKIALQIKGEDELQLLQAQA ISLGLCARVIQDAGRTQIASGSRTVLGVLGPKSVVDNVTGHLKLL ACHE_10776A MRFTPLIAILPALAAAQEQVPLGDRVQGWFNKAKNYLPTATPVV PVEKVVEQKVQEKAVTTVNLTNWQATLEPSGAAQEWLVFVTGGNKTCFGRCERANKAF NESVLLFSADPTAPNLGYLDCEANQVLCSAWVAGAPSLWHFQVPQAQEGPERPNTPLH IVRLNSTTVTADTIYEVHSKKTYQDVPAYEGAMHPTDGWVAQNGLIVPLGYGIYAFSL IPQWLFMVLISFVSRSMMSRRLGNQNQAPAPAQAN ACHE_10777S MRLSRFLPARWLPVALVVAAMIQTSFGAALKKNVVDESLGEIKY TNKMVLNDTATRNITSAGDFPVCTYHNGTFSPFCLPHNGADVIVDATYYVTWNADFYP LNATITIELRYPNSTEGDSAFTSERTDNSYGYIPLRMRKEWLQGKPHNELTLYIIELD STSDRRARARQGPTVVLHPKPKEHYKPPPPLPFNRLALIIGLPVSVGVVVLIVAGLFF GMRRSRQIGVAHAKGSRGKGYGIGKSRNERLGRSRQSMDGDADAALKKYSDADEDMSE IADSDLYHDLYRSGNYGFGSRVAKLKSWSKS ACHE_10778S MCPGADHEPNGHANGANGPGAIGVNERVNGDASSHPGFTGVETR QNPHPSSARNPYGHNAAVTDFLSNVSRFQIIESTLREGEQFANAFFDTEKKIQIAKAL DDFGVDYIELTSPCASEQSRLDCEAICKLGLKAKILTHIRCHMDDARIAVETGVDGVD VVIGTSSYLREHSHGKDMTYIKNAAIEVIEFVKSKGIEIRFSSEDSFRSDLVDLLSIY SAVDKVGVNRVGIADTVGCASPRQVYELVRVLRGVVSCDIETHFHNDTGCAIANAYCA LEAGATHIDTSVLGIGERNGITPLGGLMARMMVADRDYVKSKYKLEKLKDIEDLVAEA VEVNIPFNNYITGFCAFTHKAGIHAKAILNNPSTYEIINPADFGMSRYVHFASRLTGW NAIKSRAQQLNIEMTDNQYKECTFKIKALADIRPIAVDDADSIIRAYYRNIKSGENNP LLDLTAEEQAQLAAKEKELAAQGNTA ACHE_10779S MLLHGFTIVRDTGGADTALREAIAEGLIPGPRLFIAGKALSQTG GHGDFRGSYPESEHKRCGGHSPSLARVCVGVPECLNAVRDELHQGADFIKIMCGGGVA TPTAGLDMLQFTAEEIRATTTTAAYSKTCHSGCLHC ACHE_10780S MIQPASSHFLDEFSQRKNQVILKGSLGALEILSDAGVTMCYGSD LLAGFRIMQNGEFPIRAQVLSAGEVLKSATVNAAKYIGMEGKLGCVKTVSIADLLVLN ANPLDCHLGSHS ACHE_10781S MASSVFPVGLQNRLLGFTRVSNVQLLNLDLIRNIIFILFVFRYA RKTFYSVRGYGIFGSIRNVYAAVRLFCYSIFLRSPGVKGQVDKQVTTAIEGLEKKLVA SGPDVTRYLALPKEGWSAEQVRAELEKLANLEHTRWEDGRVSGAVYHGGDDLLKLQAD AFGRFGVANPIHPDVFPGVRKMEAEVVSMVLSLFNAPSDGAGVTTSGGTESIIMACLA ARQKGYTERGIREPEMIIPDTAHAAFIKASHYFGIKLHRVPCPAPDYKVDIAAVRRLI NPNTVLLVGSAPNFPHGIVDDIPALSRLANSYEIPLHVDCCLGSFVIAFLKRAGFPEP YEEEGGFDFRQPGVTSISVDTHKYGFAPKGNSVLLYKNRTYRSHQYFIYPDWSGGVYA SPSVAGSRPGALIAGCWASLVNVGESGYIKSCLDIVGAAKKFELTIKEHPVLSQNIEV VGDPMVSVVAFQSKNGGIDIYDIADDLSSKGWHLNALQTPAAIHVAFTVPTASAVDQL ITDVVEVVEKELEKAEERKRQGKSYAIQRGDTAALYGVAGSMPDKSIVNRLAEGFLDT LYKV ACHE_10782A MAFFRITLLRSAIGLPRRTTDVLKALGLKKRMATVFHPVSQSVA GQVMKVKELVDVQEVDRRLTKQEVHLERKPDPGYYVEKRGNAEFVEQTRE ACHE_10783S MAQEAGSDGTLAMPRLDDILRHPEDLDKITGLKAEYSRKKAAVD AHLREGLRDQLETVQRSINALTEGQRQVVKTKDELQGIDKLCAESQTSVEDFSQIDKL AKMQRHFEATLMIKKGLENFSADLAEIENLLTEDDDDLENQPNMLRAHMQISRLRDFR DEAMDQIRKAGDPSNEETLIDYFQGLDSVIEWFDDHLGTACMNLIPLVQSDNNSMVVR LAVVVANEEKNDETVRALQEAQKDHKDLANRFKSMNIGPKTVRGYKEKFIKAIEFYAQ TQFESTKEGFLDDPENLEKSFRWYFNDLFTVKQGMQQLMPKKWRIYETYTNIYHRMMH DFLVGLIDDPELPADNLLSIIHWSEKYYKKMKKLGWAQTELQPNILDDREPELIRQWQ NVIIKAVGEWMDRIKATDTKGLVERIPDSLDTNMEGYFRTRTLPDMWRMLNEQIMAAG ASSRTDLVEGIIDAMFQVLKGRQSAWQTLIDEECAKYKAPGGDLLDGLQLLQDWLIAV ANDQIACIDDNDETGQLGYLTRFKNDFEPYVDPKYMASRAMPELDALRDGYVDLSTHC LNQFVQVVFAVDLRGTIPEFFTQKWYGDFAMKRITSTFEDYMVDYSPVLHPSLTDILV EELSDELLVGYLSSVRNKSVKFRRSQDPYTDKFKDDVLTVFAFFQKYPDSFAATIKMK WRLVDWLVRLLEADKGPALVAVYENFKTEYWDLQLTWVEAVLRTRDDFERSMVSATKA KAAELSVEVGIETLMSRVR ACHE_10784A MLRSFRPQRPIFSLQVALCNSRFHHRHHQLFHTMSEQEKLKTNN KPRGKFRRPPRKEKNPQLTHFLCLPLVNSISLPQLESSLATFKAAIPASPVQQEQSQN GPQEAIVQQGRPLIPDGALRPVGTLHLTLGVMSLPTKERFEEALEFFQSLDLVAMIHE AEEKAERIKSRKGRTEQPLHPSLPDSRGEGEFDAVQETNTVSQTMPRPFNVSLESLHA LPRARSATVLHAAPVDPTSRLYPFCEMLRDKFLEAGFLQGEYKESPQKQVGQSYEGIA TEGTSQQDKANKKEEGKIDGDGSTAATEEQPVIDKPLCINDTASSSLLEELPVTLAEE QSALCQSQPPKLTTKPIHIPPKPKLKPRPLFLHATVVNTIYIKGRQRGGDGNGKGGPK GKNGNKNSRYTFDARDILDHYRDYYLDNHRTTPRSAAITVAGNTTPSNEALPAEYISS LRRAGNPEELDDDPEQKKRKRSPSKSDGSGSGDAVKHPFVWAKDFPLESVCICEMGAK KLNPDEDGLNARLGEKYKVVAERSLSWDPNVQPATEAVNRY ACHE_10785S MLPRAPRAILSAIRPSLRISRIAAPAAIHPQVPQQPQPALRRSF HFSPIARKGIHPESSDPPAPKPQSHNVAGAAVHITEPTPLTDVQYYEYSEHYLESLLN EVERTAQEDASDLEGEYNAGVLNIIAPDIGTFVLNKQPPNKQIWLSSPISGPKRYDWV VEGEGQNEKQETRTNVSGQWIYLRDGSNLTDLLNSELGLNLPKDIYSEKLY ACHE_10786A MADESLSIYDEIEIEDMTFDPNLQIYHYPCPCGDRFEICIDDLR DGEEIAVCPSCSLMIRVIFDEADLPK ACHE_10787A MIPPCDPTILESNPQFKRLYHQLTTTVLNPDGSTRANDTQPARQ EALEDLNNCRVRHAKRQIEKQTLRRLAFDPESGLADEYRDIVAIIVLYLETPRGQIGK DIDDPGNSIESSEASSLLAPDIEAFYVNIPSLIPFFSNALASAIDDLRAIADTDSSTT ESKEPPRTPRVRTRQATTRSTQQAFLNPRFGERLRQLRQAQLSELPTARRKMAATAAE VLAARAQVLERTVVLLERAKHGALSRATKAKAEHLATVAQGIEAKLGVIKLDIGSTVY TPETISALTRYKQHLQVTCERLEERRELAIEKLNKESGSGPLGDITRQYADTIKKMDA VETEIKGLEK ACHE_10788A MATPPAEAPSIHKEEKPQLPPSPNGISSPDIVTSAQAHPVTDSK PDVPNGPTENGVANFATTNGANSESSTQPATDTAARPVAPQATPPAEDAKPSNMEQTT DETKKEPAEEKPVIEQPASQDSSNNVGADSTAPKEIPAPRDAPKPAAEPSSEVKVEDN PQTPQNQQDSTIVKAELPHHPSVDTKLDSAPSQDVTSNQPQTNDQEMRDAPEPPASPT KISREREPENGDEPAAKRTKIDFEGAAPAPAPAPASTDTGAANLPTPATDVSAAPPAN ENRTMTKMQHKFLLKGIQSLKRMNDARFYREPVDPIKMNIPHYPQFITRPMDLGTIER KLKANEYPTAQNVIDDFYLMVQNAMTFNGPDHLVAQEGQKLKATFDKQMVNLPRPDQV EEKKSKKGSPKTTAARPQARASQSGPRAAGSPQATTFALGPEGLPLIRRDSTTADGRP KRSIHPPKRDLPYSTKPKKKKFQWELRFCQEVLDELYKTKHYNYAMPFYYPVDPVALN IPTYHSIIKKPMDLGTMSNKLKAGEYENAKDFETDMRQIFKNCFKFNIPGDPTFMAGK TLEEVFNSKWAQKNRYLEAHEPQHEQQSLDSSDEDSDEDVEESDEDTEKLTLLQKQIA EMSRQVEAITQKKKKTPPGSKKVGKSKSVGKKDSKKSGSISIGKKDKKTSKSKPEKQR FVTYHEKQLISNGISSLPDKKMQEALKIIQSNVPALKGTQETEIELDIDELPNDVLLM LLKFVRKNAPHVMEDDDEPAPVVSNNSAAPKPKKNKPMSKYEQEAQINMLANNLSRFE GGGGQSPDPVPSVEPNESSDDSDDDSEESEEE ACHE_10789S MGKKASRAPASKTSSAATAGQTYTGNKSSILRAAFAPSEYQLAL FASVIQGLDAQHLRIHDTITGRLQCEHVLAPKETITSLDWGYYPGRQQKDQQSKKKRK RPSDVNGAGGSELGDVVVAFSTSSSDIRMYSPAEDKIVGSLANGHEKGVKDFKFTAGR SGQEGWSIGGDNKLVQWDLRTSQVQRTINLPTSSTFTSLCRPFPSNPPVICASQTPHM INVDDEAPLAFPAMRNTIQTIISSSTDSSAGLFLASDNDRYINVFDPKTQKLVLNLVA EKEVSSLSLYAGSDKQAASLEKQVLAAVTEDGTIELFTKPFVQAQGSKETTSLKAKGR QMTRRADASIQITRSENSDALVSVVAASIQGSDVVVAYAEGGVIPVFERVRWQNEETD ELSFTGTKKVPKIKSSNSVLSSVSTNKAKNAGESQVDESRAIVEQGNIAGDDDVEMQD TKQGDASEADSEEENSDEEQEPKKSDEKKDAQKKETENDVEMQNASGSDAEDGEGEEE ETGEPSFGELMRANASEEVDVEAELDDEVGMGALVPGKPNAAVQQIPTGVSLSTVLTQ ALKTNDSGMLESCFHTGDLSIIRITIQRLDSSLAATLLQKLAERLSSRPGRYGHLLVW VQWTCVAHGGALAGKPELLKRMTTLFKVMDQRSSSLSSLLLLKGKLDMLDAQLSLRQS IRSNAEGMDSEDEDNIIYVEGQEDEDDEDSDAEAKNPTTPRTKSIRDQAMDEDESMIN GVQSGFDDSENDEEGSEEEEDEDENILDVEAEESAGSSDAEESLEEDEDEEDDSAESE GSIADFVADSEDEGESDAEMPAQPPPSKKAKVQGGAKLKNKGGRK ACHE_10790S MDLMDLPAELLCQFIDLAVSEGYGGLYERSTVNLRLVNHFFDIQ VPARNFSYRCSRVLVNPRYEAMLAFTTRYLLQRPHSALDRRANFACLVNYVVV ACHE_10791S MKHEYFNTPFHAVSKRRHYDLVYELLQHGADPNGSSILRWAISD GREDVAYLLVQPQYGINTSDRDFEWAIIFSIQQNYHKLAWTLLDRLIAPISGFWYLLS EGLCAACRLGMMDIVNRLLDNGGDPDVTKAYEGASPHVPPLVQAAWTGQKEVMHLLIE RGADVKSHGSKSIFAAAWGGQINAARILIDAGLSNAQIDAYGLLTRAMCSMKPEAAEF LRFFQENGLIDIHHLDEDPVEAEKNLAEVVIYAAGHGHVECLRILREYGVDLDDGSLY SRFEFPPPIIVAKAWSQNKVVEYLLSIGVKDINPLDTCIADGFRNGEFPAEPKPLSTC PLPYKA ACHE_10792A MISLKLLTTLLTSTAAIAATATAPDLNTLNVTVIGARNNKSILE CWALDPGFTESSQAGTAGSEILNLGSVSGNASYSVIPAKFDGGRHNAPAMQWVVFLSG LAHISLPHSDKEAWIRGGKGGAILALDTAKVSGDGHVTKYPSDEVTVALQVPLKEKVP GHHVLHGGACEGKEVSL ACHE_10793A MPSFAGARHSRDYSWEDLAFDTPISPSTPPELTFDSSSDDESGP ATPIPEAGLSRPVGSVYSCRPFWKAHVDTGDVFETPLSKNNRRQTGRIPSNLPSIEHV LRDAGIPKGRGRRKIRRYPNAHPAKQSRSPTSLDRFIPQREFTNPPSTSFRVSKNPRE LSPDEKLLRRRSKRVDPFRPTRTVRSISPRRGAQHIRSPHYSPHLVNDSAIAGSINIQ GTRGAGRQVSFGAVWNVGGRSAAQGNQPTVAVPDGNGGLLSSGTTAPMHIAEFFSRPT SPEVPERHESRLAVALEIDPASRLLNTCNLSPPSESTSSPSSPGHDQFSPSVWKDGAW IRTDGDQGSKAATRDHSEKAVPTQPFRILDAPDLRDDFYCSLLAYSATTGYLAVGLGH HVFLWNEALAKLRAPLREQHPSNHVTSLSFSSEDGEKSILAVGRRGGTLTLWSPLDTA NVRFEIEHRYPITCVSFKSTHSRRSSQKFDFEVDVEDLVVGDEFGNVWYYSVEWPDNS VRDRYYWNGALTLLAKISAHTQSICGFSWSPDDIYLATGGNDNSCLLFDLREIIPSQE PQEVRCESRSSYHSQLSHGTTRCQSALSCDSSQTTVRHIFSGRHLISHLIPSWIQARF SPLASPILNYTTNVISGDQTAFVPLNSHKRRFFHSAAVKAIAFAPWQPSLLATGGGTN DRTIRFFHTPSGSCLATIDVHSQVTSLIWSNTHREIVATFGFARPEHPIRIAVFAWPS CEQIAAIPWGPGGTSWDGVTNNEFVECGRALYAIRYPGCPRYLTTEEQERCSLDTSRP VTPNVMSRDGSTVLCPRLRTPVEPKAKEGGLWCSRTLKEGCIIVASTDKTVKFHEVWG ATGKTTDDSSPLGGSPLLEEFEGVETLGDEVIH ACHE_10794S MTSHGIPRQRSVEERSQEARQQEICKIEKYQELDRLVHEKIDQH QYTPETLQNISELLSSNPEYYSVWNYRRQVLRHEFSQAASPGSENAGVDRIADLIKSD LLFLIPLLRSFPKCYWIWNYRLWLLDEAKRLLPLPLARRLWQEELALVGKMLNLDSRN FHGWGYRRFVVETLETLPSDGTTKKTMVSEEFEYAKKMISTNLSNFSAWHYRTKLIQR LLNENSANEEERKKMLNDELDLIHRALCDPYDQSLWFYHQNLMCTFDPSLAGSTMAPN LSNSERLEYVQREIDEIQELSDGAEDCKYIYQALIDCTLLVCKIQGTLPSGEQKKDIL SWLSELKKLDPLRQGRWLDFEKSLEEETSKGT ACHE_10795S MSSSRRNSREYEGESYDDAPDSHHPQNELTVTIPQSNSTRSLTD SPPSGTGATPQFSEAPSFPPAPHQNEVDDKTSDENMDETGLGNAVSDSEETPRKKKSQ KSPLLTAHRLSTTSLDDVNLTGNKEDEAMVDGMSQESKANSPPEKSTDSPVQGSRLQG LSGTLPSVPWGPPPVNKNPPPAAAAVPPPPPTRKLTSPFAWLSRSSTSKETKSPPQST DGSRRNTAASVSTVNSNPELAGRFGEWDDTRASKPRSNSLKDQFRLLRLREEAAAVSE NGEMNGTSGQSDGPPSIPEQSENDAITSPQSAAPATTPPNVPPTVNPNLAPGTVSGYS ASASDASAPVDWELWQQLVNHGPQALSSSEALNAAIKRGIPQTIRGVIWQVLADSKNP ELEEVYKDLVARGTEKEKERHRNSNGQVNGEKESLSSSRSSVRSDNSASGAHSNNGSS SSPTHDPDAEKQVKDQAVIDAAKKKKAKDDALALQKLEKTIRRDLGARTSYSRYFVSQ GNQEGLFGLCKAYALYDDQVGYAQGMNFIAMPLLFNMDEVDAFSLLVKLMNKYGLREM FIHDMPGLHRSLFLYERLLEDVEPAVYCHLRRRGVPPQLYATQWFLTLFAYRFPLQLV LRIYDLIFEEGLETTILKFGVAIMRRNAPSLLEQKDMSSLSLFLKERLFDVYIDKQPS ATSILESGFFGSSGAADKEVYRADILVQDACDVPLTSEMIKEYTAEWEEKVQTEKERE AELEGLKHTVSIQSARIRVLEEQAETSDKEHVQLASELVHVKVENEELSDLTDALKMQ VGELKVVLDKQPSEVEEKLQTEMDRIMKRNLEVQNENRSMVEQMAEMEKELVEAKMKY AEIHENHESLKQKWSDLRKALD ACHE_10796A MAPIERITLFKIPDEDDLNRVLEQYKTLAKTAVKDGKPYILSSA VGKSFPDPRNKGFNLSVKATFASLDDMKYYDSECEAHKALKAVAGPVREDFLMTYYES VL ACHE_10797A MPSIPLHPRAISPSKPHDNPLPNTLQTPSGLALLELQGTINFPS TEEQQPDKQQSQDQDQAENGAAPTATDTTYETPLGKLMFPDYSPQTTSNDDTSWMKRV YLYVGRYQRMTGEVKKLPQPIAVVQRRGETDELEILEVVKFKMIFKSRPEPVNDS ACHE_10798S MSYTTSRMWRSDQSKEYEAFARARCNYTHIAPLSPVVPRTWPEW IKHRLLIQEEAQSQELRRLAIKTLVSRIQETIEEYQPPFADKTFEDHLSSVLARESIW LPSYTAPPDREQAPWPTHDEMKHEGNQRGKSGYCRFLPLPRTPGEITSNWKQCSPIVP FQFDEVGHLNAEEEEGSSEHETDENMLLLVGNFLLKELEC ACHE_10799A MAPSEAYILSSFLLSPGSLPTVISLQQFTELFPKRLRSHPHVKT LYRELQEIRDQDLNVVNGNIDQETKNGEKQKEELRKAIVKTGIEDMNEHDRREMDMDV QLFGQKTDFASSSEEYHSVSSLLSEMELACTNIEHDISRIDQDAVAITSELNTTVSDL SDLRYGKMQGPGSADHVVDQAIQGLANLEDACYRTA ACHE_10800S MAPAQLVYHKDEKVLCFHHEILYEAKILDIRHTDPEDKKSPLEY AVHYKGWKSTRWDDWVPQDRLRKFTDENRELATTLRREAESAFRQRNAKPASSKRRGG SDRSSARGSEERQMSVPARGTKRGRENEIEKEDSFYSRPSVRIVMPDNLKSLLVDDWE NVTKNQQVVALPAKASVNQILDDYFNEEKPKRATSADLDVLEEVITGIREYFDKSLDK ILLYRFEREQYRSLRSKWENGSGNFADKGPLDIYGAEHLTRLFATMPELLAQTNMDLQ STNRLREELSKLTIWLSKSSDKYFATKYMTASNEYIEKTRGVPNPNPGTATSRLL ACHE_10801A MEASPLTQQYRPDTFKPKIVQLYEDLFRIEDYAEPSEGFWREFF LLAPDRAQLHSILDQLGPDDILNLQAQTQQVFSRAIRQAASGVSPTDAFALETLTVFL ACVLKKKYTNPSSDVITVLAGLDEVDHVISEFVSMLDGIIRKGSNFDVRAKAIRTAIA MVSGAYKTSLVSYFAHRDLFPSLMKFVHDSDTPTQVFEPFVLLGLLANYNKFEFQNPY QLRLDDFVNESSIQKIVKGVGTSCAALRNGYVAVQDDAPEGWSLISSLIYLGLGTLKP GSKDKKTPPTAEEAKDLFANLPAQQAAIFLSTYDFTNANKLFAVHLISAAPESSNEES PFATFLSVTSYLLQHAYRSARVSHYAELNLFILRILTEDSTLCKLLCSEDTKRTVRLC RQRQPYLPLVVGDRVLVTVIFDVMVDAISHNLRRRLDTKLYSHTTAILLRLLTYLSMN KIRLTYHWSELWRTLLSLMRFLTTYASDLTTNPHITTLSTSLVDLVAFCVSAGDTFLP DPASYDDLFYKLVETGPIISKFRDVYSLKPSNKKSADKDIHVGATDTLIAVSTHFYAL LFHEDKEKSAVAEGEGESAAPIPASRKKNLSPREVHRIISQGYDTLSIQPPEGLSAWT RWREADWKTELKRAARCAVEDARVLVA ACHE_10802S MSFCRAIHPPVTSSATMFKYINKPSNQSLRAFSSCGRLYTSSKR DMQTATAYRPHSLPTSFPFPRNAGVPDTSISADYPPNLENMTQQKQQSAMSPNVSLRE KEAQQPKPMESSAKKPAEKPRRKLRPRKAAMKVTPTAIEQLRKLVAQPDPKFIRVGVK NKGCSGLAYHLEYVEKPGTFDEVVEQDGIKVLIDSKALFSIIGSEMDWHQDKLSQRFV FRNPNIKESCGCGESFMV ACHE_10803S MSFTPYDILTTESESEPNTNTSLLLTIRFSASIPDLYLDIPAPE TTTAAGLKQLIRTHLPSDLSTHRLRLIYSGRGLEDATALKISLRLPPPPPAQANKDES AKKDKKDKGKEPVREQPRVYIHCSIGDIILSDTDLAEEAAAASTLLREGPDGSDDEYE AKQQQEKQKQQASTTTPAPRGFDRLLTAGFTAAEVTALRSQFMAVQSVSRTPDTMPSG AELRELEDRWLDEGTTAGSTVGPGVGGDGGTGVDGDDDGSFGTGSRGAIDDMLWGAVM GFFWPMGCAMWLRREEGVWSWRKGLAVFVGVVVNAAFGAMRIMN ACHE_10804A MSNPLDTDAGSELFSSYETELKLLQADLNQKLDQIAEASGEQRK SAISQAERAMDEATELLDQMRMEKQNIPSASRSKVNMRFRNYATELDESKRKLKSLSD DRKALFGDRYTDDPQDEHLEQRQQLLSGTERLERSSARLQNSQRLALETEDIGRSTLA DLNQQREMIEHTRSGLQQSEGYVDTSIKTLRGMARR ACHE_10805S MSQSQPKRVAVIGGSCAGVTSFWALQHSVHDVHLFEASSELGRR IKTVPFEDGETRVNVNTESPCFNSETSPNLVSLLRSLWISTSPISLRFSASDSLDTYQ WGYSILENVLLRPWCLCSLETYRILFDIIWLKYLVLGVLIDRDLFSSQHRSRHTSDAY NYLSNEGFSSSFRDRYLTPLLSTLWGTNAGRFLPRLSIKDLVQFLHDRDLLCIQKFSI PWRRMDVTASQFVQRMAGNFPADKVHFGAKVQQVKRTGEGKYGLFALDGEEMDFDHVI FAVDSDEILRLLQPTKNAEEREILQDIRMTNNIAVLHSNPSSTSNAPYNYIISPDHNP NHLPPMACLTYNINTLQNIPTSLAGPISITINPFNPPHPTLVQAVWEFADTEISTTSL HAFRRLPSIQNKSGLSYCSTWTGRGFLEDAVTGGLRVAVEHLGADVPFEVDLREGKGL GAGLAMGFRDHLILTVLGLLRVYVRIFELALILLELFWVWITKD ACHE_10806A MVQISEVKGNSRENRTAAHTHIKGLGLRSDGTPEQSGDGFIGQA AAREACGVVVDLIKAKKMAGRAVLLAGGPGTGKTALALAVSQELGTKVPFCPIVGSEI YSAEVKKTEALMENFRRAIGLRVRETKEVYEGEVTELTPEETENPLGGYGRTISHLII GLKSAKGTKKLRLDPSIYEAIQKERVTVGDVIYIEANTGACKRVGRSDAYATEFDLEA EEYVPVPKGEVHKKKEIVQDVTLHDLDMANARPQGGQDVMSMMGQLMKPKKTEITDKL RQEINKVVNRYIDQGVAELVPGVLFIDEVHMLDIECFTYLNRALESSISPIVILASNR GNTVIRGTDDITAAHGIPPDLLARLLIVPTQSYTPEEIKTIIRLRAKIEGLNITDPAL DKVAEHGSKVSMRYALQLLTPASILARVNGRPGGIEEADVAECEDLFLDAKRSASIVN RDSGSFL ACHE_10807S MAGLQTRPTMSLPFSLSAAFRNISLTSSTRSFSTTTAAQKTKTL PDYIPPYPYGPNYVYKQSNTGLYGGAMIQFGNKISQGRNEGKTRRMWKPNVRRKKLWS DALEDFVFIKITRKALRTIRKSGGLDNYLLDDRPGRVREMGIFGWQLRWQLMQTPKIQ EQFREERKRLGLPEPLSFEEFVKQKEAEAQAKGEDKTNIKGETQPIYNEKLY ACHE_10808A MNIITMASVALQVAVNIIQIALIGFGVESASGVELAVAIVGIVM TVLDHLTYPPSEDYMNSKVKTMTKDLNVRPPALLTYEVYEVSPNIALNFNFSRIEITI SSKAEFSLKFKSLNVRLEVGDTATAMFKKPQVGDDKSRRTRSTMLHRRTDST ACHE_10809S MQFALPPRGGALPLHTSSGRSRLSYQRRRQLKVAAILGFAVISL FFLFSYLHSSSIISTIPAGTSGIVIVTVLDRAALSEKYIHRIKKNREDYAKQHGYTNF FADVSDYESTLDNAPRSWAMVPALRHAMTLYPHSAYFYHLGPHALIMDQSKSLESHLL GKNRLESLMLKNVPVVPPNSVVKTLPHLTSKDIELVFTVDDADLSTGSFVIRQGEFAD FFLDVWSDPLYRNYNFVKAETHALDHIVQWHPTILARLALVPQRIINAYSEDSPDVTA DGIYRDGDFVIRFHACESDPSRSCDKEMELYYDLWEKKVDGD ACHE_10810A MASVTLKVESRGKPIKALPKEIQVSPDAFAQEVYSRLATASKFD IHRLRITKSSDRGVVPNAKDTTVNDAGLQDQSVIQVKDLGPQIGWRTVFIIEYLGPLL IPALFLFPLRQNIYYNFDQPLPNPSDSQLLVCALLSVHFLKREFETIFIHRFSNATMP ARNIFKNSAHYWLLAGFNIAYWVFRPDAAAATDSPNENLVYAGLGLFVFSELANLNAH LVLRNLRRAGTTERGIPSGFGFGLVTCPNYLFEILAWVGVYLVSGLSWSVLFFIAVGG GQMAIWAAKKERRYRKEFGDKYKRKSFVMVPGIF ACHE_10811S MSRINSIYCAYFTSISSLPFPLYCCDLNLHLSSIFIYFSCVHTF TELYPFVNIPHLSLVSTMADAEESKIEKSISQIEQVISASLRSMPTETGDGTYVKESK TTGFAKDLPHLDLDDVKTVVDVVKAGATGEPVDDREYIMERVIQIAAELPSTSRNGKQ LTDAFLNQLWNDLEHPPISFIGGDSQYRKADGSGNNPRWPELGAAGAHYARSVRPKTL QPAVLPEPETLFDSLLARKDFEEHPNKISSVLFYIASIIIHDLFQTDRDDPSITLTSS YLDLSPLYGNNQEEQNAIRTFKDGKLKPDCFSTKRVLGFPPGVGVVLIMFNRFHNYVV EQLATINEGGRFTKPDESNAKTNEKYDNDLFQTGRLVTCGLYVNIILKDYVRTILNIN RTNSVWSLDPRADMKDELLSKAAAEGTGNQVSAEFNLVYRWHSCISERDQKWTEDLYN QIFPDEDHKEISLQRFMRGLGQWEASLPEDPEKRPFADVQRKEDGTLDDDGLVKIFEE SVEDCAGRFGASHVPTVFKSIEVLGIKQARSWNVATLNEFRQFFNLAPYKTFEEINPD PYISEQLRRLYDHPDLVEMYPGVNIETAKKAVTPGSGLCTNFTISRAILSDAVALVRG DRFHTIDFTPKHVTNWAYNEIKTDTSIDQGQVFHKLVLRAFPNHFKGDSIYAHYPLVI PSENQKILSKLGHAKQYSWDKPSYTPTPRFINSHAACTSILSDQEAFKVTWGKKIKFL MENHDHPYGKDFMLSGDKQPNAASRKMMGGALYREQWESEVKKFYEEITLELLQKYSY QIAGVNQVDIVRDVANLAQVHFCAKVFSLPLKTDSNPRGVFAESELYQIMALVFASIF YDADVAKSFELNNGARMVTQQLGKLAMANVEAVANTGIIASIVDHLHRHDVLSEYGTH MIKRLLDSKLPPPEIVWTHILPTAGGMVANQGQLLSQSLDYYLSEEGSVHLPEINRLA KEDTPEADERLLRYFMEGARLQSSVALPREVAKETVIEDGGEKVSLKEGEQIVCNLVS ASKDPVAFEEPEKVRLDRDMDAYAHFGFGPHQCLGLGLTKTALTTMLKVIGRLDNLRR ATGGQGRLHKLDGPGGIAKYMTADHSGFSPFPTTMKIQWDGDLPALKRDL ACHE_10812S MFLARKAVNELGTEDGSEDVASQDEWRDALLALLRTLPGSSSRT PETLVSAGIIRLFVDVLNLRTEKARRVYSRTIEFLDTFVHAVRDALGTLTAAKGFDAI SDLIEYETKTAFENVSKGLGIPSRHKTPSMDYQIPYFQQQTLRWMFRFVNHIMQHAHG GFDRVLRNLIDSPQLLTSLKLVFENARVYGSHVWSNAVNILSSFIHNEPTSYGVIAEA GLSKALLQAVMQSELKVQEKLTAEPEETGAEGAAAQGEAPSEPAAVVPTQSTGVRERS REYPLVRPKGMQLAPGILTAPEALSCIPTAFGAICLNSSGLQLFQSSHALESFFEIFE NPEHVRSLKDDSHLVRSLGTTFDELVRHHPAIKSSIMTAVIVMVARVALLCKSKAWSH GMGTKLWTEDLQGRASISGDLFVLFREVGLPLDGSSTDAVQNLGVPELNATTLPNGGH LTMGDLNHIVPSLNESLEPKDQDDNGLTATDYIYPVLRFLGAFFENQSNCAYFIESGA VEFILDFATLQSLPFDFHNTDANQELTVLVHMLVETKPHLVLPSLVNRAQSVVDNLSG FCSEPKDAGFFTSLIKPPQEQVSEEKGKDVLSFSKENGTYFAKNMGAALILTDLLREV YSMPLYQTRHHTSAFLQVNLADRYTSLVTALGKLHAACVWEEILLEKNIPDTWDQATK VQAAGNAMETSQDTAEPSNTGESLSQSTTESQIEGSDTAQTPANRDPKDSSSKAPEGV AFKNVRALRYLLSSLPTSITGFYHNLGLGLIGKRKAESYPRQNANMVAESIATAVLNQ LQFSPPNSSDNPKHRFAYLIVILSSFSHLLFEASAERPQAQYLTLVLFAFKRIGGLEA IKHVCDVFIQEVKALTPPESVSESEKDVSARLTSAYGGIRIIFNFFSGLASGKNIIES SQTQAITSSSDRERERSDYFQPGQFLVDLRMEILPMVREHWNSDFATQSSSSIIKCMV DILRSCLDGEYEVGAARRSENPPAVMEVTRRKFVANKDRVVSLQEKGFDKELAKEAVY RCNNVSGAAEEYCKAQNWLRAPPRLPPSSNDIESMRSGATSGGEAPEDTMDSPFFNSG PLESSALAMLLSQASDRGGQPRGRSAETGTGNGPEVLARALNDILNHERPGDNDREGP SSSNPRNSNSSGAPPSSEPTNRPASQESQQPTEQPARRCEVSTIEDLDAEREKVRSNM IERCLDVLNEHHDVSFELSDLISSATRKHRDPEGFRREVGEILVQSLVSLQMENFQTA GKKIAAYAHILALVLQDKDMYNATLEELKDYFETFLSFVKTPKPEPNESFPWIGHVLL ILERLLSDDAQPQQIRWTPPSLDDPNPEGNEPAQLQEPLVSQDQKTRLFEAIIEILPR VGKDDALALSVCRILVILTRHRSIATSLGEKQNLQRLFVMVKQLSSATNAKLQSAFML ILRHIIEDEDTIRQIMRSEIVANFEAKSSRHIDTTSYVRQMYHLVLRSPEIFVEVTNE KLKLMRYDSHQRPQTLALKSDPSSKSSSSQEGDNQKPSVDELASEDKDKDKDKGKGAE LKPPVVENPDGVIHYLLSELLSYKDVDDKEQPAETSQQPGQDQSASQTEVEMATDEQS TPASGADAQANRDSKKPEKPAFKADEHPIYIYRCFLLQCLTELLSSYNRTKVEFINFS RKADPLATTPSKPRSGVLNYLLNALIPIGTMEHDESVTFKKRNNTSSWTSRVLVALCT KTGEFGGTPKRRSEQKLNEEDEPELAFVRRFTLEHALRSYKDANASNEPLDSKYSKLM SLADLFDKMLSGYTFVSGDSAYPASTRHLAKTMFEKNFINALTASIADVDLNFPASKR VIKYILRPLNKLTQTAVILSETSDISTLGETEEDEISSATSVSDIDDEREETPDLFRH STLGMLEPRHEEETSSEESGEEDDDEMYDDEYDEEMDYDEDMPEDDGEVVSDEDDEEG VGPIEGLPGDTGEITGDHDNESLEEGEDDDDEWESEEISENDEEAEMMDQFEDQLADI RQTDPHGDGQRFDDLFRVLNEAAGGMDDLQDDGLMGEMHDDIVDDMQEDEDDEGIDEF EEDLGDDADDDQGSYQGVDEDDDMLDTWDIDGDDLPLPRGGQPPRFGGGQPTWAAVTG FMPGRNGGLVPIQPYRLHRAQAPTSTNNDGTNPLLVRSDRGAEQAAPANAGAEAFSDW VHGIEQPPAGRMLQMDSPVSFMNAILQAIGGQGAPGFGVITRPEGIQLHVDQRAILPN QVQDIFGLGRPQAPPTRPRDDPSQAVSFALDTTRNRWQEEAGILFSNTYVEKTQRVIN SLLKILVPPAIEEEKKRLKQLEEERKRREEERAEKEHQERIAREEEEKERKRQEEEEN AKRQQEREQQEAERQAAGEAAEPMDDVQQTESAAEAAAAPESGPAEPVQRVTTTIRGR ELDITGMEIDPEYLEALPEDLREEVIMQQVAEQRSQAAAAGEEPSEINPEFLEALPDD IREELLQQEAADRRRRERDNARRQAAAGAGAGAGAGGPSHTEELETPAGFLATLDPIL RQSILADQPEEVLATLGPEYVSEARTLPGRRLAQFGDIPRLDRHRDEPNEEPEEQKKP QRRQIVQMLDKAGVATLLRLMFMPLQGNARHQLNDILHNVCQNRQNRMEVISLLLSVL QDGSVDVSAIERSFAQLSLRAKAPAVQKTPQSIKRNLFFQTSSSVSSEVTPIMVVQQC LSALSFLSQYNPHIAWFFLTEHDPSTLKLKALRKGKGKENRANKFALNALLSLLDRQL IMDSPNCMEQLSSLLSSITHPLNLLLRREKEKQEEEKEKEKGKQPEQPQEEQRLAEQE QAQPSETTEFPGSGADATMTDAPLPTVETTEPQGAESAQAEDDTSAEANKPDKAKEPS GDEKHKRKTIEPPVIPDYNLQLVVHILAARECNGKTFRDTLSTINNLSAIPGARDVIG NELLSQSRRLSTTILTDLDELLPHIHQARTGTDMQGLALAKFSPASSDQAKLLRALTA LDYLFDPNRIDKTKQNEPESAAKEDVLQSLYETSTFGPLWTKLSECLTAIRMKENMLN VATILLPLIEALMVVCKNTTLKETSRNSRELSVSNTSIDANMGIENLFFKFTEEHRKI LNELVRQNPKLMSGSFSLLVKNPKVLEFDNKRNYFTRRVHSRGAEPRQPHPPLQLSVR RDQVFLDSFKSLYFKTADELKYGKLNVRFIGEEGVDAGGVTREWFQVLARGMFNPNYA LFIPVAADSTTFHPNRLSGVNSEHLMFFKFIGRIIGKALYEGRVLDCHFSRAVYKCIL GRSVSIKDMETLDLDYYKSLLWMLENDITDIITETFSVETDDFGEKQVIDLIENGRNI PVTQENKEEYVQRVVDYRLLRSVNEQLDNFLKGFHEIIPPDLISIFTEQELELLISGL PEIDVDDWKANTEYHNYSASSAQIQWFWRAVRSFDKEERAKLLQFVTGTSKVPLNGFK ELEGMNGINRFNIHRDYGDKERLPSSHTCFNQLDLPEYESYETLRQRLYTAMTAGSEY FGFA ACHE_10813S MSANSIKLLTGNSHPELAKLVADRLGIELTKIMVLQYSNQETSV TIGESVRDEDVFILQSTKPNDINDGLMELLIMINACKTASARRITAVIPNFPYARQDK KDKSRAPITAKLMANMLQTAGCNHVITMDLHASQIQGFFNVPVDNLYAEPSMLKWIRE NLDVENCVIVSPDAGGAKRATAIADRLDSQFALIHKERPRPNEVSRMVLVGNVKDKVA IIVDDMADTCGTLVKAADTVMQHGAKEVNAIVVHGILSGNAIDNINNSCLSRIVVTNT VPHEEKKEVCDKIATIDISPTLAEACRRTHNGESVSFLFSHAVS ACHE_10814A MKLNVSYPANGSQKIIEVDDERKLRPFMEKRMGTEIPGDSLGDE FKGYVFKITGGNDKQGFPMKQGVLLPTRTRLLLSDGHSCYRPRRTGERKRKSVRGAIT NFDLAVLALSIVKQGEGELPGLTDSVVPKRLGPKRATKIRRFFGLDKKDDVRKFVIRR TVTKEGKPEYTKAPKIQRLVTPQRLQHKRHRMALKRRRAEASREAANEYSKLLAGRVH EEKAKRDEIRKRRASSMRK ACHE_10815S MSYDRVLPVPAALHHEPAQVVLPPRQTSNLLEHKIMNDDYSHKV AMMDRSHHSLPLSHHQYSSPPPSHDGYANSLPQIHLSSINRAKVAYNHMASSGLESYK PIAPLPSAKEIPIRERSSFSDRSGSSSPGKSSSPRELQPQQQQQPAAQFCLCQPDPKI PRPRNAFILYRQHYQAAVVAQNPGLANPDISKIIGEQWRKLAQETKDEWKALAEEEKA RHQQQYPEYRYQPRRYGRDGNLRGNGAGVTQTASGSTVCNKCGGRIMNPPVSPDAPFT SNGSSASGNGTFHTNTLPVRGYQCRTKDADRPPNPIRFGSNGEPRPSRSRQWEEDGSR SPDSKRRRFNPYPFKTNLPREKTPDSPYPVSPYTSHSDSRGATSNSMLHMLHPPRPHP NMKTHQPPHDPSLKLPPLQTTASAPGIMTPITPFSQNGSSLESTVMTIPFLNKIKVLA KISPPLAPTLRDASQQQQRGPVIAVDGQDPALVKVTVEYLEGALQKEGKYNVRTFEGP EVRPRDTSSESGQMKDATVDYLDTISAWHRISDEIINFVRPSAGSPVMNPADEESQSG VSPKTILSEAANLRIGSPKGSENGSAGMSSPSPTTSSSSPIALVPRYQLTTADAFACS VPIHDSYAPLDHWQWMASLWRACVGPDITVYIRECEKDELDRLGGNPVEVRLHDARTV VVRKATGTPKGLEEKVLRRVGFEIEDFLTQ ACHE_10816S MEPSGMAHLDFPNSASPERLFAGQPIGFVPRDGDSNAQDANPGE HTPGLPDEDTSMRRSCGTCHVLKSSMNTATISTPSHSHSNSISTPTAAQNRSSGLDLE SYIPVGVLRKRNTSDTGNTETPEDVDGFPSSAIANLEKHNWIRTHAFPYETNPQWSYV RIYILPDDLGRKLIPRSSTALRRALKAVMTRVDRSRKAWEGNFPGDQNEIFTNEKRKG EEDESMWYIFNTLQDPAPQVETMQDPYARQAMEELLLGVGQEDSGVIGLKTALYPYQR RSAAMMVQREAQPARMLDPRLQACTNPLGHEYYYDKEEGCIFHEKKMYSEACGGILAE TMGCGKTLICLAVILATQGHFPRIPLQYYQQIENPVREKTASLVEMAAAAAGRYSLPW KRYFDSLKSHGLSNDRCAKACETNRGNYTIPSAATRQKARNGVAYPRPPPQNLRLCSG TLIVVPPNLVDHWESEIAKHTEGLKVRILRNSSDETPSVDELLQYDIVLFSRIRLEKE AGELVPNRRVSVKPEDSPLTKVHWLRIIVDEGHNVAGHGQRTNMVHLLDQLQVERRWI VSGTPSSGLYGVEVSLASRETYSSESDLSNATNTALRRRRKTGSALESELKDLDKLRH IVVEFLDLKPWSNSRADDPANWTKYMKPVGEDGKRRKAPSLRATLQSLVVRHRMDTIH GETPLPPLSNKVVHLEPTFYDQLSLNMFIAILGVNAITSERTDQDYMFHPRNRKHLSL TISNLRQAGFWWAGFDEQDISGTKDVAIQYLQKNREQMSEDDIAMMAEGIRIAQIAIC CGSRSAFRQYHELGVFVQDFPAHARSLWALDPSTADIEPLLLGISQARQAQQFINSHL NTEDPAEGLAGAGIKARRELAERQSDSVPTPTRMSTPVTSPKKTSTLDKSAKKNSPKK SFPKGLSKSLPKESPLARTKLVATASAKLTYLLDRVLELQEKEKIIIFYDNNNIAFWI AEGLEMIGVEFRIYANTLKPALRTAYLALFREDEEVRVLLMDLRQASHGLHLANASRV FIVNPIWQPNVESQAIKRAHRIGQTRPVYVETLVLKDTLEDKMLQRRKEMSDSEIQHA EKDLLDDSTMNTIIQNEKFIPMFEDGNGHANPFARLAFLKNPVGLFDRHKLPVPDSFD SAEGNGKQICEGSPLTTPVPSPSKTSAKRKRKTLRFDTASANASPKDKQDDGPDLLTP NIKRRKNSAAATAEAAEYVNGNGIVMTPSRPRPPRNRNSGSATPLPTPPPPSFFPLYP GQDVQTTDVSGSGRLE ACHE_10817S MTSQALVIGISGPSSSGKTTLARLLQRIFSPTLSKTFIVHEDDF YVPDNQIPYTTTPSGKTLQDWDCVEALDINHLSKALVHIHSTGSLPENLKSIQDLNEE ADSGVSLETVQRLRGYVEGRLSTSSTGKSEGGGRKRTLAFLEGILLYAPEPDKGHLLR PVHDNIDVRLFLPAAYEDVKTRREARSGYVTSGPAQEPPLPQRNSASDGSGEGGEGQQ TFWEDPPGYVDDIVWPHYVRDHAWLLVPEGEQDVDTVELVKKAGSGTNVRTDVGIAVA PGQGSRPMTDILTWAVEEVLRCWEHVQ ACHE_10818A MESRPLKTKNASDLFRRGPADFNNLEIRSTWSGKVDEPADLQIS FHVICTPNTQLPLLNLRPSYISLVKTSVRCLASESGNGESRETPPRSPLFAFAAPLAF SAPVSDDDDGGESSVYDECWDKDGPSGLSVIHEEDEFLEMELPEPESDPEPLDEDGGL DEEPPVSVSVPKTSSTHASVSETGLSELGLTRYPEDAGESGKHTVQGEQESEKRDRRD SALSLFQLSPLSDYPPELPYEEFNFSRSLGKRSATDACLSDHEQDMEEKRTHRRNVST HSSGSLCSIGIDFATLTGEIKSQTRHTSDSIEGAPGRHSNRLSLIPERVSMGGLPPEQ QQHSEVDNSHQEETDVKDNSQEKEESTDSIEKSIPDTSVPEAVATGDVGAQLIVEELD TQTKIASDELLISSDTDEDTPSESEEDGLELGSPTPVRSSNPVIPPTPTSLTDTVAHN GVTSHTKTEKDVEEVPRTPKSASDGHPGAKGTPTKDEEDDDPGSPTPVRPTRLIIPPT KPLANEDTKSPSMSSSTDVAYPESESFQHVTSEPELDLNDGILLVSNPPQANYLTYKI SITVSVCLQKGKLHGWHDLVIPGLPRLRNGESGYLIFLLPDSCGMEFRTTNFRRNKFV ENCFFAEFAISGDLVIPLRVCDLRSYGIVKDFTVDYELLTDHDVSNGNKVSVAYNAVC ELKLPNRRIWADKCCFFLDIEGGPEGFYQYELDQPCTKFPIIYLASWDRPVGVAHVQI TCPLKILEMFCITWDVKDLTRLETKWIPRIYPGSTGVNGQERQSLRKKFVDALGAANC YEFVEAEYDDTDVEDSDPEVSLHYAFWNDDASQDKEVDKISGEKGSPRLQVKLSPLVL WLVMVIVAMTVTLILRAFDPMGRSWSMESTANATHVGARNDQTYHTNANEEYHLSNDT SVDNSHGDGLSANGTLNIDQDSSEYEAPNEGEKAALETSSALRDSDVKPDESDQPDSG VKPGTSATKLPFRDRVDYLLGWKGPTSQSRDEVS ACHE_10819A MSAPAFSDATLTRESQSSDFELTIRQQPERARVAGGKEKERKPV DPPPIVQLRVREEGTYLAQHYLQSPYYFMCCSLWDATEDQAVPVPPSTALAGTLVSSL HRLKDVDNNDGGFFVFGDLSVKLEGDFRLKFTLFEMRKDNVACLKYIVSERFTVSPPK SFPGMGESTFLSRSFADQGVKLRIRKEPRALMKRPVPRPEEYPQPLPPRSPDRSSMSI PGTAFAGYPSRDYTYMQPGPAKRQRTSSIDYGTYPQAATMYPNQPGAYQTPILQGYNP GVADFRQGGPAAITPSPYGSPEESMLGVRPGSRYVQPSYPAFTDAYGLPSAQVPTLPD RSSQQATMQSLGMQPGTPTADPTAAMMPQSYARPQYQPNTLPPLSRLPQTTNGGATRG YFEQPSHGTTPILPSQQPIATNEERYSAAPGATGFEPPGSSNGTPR ACHE_10820A MLNLATALTSTMPATMPPANEAPVMDPPTDAMDSDQDAEGEEET DFYHMDQQLQNAVHRAYSGEAAGEGTKVDDDRDAEGEPDIEAVMDGDNDETEPVGAVK LPDEAAQSSDNDEDVDAEAEADPAFEHQSGSDNDGSESSSQASDEEWEAESNGHEDAE AEIPSRSNCIFCGQDEDHDPSEEYEEYLTCTVCGDHSHRQCAREQEALHEGDDASQWR CLTCARENLEPGAPESSGQRLRRKNMSKELLPAHTGDEGSGFHSIFNTLSVDESLLSS SRSLRKRKTLSVEAEEHTPVLRKRSRQTSLRSERAASRDQEFESTEATGDGAAEESPV RTRSRRGRPVIKERCHVVSKQFEKLVVSFNLPPSKLSKVVSSHQRSRTRARRTPKPPT VIQEPQAHFAPITTTTPYISPFYSFTDREMDESKTKPYGGILSEADADTTKTLPAQLD RERFEVARQKAEEEWQRRVKEAEDNGEAVQSASQKVSGPPSKIKYINFGGYEIETWYA APYPEEYSRNRVLYICEFCLKYMNSDFVAWRHRLKCPAKHPPGDEIYRESSISIFEVD GRKHPVYCQNLCLLAKLFLGSKTLYYDVEPFLFYVMTEFDDLGCHFVGYFSKEKRPSS ANNVSCILTLPIHQRKGYGNLLIDFSYLLTRIEGKTGSPEKPLSDMGLVSYRNYWRLI LSYHLRDQKGTLSIADLSERTGMTADDIVSGLEGLRALVRDPITKTYALRLDYKYFDE CVRNWESKGYVQLNPDALVWTPYIMGRSNQSHFDRAPLHAVAPREGLEDEGEAGASSV NDDNSVELSKTKDGSIPAANGAGDETASASFLSSANGLHPLSTAPEGSSTPAETTTEN NPAVGIPPTRFEIWPPIQAPVFKRRPGRPFGSKNSYNRGYFITPTTARTVGRGTPRKA STLTSETPTANPSSARRGRSAIFADSPAAESADAKANGDEVDQRQPVEETAGEGRQGT GPLPDAPAETKTKDTNYAEGGGESIGTNGTNHADSSVENAKTPEANPPETPRGRSSET KSKLPRSGNRKPAFLEKVSVVISSAEKPNVSGENRVNGTDINRNA ACHE_10821S MGVPFEALIPYGIIITMFGVTGAGLTAVKYFSNDGKKARWNKDL WDRQMMERDLRLTGNLRGQSSNAVAPKGFEVNNPWKLEKRIF ACHE_10822A MFSPLSHIVLIATIDGEEYIVDVGFGTNCAMRPIPLKENTIMPC IATAEMRLIRDSLDECTDESQRVWIYQVRYTPRSDWISNFCFSEAEFLPRDFKLLNFY ESASK ACHE_10823A MVGFQLGFEAILGYLVFRSHDTSVVDQHINDGYIVPKLGLVSRF TNACEGSEIQLESPGLGLCFCSEYFLSRLLGFG ACHE_10824S MEYPLRFTSRVRLSCISSQPFTAIRTPRFTPGTRRTMASIEQKI KTLQDYCACDVSDALLKIQKLPEGATPRAGHLADFTPFSPTLGRNTTSPKIIAPASTF KFIPKTTTSFPHEVGRLLSSPEHSFPSGTHWVDHAEPNTIAIIDQPPHQNCAVLGGIM AVRMKYLGVKGAVVNGRVRDLSEITGCALPVWAQGTSTVGSGAEAKPGLRNVPVDVGG VTVKPGDIIFCDPLEGVVAIPSELLDQVLEVMPKLVAMDDNVKEAVLQGETVNDAFKK FRTKL ACHE_10825A MSSAQQRLNQVSSHFGGKKGAAALTEKHPDDIVVTCALRSAITK GGKGGFKDTAAADLLAGVFKAVIERSGIDPKLVNDVAVGSVLPPGGGATEFRAASLVA GFPESTAVRSLNRQCSSGLQAIVDIANALKTGMIDVGIGAGVESMSSQYGPGAVTEFS DLLENHMEAANCKVPMGVLSEQMAKERNITRASQDSFAASSYQKAVEAQKTGLFNEEI APLEVKWTDPKTGEEKTITVKEDDGIRKGITAESLGKIRPAFAKDGSIHAGNASQISD GAAAVLLMKRSTAERLGQKIIGKYVAASVVGVPPLLMGVGPWKAIPVALEKAGISKDD VDIYEINEAFASQCVWCVNELGIPQEKINPKGGAIAFGHPLGCTGSRQVSTLLTELKR TNKKIGVSSMCVGTGMGMAAVWVSE ACHE_10826S MARLQASGQVKPPTPHITKTFRFKVPCTPALQIYRNLKMNSNVL LRRLTSATPTILLSRSVAETPTISPWLRNTLRRNFPEIPSRLFSQTPTIFSSPFRLSS NNPNDTHDFEEDLDPSDPLSRYAQYKPKRQWPPDMTKLSPKHQFRLERKYRRRAALKF ARPKWTKGTKLVQWSVIGFTLVYALLFMQWDDKGSPFDELRDVFFAGVKAVFSAPPSP GSVKKDRE ACHE_10827A MAPNTQPPNIFEDAPRSPTKPSVIRAILSAKAHKRNLSADETIA PKPLNRSKPLNNSFESSIDGQNQHYQQPLTEITANRDAGDGPPKSPTKSGGIGLHKKT KSAVSLKSLISYMERKDNKTEDLADEESQEWKPKKAKSANSLTAILKRSQRGRKNSTA SSKDGPNNKENRSPSDSLPSPVWTQYTARSSFEQPAPRRSQDKRRTLHDEVSLYTPRG YGPQEQRNFYDLHQPSLTKRTETRPRPKSEIITGNRKMKEVLGAMRTPSDNAYPADAP ESPSPTKPQGRPRRFSRPRSRSRSRSGIEQQPEQKPDSNPKKVSRVQAAISAFNAKEQ GADLHRMSVKELESEFEKLLDARNIPHNMRDKMRSLDTNIKIDFIQKDRNESATPSSA NPGADTTDGSRRGRKKEQKDDQSRDGKSRSRSRSRGWSFKGSISPTKKRSGSGSSHRR PKSADFSQPATLANILTPTTSVTSLAMTTNHDTAIDPSDFVHYLREIQKPEMVEVGKV HKLRLLLRNETVTWVDTFIADGGMDEIVQLLYRIMKVEWREEHEDNLLHETLLCMKAL CTTSVALKHLTDIEGELFPALLKMLFDEEKKGPSEFTTRQIIINLLFTQLDTASSNDD AATRASRLLSYLRDPTPSEENQPLNFIANIYQSRPYRVWCKEVTNVSKEVFWIFLHHL NVIPIVKSDDTTSAYRERHFPVPRPPVPAAPYVGGVEWDATNYLAAHLDLLNGLIASM PTKEERNNLRDEFRASGFEKVMGGNLRTCKEKFYSSIHDCLRTWISAAMEDEWPYTFV REGPPRPEPSKSPIKTTGGSPKKGVIAEKPPQLELPLPLPVGNNNDNRRFSPKGDINN WL ACHE_10828S MNCPSRTDETLEHPDWNQNPSPLNPDITTRNDFNGFANSKVHRK HASGVGVNPGEGTMVIESQQIQQRSKTDPEKEKTTGEVVTAASGTCEQQPETDSGPPR RSFYKKISNFSISSCFQDVIRSIVKFSRFVGPGFLVAVAYIDPGNYSTDVAAGAQYQY ALLFIVLVANLFAVYLQSLCVKLGTVTGLNLAENCKEHLPKWMVIILYIFSEAAIVAT DIAEVIGSAIALNLLLNIPLVAGCAITLADVLFLLIFYRPNGAMWGLRLFELFVMALV LGVVVCFCIQLALIKDQSVGHVLRGYVPSSAVVQSQGLYQSCGILGATVMPHSLFLGS GVVQARLKDFDVTQGYVDASVPLGSNGGEVEYRPSFAAIRSCMKYSIIELTLSLFTFA LFVNSAILIVAGAALYGKPGADEADLWGIHDLLSDSIAPAAGLIFALALLLSGISAGI VCTMAGQMVSEGMLNWSIRPWLRRVITRSISIVPSIIIAAAVGKKGLDKTLNASQVVL SVILPFVTAPLIYFTCRNRYMTVPTDRTVHGEGHPQPEGVGMRNNILMCILGVIIWLI IAVMNVALLVLIGMGKAS ACHE_10829A MNRLFGTKNTTPKPTLEGAISNVDNRISSLDVKLAALNSELSTY QAKISKMRDGPGKNALRQKALKVLQRRKQYEAQRDQLSQQSWNMEQAGMMQDNLKNTM TTVDAMKTTTKTLKKQYGKVDIDQIERLQDEMADLMDVGNEIQESLSRAYDVPEDVDE ADLDAELEALGEETMFESSMGESAMPGFLQDEVAPPQFVDEPPEEAKVKEPAGGAA ACHE_10830S MFWRFGGYAGISTIDALLDKPDVSLEELLDESEVIQELNQNNNK LIEYLREDNVLKRLMDYVIAPSLVNDDDDADELDDSNVGEEEKKKTTDPLKEALDPED LERAENNRLRHAYVACELLSTPTWSIIESIMLNQEHLRDFWGFLRRPPSLDPLQASYF TKVNETMFDRKTEDMLEFFKSLDGILPAILQHIDNPMVMDLLLKIISLEKTEGGQGIV DWLKAQGLIPTLLSFLSPEYPATVQTSSGDFIKAIITISANAIQNDDSCIGPNSLTRE LVSAPCVESLINSMLQGGNPLTVGVGIIIEVIRKNNSDYDPPGINAPGTIPTTYDPIY LGTLLRIFGQRIPNFMALLNSSKHTVNEGGQVKRVERVDLTSPWGAKVEPLGFDRFKT CELMAELLHCSNMGLLNQPGSEHYIRERDAERERLVREGALHVHGEGFSSVDFNDSSD FVNGSSVLGSGSPEDVKTLEVTNAGEEEGFEDVSASSILVNNVQDAASSQETKNEPAA SEPARPMSPTASGLTEQMGDIKLEGEQGSKEPTAEDRTSAKRETQEPKEQEPASAPMS SNPDDVPAPLFASKQQEGPSTAGETEPPAEKNKGQKDEKIPELKLHPFAQADINGQPV VGDYLKIMFVEHKVVPTILGFFFRFPWNNFLHNVVYDVVQQVFNGHMDRGYNRVLAID VFETGRISQQIYEGQKRNDEVQQAKRIRLGYMGHLTLIAEEVVKFTERHPPELLSPAV MEYVLNPDWIDYVEQTLSETRERDNAILGGVRPDMTIGQRQSMLGQGQGFGGSSALAE AGLNGGVNVSDFQGFDMSQGSASGGAFGLAGGGGHSLLSGFGSSSDEDEDMEDQDDRN TSHESAEGGGENSSENSTSQPIPILPPPPAPLSTGPSRARRQLAARLAAQKQQAAEDA ENEGEEDRSNDNGNENTDTQWPSNPFVIAGLEDDGNDDDQSAAFPSEDFKDEPFASPT FPDARFSPPDSFSTNSSDDDRLEGTRRNDRAPLEVDDDDDEMGEMVGPSVGSTMMDSD DDDEAIINESLGYPNRYQNFRRPRIGTSPFGDNDEQNDSSDGEDEGLVEILVPGRKNS TSPQT ACHE_10831S MTDETKPTTDSLQPNEDPLETYTLLLQWMAKQDGHLHESIQIAR DDARGVHLRVRKDWQDRIPKETRIIKTPLSSTMSYFNAIDYRPTGEGDASTFSAHGVH LPREFIDAVGPEETSVFFLMGQYLLSAEGYWAPYIRTLPQPGALTTPLYYEGDDLEWL NGTSLLGAKQQKMKLFKDKYEHGMGELRKVGFEDVERYTWDLYLWASTIFVSRAFSSK VLSEAIPDETLSEEVSVLLPFIDILNHRPLAKVEWRAGDNDVFFLTLENVAAGEEIAN NYGPRNNEQLMVNYGFCLSNNPCDYRIVSLRAPPGSPLYEAKLEQRQLYPEVAKEADD PYYVFNIFYPLLAPDTPMEHSIFSPALFNAVSVLAANDRELESLEISEQGIRIPNQYG TSRTILAALSQIIIELITHVVKLKASADDLEEPKNIKQTHGKIYRDSQIMLSETALVI AAWSLNRAQQHGYTGAWEQTKNILSAHMARVPVGKFSEEIVSRICVRILERPSILTAN GELFALNDLFNALPDKMRQPCTSSLKTILTTTERAIPMLRGSGESSPFVFPIFVCFIT AVYRAAPDTSELSPRLVRWARFLLEKYPPPPDDVAWMLEDEDDEQLASLFDEEVLETM RTRNQAVFDDLAVFTGDWKGNSWWLSPNWIRWAWMLVEQESVQAPDDPLQMLSAGGSG QVMLSTVSYLYIPQDDSAQ ACHE_10832S MISRAAAPSSSLANLSSRSVRAQGTAVRSFATVQENAPPVHRHG GLKDQDRIFTNLYGHHGADLKSAMKYGDWYRTKDIVLKGHDWLIGELKASGLRGRGGA GFPSGLKYSFMNFKDWDKDPRPRYLVVNADEGEPGTCKDREIMRKDPQKLIEGCLVVG RAMNANAAYIYIRGEFYHEATVLQRAINEAYQAGLIGKNACGTGYDFDVYIHRGMGAY ICGEETSLIESIEGKAGKPRLKPPFPAAVGVFGCPSTVTNVETVAVTPTIMRRGPSWF SSFGRERNAGTKLFCISGNVNNPCTVEEEMSIPLRELIEKHCGGVRGGWDNLLAVIPG GSSTPVIPKSVCDDQLMDFDALKDSQTGLGTAAVVVMDKSTDIVRAIGRLSSFYKHES CGQCTPCREGSKWTLQMMQRLESGKAKEREIDMLQELTKQVEGHTICALGEAFAWPIQ GLIRHFRPEIEARIQEYQKELNGAQPYAGGWGPNSRAEGKLISPGM ACHE_10833A MENERRKESESTMASLSTSHTIKDTAPDVIECKTDGRSSQDQRG SDNDGKCDRDQADKDFSALEAQSPDEQTTVHSAFTKNEKRFIIAMISMASFFSPLSGQ IYYPVMPTLVGNYHLTTALVNLTVTTYMILQGLAPSFMGTFADSGGRRPAYILAFVIY TAANIGLAVQDSFAALLVLRCLQSAGSSGTVSFGYGVVSDIATPSERGRFVGPMAAGV MVAPALGPVIGGILAKFLGWRSVFWFLVIISGGYLVVFTITMPETARRIVGNGNVPPK EWWRMSLIQYLAERRRVKKMSAEEREEHEAQQLVLSNSASHTRKLKFPNPLETFAILL EKDALIIISFIGTVMFANIALLTSTPNIFTKIYGFNDLQIGLCFLPLGTSACLAAILN GRLLDWNYRRTATRLGFPIDRKKGDDIRTFPIEKTRLQTFFPLMSVGILTYLPYGWVL QRRAPLVAPLILQFIIGFCFVAALNTLNTLIVDLFPDRSATASAANNLVRCWLGAVGA ALIDQMLNGMGGGWCFTFLGLVMAVGLGFVLLEGKYGMEWREQRRVKMEKKREEKERK EEEKKQKGEELEERQQNGTGSGNGNS ACHE_10834A MSFGFGGFGQNNQSSGFGTGSGFGGTSTGGGFGTQNQSNSLFGS QNRTGGFGTTGTGTSSGGGLFGSGTSTAGGTGFGGGGSGFGSSNTGGGFGSSGGGLFG NKTGGGFGTGTSGFGTGGGGFGTSGGATGGFGGGTGTAFNQPVPPSDGTASTPFSPFT EKDNSSNVTNHYQSISFMQPYNKYSFEELRLGDYNGGRRFGNGSGQAGAFGTSAFGGS GFGAQPTGGFGNTAASPFGGGTSAPAFGTQTQTTGGFGNTGSSLFGGQQQKPATSLFG GGTTTGTSQPSIFGNNTSTTGGFGSTAGTGTGGFGTGTGTGSSLFGNNQQQQQSKPLF GVGATGGTGTGTGTGFGFGNQQTTTASPFGSTPATASPFGGTQQTGTSAFGGGGFGAQ NQTQNKGGLFGGGAGGFGTGTQQQPSTGGGGLFGGGAGTTGSSLFGQNNQQTQQQNAG SSLFGGGTQQTGTGGGLFGGGAQQQQQQKPGGLFGSSTTGTGTGASPFGGFGSTQNQS TGGGGLFGGGAAQNQQQQKPSLFGGNTGTGSSLFGGGGQTTAPQGAGGSLFSNTQSQQ PQTGGLGSSLFGTSQQPQQQPQQPAPGSLQASLFDGNPYGNQSIFSGLPGPSAPSPGP LATPLSSSMKQKQRTPLPVHKITPSASTRLATPPKRGYGFSYSTYGSPSSSTNTPNGL GGSLIGGSMRGSLNGGSFNRSFSKSFSTSNLRKSFDPDTDSVLSPGALSQGTNRLSSG GLKRLTIDRSLRNDLFARPTSTSPAPITNGEDSAQPTDKGKKRVSFDSAASKTPDGSG GELVAAEVESPEPTPEELGFLRSIRKSGSMNGISSAKSFDGTESAKELPAVPEDAEQS VTANGETRLSFTPGADPKPGDYWMKPSRAELSKLPREQLKSFVGLTVGRQRCGQVTFD EPVDLTTVDLDQIFGGLVEISVRKITVYPDEAIKPPMGKGLNVPSTLRIENSWPRGRD RKSPSPVTSGPLFERHIDRLRKVVNAEFVDYETETGTWVFRVPHYTTYGLDYDSEDEE EAGEGLNQSTVSSAAPDTPTPKAPATANFDQTVTSTFSTDDSFVGSVAGVDDDTFDFK KRKIVPGAFGVQEMEVEEEQQSANGEEEGSFLGESSTGSTTEQEGGYKEDATVSQQSG ESEVELDEDQEMDMAGAFPSLRPTVERQASPSTDSYMENQPSLKPWNTPAKARLDLSG DWAEQLQRTISPRKQNRDALREIQGNAFNDRPLHDTTPTKKSTAEVQPKGFATSIDLM NSLFQQPRKQQIQSPLKVHNVQYTGVEWPYHKQPKTFAGESNELSRDDIAFHHSFKPR WGPMDSLITVKNEMKDTQAEDERWEQGIPITSENRDVIVLAYNKTPESSDMLNAQKHQ STINRVDGIPFARLPQADFRRFTQISSVSAQSDSERLVWQLANVLFNEDIEDDLSASV PVQLRSKYAARIKKDRLSRLWEGLVSQKLVRDLERASSPEERALYLLSAHRIEEACKV LMANQDFRLATLIAQIGRDPTTRADMAQQVEMWRQHNVYSEMSEPVRALYELLAGNAL RSEGKSNGALEDRTSTFTFTERFELDWFQAFGLRLWYSITEDEPLEVAVSKFLDELSV GGEPAHPCPSHFDDAGVIHTTPDSLGRESPLWVLIKVYSVLTGTTKGDSLSALEFPAA LLPESVSGDRLSNRLSFQLYQLLTAAVGQNQHFKVNTTQADQLAWDYAWELSVGGQLE NAMFVLLHLSRPSDRERAIKETLARFAPQLPDPVTADGSLDAAWQYLSNELQIPEAWI WVAKALCARDAGDAAREVDCLIRGKNWNDAHATFCRIVGPTAVIEGDYATLETLISGF GDGPERKVRGWASGGGVYENFLRLATAKGGKRDPARLNRLVNALVTMGEKVGKGSSVE GLEERVAFKEMSRAVAGWTAQEDANAVELSSVLSLPLTGDARVMQTADMSRRYYSVIM AGGY ACHE_10835A MYVEILAALFLHFFTSYVIFPIITYYRDPKLLRRYPNFYKLSGI SDLPYIYEAHKSFRSRNLYEAHKEHPVLRVGPNSLSYGDPRAIKDIYGHGTACVKDRF YSETGGAHAHLADVVDKGEHARKRKILASAYALKNLEEWEYKVGDMTERLVKAFDERC TGPLLPEELPKEEDLRVDYRMWTNLYTIAAMASIGLSEDLRFLDQGSDLVRSESRDGN IKHVSFRQCQSAAGRVTYNLVWAYDWYQTLVRISKLTSSTYRRLWKLNDDWNGIVLNR GTTRLKRYLAGEKLDDFFAAMMEDKNGKPHNLEWGEILAEISIMMNAGSDTTAISLRN VMFFLLKNSHCMEKLRAEVDSVLDEDEVVAPYAKVKHLPYLRACLDESLRMLPPVIFA LPRRTPPEGTTILGEYIPGNTSVSMSAYVVHHNEFIFKDHNVYRPERWLGESGKALQP YFIPFSTGARGCIGRNISYLEQTVLLASLLHRFEFALPQPEWDPPIPETTNLSPGPMP LKIWRRQSQSV ACHE_10836S MRHSIIINEETVLYYHNQCITDLRSLAGEPDAITDGNLLAAVVI LRFYEELDNPFVTLPTETAARGLHVFIEAQAQTALSPSSTLDPKTNDSLRQAVFWTGF RQEFHMAFSQQRPFRLPLSLSVTRDHLVWTPAIDAIWTNRLLIIGARVIQYCYDDTSP GYGNVVGYQELVSLRDKWVRSRPVSLSPVYFEQPNPEEKVFFPGMWYLDDCHIVAAQT LKLFDILFAAYSPYIPRIGPALHLEMEKVDEKLRAAVLEICGIAVSNKQSAPALTTAC IAVSICAERFSGCSRGVKRALMDVVVGMGRVLNYWPARVVRDRLEVVWSELIGS ACHE_10837A MSEGDVMPPKPAVVSEAHEVNTFNIPKAFYDKHPTGTHLKDLDE YKKLHEESIRDPDTFWARMARELLTFDKDFQTTRSGSFINGDVAWFVEGRLNASFNCV DRHALKDPNKTAIIYEADEPSEGRTITYGELLREVSRVAWALKQQGVKKGDTVAIYLP MIPEALVAFLACSRIGAVHSVIFAGFSSDSLRDRVLDAGSKVVITTDEGRRGGKVIGT KRIVDEALKQCPDVTSVLVYKRTGAEVPWTPGRDLWWHEEVEKYPNYFPPEPVNSEDP LFLLYTSGSTGKPKGVMHTTAGYLLGAAMTGKHVFDIHDDDRFFCGGDVGWITGHTYV VYAPLLLGCATVVFESTPAYPNFSRYWDVIEKYQVTQFYVAPTALRLLKRAGDEHIKH QMEHLRILGSVGEPIASEVWKWYFEKVGKEEAHICDTYWQTETGSHVITPLGGITPTK PGSASLPFFGIEPAIIDPVSGEEITGNDVEGVLAFKQPWPSMARTVWGAHKRYMDTYL NVYKGYYFTGDGAGRDKDGYYWIRGRVDDVVNVSGHRLSTAEIEAALIEHPQVAEAAV VGIADELTGQAVNAFVSLKEGNETNEQVRKDLVMQVRKSIGPFAAPKAVFVVDDLPKT RSGKIMRRVLRKILSGEEDSLGDTSTLSDPSVVGRIIDTVHAARK ACHE_10838A MSAPEESAAQRSARLRRERREAKIKEGGTARLDKITSMSGRTPA SAREDASPTPAPQPTSLTSAPRPAPTVTPATVIQDQQSPDDLQAQQEYFRALLRQAAP AQGQQQQLQEQGIEDEDPAVKLLHSILAGNVPGSEQGGAGAGGAEGPSQADLLSALGL PPFLSSLVGAATQTKSETEKQETWVWKMVHVAFALVMAVYVLVVIGTSVATYGSSPPP PATARNPFVVFTTGEMVLSGARMALKSRRGANGGIGVWVEMMRDAVRDGALMVFVLGM GTWWQGW ACHE_10839S MSTNGQGPHSPKQHRVGEHWSAANPVPTIQKFMQHLDTEKRERE AHEVEITRKQEDQRREKEALGEKPEDETAAHKNREIPKGKTRMVTDPITGRDIEVEDQ DEDSMEPVKNPTLAIPNANIGKETNVKTHANQSYEEYKEAQDVTAPPDPVAEGTTSDV PIRGERTNVLFHPTPAISFKPMFEHLEKRATGMCLGFTLGILFVGRMFGASLWGLVPL AACVTSAVWLWMKEVIRSGRELEWSSEQLRGQTATANLLPESVEWMNSFLGVFWGLVN PEMLSPISDTIEDIMQASSPGVIENVRIAEIDQGNNPLRILSMRALPDDHVENLKENI HEENKKKDPQEAAAAEEGGSYYNLEASFAYHAKPSTQSASSKARNMHMQLVFYLGSRG LFGVPFPVFVELIEMVGTVRLRFQMMPEAPFMKDVTFSLVGIPHIRAGCMPMVRGGVN ILNLPLISNFVNYAIGTACSMFAAPKSMTMDLGMMLKGDDVVKETQALGIMWVRIHRA VGLSKQDTRGSEGGGSDPYINLSFSKYGKPMYCTRVITDDLNPIWEETAALLVTPELI KADENLSVELWDSDRTTADDIVGKVELPIREMLQHPARMYPQVSKLQGLSEGSEMPGE LHWEVGFFGKPRLRPELITDGKKKDLPENMQDIPEFQDEKGVISNEEEDAIVRTPPDP LWPSGILSIIVHQIVNLQLVDIKGSKGNRKGREYEPARKYGENTEEQGGELPTSYCKV ILNDQLVYRTRAKAVSSKPIFNAGTERFIRDWRSAVVTVTVRDQRYREHDPILGVVPL KLSDILQNSSQSTRWYPLDGGVGFGRIRISLLLRHVETKLPPQMLGWDVGTFEFTSNK LIAEGFNHYCKIKLRTGGSSGKLPRHGCHLENNSATFDLTSKTAQRHQIRLPVKHRYR SPVVFELHTQGKHHAAGFAVFWLQHLIDNEDTSIDIPIWSTKKGARLTQNYITETNWK AKEVPGLEDLTEIGRLKFKGRFSPGIDESHEQFVVDNNSRETFESWEACVAEGVRTSN VIAEVPEEVEQLHERSLVNGRDVLKHADLKERRRWIDKQGIDWSGAFGDDPRAYTDHK GRKIAEPGRDKPPHDPVVPPPVEAQAPGTSQFQETEETQAQRSRESGETQNHGQGYDN EHDEDSDTQSSTESEDTPTIISTTTNDTSNTSSNSNGNKADKATKKANKRTDERQQRG LMQWKPARNMAFAKDEAKYALRRVGKKFTGDLTGREPDVETET ACHE_10840A MAFLNNYPPSLITGLTLEFTRQPCTRQTFTTMESLITAARTIQT QLTRNSTTNQYLLVQNLPTDMLNSLIEDKNALDGITFRFTFEGSTGLIKIIPAKHTAV TRELAKTILLECLLAGVPSKEEIYWTGGSTAPTMYKAAAGDANSKDKGKQPDDSFLPL TRQPHGWPTLVIESGIPQSLPRLREDAKWWFETSAGAVRSVLLLGINTDIRTIEVEKW AFGHTHACPIRAPYLVQSVTVSPRGVEGGAAVMIRFRELFCRPRKWDDEERDILLGGK GLADVVRVLD ACHE_10841A MLVVPMPPQTSHLHPASKSPSSYPSSSSTPTTTTTPGETRPKLA TKPKLTLQTSSLPRTFGRSTTGLSLSLATASPTVSNTFKNAYEPCPPSAIPTTSSSTP SPIKTSTPLKHSRQPSSNNPYQQPLGVKSILRNSPLDSTSHRRSVSIGGGNGASGSRR VFFPTKKQVTFRQTLDEEIRTVHYVARHSDLLAEESDLDNQKKEQKQKQEQPVQEGSD SDSDSNSSLAPSDSGSDDDQTGSLDKSERRKRKSLSAERQVRAAALLDGLEGDAYGIS TPQTPRQGRVKRRREWKWTLGPVEARNEIYGLPRTPDEAKSFDSEGKKHEHENETESL GSCESDWTSASWSGSSVASYTNTRPDEFKTA ACHE_10842S MANRDGNGRVILHLDYDCFYAAVFEVDQPALKSRPLAVQQKQII VTCNYEARRRGLYKLQRIQDARQVCPDAVIVLGEDLTQFRDVSKELFLYLRSLIWGDR VERLGFDELFLDVTDMIDYNIDLLNTNNLEHSFFHLDRQDPTVGFIYNATEFYGPTWP PVDQQPTNSPETRGYFLAPKSDLLCMRLLVASHLAGYLRQQLESHKGYTATVGISTNK LLAKLAGKVHKPKSQTTLLPPYGVDEGPDVTIDSNVIRFMNGHEIRKIPGIGSKIAHK LHDHMSRSMDNPLTVRELRLLPGMGPGLLNRIVGGPGYSKGMGARVWGLIHGIDNSEV LEARDVPTQISIEDSYGRLDRFEIVKNELVKLTVSLLRRMRIDLTEEDSAGGAIRWRA HPRTLRLTSRPRRPSNPDNPYHNRMSRSVALPQYVFNMGDRVEAVAERLVQEHVLALF RRLHPEKSGWNLSLLNIAVTNMVDIAGDKKQNSGRDIGSMFQKQGSVRIPAEDVTIHA DEPTWEESDEDEAMPSVPCRVCGEMIPCLAVEAHEVYHQAPD ACHE_10843S MRLFRNILPLVASVIPALFVGDVEARSQAPKAIKHVSSVLHPVI KTPSHQIDHLSNFDLTFSLHSGTQRIKLELEPNHDILADDAYVQYLDKEGNVHQEEPI KRHEHKVFKGRALVGTGQGRWNPVGWARIYMKRDGAKPLFEGVFRVHGDHHHIELAST YLEKKREDDVAISQRSEDFMIVYRDSDMVRHVQHSELKRSFGEAATCQADQLGFNTDP DHPVLRAYDYGEPSRWGAMSLNSMFGLSKRQSDIGSVSGNTGNVNLKTTIGDTSGCPN TKQVALIGIATDCTFTSGFSDNQTAREWIINTVNSASSVYESSFNISIGLRNLTVNEA DCPTTAPESTKWNMPCDQGNVSSRLNLFSQWRGDNKDSNAYWTLMSGCPTGSEVGLSW LGQLCNSDASDQGSSIVSGTNIVVRTSGGGWQVFAHESGHTFGAVHDCDSSTCAQGYD SSSQCCPLSSSTCDADAKYIMNPSAQDVKHFSPCTIGNICSALGGNSVSSSCLSQNKG VTTITGSQCGNGIVEDGEDCDCGGEESCGSNNCCDAKTCKFKGDAVCDDANDGCCSDC QYSSADTVCRASRGECDIEEKCTGNSSSCPSDEYKDDGTSCGSGSGLTCASGQCTSRD QQCKSLMGKLLNSNDTWACDDSSCTVVCASDTTGPNSCSILEQNNFIDGTPCGGGGHC NNGKCEGTSVGGEIKSWVDKHKGVVIGICCAVGGLIVLAILSCLFNRCRRPRPKAMPV PPPGAWPAPPPRPSMGQWANGPNGAGYQGLANEPPPPYPGPYYGNSAPVGHARYA ACHE_10844A MAQPFASRSYAGGKLPDRSVNANALPFSASSFSRHRGLGATTAT APGDFGAEGLKSQGATGAAPLHAQTHGPGVGTGASVGAGAGPSQETNPLNRLTEEQRE EINEAFTLFDLDRDRHLDYHELRVAFRALGFTLAKQELISLLTTYGVPRPQVQASQNQ SAQNPPQPQPSANKATNPQHPSNLLMPLTAFQAVTALKILERDPRDEILRAFELFDEG GKGYIDLEDLRRVARELGETGLEEDELRAMIEEFDLEGVGGVTREAFVSICWQ ACHE_10845A MASGLTFTQTKPVQFTDDQYSAPSTEQDYQDSNGCPFGYLPAEH FGPDGQLDASSYPGAYMQDNTSGYSNMGKQGYSSVSSQMGPKQADATSIDQGLDQSEL RFYAGLVREMAEMNGTRQGSSCIPGPQSPTAMPVQLAAEPQASANVPGPQGFVAMPNE RVAGPEDQDDLQLWDGGVSEWKAIGAHFHPTSTVQYANIVSGLQVPDPTNYMAVEPLI NTEAMGQDSSPPTPAFIRDWKARVEAHVARQKSKERNCLRKLLRSRRSAGVGTMVNGR AFTDMSSGMGPGLQTGTNYMGQGFNTPGHFTDMPTGVAGEASITGHGVNPQPSPTCVF QNHTPGNVNRTPPQQNLPSPNRKRAASTTFVMEDPSSPLEKRARFSSDEDEQKARKEQ RLMKEAGGSCLWCYRNKKKCGPMSPCSNCESNGFQCIRDAAQLSLSSASNATGSTTGN EQTVDIFRQLRDTAMRSPPQANIDVKFRQPRTGSVAFWSASLPRVEPFSPGYVNEQLV SALLGLVQSPRLGWIENETAQHPLVLSASAMFRLLSIIKSLLRGQVYVRPAETDAGRI TALYILTACIQSLRERSQAFSSKLCEAVRHKNKPGFDSRNPTKKPLNPEWVATGLYYR VIDGLRTMQPLPFLEKALGDVSHLHNHPANVWSVLHWLPVFTGKLNSKIEVHGIFHEH IPVLEEQWPLDVALLPGNNANGQQLPPTVMQRVAEPFWGSSYNMETFLDDNFSFLPAV TTPTPPTTTTSNGNTNQVSVPDLDWDSFLDFEGFQSSEPSESQFSEPSTETLVGSQDN VLVVQDGLR ACHE_10846A MVSCPICGNSVPSLRINDHIDSNCQSFIGETASDPPSSQKGNVP SLFQPTSARKASAQASLQKESSQSDASPLRSVNGKGTHDTDSPVERSRTRPDDFSTET QSQPKRPKTNAFQRAAPLAERMRPRTLDDVCGQELVGPNGVLRGLIEQDRVPSMILWG GPGTGKTTIARVIASMVGSRFVEINSTSTGVAECKKIFSDARNELSLAGRKTIVFCDE IHRFSKSQQDVWLGPVESGQVTLIGATTENPSFKVQSALLSRCRTFTLSKLSDEEVES ILFRALKFEGPNYSPSELVDEELVKYLARFADGDARTSLNLLELAMDLSKRPSITKDE LKRSLTKTLVYDRAGDQHYDTISAFHKSLRGSDPDAALYYLARMIQSGEDPLYIARRL IVVASEDIGLADNSMLTLAISTHSAVEKIGLPEARINLAHATVAMALSKKSTRSYRGL NNAFAALNEPGIAGLPVPIHLRNAPTRLMKELGYGKEYKYNPNYVDGQVAQDYLPETL HGRRFLEDRDLGTAIDHDLND ACHE_10847S MPALLRSSLPFSPSSAILKKSSILPAISCTYRSSLLPRIHFPGV RTMTATAARPDPFRPAARVAGQRQDVWSIVNEAAAASPVQPIVNMGQGFFGYNPPKFA LDAAKDALDRVDCNQYSPTKGRPRLKKAIADAYSPFFGRTLNPDTEVSITTGANEGML SAFMGFIEPGDEVIIFEPFFDQYISNIEMPSGTIRYVPLHPPKDGATRTSSAAEWTIN FEELENAINPKTKMIVLNSPHNPVGKVFSKAELERIGELCVKHNLIILSDEVYDRLYY VPFTRIATLSPELYERTLTVASAGKAFYATGWRVGYLIGPEHLIKYVAGAHTRICYSS VSPLQEATAIAFEQADQVGFWDDSRAEMKSKMERFCEVFKELGIPYSDPEGGYFVLAN MASVKLPEGYQFPPHVANRPRDFKLCWFLIHEVGVAAIPPTEFYTDANAHIAEDYLRF AVCKNDDVLETAKERLRGLKKYIQ ACHE_10848A MSESPTSPACITRSSSPDKSSQQDYQAEDENPPGSQKTQRRSKA IDLIRARTVVRMSPPVSAENSPVKAELPGRSPEVSPTKLPAKRPGSPPQRPSNNSAPK SPSKSSKETPGKSQKASPARQSMQSGSPALHGQPVQLYKNPVPSLEPSPMPNPEKDQS AASSGGEAGFQSFGGSYTYPYMLNTQLFPEQDAAGTFQPALYVVPVYAGGTNTAQSPV LEFEQVLPPTKFRGGYSSLASFEAEWRANLESFVTASSEENTGVIAEQSITTHTDEGN LAIPTPRNLGVVSPETDSTTERSETQDTQHQPLPNPISRRNTLSTRPKLSPIQEISRQ DTLSPKSETSTAITTDPPSPARSIDSGQFFKHASSISTQSSPTPSTATTTTASTTITR KQKPSDFFYQLDSHGFPCASSACDNRCNLWDDTSVICPKCGPYSEIRYCSKAHLLEDI KWHWVYCGTMSFEYPCKESSIPREIRVDTPCLIPCIHGYDTPERHRQAVYFNVCGSRA DYFIFSDWGDLMEAGGASDGMAPRCSSRIICTVTFEDSAEKDRFRRVLAACLFRKPPL LPNLSLLDKTALTNPVTIEVPDVADYLFRLIRNNLRTKSLWSKSLHQSLIHQLSQELS VQIQPHVTGERHACSTDWDGQSRRACKDVVCRGEYRRLLGKLGGMGFGRLLEHLEAGY WVLRAARSTHPVVKEVEERMRGVGFEGVLEEDRRVFRRGVGWDGVGSGCMEIEGVNV ACHE_10849S MAPRSQLVGSDDKENQTGASSDLTEIFSDNCSDSDSTSDLELDS EDADDED ACHE_10850A MAAAITSWVLNPIQSLTMSRPHTRKLWCAVPSNLREPFSVVCFP DQDDIETLKEKIWEKIKEEIKDTTPHYGKLTLYSPVVQLNHEEQFNIDDGEFLHPRRM ITSNPLFPESKDPDVDIVVVVSGDTTTRKRKRSESQGVNLSLERPITEDPHICPRERT VIELAAILDEVNIVHVRGTPASGKTYLSELLRHHYHNRGRRVSLIKEWEKLNHRNPWE SLVKLVEKWNEEVQDAPTTTSSQSEQDLSWVLMSNTVILVDEAQMTYNDSALWNTILK KRQSSFLGYNFRLCLFCSYGSPSAGPDQTFFTPVRLSNQQCISLTPQSRQGSPPIGLF YDKEEFKDVASRSIPFEYRKRFTFDEGAQDYIFALSNGHPGAVESILNTLFQAYHHGI KHGHIRALTEDHVIWFLEDTATVFQKLSMQPVNRSFPDISRATNGISNILNKITEEGS VPFDLNDADIRFCYQNGWIHRVALDGDDIAVLPSRLHEKYIEYWIGTMSKPLPARFDS LLKLCKEILSKFSIMNLRHSAEGKKMSTASQPKPVEVQYKSEFYRGFVHTAGQGVPIS SEWSRTKDGRVDFYIPEKKWAIELLRNHIKVEEHISRFKEGGKYHPWLKEKMVKDWII IDCATSLPIKEYSESRLWHAVFVNDYSELQLYNHQKVLMMSMHLRG ACHE_10851A MDQPMLTAINLAQWKILALFLCMCFVSVLIVLCTKQKEPLKSST PPIFKNDVEPTTYPPVEPLPDFEWQKTEPLKLRPFKPKYHLTMSIEDSTLSELIEIDK NYVDRIALRKEVMKKHPETVLGAEDCVKMAVDEFYTWLVGTYLPTRFSRMFKVIGPAG DQPSLLHNLATGEKFCLRPADKPLETLRTMGGLVEDDLLFLLPSADGDGYTLKGFVTC FPNGFDTKKKLNLKLRDIHKPVPSYKQKLEKSMDRYFDRLKTGKFIRRANWTITSTDQ LFTDHGNHLYEGESIPKEDLDIDKARVRCERQFVHRLPQTRGILFSFKTYLYTAGN ACHE_10852S MSTASRDAKCADEHEKDMLSLKKDKTQAQGSIQTADLEVLGLSQ LTERNMSTFKIICGGWNICNSWAGIVGTLAIGIVQGGTVLLLYGIVIALVAIGCCTST LAELSSVYPTAGGQYHWTSILSPRKASRVLSYGCGAVNIFSWISISAGVTILPAEFII AMASYDNPEYESQRWHYFLIYQAANIIILLFNVFAIRRTSWIHDLGFVVSLTSFLAIL VTCLALSPQKQTSEFVWTTFTNKSGWPSNGIAFLTGLINPNYVYAGIDGAVHLAEECS NPSTAVPYALMSTLIIGFATSFPFVIAMLYTMTDMDSVTSTSVPIYEIWIQATHSDAV ATFFVCLLAIIALFALNGCQQTASRLTWAFARDDALIMSRLLSRIHPSLQVPVYALVA NAGIVFIIGCIYLASSTAFNALIGTGLILQQVSFVFPAALLLYRRRSSTYLPPSRRFR LGWLGWISNAMTIAFGVIALVFYCFPAEFPVSGGNMNYSVVVIGTMTVFTLTNWFMHA SQNYEGPRLPAGLTQSYVSQPTGLHETCIR ACHE_10853S MPSDQYLLYDLPSRASGLTWSPNPWKTRLLLNFKGLSFQTQWLE YPEIKPALENHTPPNPDGFAYTIPAIVCPDGTYIMDSRKIADYIEQRHPQPSLHLESS YLAKVEDIWSRYMSAFCPIFIPQVPKRILNDASLEFWYTTREKMFRMPLDRLEKEQGG DRAWSEVEVAIREATALLKEQNGPFFMGDTPSYADLVWASILFFCQRLGTEFFEETLK RSGDGQVHLDLLATVEPWSR ACHE_10854A MGVPTNNLHGLERQRRELEANILKLQESLYHWRTWEAEYDGLKE EIDELDDSASTDDFLRIGRDFGGDLVNEEEVKVILGAKQGVTRSKEQAVNLISRRIDY VRENVKIMEKRLLTAENQMNGLDAVGQAPPVTDPQLPMTEIMEELDEEGNVVSRSTQT PGSEAPELLNILKRVGAEVPDTPQQGTKTETPQSKVEEVENPQPDEKKQEGKKATPAP KERTTSPQAPETRPEPSKSTPVEPRPEIPEEHPITEVDESPEDAALRREMLEYGMNEI GAVVAELELDENASDVSYDDGYGTYDEDEEEDEFGRSTGSVLSEDYHKQMRDLEQKLN AKGLWNMGKDTAALPDEMQSDLDRPQVVRIERTDDDQPDALAPGKEKSKKKKKVAFAD DLDIAPAQELPSAKKTLPPQQPEVPTMSDAIIERAEPVAKTSAPAEAPKKASHFKNAR KNTPRSEPTPPAPATNVSFRPPKVRSTAHKSDRSPSLPLFPAKPAEPKPFSQPIADVF AHEKSPVSEPQPPQDKILADKLVERDIKEGTAPAPEADGIEESIHRKEIASEFYRMRN RMVQQNGGFLNNEPETVPLDEDDAPRVSKFKAARMNKS ACHE_10855S MPPQNRILDRGDDDEVCPVCKSSRYLNPDMRFLINPECYHKMCE SCVDRIFSGGPANCPVAGCHKTLRKNRFRKQTFEDINVEREVDIRRKVMQILNRREEE FDSKRAWDDFLEQREEIIANLVTGTDVSKTESDLQAYASENVRSIRANQALEAQEATS FQEQQTLEQEQARLRRQAARQEYEDERREILAGREDVISRLASGKPTDAATIAREGQK VLLKKSSARRSEEERIRQKQAALRNSDAKKAEAPVATTERAGDTGLIKGLKKIKTPEP EKPYDPFMGMVPNKRDYYTLRDHYPSGYLDPIRQDTRMQAGGYDVREYYSRTLLEAFA GLGCFVDEEVSKRDASTALGLSRPATEGAAMAAVTSSGLNDENI ACHE_10856A MNSGRINMRKYSHIIRNGTGVPAGDAVLALDLKPTRNEVHQYKH VKTARFNFDLECEKAASAGDIIVMFCTSSIPSLKKDGRYYVPRNCILVAKENWDEYFG PYAARAGLFAELLRE ACHE_10857A MRNKDKTPEPPQYVLWCAFSDKLFDSFPITCTLNHDTYRDLKKK IRGMRRFDDSDPDTDDWVLWEPGRPRNIEETFIPEKCDEHLASRLTVQRSQSKDRLDV VVVVCDDDVPQRQNGKKDIRTVFGKTGLPYRPPASDVLLNSAGRDWDYQVSPELIDTL HWQISEQYQSYKNGFMDKLTIPLYLFLSGAGTGKSRNAAELHHTAYKCFNGTYLGMAR NDELADRLKDSLVFHVGFEGGLGLRIDDVDPWEAIGNRMLLQLLPETDRKETTLYNIH DNWNAPTPDEVIDLLVTKDGSKLKEKTIFLVIDGLDQISHVFGEYKLSDMLIEMGGFA QEGFRIVCGARYYSLVPNCCLLPSSRSQYSMIKAYLNELSSKTAAGMDVHWKY ACHE_10858S MAAAGAQYALQTGLTFGMSATEGIHSPHPKPVDPKYSEDEYVLP ASITPDANARVALRGKNQDVLVPYICIGAWSWGDKATWKYNAVNDLPRIMEAWEKLQG AGLTFVDTDQAYGDGESERICGQLFKKMRREQFVIQTKWKAWGNLTSTILQSRGPQHD LLSSLTNLGLDYVDIYMVDGPIHLNMISTVAKGLAECVNTGKAKVVGVANYSKEEMIK MADELASHGVPLAVNQCEYSVIRRHPETSGLIRECHSRGIVFQGYAALAEGRLTGKYS RFNEAPRTYRFSSYPMHMLDPTLNVLQRISEKRQVPMAAVALNFNINKGVLPVTGVRS AAQAVQNMQALGWRLTPDEMREIEKVSLEGATTAMWQHG ACHE_10859S MDRSNKPSAIGVGASLPQPTVSLRENKVEATLPTGESVTVHLFG ATVTSWKLANGREQLFVSEKARLDGSKPIRGGIPVVFPVFGTSQNHATSSLPQHGFAR NSNWEFLGKSSSESLGKDRKEGDDSVKLDFGLSHTLLSEDFRKAWPHEFALVYSVTLS KEGLRTSLQVQNNGSQNFEFQVLMHTYLSIEDISKIRINNLESKTYVDKVQDATTHTE SQSALPIVGETDRIYQALEPTVPIVVSSTDGNKPLFSVTREALNDVVVWNPWIEKAKA IADFSPDEAYQKMICVEAGSVAGWQTLEAGDSWEGGQLIRAGL ACHE_10860S MSEKVVPVPTKAWVSPSSRLLAVQKLKDLGVTAKIDLVELDVTN DQQITTVINHVTNTYGRLDVLINNAGIIRLPSKYDLSAARNTYNEILNVNITSVALIT TA ACHE_10861A MLGFLGRGPRPTIQLTLAILFVFSQLTSVVLGLRTTAGSPCASV CNRDSTNTTSSEIVCLDRQYNSTLKGTHFQECVDCQLRSDFFDTISGESDVTWGLYNL RYAFTSCLYGYPRQVANISSPCVVSCSSLQPALDQNIENPSAYGFFEWCGSSAFADVV VTQCHECYNLTTNQIYLGNFVEAIRYNCHFRTSAGQAFPISPDDIFTQNPLPEHTVSL TDPPKDDSGVNLPVVIAVPIVCFIVLVCALSVCCFFCIRHRRKKAKAREEREFHEQWN TMALASPGPGAWGQYPAQVPVMSPAVWGYGGYGYGPGVAFSDNNVNDGQAQGVGFAKS DFDTIQPAVTVTSSSTPGSSEQEQQQQQHAGNGNEEQAHAQNYFPPPPGASQPPPQRG S ACHE_10862A MATVFRKIRGKRKLSSELDSRWGDVSISSPNEGSWNQGFQPSGS TVNTNLGSPEQEQRPNSMNSLGRRSTSSHRATRPGPSSAISVDSMPTGHYNANIRSRP RTSHKKPSTTGLGIGMLQNGYSAWDDASSDGMSSDDEIKDGGGRTGQYLTVGTEEDYS RASRSPPLSVTSRMRRHSHQTTIEPSPFIPRTTNSRHASFTSSAPMASTENSRIGYGH RHPPFPERKHVHRPKPLELEAARGPELVPSYDELYG ACHE_10863A MGYLNGDLSVDSAFVFLLGLGLLVSFASCVCLYLSYWYVMLIAR HEGSFLQARSPDSSVDDINGVSGGSSEPDSLSPEKQAIIGAVVGGVAVIVITAGILFF LIKKHRWDQIRQREKALLALHAPPPAYDSSDASNSSRSSRKPSPSPLLPPVPIRTPAR PATVSIPSSYQCQVSRDIEEQPPAYDTLSMPVYDPSRYQQQQQQFRPTSTLTVNNGYL HYLTGDTMYGQRSSQLSVPFQGHRHTIQSMPRSHIGGQGSGTGLRESNLSSVPQHGNN GTRPPRKPKPVLSRLITNFG ACHE_10864A MADAVVQLRCGVKNDGWGKPGKEGMAAKLWSQTPGNPQIDDSKT YSEMWMGTYPTNPSYVLSTGELLSDYLKKNQQLVGKSVLDRFGPEIPFLPKILSFSKA LPLQIHPDLSLAKKLHEKDPKKFGDTNHKPEIAIALSNFELFAGFKPTWVIENQMKLK PLEKFLPPQDSKTDNEYLREICQNLLSLEPDTVAQTIKELRTVPEANFGEDKYIPSIL DRLCRQYGDTDNGNLVAAILMNYITLGPGDSVCVPADSIHAYLSGDIVECMARSDNVL NTGFCPRAQRDNVELFSQALNFKPHSREAAILPRKKSDKGMNGKTEVYAPPFSEFNVL STCLGPGESETHKSILGPSLMIVTKGSGKMNAPGKTIEMKEGFVYFVGQGIALDFSTE KGMALYRPFAE ACHE_10865A MIDNLVQQNTTMASIVPNPLNRFRKHDSHKPLHARWGDVSITAP TDGSWCQYDNPHKSAQRRLGYGPGYVPDGFHRASISPARDFEDEYEDDEAPKAETSSR RMSTLNPRRLSMRLSRTKSPDGRQQQQRRPRGLDRADFAYKPIRQDYSTEVAEVAHRR ESRFRYIPASPQYLEELERSQSVSSGYGSVSSSNSYGHECGHSSRSGSPLRYGAGVDD EFEPHRGQRDRGSRVFPMGCSTISGQGHGQRNWLSVPGDRKKRYSTKRLTTVMVPDPE DMYG ACHE_10866A MRNATSYDEWRDCAYELDELEGHNTWKKTFECSDYNPDQVQERL NQLGDARISCDVGRMLFLIRTSLNRDLGGMSNASLYRHSHVGTKDLIDRYITTAVDTI NALVNLSEQNRDEVELKYILDQLLATRQAFGRSALLFSGGATFGMNHIGVLKVLWEKN LLPRIISGASAGSIICAVFCTRTDDELPVLLDTYAYGDFNVFNEHAKEENILQKTARF LKYGSFLDISHLAKVMRNWLGDITFQEAYNRTRRILNICVSSAGVYELPRLLNYLTAP NVLIWSAV ACHE_10867A MGRRARLADSAAPAGDLAASRAIAVCTAYWSQKKADYTDVAQRA EAIVDRAEFPDASKLNQTLTDGDDYAFVTILLSQLHNLQSLRLDYGFVWRPGIQG ACHE_10868A MYLPPSNFTFTIPSVYDGTHLDCRIYLPRELQQSDNTSRSRWVK RGAIVAHPYAPLGGCYDDPVVSFIGGELLRSGYVVGTFNFRGAGGSEGRTSWTARPEL ADYVSFYGFMLHYLGSLETEGDANIILGGYSYGSMIASNLPGVDAVTDIFENAPNHRE MGAICQTAKEMATSTNRCLTMQARSSSQEAFKTTSHGKISYLLISPILPPISSFLTLF GTFSIDIQMGPSAPGKHIPCPKPADQLSTHDTLVIYGNEDTFTPASKIRNWSNGIAKV SGSKLESHEIDGAGHFWREDGVESQARSVLRNWLSRIS ACHE_10869A MSVSLAYTDKHLKPLPIRASAHHQDPLLYIDRQTKHIQRNLQVL IDAQSEGLLAGLSGPQQNDARSLSDSKVTVPVRQPAPKKIGLRAAREGIFKSIYDLLK LREEERELLGFRDGERKDALIEIDEFSSKKSELEEAISSIRNNPDNQRSTNLKDEART LESEIHELETKLYEMKAKHRHVVQEISEIENSVDSKLSSYNASLSLVESNIRKYLQNP PLQPLSPTADEPTFYSLHPKRRTLDMAREHWTAEQERLHKRQSEVGAEIQALEAGGGV WKQVVTEVTGFEKRLRSEMRRSIQTQSQVLEADCPSGSKAEDGRAQTIIDDLQATTQR IEGQLELAEERDWKLLMCCIGAELEALREAREMLLDVFNVQESPENKKSGPNDHDHHD DLPADPLGVDNPEPPEDLLRDTGDHRHETTDEDNEPDPAWLLPES ACHE_10870S MVGLASAAGLVGFLSEPDPELRVFALKTLDSQIDLLWTEVVDAV PQIEALYEDETFPERELAALVAAKVYYHLQEYNESMVFALGAGKLFKLDKGGEFEETI IAKCVDTFISLSAAQRPAAGDQPANLNSAFPTSGEGASSTAASLTSPITPFSQSALPS KSLLSRQEVPGIDDSHPGGEDASVKKIETPLVLRRGVQGQLQEVIERLFEDCYRQKRY RQVIGIAVEAKSLDVLRKTIVRASDDEKKLDGESRRSEELLEYVLDICMGIVQERGFR NEILKLILDLLNEIPAPDYFSIAKCVVYLNEHSMASTILRQLVEKGDARSLAVAYQIS FDLYDNSTQEFLKKVRQEIAELVPEGEEQKSEGERAEPTENDALLSDQGSSEQRTLGG NSDKLTEEARAAFKNILAILDGIKSIQLNLEFLYRSNKADIAILNKVRDSLEARNSIF HTAVTLSNAFMHAGTTHDKFFRDNLEWLGKAVNWSKFTATAALGVIHRGNLSQGQKLL QPYLPREHIAGVGGSGSVYSQGGSLYAFGLIYANHGGMAVDLIRDHFKKATEEVVQHG GALGLGVAGMATGDEGIYEDLRNVLYTDSALNGEAVGVAMGLVMLGTGNMRALEDMIQ YAHETQHEKIVRGCAMGMALVMYGRQEAADELINGLLADPDPTLRYGGIMTIALAYCG SGSNKAVRRLLHVAVSDVNDDVRRVAVLSLGFILFRKHQSVPRMVELLSESYNPHVRY GAAMALGISCAGTGLDEAIDLLEPMLKDSTDFVRQGALISLAMVLVQQNEAMNPRVSS LRKTMMKMIGDRHEDAMAKFGCAVALGIIDAGGRNCTISLQTQTGNLNMPGIVGAAVF IQYWYWFPLAHFLSLSLAPTSVIGVDQKLEVPFFKFHSNTRPSLFDYPPEQQVKAEEA PEKVKTAVLSTTAQAKRRAQRREKQQRRESMDVDQTPTTPKPSGQLPEKMETDEAKGE EEPKEGEKGAGEGQKKKVEREKVGYELDNMSRVLPAQLKYLTFPDPRYEPVKRPTGGV VVVLDKQPEEPREIIEMKASKEAKQPAPQADSLSDRLQAAIDAAAMQTPQRPARGLEA AAGAAAAAGVLTAVDEDEEGDEDAPVPNEFQYETEGEEEEE ACHE_10871S MAKTFSKEDVASHSKPDNLWVVIDEDVYDLTQFQEEHPGGKKIL SRVAGKDASKQFWKYHNEGVLKKYKGKLQIGSLNTKKAEPAPPAPAPAKEAPKPPAPA PAASTAVASSEPSQPHDPYGDLVPFADPAWYHGYHSPYFNETHEALRTEIREWVESEI EPYVTEWDEGKQVPHKIYKQMGERGYLGGLLGIKYPVNHTPYRVKSVPPERWDHFHEM LLTDELSRAGSGGLVWNLLGGYGIGCPPLVKFGKKELVDRILPGILAGDKRICLAITE PDAGSDVASLGCEAKLTPDGKHYIVNGEKKWITNGHWCDYFTTAVRTGGPGMNGLSLL LIERESGGVSTRRMDCQGVWSSGTTYVTFEDVKVPVENILGKENQGFKVIMTNFNHER IGIVIQCVRFARVCYEESVKYAHKRKTFGKRLIDHPVIRLKLAHMARQIEASYNWLEN IIYQCQCMEETEAMLKLGGAIAGLKAQSTTTFEYCAREASQIFGGLSYSRGGQGGKIE RLYRDVRAYAIPGGSEEIMLDLSMRQSLRVHKMFGMKL ACHE_10872S MSRNFMNQDFGSEEEDDDDFNPMPAKESDDEEEQVKVQGDEEEE EEEDTNDRSASHARASTNIKEEGGAEEEEEEEEEEEEEEEGGEEQDEEEEDEEEEEED DDEEAVSSRPRKRRRRGGVHNFIEEEAGVDEEEEEGDEEEDEMGEFGGEMHPDDMDAL PMGAETDDRRHRQLDRQRELEASMDAEKQAQMLKERYGRNRAAATDAVVVPKRLLLPS VDDPSIWGVRCKPGKEREVVFAIQKRIEERPPGSRKPVKIISAFERGGAMSGYIYCEA RRQADVMEALQDMTNVYPRSKVILVPVKEMPDLLRVQKSEELVPGGWVRIKRGKYMND LAQIEEVETNGLEVTVRLVPRLDYGLNEDTSAPVVDPKRKRPGMNLAVARPPQRLFSE AEAKKKHGKYLSATSGLGGKSWNYLGETYIDGFLIKDMKVQHLITKNVNPRLEEVTMF ARGSEDGTSNLDLASLAETLKNSTAEDAYLPGDPVEVFRGEQQGLVGRTSSTRGDIVT IQVTEGDLEGQLIDAPVKSLRKRFREGDHVKVIGGSRYQDELGMVVQVKDDTVTLLSD MSMQEITVFSKDLRLSTETGVDGKLGMFDVHDLVQLDAATVACVIKVDRESLKCLDQN GSIRTVLPTQVANKITPRRDAVATDRNGAEIRHGDTVREVYGEQRNGVILHIHRSFLF LHNKAQAENAGIIVVRTTNVLTVSAKGGRSTGPDLTKMNPALMGNGMAGAGRGMPPPK SFGRDRMLGKTVLVRKGPFKGLVGIVKDSTDVQARVELHSKSKLVTIPKELLVVKNPV TGQTVDISRGRGGPRVPNGASAAPPSSWSGGRTPMAAADSSRTPAWGGPSSARTPAWG GLGGGSRTPAWKMDGSRTSNPYDGNRTAYGGFGGGGRTPAWNAGARTPYGGSSGMSDF DAFASGSRTPAWGGGGANVGNRTPAWSAGGMAGSGSKDSRGYDAPTPGAYAAPTPGSY AAPTPGLSAPTPGGWADSAPTPGAFNAPTPGGAAATPGGYGDGDDGGPRYDESPSP ACHE_10873A MDLRSIINTESASNSDSSSSENRPPVHERSSFAAPAPPATPYAP SSRESFAAPAPYNHPQPHPQPVELLSSPYTPHSASAGVQQHSPQQSFAPKRSQSIQSV LSSDPAPSYPYHPKDHSPVAAPQPLPSQQFSPRTQRSLPGTALGPPPAFARSSPSSVR PQSSGHESQPGQPPSSWVGQESVHSHDQKPLVSPSAQSRPSWQDSKLVEQTPRHHSAA SEKEHEETVSPRTVGTPHTRQGSSIGPADQAAASSARSHSHSEEQGWKQSPTRSLSHS SQQQPQQYQQTPVDLEDKAQSLPSQMDTTPDVTASPSSQPPRRKRRRFNEPPIYAQRS RHARGTGPVIKNPLPPVPKHMRNSPSNPWSARARSSAASVSGSSVRASSQGPLKVHAD EPIPANGPPVQAAPSAPAVPVTPLAPAPPQSQPGSLGAWEPSITGYIPHEDMTKLVCD FLFQHVVLRNDVGAGPAGSGATGQGAIIEVEAKLGKHIDQDRRERLYLPVMTETILNR ENSRFRTSFESSMSLAQHRAMNNFLNDIVKAAMPNSNPGRIPLSYAHKKERDTFYEVP PSELPPLIRQNLNPRHKPRVRVTTDQKTGEILAKIVKIRIADLNVLSPRTCVDYRISV NLEMNYDGDVNHLPVVDTNSDGGKARDRNKDRMSYRHLAYQVDLTQVAKSESAKNEFD HELEVEISAAEIRRQGQLAMAGDPKNQYEDLVKGFLDNIRVLARAVPPQ ACHE_10874S MSHDKDHRRSPSPTVEIPLHTLEPNSSPYPHSHPAEHGHSKQSK KHLADDWADTIDLMLTKLGVALTPSFLQHHVGGQPSPPAKQHAIAALDGLRGWASLLV FNFHFLFTYTWKVAVGWGFNGENYALWQLPILHMAVSGHIMVAIFFVLSGYVLSYKPL KLIRSRSWEQTFTTLASSTFRRAFRLYIPSFVGITLVMLAVRAGVYDYSHRVINHGHT IQGTNEQHPPIYKYFYNQYWDYYLTLANLIDPFNWSLYYNYYNPHLWTIPVEFRCSVV LFLTILGTSRLRTSMRMSMVACLLWFCMRYGRWDVVLFLSGNLLAEIDLINGTWEHPR SPLSSSAKMGPEWLPLQLRPLRRNFWITVFVAGLYFGSCPNIGFKWTPFYMWLWDLTP RYYPEPHRFPQTIGAILIVLGINRSPDIQKFFNHPLSQYLGKISFAFYIVHGPILHSL GYSLMPNIWSVVGKETNFQYCFGFLVGWVICLPLAIWLGDIYWRAVDIPSVKFARWLE DKVITKHPEGQRDGHVH ACHE_10875A MSNPAQPFESSRRQSTLGRQPEELHIPSSSGMANANLNRQSMAL DFHAPSDPASNNHVPSINVHQPSNTQYGGTNPSSAGTAPVPGALQPGNMSRPPVMSMN TAPSVLPTLPQLSTQFSQQQQQQQQQQQQPTTPRSSINMSNSHSYSRSSPSNFDQSRY RQHATSDSSKYASPPGPGYPPQTPQGPKYSPLGLADIRPPPDLLGDHVTSPGGNQFNG GDAQVPTNSNYIAPWPIYAVDWCKWPLSGSNYFGGKIALGSYLEDNHNYIQIVDTHWT QPDPDTPDALNGEIKLEYTKTAEAMHSYPVTRILWEPPSSQKQTTDLLATSGDHLRLW SLPSPQSLHTNSITRSANQRDLPTAKLSPLALLSNSKSPEHTAPITSLDWNTISPSLI ITSSIDTTCTIWDIPTLTAKTQLIAHDKEVYDVRFCANSVDVFVSCGADGSVRMFDLR SLEHSTIIYEPTDKNEKVMSPGNGSPSAPHNPPPLLRIAASPHDSHLLATFSQDSNVV RVLDVRQPGQALLELKGHSSPLNCVEWSPNRRGVLASGADDSLVLLWDLINQHNAAPV PTTNPNPVPATNPNTRAPATTTERGPAAAFQCDYEVSNISWSPQGGTTGSGHPRDWLG VCGGRGIWGVGL ACHE_10876S MDLDRISPPSALLLLPPPPSASFEQLQEAYRSSISAACSKLPQS LKSANQIAILDIALCVPGLLSPTCLPRTRAFGTLQRLLSDVYKLIGVVCTEQRIELDL PGGVDVRVVFLDFNPAPGSTSEGSLRNSQYGPVLNLDTLASSGRQWDWIFYPNNISGQ KLAAAFSSIYTQSKGSTAGNLHPIPGGPEKTPSGSLLKLEDKQSSVAHYSAIVGGTFD HVHLGHKLLLTATALALEPIQGADSTTERLLSVGVTGEELLVNKKYAEFLESWEERCQ SISSFLSAIIDFRPPEKSAPSIQRVSQPEPNGKYLLIKLQPGLTLKLVEISDMFGPTI TEESITALIVSKETRSGGSAVNDERIKKGWKSLEVFEVDVLQSGEVQTSETESFASKL SSTDIRRRRMDMASR ACHE_10877S MDRPRHIEDLADELLSEVLSFLLRPEPRPFNFLPALLNPYTMTS STSSTRGLPSEANSDLDRFRLVNKRFMRIGTPRKFSRFVVRFSEEGFKRLEHLLDMQL ACYVRHFTYMVRPFYQGSGWPQVLNGVGIDGSRVPISVLRSRLENQNSLTATNRDLVL LRRAFASFPSLKQVKLLRLVDKTDNYLGECIRGGPLEETVVLDWEAACTRAIINLGIA LSESTCKPIQFYTPHTHTITPEMTTKLLQVPPTLLSTIATRLTSLDITFHPITDATAN ITALSTVFHNFFLATTNLTSLHLSSLSHQPLSIDYVIPPTLQLTRLHTLSLENWVLNA EDLTSIIRRHSHLREFKLHTVHLLNGRWKDVLSVLRYEMAVLQRVALDHVNYSRLPDR DYRHPNGKVNWLGITPEQLRALTVDDLGDDGVRINSNQAWIWAKWVMARPR ACHE_10878A MRGLMFSSVRLCARSFPSYRHTFSIPPPYYRAYRFFHSTHSRRM SDLTLSLTAPNGRQYTQPTGLFINNEFVASKAGDKFATINPADESEIASVYAAGEEDV DIAVKAARKALKDPSWKSLPGTDRGRLMLKLADLVEQHKETLATIETWDNGKPYGESL NADVGEVINTIRYYAGWADKVHGQTIGTYPEKLAYTLRQPIGVVAQIIPWNFPLGMAA WKLGPALACGNTVVLKPAEQTPLSILYFATLLKEAGFPPGVVNILNGRGRVCGSALVT HPDVDKVAFTGSTQTGREIMKMAAGTMKNITLETGGKSPLIVFDDADLEQAAKWAHMG IMYNQGQVCTATSRVLVHDSVYDDFVTLFKQAMASTNKVGDPFADDTFQGPQVTQAQY ERVLEYIEAGKKEGATLAAGGEPVKNVAGGKGFFIAPTIFTNVKDNMRIYREEVFGPF VVISSFATEEEALQRANDTTFGLGAALFTKDIARAHRVAADIEAGMVWINSSNDSDFR VPFGGVKQSGIGRELGEAGLEAYTQTKAIHVNLGSKL ACHE_10879A MPPKKRKTSDSPETDGNDLTANQTTAAPPTGEKRKRGRPRKYPE SATPKPPPGPKRGRGRPRKDESAKVTTPKPATPKEGKRPVGRPRKYPLPNGADQRATK STSVEAKEHSEEEGENHDYDDDDDDDDSGRSYWLMKAEPESRLEKGVDVKFSIDDLRA AQEPEPWDGIRNPVARRHLRDMKKGDLAFFYHSNCKVPGIVGIMEIVQEHSPDESALD PSNPYYDEKSTKEDPKWDVVHVEFRQKFPTMITLNDLKAQSAPGKPLENLQTLKQTRL SVSSVTRAQWKFIMRLAKEKEGAGLRQSTSGDDEESEESSEQ ACHE_10880S MNDNPRVSQSSDSNIGTASPETETTMTLENNTNNANHLDNKPEG LHTTDEEDASHQDIDYLYLDFDTSLPNPMGISSSPRPGQSSPPSPPSLKKYTSPFLWS KPRKTIITMISCCVTALSAYAAGEYTPPSEELTAKWHVSKVAYNVGITLFTLGFGIAP MVLAPFSEINGRRPIFVASGLVFTVCLIGCGATDSYAGMLVGRFFLGIGGSTFSTMVG GVISDIYHAQDRNGPMSCFSGAALFGTGLGPLISGFIEMRVSWRWIFYSEAIASAIFL VLLLAFLKETRGSVLLSGKAKALNKYYEKLEEAGYYGVVFTAEDSGEKQRVQRIRWKV KSDEERETLVRMISISCYRPFHLLCTEPVVFFFSLWVAFSWAILYLNFSSIPLVFSTN HGFNVQQVGAVFSAVSIGALLATLLSIYQEKLAMRLGKMPNTPEGRLYFTCVESILMP IGLFWFGWTSYSSVPWIVPTLALGCATMGIFSIYLATFNYLADTYHRYASSAIAAQSF CRNILAGIFPLVANFMFTNLTYPGASSLLGGIGILLTLVPWALAWKGPQIRAKSKIAS QIMQQS ACHE_10881S MAQERAPLRLGSVAPNFDAQTSNGPITFHDFIGDSWAILFSHPD DFTPICTTELGAFAKLEPEFTARGVKLIGLSANGVESHHAWIKDIDEVTGSKLQFPIV ADPERKVAYAYDMVDYQDTTNVDTKGQALTIRSVFIIDPSKKIRLIMSYPASTGRNTA EVLRVVDALQTTDKHGVTCPINWLPGDDVVVPPPVSTEDAQKKFGEVRTVKPYLRFTN VKKE ACHE_10882S MVDIENEYREQMDEELVQNLQNELQEHKDRLKDKEHQIDELMAE RDEYKTAYAESAAAFPWFHRGEHQAIRQSLRKCLALHSSLMAKSLSSRTG ACHE_10883S MWLAKNQVLPDCINNGLLWPKELPPWKEVVNQLSCDEFVQQCRA NPRALYAKLLELYQKSTGDLLDCDNQIAEVELKTQFLEVYLVLLHREKNGLEQKWTQL ACHE_10884S MMSRSQSSLGWVDSVRDDPSTAGNSPAFNQSTSTSGPINLSGLV CNVRRTTGREPRPLVGATTTILGDKLYVFGGRILSRSRPQLTSDLYELDLVRRHWSKI EATGDIPPPRYFHSVCALGDEKLVCYGGMSPAPTESLNNGSGGQDSQPEVVVMSDIHV FDVPSRTWKRLSTVDSPQGRYAHCATILPSSAYFTSANAPRSAIHFNPSSADPHQGSI GVDIDGFGGAEMVVTGGQDSSNHYIEQVSVFNLRSLEWTSTSFLGRSCGAYRSVVAPL VGMKLSEIGSACTDKDAHEPIDDSQVEGCPMLIYSNYNFLDVKLELQIRLPDGRLIEK PMPSQASPPGLRFPNGGVINGHFVVSGTYLTSSKQEYALWALDLRTLTWGRIDAGGSV FGQGSWNRGMLWLRRNTFVILGHRKRSLVEDYNHRRINFSHLCLVELEAFGLHNNPCR VAPTSGYQSYSAPSIPASLQHKLLQLTSSGGRPFSPASEELGKLAQSLPEMADMELQA IGGERIPVNSRILSRRWGPYFIQLLRESCDNGVSDSATLRPPTQMYGNRNSTITITPS IGQNSSYSNATTLVSNNHADPTKAMLANLEIPSAHTLPPTTRPRVLYLPHTYFTLQVL VDYFYTSSLPAPGSSLCTPQILCSLLQLARPYQIDGLLEATVERLHQVLDGRNAAAVF NAAAMAAGGGRGTGFTSGIGGTLEALNGVNTAAAAAAAGDAATIGAGTSASNSHLNSD SSDTEHGTASGRSISSSSGGQSRGPPLRINTNFSFGRNRRHRQERGATREREDSISNA STSTSASTNTSFDYSDSDTMGGDRSRSVSRQRQRHDTDAYGEGEIWTGDLSSVIGLQK RGLRGLMEGRRLRERSAKPASTSGPISFEGGVPGGP ACHE_10885S MRRGTRSCTACRRRKVRCSYPLGQTSKCVHCVRRGSTCVPQGED IDVDIEVPASAETIELAEERERSEHEEAFFEALKEVRQSVAGLSAREPGGRDTRRSES LENDCAPIIRLFNHELIGGARRQPTPNTTHPTPSKQHLTALKALTHALPPDSEVTYIF HHRTDWWPAWRESFGLLWGDQDDTTLPSFATRAIRTAHPSLLGTLLLCLALSTGEHAR YIPVVEQYILNDDELASGKYGFQCLMALGLCLLSSLQPRRAWTVYRRANTLLQLHGIH RRHRENAMLDSLFWQLFAADRWASLLIGLPYSVPEALCDLYIPSAPGVDGITAVDYHY RHLSILTRRVIDCLQSLQGPSLSSAVSVEERIDEVTGHLPPGYVDLSQLSICPNYKEK YTRAFRLANIHQLKAFLYLPFFLNSPAHEHGYDRQGYGRTICVHSARTLLEAYLVLYE SDPDATSIDNAFKLSSLTALTAVVIVFLDLIGERHTSQNQDQRNGHGYDEALINRTIT ALRGCAAGMPGSLCGQCCTALETLLDNTRAVGRGRGRGERKEVRRIVVPYFGVVSIER KNGRGAAGTHDGNEIAVTNEEVGFNGNGLDLVLDDLLWAYQGPWMGNDMGVLPEEANS YATFDLTNDFWQPQ ACHE_10886S MPKPKLTLGQALHANENGRHSTQKVKKKRHIAAAGVDASPNRGN SFWQDDQQSSQSTPDGWTDGERKRKATQRKAKQRKQPRPQVASQRMLIMDFLMSLC ACHE_10887S MPVSHNRSLSGSSSDDLSPTPTAATSTEESHSPVDKKRKTPADS ESPETRDSERPRPVSWPSGPVEPPTQLPPIAMPSILNPAKGASHVRMPSSPTPRFAHP SSAHPAKRLSLSPGSQHRSMTTPISSSYPGKLSTNNSPLAQESRSGVYSTPGSPLTLD PPIMSAAAPTSTSVHSTPTFHSRRISANPTPNPSPQETTASTPQSIYSHFGRSSPSLS GQSQVPPMMHPSPYGTPDSASRFPHAMGGHRYPTEPPMGMQPDQNGALIPCVLDLKSG SSSQAEKRKANSDASRRFRNRKRNELQMEQKITAQQEEMRKQADLLHRQTQEIQALAQ EREYYRSERDFYREQLGRVLPPSQLPARPASPRALSSAMEKPGNESVWQQGAAPATSL PAPIPATRAPGTWTTAPSAYTTAPSANTAEEPARSLPQISATWARS ACHE_10888S MTMSDSLYQGRPVPEDRMGDMEKGEYFYGTFRGDQSEKSAEQER SFGVRVMMSIAALILLSLGFSVTGKPVPTTTSDQSSCDTVDGGYQCFSNVSHHWGQYS PYFAVESQSGLSGDVPSECQITFANILSRHGARYPTASKSYKYSSLIKNIQKNATSFP DKYAFLETYEYNLGEDDLTQFGENQLVNSGIKFYNRYENLTRNIVPFIRASGSDRVIA SGEKFIEGFQSAKAQDPHAKNQTTPTVNVVIAEGSQYNNTLDHSICMSFENNDDFEDQ VADNFTTLITPSIRKRLEADLPGTSLSDSNIINLMDMCAFDTVARTADGSSLSPFCNI FSAEEWRQYNYLNSLKKYYGYGAGNPLGPAQGIGYTNELIARLTHTPVHDHTSTNRTL DSNPNTFPLNTNLYADFGHDNGMISIFFALGLYNSTQDLLQDTIESARDAGGYSASWA VPFAARAYIEMMQCGSAETEPLVRVLVNDRVVPLHGCKTDELGRCRRDEFVRGLGYAR SGGNWGSCFK ACHE_10889A MSPKPRVITPNTTPHPRAHPHPHPRQPIDIRQTREYKTAARRWT STIVALPIFLVTSYVLWDRTYGSKKTEKTVRSGEGRE ACHE_10890A MEHPSNSRRSSSRASRIATQEPDTNESFMSQTSQSQSLPTTPLT TTFEKPIPGKRRRTQQSVDGDDKASQMSQDVPETPNQNDSFSAPQVTTSGFVAVNHAR NHKPDGPNGDTAETATPASNGKRNSQSAKQSNLHAFLENARHFVTETEVAESKKDKPD KAPALETSVTEGNRKTRKSMPAKLKEAGGVENTPDQTQITTPRPGRKSKNAAAEANAK ISKMSARGPRQKNTEKALKEQNNATSATPLPPSSTRRDRKSARKNQETAADSTNATPL RSTTRRRGVPQEVNGTREPEVPDSALHSPDSIQSDNDTEVYVDGQMDGQASPKSSATA RISRRNRKPTMRAMESFESEKRYRRKRGASARADSQSGELNGTVHPDLALVAQRLFEL AVEAVSPSFKPTPDAKTQLSQLRKEYYAKKEQQNAPSISTRKGKATAAATPATAATSK PGVTKKSKQISQPWTDEKGWKHTGFVNEHGEEYLLVPSDSEVYRPNNTYGDSKLPLPP IRLKSREQLDKDRIFGFPPRIGERNLPRNSQFTFYYEDVDEVRERILAREEARRIGIP VDRSMQAADIRAKIAQHPSKPEIPKETPKPVEKVRRRRRTQVPPNEESSDYQPKQRRR RRATAPGTSAQASAPESPDKKSMKIKLKFGKGIAAAIDAGNNGTNAEPPKKPRQEIDD DPNLETPSTGLPHQMHNEHKTLDHDTPSPPRKRNLSAAEDNPDEAPPAKKQKLSESEA ATADDDQATGQGANDTPGRRPRRRATGNWWDIAGI ACHE_10891S MHLWHSLQLCLLAAAISARAASTWGYTDATVSVQTKGAGVGSGF KQEIPDNKPLATPVSLGSLDTLRVTLTAQEGRSPKRAHQVFLLLNDPETGLDISYPFN VKDNGKSRVDLTQKDLPIQFLSLSQPVDARLLIGSFGTSEAYNEPAFQLLITRNPDEP VPTVEVSRYGKLPEIHHIFKEDPKNPPIAVTLGFLGVTLTAIPILAGVWLFLGVNLNH LPTALKSAPVPHAVFLGSLISIEGIFFLYYTSWNIFQLLPAVAAAGAVAFVSGSRALG EVQGRRLAGLR ACHE_10892S MGSASSKPARSAANAVSRRQYPKQPTAPPTAAPSAAAKAAQPQT RPQAPPHLEREPEPSQGPIYHPKERPAGVKSSAIDLDGRDPDFAASLRHIGPVNPAPT FSPSSTFQPRPGTQPTQTIFPQASSNSALLVFNARQQIARAAEKEAEQVGKPSFAGRQ FLDALTIRQAISMRDRQGLGAEEIEAILRLRNGVVERLGRKGIIGEIQ ACHE_10893S MGTPWLSTPFVRLRYKNPGIWSPSDPRLPKDVLGRGEGRLSPGF EFLWIPSPTKYCEAVILLLCRDSDTNYEFFFFFFLDCDDYILEFLDDGSESFCEEDLG MEFRDF ACHE_10894S MLRKYLPSVPPLLFALVFVNVLSSKSLHLLQHVQSLPVLYLVLY SPTLILWDLFVIFVSRVLLVWPETRLRWIPFLFGGFIALLTWFAAAVQFGFFFETGAE VEWSATDSFVRDPAAMKILMSGISSVTAAGTVLFLASFVLSSRLYSITGRWLDSLRDR CWQGVREPKTLLPFAKSPAGRRCAFQYLLPLTALSVSLIFLEATRPSIPYDHLARALP LTMLDAFHRPSTQSVEGCRPPPLPFPLLPQRKGGPPPHHHHPFDWAFGKGPPPFPPPP PPPPPLGDFGVQPSWLPEDPPPGFSRWQPARVREEEHGDQPLQCPSGPFQYYNPRSDP LKVSNFDDDIMGPLQQAFKDNPVDINHVVLLTLESGRKEVFPMQAGTPMYDYLVQSHE EKERKDAIDRLSKMTPVAQMLTGEYALNSEGRVNDFSNHKWHDQSGPGMGGLNVKGGL TASTLTLKSILGSHCGVSPLPVDLLEEINLEFYQPCLPQIFELFNRHKSSEPESKDGG FQADQWKSVYVQSSTVSYDRQDKLNEAMGFNYSISRESLRDPDSKHWPPATGEINYFG YAESETKPYLRDLIFDAAENNTRLFLSHLTSSTHHPWRTPKSFHTEQYTGSEGSVDHE SMNDYLNTVRYVDDWLGEILGLLDEAGIANSTLVVIIGDHGQAFSEDAKVTGTFENGH ISNFHVPIVFRHPHLPHIDISANATSLAIIPTILDLLVQSQSLNEKDSAIASSLLPEY QGQSLLRPFHNQRPDDGLAVWNFALINGGGSMLAITTANSPYRLILPLKEEFNYRFTH LGKDPGELKPLEGWSMPRLVDRVRKDHGEEAATWLMDAERVGRWWVDEQKRIWDYRGE ACHE_10895S MAIETHPAPLLQPQPQPAPENIVLGTKTRLPEFSLAGKVICVSG AARGLGLTQAEALLEAGAIVYALDRLEEPDPHFHTIQARAKTELSTTLYYRRIDVRDT ALLNAVVEDIANTHGRLDGLIAAAGVQQETPALEYTADDVNRLLGINVTGVFMTAQAV ARQMVRFGRGGSIVLIASMSGTVANRGLPCPAYNASKAAVLQLTRNLAMEWGPYNIRV NSLSPGYILTSMVTDLFEEYPERASEWPRQNMLGRLSRPEEYRGAAVFLLSEASGFMT GGDLRVDGGHAAWYHQSV ACHE_10896A MHFTTLQLLVASLALGAPLNQGLNQGNTEGVKQCTECSTRATPD IQGTPAAGLPILPTDWVDQSFHHKIPARDAGADGDVSADVDAEDDDGKRQDDEAISAR GVEEDEAEDEDEEADDDEDEHQKVARDAEDDEADEAEDEDKEANDDEDQHQKVARDAE DDEADEEGEDDEARSLEKRKSRSHGGSSSSSHSNKNDDKDKPKPKPTNTKPAPKPSKT PKPSKSPKATQPPKTTQPPKTTQPPKSTPTPSASSSAKVCKNKNLNKSKREVVSTPVA TAEPTYVN ACHE_10897S MKPTSVVLALAAALPGATAAPEPEVARDFRISSNVRRSLPNAPD GYVPSSVECPSSRPTVRLAEKISKNESSWLDTRRDKATSALRDFFGHVSIDNFDAAGY IDRVKSNSSNVPNIGIAVSGGGYRAMLNGAGAIKAFDSRTDNSTASGQLGGLLQSSTY FAGLSGGGWLVGSIYINNFTSISDLQTHKDGAVWQLENSILEGPDSGGIQLFDSVGYW KDIHDEVSAKSDAGFPTSITDYWGRALSYQFVNDTKGGPSQTWSSIALTNDFQQGNMP LPILVADGRNPGELLVSSNATVFEINPWEFGSFDPTVFGFVPTKYLGSRFVGGSLPDN ESCISGFDNGGFIMGTSSSLFNQFFLNVNSTALPDWLKSIFTNILGDIGEDSDDIAVY SPNPFYGWRNGSSPYSSYKELDIVDGGEDLQNIPLHPLIQPERHVDVIFAVDSSADTD YSWPNGTALVATYERTLNSTGIANGTAFPAVPDTNTFVNKGFNQRPTFFGCNATNATS SSGDVAPLIVYLPNAPYVLYSNVSTFEYPSYNDTYRDLLILNGQDIVTQGNSTVDSNW STCVGCAILSRSLERTNTDIPQVCTKCFQDYCWDGSINSTAPAPYEPTTEFHQISADK SAASIAMPTLFSVVVSAGAAMFLM ACHE_10898S MARAAVIPQSSPKRGRPASTSTARGRPSVATATTAAARAKARPV PAKTATKRTARAAESTDDDDDEIAADSNAKTTTARGRANATTTSSSTTIGTGRGRKPA ARTVAPQEQSDDDDELAQMDVPKKRVGRPKKETATTEKPAEQAARPRGRPKGTTNAKT TATTAARPRRTEEELKPKDIAITSAALRSNILKGPAKKKTVTFQDIIDSEEEEEEEPA PAPVTRRRATPAKRGVGAKPARKPAATGTATGRVRKPAAKSATKPKPLSPKKANQVAK SISSYVSSDGEDDELAGGKDQIKLHVNSPTKHGSEKTGLSSPVKSINLTPRHKSVDEN GKPVRRSIDFNDVISMSSPTREPSPSPFHYTLRETPRRVGIAVAEEHTRPIAQPNFGR SQNSPLKASPKKALLETPRGGSNFAFNNDMKPSSQPNFTPGRNSPLKASPKKGHFNET PKASTMAPWDGTKPLSQPNFTPGQNSPLKASARKGKLAASFSESALKASSTPSFNARI SLLQSPAKRVASPFKGFTPKRSALFAPREVESTTPRDEVTHEDGDVQAASTSQMEEPL RLGELDEAENADEEQQEQQEESADELDDEYDHDVRDHDSQGTIEEIDEGRDAEQEEQP GDHLAHEADDHQARPEPQSPFMVDDEMNEEQDRNEKLAHETERESDEHVREPEPQSHA ETDEEMDEGSGLDAEPETRDDEDDAADYAPEAPTYEDLEREVADEPSLDLGEELAETF AEEYAEDDYEALAVQSEEHDYDDAIEGHHQEEQNDDAEEADQLAESVLQDFQNLTEEH QEPQTQEDDYVDDRIAALESEVEAEMREADFGPVSDREEESEQETDDDQPEVPAEQDV PEEPEVPVQQQFPEEPQEAAAEDRPAVESEPEDLNDGDEMESNDDFEPDDDVQEVPAH GDGHGDDGETEEEVNDEEDWDVDGTTLVVFDEEQMYMEGARPSPRRMSPRRVSPERAS PRRLSPQRPSPRRVSPRRASLRAPPRRVSRQSIQVELEPSPQPSPVHMESASSPASAS VVDNEYRDEADQMDSDEEASNLQETAHNETNTFDTPEPNVPTLNLRSSIHHSIFDNAP RFTPLAHQFSQWQTGTLQEREGSGSSRPRRGIFSIGGAPRRPSSGRISVGLDVSYPDL GRSLGSRKSSLANATSQEDVEDEEDIEPVEQEQEQEPEQVVEAEPETIEDDAAKADIP QQPATEIYTDPEPEVEAEIDERGEDLPSQHASPAPAPVRDQWDDDKENENENLPAPGP VTPMKNTETKISPLHTVHTVSKVPLKPEGQDSPLKMPLSLKRRRSSSNASPTRASPRL RKSSIFAPALAAQEETVPEFSPRKVPRLMEHDSPARRASAHIARSVERRQSRASIGSK APSRSSSPVKSRRTSEFSARSADSRQSRPSTKMPSRSPSPIKSPRKSIGSNNGVLTGA VVYVDVHTTEGEDASGIFIELLQQMGARCVKNWAWNPRASLLPESAVEQHKDGRVGIT HVIYKDGGVRTLEKVRHAAGLVKCVGVGWVLDCERENKWLDETDYAVDSTIIPRGGAK RRKSMEPRALSNVNGTLISSSTAAAARRKSGITPSSSEEPHTPTTTRTRKYDTPSARD RIYQTPKTPGTGYGFRFNMDDYAGMSPATPFYLSRAKLVQQTCPPKQTRQGIFGGDDG DLGMEGDVGGGGDSESSRRLKMRLEAARRKSLAVKP ACHE_10899S MPKPVQITFEGVEAWEDNHEEVNKLLEELTGYHDYPSTRSLPPI IFGASLDEYGIERLKSIEGVVVHVSEDDD ACHE_10900S MEAKQKYNKAFRELRNEKQRQRNGQIRNLERYRNEQPMMFLKRK GFMSPQHLMVIDPTLTLPGATLEGEYKRWINAINAMTAFCLWKRGGPRLAPANLTAGL YLTTTNPVLLPNGDSISRRMRPRLSCIIPTTRQYIQLYYLDSIS ACHE_10901S MVNNWSIREQLNVYDPLSGIPTWITDGNVCLRGKAIEFSPGTQI YTLSAVCASSFSPDRTMTIKTGPEHSITVFQINKSLRCISHHPTLTPYRRRVYCTLLS IPPGRWTTYSALATYLGSSARAVGNAMRTNPLAPEVPCHRVLAVDRSLCGYKGMWSGG RRGNLEEKRRLLETEGVEFGTDGKARGVCFEEFQDLGSRRNG ACHE_10902A MPWARSTSNFSDFALFIDSMSTPYGNNPSMICFDQPILNLSPTP LFGALNSQPSQAQWQDPAAVSSDPVMVRTSESHLFDEFTSTFPSFKPSQSAKSTRQEP WKFTQQDWNHFWAEIQVFMPVLPPGFFLPSRHTMTRYVATYFSGFHRHLPFLHLPTFS PTKCPVDLLLAMASIGAQSAFDHNNAVMFFKASFGIVQERLRYRKAERCERAFPTEDR LSTESQPNEFPPERSHIQELQAGLTVNQNRNDRFDMVPLAQTLLVLMAMATWGNSKAI FNEAVGLRNSLACYIREERLLDPQAPEDTTWHLWIQAEGFKRTIAIIFCFFIFHTIVY DTPPPILNSELKIHLPSREKDWETQSEIEWQKARQESEPEPHFQSSFSLLFSKQGDED PEECSSLGGYILILALIQHIYFLRETSKYNFGSDRSLSPTDVTNVEQALRNWQSKWYR DPESFLGPGSPQGPISFNSTALLRMAYIRLNVDVGPWRALDTHNPREIATSIYRSPHL TSNHRLTRAVLYSAHALSIPIKIGVNIVARNQAFAWSLQHSLCALECAFVISKWLIDV QPRASGAALNEDEARLLVYITDMVTEADAGGGGGPYGSDLCTRVIKIWAKLLSGDAVW DVVRMIGKALDAYGQILEQGPAMPCREGFDPARMPT ACHE_10903A MDNWTPGSWKSKPIKQDVVYSDSDGVQSALDKLQKLPPLVTTQE IHNLKKHLKNVALGKAFVLQGGDCAELFDYCNQDMIEAKVKLLLQMSLILIWGANKPV VRIGRIAGQFAKPRSSPMETINGIEMPSFRGDNINGFAATPEARTPDPSRLVSAYFHS SATLNYLRASLSSGIADLHSPLDWGLGHVITPSIKEKYERIVTRVKDALRFMHTVGID TDRGVETVEVFTSHEGLLLEYEQSLTRLQRNPSSSSPSDSSHYATSAHFIWIGDRTRQ LDGAHVEFFRGIANPIGLKIGPSISPEDLVKLLDTVNPTREIGKVTLISRYGASKISS HLPAHIAAVQASGHIPVWQCDPMHGNTQSTPLGIKTRHFSDILSELRQALEIHRAAGS FLGGMHLELTGEAVTECVGGAGGLTEEGLGERYTTFCDPRLNEKQALELAFLVAGFYR EWDEEKEEGVNSI ACHE_10905S MAEPADDQLQNLPANPEDRKAAVALSSLNDDLGSRGSSADQEAL GKAMGRLEIAAGQGGNNNAGASAGQMKQKPEVKKVVKFKAEDVNLLVRELDLSKTKAT ELLKANDGDAKKAIQAFIATV ACHE_10904A MGSSQSREHAGHAETQSQTQSYRDRDDDTVTDDTDSRSFQYVAP IPEPTMNDDDDDIDDPVRSNGNEAEKQATWMSLPKKSQLAILTFARLSEPLTQTSLQA YMFYQLKSFDPSLPDSTISAQAGMLQACFTAAQFVSSVFWGRLADADAVGRKKVLLIG LLGTSISCLGFGFSRSFVTAAVFRTLGGMLNSNIGVMRTMIAEMIEEKKFQARAFLLL PMCFNIGVIIGPVFGGMLADPAGSYPGLFGPGSVLGGKDGVWWMQQWPYALPNVLSGI FIFCSMVAVFLGLDETHVIARYRDDWGRNLGRRLARSVSRNRIPRYYRPLTDQPEDNS LYDDGDENESAPTPTPPIRPQYKRPTFRQTWTRNVLLTLLSNFLVAFHTSAFNSMTFT FLPTPRAPEGSWHGIFFGGGLGLPSPRVGLATAIIGVIGLPLQIFVYPGMQAKMGTLS SFRTFLPFSAIAYALAPFLVLIPRHPALVWPAFTFVAALQVISRTFALPAGIILVNNC VTNPAVLGTIHGVAQSVSSGARTLGPFVGGWGLGLGLQYNFIGGVWWFLALEAMAGWL LLWTIYEGRGIGR ACHE_10906S MDPFQELRNEFSSTIRALQNEIESVKNEPKPLQRPKPCLPDPEK FNGQSLKFDTWLASMKAKLRIDAPAIGDAVAQFYYVYLNLESKVQALVLPQLSYAEDT NTWDYNTILDQLSLVYDNPNKVQEAEDYLLVLKQDSGESVAAYIAKFERILYEAKGKD WPDVTKISAFRKGLNPTLRGRLNQQLNLPKSYTDFLRVVQQLGSHSFSSNSTNVSHSQ SHQSGSHTKSDPMDLSVININSLSAASTSLDERNRRRQQGSCVRCGSSDHWVKDCSMK AHKESNKIWNQQMIARLEANRLDDLNDLDD ACHE_10907A MDSSSTSPSPYAFPLRPTDYAPKPVPSIQEWRQLWKAWELVTLK MIPNEALHEKPIPLRNELIFYLGHIPTFEDIHLSRATKEPPIEPVYYQTIFERGIDPD VDNPENCQDHSEVPDTWPSLDEILSFREKVCARIEALYQTDKPWSDRTIGRALWIGFE HEGLHLETFLWMSILSPNVQSPPGVERPDFEGMAREAAGQRVENQWFPVKARTFPIGI NNAEDDSGDGYFAWDNERGPYDAVVHGFEAQARPVCIGEYAKYLVETSKMDNIPVSWT PMTMPLTNGHGSSGDAVENFIEGLTIKTVFGPVPLKLAVDWPVYISYNDAAAYADWAG ARLPTLHEARSIHRQVEEGKATENNDLPRDQLPTSSREDIYIDMTGYNTGFNHFHPTP VTHKGNQLCGQSDLGGAYEWTSSNFTPQPGFKPMDIYPGYSADFMDEKHIVVVGGTWA LHPRISGKRTFLNWWQKNYPYPWVTPRLVRDV ACHE_10908S MGAPDYPIITTPPILKNQQRISLVVPHYNVGHNVSLFFKEAEFH DTVEKYLQFKEFTIDEDVRRYISSKQMDIPVSLEGYPDLWSSITASIFIVLV ACHE_10909A MVATENDIEKEQTPPQLDDFPEGGVKAWGVVAGAFCVLFCTFGY LNAYGVYQNYYQGHQLSHESSSTISWIGSVQVFFLYAGGVIGGPLFDRIGAIIIIPPA LLLVFAVMMTSLCKEYYQFMLAQGILGGLCCGMMFAPAMAAVGQYFRTRRALAMGIAV SGSSLGGVIFPIALNNLIQIRSIAFGWGVRIAGFIILALLAIACVTVRRRLPPRKGNI LVLSAFRDPSYVLTIASLFFLIWGMFTPFFYIETYATYRGMSPRLASYMLSILNAASI VGRIIPGILADHFGNFTLLFISGTCTGVLLLCWMAIKSNAAIIVFAALYGFFSGAIVS LLSPCIAQIAPHPSLIGAYLGMALLLVGVSGLTGTPICGALIDGYGWWAAMVFSGVAV FVGSGLVLVTQVTLMKKKRG ACHE_10910A MMKFLNTLLFSGLAVASTQPNILFILTDDQDKHMGSPDYMPQLQ KQIVQKGTTLPKHYCSTALCCPSRANIWTGRMPHNTNITDVNPPYGGYPRVVEAGWND NYLPIWLQEAGYNTYYVGKLWNAHSTTNYNNPPAKGFNSSDFLLDPYTYRYYDAQMTR NGGKPVSYQGQYSTDVIADKARGFLDEAIGHKDKPWFLTVAPTAPHSNGSYDPSRDTN WFGEPEFAPRHAGLFPDYQIPRDASFNNPIQGAVGWVKDLPELNETLIQYNDEFGRRR LRALQAVDEMIGSLIEQLDKAGVLDNTYVVFSADNGYHIGQHRMNPGKNCGYETDINV PFAIRGPGIAENATLDVVTSHTDIAPTFLHIAGAGGRPGLDGKRLPFTQEDTRDAKTE HAAIEYWGLAIPEGIYGHYSNVPAEPDTQFQNNTYKALRLISDSYSVYYSVWCTNEKE LFNMKADPHQTVNLAANPQAHQDFKIANRPIGQIIPRLDALMMVLKSCDGDACRKPWQ QLHPAGAVANLTQALDEKFDGFYARQPKVSFSSCANGYLISEEGPQEFNKYTGGKGKS GTRRNFLPL ACHE_10911A MDNTTGSFASFGSDFDPEHEALQSTNHGNNSPRLPNMTSNARKN HNNHHSYDGADDEEPDYAINTSAIERAFPEFSNAGSSSDDDLHDDLLDDELSVELGRG VSSNPTRHMEDSRNSLMSFENSVRSSSPAVRLDYPTSHTPQKSAMRAQPRRAVSENLR KDAQVRRASQAQKENIKPQSNAPKRTLSEMHARARDPYDISFTGDEQRPSPGRGNSRS TRFGNANLSHQIAEAVERASREVRPGGKLSNSTRSVAGNGAGDTFTHQSFLLPDLPNL SELVSGVYEDGTPVLPSHKKMRSTRFVSPSQDPDTSVSRQHLPLDAVPVPDDEKALFV SLRVLQERVAELEHAKSDAEKKIQDMRQENAFLKSDRSRPKDKYSRRDYDSEEEYRRD SRLASENQKLEATNLALQNRLDIIDRKAQISESTLNKLTHERDMAVSQLGVAYLEAQE FKNQNEKLRRENAELKSQLARSTNYTQGTRDDTGRTEQSVATDEIDDDTQTNTQRSAD VSRNTRDLTTRSTRSRLKPTRDEDTRSKISTQVDKEISRLEKERAEEALFSLDVPRTR KQKSESRSADRSDKKKPNTGKRVKRVVVEEVDVTDPVETTTEEVTRHTRKLSQGEQDL TLLSFIDEREIAQLRKTLEEERLARKQRLGLPKEPTATHETSNTTRQSSRAPVPRKSS LKDTSKAPPRPTSAIGDVTATPKASAPEDGNLTVPKERQRRHSDNGPNFVSPRRRRRD MEEMTSAFILPDITIRHADLAAQDPSKLPEATQRALDGIAQHNGKNCTVCQRIIPAGS SCDHTHEVQVPKPIPVSERMPEPTVYNEEPTLRPAQDPPIALATVLKALEDELSHLKM QLATYQAAYNKLDASLGKRQRKTLGERIEKLLKDVDMKADQIYALYDVLEGQKQDGRE MTEREMEQTLQSIGIDNSGERGDVTASTHKSASARKNTEPAVEDEDDDDELPWEGIES TMDVTGRSGR ACHE_10912A MYIPPHFQWLEARQGANSGADSQGSPDSGNTDPGKDNSGGMSAR TNAAIIVAAVLVFAITVGVILFFLLRTLRRMNYAPKYIPGKRLKDKWNGWNAGRSYGQ LSNGSSSNQTGDTAYHGSGRGGGSEMNTTDNATNGVRRETSVRSVITLPPYSANPKPE EQIIAREGEREGMDVVVEFPETAEEEEARREELMESLYQIRVQRRQEHAEREARRQAR REARQRGDFIRLEELRLQSTIRDRSRSEARRNNSRNQSATTLLAEHQSRGRDRRIASV NYAALGRVRHDGSRLRNNSSDSDNRPLLQSSGDGSTGELAHYRGESYSSLVSGSSVTS DGDTLTPVVSQRQSMHSARSRSNSRPESIPEEELDTTGAPPPPEYDFLDWGEAPAYSS PVVAQFGSDARGSRNLTGEAGQTTGSNENETRDEQDETAQINETSQSTERSRPPQLPQ LSLLPTIQVDVASPIGDLTPTTPTMPRPREEQAEHVSEHTSEHTSEHAPEHPSQQPAE HSGNNASDTTTAASHQNS ACHE_10913A MGSNRWPTKGTSRPERRRQHRNGWMSLFSFTTANHVLTLALATF LALLAGIVMPLFAIVLGNLFNTFMVFGAGSISSQTLLDQVVSGCVQLAGLGIANWVLN GSYFLFFIIFGEQQATSARGKIFQGLLEREIEWFEGRENGTAAFLSCLQAQIHDLQMA TSQPLGLVLQYAFRTISSLVLALYTSWNLSLVTLIGIPVFSAMVAFLSTKMKPSIEAQ QSELTTASKIGNSAIISIDTVKCLNAQSVELRNFSFRIDKSAMHYLRHARINSLQIAT IRLMMFGMFVQGFWYGSFLASSGKLSAGEVLRTFWACLIAAQSIELGLPQAIVLEKGK VAAAALMGTLNYQIDAPSDETEGALYPHYCEGDIEVNNASFAYASQPERPVLNSASFF FPAGETTFVIGKSGSGKSTLGQLLMRFYLPTSGEIHIDGNPIESLSINWIRNNITLLE QKSVLFNESILTNIGFGCREGEPVGEDDVQDCVDMAMLQSTIDNMPRGIDTCVGSGGS FLSGGQRQRVAIARARLRDTPILILDEPTSALDSANRMAVMKAIRKWRKGKTTIVITH DMAQIQDDNFVYVMEHGSVVQSGYRSALEKGTESDPFAAKALHGTMRDSTNHNLTDDT SDNNTLIAESLRETSENIPDLPLKSPTAPYGRHRKSVFQELLLSPTRNGGFGDEDILD QPGIPMRRLNRARINKQKSVLMDLQDRHLSVAPFQPIDPNRRKSINPYGSQTKPERLS KQQHETSIYGIMKTIVPNLTSWQRLLLLIGFVCALCHASATPLFSYCMSELFGTFYDE HASATRWSLAILGVAIGDATVSFFMHYLLELCGEAWVDSLRKKALRCVLDQPKQWFED EANAPFKLVACLDQNGEDMRNLVGRFAGFVLVAATITVLAVIWSLVSCWKLTLVTLAC GPVIYAITRGFERTSGYWEKRCIEAGRSAGEVFVEAFSEVKTVRSLTLESFFHKKHIK AAGKCMSMGFKRAGYTGVLFGLAESTIIFVSALIFYYGAILVSLAEFTVKDIMTTFSM LLFSIGYAGTVLSWIPQIGTSRDMAAQLLRLANLPEGVSHEHKASMGISRAAPVTITG LNFRYPSRDDTFVLRDISFTIPKDSCTAIVGRSGSGKSTIASLLLSLYESPPSTSNLP AISLGGVDIRHLHIPILRSLVSIVSQQPTIFPGTIQENISYGLDINSPLRSMRNIHAA AQAAGIDDFITSLPSGYLTEIGDGGVGLSGGQAQRLVIARALVRRPQILILDEATSAL DPTSAEVIRQTVRKLVKAKLGLTVVIITHAREMMEIADQVVVLEQGCLVEQGEYGELV RRDGGKLRALVEDCM ACHE_10914S MRLLHTDTLEISQFHQIPPYAILSHTWGDDGEDVTYEDIRDKVL TTGKPGYSKIKNTCLRAAEDGLDHVWIDSCCIDKSSSAELSEALNSMYAWYQEAEVCY VYLVDVPHGSDRSVISKSRWFTRGWTLQELIAPSDVIFLDNEWREIGTKSDLREVISE ITGIPVNILLGDDPGQASIAQRMSWAASRVTKRIEDRAYSLMGLFGVNMPMLYGERER AFIRLQEEIMKASNDHSIFAWMSPETNSGPLASSPNAFADSRDIVLVDALNTFDNHAT VTSQGIQLTVPFMGVGQEAVGLAMLNCARSSRPGWFIAIYLRDISLTMRNFERVRCEE LKLVNPKSFNPLIYPSREMYIRNNRPKIKVIPERSEICVLDLQGIRTNNLHFHAAWEL SNGTLAAAIPKIDDTICGRILVSSTDGAWSRILLRRSEGRLSAEVSSIEPQRQSETGD ITQRVSSQKRLSVAIQKKLRQNNLFWTVRIGHAPPECDPYEGIQFSQMMVLDRASEDE ELLFAASHGVEQIVKLLIAQKDVKMNCKDSLGALIARAAEGGHLNVVRLLLEIGAQPE SFDIERRSPIHYAAANGHTDIVSLLLARGVNVNLKDKDLWTPLAHAAARGQDLMVQLF LSRSDTSIDIHDKYRRTPLLQAAREGHVEIVKMLLGSGSDPNSEDQDGMTPLLFAARN GHEVILKELFNTGKVHINLAGEKGRPLLQLAAENGRTEVLRMLFATGKADPLFHRAKL SGLPLQLAAKHGHASVIRELLDTQPLDRKDGAGLLQVAASNGHAAVVRELLATKGIIM HTRYENENTLLHLAAENGHKDVVKELLTDIRVDPDSKNKEGKTPLHAAARHSHIEVIQ ELLATGRTYADCKDNVGMTPLQLAACHGNQWVVWLLLIRKDVDANYEHSRGMTPLRLA AKNGHAAVTRLLLAIGMVDADVQDLEGKTPFWCAVVYGHHKVIREFLKVGKGDLDSTD GSRYPAVWHAALYGKAAVFEELLNVGEVNLESTPASKWTLLHLAVIHGRKYSVELLLT KAKVNPQPEDNEKKTPLWFAANLGHYEIVEDLLEIGKCNPSCEDKDKTTPLHMAAAKG HKEIVRKLLATGQVNPWAKDSAGKTPISLAKRNGFRGIVEEFSRIDTAGPRYENSGNT SLSRQVSEDKHQEVMEKPLETNMANAVGKRMLIRNIFNKNKK ACHE_10915S MASTLEQTTLSRGSGPRSPEDPSTGPRSGKPSTDNEHSLNNQSQ GHNATGNGNNVNRDSGHDTLGSETPAVTGEDHEKDDATGTNPDFSLAGPYNNAQGVYV SPEYLQNDPNYDKLHDEPLWSLAQSFPRVVRPGMRIRGDKGGQVVATQTGTPEEAQET AASPEKHDAEFGKEGKGEDPAHKVSKPQHGDFFNTWGRIRNIFREFLGELLGATIALL IGLCASLSRVTSQEQAGTFESQSWAWGFGFMVGIYVAGGISGGHLNPAISVALSVFRG FPVRLCVQYVFAQILAALASGGIEYGIYYNSILHIASVNQQTPAHIMDSVFYTMPKQW VHPAAAFFNEFVGTGILVCTILALGDHTNAPPGAGMQAFLVGLLIMILCVALGYNTGG CFNPARDFGPRLFALMAGYGGRLFTQQHAWWVWGSWVADIAGALFGAFIYDLFIFTGG ESPVNYPPIRRRRAFTVKKTKLKSKLLGRKRHETADIEAALGEDEHR ACHE_10916A MKLSTSSLIQSALLLAASYIPVTAASDSSESLAPCVARSPTTGL YYDLSAISLTPPESKDGEKTYKGGPEESWHARGHDYPSNFTINICAPVIEELEDVVRV EKARWKNVSAYYELDGEVYSIGQQASDPFFRGRKLVLNYTNGSPCSSDSSDDDTRTKS TIMSFLCDRDAHASQTAISYVGTMDECTYFFEVRSSVACGGMAPGPDGQGLGPAGVFG VIALIAVAAYLLGGCAYQRTVMHQRGWRQCPNYSLWAGMVDFIKDMAIILFSSLGNIF RLKRSSSGYDRMNGGAADTRRGSFINRGDRNDVDAENRLIDQLDEEWED ACHE_10917S MPSKESKSGIPIQPVPEKRGYEFGGPFGAFGIIFGLPVLIYGFT FFCNDVSGCPAPSLLHPPSLTVDALKQEIGWPEGGIAAFYDTRVTLGVLSYYLLSLLL YVFLPGHEVEGTELACGGRHKYKFNAFLSAVLILSGCGVGTYLYGAEFVVWTFLWDNY LQVITANLLICTVIAVFVYVMSFTVPSPGEKNAELRQLAPGGHTGNVLYDFFIGRELN PRVRLPIPFVSESSRTIDIKVWNEMRPGLLGWIILNLSNIARQYREYGYITDSIVLVT VFQAFYVLDGLYMEPALLTTMDVIMDGFGFMLSFGDLVWVPFIYNFQTRYLAMFPFEL GLQGLAMVLGVTAIGYSIFRGANNQKNRFRTNPNDPRCKNLKYIETKSGSKLMISGWW GLARHINYLGDWTMSWAYCLPTGVAGFVMVQSVNPATGVVQKQAVQTPEARGWGMVFT YFYMLYFGILLVHREMRDEEKCKKKYGADWDRYTSLVRSRIIPGIY ACHE_10918A MDSETLPSRIAKLVHEHFDALPARSKPTIHPDGSREWIPMTGIV IVKGENTLSERLLCVAVTTGAKCLSASQIPQCRGLVLHDCHAEILAIRAFNYWLLNES RGVLAQQPSPYVRNRQHSDSENGDWPPLELHPDIKIYMYCTCAPCGDASMELCMAAQE DPTPWELPPPAPAPATTPASTNADKDDTTKEASLIGRAHFQLLGVVRRKPARMDAEST RSKSCSDKLALRQVSSLLSCETSLLVAPTANVYLAGVICPEEEISRVACERAFGGDGR MKALNGRAWPETNTIDQDDGDQRHGYRFHPFKILSIPSGQIDTLYPFRKPSPCPSDDK PSKPKTKPGNVSAIWTIAPSHASTLPDHNPKSLPKLCGSNTGLYETLINGVKQGNRAS APLARGASALSRARLWQLVKDVIEQSRGLEGNKWETVLKASTYDELKSVSEEMEGLAK VRGHVIQDARKVLKGWVPNSGDEEWGLDVLIDPKKQKRSH ACHE_10919S MADKLRTMQNLEAMQARYVGTGHADTTKYDWTSNIVRDSYASYI GHPPLLSYMAVGMGESKEKVRAQMIEKMVRGAGNPPETQE ACHE_10920S MNTGNGNEAANSQFRPGGQASHDGDGSELPGPGSRSEESEDLGP ETRAKQGNYEKRWLFWAPIQVSTRGTRFFGSREHDREAIKISHNAIHAGYKTR ACHE_10921A MSLHEALHALGPTTWDEIPKSQPDLRAYLSTLAQQARTIIASVP EPPPSSHDDDEHRHKKEKELKHGHTYTVTPSSTRLGTSDPEMLSLQRQWGKPLKPGNS RDNPHEIPLYKLHAGDGKATWFGRRSVHDGMPFERWRGKLSSELEETLRLNEGRLENG LAPDKVVRGLGAAKKVESVDVTDQGEEGKVIGGIRVYDICAHFPKPTTARDFVQVNVS WEEGVETPENGDGGTRRCRSWLSISKPCSHPELPPQDGYIRGQYDSLEFIREIPPVAA EDRKGMTASDPNLVQHEGISESSKDGKKDKKGRKRGKTDTASEGKHDDKGEHDADGIV EKDEANPYPVEWIMVTRSDPGGSIPRWVIEKGTPKSIWGDTVKFIDWASRDDEEDGGK PSSLRSSSAKPSGMNKDLNDEDEDENEEDEIHEQEQRNGLIANFAYLLNAGLERYAPQ AILDYVPYQRRSSLSSDSSGSEEFDDALSTASTRSSLKQRSSSKARGETESQKEGSDI SHSNIAPVDLMQMDKKAGKLNSHEKHLAKLAQKKRDIQAKLEAVRTEIEALKLDASAS AGASGDEKEKETDKEKEKREKKERKEKEKQEKQEREREKKEEKEREKREKQEKRKSKK APEEPSVPETQLQSRPDSTPAPDSDSKSTATSNHQPPSSEDLPRPREKQERPKHNRTV STASHRSAAQIHKAASGLFAQESKLLKQLGKIEQDQLKEASKVEARQKKQAEREEKSR SRMEMDGMKKEVDELKKEVEKLRNERQQYVDLVASLQGENQRLGGQGGQVEGQAG ACHE_10922S MDTPSKKDWIPLDSGQVRSGLGLVSSRISERLNQVDRVRANGVG DHIALPQLAVCGDQSSGKSSVLEGISGIPFPRQDGVCTRFATEIILRHEPKDQRNTAT IIPHMSRTEDEKIKLSAFRRDINDLSGLPGIIDEVARLMGVQGTNDLADAPTFAADVL RLEVVGDTGLHLTLVDLPGLISVSENEEDVQLVGDLVNSYLENSRTIILAVVPASSDV DTQSIIQRARRFDKDGLRTVGIITKPDLINDGTESRVAKLAKNADRTKLKLGFFLVKN PRPIDLEKGMTMVERRKMEAQFFANPPWNNLGLDPSRVGIDNLRVFMQDLLDRHIERE LPKVRKDVSRLLNEINKELMDLGTERTSPAQIRMYLTRIATEFQDLVKAGVEGIYGSR DGFFQEINDEKECHRLRAAIHMANGKFANYMRQHGQKRKLISAEQQEDTDPEIGQILV TKEQMSSWIKKIYNSTRGRELPGNYNHALLGELFHAQSSRWGDIAREHVTTITDLVFR FVQSASAFVIKDTNARENICRHIVTILDENSKRASNELRKLLDDEAGCPITYNHYYTD NVQKARNNRSKQDLGTSLNNTINEDWNGRFHVSNSPDEISRLVASLQNHGVIVDMEER ACYEAQIDLDAYYKVAMKTFVDNVCRQVIERHILAKLSTVFNPMTVSSYSDEDLFCLA AESSKLSKRRVEASQLRGALEDSLRELR ACHE_10923S MARRLSYLAEKHGLLPDTQFGGRPGRTTEQALLVLSNAIDQAWY KHGVMTLIAFDLKGAFNGVNKISLDASLRAKGIPAIARKWIASFMSERYASIGFDDFR TEVALLVNAGLAQGSPLSPILFTFFNSDLVDQPVTFRGGASAFIDDYFRWRVGRSAEE NLAKIQSEDIPRIEAWAQQTGSCFAAEKTELIHITRRRSEQLQGQVVINGKTVKPSPT AKLLGVIFDHELRWKEHIQQAIKRATKVTVALAGLRHLRPEQMRQIYQACVTPVVDYA STVWHDPLRDKTHLRHLNTVQRAPLVRILSAFRTVASATLEVEAHVLPTHLRLRRRAQ YTIARLHTLPRIHPIWGALLRAQRRRNNIGSYARFPLAEALKTMGLQRLDELETIDPS PLPPWRAEPFVEIEVGSDRETAVERAETTRSTSDIVVYSDASGREGHLGAAVVALDSN LETVESQQIQVGPMDRWSVHVAELIGIFYAISTVFKVAHQPSESLERRRKTATILCDS RSALQATQNPTNKSGQRIIHAILQAATEVQTKGIALRLQWVPGHCDNPGNDAADQLAK DAARPGKTHPFCPLLSRESARLHGNILNQWEQEWRSSNKGGHLRKIDSTLPATYTRRL YGSLPRN ACHE_10924S MSWLKLHPLSSLNTASQAGSDSDDKTNESGNCSDAESTYSNECA SNHGYGTDDTLAVTQVLEQHGIPCCLVGIAALVFYGAGRVRDDWEICVPTELVGQAAE LLQSEPYATHYRLVKPWPYYSPCSLIHTYHRFKSRGINHYFFLVPSIDMHIDCDPSNF TRSPRGLPYPKLDVFIQSCLDTCDMLQLCDVIDGTNVSEEWGENNLDLEGTNDVEWAE EKNKRGEEFGGKWAHAVFAWEGRKNKREMWQSLVCTKEDRLDWTKPKDVFITQYRVIG APDPWTELSDMS ACHE_10925A MSQAERTDQSSGAKYFGKTSPKELKELESNAARATPKQLAKAAS YLEKAFSGMKTAWFGGWALKLRGSRRETQDLDFLVLVPSVVEVRTVLAQYSWAILAFY GPAGGVQERMFIDIDEGGQVVGVDIIISGAIGTPNLSESESRESIPPSFQTPQGNRVN VIHITWQVETKLTAWFARRKDSDFLDLGFLLVTYGREIAQWSQFLDRKMREDFCVVYG SYEKNKKRFEEVKKVLSL ACHE_10926S MSVPSSATVFIFRLGIYGLRNIDFLTETQTKPASKGYLTVKDNE FVNIIYHQPTTPLHVDLEWDALKISRLNCHTESNFGHDFLWVSPLQWAQLYPPSADSF YNWPRHYDFTRHSQRFMAYIPLHKDRFSLYGLTAFCSNMGLVGLGTHFCSRSHSPKTY WYGEQKGCPVHVQLENSETLSLLTVFWHRDDHLAKPYLIVTTSKQRTFTLGPCFAPPK IDTKNVFSESGGDILGLYYDKSLGITSFTSMGTVCRPRESSSSRTPNHSAPITRHSDM IYLLHTSPFGGFASQASLKNVISLKACYVGSRCKGMLLVYKGDTTCTLGQWYENTQAQ PDVQEIYFQHDNVLRFHLMQGKGNKQFLARVESLPKVFPLDTSDKCIDMVYGDDIVWL FSEYSDIIFRGSEVISTCNTDRRSSSAFPMVISGF ACHE_10927A MEPEDENEVIFKGGYQPRTTYISADDQSDTSIEYLHTEEKKPVI NANLLTEVNYGHHGSKIPKQHDIKEQQTENIEATYPIPQPTRITWPFQGSECEDVKKL EQLLQHLEEPRLNVLRQLRNVYEKKGISANRIKQFGKLVDWSESETESEDDKS ACHE_10928S MMVTPTHLLTDTFFYAIGNTPAVNLTSSVPPDQDADILLLGCGD VRNILFSIYMGMGNGRRIDFTCCDIQPEIIARNIILFTLILDDTGGDNVERIWNIYYH VIVDDASLNTLRKQASKLLKEAATATEWNKTRYGAILRFCDSYTFSQVLKLWKFYALQ PSHGQSFKKQQEKLRNSTKKANEIQRDIVGDKLVYTGLLSAAPQMNFVAMKDVANSYK TFWRTGSSKLNQARTRANRNPMFGTTDSRHILHYGTDPVIGYHLSTAYAELSEDSPLK TDASKAKKMGTCFSMAFEQFRAFTKALRKSASYLTLRFVITDAMALCHTFQHMQIHES SNSAGWYRSFRTWQPLVLDNRDYFAEGTNTVAPLSFDVIDTSNLMDHLGCLNLLTAAG ALLKQIPTSTLSTEVLVPREVNMDEFKKNLLFGDIPTVALLLSLNPVENWTGATSRAG SPTRQSQFILHWKSTAIYDKETANPSLSFESKELAGFLFQVYKRMFSNENPTGWLSGI QDRLQRQAYAHYTRSSFVAILSLIRRRNMVVWDDFMRELYNLILNDPSIKFGASYVAE MIAYLDVLGLRPMPDTELPSRLAVNSPQCPLQHWEHVPSSLCVTMIIPREKLRLFERA SSKGGPVVQMVLRDMEMDVQSFYLNIQAGFGHLRVLGERYSEDLALEIEEDRRNWDGT APMIVSAVVPSWVVLQKVDLSTEVIFALNQSLYSFAAFGNKLGLELAISKSTLASEDV YITKNRPNMSTHVSFSGISSSPSVEDVKHFSTTSSESDKEETQTQFHARLTTDQSKLE SIMAHIDILPGQLQNVLQSGAGVKAIQSSLFEVSISFDTGTLIKKVQFPTPISMNGSK TLVAQKPSYVEFIAPVPPQKEISDRPDSLYPMVHGRTFVELRTPHYVSLDRLPILSRM NAAGMSWLLPHLSSMFSLSGHDTQETQVKSGSKSGDVRVNFKDSLLALFSCSTGMNGV RRHDVLALNSPEEGGVHMLIFVSSLRLDMSCQHVVLDVAVLPLSLDTIPKMVPLLNTV KQRGFLSITVDKSELLQWKHALPAMVERCRDWNHKPSCEYLTSGKIPVSVEFGQQPLC SCGKGRFPRSYKTALPGIWKEMSKHAVRAAIAPVFPYPSLSTNSSSTNQMNRVRGKFQ GLLMALLKRLRR ACHE_10929A MLETNLRVLQLNIMKSGPRMEALINDHQSQNLDILLIQEPSITS YQTHVNHSAWRLYRPTVDTDAVRFRSLVYVNRNLSTSSHRQIRCEHPDITAIKIWTAD SQILLFSVYLPSVPLYAPDEGSAEPMLTAIQNTISIARRDDRRSTTVILSGDFNRHHP MWGGNHIQPRFIEDACELITFFQEHNLQTCLPRGTATFWPLNDPGKSTTIDLTVTDRP GLLIKCHLYHENYGSDHRATYSEWSLKPYHKPTAKARKAYERAEWDKIGAEVLQRMGP WKEIKTRPALDETVERLTEITISAVENHTPNRRPTPYSKRWFTPDLKGQQTEVNRLRR KWQESCAEYGRDHARSGTLFQEMQQKRRAWTRTIEKVKKSHWKQFLDGAGEGTLWKAA TYMKPRETWGCIPALHVDSNELVENEDKAQAFLDTFFPKMDQPLEDPPVQAPLELPWP LITELEIQRSLKAAKSSTAPGEDGLPTLVWKHLWKYIGKLITRIFTASIKLGHHPRRW RRAKIVVLRKPGKPDYSIPGAYRPISLLNTLGKLLEAVMARRLSYLAEKHGLLPDTQF GGRPGRTTEQALLVLSNAIDQAWYKHGVMTLIAFDLKGAFNGVNKISLDARLRAKGIP AVARKWIASFMSERYASIGFDDFRTEVALLVNAGLAQGSPLSPILFTFFNSDLVDQPV TFRGGASAFIDDYFRWRVGRSAEENLAKIQSEDIPRIEAWAQQTGSCFAAEKTELIHI TRRRSEQLQGQVVINGKTVKPSPTAKLLGVIFDHELRWKEHVQQAIKRATKVTIALAG LRHLRPEQMRQIYQACVTPVVDYASTVWHDPLRDKTHLRHLNTVQRAPLVRILSAFRT VASATLEVEAHVLPTHLRLRRRAQYTIARLHTLPRIHPIWGALLRAQRRRNNIGNYAR FPLAEALKTMDLQRLDELETIDPSPLPPWRAEPFAEIEVGSDRETAVERAETTRSTSD IVVYSDASGREGHLGAAVVALDSNLETVESQQIQVGPMDRWSVHVAELIGIFYAISTV FKVAHQPSESLERRRRTATILCDSRSALQATQNPTIKSGQRIIHAILQAATEVQTKGI ALRLQWVPGHCDNPGNDAADQQAKDAARPGKTHPFRPLLSRESARLHGNILNQWEQEW RSSNKGGHLRKIDSTLPATHTRRLYGSLPRNRAYLLTQLRTGHSWLSTYAKAFRFRDD DRCVCGAQETVTHVLVDCPDLRDIRRELRREVGDALSSVSSLLGGSTVGKKGKPDTVS RAKTVKAVLDFAEASQRFWSRAPRGQPNNGNGS ACHE_10930A MQQKRRAWTRTIEKVKKSHWKQFLDGAGEGTLWKAATYMKPRET WGCIPALHVDSNELVENEDKAQAFLDTFFPKMDQPLEDPPVQAPLELPWPLITELEIQ RSLKAAKSSTAPGEDGLPTLVWKHLWKYIGKLITRIFTASIKLGHHPRRWRRAKIVVL RKPGKPDYSIPGAYRPISLLNTLGKLLEAVMARRLSYLAEKHGLLPDTQFGGRPGRTT EQALLVLSNAIDQAWYKHGVMTLIAFDLKGAFNGVNKISLDARLRAKGIPAVARKWIA SFMSERYASIGFDDFRTEVALLVNAGLAQGSPLSPILFTFFNSDLVDQPVTFRGGASA FIDDYFRWRVGRSAEENLAKIQSEDIPRIEAWAQQTGSCFAAEKTELIHITRRRSEQL QGQVVINGKTVKPSPTAKLLGVIFDHELRWKEHVQQAIKRATKVTIALAGLRHLRPEQ MRQIYQACVTPVVDYASTVWHDPLRDKTHLRHLNTVQRAPLVRILSAFRTVASATLEV EAHVLPTHLRLRRRAQYTIARLHTLPRIHPIWGALLRAQRRRNNIGNYARFPLAEALK TMDLQRLDELETIDPSPLPPWRAEPFAEIEVGSDRETAVERAETTRSTSDIVVYSDAS GREGHLGAAVVALDSNLETVESQQIQVGPMDRWSVHVAELIGIFYAISTVFKVAHQPS ESLERRRRTATILCDSRSALQATQNPTIKSGQRIIHAILQAATEVQTKGIALRLQWVP GHCDNPGNDAADQQAKDAARPGKTHPFRPLLSRESARLHGNILNQWEQEWRSSNKGGH LRKIDSTLPATHTRRLYGSLPRNRAYLLTQLRTGHSWLSTYAKAFRFRDDDRCVCGAQ ETVTHVLVDCPDLRDIRRELRREVGDALSSVSSLLGGSTVGKKGKPDTVSRAKTVKAV LDFAEASQRFWSRAPRGQPNNGNGS ACHE_10931A MADPPAGPPEATPTNTQETTNFSVEDFQPRVTNIPTRVTRKRGR LNESYASEEGTGRITTREVWKLIDDLKDIIHHQTALIESTKAELQEVKHDQNVFHEQN QKLHEEVKALSAQLDTSPPAPSARSWAAVAASSGNANPQPSYQRPDKDQKCVRISTQR SFVDPEDNQANDGNAFGRYLPTDSANSYIRTALLSAPATQDAQVAGIGTTKTGYVIRF KNQTSAEAARNNTEWLNELGNNTKLVKPRFGVVVHRTPTEDFDLENANTEAITKIMEE NDLAEQGFQIEELAWLKRKDKVLGKFASLGIWFDSAEGAEYILNNGLLVGQRQIGSVE RREIKKKRCFRCQRFGHLAWSCKETPRCGHCAGQHLREHCPSGVRPRCLDCSGEHPTG DHQCLTPATFNPSQC ACHE_10932S MVVSYKLLLDTESRSERDRLRARFYKVLFYQLKDRLCETYLRSD TLDMITRFITSSRLIDCEFNTVKQNIRKWAQSGGKYDALCRDLAEDEEDVNGQEYGYL GTLFRLPDDVTDRSLKDLPNEGHPGKSRLIVS ACHE_10933A MTPTGRQNSPIPSVPSNTATKNGLRANSTYKGPPSWQNFDDHLS WNRKPTRFLSFGTWKRAMQRRKELEREGKTDIVVIAVWVEHLSGVYSAEEVAARLGYS DTGLDRRRKLWQHRDEYLVEGGIVADEYRVLAIFEGGGPERNVVFACPFYDISTTIPS GFFPGRRSNNALEDIEYEIYSHSGVRDDMKRDELVKAITGNPQFFPTIRYKYPGQSET LGS ACHE_10934S MQQKRRAWTRTIEKVKKSHWKQFLDGAGEGTLWKAATYMKPRET WGCIPALHVDSNELVENEDKAQAFLDTFFPKMDQPLEDPPVQAPLELPWPLITELEIQ RSLKAAKSSTAPGEDGLPTLVWKHLWKYIGKLITRIFTASIKLGHHPRRWRRAKIVVL RKPGKPDYSIPGAYRPISLLNTLGKLLEAVMARRLSYLAEKHGLLPDTQFGGRPGRTT EQALLVLSNAIDQAWYKHGVMTLIAFDLKGAFNGVNKISLDARLRAKGIPAVARKWIA SFMSERYASIGFDDFRTEVALLVNAGLAQGSPLSPILFTFFNSDLVDQPVTFRGGASA FIDDYFRWRVGRSAEENLAKIQSEDIPRIEAWAQQTGSCFAAEKTELIHITRRRSEQL QGQVVINGKTVKPSPTAKLLGVIFDHELRWKEHVQQAIKRATKVTIALAGLRHLRPEQ MRQIYQACVTPVVDYASTVWHDPLRDKTHLRHLNTVQRAPLVRILSAFRTVASATLEV EAHVLPTHLRLRRRAQYTIARLHTLPRIHPIWGALLRAQRRRNNIGNYARFPLAEALK TMDLQRLDELETIDPSPLPPWRAEPFAEIEVGSDRETAVERAETTRSTSDIVVYSDAS GREGHLGAAVVALDSNLETVESQQIQVGPMDRWSVHVAELIGIFYAISTVFKVAHQPS ESLERRRRTATILCDSRSALQATQNPTIKSGQRIIHAILQAATEVQTKGIALRLQWVP GHCDNPGNDAADQQAKDAARPGKTHPFRPLLSRESARLHGNILNQWEQEWRSSNKGGH LRKIDSTLPATHTRRLYGSLPRNRAYLLTQLRTGHSWLSTYAKAFRFRDDDRCVCGAQ ETVTHVLVDCPDLRDIRRELRREVGDALSSVSSLLGGSTVGKKGKPDTVSRAKTVKAV LDFAEASQRFWSRAPRGQPNNGNGS ACHE_10935A MDTTVSSRPPLSQRDDTEHPVEKCRNYLLKRGMTSADMDYLSSK YNQRCISIHDNAKFFDILKGLVETQDCAQITIDDFKVIEAGLQKINGELEAQFKACRT TILLNHLSYLDTHLAYLDIKKLRVVSQYAWDFIKSDAYINRINYIMEVLPELLKNLTP TPIHPHPAAEDQCTTQIPNSPKKRVRKPRAQRLNAEPRRSARIKRLQENSKEPKSPRP SRHKNSKGRQFRQKS ACHE_10936S MRLHSDSLLPHFTLRISLVELASISIFGFNFPKILDFGARYRMS FPLTPPPDTGKESIDTPIYLIPLSKSTCSSFRLQTNQKRLAPSTIPSHISDLEDLGPV WRAGVSNALRLAPRLEITRYTLGSDNNSDIVIKTKTEDECWVNSQHCQLIPDPDGAAI TVSNTSRTTFTVQNIDKRDPELEILPKRTISISHSNWYLTLGRGLKILVIVLPPHPDI HQRPLIHRRSKNPTKPRLNDKTALSSTPKRIPKRKNGRIVRPKKCQGHKTHESVAFTR KINIPIPKRGTSGESSRRKEIITSTDKTRVFKSQRCGIVVAAKEFQDTRSNSAAKVWE NEKAILEHLKGHQHQHIANVVDHDEPLRILYLDYIAGIDLEKRVTPSGFSTITEVQKH IIWTDISSALEFLHREGVIHNDVKPPNIVLSEERAVLCDFGLATMGPEEHYWGTAPYV SPDILVDEKRSEPGDIWALGVTMLFVIGLIPLPKRSWVIKDITNDKSRALLSMNRWLQ EIRQTCVRIPEKFSLLRRMLTENREERITATQLSESLTHRSHLKVR ACHE_10937S MPHRDFCHALCHAWCPNSGSSTSFLKTDLPLVMQDDIAELRRQL DEERRAREEERRAREEAEQRQEGERRAREEAEQRQEEERRAREEAEQRLQPNSLFRLL DRCHESLSQTIRVETNATLTTQGNVTDPVNRYFPKRIVPWLDFPQIQEQIWKKLERAV GFTSRPLFPSDTQLDYVATNVQDRPIYSEATLRNFERDTVDNFVEKIVEALRGDETLQ REFGIEGRVAFYDRTNSTSLDDNLEMHLDNAPRQPTNTSRGRGRRKGKGKQMGQSQTR ARSRRRRNRRADQFCVHVVADERQIPVYAVEFKAPHKLTVAELVAGLHEMDLARDVID QEGDTFEFHTTRLVAAVVTQIFSYMHDLGIQNGCIRTGEAFVFLHIPEDPTIVQYYLC FPNQDVQAGDESRLHRTAVGQMLAFTLQALAAETPSQEWHNTAHEQLLTWKVEYLDVL RDIPETIRKEPPPSNYRPSYWKPNPKTHNTRSYARCNPGVSTPVGSPSESSDSDEDMP SPSTAPAARSRSSRGKGKHLSTGRRERAPPDRKTKQTPSQRVQRPYCTMACIRGMVNG GPLDKKCPNWQHHGGQRHPMGPQEFTRKLHRQLVQNRNKGFEPLHIRGRTGYLMKATL LSHGYTVVMKATTKENQHALKTEVDNYRKLRSLQGYQIPVCLGDFEPSIAYWYHGQVM AHMMILSWSGTRLQRIIKDENLDFFHKERKKALKSLQKYGVEHKDKEWRNMLWDEQTR SLVVVDLEDMEWHKRPRPFQPTPGNSLGRRIVLRRKNERRRLSSRAAVCTS ACHE_10938A MASNDIPDYKKLFLEAEKQRKQEEELRRQEEGLRKQEEQRRKQE EQRRKQEEQRRKQEEQRRKQAEEEQRHEAELRRQAEEQNRPTTFGELIRYGHNIIAKS LTIANQSRCTSGKISAPIGKSCPVKLRPWTECQTQQEEIYRSVCNHLGLTGNTARRAF PPLVALEYEGQNVKERPISSERDLEGYERSAVENHVRNIITELCKIPTARDEFRLGDG VQFDSHANSLDLQTNQPSRSGSSRPDQYCIHRIDDGTSTLLTTVEYKPPHKLPVESLR RGLKSMDFWGQVVKAHSVPNTEDEKAERVVGSVIAQEYHVMIQEGLEYSYVTNGLALI LLRVPYEDPGTLYYHLCEPNEEVNSEDEQSFLQPATAIARVLCLCLMSFRSRPRSQQW RNEADAQLPIWESSFGSFDGAWSPVSEFESPQHTPNSKRTYPSPKSTTSEFLPPSSSS AESPTAEGRRAPTRSRPGCSPSTTTYHDESSDPDSDFEASGQKGQKRGLSEISSSPVQ RTVRRAGSRHFSQSDSQHGRHDADFCTQRCLLGLQQGGRLDDDCPNVMLHKQGGDGRR HTIDSTTLVQLVKRQLGDNIDRNCTPMGDCGASGAPFKVTCAAYGYTVVGKGTTSCRW PELLREAEVYHVLQQAQGSAVPVFLGAIDLKKTYFLHGAGAIRHMLLMGWGGKPISSI ENMPSCPESNREELNREISRSVKNIRSLGVFHEDLRPDNILWNAELRRALIIDFHWAR LDRRPKRKRLLSCGAEARQPKQRRTIC ACHE_10939S MGLTESKIVLERFSAACFEADCHRRDDIRDLLSDDNFLRLLNNL WKQIPPVSKKRIIKANRRKSAKYATPPKVDNMSDDVPESLMKFIPQWKNNIQSFFTEE DALDLNLDHPVAESYRLLLQLENRGERDTWRTRFLKVVFHRLMKQISSGQYTQSADVT RATTIIKNSGIGDNSEQIRERFIAWGKAGQRLELLCTDLLDARDRCDDGRKKEEHLGF LFRLPKYMTDNYLRKLPKTGVTRNQEIRRLKDSGLHAGTQYSTLSDLAFNIFHHLWGF IERSLAQEAFIIQEGPILSRQAVSRSRSKRSACGTADAGRGNTPLIPQPLSGRLLNYQ AENKGVDEALHHNNTPSAAFHIFEEAGQSPARPCWDSPNTGLQANRQNELLPSMMVDG FNPRQNIHPTLLDGFAIPSAESTTVDAFDPRQNIHPALLDGFAIPSAESTTVDAFDPR QNIHPALLDGFAIPSAESTTVDAFDPRQNIHPTLLDGFRVPQDDFPISGEFTLPVPRA SDQGMSNSPNCSGQDAQNRRFNAYPSDGVNCPEVSSQPHFQSLLAY ACHE_10940A MNRPLSEAAEVLRMKIKEMIPGAVEYDKSQLDDKSQPPKDIVFR KVGGGIKLYSGETLGILASHLVNEMNLGEGWGWNLAHFSEPKPIINNSKRMYLVPLSE GASLTPGGLLPEKSYTYITDQPTISSDTTVIFIASV ACHE_10941A MAISFFLTVFSPFYNCFGYTGEASNSSNPDALAPVFEDGPTQID GCSGYRRHYIKGKLPNNKYQVACQMSQCYFNSGGDTATLNWIEYIIIGEGELCRSKRG KELLDDYLLCKGYHIVCL ACHE_10942S MDNSFSRDSWEHHTISASAIYSNFQNEGTRPRQPEHPDNSSFKK EGNDEEERGLGSHVSEVQNHTVLSAEPAQAHDTKREQLVDEVSRIYAGLKMVENKCIE TTKQHTESSNRLPDCQWQALIYLHRILLQEHHDFFLATQHPSASLALKQLPERYAMPA RMWHYGIHSFLELLRNQLPDLLECTLTFLYWAYSMMTLFLESVPAFEDTWIECLGDLA RYGMAVEDSIARDCENWAGVARYWYHKAADKNPNFGRIQHHFAVLACPDMLQELFYYT KSLVSVDPFPPAGEKIKLLFRRVQNEPKPDNQPTVAVFMTIHGKLFAQRPLSGFKTLM NAYLLCLDKFIGQLGSEFKMHGVFIASCNFAAVFQYGSTDAVLSNEFKESLAQEKTSL TSSKNWTPVENLDTIEAEFCEYKNSQSQKRLVYYGAHVTFKTLSALLDQIGNKNVFPA VHAYLAFIWCMTRNNNSIRHIELVVPWRKLTVFLNAMIWSNIDFRVMERDEIPIVEDR KCFPEDFLFRGQVWSQRYFSADYFEGALAEDDGRSVEVLSLTVARMYRCLWLGVQLAK LDRWIIYDASTSPKFSVTQFTLNLENRARSFDL ACHE_10944S MDNHLEWWTLPDDGITSDMESLGYEIHKVPESLEELREIEEEPS DENPEYSTEQFSYWKGGLDCFEFVMSEPVR ACHE_10943A MVNQQTRNRAVEGKALLDYILYELCNAAEFYSVDRTPVAQHISQ SGTEDPSIVVLETHTQGWPTLEDLFKRLLALRLLALRFEEWLESKGHSEATYRSGCPR HHGQRGAFIHDQHLPSKCRRWIESGQKLLEIERRTGEPGISLVFILVLPKIQHLYRSA VEEVITLLQQDSYQPVMLLAHDLGDDIRRYFYFYCYSINKKFTLPSKRSEPTTTHVTE LHRDTQARVQSYIFINCGA ACHE_10945S MREVEVSIGNWIDRLWSLQVEQGSQLRDILTSMTKEVSLMLPQN PIQPASQDQVISPMKKPDTPVNQEIREESTFYVDQDINAASGVEGGLKNDDRELSMDH ITVVHKLLTWPSIKALLLRLMKYRDNAEPEVDCGLVRGYGRGEGNDTNEGSQQGQKPC MENMYTLSWDEGLLPTGSPSGATWKAPGKTTPINPSTSVITTEHGIEESGTFTTDPDT VRRLQCNYMKHVHKLHPFLDQKDLEKKIDIFIGIYCPARTTDSHNSSRGHQKGTKRKR SKRIELSVDNAVILLVLALGSINEYHDCAVPCPMPRCCCKERNTGIIRGSKYYGYAAQ ILGSLQGANCLPHVQAALLAGLYVGQLAHPFQSHGWINQAARACQVLVRPGRYYEMND GLMKDLCGLAYWTCLQLESDILTEFDLPASGISRLEGMIAVPKGLTLNLSNETDISSQ KMMFVYSARIYLQKILNKASTYLCKVGKQPCSLFKVQRILSINLQQWRNSLPDMMQWE DSGPSSRDIDIVQMRVKYYRARYIIHRPLLYHALHIASQPESVGTFTAASGMSVSHSQ QVSSSMIHSQQVTSIARLHEGSGPVQSSTTTPVGKWTSLTFRDLSPALQQTCKICIDS AILSMKTLDGIESRPVESNIFGTAHAQFGNMLVLSATYVSSLSELVDSNVLKQLLKRT IHFLRQRQHILPSLRADAKILMKVYEEIFSEAPVSISFV ACHE_10946A MATLESLKSDLVHKATATESSLKQPLSDTQYSAGFDILLQGSGW ITYQDFIIPQLSQLLAPLFDSRTHISVLEIGPGPKSVLGYLPGRLRRKVKRYVAFEPN SLFATRVEEWLCSEADSPLPCLESSPDIHRIPFALNRKTESGTGTGTRESEEKFDFIL FCHSMYGMKPKAKFIERALEMLVERPEGGMVVVFHREGTLQLDGLVCHQMASFPIGAV SIANNDEVLDCFASFLAGFVMQGVEADKAIRVEWRKMCRALGRREEAHPDHLLFSSPN VMAAFTQHTTTLPELTAQVPLSMGNKVVKNREACLHNPASIVRPTEVQHVQQCVQWAL KHGVSLTVIGGGHSGHCLWSNVVSVDMAAFDKVHILAAGDSGGKSGSKSVSLIVAEAG CKTGDIIRKTMEAGMTVPLGSRPSVGAGLWLQGGIGHLARMYGLACDAIVGAVVVSVN SSQALCIGCVPSQHWPAGAVRPENESDMLWAIKGAGTNIGIVVSVTFKAYVAPTCLTR NWVVPLSNNQEARLKLSDFDNFIARKLPRNCSADAYLYWDIGQLHLGVTMFEYSTARL TSGTPTPTSGPVDTVLGQKDNFKVVDGVGLFEAEMYISGMHSGHGEGRTSSFKRCLFL KHIGALNIADILVAAVETRPSPLSYLHLLQGGGAVGDVAAHATAFGCRDWDFACVITG VWPRDQDGTEVAQAAVQWVYNVARNLLPLSSGVYSADLGPDPRDAALATKAFGLNWPR LAHLKHSSDPHNVLAYACPLPKPQIEQKLIILITGENCAGKDFCADIWVSVFLTCDRK SLRARAVSISDATKREYAAATGADLDRLLWDRAYKEQHRPELTAFFQHQVQHRPRLPE EHFLEVVRGAEDVDVLLITGMRDEAPVAALSHLVPHSRLLEVRIKVNKQSRRARQGCD SGDYDGDDNGDNNSGGSKLTALEYRPSFIFDNDTIGNEAAKRFAERYLLPFFHRDLQR LADMVHPVPGFPRPDIEFRHVLNISQQLGGLALCISLLQTHFTGDWAKVDVVACCEAG SFVYASALASRINIPLALIREAGKLPPPTVSVLKSTSHISSSTSDNSKETIEMGRDLI PRGASVVVVDDVLATGKTLCAVLQLLDKAGIGAENVSIMVVAEFPVHRGRELLRQHGF GGVNVQSLLVFGGA ACHE_10947A MTINSTIQNDGAERGTNVEDQVLTQNKCLGKGERNEIKRDDAES QKAMAEAAKKLLQYIGEDPERDGLVRTPDRYAEALLFFTKGYSEDIHEVVNDAIFEVD HQNLVLVKNIEIFSMCEHHMVPFMGKIHIGYIPNNRVLGLSKLARITEIFARRLQVQE RLTQQVANAINQVLQPKGVAVVVECVHMCMVMRGIQKTGAMTTTCSRIGLLQDDQETR EEFYALLNVRQ ACHE_10948S MAGRSTSVEIINPRSPSIEITNTYINNSNSHVLPWPFTVEILDN LLLLKRAISDLTGGGN ACHE_10949S MEPEMRHYGVADPYQRSNEFYKDEFLCLWEVTESEVVGYWQWND LVSNSCWYEEIVSPAFEKHERSFVRRKDGEALNMSTLRDALPDDTWPSFESDSPGPYT DWDTNSEEHEVYFLDEYDSYDSYDEVEEANATDDMFKVLEGDW ACHE_10950S MDSKPISQGLKNIVEKMSDLIAEEKTERLREDTGGWLYGGQTFM GLVKDLKTEMENQGFNIYSCAVRHFFKGANILDLPTKELLIIPINGVATLSPGGQLIP DTYCSLKDQPTLSGNNIDVIIVILEKGRAET ACHE_10951A MCNTQFPEGGCLPSEVYGLQDINAIDYDYNALLDGDNVSRLINN PSLQDVNAIDYDYNALLDGDNVSRLINNPSLQDVNAIDYDYNALLDGDNVSRLINNPS LQDVDIHYVNPLWNHNFSISQSSRGHR ACHE_10952S MLIMDSNFSSPPSQSFFPPPSSSPSPPLSVSSTLPSATRRQYTA LIMLGPCTYERGNYRCQCTQGIVPGVNTSNLNDAQTCDTCLHPIAMHLDYAPPGPSAP STATALAPSSSASSSNIPSKITEPYNLSSWIYPRTKLVNELIHRLHYYHIIRVRGTPA SGKTTVMNLVVNQLLENYGKEKPIHVLTDWNESKVDGKGGWGAYLKHKTGVHGNQWLT YPAYLVIDEAQVSYWDAELWTDFFKRIVPLVSPYILLFTSYGSPGRGFVGFSEKRHTQ TPLIFAPEQQISLRADENIRYYDPWRADLSLTPVGLLLDQDEALEVVTLITKHMQPRP SLTEDLKKGLALFSGGHIGLLESLLRALHGVSDIYDHVRKGRMLYWKTVARALFGRPR ALFGRLSGQPFARGLPRPDQVQSSDAIRVFRRAILRDGVFRSEAEKKDRGFQQALENI CRNGWLHEEKLGRDSHYVFASRVHWWYCNMLYMATECDNEIKHSTPLDLAIDAIRHFR PSQLADAPRSSTTPAEDQYQKEFYRCLIPILSGHLILSPEFGIGEATKGGGMIDFFIE QKNWGFELLRDRDRLVEHMKRFEPDGQYYSMIKSGEMKEYIVLDFRISQPTKARPEYA HRLYHVVFSERYRQVDILEGGTLSSVSSFTLLENPDPLD ACHE_10953S MLHNTAPVGSASTPQYIWRSTKSSCLSHHSQLGTAVFTKPASSP TLDEAQAALETAVNYFQKQKMRLDPSEWVLLGRLMERLNRTKGQTSRGPVDCDGSA ACHE_10954S MANRLGNNRAEDAESFLEKTEKKLDSVREFFHVDQTAIIRRIHL CEGQDPRIVFLSAKEKGRSTISNQFKRLLAVRFLALQYEKWLMHTTGEPLEAALCNNR LHNHGQWKAFIRKQRLPGKSQCRGWVESGQKLLKIERETCIPGISLAFIPVLPRIPHL YNAELENSINSLKHGYPEIRELAQDLEKFSDYFDTYSKYICRQQEESHPKREIAQEES PIPAAILA ACHE_10955S MSISHHLPSHLSDSKSWTANPTRPHCPTGLKTELEHLNPDSSPA TIAAILNQFPTNFNAPYVHHRLVTFPTDIDRANWSAALPPGDADLRDTFSSWWCSPKK NKSTWIGLFSTWTSSYVGDSNWDKRSWHVWGVAAIKSQEGRGKYLIIWDCDPRSPYNE EGEKHDIKRPQEFMLGTQVKLLEFLQQRKNGRKARAQINAVFYNIDTSLSGQDKCLEH TMRWVVEMTEFGDSPFKGFDHNSNSLDPRTDGCLRILRR ACHE_10956A MEPKISPELANVDIALGDPRVIGLYGVPGCGKSYLMKQLKQELG ESDFQYFEGSEVINSVTVGGLNAFKKLDEHQKNQIRKLAINKIKSACAQGGNIGIVTG HFMFWDDEASEQPLRVCTQADLKTYTHVLYVNTPVEVTAKQRTEDTEKERLTVSIQHL HRWQETEIQEIRGLCRENDILFATIYPNLKGKLAILVRDFQRHDESHNGSVAEQILDE TISVHYDELQTVLFFDADKTLAADDTGVRFWKGIKETKGEDDPLSALFGGPLKYSYTA FRQAMLLYEESTNDDEFEAICEELAMYTQLYPQMSALLHQAGRYNHVCPIIVTCGLRR VWEKIMEKAGLSNMIKVVGGNRITDGLVVTPSVKESLVTRTQRVHAAYTWAFGDSPVD LPMMIAAHQAIIAVGEQQNRSKSMEHNLLTAMVNDGLQARQALLPNDLSPPRLDSVRL PVVNLTEKLFLDSIFQPHKCSGGLRLYHATDSNAAKLLSTPMRDDNIRGPPQQKAHSK AGSYLAIRYLVELIGVEEFTMRHPQKKYIAGYRLLGEEQTLIVPLMRGGQPMASGVNK VFPKAQLLHAKEPKDMKKEHLEGIVTVILVDYVINSGESMVQFVRHIQEMHGTIRIII VAGVVQDQAVRGCSPIRAVARSTELTVVALRLSGNKYTGKGTTDTGNRLFNTTHLD ACHE_10957A MLETNLRVLQLNIMKSGPRMEALINDHQSQNLDILLIQEPSITS YQTHVNHSAWRLYRPTVDTDAVRFRSLVYVNRNLSTSSHRQIRCEHPDITAIKIWTAD SQILLFSVYLPSVPLYAPDEGSAEPMLTAIQNTISIARRDDRRSTTVILSGDFNRHHP MWGGNHIQPRFIEDACELITFFQEHNLQTCLPRGTATFWPLNDPGKSTTIDLTVTDRP GLLIKCHLYHENYGSDHRATYSEWSLKPYHKPTAKARKAYERAEWDKIGAEVLQRMGP WKEIKTRPALDETVERLTEITISAVENHTPNRRPTPYSKRWFTPDLKGQQTEVNRLRR KWQESCAEYGRDHARSGTLFQEMQQKRRAWTRTIEKVKKSHWKQFLDGAGEGTLWKAA TYMKPRETWGCIPALHVDSNELVENEDKAQAFLDTFFPKMDQPLEDPPVQAPLELPWP LITELEIQRSLKAAKSSTAPGEDGLPTLVWKHLWKYIGKLITRIFTASIKLGHHPRRW RRAKIVVLRKPGKPDYSIPGAYRPISLLNTLGKLLEAVMARRLSYLAEKHGLLPDTQF GGRPGRTTEQALLVLSNAIDQAWYKHGVMTLIAFDLKGAFNGVNKISLDARLRAKGIP AVARKWIASFMSERYASIGFDDFRTEVALLVNAGLAQGSPLSPILFTFFNSDLVDQPV TFRGGASAFIDDYFRWRVGRSAEENLAKIQSEDIPRIEAWAQQTGSCFAAEKTELIHI TRRRSEQLQGQVVINGKTVKPSPTAKLLGVIFDHELRWKEHVQQAIKRATKVTIALAG LRHLRPEQMRQIYQACVTPVVDYASTVWHDPLRDKTHLRHLNTVQRAPLVRILSAFRT VASATLEVEAHVLPTHLRLRRRAQYTIARLHTLPRIHPIWGALLRAQRRRNNIGNYAR FPLAEALKTMDLQRLDELETIDPSPLPPWRAEPFAEIEVGSDRETAVERAETTRSTSD IVVYSDASGREGHLGAAVVALDSNLETVESQQIQVGPMDRWSVHVAELIGIFYAISTV FKVAHQPSESLERRRRTATILCDSRSALQATQNPTIKSGQRIIHAILQAATEVQTKGI ALRLQWVPGHCDNPGNDAADQQAKDAARPGKTHPFRPLLSRESARLHGNILNQWEQEW RSSNKGGHLRKIDSTLPATHTRRLYGSLPRNRAYLLTQLRTGHSWLSTYAKAFRFRDD DRCVCGAQETVTHVLVDCPDLRDIRRELRREVGDALSSVSSLLGGSTVGKKGKPDTVS RAKTVKAVLDFAEASQRFWSRAPRGQPNNGNGS ACHE_10958S MLSDFLASSYLQYKADTDAVASWLVATARNYGFPVETLGGNPSP SSSAPAPSKIQPLKRLKGKARKLAREGASKPAPTPLKKPDQQKHTLAVKDFVNLADYI AAATKSQANVPASFVAVLDRAILMRREHGLQATARFPADIQSQASSDSHGHFIGILEH VQQALCPRMPSEDIKDRLTQLSGDASLENIQNISNKFDGLDVQEPSEAFVQAPEIAMP TPTNGKPEVDYESERMQDFEEAYFGFNLLLRDFAKLRNVITRTWGGYQYGLFDLISAS VMTNSAIDIARRMQEDVQQLFDEYGGSAKMLSAFYAAHCAQEGEDPEFKEHFGDDMNF RTYDIAQSMFLPTFSFLNSFSILVEGDNFLPFKQGYFGTFDRARDRSEKSAREKFSED KIIMLEILSDFLTMHRITPPRPFEDEFTRGLRKMFDTHEIPLWLVFAAQVFLDIHHVL RDGVQRGFEDFGRFAKLTESSIRQNLDFHSNLRIEKWPKRNDKAFEELLQFIDMSVTS DPTLLVQEALGSSLGEPFKLMKWHPMLCGLAMFYLKARYQELSLSFQAAWGSVMYSAH LYNALRKEKLLKDQWIDMNLVMTWHDEIFVGEPPSRPEDYLKRFNLSMGWSAASYARN RRQTVRLPEAKSGPKTIKPIANVSQMFVDRYCKDSGSGQTEFTETDLGRILAQGMWDN DEEGEEGFISMSRSRKDTAKKRWKHSRQVTAAELLETLRNAIQSEVVEMSFDYLMLHR VCWRMLRSAKEACDVHLRKIFGSRYLEKESQLPFLVGYIFMAVMNTDYLGKQIAEGEV VKSNLLMCAAEVVEGMIDTKSGSIVHKRLAAQGFQIEFEEED ACHE_10959A MKAFKAKWKKMFLPDNQTLTDYGIVALYLVRGGTEEKRLSTCGR LQDETLSPIPFEYKDAIALLLLKNFKFKHLGLDHDRIRILAETRPSDSDPFITVIDLD K ACHE_10960A MGAHGESIIWCGQATQLRWLKITYSSGLDLGAVLAGIGANLEAI HILDCEFNAEKPPPQTMYPALKQLSIVDSVSGLLPFRLTTLPSLADLSVRVHPRDFEC LEDWPLILEFLSHVPVTLRLSSPWRLKVRQDLPGRLWQVASLPNARIQGRDWREPVIC HEGESIADGQVSISN ACHE_10961S MPLLGTFQGRTGTEEFWTVIAPMVLFPTWHTLLFTVSDPPVDGH CSKLQASKQKLGKQPQHAVENPLRLFFTLLQDVANGVDAGYSQTGYPGIDFSSKRRHT NLQPGGTKIKDHPDGIQPAPTSSVSVSEPRRVTRGALCAIMIDHFTRGLSWMKAMEKS EWKGGVSSKALALIATHPSLSSEKHVTLVVTPTGLVPQWKQEIQQSLNCCEYRRCIYI GYNEGATGADCFHLDHYDIVFNTFRVIAVEMKADSHDQASHLACSQIQDLNSTTAQQG LMRTIMLCQMKMSTIHGRPILQLPEMRIQKVYIMFNHEQQIVYKSLQSCTWVHFYQCL DDVNDHCNVSHMLGFLGSYTNFGKLAYSFLASNAVLASGDWPRWGERHEHWTADTLQF SEVEVIRLHDHQSIWECLACTTSVENPIASTPSSHGALPGEYHSQITYPTLSTEQNIG GTAVNDLGQAGRLAEFYLHSKASTIPGRQYQLGLSQTNDIEGTFARSQQR ACHE_10962A MDSSPHQSMGHSAPPDQVANFNAESQNTKTTSQRHVALGLDRDY ATDWKVPDALRELYQNWKDAIIQTHNISLYNFVPAISPTPDNITITVKANQPPSEGRE GPLVQQVLGYIRFNKKQGNAEFTNFSSTLDDEWLRFGRSTKKEDDRLAGGHGEGLKIA ALVLCRENHHVKISANGYHWHFGFNGQLKTNFFCRLTKPRRESSVPSGTRCRTELSAR IGEDVSVIIEKGQNGRPLSLQDIETWMRDMIDLHPPSGRVRTPLGDLLLEPTYRNHLY LKGFRVPEPNLDSSTFRFGYNLIKGSVDRDRQRLVNLQQVTESIHIIWESAIAQAETV VLPRYLELLRDYPSSFDARDADRLITEKIAQKMWDALVHESKMGDLFYCSESGQNKDS PMIRSELKKKPRPLPGSLWTALRRYHLVREPMEELQSRFANSQVIKPPCTAFADGVAR TLRALLALDSSTQKTTVIFIRCATNVVDVAYQGDKKLLYVHEKWLHAPESHQGVPGPA KLQPGDFIGQHLVEDLYRRAVAIIWQQAIDMQSTDRLHQSSKCLQQVLLLSQQRLHQM PRCIQVIPAANEKTVTVSFYTGHTLLFINLCGSKIFYLVVLHGTECAAEMAQLVYSSS HDVCKCPRQVVALSSRTAIFHDVGEGPWMPMITKMPDNIESAGNYLPSTSLKAQDGAL IGIPSQVALPSEANTANEDIVPDAGEREADLSTLHVARGQATELTAAISVTDMPPVAS DGVSLETLSSDAVEMPSFGEYNRSSLSEPLPLTTQPPAKWPTAAALPLINVIDNRGEH PDYHETGDSYVDDINDTDDLASAWSQAASLMGSASAGSSASSGLCGWEKQAEGNGPHA CTDALNQGHVAHTDNIAGTKVEALSQPCKDSPPTWKGQYVQVTLAASEGSDRKSNQCV LYVHDVHTPTENAPFPQLVVTKYSFLTDHPALGTAETINQQHELILHFHDADRMGEEG DAQVLDISDVIVVDAFRAPGTVVHVSQVPGRTKPKLLVTFEMIFNLLCSSDPVTGLFA RYGIWDGSRLESLFMTPIRTGLPGADNLHCFSPLPVASVFDLSPEDISLSAGFSRAGY RIQAALGFNEGHHQFWTEQYPGTAVYPGTPSSVIANLDGGKLSLPDLGDSQAPRIITI SGRKQSFVSTQAGASSTDLTGLLPACLDRLRHCSLAAQSPSLRANFIILTQPHCILHE QYQGPLASTMSILLEEGYSVTLRTLSAGNSYLPEGMPVLLLAAPCGTNPQWIDNAFSD HQVMSSVVNEAASVARASATDTEIPCSDHSQDMTSDQSTQGSHVVARQIAAVVSLIIG ELSKKNQSRACSTTWAGCDRSQRSSKKQKLSLPKHFAE ACHE_10963S MHESNSGLWDDLPAKCASICNTLKTKDHELRNTHAQLVNNIEIT EVLFELMWKMRTLLSSYEQEQKQGLDLESAFELAEARLKLQSLELDCDTLRQENSHLR EVLQTQHVKG ACHE_10964A MGQATKAQALRSLYPYHNIGRHGKVGFARLHLSSTAASYPVIVI ECSHTRNSRAEPSQREPACRYHIQNGHNRSYQDIQNLLYRHGLLPLTDVLCLFAADLG GFSGVQALLGSWNLAPLSGLDGTGPIRPRLVIVLTDPEDGPHNFAVIESALKTAAMPN LAASVTVVDLRGRNQLSPASRFEPLRRQLLLELETSRAVRSQAHLLFSAVHLEWIFRN LLRHVAHGPPSPFNCIQACRRDYQGGEIAASSLRTFLGIAKQAGSPYQSVVTFVASAF LMDAYPPGMHEFNPTIVFRHLYAGPCAAACLSSKPAHVRRFCRDLEAEFALLFTALST SPSAQIRRAVLQREVAVWAKVKSGHICLFCLLHPPEHVLPCGHTMCDTCVCIFGSQAR GAEYHFKLSACPACFETFSLTVRLLPPTKRPTILVLDGGGIRGVITLGFLKALEEQIG GSRGLREAFDLTVGTSAGAVIASEVVVRGASINEASSKFNSLARQIFPLRPRRYTFLG QSWDLLSTWMMDSRYDSDNLDRALQEAFGPTRRLFDTTAPLVSGIRVALTASQVTDGS LCLFPNYRAAGRSNMQSAHSILTSNDEPLLWEVARCSVAALGYFTPKSLTEAGTLQDG GIRANCPLRPALRESEIIWPSATRPDLVVSIGTGYAVEEPATPPEDHHRRRHDSFIER AVRTFLSSPAVDARRGWQDALDSVPAAVKKDVFRLDRAIPGKLPELDDARALDELSEY EYQIPDELARAWLVKSFFFELDEEPILVKSIYDCQGSVLCCKYDAAGMAAISVRSM ACHE_10965S MNAITLVLFKGELTEGEHRKYWIFITNICAISRFCLETVPDLKT EVKNYVKHMELGDALLAFILDGPHPSLLPQNSIPSPWNKANSKGPATEPNLVKQQPEA SGSSTPVGQQQSVDMAYLEGAKGQSTRLNHPPQTVQRPVLADSAPIHQPSNQQPPQEH SLNEQLSPTQGTAGPKFTSFRQQPPSGLNTASAPALAQATGEQNSLPTTQFPFTVQPG QVSQYASNTINQGSSNPVQPLPTSSTVQQFPQGSHPVMNPGVQPLMAQPFQMHNAQQS HATPLNVASKQLQTPAAHPVPTQVHSGQPTGLGWAGQSQVPPPTMQSHQGPVVPSNGS QPTTFFPGSQWATIPPGFTQTSSQGTVPVQQGSITLPTQALSQPHGQPGTNMFTQPPA TQSQTEADALSHDLDDGRSSSQASEGESQNTLADKAGFSVTCVKLLEVLANGLSGISE VRLDLDRVMEDIRTLHQNIERNKEDVRYGVSNAPMSTTAT ACHE_10966A MDDCAHLEKEPENLMENIDISSTSSGETGLTPTHTSLPGSYADP ELPPYPAPAAVAPYKEATFIIRDPQSGLVITLKDGKLGLAPADKENAFINCDDGRGSH WRCIENKGRWLGFKNAVSGEFIGHDNNKKNWRFMAKVEAHREWEFFCVRQHPGGGHEL LVKHWDCFRAMQVGGNNNRELVVADKGHGGTAWEFLKVYSEI ACHE_10967A MESSNISLVMKDILRTGKYSDFTIKCEGHQFKVHQVIVCSQSPF FDAAVCGGFQEASDKIVDLPDDDFHTIRRLISYLYLQDFDQDGSGSSYQVLNETGIVD HAIREGSPMEINITETPETKAPSAAGTDEVPYNNLHVYVAADKFGIDSLKNLARDRLA SWLQRNWDKEEFPQVVRSVFQSLPPHESQLPDIITHLISEKAEDLLKQESVLDLLQEF GGLAIAVLKEVVGYFQSSEAERKRLVALCVENTFGNSLTYKLNGISRCRHCQAAFNLR VERETIGFGTVRCGICRTRH ACHE_10968S MDLSITKDATTRGQQPVDKLDRFMFAIHENATKTNDSTPESLEN TQNLPTMERRPSLILGRLSKEDEEHRATLLMKLHNGSASSHLQAYFEELKKGSPTWDI DTFIEGAIRLANLVGSDRVRYYGETLKGIHYPNPFTELWNQIYPQQPITVWDRDIRYQ CPPSWSNDLKEFRHVLSYQGEAPLSHGLNELLQGPTTLDCGMFCQLLLWMAIRYLIGD GLFNELFKFKKGQFILTQNWDEPVNDVGTIGNLLYPFYDHPLQAPESQTRIHTKTVFN DEAYLAKHPGGEGRLHNVIQIDGYNSYNIVFDPGAPRNILADAELETRSLQAYNAPRS LADEERLWFYANFPDFVHPDFAPKNWGVLAEEAKKFADHILTETEWKDRRSDREKRAR GMHLTFNFQRLISSIEEAQVAYSNGDDVLSRAEKAKAAATSNTIDRLLSKMR ACHE_10969A MQEFSAATQMTICIIIFGLLLGGILCLEDLTFPPLNNYQISQAG TWERTPSCPPTPGNFGREHTPSEYSFSSIGERSPPVSQSRPGRLRFREVFGVDNGSAD GEHPSYVPYVIEWRVTLNHRVLVKDTEQDLYSTPSLYWQKINQEAERLLRQKINPDRR VRLDDTTIVVSINDRSQRDLTKRFEKTDIDWTAINKQIFMWQDLLHQPKKMLTVSISI NYLEDTNPRAKKTDKRGISSVTNRMLHDLDNQIDAEGYSSQPSIWRDVYQKMRCPGPP CQHEGQYCWQDPVGKKHYRLRTHHLRTLVKYVEQGGILEIHDDIPDNVREQLYAEERQ RLLKQNKSASSLAPGSTLPQININVLPSQPSQFVASSSWAPEGAPNSVQDNLKEVPEF LESAVEEYATWHLSRVGTDSYKENIRKARDIALENCFDIAQIRGESPDFFVSQGVAIG AARRFVGHTRLWLKEREDGSASDIY ACHE_10970A MARRLSYLAEKHGLLPDTQFGGRPGRTTEQALLVLSNAIDQAWY KHGVMTLIAFDLKGAFNGVNKISLDARLRAKGIPAVARKWIASFMSERYASIGFDDFR TEVALLVNAGLAQGSPLSPILFTFFNSDLVDQPVTFRGGASAFIDDYFRWRVGRSAEE NLAKIQSEDIPRIEAWAQQTGSCFAAEKTELIHITRRRSEQLQGQVVINGKTVKPSPT AKLLGVIFDHELRWKEHVQQAIKRATKVTIALAGLRHLRPEQMRQIYQACVTPVVDYA STVWHDPLRDKTHLRHLNTVQRAPLVRILSAFRTVASATLEVEAHVLPTHLRLRRRAQ YTIARLHTLPRIHPIWGALLRAQRRRNNIGNYARFPLAEALKTMDLQRLDELETIDPS PLPPWRAEPFAEIEVGSDRETAVERAETTRSTSDIVVYSDASGREGHLGAAVVALDSN LETVESQQIQVGPMDRWSVHVAELIGIFYAISTVFKVAHQPSESLERRRRTATILCDS RSALQATQNPTIKSGQRIIHAILQAATEVQTKGIALRLQWVPGHCDNPGNDAADQQAK DAARPGKTHPFRPLLSRESARLHGNILNQWEQEWRSSNKGGHLRKIDSTLPATHTRRL YGSLPRNRAYLLTQLRTGHSWLSTYAKAFRFRDDDRCVCGAQETVTHVLVDCPDLRDI RRELRREVGDALSSVSSLLGGSTVGKKGKPDTVSRAKTVKAVLDFAEASQRFWSRAPR GQPNNGNGS ACHE_10971A MADPPAGPPEATPTNTQETTNFSVEDFQPRVTNIPTRVTRKRGR LNESYASEEGTGRITTREVWKLIDDLKDIIHHQTALIESTKAELQEVKHDQNVFHEQN QKLHEEVKALSAQLDTSPPAPSARSWAAVAASSGNANPQPSYQRPDKDQKCVRISTQR SFVDPEDNQANDGNAFGRYLPTDSANSYIRTALLSAPATQDAQVAGIGTTKTGYVIRF KNQTSAEAARNNTEWLNELGNNTKLVKPRFGVVVHRTPTEDFDLENANTEAITKIMEE NDLAEQGFQIEELAWLKRKDKVLGKFASLGIWFDSAEGAEYILNNGLLVGQRQIGSVE RREIKKKRCFRCQRFGHLAWSCKETPRCGHCAGQHLREHCPSGVRPRCLDCSGEHPTG DRQCLTPATFNPSQC ACHE_10972A MFAHLEEFSVSRCETPPVSPQTSQVLEKLRRYYLDNNFHNFQET LNSNLSFPGFDIRSLSCVMSEVIKLKKARFVEELFRHGLPMSPSFAREAVDADAKEVL QSFLYYGWDINQPMAPESPPILSNALQSLEMTTWLLDHGADPNQRCEIDVTPLSYAVE RASFSIIKLLLDRGGDVTTGQLLHHAVMRESDSLEAVELLISQGADVNAVMYQNHQPS LERRFFMAETPLHTAIYYGKQDVIRYLISSGADVSIKNAKRETALQFALRTNKSIWTE IIQANQAYRILHFHASL ACHE_10973S MSTPTNFETWRQTNALHIVPMGGLYFHDFAEKAAIGTEHLGSCS VVVIASKLGAILAHIAPQPAATNDPFAGDANVRTTMGDVAALYQNKREYFPSAATIVV CALFRGEVALPDQLNIMTASLRALSLDPRAISYDVPGDPTIRGKGTVMVMNKREWDRP KIIVEERTVFG ACHE_10974A MSISHRLPFHLSNSNSWTANPTRPHCPTGLKTELEHLNPDSSPA TIAAILNQFPTNFNAPYVHHRLVTFPTDKDRATGQPPYLLMTLISTAFSPPAGAP ACHE_10975S MEDWVRRPIEDRRQTSKKSGKIVRPTNSFLLYRSAYAARISEWS STENYQVVSELAGKSWRFEANDIKEKYERLAKIEKEYHAKAHPEYKFAPKKKQTNRKR LEPNCPGTSGPNATLNRNYAMCLTECDDKQKIHASVSLDSRELESPTMIYLNPRLKWE ADKPQLQHSENLKSLDIGNGQSCTENPQRLGYYSSTDPTLLEPAYNNMQPFGTREMFK DSGMHTQLPSFYHDTSSPLVGQQVYDNIGYPMWLETPAGSSYMAINKAPFTPDSTPYC LDPYPTEDLCVRF ACHE_10976A MLETNLRVLQLNIMKSGPRMEALINDHQSQNLDILLIQEPSITS YQTHVNHSAWRLYRPTVDTDAVRFRSLVYVNRNLSTSSHRQIRCEHPDITAIKIWTAD SQILLFSVYLPSVPLYAPDEGSAEPMLTAIQNTISIARRDDRRSTTVILSGDFNRHHP MWGGNHIQPRFIEDACELITFFQEHNLQTCLPRGTATFWPLNDPGKSTTIDLTVTDRP GLLIKCHLYHENYGSDHRATYSEWSLKPYHKPTAKARKAYERAEWDKIGAEVLQRMGP WKEIKTRPALDETVERLTEITISAVENHTPNRRPTPYSKRWFTPDLKGQQTEVNRLRR KWQESCAEYGRDHARSGTLFQEMQQKRRAWTRTIEKVKKSHWKQFLDGAGEGTLWKAA TYMKPRETWGCIPALHVDSNELVENEDKAQAFLDTFFPKMDQPLEDPPVQAPLELPWP LITELEIQRSLKAAKSSTAPGEDGLPTLVWKHLWKYIGKLITRIFTASIKLGHHPRRW RRAKIVVLRKPGKPDYSIPGAYRPISLLNTLGKLLEAVMARRLSYLAEKHGLLPDTQF GGRPGRTTEQALLVLSNAIDQAWYKHGVMTLIAFDLKGAFNGVNKISLDARLRAKGIP AVARKWIASFMSERYASIGFDDFRTEVALLVNAGLAQGSPLSPILFTFFNSDLVDQPV TFRGGASAFIDDYFRWRVGRSAEENLAKIQSEDIPRIEAWAQQTGSCFAAEKTELIHI TRRRSEQLQGQVVINGKTVKPSPTAKLLGVIFDHELRWKEHVQQAIKRATKVTIALAG LRHLRPEQMRQIYQACVTPVVDYASTVWHDPLRDKTHLRHLNTVQRAPLVRILSAFRT VASATLEVEAHVLPTHLRLRRRAQYTIARLHTLPRIHPIWGALLRAQRRRNNIGNYAR FPLAEALKTMDLQRLDELETIDPSPLPPWRAEPFAEIEVGSDRETAVERAETTRSTSD IVVYSDASGREGHLGAAVVALDSNLETVESQQIQVGPMDRWSVHVAELIGIFYAISTV FKVAHQPSESLERRRRTATILCDSRSALQATQNPTIKSGQRIIHAILQAATEVQTKGI ALRLQWVPGHCDNPGNDAADQQAKDAARPGKTHPFRPLLSRESARLHGNILNQWEQEW RSSNKGGHLRKIDSTLPATHTRRLYGSLPRNRAYLLTQLRTGHSWLSTYAKAFRFRDD DRCVCGAQETVTHVLVDCPDLRDIRRELRREVGDALSSVSSLLGGSTVGKKGKPDTVS RAKTVKAVLDFAEASQRFWSRAPRGQPNNGNGS ACHE_10977A MFAHLEEFSVSRCETPPVSPQTSQVLEKLRRYYLDNNFHNFQET LNSNLSFPGFDIRSLSCVMSEVIKLKKARFVEELFRHGLPMSPSFAREAVDADAKEVL QSFLYYGWDINQPMAPESPPILSNALQSLEMTTWLLDHGADPNQRCEIDVTPLSYAVE RASFSIIKLLLDRGGDVTTGQLLHHAVMRESDSLEAVELLISQGADVNAVMYQNHQPS LERRFFMAETPLHTAIYYGKQDVIRYLISSGADVSIKNAKRETALQFALRTNKSIWTE IIQANQAYRILHFHASL ACHE_10978S MEDWVRRPIEDRRQTSKKSGKIVRPTNSFLLYRSAYAARISEWS STENYQVVSELAGKSWRFEANDIKEKYERLAKIEKEYHAKAHPEYKFAPKKKQTNRKR LEPNCPGTSGPNATLNRNYAMCLTECDDKQKIHASVSLDSRELESPTMIYLNPRLKWE ADKPQLQHSENLKSLDIGNGQSCTENPQRLGYYSSTDPTLLEPAYNNMQPFGTREMFK DSGMHTQLPSFYHDTSSPLVGQQVYDNIGYPMWLETPAGSSYMAINKAPFTPDSTPYC LDPYPTEDLCVRF ACHE_10979A MAVLASFSVEADHWYNDDEAKEVLKGAQDGIKKAIQQNKLEVEW LVMGFPFGIGSHYLMEVLFNGPPELLEGVPQGKPGVIGDPVLHDWKAGKVAAESRFRS RDYIIQI ACHE_10980A MNPTLHSSPPPTQITYTEHPVELKECREYLERLGMSPDEAETLL SSNRRESCYVDNPHFVNIMEQLIRTGKYGEMSNYDINALKIEWQKRNEGLEAQFNDVQ TKFLLNSIDYLSTKNNEDAVTYMMRFIRSGANNYRIKFVTYVLPILLSNPPTPIPTHQ HPTAEDPRTTQTPNNSKKRAPKSKAQPANVNLRRSTRIKRLQENSKKPASPLPTRRQN KKGKQVKEGRQVHRRL ACHE_10981S MILAYLRPFQIEHITNLRDMDGSRLTLYIDYIAGRDLSKMVTEN GFSQMTESQGYDIWQDAVEGLKHLHKHNVNHHDLKPENIVILLPKGGRRRAVLCDFGI ATIGPKMHYNGTPSYISPDIMVGKIRSAPDDIWALGVTMLFVFGFIPLPKHSWIIQKI EEGDPKTLLAMTNWKLEIRRICQKLPERLGLLQCMLNESRAKRITASQLSERL ACHE_10982S MYRLDSHGYPAKKPGCRVEAEPVLDRVENQSDSASAFFRGDQTL IVGFIVMCGRHDPQTFFLLDIKKGSFTIDNQFKRLLAIEHLALLYDGWLARTRNEPLE WAVYSNRFHNYVQWQAFIHEQRLLNTCQCRGWVESGQKLRKIERETCIPGISLALIPE LPRILHLCNAQFKKLISILKKEGSYPKIKGLRIGALAWADSKTGHERGKCGMSREG ACHE_10983S MEELGELCSTRAIDIQDGTLDKGDFLRQVAHFIILNKDHLPDNT RLSLLDAFGKRKRSSSTKPNRSRGTGARERIEEAREIDIMPSSQLLRFTARIEMTPAD LWTVGLSVDLDWDKKILRHIYRGNRQNDRLAVLLFYQVYCPYRALEL ACHE_10984S MTTSNSSPSIPSEFDDEVDNADAELPFGHVWAYPCKLPSCPDYG KSWVLRSNFLLHLQEQYAHKMTAMTPAARRTIEKKWRYTTDPHLPPRAAPDFPSREDP DEHIWEYSFRNNTGKIITGRGTRKQMEMHKRQDTEKSREGR ACHE_10985A MQESDQWDFQTSLQDSDEGIDIQDTDLESESDYSVHTSDNDFID PQDDLNIGDYDPSYTDDLSSLASSNNDFHGKLWDKGKYDEFDVLDKRHIEGANGKVSQ VLIQCWVEESIVQDLLDLLRVYRQETQ ACHE_10986A MDQKRNSTEHRSIAIANPMPVNNMGEAPGALQSPTASPRAYHPE ATAETTETRNTKGNHTTRSYHQELATTWPVCPGYTPSIPSP ACHE_10987A MSAEPQPPFHKQLFECTAVVLSIINNREPVESVDLGPLTEGLIS LQDTLLGSLHISDSMLDPFYLLCLLPCKAFKEQILPGCNISVAGYKLRQLQDVLLALF HALSTYLSIIRCARGQFDGNTKARCMDCLSRAVDDLGKHETHLKGLKSLDLSVLLQIT ATQTCLLLYKNRLRDFEIGSLPSTSPFCDCISRGSNRTSSSPNDIIIIRLGESAKNRL AEYGELLLQEISQGHARKEDISARYKVVQERFERALKDLRRDEELELYETLTRGYRLD FTLPYAEMMHPDL ACHE_10988S MSIFSDSGPARDRRDSLRFQAETSLQSCDQGGFSYPTPTDCDSP ADGLQEITPASSVITPRKRESMEETTAVRKRYCQKPETMSPATESDGTVYLLLKTCAF GKKMRKGWNKQKRLEIQECTEEEVRLSDEHVREVLRAEAQLVCHNPCETIRSGLQNWV ENESRKLHALGIPTRWRGIEAAAEYFIELRSNTIADPVSVRAAELLLFINYKDMCKRP ADFCPRPRGKNERKSSYVLNCIIEGIPNYFGRHQSLESRRDNISNIVRYGGWWWKLSR ELGIGLLLLGDDELFRIMKSDMFTNAQVNALITHVSRVRPGTIRLLPSINPIMRTLIR GEVPRGLVSALHLDGFPLFDQAKLSRANDEDDAALADQCLEAPWTNAVQESDIPGDIL EILHDSETSEIT ACHE_10989S MSETIKTVSIPNIAWETSANIHLPPNFDNKEYPAVVSAHPISSC KEQTSGNVYGKAMAEAGFVVVAFNASFQGASGGKPWFIENPEFQVSDFRFVVVQTLPY VDPERIGVLGICGGGAYAINTAMADYCFKCCVGITPANFGHLTREAFGGFDFAGSLEK MAT ACHE_10990S MNYLPPTVEDAKKMTSDPDIVEATDYYKTGRGQAPCGATSGLFF VGSVPDGFGTFRDAHEIHARAGSKEKHLVELPGVTHYKLYDESKAVKAALDEVLPFLK KHFNEVQ ACHE_10991S MESFMFTSAEWQLTTERQLKYQGTAKIDLDQISFRASANTKVDQ KNVERLCEIFRKDACHRLDIRNHVTAIVSRQDLRRACQDGGITNEDLMANNTACYPRL QFPTGQVQCLHGQHRLKAAEETLPPTERWWTVNLYLDGLPFASPHPSSYGPDFSTDIS PNLRNALVDEYANEKQPNDGEVYRKICQYQHEHNAHFQKRWWSRLSDNKAKRLRQLRS SPDNVDLCAAFDGLLAIPGLWNGMSLGSLNKVMAIKCDEEIIHYLEHIKRFWATLVDY NHVQMAKIDSHTVHTLQLRAPKASKADRNAVKGLILSGEVFTDFKEAERAAIWHKMQS LEACDCIIPSLHTFFRDISYLNACADAVKRLVVLNKKQPTIQQALTHSFQPRQADEDC QIQTSETAFRRQPGTSAERKEAGYRQIWMYAMRWYPEMAKDEQSHTLKAKPTRARADE NSIHDMAVLARKLGFRSEHIKNILKQSPDRQIAQAALLKARKPDRYYYDSNVFDSFVD RITDLFSLAIPYENQPIAESVVGRAVKLTDRCGPPSVQAQRLDRSYLFLDKLHSPTSL QQHVSSFYVRRCVYYAFFGKPSISRQHLTTSRRPSSEPSSNHSSSLFVPDDSPHLDSE LGAEDPLARSDHRGHPTSRRQLRKARREKRRQRRERRRNRRQENQRAAESNLVRSQES LPQDFPTREDSTMDDAPGMITDTQESPRDSIVPKDLELLPETSGTEQFQLYAEERMVP ERTEQEERSSDDGEQGGQEQLTVEEAHAKQGLLEHEAAESTEQNQLTEEAGEMVEQGR PTQETEGEAAVQPNSEVDDEGQSQQEATHDSSITAEDVEKLDGMLQDIVEQEATGSPD LQDCTQLIKEQSKAARRKEKKVDEERAKALARLENEAEHNPLASEGNNTRPVTQLDLP SLIARWRERASHLDDDNSQRPHSRNLRQGQQSRYSKPVGIKTSWVNGRPTLQDINQAG TLAAAITDQLDPDHEDDLPDPVLPTVNAPATVTIGERIEDIQLDRETMNPSVQETHEP DSQEMVPERHNGRATVMGETSNKALKPSQQEQETLNVDRADQEQFQREVDERAAAEAA LFEATFDDEYEAEAQSTATDMTHQQLVTPAREESALTGIDAGGESIPPRETPVKAKSA QGTASTRNPDSNIKTIQRHGKLHRPSGIKNTRDKAKRQRHKGNVEPDQVEQNPQRGQM TRASKAVTQIDFSKWVEGNQTTADPQADLPRPKRTRPRNESEEPGHSTLNTTAGTNWV SRNRKRVWPVGPWGRPKRARLNRAEVIPPSPLLPLMTTEGWAASGSPSGMQPGQNITI TFRAYEHGEWTKVNSISVEASDPRKAQELAGNYARAEGQNAQFYNHALRKVSVNQCVR AAIDDGTFTILMSLGRELHVTRQVVASVTKLFEDMTDAAVTTDDEIS ACHE_10992A MSFPLTTAYKQFVKAGLITHLDQHDKPANLPGYVERESDVLAKY LQERLGDAFKEQIARINQNQDSELFNIPGYGDEESARHFLQDILSIAKDNGVDGASSA LDKINEVLPKSFPPANTTLPADTTPPANTTPPANTTPLANTIPPANTTPPANTPPPAN TTPPANTPPPANTPPPANTPPPANTTPPANTTPPANTPPPGNAIISGSRIYDPINRSA VEYWKTTTVTDGKITILAQKQTKRPSRSLYSIDGKQSSYIVEVQNPVTRIYKRKIMPI AYVSHLLPQWRQAENPMILYTYTEDNKIEEGEMKMEGGMPAKKKIFKREISGPLTKFH WFACRDFREADQDTASRNPITECCVEFLNEDGPQILLMNELKNKFMQSWELESIINES AKIDEIPSPKNRTPQKYSEINPFYHEPEEIIDPLRRQATTWREVKERSYAAGATTPNR AMPNNPVQYSMIATGKSGQPAEQDIDRMISQKLAEEKKNTELRFQRVESKVDKLEDSL SENTLYLRQILDLLKGKSTTETSGHTAVPISEKVRSTLGSLTNGSLVREETLPLYASP APA ACHE_10993A MLHDFLSLSLLDRCLPGRKHNGLSATTIGACSWFPVDVFLSSTE YWTGPGPFNRTGKEDGTNPCDNAGYLTSAMQGSLNFATHSGTLGDATKESDKGERKKR GTARARLASARP ACHE_10994A MPTVLLPSSAAAFAPRSSPNVVLNRKVEPWLTAALKRVNRVKRP LNNVTQHTRCLTETLSSPNAIWTLCSMTFPKAPETELRKDEDPLIQGLFNYQMIHVEA YVVHVDMVSQNEVAFKLTPETIETLVDFHRDIYCADAAANTWDWPEKGKQLKKMQEEF NQAANKFVYRTNAHALEGIEEDGAGELLGGRSEEAKNAISSLFVPLLPPPPRTNTVDL LRSTPFLPSSTGPETWWQDLVPQPVSSLFSSSATPAATTADSNPNLWAGLGGLNDLQF ASPTPSYSQPYTTTAAYNTTQYYPTTVAATTAPIAPLPLPSMLVQPCSTAASMVGFGW GERYQDFALPYGATISRGWPQQATGFGASQHPRPDPNIHDHRNDTTPFSRDQTTSD ACHE_10995S MRFSAVITSTLLLSSTALASPASSPAGSASAQGASSESTAAAVH QNVNNQGNAQANGQDNAPLTTATQQQQQQQQEQQQTTSPAQVHVPAPSQGKSEEDESA SQQTPTTAAATPAANSAAAASPSPNESSSNTKNTETSNDDDQDGGILGGILGGGKSSS SSAAAPATPAANSAAAASPSPSESSSNTKSTETNDDDQNGGLLGGLGGGQSSTSSAAQ STTSPGAAAATGASETTGDGPLADLGSLLGGAGGLLSPTLLKDIESFFHHVAYLLDDK RTDETKTLIDKGYNLLTDELTDELNNLVKNGNALLTPSFVNDTQSLISNVGPLLSPDL FDKISTLLNNGNDLLTHEFVKEVNSLIKNGNNLLTADFVKEVNSLISNGNELLTPDFV KEINSLIKNVQPLLSDDLFDKLTKLLNNGNDLLTADFVKEVNSLIKNGNNLLTADFVK EVNSLINNGNDLLTADFVKEVNSLINNGNELLTADFVKEVNSLISNGNNLLTADFVKE VNNLITNGNNLLTADFVKETKGLIDSVAPILTPELLKEVGGLLNNANDLLTPNFVNET KNLIDAVAPIVTPELLNGVGGLLSNAKALLTSDFVDETQGLIGNANSLLTKDFVKDTK GLISDVAPVVTPDLLKDVKGLLGNANTLLTPGFVNETKDLIGEVSPIITPEMLKEVTG LLDNANDLLTHQFVNQTQTLIEDASELLPAVVKLLGDI ACHE_10996A MASTDQPTATNIVPLDSPLRTAPIHPLLPDIRVPGRTADTESNE PSKDQPDNENEKSLQSHHYDPLTCIPFPFSNTSQNQPPSTDATQQEKELASLREEHPT AEAALKAQEATAREAKRRIEETVKKREEVQRAMDKKVKERDTEMKVLEKYQEVKVKAS DILPS ACHE_10997S MQAFVPKNRRPRFEFVLKIIDLNNIPLVSGTAFVKWRLPSSSAA EHHGHTDKAVIIDHRAQWHYEKTLQVRLTIDRNQTLQECEVHFEITQDFASGGHGDRN MLGRIRLNLSEYVDKSDDEEGIVRRYLMQDSKINSTLRVGICMRQTEGDRNFITPLLR SAMVFDGIAGVVSSEQGDSDDPISGPLPSLSAKSSEVSDMQDLYRRTLAASWNSRSTD LPADKLIEDLFSGGAGYNNGIQSQRMSRLDDSLNVVSAENGANQRESPAGNRLSPSFE RRPKSSSSNEVKAPELLSSIGNMGNGNGNGRRGGSIEQQIFDNSKAKGLRSRAMANEH EVSEFDVREDLRSWEISPKE ACHE_10998S MFSRTPRSPAGLLNPQSLQTCRERPLSLISRRYDLLRSKSTTSN NSDGKPRRRRQPKPKAQHVPIDVSFLGQQGGVVVVPEAKKRQKKQALDIGPDRLSEKG DVPFLLQEIEDETVELTGEIVNERIESLLAPHSAGDKLDVVEFEKLRWKVQASFTGYQ LADYVSEHKRNAEEFYGSGESGNGPRAMEWRPGTPGFLEMGPVGQGGVADRIAVTKKS LKGKHLLAERILRDCWELEVVGEAGQIDIRLSATTLSLLKNSEIFSFEEVASLNDAKI DITNSLGLVRITGSRVACETIRDIIQDTSLRIREEEVELFPRVGNRFDGSRAFHLEFL DWVSRAYGVAFEDVTREGPKKILYLAEDREGMENARRTLNLAIYSTNPDPLPFTSYFS ATEPAEAYDFNLENDGVWFERQKAWFRWAMPSTQAAGSSPLDMPLFDQHQTRLSDGLL KILRKPSSKYQLSKKVDVHESVTAAVGRCLFLRKPTMSRDPVDATTLSKLSLPRSFTT DLPRVASFLRQLQSHEGSDKQQLRRVLLVPSALHANVFPQLDLEVSLQENSDSEAKPE LLLHNAKAITTQNSVDYLLPENGLDLRFTRKMYCDLPEESWQESADVLKTSLRDNFIQ PRPNDSGDVSLPTFFKLSLPREILNQKNLSGSEKEFVTAEYMSLPLVDRRGTYLHRYD YEDKRLNYSYYESGPFYPSRAMDLFLDMDLPSDSKVAEEGELGELLHKFNSFYGSACK LAFELDTARRAEL ACHE_10999A MIVAPPSPQDILLYRYQHATNLGSVFVLERWLRSSMYDDDTPGS SEIEAIKRSIQVRGLYETRAKWETLWLTALSDDDLHWLKHTARCNSIRLPIGYFTLGP AFCIGTDFDGEPGQVYLNCWNTVKHLIEKCYTHGIGVLIDFHAVPGGANSESHSGTDT GRADFWRNEHYRTLARDCIAFVIQEVKFHALHGVIGIELCNEAAWDPPGMHEWYDEVI EVASAIDSSIPIYISDAWNLSAALQYAMGKNNTTLPFDRSPVIVDTHKYYCFTEDDRN MHPNAIRERVANELAELAERQGNVFDRKSAVEVYIGEYSCAMDQHTFDHADPSQRPEL TVAFGNEQSRTWSSKASGSAFWTFKMDWMDGGDWGFKEQVNTGAIPAPPWLALSRDEV HARLRQADIQRTDRHEEAYSQHIGYWDSVAPGVHFEHDRYSRGWDLGYADAGWYFGAL VNGIIPGQREGGEKIGALDLWVRKRITETNEVNQDMGWEWEHGFRKGINDFYSVVGV ACHE_11000S MERTRVAKVDNVTLARRGEQVVGNLHLTPHHLIFSHIPPASDTA PAQAQGNPVRPRELWITYPIISLCTLRPTPAASRQPSSIRLRCRDFTFVCFYFVTENK AREVYDTIKQWTCRVGRVDKLYAFAYQPPLPEREFNGWDLFDPKKEWARQGVDIEGNG WRISQINSDYGFSPTYPALMPVPTAISDNTLNYAGRYRSRARVPILTYRHPVNNCSIT RSSQPLVGVRQNRSIQDEKLLAAIFSTSRTERPLSNVQTPNLESESSSFSQGDGFAGQ SDSDFANAEELEDEMLASVRGDPEEGRQVYGAQQQNLIVDARPTVNAFAMQAVGLGSE NMDNYKFAAKAYLGIDNIHVMRDSLNKVVDALKDSDVTPLGPNRDLLARSGWLKHIAG ILDGAGLIARQVGLNHSHVLIHCSDGWDRTSQLSALSQVCLDPYYRTLEGFMVLVEKD WLSFGHMFRHRSGHLNSEKWFQVENERIGGDPNREGSGAGKALENAFLSAKGFFNRDN TSRDSLPDSDGDTPTNECETPGKRAGSVPRTPISEKEVTKVKETSPVFHQFLDATYQL LYQYPTRFEFNERFLRRLLYHLYSCQFGTFLFNSEKERIETNARERTRSVWDYFLARR EQFLNPQYDATIDDNKRGKERLLFPRLNEVRWWNEVFGRADAEMNGPRPSGPVVVPTA VQESITPPAPGSGAPVVTGVETAHSSVGSGVSGKGTQNAASAGMAAVSAGISNLAFTP KNKENEQGSGKMTEMEVEMQ ACHE_11001S MAAVQGAMSKRRKFVADGVFYAELNEFFQRELAEEGYSGVEVRV TPTVTDIIIRATHTQEVLGEQGRRIRELTSLIQKRFRFPENSVSLYAAKVQNRGLSAV AQCESLRYKLLNGLAVRRACYGVLRFIMESGAKGCEVVVSGKLRAARAKSMKFTDGFM IHSGHPAKEFIDSATRHVLLRQGVLGIKVKIMRGSDPEGKAGPQKTLPDSVTIIEPKE EQPILQPVSQDYGAKAIAAQQLAEQQRLAEQQAVEAQEGAPAAGAETFAQE ACHE_11002A MPTISVDKAALFKELGREYTTEEFDELCFEFGIELDEDTTDTDR PIVDGVQEPPQLKIEIPANRYDMLCFEGIQLMLNIFLGRKPLPNYRLVEPSSGQLEKI VVKEDTTKVRPYVSGAILRNVKFDQARYESFIALQDKLHQNLARQRTLVAIGTHDLDT IQGPFTYNALPPKDFSFVPLNQTKELNGEELMAFYEKDRNLGKYLHIIRDSPVYPVIH DSNNTICSLPPIINGNHSKISINTTNVFIEMTGLDKTKLEIVNKVMVTMFSQYTTEPF SIEPIQIVSEHNGESRVVPDISPRTTQAEISFINQCCGLNLSAAEISDLLKKMSYDAR PSANNPNLVDVDIPPTRADVLHQADIMEDVAIAYGFNKLPRAFPNISGTIGQPLPINK LSDIVRTEVAMAGWSEALPLILCSHDENFAWLNRKDDGNTAVKLANPKTLEFQVVRTS LLPGLLKTIRENKHHTVPMKIFEVSDVAFKDVELERKSRNERHFAAAWYGRTSGFEVV HGLLDRLMAMLKSAFITAEEGLESTAASDSHYWIEELDDPTYFPGHAASVHVRIAGKE HNIGTFGILHPTVLEKYELKYPVSTLELNIETFL ACHE_11003S MEGQGENDELYPIAVLIDELKHDDVLLRLNAIHRLSTIALALGP ERTRDELIPFLDDSVEDEDEVLTALSDELGDFTEYVGGPEFGHVLLSPLENLAAIEEP LVREKAVESLCKIGDQLSEKQVEEHFVPMVLRLSKADWFTSKVSATGLYCVPYKKAPQ PLQQSLRQYFGALVHDETPMVRRQAANNLAKFVKELNTPVVIEEMIPLFQYLASDDQD SVRLLTVDILIGIAEEIPKEQQPSHGVLLTSLRNLFEDKSWRVRYMVADRYEKIAKAV HEEVITRDMVPSFVKLLKDTEAEVRTAIAGQIPGFCSLIDRETLLNEIMTSVEDLVSD PSQHVRAALGTQISGLAPLLGKEETIAHLLPMFLQMLKDEYPDVRLHIISKLEHVNKV IGIDLLSQSLLPAIVQLAEDKQWRVRLAIIEYIPLLASQLGVKFFDEQLSDLCMGWLG DTVFSIREAATTNLRKLTEVFGIEWAQGSIIPKVMAMGQHPNYLYRMTTCFAISTLAP VVSLDITENSILPIMDRLTADEIPNIRFNVAKSYAVLIDTLRRLPAEGTLTDLEKSGK TGTPSPRGQELIQQRILPNLERLQEDEDVDVRYFATTAAGPPEENMQTSP ACHE_11004S MSLTWPWHFAPASAPGKQQRRELLDFRGYVAQVSVLVVICVIRI CQTYSKATAGAVKPRTRRREQSWWDRPPFPGWTETRRQYAICLIWLGWLVGLSAWKTG DDYLHLTKALGQIGMSQLPMQVLLSPALYLSTSKPGAPSIISSLTSLPQPFLNPYHRL CGRLVFAPLLLGHAILYFGFFLQSSSPRPEFSSLLAKRLRDPDVQWGIGAVWSVVLVT FVLTRPFGGRGLSIWPTGASAKDKRQRFYIAHVVLVGVFCLAAYAHVAQAQTFVLETV GCFAINVMWSLWCC ACHE_11005S MRLQRVAILLGVFIVACFSLNFLREQKPKTPPVPSRPQATPPTP LKPIEYYHQAAQQSSYPPEKTHPVARLIQNADQQFDQTRSRQSQTLQDAVREYQRRYN MHPPPHFDKWFEFAQAKGVKMIDEYDTIYHSLLPFWGLEPRTIRARAREALGFDNQML GILIRGGKVTMADGGGDGYKWQREATIGMMKGFIQYLPDMDLVFNLHDEPRVVLPSDD LQRLVNSARDHAIPESFGNRSPVNSWSPRPTDVNKGDRIDEVRTTRFNRFAHQPTWTH SRISCPANSPARSLEENPVDNTTAYAYGELGFIYNTTAASDICNTPSLRYTYGFFDRP NAFDIVHDLFPVFSQSKISSFQDIVYPSPWYWADKVPYEGRKDYEWDSKLGKLYWRGS TTGGFSRAGGWRRQHRQLFVSNANALDTTKALSRDENGAWVSKEVSRRDYRDLFDVKF TFIGQCDPEDCAAQGQYFDVAKHAGQQDAWGYKYLVDIDGNAFSGRYYAFLQSNSLVA KIAIFREWHDEWLKPWVHYVPLGLRGDDYVETMRFFTAEEEGRSMAPRIAQQGQQWAK EVLRNEDFEVWFFRLLLEYGRLIDDNRDKLGFVL ACHE_11006S MSSALNTIASRLVSAASFGYGLFTMFLYGLLAMRKGYFFKGPSE KENLELHLARDRLWNLSKEWNHFTHQFLTLSNGFRFHYLGNEAAPETSKPATKPLIIF IHGFPDSWAVWRHVISSQSLQEAATVVAVDLPGYGGSDQLEKYTATEVLDNLANFVVG VRARYGIDGEDIGVGVQKTVIVGHDWGCALSMRLASEAPVLADRFVLSNGPLIGLAFS NARRLMNSSIKMFKTSIYSPIRSRSTLLKAIKTLKPVLLQTLLSGYIFVMQLPMVFVQ YLGTGRNYCFLRLIHRGSYGKDNKTFTPQDAAECMASTMGPSQAECNTKTSTGEEYPA TVKNERALSNFHHMASYYRDGAVTRRWHKSVETVANLHIISQGNELRRASSGAGMFDE SPAGVLKASSTIIWGKNDQALEPHLCLGGISDYMVAGSQVIELPRSGHFTPIERESRV VLERVAEWAVNGEREDVGKVVEGCYEKAVVTVRK ACHE_11007S MTTFSAEISDHESNDQTDGNMGDNIHSGGTTTPVPQDLVAVIAG LQRQLQQMEERRIEDLRRLKEELTSPPREPLPQPTIEEIAPQPMADPVRRPRPKLTDP EVFDGRNRSLYRPFRSKLRAKLEVDKEALGNAYDRMWYAFGRLTDGAAMQVLPWMERF AKKGATESQLDGMLDQMDFIFLDRNLEEKAVRDLASLKQNNKPFTVFLTEFNRLLMEA DGHNWPENTKRSYLDNALNREMNTRLETVEKKNGFEDYCRQLQQIADRMEKNQLRYSR NNKHTTSTSPAHPVNTTRASSPPQDMDWEPTTTTSARSQPRRVAKHVSREEMERRRQE RRCLRCGDSTHFISHCPYDSPRNSTRMARSHIHGPELEDEEEQLREQPKLGKE ACHE_11008A MEANYLRHMSRERRGHKRQRLSSTAESERQASSQNFEISHENSR GSSSQVADNESTTSSRNLRRVASTNILTIEQRRQELELRDLEAEVKRKEEEVRLKQLQ NEQLELELIVMGRSLAI ACHE_11009S MANALRNIIVVGGSFVGKATASELANVVPNTHRVLLVEPHSHFH HLFTFPRFAIVPGHEHKAFIPYSGLFSPNPKDSPSRHSVVQARALSVQPHHLQLDQEW HGSNQIPFEYLVVATGTRLAQPAGMKHDDKLSSVSYLQKHQADVKRAKSILIVGGGAV GVQMATDLKEYYPDKDITVVQSRPKLMPQFHEKLHEIVKARFDELGINLVTGSRITIP PTGFPNTGMPFTVQLTNGTELTTDFVIMATGQTPNNQLVKDLPPSAATSITNPENGFI RIRPTMQFQDPSYSHLFAVGDIADTGVRKAARPGAAQAVVVARNIQALIEGRTPEESF ERAPGAIHITLGLKHNIVFRNPNVAEGQTEPTVIQKPEGQEDMNVEGMWQRLGVAIEN QHQYHL ACHE_11010A MPQTLKVAVAQSRTQSTLSATLTSLETITSHAASKGIRLLLFPE AYLGGYPRTCDFGTAVGARAPHGRDQFLEYFNAAVDLGDTPAGAGDEWVERRLPLPAG KEYRGDGTREMLERVAKRTGVFVATGVIERAGGSLYCAVVYVDPMRGVIGKRRKVMPT GSERLVWAQGSPSTLKAVTTEIDGVTITLAAAICWENFMPLLRQSLYSQNVNIYLAPT ADSRDTWLPLMRTVAGEGRTFVLSANQSVRRGDLPEWITKYSQGEASADEYISRGGSC IVGPLGEVLSEPVWEASTDDEAGIEAGLSIAEIDLDDCLRGRLDMDVAGSYSRNDAFQ LTVKGLDLNPPPV ACHE_11011A MVHLPNLSRQAPKRGPLENGDIVKIRVRVKADSADLGTLRQYGG QTDKDIHEVPGVARESSFDYGDERLLQFEIEIFGESLTFD ACHE_11012A MADPVRRPRPKLTDPEVFDGRNRSLYRPFRSKLRAKLEVDKEAL GNAYDRMWYAFGRLTDGAAMQVLPWMERFAKKGATESQLDGMLDQMDFIFLDRNLEEK AVRDLASLKQNNKPFTVFLTEFNRLLMEADGHNWPENTKRSYLDNALNREMNTRLETV EKKNGFEDYCRQLQQIADRMEKNQLRYSRNNKHTTSTSPAHPVNTTRASSPPQDMDWE PTTTTSARSQPRRVAKHVSREEMERRRQERRCLRCGDSTHFISHCPYDSPRNSTRIAR SHIHGPELEDEEEQLREQPKLGKE ACHE_11013S MANLLLSNRLKSAPSIGTKWVQRFINRHKEIKSKYSRRYDYQRA LCEDPKIIMDWFQLVQNTIAKYGIVEQDIYNFDETGFSMGMASTAKVITSQVQSRAKA IQPGNREWVTVIEAIGSTGYLLPPLIIFAGKQHQSTWYQHIPDDWVIGVSENGWTNDK LGELWLKEVFEKHTKMRTIGTHRLLILDGHGSHATAAFDHFCTENHIIPLYLPPHSSH FLQPLDVACFGPLKRLYGQRVQTAMQLEINHIDKVDFLAAYQQTRPQAFSLSNICSGF AAAGLVPYKPENVLDELHIQMKTSTPPGSSHSKESSSWTAETPKTTRQLQKQSELIKR LWRQRTHSPPSPISQAVEQVVKGAQRAMQNVLLLEHEVKQLRAANKTQKRKRNTTRTF IAAGGILTGAEGQQRSQEAADLLAGVVDEGGERPRKRAPPRCSNCHQIGHIRSSCTTR ACHE_11014S MENTFISEDPGFILQDDLFRSIETDLSQPQQEEENILIQLTDAS TKPLKVLKLEYTSDLPEYPSTDPNGYGYVINVPPNQQRETVEDMVNSIQYCVRQNYRN RPSSHSSFLGTSYTSSSYRCSGIKICEYAGIQLKNMHHTHVTDDLWTILQDIRQRIHE MERDTTKDAAYRFYRSAKNLFKNQLSCYHFQNSCQPKLTQSSIPNPLGGFDFYVRCIN APSDPAGHYTYRVPKNGSVHLQFLEGLLNNEIIMDMEECGAVESIKSKSLYCAYDHPQ GPGKLVHAKCNVTFHWLIPTDLSQNPYFVFMSHGVHTHVPPPPRKAPAKIMNGILQSI NQARSPSLTLGTFLKSPALQSFCAEHNCHTIQQIHESFSNMDPIQAVIRKQRLLHYPA GQNVNGVMFELGKNKDLQEYIHEVYQQNDQIMIICILKEQAELLHTLSSIEIDMSFKR VQSKEMKEVVFATYLADQKKIMTLCRVFTTEDTTEGYYILFKKIYHIVYKLTGKRITF RALHGTGCHNLASLVSYLGF ACHE_11015S MSSNKIKISIDRGGTFTDVHAIVPGKPDIVLKLLSVDPSNYHDA PTEGIRRVLEAATGATLPRGKPLRLDDIECLRMGTTVATNALLERKGTRSALLTTKGF RDLLRIGNQARPDIFDLSARRPDALFEEVVEVDERIIPSHPRSSKEALLPFRAIEGIT GETFHVARELDTEKVRADLQILKKQGYSSVAVALINSFACPDHELKIGEIASKLGFSV SLSSQLQPMIKVVPRGMSATADAYLTPVIRSYIDSISPNFDGGLAGSHGCRVEFMQSD GGLVDFRQFSGLKAILSGPAAGVVGYATTSWDEEARVPIIGFDMGGTSTDVSRFDGHL DHTFSSSISGVSIQAPQLDINTVAAGGGSILSWKNGLFMVGPESASAHPGPACYRKGG PLTVTDANLSLGRLLPEYFPKIFGPNEDEPLDKDITRKLFEELTEQINSEHGAAQLTP EQVALGFLKVADESMTRPIRNLTEARGFETSSHHLASFGGAGGQHACNIAASLNISRI IIHKHSSILSAYGLALAEIVHEAQEPMAANYLGAEKLVTGKVQSLIGRTTEQLRNQGF KEKQLRHEIYLNMRYEGSDTSLMILKPEDDDFLSTFIERHRREFNFTFERSVLIDDVR VRTIASANKTTEKSPLQQLKDARSEEAGTPTQYTNVYFDSETGFRRTPVYRLRDLGSN VRMHGPIIIIDETQTILVNPDAVVHVLDTCVLIDLEESAPRETTRSAKVDPIRLSIFG HRFMSVAEQMGRTLQKTAVSTNIKERLDFSCALFSPDGGLVANAPHVPVHLGSMQFAV RYQHKRWQGRLKDGDVLVSNHPVSGGTHLPDVTVVTPVFKQGTNDIIFYVASRGHHAD IGGILPGSMPPNSTELWQEGAAIESEKVVSNGVFNEDRMRELFLDIPSKYEGCSGSRN LSDNISDLKAQIAANARGIALIQNLVEEYGLETVQMYMYEIQRTAELAVRNLLKDMYN RYGSRPLEVVDFMDDGTPIKLTITIDKEGSAVFDFNGTGPEVRGNINAPEAITHSAII YVLRCMINSDVPLNQGCLNPVDIRIPKPSILSPTGAAAVVGGNVTTSQRVTDVVLKAL HACAASQGCLNNLTFGIDAQVDETTGNVMPGFGYYETIAGGAGAGDNWVGESGVHVHM TNTRITDPEILEKRYPCVLRRFELRENTGGAGRNRGGDGVAREIEFLTSVQCSILSER RVHRPYGMEGGEPGAAGLNLWLTKDKYTGHERKVNMSGKGSVPVKTGDRVVIMTPGGG GYGSKEVVQNGTH ACHE_11016S MLVTIIFVIVGLLGLRLLRSYFSLSHIPGPFWARLTNLWLFKSQ NTRGHTARILALHKKHGKLVRLGPNHVSISDPAAIPIVYSVNPTWKKGPSYYGAVPIS KKRAVPSIIAMNEKQHTAVRKSVGRAFTTNSLLDYEDSIEATGKELIEALTKNPNTDI GQWLQFFAMDMLIRIAFSDTLGLLAKGGDIDGTLAAVMDRFDHWGQWGAAPEADFLLN KSWLATLLRGVGDSPLARVGIEKLEARRKDTNKQRYKDLCSKFLEGQAKYPDLLRQDE LLGIIMSTIGAGADTTAGTLTYTLYFLSKNPAARSKLLQVIEENLRVGTLSNMPRWAE VNSMPYLDAVLKESMRLLPVASWGLDRVVPPAGAMIAGKFIPGGTVVRCQIDAIHLDE DVYGKDSTSFRPERWLEANEDQRRRMDRSFLTFSAGKRVCTGVHIAWLEMKKTLPLLL MNFDFDLLDPNQDVRDGIRVSAVKYPPPIPMKITRRDPVPS ACHE_11017A MEGSSEPPGTVFLENGNIRSAHTYCDPIATDRIEGLNDLNGMQA DADGRIALIPQPSNDPNEPLKWPVHQKALIFVIANMFTLMVYATLDVSTVTWPSLTKE FGFSDNELTTSYAVSFAGLAVGCIFFIPIAISVGRKPVYLFASFMMVLVNVGQAVFKT KTQYMVLQVLAGLAGSINDTIVQMTVYLSLIPTGYVIQSQGWRWVWWWCAILNGLVFV SIIFLFEETRYAHSAGNCFVGEETPERSAVEHNKLDVPDDSKNHEARGPPLSEPPTAG MAEEVSLQRKTYFQRVTSLGPPPDWSIRTYLRYLQRPFILFFRIPAVAFVAIQYSFVL CWVAVLATTQPLLFSAPPYNFSSVGVGNVNISPFVGALVGSIYGGPLNDYYVIWMAQR RAGKYDPELRLHMLLAPLFITPLGLFLYGISIDQGMPWIVPVVGSGLAGFGVGSVMSI IFPYVEDSYRELVAEAVVVVTCVRNGIATAAAFAVSPWLHGMGVQNMFLTAGCLCFAI LLLTVPMIIWGRKARRYTAGFYTDTVASN ACHE_11018S MALQTWQEKAKTKRNQAAAAIPREWRLSESLTQNGQTSVLDIPG RCGILNERELDITENYDATALLEKLASRQFTAVEVTTAFSKRAAIAQQLTNCLTETFF EMALSRARDLDNYLAATGKTVGPLHGLPISIKESFSVSGIPTTLGFVSFLDRPPQTRD SALVNVLKAAGAVLYVKTNIPQTMMTADSHNNVFGRVLNPHRLNLGAGGSSGGEGALV AMRGSILGIGTDIAGSIRIPALCCGVVGFKPSVGRVPYGGQLSGARPGGTGIAPVAGP LCHSVRDAELLLKVVFDSNAADLDNDVLGVPFRTYQPRSVLTIGVMPEDPLFPLHPPM QRAMEAAVKKLVAVGHNVVDLSGQLPSLSDAKDIAWRYFSMDPDNTPLSHVKRSGEPY IPSLKYTYDLTESGREPTLRELYQLNTARAQFVTHIRQLFVEKELDILLGPGYQSCAV PHDSYGIPAYTLISNYLNYPACVIPYGQADKAADASFIRDVLYQPKCKAKYLTIAGC ACHE_11019A MVFERVCVESFLYHAVLMTLFDSSLDCLSSILGRLNLDQYLSDP VHPENATPGSPASTQPILDASYKFYLLIVDVVWLARTSFSPKSIDYATWLRLRITFAR WEGAIGDGRSEETDNHIGKLYTIGIRMLLVQANPSLLVNDVVNSLELLFQRGLAIIRR LDVQDVFVYYYLWPLVVVGSIAISPADRKMIEDKVCQVSGSPQEGSVALASHRLKTAW TQGTMCESRSLRILIQLQTILVGNSVLPSEIRL ACHE_11020A MGLEDFPPGTEKQPPSSLYSEPLPTVIIPCSDHDSSTGDGHTSE EIYELARKLTTRSRSTPGVLFPVPADGPLNPNSPDFDARKWTKAFFEARKDALNNNSP KTTGVAFKNLDVYGYGTEMDFQKTVGNIFLQAETIAGKLFRTNRNRVDILRGLEGVVH SGEMVAVLGPPGSGCSTFLKTIAGDTHGFYVADEATINYQGINPKQMQSAFRGEAIYT AEVDHHFPQMTVGDTLYFAARARCPKNIPDGISRRAYAEHLRDVIMAMFGISHTKKTR VGDDFVRGVSGGERKRVTIAEASLSYAPLQCWDNSTRGLDSANAVEFCRTLRTQADYM GCTSFVAIYQAPQDAYDVFDKVILLYQGRQIFFGKAGEAKAYFENLGFVCPEQQTTAD FLTSMTSPQERIIRPGCENSAPRSPDEFAAAWRESRHRKRLMKEVEGYLQAHPFHGED YDKFLESRRKDQSRFLRSRSPFTLSYMEQLCLNMWRGYVLLKTDPSLTITMLVTNSSE ALIISSIFYNLQPTTDTFDKRGLLIFFIILMNAFGSILEIMTLYAKRKIVEKHSRYAF YHPSAEAFASMIVDLPYKIVNAILINTVLYFMTNLRREAGAYFFFLLISFTTTLVMSM MFRLIGSTTKSIAQALAPSSIILLGLVLYTGYAIPPSYMRDWIGWARWENPIYYTLEA ALINEFNGRDFTCSTFVPQGPGYESVGSSARACSQQGAVPGQDVVSGTTYLDVAYGYK PSHRWRNFGILIAFMFGYMALHLVATEFVASERSKGEVLLFSRKVVSKHKRQQRRDVE KTETIAPFREEAVCKDEEVADVDKQTSTFHWRNLCYDIKIKGEPRRILDHVDGWTKPG TLTALMGASGAGKTTLLDVLASRVTMGVVSGEMLVDGQPRDESFQRKTGYVQQQDLHL HTATVREALNFSALLRQPPRYSRQEKLEYVDTVISLLNMEEYSDAFIGVPGEGLNVEQ RKRLTIGVELAARPELLLFLDEPTSGLDSQTSWSICDLMEKLTRNGQAILCTIHQPSA MLFQRFDRLLLLSKGRTIYFGDVGKNSQVLVDYFTRNGAHSRPPGANPAEYMLEVIGA APGAHTEIDWPEVWRNSPEYNKVQAELDRFMEYSSSDKMQNNDDLSAYQEFAAPPNDQ FLEVTKRVFQQYWRMPSYIYSKAFLGIGSTLFIGLSFLNAENTQRGLRNQMLGIFIFL ATFPQLVNQILPVFVSQRTMYEARERPSKAYSWKAFMIANILVELVWNSLVGVFCFVT CYFPMGLYRNAEWTDQAHSRGITMFLHVWMFFLLTSTFANMVIAAIESADVAGGIVNL LMILMFAFCGILAGPTELPGFWIFMYRVNPFTYVVEGFLGTSLANAPVQCSADEFITF NAPDSTTCGSYLSDYLSQAGGYLEDPSSTECRYCSITDTNGFLSSINVSFGNRWRDFG FMWAYCVFNIAVAVLLYWLMRVPKRKSA ACHE_11021S MHQHTCEFARALSLHNIDGGDYAGFRDEARSDEDRKGFWQLIQI DLSVRLVLNKPPLITADTWKVNLPWLNSSQPPADMYATAFIIHSRMTLIVMHFFAILD ETGIGDRELQRCTEELCHEIKVMLLDWQAGDWLLAPSAHKSEVFTKTDVLITGYTFII FMLRKVGIIGPHLTNSPTETPRLLSPLVLEASRRVIEMSIDLCHLFPYPEGLSMVLVY YRIDIATALLYSHVLHSTDENATVEDMQRLLDLSRCVFSIAHGCRELAPIGRAMEALN KMVTDHGVST ACHE_11022A MKKSLVFQPLLLIILVTSTVSAQTCYFVNGNVAKDDVPCNTTAP ISTCCSKNDICLSNGLCYLQGSDGPSFSRGSCTNKNWSGVCASAKPCENHTPGTGHRV VNALENQYCCGSVTSIDSSNINCAQDRAFYVSPGTVIPGVAALNASDTGNGVDNSTDG DNGGSSSDDKSTRLAIGLGLGIPLGIIAGSAIIWGAWERKQRAVSAKELRDLKAGAAA AAAGGAGMAMGGVAPNQYGYGYGVAPAQVPQAQFMQPVELEHTSSFPTELDSRTAARD HKHT ACHE_11023A MKTIAILLSFLPMLATANIGFGWSIANVSTSGLKDITFPMTMPR AKHENGLYFAQQFGFVGCDDVGYTGLQPRSDTENGTSIVHAVFSSFVAGTTTTDSEHC DDGADGGPGVSCAVDVPAPYSRPYNLVIKNVHDTTWTGTLVDAIAGNATHIGIYTLPA GTGGIQESQLGFVEYFLFNSETGGGCGKMPKADATFWAPRTNTSGLGVGKLEKPYPYG DCAEEGDFQTTRVGGGGYRVTIGFV ACHE_11024A MSRKSHKVTVALLKQIWRLNAWAGKLEERLTTEELKKMDDYGKK STKPLKLAVCKFKFEHLEKSFDVKEIGFGGAEWDLQEEFWYRSPQLGL ACHE_11025S MRLFALAAVICFIYWFKRWVDKKSHPVQSAKQDQDTQQDSSFKR FSPFRNKRSELSPKAFQIVQF ACHE_11026A MTRLVKSRRTHQKSRLGCSNCKRRRIKCDEKKPICTNCANHEIE CTFSARGSSEVSSAASGSISTSERHPREKKYRFRTSQYTTEVSKRTTQSPTLKSTETQ HKDVFTEASTDFISIPDLQLFHHFLVSTIPTTVDDEDGRVVWQNHVAQWALEFPSILH LILALSALHMGHEKPALRDQYLQQADDHFTFGIQSVTAVLSELNADTCQKVYISAVMI CFAYFGRGPRPGEYLVFSDNGPAEWLVLMHGVKLILESHRNKVFSGILEPKPNSDVPN ISPSLQNELQNHIAQVQGLQRLVDLQHLWDAGDRVMYVSAIDNLTSTVEEIYQKRSLR KSAVGLMHILMGWLYRLPRDFVAQLERKDPSALVILAHWAGLLKYMESVWFMKGWADH VLNGVCAFLPADLWVWIEWPMERVQEE ACHE_11027S MCHHALALWTANRVIWRRAYHGWLLPIVNNKRKAAAEKAVATKA AKRAQKGAKHPPTTTTVTTSNPTPAANANLDAAVRRRGVMKQLCDCEQHKGLNEFEQF PISGDVVSGSFGLLVMNSGDNGQLIPACAFRCPYCTYACSSKGHKGSTVRKHVRNMHI KTGHYPSLQMAQGSSGHPHHRLEKVVDLPPADESEFSASAAPAVSGPMVPFSAPVVTS GPASSSSSNNNGNQVSRSASVSSASARPPTDYDNDESDGFVSEPPASCTTPARRQPTN NQRPPSSNQRATTTAKRPLTGHRPATRSQHDGPVEEHIDPSPEEAAAEAQDADDLFYQ HQR ACHE_11028A MAKMSRALQGQEISTITTNSKPQGRTTDRSAIQIDIAAIGAAPF QRHLKRKDTEVFIASLSEIDRIIEEKREKERQEDHNEQELVQQLLPRQYQEYADVFSK AASDELPPQRTNDYRIELEEGKTAESEVGYSPLYKQTAEELEAARDYIVDNLHKGFIG PSAAPFASPILMAQKPGGGLRFCVDYRKLNAITRKDRYPIPLVDELMERTSGAKIFTK LDIRQGFHRIRLDPKSEDLTTFRTRYGTYKYHVVPFGLTNGPAAFQRFINDTLMDYLD DFVTAFVDDLLIYSKNAIEHELHVKKVLERLRAAGLQASIKKCEFHVTRTKYLGFILT TDGIEVDPEKTAVICNWAVPTTVRGVQSFLGFCNFYRRFIKNYSRIAKPLNHLTRKDV PFTWTNVCQEAFEELKKYLTDAPILRHYHPELETKLETDASDGVVAGVLSQKHGDLWH PVAYYSKNMSDAERNYEIHDKEMLAIIRALQEWRAELEGLQLRERFNIYTDHRALEYF MTTKKLTARQARWAEFLSRFYFLIRYRPGRENTLADALSRPVTDIQKKDEYRHQILLK PETVEAPIQVNDLEPALQVVDQILKANRNSATAEGYHKKAQEGKDDWTLQDGLLLKGN RLFVPDDDPELRTRLLDEVHAQVSTAHPGRTKTQQLIRARYYWPTWRQDAERYVRNCS KCRRAENPRDHVPGLLQPLPIAERPWQHISMDFRSFPVDKNGYDAALVIVDRFSKRPI SIPCKKTATSEDVARMFIKHVYRHRGPPSTIVSDRGPQFVSAFWDELCRILGVQLKLS TAYHAQTDGQTEIVNQHIVNRLRPFINRYQDNWSDLLPMIDFAAATLPSETTEASPFL VDCGYEPRTSFDWISIEGSPPRDEKISRQRAQGTAKKMKNIWTAVAEQIKHAQDQQRK QADRRRRPVDFDIGDKVWLSLRHYQTDRPNKKLDSQMAGPFPILERVGNSYRLELPDS MKIHPVFSPDKLRRAANDPLPGQMTEPPEPIVVADEQEWEVEEVLASRLCRRRLQYQV KWIGFDEDRTWYPATNFKGSPHRIRDYHQKYPDRPGPPCRLQEWLKAWEEGVDEIEDH PDDNMPTQSLGTDSA ACHE_11029S MSTSNFPTTPLRPHYDVVIVGGATSGSSIAWNLSTNPDFKGSVL VVERDPSLQYSATKASNNCMRQQFATTINVQIAQYAADFVKRWGPSFHQMNACRIPRF ATLDTFTCLIHRNSQRY ACHE_11030S MLSMADIKARYPFFYTDDIDSGSLNLVDEGAFNAFGMVQWLRST ARDNGVEYIGNEVIDISLDGSKVRSIKLQSGEQITVGTLVNAAGTRAATVSRLAGIDL PIEARRRYTYIFSIDEPLLQDLPLTIDPTGVHLRSYGTKDYLVGCPPIGPDTAVDVND FRFTEDAWEEKILPVITRQVPQFASARVTNSWIGHYEFNTFDHNAIVGPHSEVSNLLF CVGFSGHGSQQAPACGRGVAELIIYGRFQTLDLSVLSYKRIVQNRSLTERAVI ACHE_11031A MADTVDDNRDPPPPYETISPSGPDTAEDTSPSYVLPSSAAPLPE VSATNDGRVDVQVGQRFSRNVEWLMRRSSTRQPDHEPGSSRTRPRPEWTIKLNIVIQV VGSRGDVQPFVALGTELQKHGHRVRLATHSTFENFVTSTGLEFYPLGGDPAELMSYMV RNPGLIPSMKSLRAGDIQKKRSIIANILHGCWQSCIEPDPHSGKPFVADAIIANPPSF AHVHCAQALSVPVHLMFTMPWTSTREFPHPLANLRYTGSDPSLGNLISYHFVEWMTWQ GLADLINGWRKNVLELEPVPATEGPNLAETLQVPFTYCWSPALVAKPPDWGSHIDICG FFFREPPAYDPRADLVEFLNAGDAPIYIGFGSIVVEDPEEMLSILLQAVKMSGVRAII SRGWSNFEAPGNSSLHFLGDCPHEWLFQHVAAVVHHGGAGTTACGLRYGKPTTIVPFF GDQAFWGSIVASAGVGPDPIPQKSLTAEKLADAIQFCLTPSAATAAKDLARKMNRESG ARAAVDSFHAHLPRGEMQCDILPDQPAVWRLKRGKTTVRLSKLAAYALVREGRLQRKH LKRLETKPIVIDIRRWDPLTAISSASLSTMTGMADATAGIILDPYREYKRHRSRSNSA EAPTSAIFEQSSTPNRDLTHTRQMLLLSLTSLGKFLGRASRGALLDLPLAATEGMRSL PRLYGDPVKHHNPITSLETGAAVAWSTFTHGVYEGVTDIFVHTYRSKKEQGAVGVAKG LTKGLVGLTMKTGSATIGLVVYPSQGVYRGLRRSVRKGVEKKVEEGRWVEGEDIMRRG DWDGERVCGVLDEIMCSREI ACHE_11032S MKPTNSKIDQYWHNAGPCGLITRIALRTLQFILAIIIAALYGID LAHSTKTSTHAGSEWIYAEVVAALSAITCIVHCFATVTRVGWCTWDFVLFVLWMAQTG VFGNIYVRADVQGDYVHATGSLGRMSAGVWIGLVCMVLWLGTFVLAVGWCCRTRKVVR RTDQETANKGEENTEAGDAERGYKVIDAESETELDESDRDSIKKTDKGQCDAKC ACHE_11033S MSTTVDKQELLRLGDWMPTDPSVQQEWLANIVSVAEKEPADLHP VLCEFGQLIESDSVVNDLFTSTFKELPSKRLFSTNQAPKSPPIRDYKHFLQVLNKLLT TAPTWTDAHNRVGVVGMPINAVLDWPLGASSGLTAFLNPAVNGMIKKVLKAWGQILKS PESATVLNDTSNVTDHRAGRTTELASWPPPTAESYYIVYTDFEAFLPRKLIYIIHKNN RLFLRTF ACHE_11034S MVGSCGCGQLASSVVGYVTGWFGESGLKALTEAANVNGKNYGFD EVYVCDAAEVHYGFRSWDAFFTRKLRDGVRPVAGPDDSNIIINPCESKPYKVAHGVGD GEKFDVKGTQYSLQKMLGGDQLAQPFIGGTVYQAALSVLSYHRWHAPVSGKVSKTYVI EGTYYDQNRTNTGGSFLSKLPGSSFFGKDTDPEPADVTATATRAVILIDSDNPKIGQV AFMVVGLAEVSTCEITVKEGDSVSKGSELGMFHYGGSTHCLIFRPGIALLSFPSQSGN TVPVNQQLAVVS ACHE_11035S MTTTMIFYDIAMRPPVAETCCGPNPSKSRFALNFKNIPYSTSWV QLPDIANVRRSLGVPASRKFGDGTDFYTLPMLSDPSTNSIIGDSFDIAVYLQKTYPNS GEGDLFPPQTLDFVFEHDLALLAPLSEQKEGEFDEYARFNTNVDAAFSAHVPLMVHGL PLDPATAEATKAEFVRRAGVSSWDDFAVSGEEREKLKNSFRDTLGDLAKLFLKDVSGP FILGKQVSYADLIVGGWLRMMRGTLPRNEWEEARGWHEGVLGRLHDALEEYAEVK ACHE_11036A MRFFLLALMGPAACLAVCPYARQVSTPAGGCPYAKRMETRDINS ASIVRTQAMHPSEDPSQKGIMLMNRINPSTSQLYIANADGTNERLLLGNDSVFEYHAT FSPDGKSIAFTTERNGDGNSDVYMIDVDGSNLRKIAATPAVEDAVSISPDGKYAAYAS TRDVYTSNIWITDLETGATRNLTNQTGVTGDPNSPNGFFSPTWSPDGKSIVFSSDINT GWTGHDNGIGWEHTQNLSLYTITPQGMGFRQVYSEPALSFGTPKFSPDGKRLIFYSMT LQQTWNARSSYNVNATSNQIVSVDFATGLNRIKHTNTSGCKIYPQYVNNTIGYLLKGG SNEGIHYTNGNRILGSMRSPSWSSDGKYVVYEKTGWTTRPLQKKLYTWDSEWEYRFMD VFPDLSDQGMLVFNSKQTGTPGNSSVAVVPPSEHDFTIPFDTFEKGLTDSVSYESVTE GQSGCFQPSWSPDGKWITFGYGYWFQGRYSKPGYIYRTKSDGSYYQTLTTNTSSSGSN AGFPSYSHDGSKIVYRVFLPEFGLRVLDLETNTTTTLTTERDNLPFFSPDGEWILFTR NVTLPERGQFSNYEVCIIRPDGTDFTKLTSSPANDAHAVWTADGRIMWSSGMWGFQAE AATYDNTFQPYGQIMIMNVDGSNKTVLTNSMWEDSMPRYVPNAILKENL ACHE_11037A MRGIWFTVATFLSLGTSKFAVAAACNSSALNTTTYLYEVTKEGT TVFDVAHATKRGVCDIGRQNLMADVTIPPNVGESFLIPPETCAPDNESCLIKDVGRSR TCIYGGPRLYYTVKGDTYEKIAIRLNITTDSLMSGAVHGGASGSANETLPVGQFLKVP ECSPSQCIIQPYSFKWGVYKDLAEKYGTTVGQIMMLSPTYNYSSLAFSSGGTFPPINL PINCIALSNNITVLS ACHE_11038S MSDFQSLDFISYHNQDQSPFFSILPPEIRHEIYAYALSEYENLE RPYQKDSYWWRPGNTAFLRTATELLRTCRRLYNEAWLMQFALAEHRFYLTQADRAPGG TTWPRGFEKCNQMVYGSYGKHQPSGRFGLGGIRVFAQLYKLEPGDELQEILDVPDFYP RRVAVTIRYSDFWFWESNTPLHIDATWVNEIRLPDSVTYFTVDFEMIERRKDEVNLIA NDAVKKWFFERRDGAILTACKEDISVSTWTGSSILGGQRWVRDEIRPGQLDYHVVTVI WKLRQRGDCPPHPCPNLDVPRDFVQPSPPFTQTDSIDLRSLQIANVSLDTPAAEAWRA MQRYYESAYQGTTGFDDE ACHE_11039A MPIPHYGVWVVRGIRYEAEREVDDPISPHIHLYFKDHPEGRQER RAAINVKSRDKDSRLVYWISKNFTHPLTHQLSELDYGFELIKPNRGPLQGVDYLRTEH LLPGGIEAGKLVPHDIPGPDNDILDKVEPILAAAINGNAKIYLFGSSFGSGIHDIHMN QGSLPQYPNGVYQDGAILVEFQNGHWEAMFLAFASQKARTDDESGEALRGSGSFAEIL KEP ACHE_11040A MAENGTASAMVPNHPRTVSYWRLMTDQQVITQEVRNHEYAGSGT ENDPYVVSWLPDDPRNPMQFAMARKVVIVLVTGFSALIISLASSGYSGSIRGVIQYFD ISEEVATLGLSLYVIGFSVGPLIWAPLSENVGRQIPFFISFLLLAAFCAGCAAAQNIQ TLLVLRFFAGAFGSSPLTNAGGVVSDMFTSRQRGLALCLFASTPYIGPAVGPLIGGFL GMNAGWRWVEGLFAACSGLVWILVAFTVPETYAPVLLRKRAARLSKITGECYRSKLDM GQEGVTLGKRLKTVFSRPWVLLFREPIVLLFTFYAAVIYGTLYMLFAAFPIVYEQERG WNPGVGGLPFLGVMVGMLTAVAYTVMDNQRYIKTQERHNGFAPPEARLPPCMLSAITV PVGLFWFAWTNSPSIHWMASVAALVPFGFGLVIVYMGIVNYLIDSYTIYSASVLAAMS VLRYMFGGVFPLFTTYMYKGLGIHWASSIPGFVSVACIPLPFLFYKYGAAIRKRCKYA AISAGYLQKLQETAAAEKSPASTSK ACHE_11041A MRMRRRVDLSKRIFISVLAILILVNTYHLWLGKQYFSPIARAAF HDRQAHFRDRFYFLLDKYAPRCPPLELIESAGWPRYDAVFEIDRENHIDNADEILQPM QTAHDGFVQTTRKLDIPYLILTEGIVSSAGGKYMPTFVVTLRLLRRTGCTLPVELFVK DSTEYESHMCEKILPELNARCVVLSEAMGLENPKNTNTTDGVKIEHYQLKSFAVLFSS FEKVIWLDADCIPIHDPATLLTSDPFKSTGLVTWPDYWASTISPLYFTISRQPEFSTT DRATTEAGVFLVSKRAHSRTLLLAAYYNYYGPSHYYHLLDQGAPGEGDKDTFIQAAAA VGEKFYTVSEKVADLGRRRYEWNDHDIIHVAMLQADPAEDYKLTQQGKWRVEDASVAL APRAFFIHANMVKFNPGEKLLEESSNEDGDGRRRMWTAPETSVRRLGYDVERAAWEEV MTVSCTLGNAFETWEAGDGFCEDVQRHWEAVFENHDAGGLNFTQH ACHE_11042A MLWISPNRRGNASSFASCTINEHDPLWLRIEATATEISTKTNTA LFQRQGPRTNELDHKFSVFEPEPEPYGVLPGIEEVLFSPAYSAIDVRSEPEEIEGNQD ENTCCFGDEQSQEEVSRQKVSQNAPIENKVTTVNENHEPTIIVDESPREAHEPEQHGH DGTNAPTATDKEKEASNETGQNNKGQPDANDDAPAIAASEQQTEITESPPQEREIIAD ATNISNLSDNEKEALHECAQDNEKSLDIYDNAAAITAPTRQTEPVEVSPQKREIIVDN GDKAISGSYPLFELLSLSTTSGSISVAIVPQPADPMNPNEPARLRIRSQSGDVSVCFT APAEAFLPGMRTQMEMVECAEYGNGNRYDNPHTLPLRPYEIEIESDSGTISGRLIVSI SVHLSTKSGSISTTLIPIASDTVQNVSILTQTRSGSQQIHLTNPVYMYNSQRDVSNSS TTRAPAAHFSHGGGSMQIRYPPDWTGIVRAPPGCGSVSLVGQGMSVVRREDGSVEGCI SGRGDWWGASGMDVSLGSRGAAMFYVG ACHE_11043A MSDSNSPPPSGGKKPKSTGEYTKSSDLPDGNYDIFVIPPHSAGS GFLYLPSLQCQRNSFLAGSASTLLFVLLWSNISPVVRGWYVLMVTGGGTGSVGSVIGV MLGMVVVGVIGWVAGALQAGGAGKWRERWGREKSGGRGPGEFGDAGPGTEGQSAGGAS DSKGPGAGGQQENQRQQQGHGGSGKGSNKKGKQHSAGAGDANSESAPNDNDEGSKYAE WERARDQEREKRREKQRREEKRQHEEEQRREQLRREEQRKEELRREKEKKDQEQRRRD EQSWREKEKQEEERRRRDEQNRKEEAREEARRREQLRQTQEEARRREEKQQKEQEEHR REEEKRKEEARQESRMREELRRREEEARRREEVERKEKIRREEKQKEELRRREEENRK EEQRRREEQRQKEEKQKEEIRQREEEKRKEEVRRRQEEWRKEALRRKEERQKEEARHE EEKRQEEIRREEERKQEVLRREEERKKEEARVEAERRRQEIRRKMEEYKRQKEEAARE KQRQQEHEAMEKELRERKEQFEKEMAAVREAAAKESREREAAEARAKAELEVAEARAR SERESAEAKAKAEKEAAEAREREEKAAAAAKAKAEKEAAKKEADAKFAALKEAAAKKY AEKKAKDAAEKKAKEEAAAKEEAAAKEAAAKEAAAKEAAAKEEAAKEAAAKEEAAKKE AASKANASSSDSAPPPPPPHRTPLPKKPGEDDNPYERPRRPYNGGATGSTASESSYAP SHSTARTSPPPSSRGGYSRGGYSTKDPDKIVISGVFAFNHNFVDIPVAQLVSGQGMVS DGLVLKITTEGLFIDDDIRGVPQREWDAKAWTMKSVEVWCSQYAASPQARQNPTKSSN PFRTGSTLPPTPEESDAYLVDVLRVCKNTCRLGSDNGNDDDTLNFAKHGHHVLRASFR EQDGKNYVFVLGKSEGWKVAIGLQRLRRGTQIRSLSVLNMTVTDCQSVMENLGYA ACHE_11044S MVGNTDAKPIPAEITQDVSKDNGQGINITIDSRAESTLVWKFDL RILPVLAVMYLFNSLDKSNLGNAKTAGLEDTLKLKGNQYNLILSIFFIPYVLTSPFLG ILGKKYGPNIVLPSMMFTFGLCTILVVAVFNFSGLLAIRWFLGMAESAFFPLVIYYQT TYVCPSLLYTWYHGNTDGNVDFTVVESSPVVSPSSMRRKASLLHFQVFLLLGYFKSKQ DRSQHGDTYSSLKEEVQSSVHYSLYGIYQDQPLKQNFSLPRKRSLHISVYNLTAPLL ACHE_11045S MTWGTSAPSVLLDTWYNNNIANEGRRMLLTSIAVPVSNLMGVVS SNIFRNQDAPKYLPALTTTAAFGGTGLLLTLALGAWMMVDNRRRDRRQGVSLKAQDIP TERLREGPASVDFRWCY ACHE_11046S MSYPQAYPASHRPMPAYNPMAAISAPAGTFLPGTKVQVGSHRVV VEKYLSEGGFAHVYVVRLPHPIDGTESAVLKRVAVPDKAALANMRTEVETMKKLKGHR HIVKYIDSHASQLRGGGYEVFLLMEFCSGGGLIDFMNTRLQNRLTEPEIIKIFSDVAE GVACMHYLKPPLLHRDLKVENVLISRRGGVSFYKLCDFGSTAPPRPAATSAAEGRLIE DDVQRHTTLQYRSPEMIDVYRKQPIDEKSDIWALGVLLYKLCYYTTPFEEVGQMAILN ASYKFPSYPQFSDRLKMLIASMLKENPQKRPNIYEVVREVCLMQGKDVPIKDIYSGRS QSEARRYQELPPSPTEQPRIGAVFSPPVQETPISIPEIAPMRRGRPTNPTSQHNSAKP SPSPYRGSTDPFAVLDRAGSKSRDPADEISNRFPTLDQFDILHEKGGKFEFEPTTANS KEEEDLSRKLTNALADDAFARPEEEPVRDSVVNRRSQVPSSMDTPSYQRKELPSTPTS RQQTPLYQPVPQKPTMVSTGTMTSPAPTPDFLEKKSSCPIFRFPSSDNQRQQPSASGR AKSPSPPRLPPNKPQPPPKTSTDRVNELTASSSRLSLDTARPSSLEVGDPLTRSKSAN AKPRPVSMHTGKYDLPRESESARSSLELSRNPYEGVLSQARAEMDRDYDRANITSDVD YLRAKEEEELNRKREKRASGSSKHSKRSSLSHLSLSNTKTLFASRFGDAFRRFEGGQN DQKPQSPATEEIPKQSLTVTASEVTEPPDEGDNEEGDGDISPEMRRELERRRLSQEEK RVASAAEEYRRRVAEKGGGPRPRPDGRSATIQNKVQSLIGESNKSAPQKTATGYGRFT EPSNPPALQAKPSELRSEPLTTNSVPVYGSYTGGGPSPVVKETPIPKLSTASTEYAPA QRAQRTGPRPAAPPKPKALRVDSDLMQSGQDRSPTVAKTPTSPSEDWETSFSRRYPSL SGLEMVETEIEISKVTSLRTKEV ACHE_11047S MAPVKLSTVDNDLKDIIQQLFEIQSAVHGYLGQETQQELVRKIK TLTLALSTLSSHTQDQQPPSTDQQSQQQPQQGNADPPLSSIQLPPEIIDYVDTARNPD IYTREFVELVQRGNQDLKGKKEAFASFRDVLAREMRSAMPECRGEVERVMKETG ACHE_11048S MSNGTFIDPGQGITGGLADGPWGIKTALATLAAVAWYNALELIV LVFVTFREYHGLYFWSLLVSASVGLVPYSLGFLLKFFEIWSAWLSASLITVGWYCMVT GQSVVLYSRLHLVMRNQRILRRVLIMICVNAVILHIPTTVLTYGSNIAPKGDQHPYML GYTAMEKIQMTGFCIQEFIISGLYIWETTKLLRLDPDRSKHKIMYQLIIINLIIILMD LGLLIVEYIGLYIMETMIKGVVYSIKLKLEFAVLGKLVRLVHCHIWKSDSVILRYHDH PNLHRHTPARHYLPDFVDATRVTTDLTHAPTVTTTATASTVKTRRPSTLPPAVAARRR SVPGMDYDAISIAMFEHSPPSRVHSHSSHSDIAPDDLEAHSCHHHNRSIQTHDHDLDA SPSEFSKWSGDTRTVTNNSATTTTFPADFTESLDKQCSSWPCDRS ACHE_11049A MTPKLSHANPLAFTPWPVTLLTAAIYLAFAIPLLVIHHVVPPAP TSSATPHGLNLTEAWTDLQLLTDGFRPYNSHQNDLVRGWLLTRIGEITATASPSENDG AVDAEEEGEGRPEVIVFNDLQSNLTFAGSVLGSTGLGVYFEGTNIMVYIRGSEDEKGA FWEHSDFEPEKGGVLVNAHYDSVSTGYGATDDGVGVVTCLQLLRYFTTPGHAPRHGLV VLFNNGEEDFLNGARVYSQHPISKFTRTFLNLEGAGAGGRATLFRSSDTEITHSYAQS EHPFGSILSANGFETGLIRSQTDYVVFEGDMGLRGLDVAFMEPRARYHTDQDDPKHTS LDSLWHMLSAAVATTETLVSEDSGPKSESGSRAVWFDMFGSAFVVFRLHTLFALSVTL LIVAPLTLLATSIALVNVDRMYLFRSQALGNGISLGGARGFFRFPFLVGVPTAVAIGL AYLVTKINPYIVHSSPYAVWAMMVSAWIFLSWFVSRVADFARPSAFHRVHTLTWLFVA TWAMLVAATVYENQRGLAGGYFVFFYFVGVFLATWISYLEMFSLPRKAEYLSRFVAPL PSQPSSSSRRASSYSYGTNSRLNTATADEVYNHIEETEGDEAEEPPSESTSLLRGGRG SSGGPRTTFANYTRVASISHSLSSDDTNDSGVFGYEQPWSSYLPKWTWIPQFLLIAPI VLMMTGPLALLLTTALHQTSQDGSPALFIYISIAGLTALLFSPLVPFIHRYTHHIPLF MLCIFIGTLIYNLVAFPFSESNRLKLFFIQEVDLNTGVNRASLTGIMPFVHDVANDLP SVSAADKDVTCAPFGDRVKCSYPGLFPHVTSTNTNNTKYQDWLSYNITRSTQEEKVQF RITGQNTRACKLVFNEDTPIPDYHVHGSAYDEERFPHVSLGDDGNPLGTREIRLWSRL WDGEWVVDVDLPTATKRDTSTANGIDDESEGRVKGRVVCMWSDQNTIGSIPALDEVRR YAPAWAGVSKLADGLVEGYKPFEI ACHE_11050A MPRSRQAARFPSELPSGSSSSTSPERAADDDTDFFTAQANDSQS SVGISNYRDLDGQSGQDIMLPPIGRLPPEILIAIFTRLSSPADLLNCMLVCRGWATNC VGILWHRPSCNNWDNLKSVAATVGNPSSLFSYSELIKRLNLSALTDVSDGTVVPFAQC NRIERLTLTNCSHLTDTGVSGLVDGNRHLQALDVSDLTSLTDHTLHIVSQNCPRLQGL NITNCAKVTDESLVAVSENCRQLRRLKLNGVTQLTDQTVMSFAQNCRAVLEVDLHNCR LVTSESITALINALRSLRELRLQDCKNIDDSAFLSIPDYLMLDTLRVLDLTSCDLITD ESVERIVNAAPRLRNLVLSKCRLITDRAVLSICRLGKNLHYVHLGHCSNITDFAVVHL VKSCNRIRYIDLACCNNLTDRSVQHLATLPKLRRIGLVKCQSITDRSLFALAQPRAST HPLGVSSLERVHLSYCLELTLEGIQALLNQCPRLTHLSLTGVHAFLRPDLTVHCRAAP PDFTQQQRQLFCVFSGDGVGRLRDRLNEIHPPPVETMFDDEDFDEDEGQVTGLMHAAV INDDEYMDVGSPSHG ACHE_11051A MSDAKSRLSGLLGHFVGSQPAPKINFHTLSPTFFLPRAASIEPD ATAVHHITRDGKVLRRSYIEVADRARGLAYWIKKHGYKRVGILCPNTPAFLESIFGIA AAGAVNIAVNYRLKQDDIAYIFTHSDAEVIIADEEYLPLLQSYRAAKPGVPIIVDTDT DVTAGQITGPFDSAVFEGLKYDSDNGGKGWDALESQAASEDDVIALAYTSGTTARPKG VEFTHRGCYLAAMANIVESGLNFDERRCHYLWTLPMFHAMGWTFPWAVTAVRGTHYCL RKIDYPQIWRLLKEEHISHFNAAPTVNTLLCNSDEAEKLPEPVRVTVAASPPTPHLFE QMTNLNLHPVHVYGMTETYGPITKGYYMPSWDKLPLQEKYKKMARQGHGFLTSLPVRV IKTDVPEGTITDVERNGNEIGEIVFIGNICAQGYYKDPEATRKLFLGGVLHSGDLAVW HADGAIQILDRAKDIIISGGENISSVALESILVTHPDILEAGVVSVPDAHWGERPKAY VTAKQGKRLDDKDVISWARNKSDISKFMIPREVEVVPELPKTSTGKLRKNVLRDWAKG IKSQ ACHE_11052A MSATEPVVVIDGKGHLLGRLASTVAKQLLNGQKIVVVRCEALNI SGEFFRAKLKYHSFLRKMTRFNPTRGGPFHFRAPSRIFYKAVRGMIPHKTARGTAAME RLKVFEGVPPPYDKKKRVVVPQALRVLRLRPGRKYCTVGRLSHEVGWKYQDVVSRLEE RRKVKSSAYYERKKAARRQLVSSQKSAAVNDKTKSQLAEYGF ACHE_11053S MHRKTRFVCVSDTHAYTPAEAGFKLPAGDVLIHAGDLTNHGSLS ELRRTIDWIAKSDYEVKIIVAGNHDLTLDPTFYARHGTGLQKDHLEDPQECIEAITKA SSSILYLRHESAVVRLSRPDGPKTVFKVFASPYSPSNGNWAFGYETADADALWGQIPR DTDLVVAHTPPYSHRDNRATGGLVGCAALRQVLQRVRPKLAVCGHVHESRGYERVRWI SAGETDPAAKDQVVVVPGVLPSPGSKKQSLVDLTGKKAPRLDNLWSWAHGNCTTPRMK DRSLVSGSGSGSATDGNRLAMEKRQMTEYEGGGDHDDSDHAIRAQAQRQETCIVNAAI MGTSWPHRGGKRFNTPIVVDLELPMQKELSLDEDPGYDKQ ACHE_11054S MDIDPYAVLAVPKDATLPEIKSAHRKLVLKCHPDKIKDESLRNE AQDQFQRVQQAYELLCDPARRTKYDQKVRLAELRREMKDQQHPYASPRAGGNVREYRD GRIYEERMPADAYFDDGFYHSPDEQPPRGTSRKHDDYGRRPRAEERRKPRPTTTTSSP FNPFRAAKEAAARDSTKTSHSTRDKSRTKERRREAYEKYENVYVDSDASGYDSEPSRT YMRPSESRRASPDKRSASSPHKPRSSSTHPATESRRFQAEVVEEEDEEDSDQYETKHD KLHTTARDYIMRSKGNAPIEIDSRQRSSRSPQRPREYTEPPASDSARSSATPRAKHSS RESVRQSSSRNSSYEHLEPSTAHPPPPRTHYESFKVPSMPTAATSPAAKAASSATRPT LQPSRSATTAAAYSRSASKRDSANFLVNMVYADGTSRSSRVRSTDRYDSGYSSPGTPD MHGTSPPKTSTRYKIITEPELLREVPPTPPMASTSSRYQHGYSPSRPHPHSHHSHTHP IATPERPSFSMRAAATPNRSHTTYAPESRGPRPMSASRPLFGQVGSKEKPDVKYAREY GPDDVVYTARDPYTHHHGPPRAYSYDDYRQTASRRQSAYA ACHE_11055A MSSLKQFIRNVRSAKTIADERAVIQKESAAIRASFREESHDSGI RRNNVAKLLYLFTLGERTHFGQIECLKLLASHRFADKRLGYLGTMLLLDENQEVLTLV TNSLKNDLNHSNQYIVGLALCALGNIASIEMSRDLFTEVESLLSTPNPYIRRKAALCA MRICRKVPDLQENFFEKAKTLLSDRNHGVLLCGLTLAIDMCEAEEAEEGQEGVIEMFR PLAPALVRALKGLTTSGYAPEHDVSGITDPFLQVKILRFLRVVARGDAETSEMINDIL AQVATNTDSNKNVGNAILYEAVLVILDIEADSGLRVLGVNILGKFLANKDNNIRYVAL NTLNKVVAIEPNAVQRHRNTILECLRDPDISIRRRALDLSFMLINESNVRVLVRELLA FLEVADNEFKPSMTSQIGIAADRFAPNKRWHVDTILRVLKLAGAYVKEQILSSFVRLV ATTPDLQTYCAQKLYVALKEDISQEGLILAGAWVIGEYGDNLLHGGQYEEEELVKEVR ETDIVDLFINILNSTSASQTVVEYIITASMKLTVRMSDPSQVERLRRFLSSKTADLSI EIQQRAVEYTNLFGYDQIRHGVLERMPPPEIREEQRVLGPITKKRQSKHLKDKTKKPS KPADSDLLLDLISGNEAPAAASPTPTSTQNTADLLADILGGGDSGVSSPAPQPIPTTN TSAIMDLFGSNGVTPSPKPAEPASASMDVLGGLGSTATPPPAAAASVAHTAFNKHDLS LSLAVQRASNGNAQIQARFRNSSNFTSFSGVGLQAAVPKSQRLQLSAINKAELEAGDE GVQVMKIAALNGALPPKLRLRLRVTYAKDGSEPVTDQVDWSEP ACHE_11056S MSTAVEQKDGHLIARPRVVASKDDDTLTPVDQTTSNASDRSTPV PEDAPPSAHSISTARAQVRARRRLFYTIDYVPRVSHFDPRSDYHNFRGFFALFWIALA IMVFTTVLRNIKDTGFPLRVRVWSILSANVCELGLSDLAMVVTSGLVLPLQKVFRSRG WLRWRRGGMAIQSLFEAAWLFLWINWPFMRRWTWTAQVFFTLHTLTFLMKIHSYAFYN GHLSDIERRLFLLDNPKSTLTDGVDQYPHVHQPSQRHTHKRSGSIPELREDLAMELTS PLGSVTYPQNLTFANYLDYMFCPTLCYELEYPRNPRLRWSELAFKTAAVFGCIFLLTH TSEEFIVPVLSEANANLQLVESIPEKGLVLAETISMLLFPFMITFLLVFLVIFEYLLG AFAEITRFADRHFYSDWWNSCDWLEFSREWNVPVHNFLRRHVYYPSRSHFSQPVSMII TFLVSSIAHELVMSCITKKLRGYGFLAMMLQLPIVTVQKSKYFRGKTTLNNTFFWFSM ILGLSMMCALYVLV ACHE_11057A MARDHLVIPFLVTMMLVTGVCNTILNKFQDMQCVRNCDSLDPRE RKTFEQPVIQTIQMFIGESGSWIFVFGFYVYRQYLAPRLSNGASPLLVGGYNPVDTDD RYDDEDNTINNVDGAPRLPKPSDVDEEGRVKLKGAKIFLLAAPACCDIAGTTLMNVGL LFVAASIYQMTRGALVLFVGLFSVVFLRRKLYLYQWTALVVVVLGVALVGLAGALFGD DAHDVPQEDATATVLHALMQARAVAQTPETVKAVIGVLLIAAAQIFTASQFVLEEWIL ENYAMDPLEVVGWEGIFGFSVTVFASIILYLAVGRTPAGRYGYFDAKEGWHEVFSNRN VALSSLFIMLSIFGFNFFGLSVTRTVSATSRSTIDTCRTLFIWLVSLGLGWESFKWLQ VAGFALLVYGTFLFNDIVRPPLKACLPRNVSERQALVLPEEPIEHA ACHE_11058A MNPANFPNVGGAMPGGANPQMPNNENAVIFNHAVKALQAQLPFS GWKAEVRIQERAIKVFQILSSLRLLQPQINVAQAAHAALTFEQKALREANQRTEYDKA CNEKLAHIRDTRARQAAVLHNGIMPPGVGQNQVPGSMPPQMNRPMQPSPIPNPEQVTM GMNDPNHRAALQQRQQQQSQQQPQPQPQPQSQQPQRTQRPGANVSLPDDLGSLTPQEH EQVRRHAQQIMSMTSQEELEKIKLNLQNMTPEQKQFIAKKQMDPLSYFFRCQAVNNLR RHKQRNMAHVPNAANNPMTGDPMTAQQRQMFQSMMNLQRNSTFPGHAQPHLDPSSFIG NVENIQGQQADGLRSQEEGQLVVPASSSQMNQPRFPPPQNVFQAGQPLDQGGQAGMNG AGISPQFLPQSQLQNPQAVQQDRSQHATPSRAQVQVQAQTQAARLQAAQKAQMAIAQA GQGNPQLQQQIAQQSPAMPMLNRPMPPGQMSPTQVAAQARPPSRPQSMGQHPTGVQPH PGQPGIQGRPQIPANLPPHVQAQLARMTPDQLNAFLNAQRRRAQQNNQALAQANAALQ QPMQQSLSQPGQGQPMVNSQMDNNQALRASLGLQQQLPNMGGGQMQNQMSQQMSAQQQ QQQQRQHLYQFHLLNQQGNGLEMTPDQIKEMDGLPFPPALIGNSQTSPLPKHVKTWGQ LKQWAAENPQVMGGVDPNKLITIQKYHLAQIMTQGKRNGRNPDQAGQAHGAPMSASGR NQYEQHFPGGAQGQLPMSVPPVTPQEVQMARHRLGVQVQNYTDEQLRDVLFRSRLNKL QAARARAMQNYAAQNVNQGQLAQPAQPIPQPPVIAPQGTPQAKPQPQPAQQTPQANQH AQAVKVQNGTPGKGPTKGAAAKQPPKRKSNAEESLEGQNPPGLMPTQTAAPQVPSVPG APRPNLNFTREQLAAMTPQQREKAEAYIRRQQSQNRTPINRAAAEEAWNNLPENIKQL YNDISRTVPFGDPIPLPPEQKAVMSQQLRDCIDMLSRMDTLVQWFAKVPNQEKNVRSL LGMRIQLLRQFKEVPEWTLNDELTLSPERLTGCIGYIKRLFTAMIQRVNLQQQHQPAG MRPNMPQGPAPTVPPANQNNMPALNASNLQQLQQQEEALQRARRASSQAASGIAPGVP PAPFGAPSPQGVPHAYGPGSMPPEKLKLPPAKRRKHSHAGVTPGQAPTPGTASSKTPT GKPTASAAFKCSVPECQHHYHGFASQSALDKHIEENHKAEQNIEDPLEFAIESMRGSL VKEEKPEPKKGVTAEATTASGKQEVKPEGVTPVTTGTTPMGRVPSHIGLKSASPASNQ QMTPRPSSGKVPAAAAAAAMKSTVSKEAKKEAAKVEPSGVEATAKDPWADSTISLEAI HDAFMDFGNEGLPGLGVDPMDEFLNSEMFTTAQSKDTPESVETGAGTQTPKDGELSKD EEMNVKIGSDPDENWIPADWVYLPSRFDDGFMLNEPSDFDWQSIDRKEADFNDDPGIA IYAM ACHE_11059S MSFQPRSSSIMVSVTSSIPVGSSVFFSLALFAICILVLLLLRRF LTLRATPGYLSVPVFLALALPASVVLLVPIDLASSSRDDDTGPKAIWLPDRVVLVSWR IAYWLIFVLTWAILPLLGEYIDSGYREPKGRLLYSIRSNARYQLIVLCCATVGLIYVS IQNGFDFTSIKGLVMALAYVWGLVLAIYLMGHGLVSIPRTLFRNANVSGRLRRIQAHA PRVHDRLMDAVNDLEVLESQVAQLQSRKTGTARDFQEWIEELAEGSSPSEPRAAFHEA ADRSATVPAVITERYLADLTRRLQRARHQKARFMDEWDRLVVSAADVQAIINSSASTK LEFADFPRRTSILSGVTILTPYLRYHLYVHVIPNFRLLLGALCSAASVCIIWSELFKS LLPRLSVVSLMVTHNRQDPKPVGFWGQVTASAWLLYMCSAALVGVSDAKVWGNRALVR RNTYGESACWYAGLVARLTVPIAYNFLTLLPENTRQHTTFYLFLGRLIDLTPLGKGFD YFFPVFILLPVCATLFNFYGRVKNICGLGLAEEETEDLENNPSGYGVGGWREGRELID RELSGIGSLALSTRGGRSNWQPTRGEGLSRAFSSSSASSRAAPADGSRSSRAARGPLS AAINEEDEDEENLFQAFAHRVKNTWETTSTPQWFQGEPFRLPRWLTGNENGGNNGNGV TGLFGGRRNNGNVRL ACHE_11060S MTLLSAQAQAQTGSWGYHYLETIAGNINAHIPVSFRHRLASAIL ELDSSVKKRLDWLGFDLSKYRDYDSATVGVVLCVTATILLAVVIAITIAAMSGGNWRN PFNRSFWSRTPSYGPGGGGAPHVSDNDYSYVTGGDIHGHPQSRPAPADDGPDIIFLKH HKYKYTLEFPAYAMSDGALSVGQLRQRAAEVTRTPDPQRIKLLYKGKLLGEDWVQCKA EGMKQESEVLCVVSEVEPGESSEGEIHVPVQRTGTEMSAMTEEGGQGGRKRNRGKKGK KAKNKKEAPTPTQPPPPQPQAHSQQSKPAISTSASSLPAPAPNLNSFNTPFDKVNALA TYFRTELLPPSEAYIASPPSEPKYRDFEHKKLTETIMAQVLLKADSIDHEGDQGVRSA RKALINEAQETSRRLDQAAKS ACHE_11061S MATTAAYSADVLMSSGGVHGSQTHWEYSVPVRQDSFNRRSKSRT SHDSHRKSRDRNSKGSRSSSMSRQPYMHESNYNRNPSRGRRDHSRGRGSEVGSSRGVY GHESGSRSVGNVRESTNGAPQTLDEEAKWIHRDKLAKIESEELHQAALLFHRRTGIET KSSRGRSQATHQRGISESSETEPTEQSEPWPNMSEEQREYNNESPESFESNGIATGPH DERKNWDLRKPEEIASEEAIDDGASSVYRNPHLRKSSSRIPIPRTTTAPNLPTEARSR AQTMGDDDEDTSSPSKPRRASEPMTMDDSTPTPNGSRPNSRGANATMQSAGGRRPTAK SAQGSTNRKTSAPATSRKTTKSRTSSTANGTRPTTRGDTRPTTAVNRPEGDPPWLATM YKPDPRLPPDQQIIPTHARQMMQQQWEKEGKTPTTYDREFAPLAIATDAPPSNNNDNN NDNNEKTEEPPKEEQPQEKSEKPETEENSSWPLSSPKSPDSTQPNTGYSPMPRVQEPP PAGLTPKWSPPVVTAQEPPPPKEKKGGCGCCIVM ACHE_11063S MSAAKTKAQNLIKENAVVVFSKSYCPYCNATKSLLSELGAKYEA VELDLIDDGSDIQTALQEISNQRTVPNVFIQQKHIGGNSDLQSRKGELPGLLKAAGAL ACHE_11062A MATPLRTCSLCIRRQYLAHHTNSRFFSTRSPLRNANPLRTGNAR PTSKDQDIANTRRSMTRSAAGIAACAVAMYGIIELDVFGLKQAEQNKQAPEATKTNSG AMKMDGPPGFPSQDASGHSVIRVEGQDGADFVETGTSTIPYFPSTIRLPKTVDANLNP GDDVPPSVEEEEYQLLGLGIRTVSFLSIQVYVVGMYVATADISELQQRLVRTALNPPV DNTKSAVTEGAGANYATSLVPGERQQLKNLLLDPEKGDDVWDAILKKDGLRTAFRIVP TRNTDFLHLRDGWVRGITARAQKANARANADASTSAQGEYEDDTFGTSLNTFKGLFGG GQRKSVPKGQILVLARSPRGELDALFRPGVDKPVAWLGRVGDERISRLVWLNYLAGKN VSSDAARRSVVDGVMGIVERPVGTVVQKIV ACHE_11064A MSIESCGYCDLTFLVLGGLFFFVFGLFVGTIEILVAEYYPEYFP DNGSYWSDHETKVDTEEEDLELYSSAAEDDATSGTDVIGTCVYCSLSIRGKPLQTCIE CNGYNCHRCLRTFFLLATQNEEFMPPRCCGMAIPLAIGRQVLTGTEAETFKKKHEEWN TAKRCYCPMPACSAFLSPRLFPELRREGPVISCPECGVAICTACVGVAHEEWVCARDD DITPELDWALKEVGAKRCPKCRTAVEMVDDVCSSMRCRCGANWCWHCYRDAEMCEGWP CETVQGQLVYFEEEACSSSDEDYSDLETPQNTDSEDDAVNCKDYSDKIKACVIQDNRR TVPFNCLHGWRFVEHWEFDVGLQFECECCWGLMLPCFKPPGLSLACVQMDRFATRPED KMIADGLGDGTMLTCAVCSSFVCNKCRKKIDAGVLDF ACHE_11065A MGKDDKTHINIVVIGHVDSGKSTTTGHLIYKCGGIDQRTIEKFE KEAAELGKGSFKYAWVLDKLKSERERGITIDIALWKFQTAKYEVTVIDAPGHRDFIKN MITGTSQADCAILIIASGTGEFEAGISKDGQTREHALLAFTLGVKQLIVALNKMDTCK WSEDRYNEIVKETSNFIKKVGYNPKVVPFVPVSGFNGDNMLEPSPNCPWYKGWEKETK TGKVTGKTLLEAIDAIEPPVRPVNKPLRLPLQDVYKISGIGTVPVGRVETGTISPGMV VTFAPSNVTTEVKSVEMHHQQLKEGVPGDNVGFNVKNVSVKEVRRGNVASDSKNDPAA EAASFNAQVIVLNHPGQVGAGYAPVLDCHTAHIACKFAELLEKIDRRTGKSVENSPKF IKSGDAAIVKMVPSKPMCVETFTDYPPLGRFAVRDMRQTVAVGVIKGVEKSAGGSAKV TKAAQKAGKK ACHE_11066A MPLTFCPNCSNVLTISRADPSPKYPLGVNRFECRTCPYQYILKS GWSEKTPMKQKEVEDVFGGKEEFANADSMGTQCPAENCNGDRAYFFQLQIRSADEPMT TFLKCTTCGARWREN ACHE_11067S MADDGASPRELVVEACRRDQPHLIEQVLEGMQGKSNEEVAEFFN GVVDALGNYALHICAMYGSYDTMDSLFDIQYFECDPVTRRDQETPLHTAVRYANEKEA EVGTEMIKMMCEAGCDPRVRNKAGQKPIELVYNNQEAKAALQQAEYILAEGLRDDAET GSVHDSASDSE ACHE_11068A MPLVRKRRNPDPDEEIYASSPAGPSTQQAPRRRRRETQNTQADS DTESAADSSDDDNEDEDGTTHAPSSTDVMVKKLVRLVLASEYSRQVVRRTDISAKVLG EQGTRQFKAVFQGAQKALQERFGMQMVELPGKEKVTISQRRAAQKVEKPSSSNKSWIL NSTLPSQYRTPQILPPTKAPMESTYTGIYSFIIAVILLNGGALQESKLERYLKRTNAD TYTPIDRTDKLLQRLCKEGYLIRNREMDGGEEIIEYMVGPRGKMEVGTQGVAGLVREV YGQVAESGDMTALERDRMEEFEQQLARSLGMRRAGRTEAEDENGDEDQGSGRRQSRRQ DDDEEDD ACHE_11069A MPHAEKATMGEVTVNGEKLHHSQFLDHLTSYPIISDSIATFKSN KYGAKSLHYADQGYTRLAKPVLPYLSKPYSYVSPYLARADTLGDKGLSRIDMRFPIIR EDTEKLRTTIYEGAQGSVRIVVDAKGHIVELYGSEYKKCGGDGYVASGKAVITTGLIL SQESLAYVSSLLQTKKEQAKDAVQEKDKSNTE ACHE_11070A MKFMKVGRVAIITRGRYAGKKVVIVQPQDSGSKAHPFSYAIVAG IERYPLKVTRRMGKKTVEKRSRVKPFIKVVNYNHLMPTRYTLELEGLKGTVTPETFKE VSQREDAKKTIKKALEDRYTSGKNRWFFTPLRF ACHE_11071S MVLVKARIALFGLCCFFCCYLPLFLALTTILCPYDPNSFDDHFV AIPKKDVVNSFLLPPEIRYHHHHLQFEPPTLPLYKGKYIAIMPHNRDASRDSRDRDMI VRSQTVPMWDSSDPERAPPPLPINPGTSSPTTKSNVSPKVQAVAANFAEKCRDNVAAP YTTNPMPPKPLSPEKSLIKGNYHKRMQSMQNTDTKSEFLNYLESKSPEKSLRASVLDA SPKSPKSPKSPEKFNKKLEIAPADNQEGERDPPPPFGINSRYLSKPILNEPTPPSATM LALQNMQLPTESETSTSSRSKESSPTPFMGSQTTGPQNDPHNPGLESLSTQIYSLTDI ASNLQREMAQLSRRSKDNATDLISLKAATNARDEDIRKSLRELSSNLTTKFLDAETTG KWDYTSVFGSDPGISHRDRARSPHARKSHSIPRMPSPGPFAAAMERDLCGSPGPISDG SASIALLEKVLREMATREGQENLLDAVDEIKSRPMPDGHGNNADRAMTDMLEEILQIV KSNSGSQALVRSKASANPFEGSSNSDRPRARSLDPEQVLAPDMEILHSDNGSVVEPQY ERDPTQEMLHILKRVKTSVIEGGGLTNEVKALVRELRGEVLGMGRNIAEKLEEDERAR SVEGGKTQPLTKEEVAAVVDASLADLKELLASVVNESRQSSSAFAELRSSMNGDEIYS IVKQALDEQSSTGPHGDDVRKEEILETIREGWETYKPEIELQNFGLERDEILECLSEG LKSYQPQHENAVTYDQVLAAVQAGMQSFEQPPSITKDEIIQTIRETLSGPEVAASQSL ADEQVTRMKDEILRAVTESVISQNTLTRDTLNSGIGRDEVLRAVSDGIEAHFSPSKRL EQPQVTKEDVTNAVNEAFSAQHSALSTQAQSSPVTRDEVLTAIAQGFENHNPIAPEIE LNKDDLMDAISAALNEATASSNNNIGDKVLERFHEVLGSMKDEFRQYSDTNGNDNKNV LNAVKDGVDVIRKEIEGYAANTGQSSGKTEILETVKEGFKLLQADMEKVVADASFANA PRGNPDTPELLDAMEKEFEHLRQSITSMLMRSDLSSDKEEILDAINDVTQFQKNQPKK EDLEKAIKEQFENQPAHDEVVKIIKEEFEKISSSMNTTFAACSEPTTNKEDIIAALRQ SLESFQDEATRSKSGGEALLLNAFNDGVGAIKSDLAKVLDKPAASDATELLESLKEGL AGLKAEVEAIRKSQLDADEATANEGKELTLAKEVNRSDNVMDGLRVMVGQLQAKVESI EAPPAPEPAEDALKKDHLDEVVEGLKELRESVAGIQARETPAADEAVAKKRDTDAIEE LLRSTKAQLDELKFPVPDEIAKSEQVSALENVVKQANDAISELSNRLESEGPTKTEIG TLESLLKDIWIAFDEFKSKSESKEEDSEKVVKSDLQTVEAMVFEVKTQIEELKLPDVE TLPTKTDIEGLSTLVTQLREKTEADNELAAKRFDTRKSEHDGIVEKVDAAKAVVEKLG DELKSKLDGSGEGLSELKQVLGGLASSAQYYTTVENIKELSDLITKEFEQVRGDQETG KSEREEKDAAAMVKHDEHRAAIIAELGTKIDEKIAEVVAKYDEAQNAADAKFYATEER DNTSLEAITSTKALAEDIKLVIGAMDNSLNESYERINTDTKAFFEKIDESYNQVKASQ EQARADAEKTAATTDRLETELHQFHPQVLEGVQEVLTIIGQHYSHSQRSTQDIKTDIS SLPSSIVRLLPPPPEVYDDTKAQEKLDSLLAHARDTHVQDALKVIVERVTSEQVHQKL DQLMSHSTSTNSQVYDKLEELLGHAINSNGPVHDKLDTLIGHATNTDQSVTQMMKLDE IHKDMMDSSRRMQEMLVAQSAMMAEDNDRKRKEAEEAAVALERRNAEKEQVEAEIENF RDEKESLLKIISSLKSEKEDLSKQNAKMSKELSGLEMALELRNEEMQVMEERAESLEK RILEGVLDHARSVLVRGPKRTNSKRARGTRARGPSASNGSKDGRGNNVAVALKKRPSQ KESNKERRIFSLSHVTGNRGAGGDRQVSSGSGIASLKRSHSVKSNMSQRMSSWSIANK ENDAFPEGDESDTGTEQRTNYLRNGSDVEGEDDDVQTTKGNETDDQLDHLDEEAKRLV LYKKHQATDSGVGTEISSGAE ACHE_11072S MLDPLPPPPSWLQNAVEPWALYFNLPTITDHIHEVILAFVFYQF IHSYLSPWLSPVLFPQHYPNFNKRTKLNWDVHVVSFVQSTVVCAAALWVLFTDKERKE MGVLERVYGYTGACGLIQALATGYFIYDLYVSVIYVKMFGLGMVFHGVSALWVFALGF RPFVNFYTPVFILYELSSPFLNMHWFFDKVNMTGSKAQWYNGMALLSVFFSCRLVWGT WRSVHVYSDMWQALSQTWSATASSASTLDPVNISANVFKIRDGNLCVDEACAKAQAEI SKYSHYTAAGTPTWLVVTYILSNIVLNSLNYYWFSKMIETVLKRFRGPAAAPTKKEEK EGVKEEEEKDVVLDAAAKLEQEHDGLFLAEDQKASVLNSSTPNLVEDLRKRKVAAIPS ACHE_11073A MNVPMQPNYARGIPQRSPATPRRGPPGPAMMPMPMPQQPVNPQY MPAQRSMPHPNDAVLRRSRKPTDKNIPDGVEDVVIGEGVQQYKSLRDLEKRLDASIVR KRLDIQDSISKTVKKYRTMRIWISNTVENQPWQDAADEPGSTPGSGRYKVKIEGRLLD DDNNESSPSDDSDEDEIKEEKGVEMEQDGEKPGDKKESSRPKQRFSQFFKTITIDFDK SSSVTPEEIRTIAWNKPQLPPNSTASLPPNVDFDCLQFSRASQDNLNVTVSLVRDETP ERYKLSKELADVLDTEEETRSGIVLGIWDYIRAMGLQEDEEKRQVRCDDRLRAIFGRD VMFFPQIPESIGPHTSPMDPIKLPYTIRVDEEYHRDPTPTIYDIQVAVEDPLRTKMLT LTQNSQYTAGMHKIASLDDQVALIVQALTHSRAKHSFYTALSKDPANFVKRWINSQRR DMETILGEATRGGGEDGSGPEFRRGGAGGAWDTPVAREAVRYMLAKPEAMGLR ACHE_11074A MAPSSVAAQRTEEARALAAKDPSKAESIYKEILAQGPGTTEASS RDYENALVGLGELYRDAKKPHEIAELIKTSRTAFSSFAKAKTAKLLRQLLDLFSEIPN TLDIQSDVIKSSIDWAIAERRSFLRQNLQTRLVAIYMQKQAYFDALNLITPLLRELKR LDDKLALVEVQLLESRVYHALGNQTKARAALTAARTSAASVYTNPNLQAGLDMQSGML HAEDKDFQTSFSYFIEAMEGYSSLDETDMATAALQYMLLCKIMLNSVDDVTNLLGSKS AQKYASPRLEAMKAVARAHANRSLEEYEKALSNYRFELGSDVFIRNHLRRLYDAMLEQ NLIKVIEPFSRVELAHVAKLVGLDTQQVERKLSQMILDKVIIGVLDQGSGCLIIYDET HRDQAYDSALDTIAKLSNVVEALYTNQASLLE ACHE_11075S MKIKALSRPTASQQAPGSSVVRQPRNLDPAQHPFERAREYTRAL NAVKMERLFAAPFVGQMGDGHVDGVYSMAKDPNSLDHFASGSGDGVVKVWDFTTHKEI WNTQAHENMVKGVCWTPEQKLLSCASDKTVKLFDPYRSSSETPPLATYLGQTPFTGVS HHRNIPAFAASSSQISVYDLSRPSSMPSQTLHWPTSVDTITSVAFNQTETSVLASTAM DRSVIMYDLRTSSPLSKLVLRLASNAVSWNPMEAFNFAVANEDHNVYIFDMRKMDRAL NVLKDHVSAVMDVEFSPTGEELVTASYDRTVRLWDRAKGHSRDIYHTKRMQRVFSAKF TPDNKYVLSGSDDGNIRLWRTNASDRSGIKSARQRAKLEYDQALVNRYSHMPEIRRIK RQRHVPKTIKKATEIKRDELAAIKRREDNIRKHTKKSALSKKQHEREKMILTQEQ ACHE_11076A MTKPPTSNLTSSPPSPPSRDKKWHHHITIDLFLSVLNRTLLHPW FAWMAVLSLRAQATPYTDLSFVLATIYASLLTILALTRAVNHRIAYGLPRSVDLNEEV VVVTGGASGLGLLIARIYGLRGVSVAVLDVKEVAEIEGWSDEVAGVEYYRCDIGSRRE VEWALGRVKKELGTPTVLVNCAAARINGQSLLSLPAEAFQRTIRTNLLAVFNTCQVVL SGMLSAPNGGTIVNVSSVLGQLHAAGLSDYSASKAALSALHKTLEAELRVSGEYEKVK MLLVETGQLSTPLFDWVQTPSNFFAPVLEPVQVAQEIVAAIDEGRGGVIRLPAFAALA RWYAVFPAGLQRIARWLSGIDHAVTKASPARPPFDRVAALQNLQSDSESVDTD ACHE_11077A MPQSQNTTNIDPSVRPPSDAIKKPSTITGRVDIPKLSPATTELL ARVTGSFARKDGFDPISGWNSPSIDTNLNNKWKAQGTGNMKSSSAFLEITPSHLPPSR PAGPQPSIPAPAQNDYIETSTTDMINLAPKPADPVSTHLNPQSQPQAMALGTKTAKQQ KTAAPRARQSANGTKRTVKRRRRGDYDDGEGIIKAGDSTSDESDIAPPATQTKSGRQV NRPSLYVPPPASSPSFSNRNTAAVPSPSSNLATTPGSARKCKRVMRKGKDINANCVHC QRGHSPFTNAIVFCDSCNRAWHQLCHDPCIDSEVVLVKEKEWMCKECKPVSLSSIQPT VVRSDPYVVQTQPAQVKLQIPPLEVGGSEFSGDECRGYLSSLSHATLVELLVTLADRN PDLPIFPGNLKSLPSSKFPLRSGMSVSVSTAPANPPVVGSLTQAPTNNAGKKRRHSEI SENDEAEYEVEDHRLYPRAGNGFRLSVNPGDLDIMQEDPACPTFSYSLHGSAKARAET NDAVPVWGTA ACHE_11078A MSVALKFQALVRRPVVNSPTIAAATGLRRTAPWRQSYSSAVGDT LPLTGIKVLDMTRVLAGPYCTQILGDLGAEVIKIEHPVRGDDTRAWGPPFAKYQDESR QGPGESAYYLAVNRNKKSIGLSFAHKSGVEILHKLVKECDVLVENYLPGGLKKYNMDY ETLHAINPKLIYASITGYGQTGPYSNRAGYDVMVEAEMGLMHITGARDGAPVKVGVAV TDLTTGLYTSNAIMAALIARGRTGKGQHIDASLSDCQVATLSNLASSALISGKKDSGR WGTAHPSIVPYRSYKTRDGDILFGGGNDKLFGVLCDRLGYPDWKTDARFVTNSDRVKH REEIDGLIENTTKQKTTQEWLEVFEGSGMPYAAVNDIQGTLNHSHVQARGMVTEVDHP DCGPVKLVNTPIKYSHATPGIRTPPPTLGQHTDEILGGIEYGKEDIARLKQDGVVS ACHE_11079S MFTGLVEKIGRVTSLEPLDTSSSGGGGTSLTISNCEEILTDAHL GDSIAVNGTCLTVTAFDKTWFKVGVAPETLRRTNLGSLKTNSTVNLERAVKADTRMGG HFVQGHVDTVATILSVMPEGNALVFRLQPRDKGVLRYIVEKGYVTLDGASLTVTKVVD GEEGYWEVMLIAYTQEKIVTASKKVGEEVNVEIDIVGKYVEKSVESYFTQASGGDYGI LEKMVSRIVDERLKN ACHE_11080A MARRVKNRTHAKPANASAKAKASVTASGNVSKTPKSMVIRVGAS QVGSSVSQLVKDVRLMMEPDTAVRLKERKSNRLRDYTVMTGPLGVSHLMLFSKSATGN TNMRLALTPRGPTLHFHVENYSLCKDVEKALKRPRGGGQDHKTPPLLVMNNFNSPDAT EDSKVPKRLESLCTTIFQSMFPPINPQATPLSSIRRIMLLNREQEEGSDSYIMTLRHY AISTKKTGISKRIRRLDPKEVRNKDPKAAVPNLGKLEDAADYLLDPSAAGYTSTSETE MDTDAEVEVAESTTRKILNKRDLQRMKAGDKEKAEKKINSAPEVEKRAVKLVELGPRM RLRLTKVEEGLCEGKVMWHDYITKSADEVKKMEKSWDQRKKEKEQRKKQQKDNIEKKK QEKAKARAEGKEVKSDDEDEVMDDEDDWLSDDLEEGEGEEQEGEMDSDDSMEE ACHE_11081S MPGFKKQKTVKLESRKVKEPEPPSREDSGSEAEETPDNPQAQDE TAEAGDKKPLPKSFKELGVIPQLCEACDNLGFKAPTAIQSEAIPLALQGRDLIGLAET GSGKTAAFALPILQALMEKPQSLFGLILAPTRELAYQISQSFETLGSTISVRCATIVG GMDMVSQSIALGKKPHIVVATPGRLLDHMENTKGFSLRSLKYLVMDEADRLLDMDFGP LLDKILKVLPRERRTYLFSATLSSKVESLQRASLSNPLKVSISSSKYQTVSTLMQSYL FIPHKFKDLYLVYLLNEFAGQSAIIFTRTVHETQRMSFLLRALGFGAIPLHGQLSQSA RLGALGKFRSRSRDILVATDVAARGLDIPSVDVVLNFDLPTDSKTYVHRVGRTARAGK SGVAISFLTQYDIEVWQRIERALGKQLDEYAIKKDEVMVFAERVSEAQRQAIMEMKAY DEKKGTRGKKGRGKRSRDEMDEEEG ACHE_11082A MVTASHNPAQDNGVKLVDPMGEMLEAEWEEHATKLANAPLDKIA DVYEKLIQEIDVNMENPARVVFARDTRASGSRLVSVLNAALTATEVEFADMKYMTTPQ LHYIVRCKNTLGTQYEYGEPTEQGYYEKLAEAFKRVMRGIKVKGGLTVDCANGVGGPK LREIIKYLPSAEEGGLDIKVVNDDVINPDSLNFECGADFVKTKQRAPPSSKAAVLDRC ASLDGDADRLVYYFVDESNVFRLLDGDRIATLAASFIGDLARSAGIAQNLKIGIIQTA YANGSSTDYIEKVLKLQSVCTNTGVKHLHHAAMRFDVGVYFEANGHGTITFSENALKT IKSAEPQSPAQQRSIECLQGLTDLINQAVGDAISDMLLVEAILAHKSWSPKEWLGTYT DLPSRLVRVEVADRSIFKAYDAERKLESPPGLQTKIESLMSRYNKGRSFARASGTEDA VRVYAEAASRSEADDLATRVANAVREAGSVKEILQAS ACHE_11083A MGLGTIGRTFSCLFVFFIFATLIGKSSASLGDHLPEFKECVKVC QAENCKDGNSVIPFHLRLMLWNCPAECDYTCQHVVTDLRVARDPPMITPIVQFHGKWP FRRMIGMQEPFSVIFSLLNFLAHWHGISRIKESIPAWHSLRKYYIWFGYCGLACWTFS TIFHCRDFPLTEKLDYFGAGANVMYGLYLAAIRVLRLDQETPRFKPTLRRLLTVLCAV LYTLHVCYLSFWSWDYTYNMIANIVVGMISNVLWVGFSIYRYRKQQKMWTAWPGMIVL WIILAMSLELLDFPPWHGLIDAHSLWHLGTVIPTAWWYSFLIKDIQNDVAGIRYKA ACHE_11084S MSERQPSIEPSAMMTSSADRMAGDDHAEVRYFTSYDHHGIHEEM LKDDVRTRSYRDSIYQNRHVFKDKVVLDVGCGTGILSMFAAKAGAKHVIGVDMSSIIE KAREIVEVNGLTSKITLLQGKMEEVELPFPKVDIIISEWMGYFLLYESMLDTVLYARD RYLNPGGKIFPDKATMYVAAIEDGEYKDDKIGFWDNVWGFDYSPMKDIALTEPLVDTV ELKAVVSDPCPIITLDLYTVKSSDLAFKAPFSLPAKRNDFVHALIAWFDIDFTACHKP ISFSTGPHARYTHWKQTVFYLRDVLTMEEGEVLTGVLENKPNDKNKRDLDITINYRFE TTDPVRYAENGCFYRMC ACHE_11085S MSHPDLITVAMSAPGAGHEFPSKEVSWQKRDVLLFANSIGCKAD ELHFLYELHPNFSVFPTYPLILPFKLTDQEVTDFYARSQASPVPGIPDFDYRRVVDGQ RKLTILKPLPTTSSDKKFELRNKVVGVYDKGKPGTVMETEQSIVDKETGEVYSKTVSS NFFVGQGNWGGPKGPSTVSYAPPGGKSPDATHVVQTTMETAHLYRLNGDYNPLHATPE PGQKMGFGGIIIHGLFSWNSAAHGILRELGGSDPKNLKEFQARFASPVRPGDQLTTEI WRMGNTQGGYEEVRFVTKNDKGKAVLSNGRCLLKVVGPKSKL ACHE_11086S MSMPRLSSSRVLTGPWKNTSASFTPKHINLRTNYRNPSTALRPS PQQQQRQHQQLQFIRRFSSPAQPAAEGPHDTVAEKQEMVVETKNDPSAIRTENIPPKV KKFLGYIEMEFTVKGRPALTFYGEFWLRDNCQCPKCIHPDTRQRSVDTFKIPQDVNAN KIRYENRAVLVDWSDGHTGVYPTFWLLAHQNKSPKRPYPSKAGPSFRRFKKFHPTDPN SHYPTVTHEKVMTDNTAVRDWLEKIYDWGFCFVEGVPVEPEATQKLIERIAFVRHTHY GGFWDFTADLSFKDTAYTTEFLGAHTDNTYFTDPARLQLFHLLSHIDGQGGESLLVDG FRAAQLLKLENPQNSKALHKYRQPFHSSGNEDTCIQPVIQYPVFVTHPTFKQQLYQIR WNNYDRAAKVDWSSKEQEEWYTAARHFNEIIHRKDLEIWTQLQPGTALIFDNWRMLHG RSEFTGKRRMCGGYVNNDDFLSRYRLLKFGREAVLRNLGNTYNSASNPNMFF ACHE_11087S MSTLEDLDDLEREQRDKKQDQGDGDGDGDGKKPEGRDGDAEMKD ADEKKKEEEEDLLDEEILSSSTADIVKRRRMLENELRIMKSEYQRLMHEQNTMRERVK DNQEKVENNRQLPYLVGNVVELLDLDVEADAAEEGANIDLDATRVGKSAVIKTSTRQT IYLPLIGLVDHEKLKPGDLIGVNKDSYLVLDTLPAEYDNRVKAMEVDEKPTEKYTDIG GLDKQIEEIVEAIVWPMKEAEKFKKIGIKAPKGALMYGPPGTGKTLLARACAAETNAT FLKLAGPQLVQMFIGDGAKMVRDCFALAKEKAPSIIFIDELDAIGTKRFDSEKSGDRE VQRTMLELLNQLDGFASDDRIKVLAATNRVDVLDPALLRSGRLDRKIEFPLPNEEARA NILQIHSRKMAADDSINWHELARSTDEFGGAQLKAVCVEAGMIALRKGQSKINHENYV DAIAEVQAKKKDTNMGIYV ACHE_11088A MNAVVNTVASHDAVPYAPSGNFYRDLQSQQPPSPSSPTSPTSSV SSFRSNYSVASTAPTSAYSPTSPTSSASWRLCDSAASLDRILEPVIKRLPQEVYDVIL AQLETLHTGCHQNGCVTCFQRDLHSLSLTCRVWEKAVRAKLYNRIHIVGNDSPAQLKK YRLKRGSRLKLLRRTLRERKLLANLVLELRVPQMELLFTSGKHVGQWEEYRDLVASVV MVCPNLERLLGLSIPYHHEFDRLTHALSTRKKLKEHTWIIGEARETSEASELSPRISS CPGSLGPLQMFEFLDYHASWSHMETMTLYALDEHKSTLEPSIFLRMLTMLPSLRHLCI TGFHADAFADSALLCLPPLESLRLERLPGVTDTGLTQYTSRPESQSLKSLTLIEQKVE SLLVISKILSSLCQLERFQIVQSDTCPQVEPDAMVFQPLLASSTLKYLHWDVAVPNPG TALSKLDYAPFVKPPKHTDTPNSHLAQSIISSGFPRLEALRAPSDIEPPGVLQGVCQP IPKGQALLQPDRYSLPRSSHGSVKARPMALPAGNNLTSARIRAQTFIDMAAKDNETGM RCLITDHSDSYVPDNALEDAESDDDDDFDADMEAFGSWSARERPQLNPTAAAEQKQSD GPVTVFDFHMPAYMGRIGSRSGDRDVSIPKFILRPDLPGQDADGGLVAWKHILASNQS LNYAAGVGVHCFGNKTGSRPPPEEPASPASTTIATPRLWGSIGSRSMMSLNTVNSPAT PTTPSTPMSFGATPHLPWEKETCTGSWNYGHKNGRDWWFHMERERPNHLDVIDLKRLF ACHE_11089A MATAQGGTELREESTPKPLPFQDNATHQPQMGSNSPTPTGITTP QPDPTDKLQPSIMNNYFQVGPSSGPKSTTSFSLPDPMDNPQADALVAHAPESRSSSGR SSVSSEPLVMMGPDKSSAAPSDKKPHVSENKSVTLGPASLPTPPHCSAHSLLQKEPDE TEMVSSVSDKGMGSSIFTTLKSYLSVPSGGTAASVPESTARRHTSHPVSSISDNTVLA SHFSNPCLPSLADAPVVDHDKPPRVSMSSENLVKLTMNAADASRLKNTPPLTPRAMSN DGAQSESHPTDTNKPPTSCPEQSEQSKPQQTDEVTEEIAGKMDEVFPSGSSSRDRSSS PSNPSVGSLKGKLVVTICEARGLRPGFDPYVVCVFESNEVISKSAHDEEEALIERQQK EQEKSDLEAGRPIAIPLKSRQSSSNSLPSLLEGHDQKGKLMTDPHWNHEAVFDVLGEQ SEVDVSVYDRSNQEAFLGHVRLTINLNEENDRMDGWYPLTARGAGDTQVSGEIRMEMR FETTDKKQVGPNDFQILKLIGKGTFGQVYQVMKKDTQRIYAMKVLSKKVIIQKKEVAH TLGERNILVRTAMAASPFIVGLKFSFQTPTDLYLVTDYMSGGELFWHLQKEGRFQEAR AKFYIAELILSLQHLHEHDIVYRDLKPENILLDANGHIALCDFGLSKANLTRDDTTNT FCGTTEYLAPEVLLDEQGYTKMVDFWSLGVLVFEMCCGWSPFYAEDTQQMYKNIAFGK VRFPRDALSTEGRNFVKGLLNRNPKHRLGAKEDAKELMAHPFFADVDWDALCAKQVIP PFKPKLKSDTDTSNFDPEFTNAFDQNAHLNQHAALVANELMPGSTPLSPGMQANFKGF TFVNESSMDQHFPDESDDRVDEDLRMDDQAWQRSHRATEQQQRMSGVQKTGEAEPGIF HVDEHFDM ACHE_11090S MARKPRAAAQAAAQSIKNVPPPPEQSDEEMAEAEAPSHEPSPVE EQEEEEEEEEEEEPREDASEKEPEQEQTEQSEQHEEPEQEQPQEEAETETATPAATAT PAQDSNTPSHPDSPSRLGRPAIPRKRRIGRPPKNRPPDWDLQDDGFAQSPNHVGTPAK RRRGRPNASGGRWARNRGASHVSQVPVDKEGKAMEVANDEVVLPEDAEGETKVDKNGN LLGDREYRVRTFTILDRGDRKYMLSTEPARCIGFRDSYLFFQKHRMLYKIIIDDEAKR DLIDRHIIPHSYKGRAIGVVTARSVFREFGAKIVVGGRKITDEYYVQEARERGEVEGE LAVPEDKLPPPGEPYNRNQYVAWHGASSVYHTTGPSIPLPTGKVVDSKKRKVTVTGVN WMMEHAREASTYNSSILTARRTNLEGSYDIHTNVMQYPKIMQSTHARWERVPPPDPRV ASRLAQGLSSLSLTNGAETEEPGPNGNKDKDEDMNESQDQLDETTSTKQTEPDTTTQS PFPTVPAPLSRRFAIHDIHYETPPHSNLGIPGPDGDVHDLGSNGLISTANPQHPEFVT PEILDELPPECREALLESAASEWEWKERWGSEGRDAARATPLKSYAWFP ACHE_11091A MWSWFGGAAAQKRKDAPKNAILMLREQLDMLQKRERHLENQMEE QEAIAKKNVAANKTAVAKAALRRKKVHEKNLEQTTAQITQLEQQIYSIEAANINQETL NAMKAAGSAMTQIHGSMTIDKVDETMDQLREQHQLSEEIAQAITSQSVGEQPDEDELD AELEGLEQEAMDERMLKTGTVPVADQINRLPAAANGEPKDKTKQAEEEDEEAELEKLR AEMAM ACHE_11092S MTTPLPSRLVFLSQLHSLPSDSKVRFLGCVRIYNVATGHLILEH NYPRQAGKDAIIAVDINPLLETVTSEELRVGTWVNVLGYVRKPVQDTGKEDYVEAVMV FPAGPVSLGEYERTVRSAQDVDLARGL ACHE_11093S MLLIVAAVVALTLTLYLYHVNRAMTLVPEEALKFSPHRWTVEEI TKTYEQSIGSPVDVIKHLPPKQNRRYVVVGGSGLVGNWIVTHLLARGENPAAIRILDL LPPRQQILDQGVVFIKTNITDKTAASDAFTQSWPSKEIDQLPLTVYHTAAVIRPAERH KALLHLCTTVNVGGTRNVLEAAQTAGASCFIATSSGSVGLRRASFWVPPWISAPKRLV QVLRDQAVDQPKEHNQFFGNYAVSKYQAENLVRGADSAESGFRTGCIRPANGIYGIGA DGSGSILGLYLRSGGNPTWTAPIIQNFVNAENVSIAHLLYEQQLVSPISENKNIDIGG QYFVITDPNPPVTFSDVYLLLTTLSKTPMNFTYVSAVPLFLMSYAVEFYAVIQYRFLS WLLPPLSWELAQLQPALFSVSDVHTIADEAGAGWTGVFCAYQHAEWDV ACHE_11094A MREARFAPWENVLIVPSGTNVDSKHRAFRNFLIATENDPALLDD PSTEVKVIIEVARMIASHMSYPDDMEVEDLARITIDSLMAIEIRSWCRRHAGIDVPLV DISNSGTVGALSKIIIKILREKYEKGASADTLLSSTALPDKLEILRQDTDLGKTIQAV SGPIPNWLSDIEGRVFFTGATDFLGSFLLSSLAHLPQVETIACLIRAPDAETGLARIK RNLTKYGLTMDFDSKLLVIPGDLTDPTFGLGQEKFDQLAHWASVVFHLGAYVNYTLPY SSHRDVNTTGLLHVLKFVSHMRLKPLHFTSSIGAAGPRGLLTGEDVGEDQRPNLDTER ARQHVGYTQSKLVQENIVWDAIDRGYPIAIYRPGLVMGHSSTGVCKPEDLMNRMMSNC ILTGCYPDPPQQRNQFVPVDYVCSALLRISMYNDNLGRVYNLAHTKQAESITMAEAFE IVSACCSSPLRCVAPSKWIEEVSSKGQHKVKLSTSFLDEQLSQHDVWWGGGSPGHMAM YRTENVRRALADCPEILEVKSMAELVRTYHPQWVNLTKI ACHE_11095A MGKIVIQIPDDSSALPVSKAREGTACLRSDVSYLLVGGLGGIGR AVARWMVEKGARHLVFLSRSGQASPESQALVRDLKSQDGCGVTVVAGSVADIEDVRRA VAACRKPVGGVLQMTMVLKDQLLMSMGYEEWTAALAPKVQGTWNLHNALGDTQLDFFI LFSSLSGICGLSGQTNYAAANTFLDSFVRYRQCRGLTASVLDVGFMGDVGYVFEKATD IREQARSVAVPILEENDLLKGLETAIVS ACHE_11096A MRHLDHLWFVLARLLIVETYHQTRSSTPTSEHLKRYLAFIESQY EVICSASPELLPEMRDVNASDPQSRRSQIEQLVAMRPDCEDGDSWPRASKPFKLVLDR IGDILQGNVNPLELLMENNVLRYFYGSLFIRMDWDGFLSLLSHSNPTLRVLEICAGTG ATTAIVLKGLASGHKGRMYTKYTFTDISPGFLPDAKNQFKDHQGIEYTTLDISQCPMK QGFEPESYDLVIASNVLHATPSISETLSNVRRLIAPGGHFLLHEICNPCPFIDYVMGL FPGWWIGEQDGRKDRPYISTERWHNELLEAGFTGNDMVRLDGDDSYFITASILSRPQP KEVSRGDLHLLYRGTISQWARNLEKLLILDGYTVRWCTLQQTPPPGSDVISLIDLEGP FLDDLSSVDFAAFKQCFSALKNVRLLWVTRSIQLECEDPRFGLSLGLVRTCRHEIAED FVTLEIDQLDGTAIESVIKVLNKMKSQQDKPWLYPDYEFALQGGVIHTPRFQWSTIDD QLVGALDGSESKTLDIGTYGVISSLTWASASPLLALAEDEVEVDVKYVGLNFRDTLVM LGLFGNMSECGVEGSGIVRKVGSGIRNLKVGDHVMLASAGLFSTRKVLPANVCLKLPD NISLQDAATILCVFTTAIHSLVDMGRLQKGQSVLIHAACGGVGLAASKYVK ACHE_11097A MRGIASDSLMDGTLLSGGEIDMPNHAGHFPVRETSTFQSRDGSL PDIAPVPFPIAIVGMGMRLPGGISNETEFWDFLINKRDGLCKVPEDRYNIDAFYDTSK PGHVRTRHGYYLQDDIAQVDNGFFGISKTEAAKLDPQQRLLMEVIWECMENGGQTQWR GKNIGCYVGVFGEDWLDLMSKDVQNNDRYRVVCSGDFAISNRISFEYDLGGPSMTIRT GCSSSMVGLHEACQAIYSGECSSALVAGTNIIITPTMTTTMSDNMVLSPSGICRTFDA AADGYGRGEAINALFIKPLSQAMKDGDPIRAIIRSTVVNCDGRTPSITTPGSEAQERL VRAAYRKAQIEDACRTGFFECHGTGTIVGDSSEASVVAKVFGEKGIHMGAVKPNVGHS EGASAITSIIKTVLALEHKVIPPNVHFEKPNLKVIPFNEAKLQVPVEATPWPKDRIER ASVNSFGIGGTNAHLILDSASSYCQGQSSQAVSRSVPGPQLLLLSAKSASSLDRKTEE IKKYLQDQPAATPDLAYTLAMRREHMQHRAFALAEEGIAPSFEKFRSSCPSAVFVFTG QGAQWPGMGKDLISRSKRFRESIRTMDRALQGLKSAPEWNIEDELLKCKGESRVQEAA FAQPLSTAVQVALVDVLRDWGIIPTSVVGHSSGEIAASYASGAIPAEVAITIAYFRGQ ALQTRSSNCPGAMAAVGLSPERAKSYLNHGVTIACENSPQSVTLSGDEGILLEILEQI QKDDDILCRRLAVNTAYHSHHMYGPGESYESLMHGQISHNDSIMAQYSTATGTVIREP SKLDAAYWRLNLQSSVLFNTAVQRILGAHEETKLFLEIGPHSALSAPLRQIFQSAGDR QRPLYVPTLRRGADGWKCLLTTAGHLYAHGVPIELNTIFPDRSTLTNIPPYSWDHEER FWSETRVTRDWRLRQARHHELLGSRILGSSDIEPSWRNILQFDTALWLLDHRIQGQVV FPCAGYVAMVGEAIRQVTGSAEYSKTLSSSPLSDRRSSLMTLTRFGTTLQSLPTEMVH GKSIAQDKFEGSLTRHMKRHRSSLSFGQCNLKTGTVH ACHE_11098S MKPAKPSSATMDEQTFVPPTMRALYYAPLPTIPNDPTAVSSQTS ITFDTDFPVPKPSPAQYLIKVQTTAFSHDEVRLAGLLNPTQSIPQIPLHNVCGTVIST PSQDHWNSEGPKFKVDDVVFGLVSYSRDGAAADYVLAYEDELAFKPRNISAAEAATIP LPALTAWQAIFTYAGLDDNPDRNTSNGKRKHLRVLVTNAYNNEVGMQAIQLLRSKSLF PNQHRPWICATCSSPEEEFALRQNHHVDETIVAPLPVDPSFDLATRFRSNRWDPVDIA LDCADGLLFRQAHSPHVVKNHGAVLTAVDSTPAQTQQHTEKDDKGKRSLFSRFIAVEP DGHALGRIASLVEEEQALRGRAESIVDLVNGADILDAGAAGANGARRGGMFVVRVN ACHE_11099S MNPEYSDDFEDKPLPLKLYSEYDDEEDLPIKKRLEALVNGDMSP SQAAIDFDTTITEVTNRRQKEMMKRPDPQALTPEERAQGVNMYDLVPNPRLAIHTIFL SIARLCSAFPPYHPGQNQIVEFLEALRALPRHEVYTGCPSEDPNEPYPTVLLWPLEGN WEAVAELFDYEITSCYPPYRWRNYNSAMARLTCSGLVDCGFLSSLDDILLSSDEYPDL QKRPIDGPNKIGNFMLGAAQWIMWSDECHYVYQQCKKVESVSGLRQMWSMERWREWKH QFAFVAGDERFVQKYREVAERSHRQMLICESEDTAE ACHE_11100A MSSAGGLTRRRGGGRAGGADDNDDSRVSSPISRNGSALDHRGPE TSFTSGENGHKIVFDPRDLSETEERSKQPKLTLMEDILLLGLKDKQGYLSFWNENISY ALRGCIVIELALRGRISMQKDSSRRRFPLADRVIEVVDDTLTGEVLLDEALKMMKSSE KMSVNEWIDLMSGETWNLMKIGYQLKQVRERLAKGLVDKGILRTEKRNFLLFDMATHP VADGGAKDDLHRRVRAICSNRTVILPPNTWLPEDAEFRYLRSIIMVCAAYAANVLENA LVTMSHEARERAFAQVDELLAEYSQWPFARRAGGSQAIGANLAQAINDEVNKSPDREL QMEIVAACLSVFTRLDSLL ACHE_11101A MFRSAVVRSLRASVPRAVRTPASFQIRSSPIARPAQFTPRFAYQ GVRLYSAPAGLNKEEVEGRIVNLLKNFDKVNDASKINGTSHFSNDLGLDSLDTVEVVM AIEEEFSIEIPDKEADAIHSIDKAVEYILAQPDAH ACHE_11102S MASTKPVARLVAYRRPSPYLLTSSLRPVGSTANFSSSVSRAATP YGPPQPGFRLPTPKRWDQSAETSVDKASKYFLLAEMFRGMYVVLEQFFRPPYTIYYPF EKGPISPRFRGEHALRRYPTGEERCIACKLCEAICPAQAITIEAEEREDGSRRTTRYD IDMTKCIYCGYCQESCPVDAIVETSNAEYATETREELLYNKEKLLANGDKWEPEIAAA ARADAPYR ACHE_11103S MSTPDQPQSQMSYLTCLNRIYLLQIFSNHYDLTHFPNQFVINML ATGGQILTDIFIIEAEAQRDPSRAQVLADGFRILYGIEFGCASDVLAVPRLAEIGNAY AGLQIMDCLGNGYEHMNEMIMKGWMEYLGERPAVLTEASMNGV ACHE_11104S MPFYQPHLLSTFETMPTPSKTTQVPYKYFAFRYDHLASRKDYHA CMLVPGADKVYVIRIFLLEFAYPASNPNPIYQQQIYSFANGGQLVTDMAIIEHKFDPY NVADFKAGFANLYGIEYYEEIREFPKMITDCEYSRGPVTMGDLGAHMRVKMDRDRYLG WRGWCLSVGLG ACHE_11105A MDDIEDRLRSHAQAFDGLLSLIPAKFYYGEDGSDQWKRKKQTKE EAREAKRAKLDPDTARTAKDVMDESARKRKRDEDEAGSGSGDDEESSPSDAENAEKPK EGLKRAESAKKQKPDEQSEKPGKSAEEIEARKKQKEEKKAQKKAAQKEKKKAKDAAKK DKQPEEQGKAPQQNGTKSEGTKKEQKQEPKKQENDDDDGSDDEEHEAEGLSLDFNEQP EQPSTASSTSGSPTSNPQSGSSSISSIAPPTTNESAKESSEPKPAKPTPEELKQRLQK RLDELRAKRHADGLNGRPARNRQELIEARRQKAEQRKAHKKMLRQKAKEEEEQKNDEA LARRFSPGGSGSLLASPRSPAESVDSANYAFGRVVFADGQQADPTLSNIRDKPKKHGA QDPATALRAAETKKARLAGLDETKRADIEEKDMWLNAKKRAHGERVRDDTSLLKKALK RKESAKRKSEREWKERLDTVKKSQDIKQQKRNENLRRRRDEKGGNKGNKPAGGAKKKA RPGFEGSFKTKVGGKK ACHE_11106A MLFSPVLSLFSFLALTLFVSSSAISSHHHHNRPTVYLLRHGEKP ADRDNHELNKDGYKRAECLRTVFGEDSPFDIGYILAPRVKKNGDHRRSFDTVHPLAKD LGLPVDTSCKRNHAKCVAKHIKRYDGEGDILVSWRHGRMAEIAERLGVEEPPEYPYHR FDLVWTIPYPYDKVTKVWSEECPGLDEKSNSSPLKIQV ACHE_11107S MSGYDPYNQGQYGHGGYQQGGYGGQGYGQGGYPPQQGYGQHQGQ GYGHQQYGHGDHYSQQHHQQGGYYGQQQPHDQYQQHQQHGSHEQAPDGAQDGERGLAG ALAGGAAGGFAGHKANHGFLGTIGGAIMGSIAEDALKKHKNKDEGQQHPPGYGPPSQY GGSSHGGSGMMDQLGGFFKK ACHE_11108S MIVRQLCVRQSRFLALNRPARMLGRFYSTETPIQTAVETPTRGQ PVQPQDAEEYESFEELRMAAQERFRRAELRRQQRRSLGAVIEDGYDPEEILRNPPEPK DITLELLLASQTHLGHSTSRWNPQNSRYIFGIRDGIHIISLDQTAAYLRRAAKVVEEV AARGGLILFAGTRKDQKRTVVRAAELAKGYHIFERWIPGALTNGQQILGHCETKVVNA LDEEITELKPNLEEHAALKPDLVVCLNPVENEVLLHECGLNNVPTIGIIDTDANPARV TYPIPANDDSLRSVGVIAGILGRAGEAGQKRRLEYARKGRFTYEPVDPKELGLKDTRE TQPQAESRPQRQSENQDIQTAEAAANQ ACHE_11109A MPQKEYSPRDYKQVPTKDSQVHLSSKAKNNESFDFTFEPIRKNL FRVTFTSPGHPTPPFPSVTKPPTDLANVKVAVKDTAPTAKILEVGDITASIDWSHTPV ITLSWTGDEVKPGSGQKALYKDLPLRSYVADGTGIAHYTEHDREALHVGLGEKRAPMD LSGRNFQLSATDSFGYDVYNTDPLYKHIPLLIKATPNGCVAIFSTTHGRGSWSVGSEI DGLWGHFKVYRQDYGGLEQYLLVGKTLKDVVHSYAELVGFPLLVPRWAYGYISGGYKY TMLDDPPAHEALIDFAEKLKKHDIPCSAHQMSSGYSISQTEPKVRNVFTWNRYRFPDP EKWIAKYHGYGIRLLTNIKPFLLQSHPDFERLTEEGSFFKDSDTKEPGYMRLWSAGGA TGGDGCHIDFTSDFGFRWWYNGVLSLKRAGVDGMWNDNNEYTLPNDDWEHALDHPAVL DAAQKHPNAVGLWGRALHTELMGKASHDALLELEPKYRPFVLTRSATAGPQPSPELLL RWVQLGTHSPRFAINCFKTSPTNNQVGEVIEPWMYPEITPNIRAAIKRRYEILPYIYS LGLESHFTASPPQRWIGWGYESDPEIWSQKLKDGEEQFWLGDSLLVGGVYEAGVDVAR VYLPRKQEDGEFDYGYVNLNEPYTYLAAGQWVDISSHWKTSIPLLARIGGAIPVGKDV QTRVPGDDTDASLAVQEVDDYRGVEIFPPKGSSHGRMFVNSWFEDDGISKETRTSKYT VRYSSTEEKVVVGFERDEKGFVPAWRDLDVILHYGDERRVDSDDGSVVEYKGKDGRGR VVYILKT ACHE_11110S MSREVEISKAEERYVESIEPQAHPQHQTPSKPKYDDAADLINDL GHSPILTKETNTRVLRMIDLRLLPILLGIYFLQQIDKTTLSYASVFGLIEDANLHGQQ YSWLGSVVYLSQLVVQPLVAYILVKVPLGKFLAVTMLFWGIALTCMTPSDTFAKLLVC RLFLGAFEAGIPPAFIAVTQMWYRRIEQPVRLGAWYAMNGVVNMFGSLITYGLGHIQS HIFEPYQIIFLFFGLITITFSAVVLIFMPDSPVRAKFLKEDDKLVAIERLRMNQQGVE NNQWKWDHVKEACLDLKTWFWFVLMFAISLPSGGISTFGPLIIESFGFDQFKTILFNI PFGAVQLIATMGGAWMATALKMKGPVIVLLCLPSIAGCVMLLRIAHDVAHKAPLLAGY YIISVYPGITPLIYSWSAGNTAGETKKKVTTGLLLIGQCTGNVVGPNLYTTSDAPLYT RGLVSSLAMFCIIILLSLFNMAYLLLLNKKHEKQRVSMGKSSKIIDKSMAAVGTVFKE EEEGKVQQGEGVDDNAFRDLTDLDNEDFVYVY ACHE_11111S MLIAACYSAERSLLLSFATRLALDLNMDEAFENLIQRLAMWETD VCHDPKEERSLMRESRTWFGLLVLEHIFRVDGGKPPGIRFGGSARRCRALLGHPSSTV LDLRLFAQVELNILRANINDTLGSRTFDKLDITNFVHETKIDLDLWFDDWLRIIENSP AATEEKPFLLAALRVQKCWAELTLHCKALQSMGVENVAAISPTEQSILLTAKGAAKKH LALITTEPDHYLAQLRYAMDFVWAKCAFCFLLLLKLSRLLPERDEEHRELLRQGETLL EELSKAGPGNTIYLQILKMSIEKYGRTLQDNNERDTETDTEATGPFWELFDAQTDLQS FVPEQFVSEWEFPGLNLFYFPIAWQDFFGDFSLAI ACHE_11112A MEAEAFEDLQKGGGALRAVKDLTAGAVGGVAQVLIGQPFDIVKV RLQTTTQYANALDCASKIFKNEGPAAFYKGTLTPLIGIGACVSVQFGAFHEARRRLEE LNKKKYANPALSYGQYYLAGGFAGITNSVLSGPIEHVRIRLQTQPHGADRLYNGPIDC IKKLSAHEGVMRGLFRGQAVTYLREIQAYGMWFLTFELLMNQDARRNNINREDISSLK VASYGGLAGEALWLSSYPLDVVKSKMQCDGFGAERQFINMRDCFKKTYATEGLAGFWK GIGPTLLRAMPVSAGTFAVVELTMRALG ACHE_11113S MQRRVVLELSRRQGVANLSFAAAHRITPTNYGLRRTFHSSPAAL DSFDSNHDEDESNPRASFSKPKFTSRWGSGQSTTRPIALSPAEQAMREKMMAQQPKKE EAKTKQETEGSSVNDSSFQKRTWGGSRQSITLSPAEQAMRERMVAQQKQREQENRNAR GAGPRDQGQRLTPRPVYSKDTGPGGDKPRRPFKSDWKCPSCGFKCYGKFHFCPRCGCT RSELETDLSRPRREQKDLLSRGLAERPASRESKKYSAWSEDIGDLLGDENSGEPISKS DDVVSVDPIEEVDREKLVAERQSLEAENNRDSPERNLEEEEEEQQVTQRRKDYKRRDG RKKQKYGEEEDFDQEETRRRREERKRAKKERARQKQADVAPSPIFLPEFISVSSLADV IGIRPAQFVQRMEDMGFEGVTYNHVLDAETAGLIATEYNFEPIFDQPEEGFDLTAAPE PEDPSSLPPRPPVVTIMGHVDHGKTTILDWLRKSSIAAGEHGGITQHIGAFSVAMPSG QTITFLDTPGHAAFLEMRRRGADVTDIVVLVVAADDSVKPQTIEAIKHATGAKVPIVV AISKIDKEDTNVDKVKQDLAANNVYVEEYGGDVQAIGVSGKTGLGMVELEEAILALSE MQDHRADTEGNVEGWIIESTTKSYGRVTTALVKRGTLRVGDIVVAGDAWARVRTLRNE AGVPIDEATPGMPVEIDGWREQPSAGTEMIQAPSEQRAKDVVEWRLERMETQKLGEDA TAINEARRGLLDKRQQEELEEAGRHIEDGQASGPKPVHFIIKADVDGSVEAVLNTVTA IGNNEVYPNVLRSAVGPVGEFDIEHAAAARGNIITFNTSIDHAMLRMAEQRGVRIMDH NVIYKLMDEVKEILSEQLAPTVTQRVTGEAEISQVFDITIKKRETTAIAGCKVRNGII QKAKKVRVLRGQEIIYDGVMSSLKNVKKDVTEMRKDTECGIGFEGWTEFVPGDHVQCY EDIYEKRYL ACHE_11114A MNSSNYEIFRDILSGIIVAKSNERPRESSKRKANKARRNNTSSS NNHVKTTPVVKTEPFQRANPEDLAEFVDFLASEIFTHLPDVLQSLSYSTTQQDPSLRT DVYPTPLPTSLIEALSSTLPSTVTETLTVYCLIPDPADIPETLLSPLLNEYVSSVTAG PPVWASTRTDACEICERDWIPLSYHHLIPRAMHEKVRKRGWHEEWMLNSVAWLCRACH SFVHRMAGNEELAREWYTIERILEREDVQDWARWVSRIRWKKT ACHE_11115S MTKKVVIAMDIYGTVLTMETITQELEKHFPKANAEAILKAWRQH QLAYTWRLNSLGRFLPFSEITRNALSHTLAETAGADPAEQHINRLMAAFENMGTFPDV GPTLSRLAVTPGVVPVVFTNGTKTMVSHSLSKSKDLAPYSAVFQDIVTVEEVKQFKPA PAVYNHLTETVGLGSQKEDIWVISANPFDINGARNAGLKAIWIDRSLTGWTDRAEPSL EPTAVLHSLEDIIQTITHHYKDELNRHATD ACHE_11116S MASLNVNSAAWLYPFRGVLYYSTHRFLWPLFKARLIPIILLSTF IYVLLFLFTYLPQVAFLAIFQGKSAWISGAFLVLGEGAAIVAALFEAFFVDETLVDIF DAVLVNEGHGELVTTSRVLYPQGDNIVQRLGKPMKGAVYAPFSLRQIVEFVVLLPLNL IPVAGVPMFLLLTGYRAGPFHHWRYFQLLDVPKKQRKERIRQRQLQYTSFGTVALLLQ LVPILSMFFLMTTAAGSALWASELENRRGLLEQRHEPETPGYNDEETAP ACHE_11117S MDTSKTRLRKACDACSIRKVKCDTSGPPCRSCASLDIPCTYERP SRRRGPPNRHAEAIKKQKLGPSPGSTASTAAASPTTASPSPSASDIASAPAPPPPTST TTPWMSAESICPLPTVRLLIDDFFTYIHPLVPIPHEPTFRAAFERREDVTNPTFLALL AGMIGSLVASFPRRPKQHLRTEAEKAAFPHSMALVKRCHDVAVQARGTGYLDRTATVY DAAISYFLGLCAGYVWNMRRCRAYLAECRTMLQVYDLCRQSPRSAGSNPTSPASPPSS DPMVAGATLADGYQVDIIQQELGRRLFYTTLVGFRTLQQMGSMDISAHFPPETPTERY PPLPMEIDDEFLFSTHAGPQPSSTVSRLTGFNANVRVFNSYNSLHAWELAFGSGMIFD YERQRSLLWECLQSCKNALAHVPRELSLQWTLATPVSPGQRKFDPVRTAMEDPDAQDR RAIQYEIQKANIYASQLGTRSYLVEKYWNIYGAHQKIMRQKAPENGSPATQGLTVKLE GESPGDVAMLQYSHAQADFIGRMMAEERRIVIRDLMVLLRSVNEINMEPNGASITSKI RQVASTLLNYPGTFEEQSSYPSTTDSSAPGPHPLTMAETQTYLRAFIDTLMRLEGLSA PATTASSPQQYGRSMSYLSDHDRDEEELRQWASLKEFQKKFADAGGVLSEI ACHE_11118A MHLTHLATAAFLFVYSSMARPVDEPNTSLLSVPWPYWNYVNADF TTVVKRDATPTNLARDAAPTDTADADPDVYINTDYITYDIPLHTTITYYDTTVTWDNF VTLGGTIYPWIHFTNAIRDVVPTDIPDADADAYASPSEPFFAEAKPTLEARAQEAPSD AAAQTRNGHYSD ACHE_11119A MSSLRIGVVLSGALIAAFLLLQLVSSIQDPKLPLKTGGRSFAGN SSLDEDVFLLGAGKADITGPTVEVVFNGFANTNQVGSGLRQRIYSRAFIVGNPSRPED TFIYVVLDALTGDTGIRHGVLEGLASLGPDYARYNERNLALTGTHSHSGPGAWMNYLL PQIPCRGFDKQSYQAIVDGALLSIQRAHESLAPGRLTFSSIDLDANVNRSPYAYDANP EEEKSRYSDNVDKTMTLLRFDRESDDKTTAILTFFPVHGTSMYSNNTLVTGDNKGVAA WLFERNAQDDNRFADGFVAGFSQSNVGDTSPNIFGAWCEDGSGERCRYEDSTCGGRNE LCQGRGPFFREQDAGAKSCFEIGRRQYAAAKQAYEQTDVDATRIVGSSQVNFFHVYQD LSDYTFQSPFNSSILATCPAAMGFSFAAGTTDGSGQFDFTQNSSSPAETNPLWHVVRD FVHRPSDEQKACQAPKEVLFDIGSMAQPYPWGPNIVDIQVLRVGQLMIIVSASEATTM SGRRWKESVAKSSNDILSIADPLVVLGAPSNSYAHYVTTEEEYGVQRYEGASTLYGPN TLAAYINLTLTYLPYLGDSSQVTGLPKIPSGPSPPINTNRSMSFIPSVMFDNAPFGKS FGDVISSPLDIVYRPGDTVRTSFVGANPRNNLRLESTFAAIERRNSEAGSWEAVRTDS DWNLLFYWKRTNTVLGISEVSIEWQIEDDYYNIGSPKPLENGTYRIHYYGDSRSVLGN VKTFEGIGEPFTVVVD ACHE_11120S MTLINESHDSLPYIDYEPSAQARANAAKLISAELSPDHASSLHP SIPAFSEPQFSPLMQQELERKAAGLPLAGGIDLSRYEAPEPPARTTDAEGNSTPDLDE WRRTLQKAYTASSHLSMRHENLALLEENGKNAWLIGNSQLEDILRGIEKELAETKEAA ETVNKQRKIAQESSKGELIGLEESWRRGVGAILDVELASEGLRMQILERRRQFAQQQS RS ACHE_11121S MTIPEEVDIIICGGGSAGCVPAGRLANLDHNLSVLLIEAGEDNL NNPWVYRPGIYPRNMKLDSKTASFYHSRPSEHLDGRRAIVPCANVLGGGSSINFMMYT RASASDYDDWQAKGWRTKELLPLMKKHETYQRACNNRELHGFDGPIKVSFGNYTYPVM QDFLRAAESLDIPITDDLQDLKTGHGSEHWLKWINRDTGRRSDAAHAYVHSTRSRHSN LHLKCNTKVDKVIIEGGRAVGVATIPTKPLDNRNPPRKIFRARKQIIVSSGTLGSPLV LQRSGIGDPEKLRAVGVEPIVDLPGVGRNFQDHYLTFSVYRAKPEVESFDDFIRGDPE VQKKVYDEWNLKGTGPLATNGIDAGVKIRPTQQELEEMKMWPTSDFVKGWESYFKDKP DKPVMHYSIISGWFGDHMLMPPGKFFTMFHFLEYPFSRGFTHIQSPDPYENPDFDAGF MNDKRDMAPMVWGYIKSRETARRMNAYAGEVTGMHPHFKYNSPARAFDLDLATTNAYA GPNHYSAGIQHGSWSHPLEPGEQPATTFLNSHKQETRAPLKYSADDLEQIEKWVQRHV ETTWHSLGTCSMAPREGNSIVKHGVVDERLNVHGVEGLKVCDLSICPDNVGCNTFSTA LLIGEKCAVLTAEDLGYSGLALEMRVPTYHAPGEIVNLARL ACHE_11122S MRQTLSDQSILTPELFANVPWTIAKYLWDCLGRSKKRTLYMWKL LATAYPSQFREISQYRLMKIEGPRMPMRDYLNQIKSDTLHWRVALTMFTSFASVPELV EISNVKNLVALELNTSSELETFSSDTDLPMTALNDRIVRTWSELAASDAFAHLSVLRI SHQKQVSPVILRYLRSFPLLRLLVICDCPSVSRLFKKNSKPIEGWQPMDTVVDDDDSD AAHDKLYGCYRASFTINEDTKAPDVPILSFQIGREMRRSTKTPSFDTIYLVRQSHPEQ ETSEPAAKRQKVPGRHSRVTMREHKGRNLDSVLQEFL ACHE_11123S MASPRQSLEAAVAGSSNGSAVISPRSSVDSRTSGIRNQSARRSH ISTNHSHRQSFSETLRAPGSPRSRRQPSITNAGVLSLIDNPPVPNNPDPKFAGRDWRH ISIGELVSPDDLKFVEVDTGIEEATDALIDSNIAVLLIRETPEHKSAVGTFDFSDLNA YLLLAAGLTQPDDGLLGLYGELARKAQEGSKIPLRDVKDLGKKEPMTTLPATANVMSA VETFGGGVHRVVVVDETNNNEVVGIFSQFRLVKFLWENGRTFPTVDQLYPNSLGDLGI GSRAVLSINADRPLSEALRLMYEEGISSVAVVDNHLNVVGNISSTDVKLLTRSSSLPL LHNTCTHFVSVVLSTRGLIDGKDSFPVFHVNPSSTLAHTVAKLVATKSHRLWVTDPLS PASSGPSTPSHSTTHLVPNTVSNPAVSPPTSPKPTQLSNPSSNPYLPIPHLSPQPGTA TLAPSIPASALPGARLSGRLLGVVSLTDILNLQARASGLSPADPMESRAHRRRSSSSS ISVRRSGELGRELFSRGF ACHE_11124A MPSPDLDLDASPIPRSREENQERAFIAASRRKDRSLDARLESAN RASMLHKKRTGKSLHITKNIVEKEAMYEEVDERYQEKRIRMLQAQNMQIEEQLNRQLL TALAVRNHRGSTSSASSATTNSVNSVSPKPLASHQHLRRAASMAPRASIDGVRKMSLD LSSVRSSVSEGMQTGALNSPMIMENSYTMSPGYESIASPQHTQPDWYSQVPAYVQQTQ WPQQWAGFQPQQEQPHVMQDYVSQQLPMNLAATRPFRDRFASAPEIPVHGVPTDAFSL VSTASAPAPVQQEQSLQHSQHSRVQSEPDMSMVMMMQNLQQRQAQSQHSISKPASPKT QPTPDAYSSPSTPPQSPKTTSVGAMGVPQPNVETLGKNDEGILFSQELDPDFDEFSQF ALGLGTTTELSEREPFGFDDFVTLDDFTAAA ACHE_11125A MAARDRFGAFADLGLTPVQRAVRHACDISQYEPNLALNLEVADL INSKKGNAPREAAFEIVYLINSRNQNVSLLALALLDICVKNCGYPFHLQISTKEFLNE LVRRFPERPPMRPSRVQHRILESIEEWRQTICQTSRYKEDLGHIRDMHRLLLYKGYMF PEIRADDAAVLNPSDNLRSAEEMEEEEREAQSAKLQELIRRGTPADLQEANRLMKVMA GYDNRHKTDYRAKAAEEVAKVQQKAKILEEMLESHKPGDPIAEGDVFEELANALISAH PKIQKMCEEESDDAEAVRKLLEINDSIHRTIERYRLVKKGDVDGAARIPKGTLGTTTG VSKNANNELSLIDFDPEPSPSAGGSQQATPTQGGNSLENDLLGLSMDDQVPSGGISLG PTIPPTNPLNQPSPAPPVQQVPVSSKPNFDILSSLSSPQPTPQAPLSIPGFSQPSQPP PQQQAPAADPFASLISGSSRGSSPFSPTVGQTPPAMTRSGTPARPAAQPKEEDEWDFT SSLPESSSTLPSTNKVAVLDSSLRIEFVARRHPSQPRLIHIVGSFSNRTNQPIQELHF QVAVEKAYRLQLRPQSGREISALQQNGVQQEMLVDGVESGQGSSVKMRFRVSYVAGGQ RKEEQGMVPPLGIS ACHE_11126S MRHKKDNFSRGGKKPSNPRPRRPVPRGDNESSTSSRPPFKAACW DLGHCDPKRCSGKRLMQFGLMRELSIGQKYPGVIVSPNAKKIISPADRDLLETHGAAV VECSWVRVQEVPWSRIGGKCERLLPYLIAANTVNYGKPWRLNCVEALAACFYICGHED WAKDVLKPFRYGDAFLEINNKLLKRYAACATEEEVKKTEEEWLAKIEAEYAANRDVGA DDMWTVGNTNRQVVDSDSDDDDKDEEDEESNKKKDENGSGDEEEEGEEDKDPFAISDD SEDEAQMAEIRQKILNSKSFQNPSVDDDDDRPTNQPEKVTRPDEQPVLREDSDAESGS AGSENEDDEEFDNIINATPVTDRTGIISTSRRKGKETFSASFSRTVVNAPKRW ACHE_11127A MSYYPPYSGPPGYPPQQHYPPSNYGSQQQYPPMQQSSYGGYPGQ AYHHHHQQSNPYGYGHSPQPSYGSPALNNGYGHAPSGYGQPPAAPTGGSMYGRQQYGG GGSGGPAPPPSGPVAFGHGAPQGYNFQYSRCTGKRKALLIGINYFGQKGQLRGCINDV KNVSTYLNQSFGYAREDMVILTDDQQNPMSQPTKANILRAMHWLVKDAQPNDSLFFHY SGHGGQTPDEDGDEDDGYDEVIYPVDFRVAGHIVDDEMHRIMVKSLQPGVRLTAIFDS CHSGSALDLPYVYSTSGVLKEPNLAKEAGQGLLGVVSAYARGDMGGMVSTAVGFLKKA TKGDDAYERTKQTKTSPADVIMWSGSKDSQTSADASIAGESTGAMSWAFITALRKNPQ QSYVQLLNSIRDELATKYSQKPQLSSSHPLDTNLLYVM ACHE_11128A MIEALQTNTMPPKSHTKSKNSVEQEGRMLLAISALNNNEISNIR EAARVYNVPRSTLQDRLRGKTYRDETRANNHKMTQNEEESLVQWILSLDRRGAAPRPA HIQEMANILLSKRGHTTTTTVGDKWVYNFIKRHDMLKSRFSRRYNYQRAECEDPKIIK EWFDRVQITIMQHGIALEDIYNFDETGYAMGLIATAKVVTRAEMTGRPFLVQPGNREW VTSIECINSTGWALPPCIIFKGKVHIEGWYQDEALPKDWRIEVSDNGWTTDQIGLQWL RNTFIPATNGRMTGKYRLLILDGHGSHLTPQFNEICNQNDIIPICMPAHSSHLLQPLD VGCFAPLKKAYGRLVENKARRNFNHIDKLDFLEAYLLQTSLCQTHVMTRELGQNHWMM VREGYAGSDRDQVDIRTGHVIWYMVYGTVYRMVYGIWYTGYNVAHELVYGPKDI ACHE_11129A MAFAGQAPTIVVLKEGTDTSQGKGQIVSNINACVAVQSTIKSTL GPYGGDLLLVDNNGKQTITNDGATVMKLLDIVHPAARILTDIARSQDAEVGDGTTSVV VLAGEILKEVRELVEQGVSPQTIVKGLRRGSAMAVNKVKEIAVDMIDAAGSEEKKVET LRRLAATAMNSKLIKRNTDFFTKMVVDSVLTLDQNDLNEKLIGVKKVTGGGLQDSLFV NGVAFKKTFSYAGFEQQPKSFKDPKIVCLNVELELKSEKDNAEVRVEQVSEYQAIVDA EWQIIYNKLEAIYKTGAKVVLSKLPIGDLATQYFADRDIFCAGRVSSDDMDRVCLATG AATQSTCSDIQERHLGSCGSFEESQIGSERFNFFSECPGAKTCTLVLRGGAEQFIAEV ERSLHDAIMIVKRALRNTTIVAGGGATEMELSGYMHGFADRNVPHKQQAVVKAFAKAL EVIPRQLCDNAGFDATDILNRLRVEHRKGNVWAGVDFDHEGVRDNMAAFVWEPSLVKV NAIQAAVEAACLILSVDETIKNEESAAPQAPGRGLPPGAAQRALGGGRGRGMPRRR ACHE_11130A MPAVHGDLMAPVFPTEEYFPDTIFPRQVTLRDRITIATLVPFPS SDLVPRSLLGYLSDQLNKEIEKGDTYAMTDPIPFSLFGPYWFSNFGAIMLLGDIKGVE EVQAMDQEGTNWSKTCLGSFNIRPNYPGRSNHVCNGMFIVTDAARNKGVGRLMGETYL EWAPRMGYTYAVFNLVYETNVASCRIWDSLGFKRIGRVPGGGRLLSNPGQFVDAIIYG RDLNLEGDDSVSQDRFEKIRYYLKHAKYPRGADRAEKSRLRSAATHYKLIGGEDGEPE RLMLKGKEVVSDPQQQYDIAQDIHNEHHAGINKTTAAIAVKYHWVRIKETVSRVIRDC PECKETLKTTMPNGIRDDDPPETEERATTFHQQEKNEPDLSSHGVLDTKLYIDESTAG PSTQTPSFQNTNPFAPTHTPTIQEPLNTISDYSTMPLDPQMIETHAHLSRFPHYDTMA DPYSHGSHILQHTNFDDEVRHHAANEYQMMVDDPDGTGLRPETLGLVHPQANELQDAH EILNKYAYGGRPEDDLDFT ACHE_11131S MATERPQYYQNTLKPIELSQESLQQTLQELRDAARRGADMVKEG SPPAGEWGIGGLFLGNPGITLAFLRLAHQAASLKKDNESSPPDFRKDANERIYTGPDL PLLPSRLSPLGSLSPIPAAVLRILAAATSNRAVSKDDIQCFYQAVELAMKNGHIVPHA GDNLGGDEILYGRAGLLWSILNIRAHKYDEDTEKALKPLYESIPKLVDVIIEAGRQGA RDCAKLYGDKDTLPLMYMWMEKYYCLGAVHGAGLYTYY ACHE_11132S MACARRNSHLMTNHWQPEWDQAIQLATERIWEEGLLSKGGGLCH GISGNAWSVLLMHDCFEYDGELAEQAKHNYQARTQTDISSMQPELTGDYFLSRALSLM LHLRETRPYNTSPQSDSNDYRMPDNPYALTEGLPGPVCAWSESCVVIQARLRKMELDA KGETSAAARESDAVFQELESRHLGFPTLPYHRAVGMF ACHE_11133A MKMDSWQRNLRMMHHLNEFLDLDSAKSNVVDEFVSLFNAIREGL THIDDDFQIPDSQINMLFLSKLKSRPEWADWATALLRDPQVNGSDSTARISFQELARW AREEEKAIQVREEEEEEEDHDSGIELPVQPPPSTLPADHESAIDPMGWDGAAPRIQSR SRALTQDEINAFVVQKMNQEGVYRSGGTKGHRKRPSQEEINQYVVEQMRREQDRGTRA RSYSQPEPRIANRHHQEQHMGRQQSARVPRCRFCGDLHPSDRCWRRWRVAVEAPQANF FPKRVEYRTEVPGQPPMYRTGFTLY ACHE_11134S MDVVPPSYETATARDAWAVIAQYIPSADLCAASLVCHRWHKLLM PFLWGNPASHFGTDNDAVYVALTRFRRTLKYARVEVRMLTHTLHLPPALSEIYGGPRP EWLRDILEYLPCLQSLIVSRLPFFDHNAMTALKTTVKYALPANGYNVRLLLAEREPNT TSQGLAEALLHFQELIYLDLSWTTPARDSSVLSCLSQLEHLQVLKLRGIGLRDSHSEF LANAIGTRVRYLDLRNNFLTDMGVRSLLQGCFLPRPQADDASPFLSDPSAHLFRRSDL DEQFIKTLTQPLTGRSWIESLPHVGITHLYLADNHITVEGAASLLASKRLHCLDVGTV NTAKSLNRQTQDLSPSYEKLPGSEKLIPLLGSVAKENLTYIRAHHAIVTAEAPSKDPL STNVYLPELAGSGPEIELGPNHAAAELSATHEIHELPSEANFIAELEDTPVSRPTESP SLAERVQGLQIYADEPLPELRRGSIFAPEVVEPPQAANNDDEAPEVISPATCSSVQGP YRQRIQELLSKRPKNHSIPRKDRKENKHPYLHPSHVPHLETLVLTDVPSHVPANSPIL SSLRRFITACSNEALLASLQAGSDYSLPPGQARLRAEQARSRSLFALRRLVLEITPLS ELKRSWKAAGYRSGAFNSSTGDQDLENMWSVAMDDFSFFETECGIPENDQGKYFPMAA LNEKVTLMPEDDGPVSSGSYGRDTLLPPSRNNSQRNVCSRNNSLISSPTVGSNYADDG RSQCPVEVPMVDLVAELASFRRTKKAEYEAVLQCDRLHRKSMSRMSPTPSIHTRSRSS TGLLSPSPSLPTVRSRSPSPDPGAAVSAPHLAMAHYVEGHWKGEVKIVKNPAPKGRSG VVDMYGNYFEKGYLYP ACHE_11135A MVKKRASNGRNKNGRGHVKPVRCSNCARCVPKDKAIKRFTIRNM VESAAIRDISDASVFTDYAVPKMYLKLQYCVSCAIHGKIVRVRSREGRRNRAPPPRIR YNKDGKKLSPPQAAKAM ACHE_11136S MSTTGSSTFRNQNHRSSGRGRVPDYDNASVISASQIPRPQSTST PTASSDIGSTSMSAASSRQRQNQSKRDEAIRRRLEADLNKKRHTTGRAQRSRKAPPGT VLALKPSQALQIKPSTTISEAAQLMAAKREDCVLVTDDDDRIAGIFTAKDLAFRVVGI GSKAREVAVSEIMTKNPLCARTDTSATDALDLMVRKGFRHLPVMDENQDISGVLDITK CFYDAMEKLERAYSSSRKLYDALEGVQSELGSSQPQQIIQYVEALRQKMSGPTLETVL DGMPPVTVSVRTSVKDAAAQMKENRTTALLVQDQGSITGIFTSKDVVLRVIAPGLDPS TCSVVRVMTPHPDFAPSDMSIQAALRKMHDGHYLNLPVMNESGEIVGMVDVLKLTYAT LEQINSMGTQDDEGPAWNKFWLSMDNESDSVVSGSQVVSGSHHPHTAHRSVLSPDSHK HSFDHRDSVMPNESASHQGDDHSEIIEAHHHEEEHAFPFKFKAPSGRVHRVNVLPSAG LAEMVAQVTAKLGPEVEAVGGAADCEDGRLSNTGYALSYLDNEGDTVSITTDQDLADA VALAHQSRRDKVDLFVHDPTQPPVSATVEPQPAPIKTATPIEEKSAVEAALPEEPPVI RAHSFHSHSHPPEEQFIAGVPNELLLPGAIVTLAAVIAGVFILSRPSGR ACHE_11137S MSLLPPEVHSALGQLLRALSTADNTVRTQAEEQLNNDWALNRPD VLLMGLVEQIQAAEDTITRTFAAVLFRRIATKTRKDAVTNEAKELFSTLTGEQRLVIR QKLVSCLTGESVTDVRKKIGDAVAEIARQYTDNGDQWPELLGVLFHASQSPDDAGLRE TAFRIFSTTPGVIEKQHEDAVLGVFGKGFKDDNPSVRIAAMEAFAAFFRSISKKSQPK FFPLMPDLLNVLPPLKESQDSDELSSSFVALIELAEICPKMFKGMFNNLLKFSVSVIA DKELSDQARQNALELMATFADYAPNTCKKDPEFAQEMVTQCLSLMTDIGIDDDDAEEW NASEDLDLEESDLNHVAGEQCMDRLANKLGGQVILPATFSWIPRMMSSSAWRDRHAAL MAISAISEGCRDLMVGELDQVLALVVPALQDPHPRVRYAGCNALGQMSTDFAGTMQEK YHAIVLSNIIPVLNSAEPRVQAHAAAALVNFCEEAERKVLEPYLADLLQHLLQLLRSS KRYVQEQALSTIATIADSAETAFAHYYDTLMPLLFNVLKEEQSKEYRLLRAKAMECAT LIALAVGKEKMGQDALNLVQLLGHIQQNIVDADDPQSQYLLHCWGRMCRVLGPDFVPY LPGVMPPLLAVAAAKADIQLLDDEDQIDQVEQDEGWELVPLKGKVIGIKTSALEDKNT AIELITIYAQILEAAFEPYVLETMEKIAVPGLAFFFHDPVRVSSAKLIPQLLNSYKKA HGDQSPGFAEMWNKVGEKIVEVLSAEPTVDTLAEMYQCFYESVEVVGKNSLTQQHMQA FIDSAKSTLEDYQLRVKARLEDQADAEEGEEDLEYEYAVEDDQNLLSDMNKAFHTIFK NQGNTFLPSWQRLLPFYDAFITSADPTQRQWALCIMDDVLEFCGAEAWNYKDHIMQPL AAGLQDENAANRQAAAYGVGVAAQKGGPAWGDFVAASIPSLFQVTQIHQSRTEEHVFA TENASASIAKILHFNGSKVQNPQEIVANWITTLPITFDEEAAPYAYSFLAQLIEQQNP VVLSAADKVFGYIVQALEAETLQGQTAARVATSAKKLVAATGLNADHILSGVNPDNQA AVRNYFQ ACHE_11138S MQIGRRNGSVPVLGATTQGSITTYTFVLLKYPPPLPKTTSKMPT INMIALSLYSYRDPDDFDKYDTKPLTLRYLYFLDDSIATSIAEHRYAKWIKCPEEYKY KITPDERAKVWKVTDMWHFAPDPRRDIRVVPGSLAHLFMAYPPVS ACHE_11139A MPETVRTAFADEPRPLLSYGIPFPSAAARHVPGLFNASRVYIIC SGSLARNTDSLGRLKSALGEDKVVGVRIGMKSHTQWSEVFEIMQDAKHVGADLLLTLG AGSLTDGAKIVALVWPLPLNLKRGTDSPNKGSIHPPTVPIVSIPTSLSAGEYSNFAGG TDDTTPGSNRKHSFSYPTQGPQLIILDPELTSTTPGSIWLSTGIRAVDHCVETLCALR GGNGTDTYDAMARHALGLLVPGLVRCKRDAKDLEARLQCQLGSVDAMAACASGNIQLG ASHGIGHQLGPLGVGHGETSCILLPAVCKFNAAHNANNDRQDLVRQFLLHNDIVKDVL SRHGVNADKTDLGDVLDAVIRELELPRSLKDVGVGREQLNGLAENSLHDRWCLSNPVP LKEKGQVLEILEKVV ACHE_11140A MVRQLVWQRATASRRLAPCLSPQRLLNRRGLATEASSSRMPPYE KTVQRLEEVRRVLGASRPLTLAEKILYAHLDNPEDSLMTGTNNGRDIRGKANLKLKPD RVAMQDASAQMALLQFMSCGLPSTAVPASIHCDHMIVGERGADTDLPASIKGNAEVFD FLESAAKRYGIEFWPPGAGIIHQSVLENYAAPGLMMLGTDSHTPNAGGLGAIAIGVGG ADAVDALVDAPWELKAPKILGVRLEGKLNGWASPKDIILHLAGQLTVRGGTGFVIEYH GPGVETLSCTGMATICNMGAEMGATTSLFPFSPSMVPYLQATHRGHVAEAASKIAASG PGHLLRADGRAEYDQLVTIDLSTLEPHINGPFTPDLSVPLSKFADQVRENQWPETFGA GLIGSCTNSSYEDMTRAEDLVKQAAAAGLKPKADFFITPGSEQIRATLDRDQTLNTFS EAGGKVLANACGPCIGQWKRTDGVGKGEDNAIFTSYNRNFPGRNDGNRRTMNFIASPE LVTALAYSGSTTFNPMKDSLKTPNGEEFQFRPPTGSHLPDEGFADGNPSFKPTAAVPD PSCDVVVSPTSDRLALLDPFDPFPAGDLSGLKVLYKVKGQCTTDTISAAGPWLKYKGH LPNISANTLIGAVNAATDETNVAYDDAGKQHGIPELAEQWKDQGIPWLVVAEDNYGEG SAREHAALQPRYLGGRIIVAKSFARIHETNLKKQGLVPLTFADKADYDKIDACDIVDT VGLYDVLQAGGQGSIQLKVTKKSGETVMIPVNHTLSKDQCGFVLAGSALNLLAKKAGG KS ACHE_11141A MDSPAVPVPRDPQEQPILERLLRTRDALLLLKRDKSSYIKSRDV LPLYEAVIQEVEQLHAVREGQDPRQPHNRLDYILDDCFQLISLLFLTIGRNNEAPAVY SLATTVKRLLDHLEEAGFYSSKDLSSITKTLADMRQTLERGRQAYSPALLKLLESRIQ QCEWHLESLQKGLSILAPELVPTHETLVSILRSTSAVNTRSKFSPSEVTSLRKQLEKI RGSLKDGHFVGADGAPLQGQDSLKGLLERCWRWTEIVLEREGQIAEGFQEQYERLVEI RNQLDRLSVTQAWSLRETDLFEFQRKLDRIDEARVNGNFVSPDGQQADLHAQRTLLYL IRRSYAYIYALLISSEPVSEALLPVYNQLQTLRRCLLEVKESGGVSNSRELYPYSMKL NSIDNMRVDGKFYVGSDIPEGQGSINALLAECYDIAWELRAAVDEEDERS ACHE_11142S MSTAELACSYAALILADDGVEVTADKLQTLLGAAKVQEVEPIWT SIFAKALEGKDIKELLTNVGSAGPAAPAAAGGAAEAPAEAAAEEKKEEEKEESDEDMG FGLFD ACHE_11143A MTLLGLMSGVAAEKSVPLTGREAASGLLGSVSLTCWIFLLVPQL IENYRNGNAEAISLLFIFIWFVGDITNLIGGLWAGLVPVIITIAFYFCIADGVLIGQC LYYKNRNSRREALERRRRSSTETPDPTTPLLGRHFSDYVEAGPAAAQRDALLNGRRGS DMEDPLAKMLDEGQDGGRSAWVKNTSSVLAIALIGCVGWVVAWQSGMWKPAPQDENGG VDMAVGAQVLGYISAACYLGARLPQIWKNYCEKSCEGLSLLFFVLSVMGNLTYGAGIL CHSTEKNYILTNLPWLIGSLGTMAEDVMIFVQFHLYAVRDPPSVAVA ACHE_11144A MSSRAVRKLQRLREQELQQADQDESSEDEPVSRPTKPKLNVFDL LNAAENEEEEEEGPEEDTEDVTPQPPQPGPEPEPEPEPSKPTQASKKKNKKKRKKANP KTTGREGLVPMETKGAELDDIDKALKDLAVENRPDDGTSSVTYARDDSFPKAPEELLA IDPRALNAINEMKKLFGNVVLENFDQDDSGTGRRRGRTETVDLGRALTGRYCPASRGQ SLAGVTLRRNSLMQANDEWPRAPSGGLGMELEEKLLDGSTKYRIVHNPAYQDVQRQFD MCVESMDPQRLIHLLQYNPYHISTILQVSDIAKHQGDHAVSADLLERALFNIGRSAHS TFNNRIKEGQAKLDFKYSENRELWLVGWRYIANLGMKGTWRTAYEWAKLLLSLNASDP YCMRLMIDHLALRGREYAHFVELCTQTRLSKEWEQYPNIQCSLALAYLRLNKPQECRQ QLRLAMSRCPWVFCRLAQELDIQPMPKQIWGKMPPTDVHELLTELYLARAKDLWNTPE IVSLIVEVADTLPEKEEPVEPPEITLDIARHVILSDIPRVTTHLPNRFVSGRISASDP LPPYESEAHRQQSDPTPSYLSRIPEVGRPQWLRDLLDQINNGAIHFPRFRQGEDEEVH IDDVHSGEETERAGVPHPSAEDQPVLQQWLLGDGMRALEAYLREHGVDPGNWDEFIDY TPLMDYVDALDGVQPDSARQQLLYGPIRESLGDIAVTMLENELEMLQDQV ACHE_11145S MRRAAIQAYRTARRVPGWRVALRKPCISFSSHQVLPSPVGRIPA SLQSSMQLRNFSLAVISTIIASGAWYVYQGENSPLVSVAGLNRTSLSLSGIATAHAEA PSDSTRRALLVENDQFYTTVLSEDQPLYKKTDDSDRRVLGMLTPEQATQKLRKNQESY LVNRGKGVVRYDIVQVPSNSPIEDDHAEKIVEVPSSVAASKEGSANSDWMFWAVFDGH SGWTTSAKLRNVLISYVARELNSTYKAAATDPSLLLPSSAAVDAAIKQGFVRLDNDIV HNSVDKVFKSSSRSVAAELLAPALSGSCALLAFYDSQSKDLKVACAGDSRAVLGRRGP GGKWTATPLSEDQTGGTPSEMKRLREEHPGEPNVVRNGRILGQLEPSRSFGDAFYKWS KETQEKIKRQFFGRTPSPLLKTPPYVTAEPIITTTKIDPSQNDFVVIATDGLWEMLNN EEVVGLVGQWLEQQHSGGDKSWLKSWFGFENKQLPVEASQQEALGGQRAPIRQQQYDL SAAAERFVTEDNNAATHLVRNAMGGKHKDMVCALLTLPSPYSRRYRDDVTVEVIFFGD SADTRTVAINQEASATDEKEKAKL ACHE_11146S MPGNQGLRFPFQDDDLNEDDLFVPFSSMRLDLPLEDDPSISFAL ANIDVSDDEGEPIPMSPSHRSVPGIFTGIFSRPRSARNTAYQQTRGSLADIVNRLMQL ERLVGHHGSAPIPVAVRTQLLPFDEAPYHNQPPNWQTHHEYFLWSCRGTVSTITDHLQ AVFQFSPPLAKSFVRAKLNNHNALRQFEFLQEYLPGESHSMQRAEARIILWETGISDP DVLEGRQRMEIPQFSPTDHRYERPRMPHGRPPFTDWVHIHDSYLLLFLGHHPHVFLRQ CHWMFDCQPTDHFVSIRMAQLPFLGISAEDISGALVTLKRFGSPAAAAEEGVLVV ACHE_11147S MPINSLRALRNPRISARQIRHFHPTRPAFLVNEVLNASAGFIQA VHSFSCLPWVASIPLTALIIRMTVGMPLQMYSKIQARKELDIAPIMTSWRHQYQAQIR KDVNRSSEDPMMAGQANAELLKMIKAKKRALDKVWGIHRFWKPVPFLQLPVWLSIMES LRAMSGNSSGLVPWLLSLTRGSSDISLAAEPSLATEGGLWFPDLLAGDPTGILPIFLA GSVITNIRTGWKVPSFKEIASLPRPERIRLISSRLLKLLLQTLALYVGAASYVYQMPC ALMIYWITSTNLATTQSLFLDKFMFKRPGLKQWRRFVIGYPPLKKT ACHE_11148S MALLINILITLLTLAATSVILPTIPLSFLRLGLRGVGWFIRKRT RSRREYIISRVRAEEEEFQARGVEKSSPSTTQAEDEDWEKVDTSSVGTAGNNHNNGQE KKRDECWNGIIGFFHPFCNAGGGGERVLWEALRATQKRWPKAICVVYTGDHEVTKTAL LERVENRFNIQLHAPTVVLLYLTTRRYVVPSMYPYMTLLGQSLGSLVTAYNAFNLLVP DIFIDTMGYAFTLAFSKMLFPSVPTGAYVHYPTISTDMLGSLDDETGLKGVNAGAGKG LRGQLKRRYWLAFARLYGWVGGHVDVVMCNSSWTAAHIRTIWGPSRTKQTHRDPTVIF PPTAVSELLSSITVNADTEPTREPIILYIAQFRPEKNHPLVLRSFARFLNNLKDNNPH NIQPRLVLIGSVRHSSPDETHIYNLRLLAHELRIRDSTTFLCDAPWPTILSHLGTASI GVNAMWNEHFGICVVEYQAAGLISVVHDSGGPREDIVIDLGDGATGFRATAEDEFAAS FEAALALPREEKVAMRERARRSAVRFSEEKFGGRWIGAVGKLIECGKQ ACHE_11149A MTSHDDPRVKSRSPSPHPHPYLDPNTNPNVQNTGASGTNSYNYS AGYLAAPHGLPQSFETSLLNQLEQSSSPNMPISSEENFSNLLNSNQADFDFSLYQNPA SPSSTTAPEYDSSLLLDPQLNQQSRPSSQSINPADLVSPISSPQDTTHQHSPGPMSPP SSAGPYYTPQHSRHTSLDPSTAAFLTTGHSNSDWQSMMGSAAFQGHRRAPSEVSDVSS VAPSPYMSQHESFDGVENNPSPLLAPQNDPAYDNLGIESFTLSDQQHPQQLQGFSPAH SPYLSPQLMPQQGPEMIPNIPYVSAPADNNNNAQYPNPPTDMYGNADAFPNLQVPGDI GQASQMAPPSINVEFAPPSRDPTVTVSKSTDIDSLSPPARRIRSVSDPYTRTAPRPRS PSAASSLAPSTPGRSLSPFDPVTFNQSLSNPSSREPSPARSSRRQSTSSIERNYILDL ADPQRPGATSNDRGRTQKHPATFQCHLCPKRFTRAYNLRSHLRTHTDERPFVCTVCGK AFARQHDRKRHEGLHSGEKKFVCRGDLSRGGHWGCGRRFARADALGRHFRSEAGRLCI KPLLDEESSERERVLVSHQQHQQTGHLQPIPPPLMVPDMNGPHTGNFVLPAALLAQYP ALQTLQWDQIPSGAEDAGDIGGRNSFDASSGGEFGYEDDESGLSSVSGMSGGYPNQGN MYNQGQMF ACHE_11150A MHLLPLLSLSALTAAALTYRGADISSLLVEEDAGKTYKNANGET QALETILAKNGVNSVRQRIWVNPSDGSYDLDYNVELAKRVKDAEMGVYLDLHLSDTWA DPSDQTTPSGWSTTDINTLAWQVYNYTLDVCNTFASKNLDIEIISIGNEIRNGLLWPL GKTESSDGYYNIAQILHSGAWGVKDSDLSTTPKIMFHLDNGWDWDSQKYFYDSVLAND SALESSDFDLIGVSYYPFYNADATLSALQSSLKNLQSSYNKDVVVVETDWPVTCSNPE YDFPSDVSSIAFSVDGQRSFLEKLANTISTAGGVGFYYWEPAWIENAGLGSSCEDNLM VEQKGQVRGSVSAFGDL ACHE_11151A MRSLARTSALLGTFVARLSGRQNKESHGGGEVFLSVNTDARQGN VSSPLLYGAMFEEMDHSGDGGIHGQLLRNNGFQGSDPDLTAYKPIGDVNLSVDPLHPV SDAINASLRVTIPNDVSGFVGFANTGYAGVPVTNATYQNSFWMMGEYNGTVTVQLVGS SSGDVFVTHNLSVESSEKEFRRFETSFNSTRAAEDGDNEWRLLFDCAVVNGSFLNIGL VELFPPTYKGRANGLRDDVAKFLEASKPTFLRMPGGNNIEGLSIPKRWIWNNTIGPTV ERPGRDGNWFYPNTDALGLDEYMWWCEDMNMTAVLAVWDGKSYGGIVSGPDLGPFVDD IMNELEYLLGPPNSTWGSLRAKNGRKDPWPLQYLEIGNEDDFTGGCETYPDRFTQIYN AINSTYPDLSIIISTGVQNCIPSPLPPGVMIDLHYYQSPDPLVGLFNQFDNFPRDRPI IVGEWGCRNTTAERGQFWGFVQGSCAEAVHMIGFERNSDIVKMTAYAPLLQNFAFTQW SPTLFGFSSNPNSLTPSTSYFVQQMFAGNKGTSILPVDSTTGFGPVYWVASRNETAVQ LKLANYGADNQTVIANIPDTRSGTLEILAGPQFAGNKPGDVNIVPRRERIVSEGGTGN YTVEMPAWGVAVLAVE ACHE_11152A MSDHQHQPPSIVGPDLVLRNGYNTTAPATTDHYSRRDSLESAEL AEGPSPLPSSSDTAEPNKQVITKPPSAKLPSDNGESQPPLRHPYYNLYSASTSRNSSA RTSTSSLQALNEDTVVDARSNRRPAMSRRTSGLSQSETPAPEYPVYPDQSYASLQTQV HPTYQPPLLRSRPQQTHHDIRSRLAQSRAARTAGNTPLSSPGLFSFKSPRPVSSTPVA TDNGTRVSSPYLHPTQLQPPPKETHTVEVDRDLVTGNKVINEYEILAELGRGEHGKVK LGRHVTTRKKVAIKIVQRYTKRRRLGKLGSPEDKVKKEVAILKKARHPNIVGLLEVID DPNRQKVYIVLEYVENGEIIWRRRGLREIVHVDKIRLEREKSGIDDSPSFLEESRQYI RTAQHLRRQREKARERRQALAEYAQQGPIPAWSLEHGAESEDEEDGDEEAANGLAIAR TSSRSIMSSNNDDSPSPSFSSACDPALNAVEGSMYGPYAEITPPRPFERRFSTASSSF GYAPSEPEWFAEDDEMSYVPCLTINEARHAFRDSVLGLEYLHYQGIIHRDIKPANLLL TKDHRVKISDFGVSYLGHPMRDEEEEEQTEINGTEVDDVRELSKTVGTPAFYAPELCY TGDDFLDQVGSVPKITGAIDVWSLGVTLYGMVFGRLPFVSDDEYSMFQTIVKKEVYIP RKRLKPVEDDPGTFSQWPKSLSSEKRQQHEIAYEDIDDELYDLLKRLLTKDPIKRITL KEIKHHPWVLHGLPNPRGWVEDTDPNYQSKGKRIEVSSEEVTSAVSKVPIIKRVQEKM SKWGNYLTGRKDKDKDSRKRTSTTDSLTSTSNSSSTSLGKYLWNNTSRQPSPRVEEDW SARPSRQGKEEHPLSRSVAASPIGRENQTSYFVMQDSRSDPSLPQTQTTAPRPDVLER ATSTASTADSIKTVTPSDPETALWTAQSPHPATPNLVETLGTSSIGGLFGGASRRLAR GLQTGDWRPEKTVAAEEAGGVAGDRRSEPSLALSVASAVGQMQNSGLWKGASSPSSRT QSPHSSHTVHRRNRSQQLPARPSAESFALTREALLRQRQPENVPSSGLAKTVEDESCS NEPLRHLREVPTPSKTAELLTENTPTDAPSNGLTTSPPSAATISSSSADEYNSGMSHS ESYPSIPSVVSGASSFSIDGLYDGTQDFGDCEPVAQIPSMLRTGETVKAPQPISDLQT EDDEARYYCDNEEEDSDDSDDEVLIIGKKKPPPHKPIIPGSKAS ACHE_11153S MQNHRPRMKIVSILALPFALLRLLHPVSATAIPYTPSRVFVSPS HNDSLAYILLTGGFDGQDQTRTAFLSLNISQEVDAANPSYTTLLDQAPFREDDHTTAF VPVIDDHGIIKVYTGNCQNVSDPGVVWQFTPDPDNTIGNGTWERLSVDKKHKHTYGPN YLAAGFAYEITDTTDSAVYTFGGMCPWSNETNTDWVAAADYSHDMTLLDPTTDSETSY LFESAGEHAPPIAEAGLSITPLLPAYIATADGKMLRQQDFLFIGGQTEQAFLNMSELA VYSLPHDSWNFVAIDGSPPSAKSELAVRDTKEGIIEPRSGHTAVLSPDGGKVIVVGGW VGNTSIPARPQLAILEIGSKYGGYGPWTWQVPSLEGSFLGDDSGIYGHGTEMLPGGVM MISGGYRISQMSKRSTNSQVYFYNVTSNSWATSYTNPNWLAANSVSQDPGSLSKAAKV GIGVGVPVGVIVLCLIGWMVWKYSRRFRWRRKRNSELRKLALGAQRSHFWGRDDPEMA SSIHRPSLNSDRSYPWASNKGNGRSKQPEEEDAARSEMTGLLLDGLSSTKNNRQNRLS YRFSGSNPARNIHPIDEREEDEADASDNLIARDPNSTGPQMGLLGGIDIPDPHIEAPF LTPQTTHAERTQENTRAVFEENAFLARFGLDDGSTGTPASSTRDRPQSSQSNVPGTMR LGNVRRSRATLFYNPTSIPNNGQSPNTSAVASTHSKETASASSDKRYSSDSYSTAYTS QSQKQAEGEHLLREQESLVDGVAALEPEPESESVSPLELPTKVAATSSRPKGPKAAEW FGNVRRVLSGPRKWTMSDKHSSSDDKNHAAPLASGLDRRKTVLESKRVSFQEPDQEIV PPRRAVSASAELFRRKQGAEDWGVSPTSRTSHEGGKSDAMLDPTNKENFQSEEEDWDI EAAAEGRRVQVTFTVPKEQLRVVNAMPGDLESVSTTTASASRVNSGGTGTSYRTVTT ACHE_11154A MSTWGEYFRVTTYGESHCRSVGCIVDGCPPGMQLTEDDIQPQMT RRRPGQSALTTPRNEKDRVEIQSGTEFGVTLGTPIGMMVRNEDQRPKDYGGSTMDLYP RPSHADLTYLEKYGVKASSGGGRSSARETIGRVAAGAIAEKYLRLSHGVEIVSFVSSV GNEHLVPPTSEHPTASTNPEFLNLLETIDRQTVDSFAPTRCPSEEAAARMTKVIEKYR DNHDSIGGTVTCVIRNVPIGLGEPCFDKLEAKLGHAMLSIPATKGFEIGSGFGGCEVP GSIHNDPFVASEVESRTGTATTTKQRLTTRTNNSGGIQGGISNGASIYFRVAFKPPAT IGQAQETAQYDLTTGVLEAKGRHDPCVVPRAVPIVEAMASLVVIDALMAQYSRENARS LLPPLPKDIPTRP ACHE_11155S MLLLRRGPCLLSSSFRCGSLSTNFIRRNSSFPSNQFAQLASRDA SKHQIYQSLSSDPYVNLSIEHFLLENAPADSSILFLYINRPCVVIGRNQNPWLETNLR AIQNDRVKDPEGINQNGEDVLYVRRRSGGGAVYHDEGNLNYSVISPRTTFTRNKHAEM VVRALHRIGATNTGVNDRHDIVMSLPQELQQLMGGNPTGFDPATPRKISGSAFKLTRN RALHHGTCLLDSPNIADLGSFLRSPARNYIKAKGVESVRSPVANVSTMFADALMPFSI QGTIEIIMEEFAQLYNVSSEAVPRATRAHANDLELHAGHDWVAGAVGEDQAEEPDIKK GIDELRSLDWKYIQTPQFTFSTYPIEEDPRERPPLPPSLPFYTRAFLRCKHGAIIESH ISVSPDETVASEQARQVREALNGRKLHELQLEDLHEALGRVSPAMGSNLVRELAMFLG RNLGCY ACHE_11156A MAPLFSKRLSCFYCGKRSAQSDGGPIRKWRCNHCEAINYLDEKG EITDPPAAETNPTVYGPGASNQTLETTDFKASGLFCAQCIRNQHLFTSALASYFPSTD DPNYTAYEREYPQFRRSMEERYPQVCARCEPRVRDRIRQTGYEAKSDHLRRMMDRSKA GRVARQARNRNWRSVLVFAGAIGYWSSVAGQLGWDMLESLKAGDKMSQDTDEPLSPSM ASCVQQTIQDWRLPSQCSVDFSPYAGLALIAGILSIWWNPKLRLKIEGRGGRFVGLGE YYQVQLIVMVARCAFWAVLKDPSSSGLQPTLPPALHLFMVFFTVLSVAISRRVVRYDT RPLVSWNEITPDATPDQRIETASVQSTGNKSASKLPNTQLGQTPQRFPLEKLAIPRLS PEKESAIPTPPPEVDDMDWTPSGPSVQHELRPTISVHERDQKSVLDGPLPFYGSLPAA PKPPAWNLRSQPAKPKPIEQVVERNPFHRTPAQPPRPWERNPGRLDPVFAPPKFFPMS DHSASTGLESLFDQAFTIKTPEDEGKEDWQHQQHHRQHQQQQSHQAPQNNSRPPVNVQ GFLVFQYLRLGLLLMSLLAWTLSQNGVLSVPGNYVEVASLGSASLLAGFALLDVLKQP IVYWNGMEILVYFAELAAAVHLGGNLPHVSFEREYFDRYGRLLLVFMAAQEALGLLSL YRAIFAHAAHARERPAGQQMSQSNQDTFNSSSIGYERSPAGIVSQPQNSLSSVPPLSF SSTAAGSSFSTQSPEPHYQLPPQSTFGQQGFFNNDNNNHSFTLGSLKDNESEVDPFEH DSDTETTMTATTNATNATIRNIRYGGSASSNDFYYPKRADVGSGIGGLSLDDKPMRRM TRSQTKQRTGGLGGDPYSRRYSTRR ACHE_11157S MASLPLTSTNSLCAVVVLVIVAVLTIVLSLFHSLSIPQNTKVPK CRPKHSPIHLLVVLGSGGHTAEMFSMLRRMQLNTSRYSYRTYVVSSGDEFSAAKAIEF EKSLQGEEDVPFPQGVSLPGAPPPLLGGPPPLMDGPPPLMNGPPPLMGALPPLQAATP QGVPSQDSPYTIVTIPRARRVHQSFFTAPHSTLQCFWACIRVLCGRYPDQKPVPLSYS PYPDLILTNGPATAVCVIMAAKFLRLFRRYAMKEKKQGNMPTVQDIRLRTIFVESWAR VTTLSLSGKLLLPFVDRFLVQWPALEGKAAWWSMRKTEYAGALVD ACHE_11158S MSYSLKGRNVLVTGGSRGLGALVAQKFASEGSNIAINYASSKDA SEKLASEIQSQFGVKTITIQGDASLADDCIKAVKTTIEQLGGLDIVISNAGWTKITQF NDLHAMDDDDWDRCWSTNVKGNMYLFREALPTFNANPDGGVFLMTSSTAAVSPFGSSL PYSVTKAAGLHLMKCLAQTQGKNVRVNSVLPGLLLTDWGSRFPHEKIQGYKDISVLKK VPELEDTANAYIMLAQNSSMTGQAIQIDAGFVIK ACHE_11159A MFRLKRPGTFWACRQVPSYRAFAIRFLSTKTYDPLRILFCGSDE FSIASLKALHEEYLRNPDRIASIDVVCRPGKKVGRGLKVVREVPIKAAASELSLPIHE IDTFKGWTPPLPSGEPINLVVAVSFGLFVPRRILTSAKYGGLNVHPSMLPFYHGPAPL HHVLMDGKTTTGVTLQTMHHQHFDHGVVLQQTPPPGLEIPDPDSCTVPQLLDVVTPKG ADVLLDGVRQGLFVPPLENRGFSDLPLSDAPHAAKITPEDRHISWPEWSWQIINRRNR VIGPLWSKAYLHDSRPDSTSGPRKRLIFTEMEEAQPQEGCREFTSSPGWPFVASSLQA EGKREEKLYVWTSDKKLIHLRRMIVEGAPNTDAARAARKAGFLGDRVVRTDDFEFHGF HDALL ACHE_11160S MPESDFASVIPAQLSFLAIYNPLLGPTDETLSDQIVFYTSRSSR LRRTESNAVDNSNDDSKDESNDRLRQIGLAQGMVGFAKTFSDGKALDYVETERSRIVL HELEQNWWILTSVDLTRIPSDSHSGTSSQRDLTELPSIVYSSRELCPPHLMIQQLRRA HALFLLHHDFTLDRLYERVGRPAFCTRLERFWGKFAWNWEVLLNGNPAVDIYDGIKLS AGGELGIGVGEEEWGSGEREVLEDFVSRTDGLVDLIVSRFGDPPTQVEDKAAGDIGPP WLGFGAYPRSSDGVIFSGVGGISRPSVVRISQWMEWIYRYGDSAYGVGEDPTSPRRRK QRRNQRGMIYSKSARVSSNARSPSESLDPDRSFSPGIPRPLVVGTPPPPEGRAGPQTI KEDSPARSEHQESNWSSLKPETFMKYLTLGYGTSWFSSSPHPPVSTLQQNDSPENAGQ EDTKQGGEEETQSKSRVQDFGRFIVGLREGEDLSGHSPESHTESRQNGRIETRITHVH LVRPERERKGLQAVIYLHQPFIFTFLFDPETPSLSNHTLYDSIRHQLLPLHKTLSIST SSTTAANRISVSDNESATNQQQPVYDLVYDPSNLTIRSSIPNIPDLAAYAVAHPNNRP WSRLESINIHQQLLNTYLDTRARPLEIERTCKTSRGWWIVWVRMSPTEIPEPINDAIT PTPPTTTTPPMSSNTAQEAFLVRKASDAQATSHSRGVSMNSGGGGSTSFFRDLGGAPS SFSLPSLTGGGMQADIMGPARLVEGLGMDARRYIERLLSLNR ACHE_11161A MAEIRRKLVIVGDGACGKTCLLIVFSKGTFPEVYVPTVFENYVA DVEVDGKHVELALWDTAGQEDYDRLRPLSYPDSHVILICFAVDSPDSLDNVQEKWISE VLHFCQGLPIILVGCKMDLRHDPKTIEELTKTSQKPVSPEQGEEVRKKIGAYKYLECS ARTNEGVREVFEAATRAALLTKTSKSKKKCAIL ACHE_11162S MQRVIRRTALARNQAQRKAIRAAKEAEREELNDSLRQRFAYQRI ELDAIRAERQRRREDWMRGPLAPKRDSGPEGKSFGALSPQAMNPPVIPKHLRRKYINI APGDRVCVMKGKDKGKINEVVRVDPANETVMVKDTNMADVTFPPWLNEQYGHKSPVHS INLPVALDDVKLVVALDDPVTGNTRDVLVEHVYGGEPLLERPYGTDTPRHTRYIAGED IEIPWPRSDPAEQKDEEWDTLRMEVETPTWVPSLHNPPFPSSVLDEIRNKFSKYRTRH DPEWVEQKKLEDYKKEYLQSRSLLTPKGELIAMLRAKSAERTQAQKDADGNVIMDEQT AGFIEKFMKEKAKSSA ACHE_11163S MAFASINRQPVNKKLSIPVIEEAFAAEPLLKERVYDAIETVPQQ KPIFEDIARYTTSLLARNANIQLQHHTVPIDTKTTSNDDGPAAKKRKLQNGDAPGTAA QSTLDLKTESLLQFYMPDISFAIPQRKKLTLEFTGGRRFLRTRNQTSKEVEFGVPMDK IQHVLCLPVPEKNQKQCNFCIIPQYGDGINPPPEGESASEAMVFTVNDGPPKAAYSGT GQPVTIGEGDTAEKLIRWILNDNLSQTKVIGPDEREFVSATPEAHRKGEKAYHVKAFR GSKEGYLFFLSTGILFGFKKPLLFFAFENIDSISYTSVLQRTFNLNIVARPTTSDETQ ELEFSMVDQADFSGIDTYIKTHGLQDASLAEARRAKRYNVNNVKTENGAKAGDAAAAD GEGEESELQKAQRELEDQEDEDEEDYDPGSDADSDGSGSSSEESESEGEEGEGDDEDL VAGELGSEAEDVPEDEL ACHE_11164A MATMSAGTTTYNVYRVFFSQSSSIDHEAIALVPAENKDQGAGRF YHVTGTVGLGMDYDSKPAYRFDKISEYKGAAFLFRLPRAQLARFEDIARSCPPPHDVR ALMEANPNPPVRNCVNWIDEVLAGARKLV ACHE_11165S MSSSSSNVVGVHYRVGKKIGEGSFGVIFEGTNLLNNQQVAIKFE PRKSDAPQLRDEYRTYKILVGCPGIPNVYYFGQEGLHNILVIDLLGPSLEDLFDHCNR RFSVKTVVMVAKQMLSRVQTIHEKNMIYRDIKPDNFLIGRPNSKAANVIHVVDFGMAK QYRDPKTKQHIPYRERKSLSGTARYMSINTHLGREQSRRDDLEALGHVFLYFLRGGLP WQGLKAATNKQKYEKIGEKKQTTAIKDLCEGYPEEFTKYLTYVRNLGFEDTPDYDYLR DLLSQALKNSGEVEDGEYDWMKLNNGRGWEYKSYSSQQHLQQNLPNTSGRDLHAQQYR ASQRPGVTADRLNAAQPPPPSPAKPGAGKTRERPSASSGMPPKRQSAGMDTTAPTAST QAQFQNSNPNIPGRMGSPANQPMSPQPAQGTQAKSEPQPTFVQKVMKALCCGR ACHE_11166S MLKKKSQNNPSRSFWNHSPSSTPELSPTSSSSDSEFDEEMDSSS GSRPISFSVPRGAYCSMRPSLEQVLTNTAPPPYTLSAFMAYLSQNHCLETLEFTLEAK RYRETFEAVTHQLGEYPSVNIDCPECQHLCMLWQRLLTAYILPGSPREINVTSDVRGN ILREAAINPPPHPQVLDVAVKLIHELMEESIFMPFLNSHSTSAYSMPSYSSEFSPPSD DGVMVVGKSSFEEHTEKRSRSKSKRLTPPSSAKELGSPFVAGSHSSKSNFSLSMMTSL GKSGHRSGQTPSPGGNDLSLTDDSGSNASMGELMTPPTTPPSTDPFPFLSQSPKNRTE NPWKKMSMILGFKKRGNASRDMKIFGMDD ACHE_11167A MASNDRNAITLIETDEVKHPTATYVYTESQKQSRNGAQTGRIDH IPPTASPSSWPRANLMSILGDVFLPAGYPHSVTDDYLPYQIFVSPSSPRNCACNNNPG LLPSLQQLHCRTPSFQSRPARSPSCLISPVSQPSILKATGVGVGNPTATPTAALLLHT LQDTTSRLATILFAYRIGTALEPECKRYRFAADVFNDLGMVLNCLSPMVPAGVVRVGV LSAAGVLTALCGVAGGSSKATLSAHFVRGGRGSLGDVNAKDSSQETVISLIGMLTGSL IITHITTPLTTWLFLLTLLTLHLSLNYLAVCSVQMTTLNRQRANILFSTLLGSDPALL FLTLGGEMGPPLQSQTDIHEEKKKWRVLTPAQVASKELIFERDGVIKWYSSHSSASNQ IITLGHANIGISVQRFFSTVEPNLLPDLTSIFTNEAYILYLTSITTIGTTTKVKWTAN TLLKQSSTPHSQLKAWMHGLLAARVLSSSFPVVDKDSGENGVLRVLDQTLTFLNHGRR FGEYMSAMEECGWELDVASLETRPGRRVSVA ACHE_11168A MRATFALRNAAHTPLIRFIGKRSVPQSVDHTPRAHPASPTGVLP DSFAAYRSKAQQHGPLGRASFSQGAIGRAPGASLGPVQPKEGEFFDRAELPSRFHRLP WSEAEIEAIETGGASLCS ACHE_11169S MHELLLFASVPHHQHHELRQQLAGLTAMQPRHRLERRLIFKAYR KPGVVTTRVGASQDVQGVDLQRLNKMLNGGMFYTQVVGPVLRENFAAAAGVTRGEGGD DPDAAMSGIDESQKDSFSNSSYDYESQSWKLEFRDIPEAGTRSAVTARLMASAGLPKG DIVEPMNAWGYGFVTEYVVEGDIFILNDIVIFLHRVLQYPSESADPHVPRRQLPSFEQ MTPLDKSGSYVLQAAITVQDGGNQETMRTASQHLFGLREQLRSAVRLEQADRLSLDTR AK ACHE_11170S MLKMAGTSAAKRAASPTRAISPPPLKRTAATTATTTTKTTVTTK SAANFFTPLSQKKPDPLTWRTVNNTLIIGRYAGQKHKRSTAKKQKIAAFDLDSTLITS ASGTTFARSPKDWKWWHASVPSRVRELNSEGYQVVVVSNQKQISLKKGAAESKSYNNF KEKVTAVMNDLDVPLSVYAATESDEYRKPRLGMWREFLDDYDLDVSGVDLNSSFFVGD AAGRPGDHSQVDRGFAVNIGVDFKTPEEFFLNQPPEAFEKPFDPASYLHTGEQDPPLF TRQHPLELVIFCGSPGAGKSTFYWNNLEPLGYERVNQDILKTRPKCLKVAREHLSDRK SVAVGKFMCPCFLCFIRATWYNAQGETLYPSEP ACHE_11171A MLKLSQLLDAKRRDSSEAATADPSAISSRRSIQSPGNTDSSTPV SPALSLFSSKGHARVSSSVSSLVPSPGHGNSMESATRDHGLTGVQEEPCTNEARDLEQ EYFQHFDQGLSVDNPYFSAVDYFGCYDLTDASMDTPHSSKKRRSGSITSSKGLSRISS RVSTMSSRWKSRQSEDVDRNASYTDNWHPRTSSAASSALVSPAGYPVARIDSTVIPPS PARTIFEERISESGARPLDIDSANRQFLEEEGDSTHQASTPLLPPFMGDGPMAAAVAG VTSPLESPTVADISDDVLNLDNCVSAAIPATWKPSVTIPSPPLSSQPSMTSFHNRPSA STMRSMSDGPSPLYMSDPNDEWANKLGHANFTIHPAPYMPDTCTMDSFCQLLDDWDLA QCNFAKHLVRTGEHYGVTSNIYKLTEAKWDATNREWKRHHQAMQSQLEETHGPTLSLT QSHFGPSDQVKLPRLHDKKFPELGDGEIVGPMKILPCQPRPSLKRSFFKFFQDLIGRS ACHE_11172S MRFHVPVVCLASLTAASPHIQLQSALSSSSSSDNSGVMGSLDDI INASPFLSFHRDLVQIESISGNESYVGEFIVDFLEERDFTVTKQPVGDDRFNILAYPT SRSHPEILLTSHIDTVPPFIPYSLSRIDSTNSNDKKNIRIAGRGSVDAKGSVAAQVFA ALDILKHDPSAPIGLLFVVGEEVGGNGMQAFSKSPSFNPSPSPYHTYIFGEPTELSLV AGHKGMLGFEIIAKGQAAHSGYPWLGQSAVSAILPALLRVDQLGESIPFEKGGLPSSE KYGKTTVNIGRVEGGVATNVVPAAARADVAVRLAAGTPDEAREIIRRAISNATGDDEN VYPDFGARLEAYSPQDMDTDVEGFEVITVNYGTDVPNLVVHPNRDGKQVKRYLYGPGT IFVAHGDHEALTIADLEEAVNGYQKLVEASLRR ACHE_11173A MRRYKQLDPYFLILSSFYRRIEVEMAGILEDVAIIGAGVGGAAL AIALHQRSIPCRIYEARSEDAQAIGSGVTLGPNGCQVLDKLGLLKQVALRCFRSELHE LKDAEGNTTGNCNPVTEQLYGYKNHRLYRQALIEEMKVKLKECEVPVEYNARFQKVVD EADDCVTFLINGRLERATLLVGSDGINSAVRKHVTSELPEYMGLASVYGHVLTDRVPW PSKSFNKNATIQDSPGALFMAPEVPDGSKLMVGRQFAHPPLDRANWEALAKDKDKLCA LICKDYDKWHPLAQSILDQVEIERNGLLLWSYHYMPKLARWSSPTGRVIIIGDAAHAI PPSSGQGVNQAFEDSHTLAVLLSSLSPKMNLNEAMDFWHGLRQKRIDRIMEMTDATNR KRLPAGQRSVCGKTDGDQDQLLGNPMKWLFVPTTEDDIATWISSRI ACHE_11174S MPNMDPATFIDPQLTLPDHLVIDNILDDANLQGAVSGRDKLTTQ ETIQKLEDLNDISHPSFDPTVFQSWDMSVLQARLPSVVQKYVLQPYISWAQGIVRFKT DVVMLTHLILYFTTLVPSALLLHYHFSWTHGILHWILQLWYCGAFTLMKHQHIHMNGV LSPKYYLFDVLFPYLLDPLLGHTWNSYYYHHIKHHHVEGNGPNDLSTTMWYDRDSVFD FSCYVGRFFFLIWFDLPLYFARKGQTKHAARAAFWELSNYTMIYLLYNYGNSRATLFT LILPLTVMRVGLMVGNWGQHAFIDPKDPYSDFLSSITLIDVPSNRFSFNDGYHTSHHL NPHRHWRGHPVAFTKQKGRYAEEGALVFRNIDYIFITVKLLQKDYMHLAKCLVPMGNQ INMTLEERVQMLQARTRRFQSDKKKE ACHE_11175A MSYSFPQATPSFPSQHPYGDNYWRAASRSPNGRLPGYGLSGQPT GLPSPNRLSPFLNNNRATLPLYKDKPYFAPKRTGPRSRRRKALYGGIALFILMVLWYC LSGPTWNGRVKTPDSAKGAELWEWVQGLEKEGSDKSGKQKNVDWDARRERVRDAFIVS WDGYEKYGWGFDEFSPIAKKGTHMIPGGLGYIIVDALDTMMIMNLTSRVQHARDWIQN SLHYDQDSQVNTFETTIRILGGLLSAHYISTKYPNLAPISDDDTGSPGEDLYIEKAAD LADRLLGAFDSQSGVPFAGVNLNTSEGVVSQLNGGASSTAEATALQLEFKYLAKLTGE SEYWQVAEKVMQVVDNQKVEDGLVPAYIHPDGKFRGESISVGNRGDSYYEYLIKQYLQ TSELIYKDMWDQSLMGIRKHLVTYSQQAQLTILGERPDGLRGSLIPKMDHPTCFLPGT IALGVTGGLPLSDAKKSPEWSRRQDEEMLLAQELMKTCWATYLTTKTGLAPEIASFAT DQPPKTMTDMYPPSLPLKSVSQSLDTEDKSQSGWRADIQLQNMELYNLQRPETIESLF YIYRITGDETYREWGWEIFKSFMKHTPVVEYDNHYRFTSQHKRSDGTASSSPSSGRIV GFTSLDNANIVPPLRRDNMEGCWLSETLKYFYLLFSDREFIPLEDHVFNTEAHPFPRF QPSGELRTGWKRQER ACHE_11176A MADPERNQALEDYKKSLLELREWEAKLKTLRLGIKDLQREFDVS EENIKALQSVGQIIGEVLKQLDEERFIVKASSGPRYVVGCRSKVDKAKLRQGTRVALD MTTLTIMRMLPREVDPMVYNMSLEDPGQINFAGIGGLNDQIRELREVIELPLKNPELF QRVGIKSPKGVLLYGPPGTGKTLLARAVASSLETNFLKVVSSAIVDKYIGESARLIRE MFGYAKEHEPCIIFMDEIDAIGGRRFSEGTSADREIQRTLMELLNQLDGFDYLGKTKI IMATNRPDTLDPALLRAGRLDRKIEIPLPNEVGRLEILKIHASSTKMEGDIDFESVVK MSDGLNGADLRNVVTEAGLFAIKDYREAISQDDFNRAVRKVAEAKKLEGKLEYQKL ACHE_11177A MANILLSKHGDTNIKTVGVNWATNFIRARTRFSRPYNNQRAKYE DPQIIKEWFDRVQITIMQHGIALEDIYNFVDETGYAMGLVATAKVVTRAEMIGQPFIV QPGIREWVTSIECINSTRWGLPPCLSSKGRSIWKDGIKMRACQRTGGLED ACHE_11178S MPISKVHARSIYDSRGNPTVEVDIVTETGLHRAIVPSGASTGQH EAHELRDGDKAKWLGKGVTKAVANVNEKIGPAIIKENLDVKDQSKVDEFLNKLDGSTN KQSLGANAILGVSLAVAKAGAAEKGVPLYAHISDLAGTKKPYVLPVPFQNVLNGGSHA GGRLAFQEFMIVPSAAPSFSEALRQGAEVYHKLKSLAKKKYGQSAGNVGDEGGVAPDI QTAEEALDLITEAIEQAGYTGQVKIALDVASSEFYKTDAKKYDLDFKNPDSDPSKWLT YEQLADLYKSLASKYPIVSIEDPFAEDDWEAWSYFYKTSDFQIVGDDLTVTNPLRIKK AIDLKSCNALLLKVNQIGSLTESIQAAKDSYTDGWGVMVSHRSGETEDVTIADIAVGL RSGQIKTGAPCRSERLAKLNQILRIEEELGSNAVYAGEKFRSAVNL ACHE_11179S MPPSKWDDDEESTSPPPPVLPRRSKFDDEEEEEVLDSWDAAEDS DVEREKAAKAAEVKAKAEAEAAANKKSKNQRIEEHKQIRRKKAEEEEANAESDEDEAS RRERLRRTEKDSDLAHAEDLFGGGGAVDIGDVDMQRMKNRSAAPKAVVISDSADPTNA IDLSAMPLFKPTSKDQFAKVTSTIGPLLTAHSKKPHYALWAQDFAKQLVKDLPSGEIK KIASALTTASNEKMREERAADKGTKKSKAAKTKVSLAASRDDKLDSNYDNYDDGLGDD DFM ACHE_11180A MVTYMKEVANIGGELTVDERNLLSVAYKNVVGTRRASWRIISSI EQKEESKGSEQHVGIIRDYRLKIEKELERVCGDVLDVLDQALIPKAETGESKVFYYKM KGDYHRYLAEFASGGQRKEAATAAHEAYKNATDVAQTELTPTHPIRLGLALNFSVFYY EILNSPDRACHLAKQAFDDAIAELDSLSEESYRDSTLIMQLLRDNLTLWTSSDGNEGE AGAKEDKPEEESAPAPEDKGEESKPAAPES ACHE_11181S MENSFLYSSAEVLKHFGASESSGLSQNQVTEAKKKYGLNALAED PPTPLWELVLEQFKDQLVLILLGSAAISFVLALFEEGDDWTAFVDPVVILTILILNAV VGVTQESSAEKAIAALQEYSANEAKVIRDGTVQRIKAEDLVPGDIVQVAVGDRVPADC RLLTIHSNGFRVDQAILTGESESVSKDTRAVTDKQAVKQDQTNLIFSGTTIVNGNANA IVVLTGGSTAIGDIHESITSQISEPTPLKQKLNDFGDMLAKVITVICVLVWVINIEHF NDPAFGGWTKGAIYYLKIAVSLGVAAIPEGLAVVITTCLALGTRKMAQKNAVVRSLPS VETLGSCSVICSDKTGTLTTNQMSVEKVVYLNSLGAVEEIDVEGTTFAPLGQLSRNGQ ALNQLAVSSSTVRQMTEVMALCNGSTLAYDTKSGAFSCIGEPTEGALRALVEKIGTDD RTTNETLLRLPGSQRLHTASSYYGQRLPLKATYEFSRDRKSMSVLVGDGSQQKLLVKG ASESILERCSHIVLGENASRVSLTKDHANRLSQQVVEYGNRGLRVMALASVEVGANPL LHKAQSSEDYAKLEQNMTLLGLVCMLDPPRVEVSDSIKKCREAGIRVIVITGDSPNTA ESICRQIGVFEADEDLTGKSFTGRQFDSLSESEQLEAVKTASLFSRTEPSHKSKLVDL LQSLNHVVAMTGDGVNDAPALKKSDIGVAMGTGTDVAKLAADMVLADDNFATITVAVE EGRSIYSNTQQFIRYLISSNIGEVVSIFLTAALGMPEALIPVQLLWVNLVTDGLPATA LSFNPPDHDVMRRAPRKRDERLVGGWLMFRYMVIGTYVGAATVFGYAWWFLYNPEGPQ ISFWQLAHFHKCSSEFPEIGCAMFSNEMSKSASTVSLSILVVIEMLNAMNGLSSSESL LTFPLWKNMMLVYAVIMSMALHFAILYIPFLQGLFSILPLNVIEWKAVLAISAPVIVI DEALKFAERRLYTLPVKASSKPKKA ACHE_11182S MRLDKMIKGHEMALNEGILARQEIRELRASHEKQLQKCKRSRRQ ITAEEGLSIQEGQALVQGRSQEEEVMPTTSTEPAPMAEYRSVRAPPRCSDCNTLGHKR THCPNRDSN ACHE_11183A MHSAHPSISFPPREDTHTHNHHDSEPPIHARSRSSAQVFPNSPK RRSVFSGRSRSNTTTTSSTTSSSSRRSPASSMTSTDQAPSFPYHESSYPAGQFPPHRT ERQDSITKSLFSRGSRILRRQGSKFNISATLDEVDEGEREKPRFDVTDLFGRHRSRQS DARSDDNLKRLISDPFDFHHLTHTSPSHVQALQRARENELVTEFSAIRASQKPINSLK GIRAEDLHFRNFSSEDLAPGVATSGDDEHAFAAPTNPPASPPASPGAASSVSPKARPA QRESRVYENFSRPVSRYPRQGSTSSITSPPRRPSCQSSASPDLIEPETRAIDNVLGMN ATQQDYHELVYPRKESLSQMNLAGLFESDDEGHPRAVSVGTDARISSTNLALDLEDVP EEEEAGTHWHESPEQAEKSDSRRLSPAPANMGPSSSNLSVPKSHPSICVAEELSRKFS EVLASPTLPQHRLYQQQQQQPEQNAQRKSQQARGNISKRESVIDINIDSWDADIDYCY EHAAESTSDFDWTRRSFDEPQHPVIEEDPNEEEEQSSKPQSTHLSTSSLPCPDLDPSP SRSVPSTQFAVTPSTTTYESDYFQQVPASFFPPTEEKNMTQDTLYDEFNVDAASDRHF SFCSQGVIKAPMDHQVSPRSSFSPISNCNSQESLILSRAASIVRKHRSSVSTTSVPEL IHSLASSRENMPAESPSVPSLPDAYHRQTKSLETNQALFGSTASLDSADISPGTVSHD RAKSTSDLVEAALPKGDVVPSLPQTGSVKNAGRKKSRTSSYSLFPTS ACHE_11184A MALENGHTNGLNGESRPASLNQRFSDIPSAIDIPASTLDSEVEV SLEGLPDDPTELCTLLENEKAAKNFWVIIALAYAKQKQIDHAIDILNKGLASVAHGAT KEKLVLLGWVCWLLMLKSRQAPRVASEGELYTDAKTKDYYLQLATSTLNEASRLNPAF PPLFLARGVLSLLRASLYPPRPVRPGTVDTSERVESLRQALKCFDESSKAFGGRNIMA ILGRARAQYLLGRYAEALDGYQKALMRMPNLTDPDPRIGIGCCLWQLGLKDQAKGAWE RALALNPDSKVANILLAVYYLYDSSRHATTDPAFGSLYKVAMTQYTQKAFKLDKEYPM TCALFGSYFLLRKSYSTVDTLARKAIEHTDVMSIASDGWYLLGRKAHYESDLARAAEY YNRSDQARGGGDKGYLPAKFGTVQMQVSNKDYDGAKFRLEKIIQQTKNPECMILLGAL QAEEVFAAQRSGSKEDKSAEAKKAISLLESVRALWKENGGKKISPDESVLVYLARLHE QTAPEKSMQCLTQLEQLQLAEVSIEVDEEDEDKRNSLLRENLPPPLLNNMGCFLYQNE KVDQARAMFQTALNACVKSQEKESDADTDALVTTVSYNLGRTYEASDMPDEAKKVYEG LLERHADYTEANARLTYIALRQSPTDEGPKRMAKLYELDSTNLEVRALFGWYLSKSKK RATNLAEDHEQRHYKHTLQYYDKHDRYSLTGMGNVHLVTARDMRRDTDQEKEKRRKMY ERAVEFFDKALQLDPRNAYAAQGIAIALVDDRKDHATAVHIFSRIRDTLKDASVYLNL GHVFAELRQYSRSIEHYEAALSKDRARDAQILACLGRVWLLRGKQETNLSAMKTALDY AQRALSVTQGQVHLEFNVAFVQTQIAQLAYGLPETQKTVKEVEEAAAGLDEAVETFGR LAKAKNPPYPADSLEQRANMGKTIMKQLDRALQKQREYEEKNASKLQQAREAREAAIK QREEEVRKAREAEQERKKKVAEERQAMVEEAQRLAEERTAREREKEEAVMTTDSDTGD KVKRKKKSSKRKKKDDDFISEGEGEGEGGSGDEEDGGGGGGASRKKQRKTKKKKKSEE AESEDEDEGAAPKKRRRLERRSGGKVQQGKFKSSEVVESSDEDEKPAGGQDEEMRDSA PAEGQEEEDDVVQRRRSKPSRRVADDEDEEETGEIGAAADGGGDEDEDDLFNDKGDED TEMKEDE ACHE_11185A MDNPELRQRKQPPSTDGAAGLQQPNEWEPRLKYGITMQIVRSLL LATFFNCCAVVTVVTQLIGTPLYVINKDWYYLYMAMTKQAFGLVITALTEWGCPTPVR VCGDASVRGQIHLLEDGRLKTQFSERMVLVANHQVYTDWIYIWWLAYTNVMHGRMFII LKESLKYIPILGQGMMFYGFIFMARKWLSDKPRLQHRLEKLKTKHKGSKSEDPEYDPM WLLIFPEGTNLSINTKRRSDAYGEKVGIQPFRHALLPRSTGLFFCLQQLRGTVDWVYD CTVAYEGPPRGSYPDKYFTLRSTCLQGRPPKCVNMHWRRFRISEIPLDDQKNFEEWLL ARWTEKDQLLEECYETGRFPTDLAGTIEAGNISEKQKTAASNGYAETSVRLGHWTELL QVFGVLFGVACLCKLPSLMGFW ACHE_11186S MRRDEIRDIESLPQRLLPGYQESHEGDLLSLQWPAPPRNIFIVK KDFAPSVTEALVEFSNHVTSTYPSTAIVLEPKTAEEVHSSLPFPVYSTRLDKKPSALH DKVDLTVTLGGDGTILRASSLFATCNNVPPVLSFGMGTLGFLSEWKFAEYKRAFREVY MSGAGVGDRAPVLEGPQATLAEDEGETRMGPTGWSSVRGKSMGTTRGARILMRNRLKV GLFTTDGKPVGKRLESVPSQGTPGSQGTYVMNEVLIHRGKEPHLAVVEVFVGGRFLTE AVADGIIISTPTGSTAYSLSSGGSIVHPLVPSVLLTPICARSLSFRPLVLPSSTPITL RLSEKNRGRELEVSIDGVNLGQGMAVGMEARVWNEVMRHGKNNWSGGVPSVMRRIMGE EAHEGWVGGLNGLLKFNYPFGEEQP ACHE_11187S MVWFDKGSTVSSNSNSRSHRRRSPSHRSHSRSNSNMYKHQVPSV HSVPSNYNYAYGSDSNVNAPSTVSGRKSPSVYSGTTAASSTRRRAKPRKGFVKRVVRT IRQVLRDIYDYAREFPYRTFFMVIVPLIATGVLQKLLGFIGIKLPKRIFGRLAKPPSF DGLKAHVIALIRVAKWAVKS ACHE_11188A MKLFTLRLALFAVLLVVAVAWTKEDYEIFRLNDEVTATEGHNVT FYDFLGTRPSANQEEINKAYRKRSRLLHPDKVERAFVANASKDKSKAQKQKGGTNVNK GPSRREIEKVVKEATERSSRLNTVANILRGPSRERYDHFLKNGFPKWKGTGYYYSRYR PGLGSVLVGLFLAFGGAAHYAALVLSWKRQREFVDRYIRHARRAAWGDEIGVRGIPGI DSTAPAPTPEGDGEAAAMPMNRRQKRMMDRENKKEKKDPRATSRNNAAAPAAPSTTEP TGERKRVIAENGKVLIVDSVGNVYLEEESEEGERQEFLLDIDEIQRPTFRDTMIFRFP GWFYGKTVGRVLGSRNAEEVVDDDASEEEMSEAAAWAVPETTSASTSNGRSSRRRGKR SQRS ACHE_11189S MQLPISALTSQFSDRFNSVRAQSISSRFANLRPVSEFLDVKRLS KPAHFGEVQSRVNYNLSYFSSNYAVVFVMLSIYSLLTNFLLLFVIILVTGGLYGIGKL EGRDLELGFARLNTSQLYTGLLVVAVPLGIWASPISTVLWLIGATGVTVFGHAALMDK PIENAFSEEAV ACHE_11190S MTAQRIAEINDGEEDIHNGVRRSRRGRQRVYNGDADETGSVDGV EYDLYDDTDSTVAYAVQLAMQEREDWLVEKALERIRRAHVSGQKNIRLSSEEREALDR RRARQNGSKGSTTRQRSTMDSPNRGTNGRTRTRRGSNCRGSHQQTHSSPVISLDSDSD GDKKKNDSGDEVQIIDVVQRRVPVSPTPRTRTSGRSRGRR ACHE_11191S MWIAMLIAMLVVWAVADGAPVYSGSMEEGQTIAYISDVGAQHLK PLFVAGSVVTVVFLDLAFLAERWLRHAGQLVPNKGRFDKACAIGSIFFSIAGALGLIL LSCFDTLRHPNKHEGFLAMFLAGYIISAILICAEYLRLGLFYRSQHRVLFASFVMKLT FIIVEIALAIAFGVTGKHKNQRNASAVLEWVIAFIFTGYVLSFVVDLLPSVRTRRHVP QGHKQIAMTQMGSNTTSVPANDNAVIEEPLTMDSTGPAAGYYRGQRI ACHE_11192A MSDLSSADRPFDNIINFRDVGKSINGLTGRRILKERVLYRSARL DDASERDKRRLSDELHISTVIDLRSVTEHRMAAQKRREQHHLSSSPSPTPEQGQKAEA EAETGPDEQQNLMTDDYTHLDPLPGINRVLISLTGKAWERSLIWRLDWMNFFKVLGLA ASGYRTEAVKIVAQEVMAPRGLIGLGQDTLDYSTSEMKEVFNLLAGQHAPASYGSDSE NETEDEGEALPLLLHCTQGKDRTGLVILLLLFLTHVPENAISEDYMRSEPELMVEAEE RLREIRAMGIPEEYIKCPAGFTRAIRGYLEEKYGGIEGYLEIVGVGRETQKRIKERLL A ACHE_11193A MYRPTAKKEWWFCGTLTVQALLTIALETYILVEWERWVTPNITQ VPISYAVPIGMGILVFACVYEAILALDAIHHKNNILLFAICIFNVCSNIFAAMRCVSM QHTTARLFAESAWAVPLLVNSSWDVWPRIQPAEILVPVITSLGTGLLWPCAYYLHREY SWAIYKCVHGSSEIRMRYLAYEIYLVLIKLDFYFLVGFIIQYDLVDVHFNEPEYTLTM LLIPAAFVTMVLGIYFVQHERTYCMIPIVACYLGLIAYLLSRIIVLYGDGPRANVIGK DMMLLFAIIALVFTVGTLVCAIICMTNFNRGLKMVNKRKDVARESYMLKGAEVDTSYQ GRASRMSLD ACHE_11194A MASESAGAAVASQHTSIPRSRSTRLADQAASAALYVTHPDRRLS VREPATANTQLFRDSTGHLDLSSASASAAILAHTRSKPLEVWRPSAQPAAEKAAYHAR DYRAPEAIYVAKATPEGHKAATSAIRDRRSITSIPATPAGVNLDLSEAERTAGLQRRA SEREKARLAATGAYNLSRRRASTTPSKPAVPEGQPLAASAAGASRGTVQDPTEINPLE DLDAANEASRLTHLTNTDSQLYGSRSRFPDDPEELRRRNVQRAAVVSMSRDMYNIIES KEEQPGTAAYAAQITQGRARSQKSLQQDGNINKALQQALNIQGLAHKRAQEKLALMHD ENAAFQEYYGTTPQPPRPTLSVLRRRASSDTDMERSKEIRYQMSSLRTKLDAVDEKRE KDRSQLMEAARRNVDAAIQDMDQWVYDSTGRAPPSVWEKYEEAAEERLKEEQQQQAQE YPDRVNIGAQRYIDMADVEAVARSRVQPTLDEIHDHAEKQRAKELEERLDAEQRQRRE ATERERDAELKAEEKRMRESLKKGPKSKKSKRGRAQEPPIEKAEATGAIGAGVGAGAG AGEGAAEAEPEAPVDTTTSGEDLPEMFRSQTAEGENGLVVPSTEPKETQPRRSESKLK NWFRGRRRTGRGSRGGRATEAAKEQPQAQKETSTAPVTGTGAGASTAEEAEGTEEAEA TGTRDRSRSAALSSHPITGDELNEMQRRRSSVLSTNGEAPQRSPAKENGNGNRRSSWF RNSLMKPFSRNSESKANGNGVAAAGGATSKDSEIADPSEARQASTAANREDLRESAAE QGLPAPPAIGKEASNSTARESRFSEDL ACHE_11195S MKFAYVPLFLAATAAAAEISLKDIPTCAAKCGLGTPPDHCGKLD AKCACEDPKYVAETACCISKECSEDDAKDAVEFTQKLCSGVGVDGLPKTATCAAGASA TASSSSSSTASSDSSASSTSSSSNSSSSSSATETGGAALVYNKDASIIAAVGAAAFAF LA ACHE_11196A MALLSSKTLIQGHALFLFLLAVYLTKSPNVIVESDLVFMLGELL HIDAAPSFSRPQSPFALCGILLVADALVDLVLITKIPQINEIIATAEASRYQSSVTVP GAMRGNPFITRLATLYSEIWTLLSASRFCLFFAVSFFIYQSQPMAWGVETGSGLDQLK NRVVFTYGFVEMMFWLWIYLTLREERQELVSRFVEHDE ACHE_11197S MSDAVFNKDRHIKYYRRCLKTFLPDLYTGNDSNRMLLAFFTIAG LDILGVLHDETTPEERQGYIEWIYHCQVPTGGFRGFTGTDFGIEKRTPENAFWDPASI PSTFFALVILVILGDDLSRVKRVECLQWLPRLQREDGSFGDIVGPDGEIEGGRDLRFC CFAAGTRYILRGKRGESLEDVKDIDVARLVAFIEACQTYDGGMSEAPFCESHAGLTYC AIGALTFLNRFSSDKPAPVVSPGSEEFESLVRWLVARQTSDLGENDEEQPTRSDKTQE NLSQAVEALRLKGKVEALPPIETPTEESLQWAGFNGRSNKAADTCYSFWNTATLAMLD RLGLVDAARNRRYLLEKTQHLIGGFGKGVGEPPDLLHSYFGLVSLAFEGEPGLNSVDP AMCTSHRGVQHLHSLPWWQA ACHE_11198S MPPVRTRPEVQAQKQKEKLAHSYNELLQEFSSKDLKSVGNYTLG RLIGKGSFGKVYLASHKLTNGSKVVLKSSPREDTNLAREIHHHRQFLHPHIARLYEVV VTEKLVWLVLEYCPGDELYNYLLRHGPLPVDKVKRIFTQLVGAVAYVHSKSCVHRDLK LENILLDKHENVKLCDFGFTREYEGKASYLQTFCGTICYSAPEMLKGEKYAGEKVDVW SLGIILYALLAGELPYDEDDDQVTKARILSEEPTYNDKFPDDSKALINLLLSKRPLIR PSLSDILAHPFLSEHAPEQLAILKIPRPSAFTTPLEKTTLQRMKSAGVNIDEVIESVL AQKCDPLAGWWSLLIEKEQRKEQKRERKRREREAEAKNIRRLSAASSRLERLSAALVE VDEEGQPQPASGTALQDRGRRDRRSLPSQLAVPELPSLPEPAPPLPSDIGTPPPPSAD KVSIRSVSSTRHRPMPPPKDKQGQRQSRLHVSASQPELMQHNGGLFRRRTGRRHNYPI ISQLASLKHWFVESAKRAKSPHAKAAGQAGGHHRKLFSDKLSPAKSQDTNKKPASATS PNAVPPEEMTTPTQIKRASNASSLAPSSASYPNHRHSYPRQPRPLSTSHRSHRNSLSP SPITPRGSYRRSSTGLRGRKSTSSSISSIRSIHHAHSHSKASSVSSNSVVSVSTPTAR PAKSPHSSVKVLPTTPGASSRFPSNIRLVRGNNNNYVNDNGCRGLNDTPRMHSMFNEA APAPLLASPSSSLVFARRKRSTFKGPMVHTANLMVSGGMAGTEFPSGAIVPESAAAAA AARPAARKSQIIEEEEDDEDEEIEEVDTFSGAEEEPSSPTVRKASDGKPSLAPALDIH SSPSRPPRSSSLRAARPSVVTETTDEEDQKDSASLTPVASAK ACHE_11199S MANTPSQALTKRHAEQQLMPPPPPPKRIKRPSTVLDEDIYTNAL SEIISRDFFPGLLETQLKQEYLEALESKDKAWIASSKRKLADYVNTNSAGEGRKAATR SRAESVAGGSGDATPRFTGDGGETPRGWGGDTPMSVASMATSASTATTAQEPRRNIPD VSNMGLLAFQAKYTSEDNESFNKLMDRQNTKKREKYSWIWNGNKVPSARQIAHRTMEN KRIAAQGGNPTLAITADGEDADKEIKTNLDARPAKPDAWNAKPENSLMFLPSSVEDTH ETTQQKAEAASRAGPKRVLYQNTRLPTTSAAEETNQPPPSPAISAIQDAIAGRPRFTE TEAGAGSYTGGETPRVNGYAFVDEDEYPTSSSENHDNSDDLSLLGPGDARPNPFNIRQ NRKREDLHHRMVDRITRTKRAEKTNTIKTPMTPRFASSPRLDFGLHTPASGGAGDSGK MLTPAAQKLLQRVGSTPRASSSSNLKNMWTPTPKRK ACHE_11200S MTSCNGRPDSEYWCCGVNNPTCCDTSDAIPIAAVLGDTRPPRIS SGLSNGAKAGIGVGVIVGVTAIIAVGVAFWYIRRRRRRNAAVAVAAAANGNANTNAEM AGVGAAAEVSAPPYQAQATMQSPQELEVNDVGNRVEKPAGTRDVRHELPGESAGGGHS AH ACHE_11201A MAFLASLKIVKVELPSRHTSPSYEYEEKENVDEGCDLDEKMGEV NEAEVEADRILVSIA ACHE_11202A MRLHQLSFPQCCFAATVSVTFLAAFALAVSVSDHHAHASNLVVP ESQNETLIFSDNSAQIQLALGSHNGLEIHGDDDTDALGLDVVRRAPAGDSDSLANNEF KSNDIRMGETQWYYFETAKYRGKSNGTTTLSSLPANVTGSDNSTKQEPSSGGGDNAVY ISLTTCQKPDLNTTNTDDTPELPQLSIHVSRSTEKPAPGNDDDHGTSVDGYLNLTWTN NETVYIGVSAPESTDYSGSYSYQIAASTDTYFHRVSHDPNLFFVDADVNSALFTTGNL SLSNRTQDNYNDWMNLKKPPYTIFVNNLNNTAITGLEQSYCALQQNAQVKGNSVETNM TDIGPGNHPKELLYAKGLNESSTYLAILAMDGNLTDSGNRVLGGGGKVWQPRKFTTKA DGNCAIIFGLSFCSEVAYAVPSNPSMTKADLQKTYDDYASSIFKNFTYSLQQVQCNAS SYNMYSLAVDCDNCSQAYKDWLCSVTIPRCEDYSSSDYFLRVRNAGQNFINGTSLDPD HADRKTSISNRSRNPIIDEQIKPGPYKEILPCQDVCHNLVRSCPASLQFSCPQGEQLD SSYGRRNDNTVTCNYMGAAYYMSSARSMHDQLWVVPYALGLFWALSWIQL ACHE_11203A MSESPRSEKDLCLTRFSTPVPELDDHRFQLDAALHAEPALNHAL SRQNTAQGVGPEATPQRPDLLHVQDAFRERGSISRDFEQAIVDDDRSFKDGLGRRFSV DPAGNLRPGRAWSRTQQDIANMSRESSVSARSTSPPNSVEAFADPRRRERANTLESHV APDLEAILQRTVSGGTHPRRPTFSNASAVRPQPGDIQLDPSDDTCVPVPTYEQPGRIP VIDYEELEEFVALNKKTKPAQTRRKHSLSSQSKKPRVFHDLRPGAKQDESKPSLSIGR SSFELDAKDAEKVTGKPVNENELVEKLQNANEPSRFGFFSSESQSTVHAAELGDLVLP GDTFRDLFQLGPEGGVWWLDVVNPTESEVHALSRAFSIHPLTTEDILTQEAREKVELF KQYYFVCFRTFYQMDKTNEQFMEPVNFYMVVFRDGVISFSFTDNPHAANVRKRIGRLR DYVSLSSDWICYAMIDDIVDSFGPVIREIEVESEAIEDLVFIARVDDFESFLPRIGGL RKKVMSLMRLLGGKADVIRGFSKRCNEQYSVTPRGDIGLYLGDIQDHVVTMMSNLAHF EKMLSRSHTNYLAQLNVTNLVLGNHVNKVLSKVTLIATMLVPMNLICGLFGMNVEVPG QHAEGLGWFFGIVGVIACVIILSGLAARWYRLV ACHE_11204S MASSFVIRTPCSSANIGPGFDVIGLALSLYLELHVTIDSSKSSS QQPLNCLITYDDQSKSTEKISLDPEVNLITRVALYVLRCHDQRAFPPETRVHIVNPIP LGRGLGSSGTAVVAGVMLGNEVGRLGLSKDRLLDYCLMIERHPDNVAASLFGGFVGTY LNELKPEDVARTEIPLSEVLPAPAGGIDTGKQPPEPPLGIGHYRKFQWAKEIKTIAII PDFVVPTANARNVLPTSYSRADVVFNLQRAALLPAALGSSPPDPDMIYLAMQDKVHQP YRKTLIPGLTEVLQSMNPSTQPGLLGICLSGAGPTILALATERFEEIAGRIISQFEAN NISCQWKLLQPAQEGAVVEYS ACHE_11206S MDNILAPIQDLFEGQIDFQGQRIAEILCTVLLVISGVVATIVGY VYQDIHLTLWIGLAGTLLTALAIIPPWPFYNQNPEKWLVPGAGRAAGTGIMVDGVKIS ACHE_11205A MADVEMKEAAAEPAVKGKGTFKSEGANDGKKRFEVKKWNAVALW AWDIVVDNCAICRNHIMDLCIECQANQGSSTNEECTVAWGICNHAFHFHCISRWLKTR QVCPLDNRDWEFQKYGR ACHE_11207S MSTQTQSPTPPTLKGPRNNRRHPKKTTTPYTQKATLLTTPPSSP PRNLSPGGAATDSSTNVNLSKKKNPRSAKKPPRDGPKGSPFNNGHRHTSSQGPTATPQ VKDSPHYAGPTFHASPAPSALPMPSFFSKSVPESDLAPTVESENEGLEGDPDLETTPS KPKGRPQPSNQGEQSTPLDFLFKAAVEARSARAQCSPEAKPSVRSPQTDSKALPQRNL NGFAGGMFPMEMENVGSPNFQIGPSFATSYKDRMNALRSASSPSQSPQSLAEIDDGER KAKTEALKSLLLNPRPQRPSSASPLAYNQANSAKERPNPSPNVPHFATPSRTISGPPA TASHGFSSEQKQPPFTNGIHSPQSYARMGGPQPSILRKDIPTSGPATTSPGVTSEAFP FPPPPYTSYNQHNFPPRCAPPPQYRSPAPYPTASPAMPAHPSPQVLDTKKMEDDLRRI LKLDVNSSFPTNGVQSSFA ACHE_11208S MANFVNSTWRSFWHTMTSYDRHASHDSPYRTGRHVPLSQSRDEP LTSVATSAIDSRADLTNPYDDDPKGSPTGVGSPTRPYSPGMRSFSSNKRRSQEQGADG AGDIQMQSFQDGAPPPPPIAHSWKKIERWLENNYEELFDNLCEGCTQNDINELEHELD CTLPLEFRESLMSHDGQERPGLPTGVIFGCMLLDCEEIVQEWKNWRVVNEEFLVNSPM GASVLPPKVTASSSSSAPPPAQHGNNPLWRQELLERQDSQPPGAVQKAYAHPAWIPVA RDWGGNHIAIDLAPGPSGKWGQIIIFGRDYDCKYVVSRSWASFLATLADDFCSGKVIV DEETNELKLKEFKAQNVEPPYLEILRWRTDQKYGRRPPRRKGPNGPGLNTGSRSGKES PYGSSTPNEERGRSPHRFPGRGSAQSPKTQFGMSSPLARVTEEAPSPVNPTAEDELPE PSGKENEKESQNEDLLDVATPQGSGKENEKAPEKEDEQKSEQITKHESKLSSSAALDS EVLGEMKNVAI ACHE_11209A MTSKHQPTTTSDLDDLDDDEALFAALENEDDSAYRANRIEQLNA EYASAKNGPALDPSSFTSNPANPAAGNGAGTIITDTFYPTLTSDQSVLSFTTNTHRCV VHFAHPDFARCGVMDEHMRTLATRHYEVKFARVDARHTPFIVEKLKIKVLPCVIGFKD GLGVERVLGFEGLGSGGRDGKDGFSIGILEKRLLWKGILVQAKIKQGDHDDDDDDDDY ESAGSDEDTERPRRAIRSGGRLNRNEDNDDDDWD ACHE_11210S MAEEKKSDNYTIEMDKLDQGSSRTFEAPPPPQPRAAAPAATNNP ILPVLAYCGSSILMTVMNKYVLSGTNFNLNFFLLCVQSLVCISAIQGCKTAGLINYRD FNSDEARKWFPITLLLIGMIYTGSKALQFLSIPVYTIFKNLTIILIAYGEVLWFGGSV TGLTLFSFGLMVFSSIIAAWADIRHALESSGDATGKISTLNAGYIWMLINCLCTSSYV LGMRKRIKLTNFKDFDTMFYNNFLSIPVLIVLSIFTEDWSSTNIERNFPAAERNSIIF AMILSGASTVFISYTSAWCVRVTSSTTYSMVGALNKLPIALSGLIFFDAPVTFPSVSA IFVGFVSGIVYAVAKIKQNAKPKTGTLPTSNLVSASSQSMRDSLRS ACHE_11211S MTGLQWDNQVYLASSMKGNYPYTYRHRTQGKKGEDSNTGNVREY VPEELRNALQKSKIDEHKNLQYKNDRQCGEVFAAWTWMLENNADPQSPTKKLSGNKPE PEIVS ACHE_11212S MPKAKKARIAAAQGDGGDAKRKANDNKKSKMNTFPRLSTNANNN NNTKKNNNNNGNLQKNQRPTVPFGRNDRVLLIGEGDFSFARSLVVQHRCRNVLATCYD SEDELRGKYPQVEETIQEIQNAFLKKDKKRKTDGDENGEHGGQPRTSDEKDDANDEQD DKQKDTEKPQTKSKNNPKVLFSVDARKLGHASAGGGKDVRIGFPRPQQRKRPTWLEAR RAAHNAKKKNDTGSKGEGGPWDIICFNFPHVGGLSTDVNRQVRFNQELLVAFFKTCVP LLSRPVYDHDVGDNDDEFEDEDNWGESSDDPDGSDGDGSGKEKSKPRAEPGQILVTLF EGEPYTLWNIKDLARHAGLKVVTSFRFPWASYQGYSHARTLGHIEGKQGGRGGWRGEN RDARMYVFELKDDHATSQSKTNAGATKKKRSRNESDSDDSD ACHE_11213A MANTVAKEEVDYTIKPEAGSSNINTADWPLLLKNYDKLLVRTGH FTPIPAGCSPLKRDLKSYVNSGVINLDKPSNPSSHEVVAWMKRILRAEKTGHSGTLDP KVTGCLIVCVDRATRLVKSQQGAGKEYVCVIRLHDKIPGGEAQFRRALETLTGALFQR PPLISAVKRQLRIRTIHESKLFEFDNDRHLGVFWVSCEAGTYIRTLCVHLGLLLGVGA HMQELRRVRSGAMDENNGMVTLHDVMDAQWLYDNQRDESYLRRVIRPLESLLTTYKRI VVKDSAVNAVCYGAKLMIPGLLRFEAGIDAHEEVVLMTTKGEAIAIGISQMSTVELST CDHGVVAKVKRCIMERDLYPRRWGLGPVALEKKKLKSSGKLDKYGRANEATPAQWKSD YKDYSVAEEESSAQPQVSTQPAAEPAPKDEPAEEPSSPNKMEVDDDKDDEKKRKRHEG ETPEERAERKRKKKEKKEKKERRKSKQQEDSDSD ACHE_11214A MDQFWSAPPVTRTITAFTFVQSLLVYGGLHNPYYVPYFTRLVFK FPPEIWRLGSSFLLTGSKLDFIFDLYFMFTYGSALETNSPRLNGPGDFFTYLFFVATV ILLTAGCYLEGGIFTSALILAFVYTYAQHNKGIKTRFYFFEIPVEFLPWAMLVITMVR LGWPAALLESMGIVAAHMYEFLTRIYPAFGGGKNYIMTPGFIRRFFIKSSREGYRAYG TAYRPTGQTPASPASEVRRGWTSSSQNSWGGRGPGRRLGGG ACHE_11215A MKRDALQCLRLRQGVTAASSRSCSSLSERLNRELTTRKLPLFYD YLYPQPSHLLNLTLTDLFPNQQPFALESRTLPSIETTRHMAPGHHLVYFPPQVTLSQV LPDGTDILHSPGAPFNRRLWAGGKVRFPTLSGPLLDGSRAVCLETIRGVTVKGLEGEE KIVVSIERRMGVVKEREEEHKIRERIWTENEEENGQASVIETRNLIFMREKTQEQLEK EKKNFEENKRNIKCALRFDSCYGNRMILTLLLLSKAPSDAEFCQKIRPNKSLLFRFSA LTFNAHLIHLDKTYTQQIEGYRNLLVHGPLTLTLLLTAMQGHLQSRHFIKDIEYRNLA PLYVDEELTVCGKPKIGRRTGAWDVWIEGSQGGLAVRGTVQTGAV ACHE_11216S MEPFKVSFLGPKASFSHQAAVEAFGASAQLTPCLSFADAFAAVQ QGQLDYAIIPVENSSNGSVVQTLDLLADRNEIYGDVEVCAEHYLTVHHCFMVRKGVSS NYSSITKLYTHPQAWGQCEAFLSKNFKGVERQDVSSTSKAAEIVSKETTERSGAIASR FAAEYHGVDVLEENIEDRADNTTRFLILRNVHSERSTRLNFGPTSTALKTLILFTVNH DSPGALADALSVFKDHGLNLTSINTRPSQRRAWQYVFFVECARVPTPQNQEAVTRVLQ SLPQVTESCKYLGTWKDEF ACHE_11217A MSSAQAQANDAEKNIEIWKVKKLIKRLEAARGNGTSMISLIIPP KDQVSRAAKMLAEEFGTASNIKSRVNRLSVLSAITSTQQRLKLYNKVPPNGLVVYCGE IITSEGKERKINIDFEPFKPINTSLYLCDNKFHTEALSELLESDQKFGFIVMDGNGSL FGTLSGNTREVLQKLSVDLPKKHGRGGQSALRFARLREEKRHNYVRKIAELAVQNFIT DNKINVAGLILAGSADFKNDLNQSDLFDGRLQSKVVKVVDVSYGGENGFNQAIELSSE TLSNVKFVQEKKLIGKYFEEISQDTGKICYGIDDTLKALELGAVEVLIVYENLDLTRW VLKDASGSEVTIHTNKYQEENQRELFMDKETGQEMEVVDQGPFLEWLAEAYKDFGATL EFVSDRSSEGNQFVKGFGGIGGLLRYKVNFEQLADYDDEDEFYDGMLSIMKKLLRSIC LLIRILGVD ACHE_11218S MQDPLTTIDTEQQAAEPLGSNPLLESDSYSRSQSSLSENDDLPS SFSARLRQAGGVNSIDNFARSWQRAAYFPEILLRRHSFTATGSDDEWATIQSEEAESQ GSQPFSQYGPTRPLLHSDVEEGDENLGRPGLGRGIPGAGITGTSMDRSFGTSYGTISS RVSDATRRHAIQFHREQQAQAATPDDNERDQLLVKQVQHEDGIRENLVVGQSTVPQTI FNSVNVLIGVGLLSLPLAMKQAGWLLGLLFLTFAAVTTSYTAKILAKCLDVDRSLVTY ADLAYISFGHRARLVISLLFCLELIGACVALIVLFADSLHVLLPELSILQWKIICGFM LLPLNFVPLRLLSVTSILGILSCTSIVIIIFIDGLIKPDAPGSLRQPARTTLFPDNWA TIPLSFGLIMSPWGGHGVFPNIYRDMRHPRKYGKSLWVTYIFTYSLDCAMAVVGWMMF GGAILDEVTANVLMIDQYPRALSLCIIVFIAIIPITKVPLNCRPLVATVEVLCGLGLR PGMNSDDQKGLQGIVRRFSTGIIRILVVVVIVLMAIVFPSFDRIMALMGSALCFTICI ILPLAFYLKIFGREMSSSERTLDWILLIISSILATVGTVWAFLPQELITAK ACHE_11219A MTSKKQSKNKKPMLLSHSRPPTARAKTAALSSKATRNLIRSHHR LLKSRAQALQSGDQALVDKLDAQIRENGGLESYQLASKLGQSSARGGDSSKVLVDWID PQLSLLKDTPFRLRVLEIGALSTKNACSMRKSLDVTRIDLNSQEPGILKQDFMERPLP RSDDDRFHLISLSLVLNYVPSAEGRGEMLKRCVTFLTNQLPSGCPVSFAPSLFLVLPL PCVKNSRYLTESRLREMLSSMGFVMIQCKQTSKLIFQLWEHRQNYKPKLFKKEILNDG KTRNNFAIVLRDDHKLGMK ACHE_11220S MAPKAENKQGKTNPSNKAGAAAKAVLKGAGAHKARKIRTSTTFH RPKTLQLSRSPKYPRKSVPHGPRLDSHKVILYPLNTESAMKKIEENNTLVFIVDVKAN KRQIKQALKKLYDVETVKVNSLVRYEDFRFPVFGEYCGFCSGHVSNHNLYRPDGSKKV YARLTPDVDALDIAATKLAIV ACHE_11221A MDPTAQLDLSKLSDADKKELNQFLTNEAQKSNIQQTVHHLADVC WKKCITGKVSSGRLDRTEEACAQNCVERWMDTNLSVLKHLESLRGQ ACHE_11222S MPPVRTSRNRKPPPDGFDEIEDTLLEFSNKMKDAENASHDGKKK HEMLWPIFQISHQRSRYIYDLYYEKQAISKQLYEWLLKNNYADANLIAKWKKQGYEKL CCLRCIQTKETNFNATCICRVPKAQLKEDQMIQCVSCGCRGCASSD ACHE_11223A MTSARPASVAQASTEALPVHKELPRKSQPRRLEPSLLPLQSIEN NSSTTRDYILDPPSSGFQRPSPTKTSSRETGTSHSGQDKLGFVPITAPTPPMFTTDSP TKKAPLNANSHPTSSSAPISSMPQSALFTTFSSVNPEGSSPNENRIVNDLPNDNFADF PEPSYGNKAPLRRTLLEAAPLKERLIKKSPKSEDTSALRLPEPEEMPPIEDDGTKPPY SYATLIGMSILRATNRRLTLAQIYKWISDTFSYYKNSDPGWQNSIRHNLSLNKAFIKQ ERPKDDPGKGNYWAIEPGMEAQFLKDKPLRRATMSSLPLPAAPQRESACQPQNSSSMA SWVVPPPPSYPPPQKVSSKNVDLSSDATLPASDPALQDDTGDEGTNATALRTHPPRSS PPQPIHSSPPVAPPRFSRQGTPPSPSQTAASSAAGPRSKKRKSAPMNDSGYFSSLESS AMRPNKTGHILTSDLDIEPPRIKRGRAEEEIARIRSSSHDISPNHSSRTKDSGVAAGS SPIRGDYVSMLPPPLTPVIKFKKPAKPPPSVSPNTNLRNHRRRIQQMVNSPIKHLGLT DEDLPWSPAFNIQDEAFTPNDNFHSTFDVFADSGVDNISTPARGSPEKRSAKRARLEG GGSGGNALADITSMSVNSRMGASSLSSQKSKGLFDSPSKMPDPGRFIDATHDDFFSFH LFDESPGEVDGVDLLQGFQKIGGASKEEPSKTRTHISRPNFTSRSNTSLF ACHE_11224A MENQLLSDSDPLSMANGSPVRARSNWVVQKFGGTSVGKFALNIV DQVVLPSLSEHNVAVVCSARSSSTKAEGTTNRLLRAARDAENAQSQNYISFVDAVRLE HVHVAEEQLKDPEIKSKVIGDINGECERDLKFLEAAQTLGEISPRCVDKVISTGEKLS CRLMAAFLQDRGVDSQYVDLADVIDFPIGSQGLDQHFYNNLATIFGKKIRDCEGKVPV ITGFFGTVPGGLLDQIGRGYTDLCAALVAVGTQAKELQVWKEVDGIFTADPRKVPTAR LLSAITPAEAAELTFYGSEVIHPFTMEQVIRAKIPIRIKNVMNPKGNGTVIFPDSPAE LERTTPGHDPRLFRTRSPTLMQRPKRPTAVTIKHKILVINVHSNKRSLSHGFFAGIFS VLDRWRLSIDLISTSEVHVSMALHSEMPLLNGVGRDEFQIIDEDLKGALGDLQKYGTV DIIPGMAILSLVGKQMKNMIGVAGRMFTTLGDNNVNIEMISQGNSILAYSSLFHTRGK ITNWILFLSGASEINISCVIEERDADRALNIIHTSMFTFLD ACHE_11225S MGHQDVDISSCPFCPFFDTDSHFVVEHIEFCHPENGISGTEYGQ LTMQPQDAALECQRPSVWDETEFQTDKYVDCPHGCGEVVMNTELSTHLDLHFAEEVAH EDSASPQSGALVEKPDGHRFDDFDDRYSVQDKYAFRTEAGKAHNPRSKTAYHKTTSVG SVKRLGRAELGPHANEKRMPSWLLKMLEKGPKMTQSNQISSDGTLSKHVTIENEASHV IPVLAKLCEQDTSVQRAFLCSPDVRHIFKMPREGGFCGYRNIQMLISYIQEARTPGHE HFQKGLPTILELQDMIENAWDIGFNSVGRVETGGIRGTRKYIGTPEAQALFSSLGIQC EANSLAETKDMRAHDALYMDVAAYFRQACSLGINGKVIVTELGPIYFQHQGHSLTVVG FEIRDNGTANLLVFDPMFRTSQAIKRLVGTSAKVPDPARLLKAYRRGSAYLQKYKLFE TLK ACHE_11226S MRESPNVIITGTPGVGKTVHCEQLAQDTGLRHLSINKIAKERNC FETYDQELETWVVDEDKLLDAIEDEVLQGGYLIDWHACDLFPKSWIDLVVVLRCPSTS THYDRLSTRAYPESKLQENLDSEIFGVLLEEAHESFDEEIVVELNSEKDDDVESNCAR ISTWVESWKRTQAEN ACHE_11227A MAPLNKLNFITGNKNKLAEVRAILGSVINVENQSVDVPEIQGTI EEIAKEKCRSAAEVVGGPVLTEDTALEFHALKGLPGPYIKSFLDALGLEGLNRIIDSF EDRTAEAVCTFAFCRGPGAEPILFQGRTEGVIVRPRGPTNFGWDPIFEYQGTTYAEMD KEAKNQISHRYKALVKLQHWLAEGQA ACHE_11228A MAHNYEVGTRAWQPEPTEGWVASEVKEKLVDGDKVQLVFELENG ETKTIETTQAELQVDNNPKLPPLMNPAMLEASEDLTNLSHLNEPAVLQAIKLRYAQKE IYTYSGIVLIATNPFARVDSLYVPQMVQVYAGKHRASQAPHLFAIAEEAFADMLRDGQ NQTIVVSGESGAGKTVSAKYIMRYFATRESSDQPGKYTTSRADAISETEEQILATNPV MEAFGNAKTTRNDNSSRFGKYIEIMFDQKTNIIGAKIRTYLLERSRLVFQPLKERNYH IFYQLVAGASDSEKQELGLMSVEDFDYLNQGGTSTIDGVDDQAEFNATRKSLSTIGVP EQTQAEIFRILAALLHLGNVKIAATRTESSLSSEEPSLVRACELFGIDANEFARWIVK KQLITRGEKITSNLTQQQAIVVRDSVAKFIYSSLFDWLVDKINRGLARDEVLDRVRCF IGVLDIYGFEHFAKNSFEQFCINYANEKLQQEFNQHVFKLEQEEYVREQIDWTFIDFS DNQPCIDLIEAKLGILSLLDEESRLPMGSDEQFVTKLHHNFAADKQKFYKKPRFGKSA FTICHYAVDVTYESDGFIEKNRDTVPDEHMEILRNSSNEFVKEILDTAAVVREKDSAS ISSSKPVAAPGRRIGVAVNRKPTLGGIFKSSLIELMNTINSTDVHYIRCIKPNEAKEP WQFQGPMVLSQLRACGVLETVRISTAGYPTRWTYEEFAIRYYMLCHSSQWTSEIKEMC HAILQKALGDGTQQKQDKYQLGLTKIFFRAGMLAFLENLRTSRLNECAIMIQKNLRCK YYRRRYLHARDSILTTQALIRGFLARQRANEVRQDQAATTIQRVWRGQKERKNYNLIR GDFILFQSVAKGFLRRRNIMNTILGNAAKTIQRAFRSWRQLRDWRQYRRRVVMVQNLW RGKEARSQYKKLREDARDLKQISYKLENKVVELTQYLESLKRENKTLNSQLENYDTQV KSWRSRHNALEARSRELQAEANQAGIATARLTALEEEMNKLQQNHNEAQANIKRLQEE EKASRESIQSANLELERLQKLDAEHENDKATLRQQVADLEEQLELAKRNVPVNGGNAD PLNGGPIQPPASGLINLVSSKKPKPKRRSAGAERIDTDRFSGAYNPRPVSMAIPTSSV GRQNLAGSSFSPGLDTVEVELENLLSEEDELNEEVTMGLIRNLKIPLPSSTPPPTEKE VLFPAYLINLVTSEMWNNGFVKESERFLANVMQSIQQEVMQHDGDDAINPGAFWLSNV HEMLSFVFLAEDWYEAQKTDNYEYDRLLEIVKHDLESLEFNIYHTWMKVLKKKLYKMI VPAIIESQSLPGFVTSETNRFLGKLLPSNNNPAYSMDNLLSLLNNAYKAMRAFYLEDS IITQTVTELLRLVGVTAFNDLLMRRNFLSWKRGLQINYNITRIEEWCKSHDMPEGTLQ LEHLMQATKLLQLKKATLNDIEIIQDICWMLSPNQIQKLLNQYLVADYEQPINGEIMK AVASRVTEKSDVLLLTPVDMEDSGPYEIAEPRVITALETYTPSWLQTPRLKRLAEIVS AQAMAQQEKLEMAENGAATPIDG ACHE_11229A MSEPIRNKKADFPVAPTPQNTPANNAPISSHAQQPGVASIKEES LDHATAASLFARNPGLVSMIQGKLGSLVGRSSGYIESLPVSVRRRVAGLKGIQKEHAK LEAQFQEEVLELEKKYFAKFTPLYQRRSTIVNGAVEPTDDEVDAGQKEEEEDVGAKGG EEEPKKEEGKEAAMSGIPEFWLSAMKNQISLAEMITERDEEALKHLVDVRMEYLDRPG FRLIFEFSENEFFTNKIISKTYYYKEESGYGGYFIYDHAEGSKIDWKSDKDLTVRVES KKQRNKNTKQTRVVKVTVPTESFFNFFSPPQPPTDDDDTVATDIEERLELDYQLGEDI KEKLIPRAIDWFTGEALQFEELGDDMEPDDFDDEDEDDEDEDEDDEDDDRKSDRDIDE DSDDEEDGASKPKKEAAECKQS ACHE_11230S MDDNEPWPTGNDSAGTSAFKVDYRRDSMRSSLISDVEMARNEVF DGPISESIPSSIASFSHRRNRKDSTVSFTYFQEEEDFVQWPNAEALDVESDVEDLSVG SVDEANLEPARSSLGSKRPSFSRASVEDPLLSRRASNSSHYRDKTSDSRLNQKIYIAS EDLTAVFAGFTTSTCGFIVYVTFCILTLGFAYLLFRWLPRLRVWLVGKPTPLRKCHWI AVEDQWNQFATHVVSSQLYGRPISTVFADTQCYLYDEDNDPAISSLRYIDYRYLRFFY HPLEDKFCLLNGWKDQRWENVRMMRGGLDADDRDSREQIFGTNIVDIKQKSVPQLLID EAFHPFYIFQVASLILWSLDEYYYYAVCIFLISVSSISATVIETKSTMRRLREMSLFE CDVRVLRNGFWRSVPSRELVPGDVFEFSDPSLNEVPCDCLLLSGDCIVNESMLTGESV PVSKSPLTDDALGYLDLSAPSVHPDVAKHFLFSGTKVIRARRPQNAEDDEGIALAIVV RTGFLTTKGALVRSMLFPKPSGFSFYRDSFRYISVMAFIAILGFAASFANFLRLGLSW HLIIVRALDLITIVVPPALPATLTIGTNFALSRLKKHKIFCISPQRVNVGGKLDAICF DKTGTLTEDGLDVLGVRTVNRDMRLSELLSEPMPECLTSLTSADNSQDPEKRRNIIYT MATCHSLRVVDDELLGDPLDVKMFQFTGWSYEEGGSHVPDQPSSKYDTIMPSIAKPPV PAAHITNPGVGSLDPSIELGVLRNFEFLPQLRRASVIVRQFGDAGASFYVKGAPESIK AICRPESLPVDYEDLLSQYTHKGYRVIACAAKYEQKLSWMKVQKMTRADAECDLKFTG FIIFENKLKPETTEVITELNQAGIRNIMCTGDNILTAVSVARECGLISPDEPCFIPHF AEGNYNDPEACLSWENVDDSTHKLDGNTLMPLQDSAVVDLSVPGNVCNLHKYSLAVSG DVFRWLVDFGNELVLKRVLVRGKVFARMSPDEKHELVEKLQSLDYCCGFCGDGANDCG ALKAADVGISLSDAEASVAAPFTSRHFEISCVPALIKEGRAALVTSFCCFKYMSLYSA IQFSSVSFLYTSASNLGDFQFLFIDLALILPIAIFMGWTGPAPELSRKRPTADLVSRK VLTPLLGQIMICVLAQFTIFKTVQSQPWSVQTS ACHE_11231S MAASSLERNQNGSARFNGCSSIRDFELLGKLGEGTFGEVYKARS KREASVVALKKILMHNEKDGFPITALREIKLLKMLSHPNILQLREMAVERSKGEGRKK PSMYMVTPYMEHDLSGLLENPAVHFTESQVKCYMLQLLEGLKYLHESRILHRDMKAAN LLISNRGILQIADFGLARPYDEPPPQSGKGGGEARRDYTTLVVTRWYRPPELLLQLRR YTTAIDMWGAGCVFGEMFRGKPILAGNSDLNQAQLIFNLVGTPTEENMPGWSSLPGCE GVKSFGFKSGNLHEVFKDLSPTALSLLNELLKLDWRKRINAIDALKHPYFFTDPLPAR PGELPCFEDSHEFDRKRNRGQRAVMPPAPPGVSIGMAPSGGWTNSGARTGMESRNSRI PGAARASKSNPTGNRDIHFRRTNDDNRGNEVHPVRVRQGIESINQPFQIPQRDGGLPP KPPLPTHQAWGGNQFNRTGKDRMHQNRFGGRPETSVDSYVPSYSGIGDRVREKDGESS SPSTDYRHPRPEQANRRDRDNYRDYNSRRRSRSPASRKGDRAMDKGLYRRY ACHE_11232A MAAQDELALNASQNVNITNSPSTSFQTPPSSHGASALTARTIIP LANAKHLKPFATEDIKVLLLENVNQTGRDILTKQGYQVEALKSSLPEDQLIEKIRDVH VIGIRSKTKLTARVLKEARNLIVVGCFCIGTNQVDLQYAAEHGIAVFNSPFSNSRSVA ELVIAEIIALARQLGDRSNEMHSGTWNKVSNKCWEIRGKTLGIIGYGHIGAQLSVLAE AMGMSVVFYDVVNLMALGTARQVPTLDALLAESDFVTCHVPELPETKNMIGSQQFEQM KNGSYLINASRGTVVDIPALIHAMRSGKVAGAALDVYPNEPAGNGDYFNDELNTWGAD LRALRNLILTPHIGGSTEEAQSAIGIEVGQALVRYVNEGTTLGAVNVPEVALRSLTMD EPNHARVIYIHHNIPGVLRKVNEILGDHNVDKQMTDSRGDVAYLMADISSVDATTIKD LYERLEGLSSRIMTRVLY ACHE_11233A MLDIADFITERGGNPNKIKESQRKRFAPESAVDEVIALYEEARR ARYEVGQIGSQLNGILKQIGMKKKNKEDANDLIEQKTNLEKTKKEAEELAVEKESQRD RKIRTIGNYVHESVPVSNNEDDNVVAKEWSPEGVAVEKRDCLSHHEVLTRLDGYDPDR GVKIVGHRGYCLTGYGLFLNLALINYGLEFLWSKGYKPNQPPQFMLRDLMAKTAQLEQ FDEELYKVTESEDKSTDKYLIATSEQPLSALHDGEWLQDKDLPIKYAGYSTCYRKEAG AHGKDAWGIFRVHQFEKIEQFVLTKPENSWKAFDEMMAISEEFYQSLGLPYQIVSIVS GALNNAASKKYDLEAWFPFQGEYKELVSCSNCTDYQARALEIRYGTKKATDVRKSYVH ALNATLCATERTLCCVLENYQKEDGFVVPEPLRKYIPGAPEFLPFTKELPKDTTSHKV KGKQASKASGSAEQTTKKMQNLQV ACHE_11234A MPTAPKQRKIAIVGSRSVGKSSLTVRFVEHHFVESYYPTIENTF SRIIKYNGQDFATEIVDTAGQDEYSILNSKHFIGIHGYIIAYSVASRQSFDMVRVIRD KILNHLGADYVPLVIVGNKSDLKPEQRQVSLDEGRQLGEEFHCAFTEASARLGYNVDK TFDLIIGEIEKSQNPSQPAGANKCTVM ACHE_11235A MHGTQRRSHKHKTYGRSSRTQRSTYASFEESEFHANSHDRQGIS DTSPTSESPDLQQPRKTEARETRETEIQKTRASGKPDGDLSGNPSFPDESTYRFQSSI KDELGAIKRKRHRFSPEFQGIDACGISVSNDDFSDMHAKSETTFTKPDNINRLNQGEK WDGTNAAPDFSLQSQPNMKSGYGEITHPRKKLVDLLDSVHPPAEGPPASLISDRESNS VACTSQSTLTRQRDITPQRVEDPATVPSSGLRGFPVTYARQRSFLNEAYVSSGIEDKE ANTNSKNQLLEAKKASCFDLDADDDTSVGSGPIRSIHELRQAGDNSRFRSAVDCMFED IANGDNTNSTRCNGLVQLSSKLLDRQFINRFSECGFAERLVEYMQYVKDDLDIISASF ALCSIELLFSSGTFPQTHLASLWHSLLVLSPRLIDVEDDILLMSKARGSGVSKAVQKS IQETLLMLSNRLLPMLSPRSLALQCIRSVLSSLQQHNNTIEAMPTAVLDRFVCLLISI HHSNMDSPLSPEDCPMVITILSILETYTLLLGPLPVDQQSALKSLTQLSYFLQPKGFG HSNDRTQQIHVHYIRLILNLTNNEPSFCNAFVTPGLVEGLVSIITSGFNMSPEGGPVE ESNTLNRIILALGTLINLTEKSDMAKAMFLIAEDRSTSFLHSLVQKFSAIINLMTETH PVNDSHYNVVVGYLSILLVTLCLNLEALSQVKELLGREGLDILLSTADEFQKYHEKVE EDLQARGSAYSSFTAQLQSIICQVRQSERFL ACHE_11236S MDDIESEPVPSPAQGVGPIYRPDGEKPTATVSKSLSYENVHVLP QTPQLIALLTMIRDKGTGRADFIFYSNRIIRLLVEEGLNHLPVVEHSVTTPVGRAYLG VKFEGKICGVSIMRAGEAMEQGLRDCCRSVRIGKILIQRDEETCKPKLFYDKLPADIA NRWVLLLDPMFATGGSATLAVEVLKARGVPEDHILFLNLIASPSGVADFAERFPKLRV VTAFIDQGLDEKKYIIPGLGDFGDRYYTL ACHE_11237A MSIGPTSQAYLISYLFVHLPASTEVSNHYLRLSPNFSSITETMG KRKKSSRQPQGPKKREPLPSTFACLFCNHENSIVVKLDKKLGLGNLSCKVCGQRFQTG INYLSAAVDVYSDWVDACDAVAKDAANKYEERDARGMRLNEYPVSYESRETGAVIGES RKSDADDF ACHE_11238S MAVGKNKRLSKGKKGIKKRTVDPFTRKDEYSVKAPSTFQTRDVG KTLVNRTTGLKNANDSLKGRIFEVSLADLQNDEDHAFRKVKLRVDEVQGKNCLTNFHG MDFTTDKLRSLVRKWQTLIEANVTVKTTDDYLVRLFAIAFTKRRPNQIKKTTYARSSQ IRAIRKKMTDIMQREAVGCSLSQLTTKLIPEVIGREIEKATQGIYPLQNVHIRKVKLL KSPKFDLGALLNLHGESTTDDKGQKVEREFKEQVLETV ACHE_11239S MKAIRTIAGDYNEDDIYNMDETGLFWRMPPSQSLSSANRPGVKR DKSRISVICCVNASGTDRLPVWVIGKARMPRALRNVNIPAMGAEWRWNKKAWVDQIVM REWLLAFYSHIGKRAVLLTMDNFAAHLAGLELAPPPPNIRICWLPKNSTSQYQPLDQG IIQNIKIYYRKQWLKFILYHYECNQDPLQIVTLLDCIRWPVRAWNHDILSTTILACFY KSTLVLNPVQLPIESPNLSSLYGHVQQSGRLSNCMDIGNFLNPVEELLVVESEEELSS ETLLEHLITGASNTGDVYNDDQEDDSPEPAPLPKPLEDLNAVRLLISYMEGQDVSRAS LLRSLERLERDLESEIITSRTQGTLDSWLR ACHE_11240S MTSFNGILQLEQSQKANMNRLPSVSSLMSPPEAKPFETFSPPFS HFAVSQDLSSNHEIKLPPISADRKRTQSEMDLPSPPVTPYTGNKKRKSQVSEQIDSDV VGSSTDPVLFPRNDPVADVATDEPLFGPMLPPTAEALIEQHINTHMARFDNKLNKPTR DEYILALSCVPIVSTQYNRNPVAWAKEERETLERQLAMMNRCRPAALEANLKRIAPAP AKKAVTSQPRVQRTPRVKRTPRSTPKHKALDSFVSSVPQISKATRAIGTNRDDTDYNS LEDLSPPISTLGGNAKALKADWKGQMLDLSNDPDRHLLNPAEVNLAATLRLSCATYLC SKRRIFEARVRALNVGKEFRKTDAQQACKIDVNKASKLWTAYERVGWFNPELFQQHRR ACHE_11241S MSRIDANRAESLDNQDPTKDTDGDKKPKSRRPANTAFRQQRLKA WQPILNPKSVLPLFFVVGVIFAPIGGVLLWASSQVQELAIDYSECSDKAPTGPSSSQM PGDKYTSTFKSSAMDAPFWQRNTTDNTTCILTFSIPESMGPPVFMYYRLTNFYQNHRR YVQSMYLDQMKGKAVENKTIKGSTCEPLTIDPDTQKAYYPCGLIANSMFNDTINSPWQ VGSVNGEVEYTMTNKGIAWESDKEIIKKTEYKPWEVVPPQNWRERFPNGYTEEQPIPD LGQDEDFMVWMRTAALPTFSKLARRNDTTELAPGNYRLSIQDRFPVTEYGGEKWILIS TRTVIGGKNPFMGIAYVVVGGTCVLLGTLFTIAHLVRPRKLGDHTYLTWNNDQDSSAI ASGRDQRLGAQAS ACHE_11242A MADEIVIDKNVFSNRLSSFYAAWKADKRSGNAVFGGVGSIIILM GKTDEANSFQKNNAMHFWLLGYEFPATLLVLTTEVVYVVTTAKKAKHLEPLKGGKIPL EILVTSKEPEQKKKVFDKCLEAIRNAGKKVGILPKDSTTGPFADDWKRAFADVSGELE EVDIAPALSSAAFSVKDTDELVSIRNASRACSGLMSDYFVDEMSRLLDEERQMTHKAL ASRVDAKIDDAKFFNKLEKLPAEFDAQQIDWAYGPVIQSGGKYDLKLTATSDNSNLVP GIIIAGFGIRYKTYSSIIARTYLVDPSKTQEANYAFLLDIREAIMKDVRDGTAAKDLY NKAIGLVRAKKPELENSFVKSVGAGIGIELRDSNMMLNGKNSRVLKSGMTLSISVGLT DVEDSNAKDKKNSFYSMVVTDTVRVGENGPHVFTKDAGIDMDSVSFYFGDEEEQEKPV KEKKEVKASAVAGRNVTRTKLRAERPTQINEGAEARRREHQKELASKKTKEGLERFAG TTGDENGVAQKKFKRFESYKRDNQFPLKVKDLTIYVDHKASTVIVPIMGRPVPFHINT IKNASKSDEGEYAYLRINFLSPGQGVGRKDDQPFEDLSAHFLRNLTLRSKDNDRLAQV AQDITELRKNALRREQEKKEMEDVVEQEKLVEIRNRRPVKLPDVYLRPPLDGKRVPGE VEIHQNGLRYMSPFRNEHVDVLFSNVKHLFFQPCAHELIVLIHVHLKTPIMIGKRKTR DVQFYREATEMQFDETGNRRRKHRYGDEEEFEAEQEERRRRAALDREFKAFAEKIADA GKDEGVDVDIPFREIGFTGVPNRSNVLIQPTTDALVQLTEPPFLVITLNEIEIAHLER VQFGLKNFDLVFVFKDFHRPPVHVNTIPVESLEAVKDWLDSVDIAFTEGPLNLNWTTI MKTVVSDPYGFFADGGWSFLAAESDSEGDDDEEEESAFELSESELAAADESSEDDSEF DDDASAEASDEEEFSADDESGEDWDELEKKAKKKDRDNGLDDGQGKKRKR ACHE_11243S MFPGCLGYELYVLTLLCSVGAVLYTSYLHVLGVLHEPVSQGLKR VYPPAPPSHTFMAGFAAGTLQSVLAAPLDALQVRLQANDILEGQYRSLWHYGQYKLNQ IGARGIFAGWSLSFLRDAFGYAVFFSSFEYIKAQAYYSFITAYYGSLGAYQVDRLRSL DSSDRGVPLIKPHYALEPCFLMLAGVVASVAQQTIQHPLSIIQNLHFGRLENLDKQAN LAPSRQQMLRLYYHAYQETFKRCKKKAVRVGGWYRWLFRGFVKDSIRQVPSTSAALVI FELVRRKYANLADAIYIEKDGYDILLT ACHE_11244S MSPGSRRTAQMHGLVGKPLLYFTSVFVSLGVFLFGYDQGVMSGI ITGWYFKDYFNQPSRAEIGTAVAILEVGALVSSLLVGRVGDLIGRRKTIFYGSIVFFI GGAFQTFATGLPMMMVGRVIAGLGVGALSTIVPVYQSEISPPHNRGKLACIEFTGNIS GYAASVWVDYFCSFIDSNYSWRLPLLCQCIMGALLGLGSLVICESPRWLLDNDHDEEG MVVIANLYGKGDIHNDKARQEYREIKMNVLLQRQEGERSYADMFKRYHKRVLIAMSAQ ALAQLNGINVISYYAPLVFESAGWAGRAAILMTGINGLSYLASTVPPWYLVDRWGRRP ILLSGAVAMIISLSLISYFIYIDIAATPALTVILVMIYNAAFGASWGPIPWLYPPEIL PLSIRAKGASLSTASNWAFNWLVGEVTPVLQAVIKWRLYLVHAFFCACSFVLVYFLYP ETSGVRLEDMNALFGDATTAMPTPATQGEHGSLMGAGSPVSSLDIRRQHGQFGPESAI PGLDIDPPNLHHADSSRPSHSVSQEDSSRRAEGIGGWISNMINYHKGSGAKVDGRQYR RIEQDEQGEE ACHE_11245S MAEPDAASTTGAQFGPDLRRRNVPGADKASILHSPDMADEQKKS RHVSNSYVSALIEWEHIIAPIILTAFSIFTRMYRIGRSNIVTWDEAHFGKFGSHYLKR EFYFDVHPPLGKMLVGLSGYLAGYNGSFEFKSGETYPEDVNYTFMRVFNAAFGVVCVP LAYYTARELNFRRATVWLISLMVLFENSYATISRFVLLDSMLLCFTFTTTMCWAKFHR LQHASFSAEWLIWLFLTGLSIGCVCSVKWVGLFCTAIVGLYTVEDLWNKFGDLKMPET VLAKHFLVRVLGLIVVPALVYIFSFYLHFRILENSGPGDAQMSSLFQANLKGTEVGKD SPLEIAVGSRVTLKNMGYGGGLLHSHIQTYPEGSAQQQVTCYHHKDANNDWFIYPNRH EPEYDLNAPLQFIGDGDTIRLIHGQTGRNLHSHAIPAPVSKSHHEVSCYGNITIGDDK DHWKVEVIDDVASKDRTRIRTLSTAFRLRHPVLGCYLRAGNVNLPQWGFKQIETTCVK ENRPSDVYTHWNVESHYNERLPPGDPGQYKSPFLKDFIHLNVAMMTSNNALVPDPDKQ DDLASKFWQWPILNVGLRMCSWDDSVVKYYLLGNPFVYWGSTASLGIFGLLIVWYLVR WQRGYRELNQAHIDHIHYSGLYPVIGWVLHYVPFAVMARVTYVHHYYPALYYAILTFG FCVDWLTQKLSAKAFWITYALLYTMIIGMFVYFRVIVFGIEGSSQQWAHLNWLSGWRI SN ACHE_11246A MSQPWDYIAKLVCIGDSGTGKSSLTIRLCEGRFSSTHDVTIGVE FGSRIVPVGPPASKSLGIDSDIRTHDRGTFTLATSPPPSTNASRDQTTSGLPSPPRKP QEPAVQKKMKLSLWDTAGQETYKSITRSYFRGASGALLVFDITRPSTFASCIQWLQDL RQIAEEGIVVILVGNKSDLVGDSPDVNRERVTKHEAEEWCRLNNVVHYVETSAKSGEG VERAFLEVAERIYRNIEAGRYDLNDRRSGVKGFGATGGSSSGVPQTVTLGLNDAMRRS GNSWAGGCC ACHE_11247S MFSEYASRFLAQSQSRIAPRPDDNQRGGRGYARPQSRFPSSLLN RTAGDPYQPSTSQFSNFPFAHRASAQPAPLFYSATDEFREEDDETEHEREIADFYALQ KSRRHFGGSQMKDSSEMDEDDESSVSLDGQQSLYTDGPKGRKGIRSSWRGGKATHSPH NFPVDPLPEAVEAENGSYASRSTRNKDNLVDVGLGDTLRTDDDVRRSASPDSGDDDPP SIQRFREQPRTLRGSSGMGSFFLSADANKRDDTQEADLADRQPSSVAAVRVESPMHDV FWGQLFLICLACLFATAFLVYLHTSSPSGDKSKWGDTIYMTVHRSFHLLGIYTLVSVF ISLLWLALLRSYVRPLIHVMIVAVPVILYSFSIYPFVSSFRGTWHGVSIQDKVMRFGS AVPFVMASMWLYNVIRGRHAIGKAVSILEFACRILAANPELLILGLAVLFSVASWTWV WMLMFTRVFLGGHFSGSRLFLIDHSSWWLGIYFILVYIWSLGVIAGIQRAVTSATVSQ WYFHRLARPAPTSRQIVQAAMFHSLTVLFGTICLSRLLALLIRLPLLLLPRRVMSVLS LFAYSLVPTPVASLTNPLALTYAAIHSKPLAISARGLTQMTTLSISTTPSSLHPHSFS LTHGSPAPLLPYRLSKLILHATRFMMSLALGFGGWVTTARSLSTPGVVGTTGGSMYAY VVGLIAGTIGWSILGAMEGIIADIVDASVICWSSEVGTYDREARYCREAGWLFGDFTD STHHHYEV ACHE_11248A MAVDRPSPSTDNNFKDPESARERSFAPDQELIDQQLKYLKDEVL PFYPFLLTIPSDVPFRVGNHFINNWAVGDDGPFTLEEQQLQYMTFLTHHEGDSLLVAV GDWSDGTGSIMPDQRSRPQSVASTPSSGPTKKKISLTDYKNKWKSGASASPVAQEARS QSASASHALDDKPRASKLDIPRQNTHKPPNSTTTLPKSSSRPAFEKAGRKRPPDFEWE HSKSNDGKPSGICSPKKPRLSPDRSVDDRPNRPKSNGLPDLLSPTLPPTSDSLGLPQL LSPTLPPSIEKELASIHDESLAYGAPRKGSPTNFDKFTEDAARMSSNLNALPLDSARS PSLQRIQSRSPAAAPSKRQLIIKLRYGRANKKRVEALLKFSGKKKTGTSCSFIRKEGH HVSKTPLSDDVAEMSHRSEKKAKHVPSDPVLEKPQTPISMPRQQGKHKVTPIKEPKIT SSRQMESINSEGKTPLTQGAKYPAGDSARRLSSPQTNSQPNRSRSYERRAWKEEYQKY GNLGRELKHAVERHTAKDSATAADKKVAAATALEAILCFILAFVADDQSKALARQIGD SSAWVSILAYWNVVKKISAPYPQLHSLCSILGAVSYDAIHALDLDRLAVSPLPGEHTS ASTAGDASFTSEENNKNLKEFLELKTRLPKNYKESQRLWAEGMGGLSEDVLEREFPET WQSRSRRYSERGKQPLTPGDYSGGFFLPLGRTDTPLQIVRFGWSVLNEWCAKEGLNWR GRLDF ACHE_11249S MSLRNTLRQSWVFQNRLCHMASHFSSKSAGSSLADLPKSSVFTS KLPPDPAFETPASSHNAPRQTLGPRLVKGALYTFVRPEPAEEPELLGISPRAMEDLGL QSGEEQTADFRELVSGNKFYWDEEKGGIYPWAQCYGGWQFGVWAGQLGDGRAISLFES INPETKIRYELQLKGAGRTPYSRFADGQAVLRSSIREYIVSEALNALGVPTTRALSLT LLPKLKVLRERIEPGAIVARFAESWLRIGTFDLLHARGDRDTIRKVATFLAEDVFQGW ESLPAAVPLGKDQSTAAVDNPSRGVSRDEIQEHQGVEENRFTRLYREIARRNAKTVAA WQAYGFMNGVLNTDNTSLYGLSIDYGPFAFMDNFDPQYTPNHDDHFLRYCYKNQPSII WWNLVRLGECLGELVGAGRDVDKEDFVKDGLTEESAETAVKHAEKLIERTGEEFRTVF LNEYKRLMSRRLGLKTQKESDFQELFSEMLDTLEALELDFNHFFRRLSKLSLAEIETE EDRKAVASIFFHTEGFGGIGYTEDSAKDRIAKWLNSWRLRILEDWGTDHNAERQRAME AVNPNFVARGWILDEVIERVERKGDREILGRVMQMALNPFEDEWGLDKDEEERFCGDV PRFKRAMMCSCSS ACHE_11250A MDYQNGGRGCFNCGDASHQARDCPKKGTPTCYNCGGRECTVAPK EKTCYRCGVSGHISRECPQSGSTDGYSGAVGGQECYKCGQVGHIARSCSQGGSYGGGF GGGYGGRQQTCYSCGGFGHMARDCTQGQKCYNCGEIGHVSRDCPTEAKGERVCYKCKE AGHVQAACPN ACHE_11251A MSKRRIYDDESSRSSSRIVSHSHLPKRSRKIDRLSSLSDELLLH ILSCLPIPSLIVCQRLSRRFHALAGDSELWKRQYYSRWVRPRARRLANSKRISIPQSK AEYSPRVSTWLDHSYLAKEGRPTNWKRQYHLRHNWSKGICRVTEYEFPRPPRPPLFVE FCAGFILTADSDHGLRAWIASNPRSCVANIQSECSSAVPTALSATRSLCQDDIEVVVG FQDKGFSVYAFDIKAWKLSLRFIHSEPSPETITAMASSAPYLLTVSEHKLLSLYRILP GPKGLNRSGVSDGARLIASLRADNIVAPMSLSIRVAQSEIIASVVYSFYHIGCGWSLG IQELRLNSNGQQIDSRLATTVDSQYGVRPLPNSENGFHSAWDYQAGGGRPFTMPSEPS ILHQELPTSLSYRHPFLLASHPDNTLTVYLVVSTSNSLYVKSGQRLWGHTSSVGVVQV SDRGKAISVSSRGDEIRVWELESVISSPLARRTAKEASSIRLSPENARTEWHEKCDRS SQNEIERATIDVSREMARVRGCVGFDDERVLLLREQERGTALLESYNFI ACHE_11252S MNAELARRDARIKEADEAARVAREAYRNTTTRIHELEREVADLK EEMHPCEVDAKSMRFSASMQEAYRPERILEHFALHGLHSEMKEQIADISTKYRALYKN FQELIGVCGTLRARVDSHKRKLVQWQDHVTREEFTIELSGIPVKFRRVHENSVTRETL HFEPSRSRQSVSEPLEPVAHEGKTGASTPSPNQQLIENFHGQRAHTKKGTSDALEPSF TSELPDPASTRSGPLSANQETVSNDLSSDESTETVTRELKRKRVPLPETTHQKDFVQN DSTVRSQRSIIIKSESMSSSPHQNFSEYRAPAGTQDLDEIGSTVETPTKKYRHSRNFS EKDFSVIDIPTTTRELEQHYESPPQPLVEQRKSKRGILQPTNGNSRAVNSSEQQLSAK KSNGNTEKAIRHGIPSLAEDGESYMNTKRTGKHASSAETDQSSKTEIAPARQRLQSLL EGPAPSRSPLNVLRSHVHPADSRRSVNQNLGGDIQYRSSEGTGSSEAKVEGSMRTAAR HEHKSYRTWSLQRLEPHHFKINSDYNHGVDYAFNDVVRKRDEHKCLSGCTRTGCCGDK FLAMARAGGFQTNLGGSISKEAEEQKLIEEYLGDEKDLIHTMEPQDRQELLHEAQAKH ISNTVGKHKHLHQRPRTPPGFWRTDMPDTQELEHDRKEAEKLEREKVYERYREAMRPG GLWKFADE ACHE_11253S MFPARPSAEMKSTSKSHNSMAAVLLQPSKWLPLYEEFVTKNASS VGQVESALRSLTYIIPGRYRDSEISSECVHSGVQLLSLYHDSLVSRVISRLPSTIPRP APTPHSRYTKYWTSHSSLYHRVALSLQMIQYTELLWEMVARRRGQKTRWHVVILIEIA KAICRLLLLRLTNSRLLVSPPLPEREVDPRSAEDEEGDWNGVQTPANERSSDLSWTMP RTGMSLPSLPNVNDVSNYLISKVLTADDIKPPKALLHRITGQGQLAEVLYILRPVIYA LAMQKWSGDKRSWRPWLIGFGMEYGCRQLAKTDFRERVAGGLRGLTGLEREELRKRGW AMSWWIMRGAFYENITKSWLRSLTDKMKGKPLLDLVGSVIEDYEYLWDNYYFPTATL ACHE_11254A MSMADNMEDPVDALHGETSTTTNDDTNVPFLPPSIDRSRLFSGE SFSHYSPCPAAIATQDSTQSPSTTPCVLGVDEAGRGPVLGPMVYSAFYLPAELHHSLL ARAYRFDDSKVLTPAVRANLMRLICTQGNPLFESCGWATKLLSARDISSGMMRPGAGI YNLNAQAMDATVEIIRGIVEERKVVVKEVYIDTIGNPGTYQQKLERIFPSLKITVAKK ADSLYPCVSAASVVAKVTRDIALENCYETILATQQMSEQVITTEGWGSGYPSDSKCAG WLRRNMDPIFGWGNECRFSWGTAKEMLELKGGVRVEWPVEEDEGMRLSEFLSTGTAKG TERELGGWYGHKMAEVL ACHE_11255S MAPRGAAKTREYDYSNVGKAGRRTGITLKEGRRDEHGMEEIDGM FSSPEKSPANENGFNNGNDMGSDGMSMDEGNAPGPADFLNGRRASYFPPPVARSPMKT GLTGSPRRTPGLRSSASPQRDHPSSSPSAGKSRVNAKGNSQRDVSPLSHRSVNAPSSI HANGLRNKNKANIRTSEPAATDDFSDSDANSQFIGDENADSFEQTRDDFADNFAAGDD TLLEDEAPQAVEEQDQEGTDPDSPGMSFESRRTEQPRSTTTKTKRSASGNNGQIQTTA QQNDTHEREFASKPKRPGRPPKAQRKANEEPENHRPSKKVRTSADRTTGGVKATGNPQ IDHITDTYAKRQTGPTKGRSLYILKHEAPAEDTAARTRSGRVSIKPLAFWKNEKCVFG DGEVAEGDRFPHATVKEVIRTEEIEPEYKKTKSGKRRSKKSKSKDIESEDENEDHADG WEKDNGVLHGYIRKWDPETQAGIDEEEVLDIAYAPSGIETRDVKDATFRFAKLLSSPF LGSGIVELPPGGVKKPKNSKKMHMVFYVCHGRVQVDISGVQFSVGKGSVFQVPRGNYY SFANIYGFDARLFFTQGCVPAENENLASESASKPTAMEGESTADVGRPTKGRPKGSKN GPKGGPKGSKGAKQKASGGSKAS ACHE_11256A MAFQPTPTDISVIITSAGNSSNSNEPGFLTERRITPTWTVNQLK AKLETMTGVPPGSQRLQLKTPGRPNQWVDGDDTVIGDWGLMKGSEIEVHDTRPAAARP NFTDLSAVEKYMLPESTYETLPNSVLAWKKNQKLGRFDPTAVPPEEAMRNQAEKDRID VQKRDIAVSKRAIVLPSSPPHIRRGTIRFVGPVQTIPFPGVSTVDNGLDQGSLPIWVG IELDEPTGKNDGSVGGKRYFECPNKTGVFVKPEKVEVGDFPPLRLDDDLEDELMEEI ACHE_11257S MALYVYKDLLYKEALKKNQVGKKEMADNDAPPADERAQEAYEEQ NVHEVYQQIAEHFSSTRYKVCLSYSLFIYIRGLVSLVYQPWPIVERFLKELSPGSIGL DVGCGNGKYLTVNKDIFIIASDRSENLVRIAVNHQPHSPIVADILHLPHLDASFDFAI SIAVVHHLSSPERRIQAIMEILRTLKPASENHPGGKALIYVWALEQKNSRRGWDKGDK QDVMVPWVLRNSLSKGGSSDAPKTFHRYYHLYEEFELERDIRQAGGRVLESGYEKDNW WAIATPSNE ACHE_11258A MIALQNPALLPSAKVDISLLLKPQDEEERSPPGTTTSALPSRVV PSGPALPPMSIASSPVSGPSAPPPSITKIPASVPAKRLQPAHTAESPAKKQSKWSPEE DALIIELRGSGMKWEDISRRLPGRSAISCRLHYQNYLERRSEWDEDKKNKLARLYERF KAEMWSKVAEEMAIPWRAAEAMHWQLGEQEMARRAGVVPFSLSSTAIDPPSTRSRRTS SASLSRSRKGSMTRQVPPPQLPSVEELTAGVPAYAPAPPFPPTRELSYRMGPMEMSGS HGGHLGHSIGFPPRTLP ACHE_11259S MGLSSLFGNPPYFYILSLLICAFFRDQRSERLIGQIMSAQQNDA PRGQSQGEQCPISPDWKPHAKPFDNPRLRGFKRPGNLCFRNATIALLVHSPILFNCLT TYVSHHKHKSPDCKGCILCALYEVMRVYWSEGRLRNEHQKRMNTLWKIVASLHPSYNT GQHDVPEFLEEFYNTLHDATEVQYHADLDNLFKVIKVEYNACGICQHVGTSAKTSSLI LPGSCPSNRTFITVEDAIKEVFTNSITAARCERCQKTGYRTDWFASSPENLFVQFHRG ETQKTNTQIQLKNRLIIPKGCVFEELQDKGKFEYELYGVVFHKGTSSQGHYTIAVRGP NGVWTEIDDTKSYSLDETKFLSSPQNRRDAYLLAYQRVRERDKIEQSKPSYPPKNPPS APPLEVHAAKPVFEEKLSHVRPANNGNRIKLEQTIRLEGSTMAWAHILTLDHFEGTQF ETKDQNIELRTRISAEITDAKPAKKQKTQHKIVAKKAAKRKCRMESDARYEPDGP ACHE_11260A MPATTAETLSLVTKTVTVAPLVLLSVADHYGRTAKGTKKRVVGV LLGENTGQTVRVSNSFAVPFEEDEKDPSVWFLDHNFIESMRDMFKKINAREKLIGWYH SGPKLRASDLEINELFKKYTPNPLLVIVDVQPKEVGVPTDAYFAVDEIKDDGTTTSRT FVHTPSVIEAEEAEEIGVEHLLRDIRDVAVGTLSTRITSQLQSLQGLHLRLRDIGQYL QKVLDRELPVNHAILGHLQDVFNLLPNLSTPSTGPRISGTETQTENSELARAMSIKTN DQMMAIYISSLIRAITAFHDLIENKIQNRQQQEENESKKEQEVNAIKAEKEEGAKKAS GAGNGEKKEQGSSKEKSSKN ACHE_11261S MAPITEEAVSSLKDMVGKLEARVQDLESRLTNGSNKPKSISEQM RIILMGPPGAGKGTQAPKLKDTYCVCHLATGDMLRSQVAKKTDLGREAKKIMDQGGLV SDDIMVNMIKSELENNAECKNGFILDGFPRTVAQAERLDEMLNQRSQKLQHAIELQID DALLVARITGRLIHPASGRSYHKIFNPPKQDMKDDVTGEPLIQRSDDNVDALNKRLVT YHAQTTPVADYYKKTGIWRGIDASQEPGQVWKSLLGVFHKN ACHE_11262A MAPYNIRWGIMATGWIADVFVRDLLNDPTLRDASDVSHTVTAVA SSSSKERAEKFIADTCIPGTCAAYGTYEELVANPDVDVVYVATPHSHHYQNVMLALGA GKHVLCEKAFTVNAAQAKILCETAQKKNLFLMEAVWTRYFPLSVQIRELIKKGAIGEV LRVMADNSFGDDVEEKWGTKHRMVNKDLAGGCLLDLGIYSLTWVFQTLYHTLPREQRK PPSAVSAHMSLYHLTGADEATTMLLSFPTSTPSNSPHPGQSTAVAMANLRVSTDPDGK GSSRPTIRIQGTKGEIQVEGPAFRPERYRIIPKKGEGEIKEVECPFPRSGKGMFWEAD EVARCLRDGKLESDTLPLEESIVIMEVMDEVRRQGGLAYPENIESTVYPLQL ACHE_11263A MSGLASDEVAEDYKNSLEDLTTNDRFQISNLTVIAKENTEHAMA ISRVLENHIRTTPPTQKLPALYVVDSIVKNVGTPYTLFLGRNMYQTFMNAYTLVDSQT RRKLDEMLKTWKEPVPGSLDTRPVFPPEITRGIESALIKARTAALQQQQARSQQEIRT RSRVATPPGWTNSPTMLQNARQYPPNGQAPSYQSTENSYPLRFTPTPQQSQEVDLAAL NRDIESLITAARSEFTNNPLDPSVQQRLKALLDLQGILQRQELTQEQLRLVRNQVSAL GPKPVLPTAQAVSPIPAVSTPSMAATPAQPVSQPLQQLLNPGTLAGLIKATAARQQPT PPPQAANILPQMPTGNSTPLPVTASTPENPLIAALRARGLLPGAAVPPSTAPTPNMAP AFPFIVPGQVRYTPPVQAPQAMGASESFMDVQMNTASIKIPRPGLIASLYEAKSNRCG TCGRRFFATEEGKEKKARHLDWHFKTNQRMAEAAKRAQNRSWYVDERDWIKSREVGDD QNLADAEAPGDSAAGADGSSAKRGPPKQWIHAPNDATLRNTPCPICQEKFESTWSEEV QDWIWQDAVKVGNRVYHASCYSEVTKDGPGPAGGNTPIGRTGTPDSVLGKRKAEVRLF REVFEFGLANHTSQGTDSPGHHVRVKMEPV ACHE_11264S MKLSLATALFGLLPMAMAKSIIVYYPKGTPSSVIDDGKNHITDA GGSITYDYPSLRGFAANAPDNAVSSLGDQSPNHKPFVEDDQVVTVN ACHE_11265S MASELTVTKVLLEWINSFSLGKTLRATDELTDGIIVWEVLQDID PQYFLDEIPERNPSDHWVAKWQNLKHIHKLLLSYIRHQNDDHLPSGLDPSPDLEAVAE KNSVRETNKLLKLLLIAAISSPNAETYVQTLQNLSTPTQEGLKDIIEEAHNGQHEPID IADEDKEESSKRVKSVDLELQFEERVGKVIAENDRLTHEKKELEKALEDLHNRLARLQ ENNDTLQNRLASTEDRLVTLKSGKGDLGFNAKALESKSRQQEDLIATQEARLSAAQDE LDSLRMTVESLRVKNQRFQKLQDDYDELKTEKDQLARKANAAEKYRQKLQASQDFEKE NQALKNQIKDLQQQLKDADSQHRWSSEREVELEEYRKVLPRIEQECTEIQDLKKSLEF NNHALGERLKSAEEQRERDDEIISELRERIRELEGSPGSPSLTPGTETPKLQGTLQKD FEEIGAKESQLKSENEDLKKEVESLKGPSAHTASKFEKFSEAFTQTLQLAQTNSTQNE EYWKLYDNYSTALKKFAEIQDALSTTKKTLDNAYAEAELANKENVDMVHEAKEQNSAE LAQMRAEWDERTQRIHHLEAELEASQTLAREACAERDELRGMLDNKQSEMRAEDQETI DEMKKLLSEFTAQDGSDSEASGVELARQIAELIDKNIERLAQRAEYIEQQNEHIKSLR ERIRNFEEHTDDGISKERELELQRIIDGQTRELALMSSAWFDLQCRIQTNNVTLSRYR HGSSIAEAQKGWLPRQRSGVATSR ACHE_11266A MTMALSRPYMPLRRSLQQVVSHRSNRLPTRNFRGSFRRYSSEQK PRDSQALPVWRPYLRLAVGIPFIGALIYSMMTGEVTELDSPSIVELDEALKKQSTVTE TSPMRLRMEKLIKEHQQRIVQELSQIDGKEFRADTWSRPNGGGGISCVLQDGNVFEKA GVNVSIVYGELPRPAIEKMRADHKSFVGTDVNSLSFFAAGLSLVLHPHNPMAPTVHLN YRYFETSDPKDPISGDKNWWFGGGTDLTPSYLFPEDVKHFHQTIKDACDRHDATYYPR FKAWCDKYFYIPHRKESRGVGGIFFDDLDANFLQTSSTSSQNPQETLFSFVSDCLSSF LPSYLPIIDRRKDMLYTPAQKDWQQLRRGRYVEFNLVYDRGTSFGLRTPNARIESILM SLPRTASWAYMDPVSGTRTETTNTNEEDELQAEDKTSEKEMMEVLKNPRQWA ACHE_11267S MLIAFRYVYIHFLQNSILRICPFSCPTLLFLTAHFNLADWSSTK QVICEKVEKSDIATIDKKKYLVPADLTVGQFVYVIRKRIKLSPEKAIFIFVDEVLPPT AALMSSIYEEHKDEDGFLYITYSGENTFGDC ACHE_11268S MSPAPASNDVKKESATARLLGSGTAGIAELMVFHPVDTTAKRLM SNQSRITSASALNQVVFKEYATAPLGRKFTSLFPGLGYAAGYKVMQRIYKYGGQPFAR DYLAKHHGSDFDNAFGKGTGKAIMHATAGSLIGIGEIVLLPLDVLKIKRQTNPEAFRG RGLFKIISDEGMGLYRGAGWTAARNAPGSFALFGGSAFAKEYIYSLTDYNSASWSQNF VASVCGASASLVVSAPLDVIKTRIQNRNFENPESGFRIVSNMMKNEGFTSFFKGLTPK LLMTGPKLVFSFWLAQTLIPAFGQVV ACHE_11269S MAIYLISKAADPIFAVAIGTSAALIRIRRDQQEKQPERAKEIGY GEVISLGANRVRRWWAGDFAGL ACHE_11270A MAPAVGIDLGTTYSCVGVFRDDRIEIIANDQGNRTTPSFVAFTD TERLIGDAAKNQVAMNPHNTVFDAKRLIGRRFNDAEVQADMKHYPFKIVDQGGKPVVE VEFKGETKQFTPEEISSMILVKMRETAEAYLGGTVNNAVITVPAYFSDSQRQATKDSG LIAGLNVLRIINEPTAAAIAYGLDKKGEGERNVLIFDLGGGTFDVSLLTIEEGIFEVK ATAGDTHLGGEDFDNRLVNHFVNEFKRKHKKDLTTNARALRRLRTACERAKRTLSSAA QTSIEIDSLFEGIDFYTSITRARFEELCQDLFRGTMEPVERVLRDAKIDKSSVHEIVL VGGSTRIPKIQRLVSDFFNKDANKSINPDEAVAYGAAVQAAILSGDTSSKSTNEILLL DVAPLSLGIETAGGVMTALIKRNTTIPTKKSETFSTYSDNQPGVLIQVYEGERARTKD NNLLGKFELTGIPPAPRGVPQIEVTFDVDANGIMNVGATEKGTGKANKITITNDKGRL SKEEIERMLAEAEKFKAEDEAEASRIHAKNGLESYAYSLKNTINEGKLNMSDDDKSKI QGKVDETISWLDSNQTATKEEYESQQKELEGVANPIISAAYGGAAPGGAPGAAPGGAR SADEVEEKPEELD ACHE_11271S MAFRNLAGAFHRAKPLSQPVAKDLPVPADDKKPIATPKIVSDEE NIHLHTETSAIEQESEDDPEITALPREVRQLVSLTDNPELPTITFRYFVLSVIFVVPG AFLSQMSYFRTTQAPYSVFFVQIASHYAGHFLARILPTHRVRVPGTRWSFSMNPGPWS IKEHVLITVTAASGATYNLGYTPIVLAELYYGQRINPAVAIFFMFSIVWIGYAFAALA RQLLLYDPAYIWPQALMQTTLFETFRRTDNSSPLARRQMKIFFFSLMGIILWQFLPEY VFPFTSSLAFLCWVAPRNRVANFIGSGLGGMGFLNLSLDWSNINWNGSSIMLTPFWTQ VILFLAFAFNCWVLLPAAKWGSLGSYHHGLMSNSLLTANGTTYPVLEVLTPDFQLNQT VYQEYGPMYMGLQKVWSTFFDYAKLPAAVTWILTFGFTQIVGNLKRVLASHQKQSRTR GQGINYQYQDRLNVLQRQYREVPLWWYFILFLVGFVILVAAIGCGQLFIPIWTLFVAL SSAAVFVIPFGWLYAISNYQISTGSFNELMYGYMVHTPAGQAHHHPCGPSTYGAIAGD AWYRAQYMLQDQKIGHYMHIPPRTVFFSQIFGTILGVPVNYGVVRWILNTKGDYLTGS KKDPLNQWTGQGIQSSNTLGVQYAVVGPKELFAQREMSVLPWSFLVGTGIPPLLYLLH RSFPRLRVDLWNVSIFFSGLALFYGNVSTGYTSAIIGGYVVMYHFYRRHFNVWRRYSY MVAAAFDAGFNFNLLLIFLFFGAGKQVSMPQWWGNNGDSVERCFALSDD ACHE_11272S MSANFNDPAANAPDAAAYKGKGKAEDPSVEMSMDEEESEESENE NEELVPEDEDEGQGNLEPISSDNIIQGGRRTRGKNIDFQEAAEKTKNEEMDDDDDDED FAPQDNDEENEDDQMRD ACHE_11273S MASRFPRQRDPRAASSLFDSYDGNSRPASKSPGRMGGYGYGGYS GAGHDNGSMSGSASGGFRSATPNNKGQYSDAVLSSLESQNDAEVEGITAKVKMLKNIT MAIGDEIRDTSNLTDLNDTFDSTRVRIRGNMTRMLRMAERTGVGWRVWLVFLFAVFFI FAYVWLT ACHE_11274A MLTLPLIVPRDSHELWFGSSRSHSTTHQTQSQNARRSTNGHTLN RPQYPPTSTGESLSALHLEERALRARKNNIAYFGYSWIKPAGCSKTMLGMKEEEAERE EAFAAAQQEIAAAAAAAAAAEATGPEEQGEDGEERFSGIERDLDDDIPDGDAEGLVEE GEEGLEEEDFGDEEGYMERDLDGDVPEMYPDEEYDLENGEHFEDDEDFDNQADLDDEI PSADGAGEDDEELNDDENEYNSDQGEEQIMSDQGMAHDLDDQIPSAAEDASIQGEEWQ HTDTEAEFDDDEESFFNPRNSLRNSLRNSLRSSFRNSTSSAHGHGLPQPPSVQRLHGR ETEAQRRFLQRWSGGGDSFADSSSMVVDEDDLRASATSRASRSVFGRFSRRTGGPRDS LDG ACHE_11275A MTHQAEAKIRLNYEYLSLSGEGERDDRVLDAWFKIKEQAIHKGV NIAAKAEVQALVQAQARPKIAKKKKKTKRVMRRP ACHE_11276A MGWWWSSSPQKDELSSPAATPARDTAQSRTLTRDEQQAEDEFKK LFAAFESSNANKDNATSPKSLDPSSSTPTTESSADQQPQPSSIAPESLYQDTMSCRSA FDYAFFCQSFGGQFVNVYRYGELRSCSEHWDNFWLCMRTRSWGENERRKAIRDHNRKK AIKYKTGPSSEDVWDMRTHPVQNAFQGDFVALEREMQAEEEAGSAGARV ACHE_11277S MMRIASSGVPARWRSPRVFPAYTPTLNLRRASSIQSGHISVNKG EALLFINNIFPSRLQWLLGNPLDGSWSYEEALKRINRPHFAASEPIHIIQRALPDKMP LEIKEVIPRTKEGGAFVKYSHVSNVSDIEIENAIKKHLRQNPIRPWFNPFQGVHVSRV LGKPWIEDLYRIPSQRLRVEFLPTSPESSAAELTIENLYSLLRPYGKLQEIEPQPSDS KTSPRYAHVEFSRLRYAVMARNCLHGFTIPEEHGGGKAGTKFKIIYERKIKLSMIKDW IFSHPRIVIPALAALIAAISVTVFDPMRTFFIKMKIKATLPTEDSEFLHWIRQQISKA NIIYFGNRRADPRGLSAIWEDRQGDISQLQSWLQENVETFIVVHGPRGSGKRELVLDN VLKDYKHKVVIDCKQIQDARGDTAKIARAAQQVGYRPVFSWMNSISSFIDLASQGMIG TKAGLSETLDSQLSNIWQSTATALRKVGLEGRKLDDKDIHLSDDEYLEAHPERRPVVI IDNYLHNAEENSVVYDKITEWAAGLTTEYIAHVIFLTTDVSFAKPLSKALPNQVLRVI PMGDCSLDVGRKFVLNLLAYESSMETGSEKVKNVDNMKDLDNCIEVLGGRVSDLEFMA HRIEAGETPKAAVNRIIEQSASEILKIFILGSDNESRHWTREQAWHLIKALGHSEDGT LPYNQILTSDLFKENGEAILLALEQAELIAISATNGFPHTVKPAKPVYRTAFKRVAEN KALSSRLDLSILSQLLKKENSAITKHEEELAVLGALPKQPRELHPRIYWLLEKIYKSQ DKIGQYETESAVLQKNLKSGN ACHE_11278S MANYLSLADNLIKIAKDALSESTWMIVLVALAVTCITTRIITGF QCCSSKTDAGDFRPVRMAPYWFPWLGHSLSFAWNMTSCVRKARDYMGEPVFGLVLGGT KQNVVASPSMAQSVFAFRGASNSPFIDRVMERVFGDSGIVRKMNPADRQELHQYVSHF LQEPFITEATNAFIRRIQRETPNLVTFSWSMVDQVPWERASEVTVENIDGRNVCEADL FALVRNFSAHITTAGFFGQALLEDFPNLFDDLWVLDGQFPTLSRGAPHWLPIPGLPAA YKARSRLLQALATFQEAFIAWDDGRDPGVKFRDLDDVAEPIKQRARTMHKMGFSSMAS ASAHLSMLWAMNGNTTNIVFWNLIRVCAEPTLLEEIRKEIAPYVKASRPSREETGFPF EEPPQVTIDSQGLFNSCPLLKASFYETLRLDSAGFSLKELSSDLTLTESKEEAANGGL KQPRVYKLSKGDNIAISHGALQNDPRYFSNPSQYDPLRFITTDPDTGAKRAEMHTIKP FGGGVVRCKGRVLAERGNLAFIAAIVTMWDIEPVRGKQLVVPGRKASPGTFSPKKDVR VRMRARI ACHE_11279S MTQDDETPNSNKDYDLSTPIFSTSGLRQGLTSYGDAHFSLFLRK VFIKSLGYSEDALSRPIIGIVNTFSGFNPCHANVPQLIEAAKRGVQLNGGLAIEFPTI SVHESFSYPTSMFLRNLMSMDTEEMIRSHPLDACITIGGCDKTVPAQLMGGISANKPV LPLITGPMLPGSHRGKRIGACTDCRNNWAAFRAQKIDIEEISAINDELAPTIGTCGVM GTASTMACVTAALGMMPLRGASAPAVSSARLRIAEETGANAVSVAKVKRKPQEIMTKA SFLNAITVLQAIGGSTNAVVHLMAIANRHPALQGVIKLQTFEEIGRKTPLLIDLKPSG ENYMNDFHNAGGMLALLLTLRPLLELSAMTITGQTLGEVLDASTFRTFPLSQQIIRPL NNPLYPDSSLTVLRGNLAPNGAIMKASASKDRSLLSHTGPAIVFENTADLATRIDDPD LPVTKDSVLILKGIGPIGNPGMPEAGLIPIPRKLAESGVKDMLRLSDGRMSGTAGGTI VLHISPESALPESPFGIVETGDLITCDLAGRRLHLDISDDVLNARVEQRKQTLEEQGL LAQRKRRRGYFGLYERSVNQAQDGADFNFLAANGPSDH ACHE_11280S MSSEAIRPRGRPAHTPGTTVLGYTPDGRRVITGGSNSAIRIYTV GQDGEPTTVDEGVDGNLGIGATNNSFIMGAEDGTVWQYEINSGRMEKLLVRCALPVRD IGISKDGEWVAVASDELTVKIVNIEDMTKVKYLREQGKGVKHVTFDPSGRYIAVSCTD GILYIYSTASEEPELLRKLDGVIRRLEPEDEATSRAVWHPDGTAFASAEATRDIAIYS TAEWKKEKVFSGGHNGDVTAISWSPNGALLATAGADGQILLWETKSQKILERYDFPNV INLAWHPTRNSLSFTTSDGELFIYDDFVSREHQPLLQKPLQAAPIFPGPLTEISDNVR RPLASRPKGSIERRRGGTPDSLDDILGGSDAGMEDFVDDDDGAGYAEGMNPFGKRTNE HLDDPDGHADKRLLTSFSKPRIHPPLQPGSTPWRGSRRYLSLNLTGAVWTVDQETHNT VTVEFYDRELHRDFHFTDPYLYDKACLNEHGTLFSNSPRDSSLATIFYRPHETWTTRA DWRTQLPAGEGIRALALSDSYIVAVTTKDYVRVYTLFGTPYKVYRQKSPAVTCAAWRD YIMTIGNGPLSSDGRTAVLSYTVENVKRDEVCQNEDVVALPEGAELRSVFFSDSGDPC IYDSEGILLILQHWRTQGQARWVPLLDTKQLERLAGGRKEETYWPVAVAQGKFHCIIL KGGDRYPYFPRPLLSEFDFRVPISDTPNKELEDDEDGSAAVHNEGAKFEEAFVRGNIL LSLFQDLLSSTNATPTQRAELARKELELDKILLQMLAVECREGEDRGMKALELVRMMR DRNGKMVEAALKVAERYGRGVLEDKIRDLAEKRFMGMADDDDELA ACHE_11281S MPKLVPIHSSPCSLRCPPRPLSSLKPTHANTRQTFVSSHARLLA QPRDGVLGDPWLVQASSSSFFSQTDPRPLPLLEGLNGNPNNDHKPPDKRIIKLGKTLR TLSPLLPTILFNPLPATILSPSVALHLFPSTHPHLPTVKGRTLYRAALWTVPVAWSSL PLVGNVKLQILSERIVRAGTVLDPDRCSDNDCGDERLVVRWKTEPREGSGEPGNAQTS GDLPSETGSIASSKNGINKGLSKLLGGDAPIFKLSKEEQFTGLFIFSFDEEGRILTHT IEHADNANGWDRTAKFVTLTDWLIGKARGSLEPAAPGLAMQSCPEFGLEKGRHASRP ACHE_11282A MALAADQRRSILKVLMLSLLLDLISFTFILPLFPSLLTFYRNQD DSPTSLLNRVFHYLNAYKNSFAKPIDSRYDIVLLGGGLGSLFSLLQAIAAPFIGRLSD RHGRRRALLCSMVGNIFSVALWVAATDFRTFLASRIVGGLSEGNVQLANAIATDISDE SQRGGTMALVGACFSIAFTFGPALGAGLSSITTVAANPFATSAGVSLLLIVLETAYLW FYLPETHPRLTQLQHAKSDDATSKKNDSPGSKATAKHTHTNNPGLLNALHLLFLLPFS GMEFSLPFLTATFYSANTKASPSALNGRLLSMMGLIASLLQGTVVRRLPPLATLRIGV VACAISFFLLARVSSLAGLYGAGGLLAITSATVVTSLNSLGSFEAREGERGVVLGRLR SWGQVGRAAGPVLFCSLFWFAGREIAYTTGGFAMVGVCVGVFATLKSPPIAAPGRK ACHE_11283A MAAAPVTSNPQSEAPSEKQKLNGTSSSEATANSLDNLDNPRFKE LQRSLRNALKKLNATSKVDSIIAENPGKSLDELVADKKINNDQKTQALKKPALQANVA QIEEQIAHYKEFAAYYEERLASQKDDLKKGHKEELDSVREKAIAETSETSQKDLRRRL LTLSKFLLAAAEVRRSGDETSTDAQAFEAVLYQVYTGSEDAVTNMLKLIDGVDEKITN LEGTELEVTYGKVKQASEGPAPTSEEATTETTPASDPTLANAASTELQDTAVGAMDAT TADANGTTVQTEQIAPPAQTMVSNAANEIAESSWDPNTRAPADPSANANGLIEVPRDP AETENGLQATPASVDTGLKNNPVAVQPEAENAAVPKQNGDGFEPVGGHQRQNSGRGRG RGRGRGDGFRGRGRGEFRGRGRGRGHGRGRGGSNGAPAVAAAAQ ACHE_11284A MVATQKLYPRATVKRIVKAHANRNASKNADILIFLDYMLFMQEL MRESSIRSRKAGEKQLSANSVRKVTERTLRKFKG ACHE_11285A MMESTLSSSLHNVLNDLHQNPYPHVPNPPLCKKRASVALILRVR PVYDHWPNAFSSPSRNDNTSVEEQLDTFFSQDWVQNGDPEVLFIKRASRVGDRWTGHV ALPGGKRDPEDADDQAAAVREAWEEIGLDLTTEDCIHVGNLPERVVTMSWGTVPLMVL CPFIYVLTRPESPTLKLQPTEVASTHWVPLRALLSSSMRTVEYVDMSQRLANQGGFIT RLGFRLLVGMMQFSAIQLRPSESLYCNSTPGFIPDDSPGSQSLFQGWKSWCVNKQAGS NDLRRPLLLWGLTLGILADFLDMLPPHTAVQLWDYPTFTPSDLQLIVKLVTHSLRKHN MLQVKSGARPSNTAMDSQTAAMAVTDNVNSQHDHNEVGIGGLGVGRCYGPSDKAPDGR TYAVGIMLRGYYDRLRIALYVFLGWRVAIGSAAAAYACKLWRSRQ ACHE_11286S MRFGKTLRNSIYPPWSGKYIDYHKLKLLLREQDVTGDASDSEST QWTEEDEEAFVQELINVQLDKVNAFQVKTSQQLRERTSACEAKLRPIAPTTGQETPAM NEDEKRAIASDALQELDDITKEVNHLEKYSRVNFTGFLKAAKKHDRKRGARYRIKPLL QVRLSQLPFNSEDYSPLVRRLSVMYSFVREVLNHGAVRPEELGEPRFGHDAYSSFKFW VHADNILEVKTYILRQLPVLIYNPGNSKELDMLTEDPTITSLYFDNPRFDLYNQKVLR EPEAGSLRLRWTGHLEDKPPIYLEKKIVTDEDRSREVKVQLKQKHIQEFLTGEYRFDK KVHRMEGMGNGESVEADSLKKDVEELQSFIKKHDLQPMLRANYTRTAFQIPGDDRIRI SLDTDLALIREDSLDEERPCRDPDQWHRSEIDVNRMEYPFDTIRTGEISRFPHALLEI KLRGNALNAEWVHDLMSSHLVKEAPRFSKFVHGVAQLFEDNVNNFPFWLGELENDIRR DPETAFHQEQERLAKRAEDDIAVGSFLGGSNKMSPRIRASMGSPGARFTDIGSPRTSR PSQAVPSSPRASASQPEPPRQDLPPPAQEEEQPGETDRVEGQPSTTTIARLASLFPSF PVSRSSRTFRDSAPLPPGVQEPGTWIKDSGPVRVESKVWLANQRTFIKWLHISILLSS LSLGLYNAAGKQNDVARALSIVYTAFALFSAGWGWYMYEKRARLIRQRSGKDLDNLFG PIVVCFGLAVALVLNFAFKYSATLKHIRGGHEPDIPGNSTVASVGAGSWGLVNQAQQP QQVL ACHE_11287A MNPLVRLDDCLWSSLHFVILNIPPSRLPLFPCLAGLVWLATLSG LLLSWITHGMPRYPGQMYPIAFISDIASFELKPLFLVGSTITAVCFVITVAAVHVMRY EPGFALLKAAGHPRIHRQPEYNRAHSTDDMNDNSYGTTNDSNSNNTSDNDNNDNDNGN GHEHSNSNEVDDDDEEDTNITKTLRFISLLSVFAASTASIALILLSIMDTFRYHSVHY FFLQMCFSGLALQAAGTAVVYANEVVGFVSFLCNRGQWIHDWGKRSITVRVFASLSTA VILVEVFLCMTFIFITAPERVNAYRKAAILEWVIAFLGTIYLWLFGGFFLDRNLSPEN LPKPFRREMHEPDPEHTPLIGNTSQGET ACHE_11289S MTNFTIQIISDTVCPWCYVGYRRLTRAIASHKTTHPSDTFTLSW QAFYLNPSAAQYPGVDKHQHYASKFGEERVSALFGRLAAAGEDDGIKFRFGGRTGNTR DSHRVIWYAGQLDKKSERRGLATKVVEGLFRAYFEEEKNITDREVLVDAAVNAGIGRD EVVKLLESDEGGAEVDEEAERARRRLVTGVPYFTVQGHYAIGGAEEPDVFLGVFNRVK EEK ACHE_11288A MAQHSDIARSAIMTNAVAPDSSRNGDKTNGVNGHSLSNSNGSAG TDASSLMPPPKNSAGRSSNVMPTDTRRASKDGVGIPLSDTPMSTAPSSPQISASVTPP TPGRIRATTLDIPGLTKSKVSPDGRIAQRDLGAKLVIVMVGLPARGKSYVTKKLARYL NWLQHDTKIFNVGERRRVAAGKSPSPAKFAPVDKRKSSVHNDLVDSVRRLSVSVGNPI NNSSSQESPEKTSTDKSDADKTDTDPALPPPVVPAKILVNGKEQESQNGSAVVSQSDA PETEQEEVAIREGSPEPMDQTANFFDPQNQRALKLREQVALDTLDELLNYILEEGGSV GILDATNSTMERRKAIVDHIRARAGSELGILFLESSCVDEELLEANMRLKLSGPDYKD QDPTKSLEDFKRRVALYEKSYVPLGEYEERNGMAYIQMIDVGRKVVSHQTNGFLSSQV VYYLLNFNLSPRQIWITRHGESIDNQRGRIGGDSELSENGKRYGQALTRFIDHQRRQW EIYQKQKDLLRHMPPRPGDSTPPNPSYVPRDRPRNYCVWSSMMQRAVQTVDSFNEDEY DVKQMKMLDELHAGEMEGMTYDEIREKFPEEYAQRKKNKLFYRYPGPGGEGYLDVINR LRTVIVEVERMTDHVLLVTHRSVARVLLAYFRGLKRDQVADLHVPLGMLYMLEPKPYG VEFKAYRYNPETEWFDYQPDFEMSQESY ACHE_11290A MFERRAADRYHLRLHRARSVALTSDEIVEVRAAQRTFEGAYVRT ALSQFSFALVVLKIFSREFYSIGALFAVYGTGVLIIGLFRRQQGNKQFFSEVGEDGVH RHKFRTSGNAVVVLTVLSVAAYAVLIALTLKLSR ACHE_11291S MAKVFDAAEVEKHNTRKSCWVTLYGKVYDVTDFLNEHPGGAKVI LKLAGKDATEEYDPIHPPGILEENLKPEACLGTINPDTLPKPEAQPEPASTEEDKGPP PMESLLNLDEIEQVATKNVSKKAWAYYFSASDDKVTKSFNTAIYRSILLRPRVFIDCT RCDLDTSILGHKLGMPIFVSPAAMARLGHPAGEAGIAEACRSFGAMQVISNNASMTPE QIIKDAAPDQVFGWQIYVQIDRKKSEAMLARINKLDAIKFIVLTLDAPVPGKREDDER SSNASAPKPAGGSPEKQSEAGDAGGGVGKQLFAGTDPSLTWKETLPWLAKNTNKPIIL KGLQAHEDAYIASLHTPQVKGIILSNHGGRALDTAPPAVHTLMEIRKFCPEVFDKLEV WVDGGIRRGTDVVKALCLGAKAVGVGRPALWGLGAGGVDGVKRTFEILAEETKTCMRL LGVERVEELGPQHINTRLAEQQIYDGPSGLELVRGTFRAKL ACHE_11292A MAQDEDHQITAKIEKSLTSTPYACSSLTRLSGGTANFIYRGILQ RPLEDGTKTVIIKHAEEFLASSKDFKLTAERCVIEEMILNALTTLPPSTIKSSIVNTP YLYHFDHSTHTQILEDLPAAQDLKSFTIAHGHDLPESLARSIGRGLGEWLRAFHAWTE DDAQRSLKGKICADRLMAELKFSVNYDILVQKLQQFPGALEASRDVFEKVREMARGEI GKFQGEGFGLIHGDFWTGNALIQSTVLDCQQQRPKPTPTLFITDWELSQCGPRALDLG QMIGELYMLKHFKNLDAGLWIIQDFIEGYLPALNVDMAFRAAIHAGVHLVAWGSSVQG WGTEEQVENVVSVGRDFVMRGWERDMAWFKKSALGCLFPDEL ACHE_11293S MLVSLLYLSLWAALQAFALPASSNSSCSATQPAHTRPRVLILTD IANEPDDAESLVRLLVYSHQFRLQGFVATTSYWLNSSTHEDQIIDTLKVYGNVLPNLK AHADGWPEADFLINNTKAGLPVYGMDGVGEGKDNDGSELLIRTVDASDETLWVPCWGG TAVLAQALWKVNATRSADEIERFVRKLRVYAVSDQDNTGPWIRRHWPQLFYIASVHQF NIYALAAWSGISGEDYYYFEHTGANTSSVSKEWVKEHIQDVGELGAQYPEFDYIPEGD TPTFLYLIQNGLSDIEHPEWGSWGGRYGPVCYGEGHYADTADLITGPDGIRRSSSQAT IWRWRSAYQADFAARMQWSNTDQFKDANHAPVAVVNQHCGLAPVEITMRPNQTIRLNA GTSYDPDGDQLSFKWWQYVDPSDTEWPPSTDALSLKIRGSSSPNPTITLPSENTLWQA GGARGDHLERALHLILEVSDGALVSYRRILVKFNITRPA ACHE_11294S MAPAPIDPRIVDVAEPRKDTLALPPAARARLEKDGIDLSAGYPY RPSRPLFIDDVYNIRDYDRPHVDPATRADPEKKALLSAAKQVIHLTRHIGTEIVGLQL KDLTDQQRDELGLLIAERSVVFFRDQDISPQQQKELGEHFGEIEVHPQVPQVPGVAGV TVIWPALQATENPANFRRPGGSSRWHTDLVHERQPAGVTHLHNDTVPTTGGDTLWASG YSAYEKLSPAFRKIIDGRTAIYRSAHPYLDRKNPEAGPRYVEREHPLVRVHPATGWKA LWVNRAMTDRIVGLDKAESDLILGYLFDVYEKNVDIQVRFKWSPRTSALWDNRITIHN ASWDYENTEPRHGTRVTSLAEKPFFDSNAPTRREKLGLLGKDELEELAKN ACHE_11295A MNIHTKPTYQDLTASLHIACMLGDESRVKHLLSIGAHRNAENDS GTSALGLADFLHRVEIVKILLHDINIKEAGGYELLKAIRMDRATVVRALLEMGVKEEL VEDDGFFRSALVLACCVSDTRVLGALVKYGPGVEVSAIKDVLIQCAVAAENLEVVDGI HDLVRNERGTQTESSIGSTCDHFSNPSVSESEMEPCYYKDMQEWHPALAVRMSNILVQ SMN ACHE_11296S MMGTSATTPSPSPAPAMRSSPAASVAGAKRKRATPGKYYAVKKG YQPGVYFEWNDCLTQVTGYKGAVFQAFSTIEEANSFLTGTKAPSGGAASSDSVHTRFY GIQRGRVPGVYTDWAQAQEQIRGFQRPSYKKFPTRAEAEEFVKMGQQSGASFASDSIK TQKPSGAPGMMDEIPKDEHGVPYEAADGPLGLDAEDGFDPNVLLDPKTGKAVYKTPEQ KTATKVHPKGPPSMLRIYTDGSSLANGRAVASAGVGVYFGPGDSRNVSEPLKGNRQTN QRAELTAILRALDIAPRHRDVTIFTDSQYSIKCVTEWFQSWRRNNWITSSNKAVENKD LIESILDKIEERDKLKVKTFFEWIKGHSKDPGNEAADRLAVNGAQRGVADKQAAMETV QDIPDDAFDDEFE ACHE_11297S MPELSLINFNIVCATLGGFISIFGLVSYLFKERFYLSEALISLL AGVIFSPHAANFIRPRDYALGSDQNLEEITLYFTRLVLGVQLLLAGVQLPKRYLQVEW QSLSLLLGPGMAAMWMCSSLIVWAMIPNFKFLHALIVGACVTPTDPVLSNSIVKGKFA DKNVPPPLQRIIVAESGANDGLGYPFLFFGLYLLKYIGMGGEGYNGGAGKAMGLWFYE TWVYTVILSVAYGIVVGWISRKLLHWAEERHYVDRESFLVFAISLALFIMGTCGLIGT DDLLACFVAGNVFTQDDWFRLATLDDSLQPTIDMLLNLAIFMWFGAVCPWSLFANNNI IPIYRLIFLGILVLLVRRVPIIFAMHKSIQQIENKFQAAFVGFFGPIGVGAVFYLSVC REFLLKITVEGKVREDAQQVAEAVEVVVWFLVICSIVVHGLSIPLVKAGYHLPRTISS ALSSATTYDPEPIPIANIHHTHSTATPVMDGSRGRRRDNPRETPQSTVFQIGGSVIRP KSPTSQQQPGTGIFEEPERPVNLITHGVEHHATSITPVSNLRSE ACHE_11298S MDLTNPWVMEMLRVLGLVDEYRGLGSVGEVVDFDSFFITRALGE RKLLGSWRRPIVKEQQEYIQ ACHE_11299S MVMASLRRLSTQMNRHTVRSEYLISCDGGSSRVRKRAGIKMIGE QMPARFYLVHFRSQELAEKLTFGLGKLPPGTKIDPREVVYRILDGKFKIDEVPVHSKW TLNFSIVEQYHTDSLRVLLAGDAAHRIARHGGYGMNTGVMDALDLGCRLAALHKGYGK EFLL ACHE_11300S MMIRALERSLQHLLEHVKLGEMYAKNADILESDTPAGEEVRALI KQFIDDSEPDTIDLEIELDLRYYEYFPLVYHDGSDEHEWDVKRYIPRPGCRAPHVFLK DGVTSTYDLFGSGPE ACHE_11301A MNMNHHLPAVPHGQPPMPPRRQQDLPYATGPPNMRSPPAYMGYH LHMNGHPPPPYSPHQYPQWYPAYPQMQMPPRPYQPSYAAPMIVSSYPPTQPIMAPTHI PPPSFHLQPRTSTPLQPAMSPVPPPMDIQEPPPVIGAPINPSPAPPASSPPTAQGGIK GIPYFPPLSGPFRAPVPWLSVPEKPFPPRAPRKRRARARTLQSAAVELPAKINQVEQQ DAPKRVETTAQEVSEPQTPMSAGPSDVGSTQPTTPSSAPQGQFARPQHQSKLSKPAVP VVPVVPVVSPAAGTPRQPAKDDSTKSDATPAEPSQAQTDVAISEEPAKPSSPPRAAPK SWADLVRAKSAAKAAGAPPSTSTEANGLMAHKRRSLADVLTTLGEDVAQYSDKVAFLE PRGLVNTGNMCYMNSVLQTLASCVPFYRFLDHCGRQATHSIQSDFPMVDAMIMFLKEF RIIDAAQSEEQLRLRLKPNELEEFGEAFIPEFVYEVIRQLPRFRDMRRGHQQDAQEFL GFLLEEMHEECARAAQHTSAAKAEAHDTEASADGQADGWLEVGHKQKPAVTRSTGDIG QETPITRIFGGKIRSEFKVPGNKTSVTLEPYQSLQLDIGSSDVHNIVDALKGLTKSES IQGDFNSSRGPNVTATKQIFIESLPPVLILHLKRFQYDSVTQGTQKIWKKIGYPLDLE IPREVFPPHRRSVLMAHGSLPKYRLTGVIYHHGKNASGGHYTVDARRQDGRQWIRLDD TVIRRVRSDDVAEAGSEQDPKALAAALEQHKRDKDPNANIYDHIDQIDAEHIDERGWS QVNGTSGSGHSSKKSTSASPAPSTWTPRTELRDNKVAYLLFYEQIA ACHE_11302S MSNSGQASSSKPLNIPNQSTSATYHHPSSSVDAGYQRRPGNSGS FGAGLSSRNSNNPRNNQFRKNQHRRQRPRLLDDDEYSEAAVMKSTTSRKGQTSITHLM NFSLPPRPQYQPPPRTRRQYRTWGLGSGYHAVDKAHYVHANYRFIVAPNRTYSAQAAN ADVHLDWDSVLQVLVWSQTQSASCPICLSNPVAPRMARCGHIFCLPCLIRYMHAADEE NPAPEKKARWKKCPICYDSIYVSETRPVRWFSGQEGDLPIDGGDVVLRLMKRETSNTL ALPRDGAEGLGPEEDIPWFHAAEVIDYARMMKGGEDYMASQYDAEIGDLRRQEVEDEL LFGDETTWTQKAISAITDSREKLRGIGNPPDISRQPAAKKPVREPIAVGPPPDEVAVM YAAQHATKSGQSFSADPIASSSTRNTSDGVENLTESVDNINLNSASVKSKQKMPEKYA TQSSSDHPFYFYQALPQFYLSPLDIRILKAAFGDYSLFPATILPRVEHISTGHVVDDE LRKRVKYLGHLPHGCEVNFLECDWRDVVVPEVLESFRPEIERRRKRNKDKEIREEKDR IRAEREEDEKRWSAVRRKRPSFGTINEPPFLDRDFQPLASSVNDSPLFDMGTSSASPP QASSGFGALASPATSPPGARTVWGTAAIPSSEPQDSQAGASQDGWLQGWEDELLAQQE SELIAQTAAAAEGNNASSSGGGKKKKNKKITLMSTNVRRGA ACHE_11303S MTITRSQTGKTPRKIDRPGYIETPGRRVTRSGVVLSSENSADDV SDSTAEATARSKSSTRRRTRATPKDETESPEPEVKEEEPKTPAKANVHFNGSTEKKHI VDGWAEGMDPKVDYSGHFEFGGSWGVLAMMLGFPVLMWYMWIGATYYEGKFPRPAEGQ SMSDFLLHMVNLVYEGAFPTLRAWKIYWTFFIFEGVCYMLMPGIKVMGRPLPHAGGKQ LEYYCSALYSWYFNIVLSLVLHFTGIFKLYTVIDEFGPLLTVAILSGFIVSFIAYFSA LFRGAQHRMSGYPIYDFFMGAELNPRMFGVLDFKMFFEVRLPWYILFFISMGAAARQY ENYGYVSGEVGFILLAHFLYANACSKGEECIVSTWDMYYEKWGFMLIFWNLAGVPLSY CHCTIYLANHDPSTYHWNRYFLAFLYVAYLFVYWVWDTTNSQKNRFRQMERGTMVFRN TFPQLPWQTLENPKTLTAADGSKILVDGWYGKARKIHYTCDLYFALNWGLITGFDSPF PWFYPLFFACMISHRALRDIQRCRNKYGETWAEYERQVPYLFIPYVF ACHE_11304S MYTGIYVFHPTIPGYPKARFPGVVVFSEIYQVTGPVARFARQIA GQGYICAAPSSYHEFTGPEPLQYNAEDTDSGNKWKITKKLAAYDEDASLSVDYLLSLP TCNGRVGATGMCLGGHLAYRCALDKRVKASVCYFATDIHSHTLAEGKKDDSLERAGDI EGELLMIFGKNDTHVPPEGRDLIRKTLHEKGVLFGFYEVAWAQHAFIRDELSKGRYDP AISKVCFEMLLELFGRTLKIELGEHDGKKLEVEDVC ACHE_11305S MGSTQFGNFNDFCRDSTLPVCNLFTNQTSQPINAAFDGCALTGI PLSGGRHLANLGSIILAFVSILVSLFLLWRSERKKAAVGRREMQLFLVAFIVVEICEI FTVGGFPLDDAVRKGFTAVHIASITTACWILMLNAAVGYQVLDDGTPVSIFLMIASSL IFFIGTGYIALDTAFSWTGQWDHSWEDPNRNIALYVLYQLWPLICIVVFYVLEAILVI RVLGEIRPMFYLTSAGLLFAIGQIFNYVISVHLCSATDGKINGALFETFFTLLSVVMI WTFWSSITEDDWPLPVTPGNGYN ACHE_11306A MFCLRSWLPLLFIPTNASPLFLISYVALTYILHRPCIYCTALLI ILFASSCNWSDHCFFDLRRDWFSPPFVSSSADTVQNTTTATASAGNVSSLSPEQTVSP LHDGGLAGYVFEAVNSTTKALAGAAVEGVQQRFALNETTTGATAADEQEWTGVGLEWL RSLLGRREWTIPCVDVKVRL ACHE_11307S MSTPNDLQALLASIRPRPSPNSSADQDAPTASYPPQYPFHLQQQ QQQPPYDGQAFPYHMRQQAAGGYRHPSVTSIHSPSPLSNTPPHRGSDVLSPNVPTPQE HPQPQHPDRAVNLLSLLKSNSGVPAAPAAASVQQTQSPSPALLSPQGQETGFGDGAAK PSHAREISASDLVASLFGGGRQGPAGVAAPAAAQPVMQQPGSSEGAAESSSSAAPNPE KEMLLRLLNRSKPDVNEGPARSTSQSPASVSPAKSPNPEQPLMSGARPVEEVLSGKPD TVPFPQQAPKVATPKDSLFTYVNPFEQLAAASPRNRTPHPKSRSASPAVEFLKNRKPN FAARVEPPVDLPNPVETPQSDERAESPILAEEQKEAISQVVGKMVDEIGREIDGFKSV EEKKTSAPPVTVAQQESTQEVLSSIATNPRETAAEAKQEAVDETAKEPVKEIVTTTTT TATPAAKGTTENKEVNDTLPDNWESSAEDGVVKEEERVVQVHNFPLKPFISIVVKAYT GKLATLRDDGIMDIARLKKEFDQLDRSLTSASSDYIVYALAKNGGMRIIRQDDGSDKQ VFRSTRDRVFNVALSASQSVGGTSEEQAILGIGVSGTVYWALISRPEKDLFELDALES ESLVFPPFPASDENTSGGQLKTRAKRSSRHPGFFAIGRGKNIYVISPHAAMDPTYGIT GSQRTVNTEKFFKERALKISTGKAGKDFMFSDDDTVIASLDKTGRLRFWDIRDVINNP DFFTGGPTPAEVRVPLSTFVTGSPTEKSWPTSVLFVDKLRPYVKSIALRYALVGLKQN HTLQLWDIGVGRAVQELKFPHENESDAICSVAYHPSSGIIVVGHPTRNSIYFIHLSAP RYALQPMSQASYIKRASERDSSLPKPDSTACMSGIREISFASKGQLRSLDLLPMNKNA ADDSALFELYVMHSRGVTCLNIKKEDLGWGPDNKIVHPVNALAEGFIETHPLETFPIS VTDEPSVNGDSTSTPTKVAPKEIVKKTPEAAAEGPSRVQSPTKPALKKKTPEEPVEPA VSTTTNGAEKPEKKKKKKTAVTTAATGEGTARVKDAATVPFTEGAPTETRTVPSGQEP PPSYSATTLPSLGASGVSGSTDFWNKNMEMLQSGVSSVFNQSLGREIEGLYSRFDEER KKWDAASAAKQDQVLRLVSSTLSDNVEKNLARIVSNSIQTEVVPALTDVTSAAVGKQL NEIVAQQLGGVVPRVVRQALPEAVSRAVQQPDVMKVLSDTVTQKLGSQVENEVSKALN NTISPAVKNITLRAAEKIGTDMEKQVQAQMKQYEIQRHNDSAKIDQLTSLVRGLSDTV AAMAATQTGFQNEVLRLNHVFNTRPNGESSGPHSARPSLTNIPAIPTPVAPAVPVETS EDMELAEVAQLMSQGRFEEGSVKWLQSSQQADLFDNLFVRLNPSYLTGLSPIVALSVG VAVTSSLETNVMERLSWLDVVLHTVDLRDADIREVAPKIMDILTQRLESLYMNVAQTN PHDPVIRRIAPLSRRARELRVF ACHE_11308A MPKTIAVLNATGNQGSGLIRALIAPNSPHRNDYKVRALTRNTTS PAAQELQSTYGPSQLELVQADVYDVESLRKAFEDADGVFAATNNRIPGKKIETEDEMR HELVAGWNIVDAARDCDVSHTVLSSLPNINKASNGRFSKVFHFDHKYMIEDYAKKQLK AITALWPALYYSNVAWPQYCRTLEDGTVRFCAPTSGDVQADWVDAAYDIGVYAAAIFN KGPTTTANKTYPVVSPKIHFSDLARIFESKTGKKAIFDPISLDEWGSTVAAASGKGYE EDIRQMMEWVRVAPEEKVCYGTMESGEDRSWKELGVRASTFEEWLDRSGWRGPE ACHE_11309A MDAQALIGGVQPLAGQVLPVKTVQETQAPEEFADAFAAEVNVKC ASKVIKALDSKFNRDTSLSHLRRFAKRNQLPEALQSTISQYHNHNGHSSHTIFVLISP PIPDLDTLHTLLAPFAPGPPAPEEDPPTESCSSDTSKIPFYPVRIPLQPPLNARQAEK WTKAMWPVIFNPAAPRANVAPPPQILNRALDSIKPRAGYYMALAQKLADEAEQSGLGR NVGAVIVDPAIEETIEGGEWDQAVVAVAGDARYSRLDKTELQLGQEPNPASQTYNADL EGGPELHALMRAVDIVARRRREDNTPSATDNGYLSPLESHFFYQFDAPLVSDTSDISP VPEKLQKTNTTTAHCTKTAEPAVSTSRIRPRTLGGYLCTDLDVYLSREPCLCCSMGVL LSRFRAVIFPRRGRMVTGGIASEPVVSPVCDDDDADDEENDTEPIENTGDKSRDTRNN RKYYGLHWRKELNWRALGFEFVEDAVSFEMGIEGEAGVAFHA ACHE_11310A MLASSPSPSAALRPASSSSPAVAHSSHSHSHSQSHSLSFPAPSS SQQQQAVPSTPPAAAHLGYYDPVRSSVHTNNQQFNSPRSSRVAIEELRSALTRHTVDS PPHGGPSGDLPRGRMSAGLTSLGGGFHPTGSHSGASNSNNTNNNQNHNINNSSDNNKS NSSSGAGAGGNPLSNPSGRPSDITTNTGNTTTASSNINPPSSASSAAPPIPAPSAPIP TSVPPSMPARTNSGSNKRNSRDDHMTDAGLAGPEGSDLEVSQSKRLRSSKPAGKVLPR DYEQADPRDIVILISSMLMELIRFNDKIPLHQGRLTRFHSRSPPRISVLDYLQRLTTH ATLSPPILLSMVYYIDRLCALYPAFTVSSLTIHRFLIASATVASKGLSDSFWTNKTYA RVGGISLTELALLELEFLFRVEWRIVPQPEVLVDYYQSLVERCDGYEIQRG ACHE_11311S MTRNSMPPIIHQLQNAESVSSQVSILRNLKNETIGHDQRKETWV RWGIIPILAQVLALRQPTGKNVATSELNGVSDWSSSKPKSEQDEACLQAIIVLGSLAQ GGPAFLSPILASGILSNLLSILSTPDCPTFLCLPILRTLNNIADRLPLRSQHDRPVDT RLAELLFQKEYVECLARILGQDYSTHQAQASIELTASLIGKLCTEETHKALLADCGVL DALSVKVASFVVAQGFVLPGAEQHVGAPGALGALPTPAPLSAKLAPILRAVAIIIEHS KWRAEHFLSSPGILTVFPKQLPDFAPGDVKKGPWGSTYLSGSAVPRHPGASPIEHLLP SVPIPQLKNSPSALNFPPLGQGSRRHNQPFPTPFSLFETPAAEDDENTIIPWLLYVLR SESGMVRLMAARLVTVLFRLGLAKKHRVSMFGFLLIPILIRMLDKESDIWGGEDNGSE GLIPITKRLREEAPAVLALLVMDDQELQRHAVEGGVIKRLSQLLKESYNPLQETTRPM WHAEEEESEQGSKRSQTPECQLGPPGLSPMHCHVMRYRENILKALAALIPFKDEYRKA VCENGVVPYIIDSLKPSSSEAPTEVSNPKNSAADGNPTPTLLAACGAVRMLTRSVSAL RTSLIDAGVASPLFVLIRHPDIEVRIAATSVICNLVLDFSPMKEAIISAETLPLLCEH AHSSNTKLRIESLWALKHVSYNATNDIKTRIVDALSPDWIKQIITQDPTRAAVKRGLN ADMESITPVGMGRANSAGEQVDLLNPVDDSQDDDEDMNMSDPIPQSKISLGSFLPDAS RRRKLALSGDLDQTTQARQDDIAVQEQTLDLVRNLICGHESSEVIDYLFKHIGEDVIL DALADKLRPRSIPLPPRQQSPTGRTKLHVPTEIVASTTYVLIHLAASLPRHRDLLMKH RDLLPNMMNYFNHDHRDVRANCVWVIINLTYPDDNTDRDASSGRAFRLRALGVPDRLS SLLDDPDLDVRERAKTADHLLRSDNDRSGRT ACHE_11312S MAPVPATVLRALSLPDPSKASLSTSGLGSGFTSTGAIRATVPSQ DGSGEEERRYFVKTSSDGKAAEEMFRGEYESLNAIATSVPGFCPRALAWGPLEEQKGK GFFLATEFLDLGGGGRRTGASLAQRLGRLHSTPAPVDPESGKRRFGFPVPTFCGDTKQ PNRYCDSWADFYANERLMTILEESEKRNGKDAGLRDLVERTARTVVPRLLGDGHLGFD KDGNGEGITPVVIHGDLWSGNAASGSIVGSGRKDDEEGGEVVYDPSAVYGHSEFELGI MNMFGGFGSTFFNAYHKIVPKTEPVEEYDDRVRLYELYHHLNHHAIFGAGYRSGATSI MQRLIKKYGE ACHE_11313S MPPPDADLHPEASGTAKALVEKHSAEQPLKLYAGWFCPFVQRAW LALEEKQIPYQYIEVNPYHKPQSLLSLNPRGLVPTLSVPHNGASKPLYESPVILEYLE EAYPEHNPRLLPEGPYERARVRIWVDYVTSRIIPAFHRFLQFQEGQSTSSIDTLRSEF LNHIKEWTKEAHPDGPFFLGKDISVPDLVLAPWAIRLWIFDEFKDGGLGLPAEGQGGE DEGVWSRWRKWLAAVEARPSIQQTTSEKEFYIPIYKRYADNTAESELAKATRAGRGVP ACHE_11314A MYYSYGSPVQAQAWRSYSTFIGITAIICTYQYDHGSFLWQLYHT IDAASFLLDPTSCALKVKKKLEDGSEVTVKKPILAFKGHEKTTATFPGAAGGPLPKKW SFEKAYLRI ACHE_11315A MTAYYSYHQPFGALAWQFITFIFGTTAMVARYQYDYRGQALWFL FLTSSNLTLLLDPALCALRATMKLPDGSEVRVNKPLIAFKICEKQTTVFPGALGEGLP KEWHFDKAYLRI ACHE_11316A MAMYIFFGLTATIAWFQYDYNGEVLWFLFQLCQNLKIFFDPDVC SLGKKKKLPNGSEVKVNKPLIGFRACEKQTTRFGAAPGGTFT ACHE_11317S MDTSEPIPFYDIASGHPATCFALNPWKTRRPQKTRRTPEPHPQI GQLFYPLPVIHNLSTGDIVGDSLEIAQYLDKTYPDGPSLFPHGSMVSYNAKGLNALVD TLLTQHVVLFIHGMPSNPATAKASKATFCERAGVDDWEKLTVRGEERVKVLNSFKQAL GSLAGLYKKNMEGPFLEGATPWYADLIVGAWLAFAKATSKEWEDIQTWHDGIFGKLHQ ALENHAEVN ACHE_11318S MHESRARRLSLESANDPKKQIEPAVPDPPPIHPSFIQVAKPYIF EQTIQDCIAAMGVNPLREEALRLQGVTWIDNVRRVLHLPIRTFNTAVVYYHKFRLSHP DNEYNYMDAAAAALFTACKIEDTLKKSREIVCGAYNLKRSPAEQMSPDEQIFEVHSRG IIGLERLMLEASGFDFRTRHPQKPLIKLARHYGLTQQSEISRVAYRISQDLYRTFAPI KQTTSTMAFACLELAGRLLDQRSEVVESGVDYEQWKTSREEVMETLFDLLELYTHQRG ATTVGPHFPSDRFLTVRIPLNQEAEAQKLPRYTHWVDRKDTTTRTINGFKLSNKDAMP EKGGIHPLTPVAANDDRPNPGERGRDGAVRFMIDPECADAEKSKVAQYFKVEMEEYEV EDER ACHE_11319A MSKSRRNVRFQHRNSNERRMSVSSDVSDAPSEAGNPSQNSNSVA VAGNSEGNGASRPSTIPEEKPDKPQLSDYEKKKQTFITRTIWTFVMIAGFFIAMFSGH IYIIGLATAVQIVSFKEVIAIANVPSKEKNLRFTKSLNWYFLATTMYFLYGESVIYYF KHVLLVDKVLLPLATHHRFISFMLYVMGFVFFVASLQKGHYRFQFTQWAWTHMALYLI VVQAHFVMNNVLEGMIWFFLPASLVITNDIFAYVCGITFGRTQLIQLSPKKTVEGFVG AWFCTIGFGYFMTNILMRYKYFICPVNDLGANVLTGLECTPNPTFVPQPYTIPDWTGV GTTFYIQPIQFHILVFASFASLIAPFGGFFASGLKRTFKIKDFGESIPGHGGITDRMD CQFIMGFFAYMYYHSFIAVYKASVGDIIETAINGLTVEEQLEVVRGLGKYLYNQGTVS ETILDCLNTELMRR ACHE_11320S MDDHDEDFFSDDGFDDLPPATLLQLEQNAIRSTQAKQQKPHSAP TRPPEPPRNFASRDLGQSGPTNTRLQSGLTNEYGNLDVGELDAEVFDDDLGSTSPLDQ AMAFAEQDALQQQQGHIPVVNRDSRAYINPTDDYMDKDLVGDEPGINDAYNSLMEKLA WESERNKQAMEELAAAKSLAETKAGEIAIIRSNQAKLAQNYDRQMAALRQSMEEEALK HKQDLEALRAEGKILATENAFLRQDLADEVMRTKDLKAKTKAVEKAPPVTPKKPKTLP FRDGFDDDEIIAISPSKSAARSKQTTPVVPGKRKRQLSEDSPTKLQLSGRVRELAAEE TSRTLSDDAMLLDTDVNEEQNQELPPPPREDANLRFMKRILDHRTWPNEERDLEILAS LTFPSEPERKLSSIVLEETARLDLGNYVEGYARIIAGLWSRSLREKFYTPIPMFMVII NFLLGLDMCSSVSGMIEPVVPVLQDSGDVNGVPRFKHSPVWRQNLGQIRQTPQSQLEH DVNSTEALSLLYRMVSGCLHLSGALENFWRHIRYDFILMMLNCSQPIQDIILTLNLLI TSIRDNSFGPIRETEADQIANENYIVDRVANLLSEMPQVDEGQEAYTKMEICNMRQEA LSLLMAIAFPPMAPRNEHGSSVIANHPTVLARLIRAMHDELDALYSYPPERDMHASLV NALMRLVYGVMQRHEGVDLQSKLGKVAGGKQKFIVVLTRLAFSEGLVLEEGIEDETVE MAHEMLDDAVNPQEAEALLEAFPGAIRTE ACHE_11321S MRNRATTPLSRAKIRQSWSKYNLYNLQRFRNPSTSNRTFFQQKW TAKSAARAYHGEQVPETQWERMFSRRIRSVVPMDATRLAQDDGSIASSGRGSGIMSPW EMERAKKEKLTPFTQMTFAPLERRLDTAIFRALFASSTRQARQFVVHGAVTVNGKEMR YPGYLLNPGDMFQVDPERVMYATGAPKSKAERREGRIARQKAETPEEGEEGAAEEQKE GKEEQKPEAEEAQPEDTRTTLKGLMAQAKTIMSKEKSDLPAKKKQELRGFQKAIKRVL SRSESSSILNDNLESQFSELMTLLKAKRVEKKADSKGKNTESQPAAESSEPVAETEAP DSRPGQALTEAFQKATENPAEEIDTSELTEDEIDTLRRALIQMRDNPIDSTKPYATPW RPRDYMSAFAFIPRYLEVNQNICAAVYLRHPVARPGFSEVPSPFSESINTAAFAWYLR RR ACHE_11322A MAETPTIRKILANVIQASRIVIRCLASGRDSQEPSQRAFLLLVD ILDLLYCIKDQTSGLWTTGDKWLAWKQETRVLDLYKTLKWFDSTMKAIELYFQPGGVG VCHFRKHLLERTFLPRLEQYRIAFLLWMQPESSERSSLAREIRDCLKRFQDVEPANHQ FNLEFEEDVHGITSRLTSGHFITLADLCNRRHQGSCSWIFEDTQYKEWLLGSFRTLYC VGAPGAGKTFLSSVIIDSLQKTFASSDIATIFIYCHDKKNKELSSLDLLRNILAQLVY RKRSLSYATSSLYYSESLQPGRASPKTYQNAIRAEVNRYSKVFFVVDGLDMLPDKDRI LSRLQKLPGHAQLLFTLREAPLIDIDSSFVHVRASDEDLQSYILSNIESDANLASLLM EGMPSKYGLQYEVVHSVVQKSHGVFLLAKIHLDLLSRYTDQSLLLRALHHLPESLSEA YGEAMKQILSSNPRAARYIYWTLHALRPLTVSELKCATKTSDSEESKEHVSFEHAIQI QSAGLLTVDAQTGTVRFVHKTAREYLEGAAARVFFPSAQKEIAEACLMAITPDEVVDD CYISDKATPRSASKGFLRYAATYWGYHAREVPEDEQTIQVLVRTFLNKLQWRRPLPKD STDAKEMPTEMGLGKYPPDWSAVHILSFFGILGKTKRLLEQGANADMNDNFLKLTPLH CAASRGNEEMVKFLLDSGVDGNAVSRDGSTALHMATQNGQRKCMKLLLSQQVNPQIAN HQGAKCLQLAVGTAADEATVPLLVKNRAGINSRNLRTGDTALHLAVKWKRPRIILFLL EKGAAMNMANEDGLTPLQLAAKLDNCEAISLLLQRGAQLETRSLSGLTALQIAAQKKH WIALDLLVIGGANINAWTQNGETLLHEEAKESSNPSIAAKLVNQGANIEARSSKGYTA LQYAAISGNKTMFMFLLAQGANVDVLTPKGETLLHITPPLNNDYLSILQALVDRGLSP NAVSSQGWTALHQTVHAGTGALDLEYDNTKEYIELLLHHGADINAYTISPIAETPLHL ATRANIPRPPLLSLLISLGADINAMTNEGKTPLHLAGERAREPIFRILLGAGADLFLE IPDTSVNPDAHVPAYGSGSGCVSAGSTAFDLARKNPFSVLWIDEDGMMRPVPERKRRD SAGTVFEVENGASSDGSEDEMAESTLVGSEKSFVLG ACHE_11323A MAATAATQSALLQERHPVLATARTTRPRPLSEATEIFDTDTESD FGSYEDDFFSNDSSQRSVGSLDESVTTASSPDDAKTPESTSLAGFHFHIDESPVAGPV GPHLFHSSEGFSKTEPMSPISPSCDYAPNSYSEQREFPVSNHTSQPASWLGGTDVESW SPHHVVIWMHQLGFDEEIIEKFFINDISGSILMQLQPEDLKELDIQSFGKRHRLLGCI RQLRNSASASEASDSDVPAEMTVREATATPQTNVAEVGVNYNESSVSGQEKSDSRSKK EHRHRRHKRRHDVVPEDSVSIVAIEQLLPKRHTCSKGENCAKWQKQQAKLARLAKDLP IESLGGSILLRGDPGNAANAQTLVKTPRSDVTPSLVASSDALGPSQGPAPHLSENALS NVQPRDPQENVRNFLNFQRLSRLQPANNPPSPPKEFLSPEADSPDSATTNLSENLRHL PKLRIPNTRSSDMVSPNYSAQRTITPSMAQKKHLFAQQTPQPSPAPWSSIYSPGDFYR ADPHYGQATPFSEMDVPITPARWGPVARDASQSVPPDMRFGGEDEQMADPIPRPASTK VGNHRRNPTFQRNQIFPTLDRLDEGEMLRPIETPEDLERTPRAAHCRNNPFSPTSGNA NDATHSGWMKKRKNTRLLRHYWEDHHFTLKGTQLAMHEDEKAAQLDSKALECIDVDDY AVACSSLPSNSKLTAAFKKTLLKRKDDNLGDAAFAFSLIPSPNGTSGQERKVFFMNGP KSHHFAVKTRDERIDWMRELMLAKALRRGRESGASIKVNGGDVL ACHE_11324S MEQRQMQKDRIKLRIRHTKDTEKNGNFQEQMSRARALIFASPEI VSGFNRPVNDQYVSQSSTSALVQGQYPCNMSRTVMNSGAPPCRASSRLFGVSHATARA QDNFHIDPSSYLEPKAPGGNYQSLSSVLQTPVPMIGHDQLLLDHFIDNILRLSFPVLE AHSRGPAQLCAILDSLKTNKSYFHCSLSVSAIHLKTTMNISSQRINHDIMRHRYGAVS QLCKTLSNESEHTQILDATLAMIFFHCFVGVPDDEELPDIPWHDHFQAAANLVSKLEE SLDPNAALPFSMSLTAWIDILGATMLGTAPKFAPTYREKHLSGTTSGLREMMGCDDRI MYLISEIACLEALNSEGRISETRLYQHVSALTGQLDFTEPADPSLKTPYTSSGAIWPE QLTKNMSAVFRAAARIYLYTLLPGIDRSHPTITTLVAKITDIFPYIPSGPYGFDRSLV WPLLITGAHSEPTSTFRRILSERASSLGDVGDFGSFGRMYRLLVEVWRLTDEPPTPPA IIERPRTYIDPYTGLPTPGLKRDEMMRSPSPVQYTLGLRSIKKQQVQWRDVMNRNGWK FLLI ACHE_11325S MAPTKPHLRPIMTPKNMSFPSELYYNSPRTAASDTIKEEEPSRP SLSPPSSYTEFLKTLTPVFSPASANGPSFPRSTSSSSLASSNNGPHPSPISISSSPAS ATFPVAQKASSKKKRNSTFLQPPSPVSAPLSAKTSSSAGCMLPPPPYVAYSPAVSPPR SATVVRSPHPSPEWRIRYVGSPRSGTVPPTPRSVSVQHIVTTTVTFKRPPPLGPPPKG KKRRVRKEGQ ACHE_11326A MWKHRPSSRSDSTGPFDLHHQPSSSSPRNWFGRSKKKSPLYPPR PDSPARSTLRSFSSFSRPPIDRPDSSLSVRSPYSGNMAGVMEVDRNRNRRERTFVGSE CAVCEEPLEHTLRGERVLQFSCAHVSHEACFYEYIREFEGQYCPTCDAPLGLDTSRGG NVLDIEKLSNIVRSVTSDAATARSGLTTPTPWDQPVSRGRQPSDAGSHATHTTHATHA TNSYNPSNSYNPRESRDPFNRRESRDTANHRERIERMASVTRTQHTRNDSIVESTADY ADVSQHPANGRRHDYDVQAMESDLSPRAAPTKNPIPAPTVSIRSEFPTLSRSRQQQSL ACLITVEVPEGNWSPDAEDLRHSTGGAAVPQDEPFGGVMRFPTVRESRSSQFEAQENL DDVAEDLRMRVDNWHGLEFSRFGKLRHHGRVAVGKDRESWQELQCYLFAEMLICIKEK RVHDRHHQYDDQVSKPKTTRCTLKGSILIKKHLKSIDGPSDDHVLTLNLTVSELPCFF LRFQNRSQLETWRRALLDLHNPVDISPRPIDYDLDNSGAEEDDWRQSQIRRQASVNSS YGAGRSVATAITDYSNTGMENSLTASSIHIPLDIVVVIPVSSSMQGLKITLLRDALKF LVQNLGPRDRMGLVTFGSSGGGVPLVGMTTKTWAGWNKILSSIRPVGQKSLRADVVEG ANVAMDLLMQRKSSNPISTILLISDSSTSDPESVDFVVSRAEAAKVSLHSFGLGLTHK PDTMIELSTRTKGSYLYVKDWMMLRECVAGCLGALQTTSHQNVKLKLRLPEGSPAKFV KISGALHTTKRATGRDAEAALGDLRFGDKRDVLVQLVIQPDNATQESMPQDPWDSLVS GLEALGGGPDGDEQRVLSVEEVPLIQADLTYGDLLRDGHLTHSPRPSLLTITMLPPNP KARGSVARPITPPIPPHPSIVQRRMELLTSDMLTRSLTLVSRGQADRAQHLLNETRSI LKGLGKGSLPPLPPGAPKPSGHSDSGSRGETPTSSSPRSSSYGDGPHSSAASDAATAT PVAASVDPLTMGALDGDLQAALEWIPHPAVFSRDSRKAVLQSIGVISSQRAYTFRSPS EAHWAQRVSGVRRLTEKSKDWRETGDDALTEE ACHE_11327S MLFRTAWARQALPLRRQAFTPLARRSVTTDAASAHAENIPQEDD KPFTVRLSDESFETYELDPPPYTLEVTKKDLKQMYYDMVTVRRMEMAADRLYKEKKIR GFCHLSTGQEAVAVGIEHAINADDKLITAYRCHGFAYMRGGTVRSIIGELLGRREGIA YGKGGSMHMFAPNFYGGNGIVGAQVPVGAGLAFAQQYNEQPNTSIILYGDGAANQGQV FEAFNMAKLWNLPALFGCENNKYGMGTSAARSSALTEYYKRGQYIPGIKVNAMDVLAT KAAVKYAKDYTVAGNGPLVFEYVTYRYGGHSMSDPGTTYRSREEIQRMRSTYDPIAGL KQKILDWSVMTEDDLKNLDKEARAHVDEEVAAAEAMPVPDNTPRILYEDIYVRGSEPR WMRGRTVDETFYY ACHE_11328A MSMYPWHGIPNHSAPQPQVQPQQPHQSPHAQHSPSAAQSHSHSH STSTSSPSTPYQMPHLRWMPSMMSRQQPQQPQPQQHQNTTSGSASATPSISSNLRMGQ NSNHQSVSVVVETRPMSEPQCGRGPGEDGMEGSEESEQDAGRDMVVLDAPGDADYVSP EGNAGGGRRRRCGGGSGGGLAVANDDRDNPIDEVDMNTASQLMAAGNNAASNERKHGK RLTTSEEVSLFEICNRHAPDFGQRSNLCKWWITVTAEFTHDQGHPYSWHSVRRKVEMV TKQRMRFLEEQREKGAAASEDLSNPRWRAAVDAWIPTWQRWEEAEAKRIEKRDSRKTR KRKSRGWEYPWDTVVAPEEDDGWRAPSVEHTTTGTPGYNQTPVQVQAPVPVSSTPVPA LPQANVPVPVRLPPGFDSMFSNPNPSPQSPPTAPLAQKTPYQSISPAPAVAATATSTE PNMMTALLETLGKLNKHLDANPDPRSALAPGQQNHHLNDNEHNNTDNIMSTPLSLLKE ELKREIREELRREIERDHASLEEKLDSVQRTQEMILEMLRQEPA ACHE_11329A MFHSYEAEEPEGGWKTFSQFFYRKLNPVLVPLLAQTMTASSYLP PIFTFAGAYLINEKSEVTLKVSRGR ACHE_11330A MSDLEKYGVTSAKGFLDFANWLVEDWIPTETTKGRHLLHHLHLL LRPRAGAFGFPPDPIHPDSVGKPLTPLSEWVVQFAQDVGAHLNKPSLI ACHE_11331A MSQTLSLASIQKTVWDGKLPLEIVLAPSESRSYDQTDPYLIACS RLTYLPSLLPRLRAFFSPSLIDPNSHAHDGWFSLEGVPLKWHYPIGLLYDLYAEADPA SSKGGKPDEADENTSIPLPWRLTVHFNDWPHEELVQLDAEGVVMNDAFINSVKEADFL RNGTAKGIMTLSKEDSSGLWQAVQDVDLPSFQRISNILLPSQPFRNVPIRFFLPLPPD SGAPSLKLVQSPISPSISTSQPQTIGTTLHTLLPHLFPSRRTPVLAKPVLHGAVVPMS APIEEIVRSSAYGDGWAYIVIRMMG ACHE_11332S MVNTVLDKIIYCGLISQLLIGMAWGVLGGDLLELSVQKSIQQLG YLGLLLLVYEGGLSTSFSQLKSRFVFSALVAITGVGVPMGLSFVLMTLLAATPLQAFA AGAALCLTSIGTTFTVLSTTGLPRRAWVSYWAAPP ACHE_11333S MVQIISNLGGASTASFDPVIVIKPILVALWFPVRLVFICRFVVA KAVRLIQAKKLYVHFPEAVMSINFGFIAHMCLLVGIVAGATYAGTSGLFAAYLAGASI SWHDELLMTISTDSSTEGIELQDRSTQDRGSSSQSSTTSRQTEAVVMKKYPTGEEVFE RFCKEPLKRVLSLLFFASIGFSIPITKCSKEKSSGAA ACHE_11334S MSAEKKNNTRMTNGVGNQPNGNANHEADRSNAGVVGQNRLSAAD EPYFAHTRMHDRSEHVSRLSSQINRTDEILKT ACHE_11335A MPWYSQTADFLSDSFANNRAQIPLLAATGAASFTIGFLLRSIGS DSHAEGKILPSPRTTVLPGLSDGEIRALPLPADVLPGARDVSTPYGSIRVYEWGPENG PKVLFVHGITTPCIALGGVAHALADRGCRVMLFDLFGRGYSDCPSDLPQDDRLFSTQI SLALNSSPISWTGTGSGKFCLVGYSLGGGIAASFASFFPQLLSSLVLLAPSGLIRDSQ ISFQSRLLYSRGLVPEKVLGFLVGRRLRAGPLVTPKPKSNEKIDAGAALTEELPSTGA AGTQILSRAYPHVAVPTAVYWQVNNHAGFVHAFMSSMRFGPILRQRQWDTWARLGQFL TAQRSRSPQEQSEHGLTGDKVQILCGIEDSIIVKEELAPDATDALEGNVEFKYFNAGH EFPSTQYDELAQHIWDLLN ACHE_11336S MYNRALLFSALLAVASAQQAGTQKEENHPSLNWKKCTADGCTEQ KGSVVLDANWRWVHSTDGYDNCYTGNSWDERLCPDDETCAQNCAVDGADYEGTYGISS DGDALTLTFVQDSNVGSRLYLMEDDSTYQMFNLTNSEFTFDVDVSQLPCGLNGALYFS AMDADGGLAKYDGNKAGAKYGTGYCDSQCPRDLKFINGQGNVEGWEAQENDKNAGTGN HGSCCPELDIWEANKISTAVTPHPCSNLEQTMCNGDNCGGTYSSQRYGGNCDPDGCDF NPFRMGNESYFGPNKIVDTTKKMTVVTQFITDDGTDSGSLSEIKRFYVQDGKVIANAA SNVDGVTGNSITSDFCTAQKKAFGDDNVFAQQGGLSKMGDAMANGMVLVLSLWDDHYA NALWLDSSYPTNATESDPGVKRGTCATDSGAPSDVEKSAADATVTFSGIKFGVINSTF SASS ACHE_11337S MFDTVCTLPLSADLFAQALHPKEPVVSVGLSTGHVQTFRLPSEE VDSDDNNVASNSSARNGKGHIDTMWRTRRHKGSCRTLGFGIDGETLYSAGTDGLVKAA KAETGVVENKIAIPTEKDGSIDAPTVVHALSPQTLLLATDSSALHLYDLRKPYSTVSP RPEQSHHPHDDYISSLTPLPPSDTSTSGFSKQWVTTGGTTLAVTDLRRGVMMRSEDQE EELISSTYIGGLPASRSSRGEKVIIGGSSGVLTLWEKGAWDDQDERIYVERGGAEGGE TLETISVVPDDLGKGKMIAVGVGTGMIKFVRIGANKVVSSIMHDETEGVVGLGFDAEG RMVSGGGQVVKVWHEALDEDSGDVELGSKRMMDSDSEGSDDDDSGDDSDRESRSGDKS RRKRKKAKGKDRTGGQHIMAFHDLD ACHE_11338S MDAFEYNANPGRVIFGSGTIQKLPDEIARLSLKLPLVLSTPQQT SQANTVKNVLKDQIAGIFSDATMHTPTHVTDTAVKFAQEKGADSVISIGGGSTIGLGK AISFRTGLPHICIPTTYAGSEMTPILGETADGVKKTKSDPKILPGTVIYDVDLTMTLP TGMSATSGVNAIAHAVEALYARNTNPVINLMALEGTRALASSLPEIVENPSSQPARSL ALYGAWLCGTCLGSVGMSIHHKLCHTLGGSFNLPHAETHTAVLPHAISYNVPNIPEAT KKLADALPESNGDAIRGLNVLLEKLKVKRGVKDFGMKEEDVDKAADIAVSNPYWNPRP IERGPIRELIRRVWAGELARADL ACHE_11339S MGAEMEAAPVLSTPDDRILEATKPVVSHDPQRIASDEELCITYD IERTLKEIRQARYKRVALQFPDDMLPDAPRVFQLLSRGLNPATTTTSDGANGHSNGTE NGDLAQSVTGLQVEDPADETPKLYILADTSYGTCCVDEVAAEHVNADVVVHYGRSCLS PTARLPVIYVFTHKPLPIEPVVRAFKATYPDPSTKVILAADVTYADHVPNLYVRLTKE GYTDLFATEIVHHPSSAIPNRSVPESVRESPDSLSEWQLFHISDPPTALLMTLASRVT AIHIYPTDKAEKEEDDVKPLEASTAAALRRRYAILTSLNTVPIFGILINTLSVKNYLH IVEHVKQKIADAGKKSYMFVVGKLNAAKVANFSEIGGWVVIGCWESSLVDSKDFWKPV ITPFELELTLQGDTERVWTGAWQSDFQAVLDKPAETFSSETDGAADGDNDYDEDEEEE DSDFESAPPEFDLRTGKLVSHSRPMRDSAPRVSAQLDAAAASSGPNVARALARRAKGD LAMIGGTVSPGAEFLRSQRTWKGLGSDFDIRYDDEEENDRDSTLVVEGRKGIARGYTV GESIDKH ACHE_11340S MSIVYNPASAHTLQSYSSIMVEDKQRGLVETALGQSAVPAQRSL STFERVITTHAPILESLLLQSPTDSILQLYHTSRYLRNFLKSYPTAWKYLSFRLYYPS GTPPPPVRVVLPGHLDPESQRQSRPYALDQLLMTVAIPCSPCLRSLELDNTAVSGQIL ISTVLHSRRETLEHLSVRGCKNVSLKYHIVPYLTMFGLQYDVDMARNIGSSPATKPLA LKSLYTYRCRHHRRRPYLTSSLQRKDSDSEPTHELVTLCHKLGIWTDTAWCSTPAGRC FRRRGYVQMRVPQGSAEVWVVFDRLWRSKNWIGPVDQGSRSSKQDGKLWEHSETGAFG EALGTGEGGDPGEGKTLPAHLRQSHTRFVENIRCDNCLEVIPERCEQCSILMHCIGCR KTLCASCAYERPYVHRVSRSSASVDDAPQPFWWAPGATVSPCSMQDPAQHAAYISNQP SSYPALKFHWCCTEPIFSGGGGISIGTPNREVDQVRAVPLPRGQGWEDVEYSTNEFSK TFPKYAYGDPKKPDYSLETGHIAMMKWLLGPPDRKISPCPRNLCQECYDSPQWKVHCK TCSKPLCIEHDLRGLRLRICGYRDLALEKLAIQEHDGTGSFDHIPQESSSSAFDLPYR PQRLVDSTTSSFTDDQPGDNLTEANTPLFQHTDPTESDELVRRGSRSFSASNSNGSRS SSPSSLYCDSPMEPPKWQGCQSFFCPQHRAVGDQRQRCGSLLFECTSCSVNVCQDCIS RNPPCNCSYCESNYLCPNCARIYERDGTCQRRVEEKARQERKWKKDMRALEGILEDKI ANEIAEFAGQFFDAIEQEQPGVSVEQVEDIGLSG ACHE_11341S MADEKPPAPAETAAREQEEKDRKAREDAEQAQLPYKWTQTIRDV EVTIPVPGNLKGRDLDVVLAKDKIKVAVKGQEAIIEGQFPHPILTDESSWTLETTPTP PGKEIAIHLDKVNKMNWWAHVVTTAPKIDVSKITPENSNLSDLDGQTRAMVEKMMYDQ RQKEMGKPSSEEQKKMDILRKFQAEHPEMDFSNAQIG ACHE_11342A MGLFSGFCCCLPRSSAPTQDMVEQSPARPVPLQSGQNDQSQDHT HSGTPNGMVAYTGNLNIRDYQGYTPTIPLPRYTPRPMSIREKTIEGHMRSSSPELGVR AESDTSASSDEKQRYLYEYDHRDSRDGGLTADDVSSAFSFQSSYGNTSTATRETPPPP YSAGVSPTPYSAGVSPTPSRRTTVSVSSAMLRQQQMVNIAQPQPVFQRPEWMIRSPRC SVDIGEEVEVRRFSWESR ACHE_11343S MSPAATSPPPKATPQMPSNTDIPDITTAAQRAEPPQIPAHRSHD PSNNLKRTDPFQFGSRYLEEGDNVFEFNAWDHVEPDDEYKAFAEVQYAKQRESPVSDF DRWRFNADPAKWWNLFYKNNTANFFKDRKWLRQEFPVLAEVTRAGAGEKVVLEVGAGA GNTAFPLVTNNENEGLRVHACDFSKTAVQVMRESEHYNPKYITADVWDVAAVQTEESN PLPPGLTEGSVDVVVLIFIFSALNPNQWDQAMRNIHHVLKPGGQVLFRDYGRGDLAQV RFKKGRYLADNFYVRGDGTRVYFFEKSELEYMWSEWTPEKGIPFVDESEYEVTKPGTE TETSQEDSTPNENQSEEKQEDAGSQPICTGQNDGLFEILNLGVDRRLIVNRNRKLKMY RCWMQGHFRKREAAVEDKKEA ACHE_11344A MACSAQSMTIESYPDIADVAASSSATPQEETSLLRDRRRRHSFH NARKNSCDYDGDAVYLRVELFLAELERRMHWIEQYRKSHMVQIDASLRRGYATLEAVR DSCSYASGELMGSGKKRAKILVETLEGRYNEALATKETLEQKAQAGVRLMESFLSELE SRAHAVRDRGIYGAIDDGWKAMDTKLGEVVDEGMERARRAKDAMRESIDRAIELAQQK RLIAYADLPHPWRINPHILQGYRFNSSKVECLTSVFSFSNEMVNIWSHLIGLFIVLSV AFYFYPLNPNFHLSTKTDVTIAAVFFFAACKCLVCSTLWHTMNSIANQPLMERFACVD YTGISMLVAASIVTTEYTAFYCEPISRWTYIILTMCLGVGGVVLPWHPTFNRADLAWA RVAFYVTLALTGFAPLAQLTYTRGFAWCLYFYAPVVKSILVYFAGACIYASQVPERWR PGLFDYVGGSHNIWHFAVLGGILFHYCAMQDLFAHAFVRAKGECPNLTS ACHE_11345S MAISEIVTDSSLLPVLQTSAETQEQCKKLLSLLNPTADVSPPES SENPALAVSRQQKQLFAVLAQLRGQNRDAIFRVRDTKQSTAEARQEIDRLHLQLQNLY YEQRHLTGEIAACESYDHKYLSLPLIPVEEFLALYPEHKESNEHELMIARINHEHAER EKLEQARQELLKRKQALIAENKKRKDDLASLDQDLERFIDAAKPIQKLFEKEY ACHE_11346S MSEPTSALNPDNHNNIALGTTHCENTLIPYTRSNNAVMTTKSED LGSSPQATSDPDNTATTTMNSKTSAQRVPPPEKPEATRTRFKVIAAFWAVIIFLGLPI WWKTTSIYRAHLPFQEMVDWADGKSCRPVFPLEIQIAAPSMPDPEAQLLLRTTQHTLD DLNEFSAHHLRLKLTEDRDNTGYVKEETHVKADTTLTIRLVPQDNLPTPRSELSPETT QLDVFYSPNQSPVPSSSNSPLSTFIAGELQRLFSEEKATIAHILSNGHTQLSENISRR LRRSLKYADTYHLAFSLFTPGPAPSSWAVQEAIEDYLSPLLQAFAPISNFTVDTQVQL YATFSPTAPLPEFDESQSAWTLKQEDLSAFVNAAEWPLSPSIGSGPTINFLLYVPDPS QSPMVVKENTATSWIIPQWGGVFLLNQPLPTENPSNPPHLSKDSLRPAFMTFSHQLLT LLGTPTTPTSLPFRLQTLIRIRAATLLLSASSTMGSLARLTESLPSIPIPATVAASVS KTLSHLSAACTHLREGRFSAALDNARVAEAEAERSFFEKSMVGQVYFPDEHKVAVYLP LLGPIGVPLVVGLLKEVKRIVKGLKGR ACHE_11347A MRTDFLACQSPDTNNNHANSGILRRASEGTRSRLHRTGSRVKSF LSTRHDPTLDSDIPVVPPLPVHVHDPHAQSTPRPASTESIRVYTPPGVFQTRGFLKPD PYPGAAAWRVNARPDTTFTEMIDHVGFRDKKGQPCYRVREGNA ACHE_11348S MAPGKKPARMAEVGKEGQLFDFDRVLVPGRVLALELEEEDSCLE VLDSGAAVGMTAIMSDVDDTSSVVVADVVDALLEVLSVDVEEVGDEDDAEDEKEVLSN TQALLPPLSLHSYPNGQQESPHLGKLSSKRVVLIGLFG ACHE_11349S MFSRLMRPQSALRAASAIPKKPFQTRGLHVVPQLTFQSKFDNDG VEGLLSKDGFDFSWNQYQSLMVDKLNLLIQDTPDAELKPYELTLKYARRAEMASVFNY ASMAHNNHFFFNCLSPVQTQIPADFEKDINDTCSSVESLKLDFLATANAMFGPGFVWL GKNLEREGLMHIFCTYNAGSPYPATYARRQSVDMATHPRNAPLGNQYAGSMGAHSANQ KSLAPGAVDVHPILCVNTWEHVWLMDYGIAGKAEYLERWWNRINWEVVHDNFRAVNAR KRSGASRDRALDFTR ACHE_11350A MKPSLARPICRNLISNARLYPSPIAISQLQPQPLHGHQPLQPRT PPPTPQTTPRRTFFSLPDLSSVLPNNNSNGNNNNRTRTLTATRTLPYAPKPLFIVIAS VDSYSQFLPFLTASTVTARDPTTNYPTTAFLTVGYGPLSETFTSRVDCDPENLVVEAR SGARFGVDKKGGQEVMEFPGAREGIFEYLSTRWELVPLEGGNETRVQLKIEFEFRSQF HAAVMSAVEGQMAGIMIEAFEKRMREVQR ACHE_11351A MPFLRQTTFRTTHLIYHKRFFSTSPDKTSASSIASAFLERFQSL GPQTRTQTLDANQLQLLTLTLNRPSLYPNTPSLSNNTTPPPAGTPLPPGYHLVYFTPA FLENELGADGTDVSYNPASPFTRRMWAGGEVQWPRGTKGKVNPLRVGQEVTETTKVLS AEPKIVKKTGEEMIVVGVEKEFRNEDGVAVLDRRNWVFRKALQIPSTASSSTTPTSQQ WTPPKPASCTTTTTGNAYTRTLRQTPVTLFRFSALTFNPHKIHYSVPWARDIEGHKDI VVHGPLNLISILDLWRDTRSQNTEADLDAIVPESIKYRATSPLYAEDEYRIMLEENDG VGSVQILTPGDVVGMKAEIS ACHE_11352A MMPPLPSLPDVPLGVALPAAASALAYLNARWSLSYDIGLVGSLL KGIAKSRYAAWNGQLNLFYILENHALASGTKDDPFIVYNGRTWTFHETYQMVLRYGTW LRTVHGVKPKDIVAIDFMNSSNFVFFVLGLWSIGAVPAFINYNLAGKPLTHSVRVSTA KLLIVDEEVRKNFPPEQMEIFAAPDFREEKGSVEVVFFTPDVEEQINQTEAIREDDKV REDQTLRDMAALIYTSGTTGLPKPAIVSWKKCWLGSMFSNSWLSIGRNDRFYTCMPLY HSSASILGFMTCLQGGCTLIIGRKFSARNFWKDARENGATIVQYVGETLRYILATPPE IDPTTGEDLDKKHKIRIVFGNGLRPDIWNKFKERYSVPTVAEFYAATEGTSGSWNLSS NDFAAGAIGRNGAISEMILGGGLTVVEVDQESQQPWRDPKTGLCKAVPRGDPGELLYA IDASDPKEKFQGYFNNSEATESKIIRDVIRKGDAFFRTGDMVRWDRNGRWYFSDRLGD TFRWKSENVSTSEVGEVMGSHPEIHEANVYGVALPHHDGRAGCAAVVFKNQVDSGNTS TLMEPSSEVLESLAQHALKGLPRFAAPLFLRATPEMPGTGNNKQQKHVLRTEGVDPSK VSAQDKLYWLQGDKYVPFGRKDWDRLQGGQVKL ACHE_11353S MFAAMNRNIFLAPLLCLLVTVAPSHAAAIFPMSRSDPAYAHNAP SHAAPVYDESEQPLTFYLLSRSISPRTSPVSVVTGLAVGITFGVIFLFCVGILIRMAI TRRRRKEAVEKAVEASQARDPSAQASGAAMVELEANGTYTQATHQGPANGRFQRASNK RQEAPQNERYELE ACHE_11354S MPLSVQHVQRDDLPRILDIFCTSMSSISLLVATGDIPNLENLDE ASAATAREHAIARFAEILDSYPKVHFLKAVDAENGDIAAFAIWYFFSGPDGVADWKAY VETGDRLRVPVGCDIEAYRYGWSKIHENYQEVFGEGREHFHLGLLATHPGHERRGAGS KLLNWALEQADEKGLECHLEGTPTGYPLYSRKGFVDAFGKGNSLLDFDVSKYTGKEGD LVDLTAMVRPPQKRSQR ACHE_11355A MSAAPRPPFLPGSLEEFTEHAATHHSEWFQYCRLAYEYIEEAEA AITEARGQADQTSLKLQASETEVSCLKEELSALHLKQEKNQARDQGIIEYQKEQLRES QQKYLEALKEKDEALRLATPVVNTPARTPEPTAEIHTVAPVGTPASVDPPSTSSARLS ERLPDPDRFEGDRKDLRRFISQIHEKMNVNHDRYPTPQSRMTYVTNRLRGAPYAQVLP YIKKGICQLKDYEEILKILDRAFGDPNRVNNARNELFRLRQANKEFGMFFAEFQRLAL EGEMSEDVLPTLLEQAINRELRGMLMHNEPPNREYHQFANFLQDLENRRRHYENNSPP AARTYASATKPTNPVRPTELPATLQPAENNTDVMDLSSAHRHTTSRRDRGECFRCGSK NHLVRNCPLPDNRPVGVRPTYLSPHSHSPSSPEPTPVLERYRSPSPDLSAKGVSLA ACHE_11356A MVNVKPFAVEQWMDKYELTAKYNIAETCCASVSVDDLKELSDDK SSPVVDLSNKLTYGPIRGSERLRGTLAKLYSVKTPTALPADNVLITAGAIQANFLLLY SLVGPGDHVICHYPTYQQLYSVPESLGAEVSLWKSKEKEGWKLDVDELKGLIRPNTKL IIINNPQNPTGAIISQSTLEDIVDIAREKSIIIHSDEVYRPLFHSISPMDKDFPLSIL SLGYENTITTGSMSKSYSLAGIRVGWIASRNRSLIETCAAARDYTTISVGQIDDAIAS YALAPSCIHNLLKRNIELAKKNIDILENFIESHRWACDWVKPRAGTVAFVRFNKLGKP VDDVAFCDMLQERTGVMFVPGSLCFGEGADFKGYVRIGFVQETKVLEKGLESLRSFME EGYEDVPAIKK ACHE_11357S MRLKTTIRFSLITWLSWSASAAAAWSNYSDAQLLSPRFSALNDR PPECPPCFNCQLDAFQCSQFAACDSSTGSCICPPGFGGLDCSEPVCGSLADSNRPLRK DKYCNCEDGWSGINCNVCQTDDACNALMPEGEGGVCYKQGVTVNENYQMCDVTNRKIL DLLGERKPQVTFSCEAEDHTCNFQFWVDQRESFYCELNKCDWKLETDYNTNQTQYDCE NIQCKCIPGRMLCGEEGSVDIGEFLEVSIQGPASFSSRSTEGGSKEDGSRFQEPAMNE LISSIFGDPSIFLNCHSGECLYKSSVPGYVRPIKTINTPVIAGVIAGCSLFVVAVILA VWYLSRRPRRGYIHLPLSDDSDDEATKYMADYKPAALYWDSVSYYLNGKEILSNIQGA APPGQITAIMGASGAGKTTFLDILARKNKRGAIRGDFYVNGEKLNDYEFKNMIGFVDQ EDTMLPTLTVHETILTSALLRLPRDMSRTAKEQKVYDVEKQLGIYHIKDQLIGSEEGK GRGISGGEKRRVGIACELVTSPSILFLDEPTSGLDAFNAFNVVECLVTLAKTYNRTVI FTIHQPRSNIVALFDRLILLAQGRTVYSGPFSSCQQYFDDSGYSCPPGFNIADYLVDL TMHASVSRTHSDGEQSSLLDVRNDIPKTASSSLRAVKSVASASNASTAEDNSSSALES NRRPRNKRRASLKQRQDRQLYSRRKDVDASSAPKSDGEDEHLDRQAESQQWLRLSRQT GSVPPQVLDDPDHLPPAAPGQTDLDILIANYSNSDVAHSVHDEIVTAVQNARAANGSA NADIISGPDVVGHHKSYARIGLFRQFLILSQRTWRNLYRNPMLMLTHYAISILLGVLC GYLFYGLTDDIKGFQNRLGLFFFILALFGFSTLTSLTVFSAERLLFVRERANGYYHPI TYFAAKVVFDIVPLRLLPPIIMGMIVYPMTGLIPAWGEFFRFILVLVLFNLAAANICL FIGIVFRDVGVANLIGSLVMLFSLLFAGLLLNHDAIPASALWLQTLSIFHYGFEALIV NEVTFLTLVDHKYGLDIEVPGASILSAFGFNTQALWMDVIGLGVISGVFIIVAYGAMH ILLVEKR ACHE_11358S MSGEAWLYLLSVLINAVNLFLQVFFTIMYSDLECDYINPIDLCN RLNAYIIPEAAVHAFLTFLFVINGYWLAIILNLPLFIFNAKKCVPIPAWVFEGEVGLT WIRILENQHLLDATEIFRKLNVHKKESFIKLGFHLLMFFFYLYSMIVALIRDESN ACHE_11359S MASLLGAAYDSSDDDTTTSKLQPAPATKIVAAPEVNTEDQAHMQ MMLANTSSNALTYNATYDDISRPSQGPANPFKPAGSTGNLKRKNVPTGFAEEAAISEA TFATQHRTFQSLGYTRNPGLPGHFVGDLDNAAQYGGRDIVQMKPSKEASAALRAKRQK KGDPSIVEGDGAYLGPWARYQHDDQVYEEEAAEMDRELASDEEYVEVDEDEEGTAAMA PSEMPAMSKEATDYQDDASRVETTEFHGSEQYDYLGRTYMHVPQDLGIDLRKDVGSVK NYVPKKLVHTWKSHTKAITSLRFFPGSGHLLLSSAADGKAKIWDVYHQRELLRTFSGH SKSVNDTDFHPTGKTFLTASYDRQMKLWDTEYGKCIGRYSTGKTPHVVRFNPGPEHSH EFLAGMSDKKIVQFDTRSGELVQEYDHHLAAINTLTFVDENRRFISTSDDKSLRAWEY GIPVPIKFIAEPYMFALTRAAPHPNGKYVAFQSGDNQIVVYGATDKFRQNRKKSFRGH NNAGYAIDLKISPDGQFIASGDSGGYVCFWDWKTGKMYHKIMAGGKEGGGNATTCLDW HPQETSKLVTGGLEGVIKYWD ACHE_11360A MSTITLIISPYHTGLHAHRVGKGPHHILSHNLLAQLTSLGLNIE TYEIPRVDDFEGEIGRSFEVMRRTSLAVSEAVEKGNWPLVLSGNCMASVAVACGLEHA QAQGQKKEGQGKLGFIYFDSHDDLDSPDVNENGYFDAMGLSMLRGESWKLLMNTVPGY DPESPFDYRSNKNRFLYVGLRDQSELQRERVVEAGMYSIWGGNLNPRTDFGAELEKIL EKDPFGDVVVHLDLDVLDAGVYGKVNDYPSEGGLLEVDLVRSLEVVGKMVSLPKALVV CQFDPDSGDGDLIAGIAIKGIMTLLKTLLERGVLKSKTSA ACHE_11361A MPESHAGPTSLSRYRPLLYLFTGVVAAYALVYIHNNLIYPRPEQ TSSSLRRRNAVRRRRNDPDDVTDTPSHRAITHLEQLERQNGVYGTFRIETEDGRRVES GLLPSLLATRDQLMEEVGVPPAHAERMREMMEDTFLESFLALDFPPEHVLEERSPERH YLSEQLQRRGISRAGVERALAQFNENSNYGEELRHRRQNGERVTLSTSTFPDVSVPAQ NMDADTVVDDQSVFSWRDGNNDTSPTREGQNLLNLLYHIAEDQSRRDGYIHRGVTCNS CNAMPIQGIRYRCANCIDYDLCETCEAMQVHIKTHLFYKVRIPAPFLGNPRQSQPVWY PGKPAMLPRSLPRNLAKRFMKETGFENTELDALWDQFRCLANYEWPDDPNKLYMAIDR KTFDRCFVPNTSIRPPPPSLIYDRMFAFYDTNSDNLIGFEEFLRGLASLNNKSNDERL RRVFRGYDINGDGFVERKDFLRVFRAYYALSRELTRDMVAGLEDDFLEGGARDVVLGS QPISSAFPGSIPSGEPSRIGEGKRINREGDMEVMDNEGVLRHDGSDTGDRYSVVGDSA VRGQFGRVRPMFPSTVRLASAADSHHTGIDGIGEDMDEDEDEDGSESEDASSSSITSD HWPPAEHILEEDVINALGASVPLQEITDPVDRARIGTAVYHRMRDDDQRRVDEARRYG IDERWRRRAFYTDVEDGATIPEGYQRDPDLDDSSDEDEDVIDEQPESHPPSPRSRSSS KVRFQDDFTDDYEIRSTTSSRSIPVGERWGGFEVPEVERDVGKEVLYQVTQQGFNELL DILFKPKEDLLMEVYRTRTERKIWAREIEQFEQTDPHKQKATGDEPEDRHRAAVEQRS LDELLERSGYAVDSPSLEPSRNGGPVLAPPSPRFRVPDDDVRPVHLAEPDDDDFDEEE EEEFDGEETEDEDYEGMPELEAPEEFDEPAPRPVTPELQITHDESAPTTPNYDPTLPQ HRPDDVPTELSTTGEETALPLRLRLQPNNSFPIPSSLPSSSPEAEATAPKQPPSPIQP LPPAPTASTSTLPLETEASPTRPTPRMLSRWAFLNQVEREAKERGGTGAKLNFEEFSR RMAADRQRRLAFVASWIEMASF ACHE_11362A MAPERNPTETSPLLGEQSNALPPSTGAIPRVQGEEGVRSEQQTG GDEDPQVKHVALRYIVPAVSIGIFLSAADQTIIMASYGQIGSDLKALNLTSWIATSYF LTLTSFQPLYGKLSDIFGRKQCLLFAYAVFGTGCLFCGLAQNIKHLIAARVFQGIGGG GMTTVVSILMSDLVPLRERGVWQGIINIVYATGAGIGAPLGGILADFIGWRWAFIAQA PLCIMAFTAVSLMLNLPVQEDTHWKAKLRRVDFAGAIVLVGAVLGFLLGLDRGSNVSW TMPLTIVSLSVSVVLFILFVIIEVYFAAEPFAPGYIIFDRTFFANYGCNFASFGSWLA ALFYIPLYFQAVDGVSATVSGLRLLPSICTGVFGSLFAGFVMKWTGRYYWLTVGGYTS LTLGITGVFLFSGGAVPSTAGMIMSMVMSAFGNGIGVTTTLIGLISNASPEDQAVVTA CSYLFRSLGSVIGLSLSSTVVQQLLRMRLRSALHDSKDIDKIVDGVRQSLDYIKILDP AVSRIVRGSYGWATNKGFAFMIGIVILALVSSFFIREKRLNR ACHE_11363A MAAATVTRKCIGLDCPNDAGTLQCPTCLKMGTDSFFCSQDCFKR SWSDHKSIHKKTTGHYNPFPSFQYTGSLRPVYPLSPMRTVPKSIPHPDYAKDGFPRSE QKFVGRHNITILNAKEQEGMRKVCRLAREVLDIAARELRPGVTTDYIDEVVHKACVER NSYPSPLNYVNFPKSVCTSINETICHGIPDQRPLEDGDIINIDVTLYHEGFHGDINET YYVGDKAKANPDAVKVVETARECLDKSIELVKPGMLFRDPGNVIEKHAKSRNCSVVKS YCGHGINQLFHCAPNVPHYAKNKAVGTAKPGMCFTIEPMINIGTHRDRTWPDDWTSTT ADGTLSAQFEHTLLVTEDGVEVLTARLPESPGGPIPLPEASAN ACHE_11364S MVVTMAEKSKQKVVIVGAGPVGSLAALYAAARGDEVEIYELRGD LRNPATVPLNFTKSINLALSERGITSMRQSNRPALVEKVLNAAIPMHGRMIHGRDHGK LWEAAQAYDVHGRAINAVDRSTLNNALLDELERTPNVNLFFNHKLTGADFHANKAWFE RRLPGEAPLPNSSNRAPEIEVSFDLLIGADGAHSATRYHMMKFSRVNYEQEYIDTLWC EFRIPPTEDGNFRISPGHLHIWPGTEFMFIAIPSSDKSFTCTLFAPAQHYIHLQNSPE TLVDFFDAHFPGVSDLITPDALAEQFTTNPHLPLISIKCNPHHFNSSAVILGDAAHAV LPFYGQGLNAGLEDVRVLFEILDSHGVYTSPSTESCTLARHTALQAYTTHRGPDTHAI NDLSKTNYLEMRWGVKTPLYKIRKSFEETLDRYFPSLGWKTQYARVSFSTQRYSEVIR ATRWQGQLLGLAFGVVGVWGTVMAAIAMWKSPGPKLQVLVRGLSHLWVRLSRNAAKYA ACHE_11365A MHRLPSPPLMANFSPFSSAASNTGSRAHSISSDPESWSRHSTST MSQQTQNYGTSPENPWIFQPSTRRAFPSPFDSIDQVAAAKPLKQSWSDFDYHIPVSSG KYEPFPGPDFPFESIKSDPAPAPAPVYREFDHPARLPPTALSQDYDFGKRLDDAEIFA EASTRSAIEKSKSSTRHRSQRRPSNRDEFDGPHQYLKPPPGHEIAAQARELPHLPTNL DVSEQDHILNSVNDRLSKCAYDFIAKYQFPVPVEADKRTVNCATDREWTEWVYLLKRL ATKRRIPARLLYNGQIKQLVTVLENSLEMRHAAKHQSRPIKDDRNVLQLISAGTQVAK ILKDCCAMEFMDSLYIDTERLIHQRKSRRVKFAP ACHE_11366A MPSAMRDTRENPSLRNILISGGTGFVGSAIARAVAEQHPKCTIT VLDRAPPDGTHELPDDVAFIQADVTVPDTLYNVLQQARPEIVIHTAGIVPALSERFGR RLEQLVRKTNIEGTRNMLDAAKQAGVKGVVYTSTCCVVTDDMSIPYENIDEQWPIPSS SLIYGESKAAAERIVLEANSNTMATCSLRPSVLCGPGDPQLLPPIHTCISKWETPFII GDGYNLWDVTYVTNVGDAHVLAAENLISSRTAAGEAFFIQNNEPITFRDFCLAIWAQF GHTPPFEIHIPRCMAYVAGWVCEILTWVSGSSTTLSRGSVGDACSVRYASGDKAKRIL GYEARVGMEEAVRLSCEDYARRNGIELPAPVSDKKQQ ACHE_11367A MLGACDDADTACDLLLLYIARINFGPGNFILSESCSVYVVAIQQ IDILTAASTLGFCPDNQKPPQSLRPRRSIEPITMSLVHLAHVCSHLNNATKARLGLTS IPNTKLHLSLSLALQNDGFVSSVVRGGKTPPPPHLLLGTPAVNDPVQELEPVTQKNIA SRRLWLGLKYWQSESVLGKMVPISKPTRRINLDLRALRRVVNGETSDYVEGIRSPGES LYLSTDRGIMEARECVEKKVGGLVLCRVL ACHE_11368S MPPLPGEERMLTVFADVHSYFSEPTPKPIHHRFDKGSYLYIYHD AAQHKSRIEVANNPGTPFQDAFNGALDRVHIDHSTRFPTLCTVTVDGPDPNPQAFPPP PNPASLYEWRLPSSDQDDLFRLHTLDVYFWTQEDVNQFLDVIESILSPSQIASDRHPQ LENHSHDESHNNISSVVQQLENVAVTDPAYQNGQTRNSRTETFPTSKTTPVHAGINTF PPPPPSATLPPAPTSATPSISPAQQGTPFSQPPAEQHQEPAQCAPLPYNPAAPAAPEP IQHREKTPPPADGADGTGLQAAVAADHGIPYTPPSQTPGAFASPPTQPLPYSMPGGYA SPPPSAGLPPSSAPGLTHSGSLSSRSSSIQSPPGVPLMPTYAASPSSPFFPGNVQQPQ QLNRTGSLSFAPPPQPQPQPQPQTQPQAQAQAQTQDPNAYLYQIQQQQLQQQQQQQQY LYNSQNPQAQLQLQLQQQQLQQKQQLAPAPQIGQFTIPNTNEFDPNAHLYAQQLQLQQ QQLQQQQPQQQQQTLSKGAGAVPAQRPGKLENRAARVESGVNRFLKKLEKKL ACHE_11369A MAHPGVRSLLAKFENNSSQNNVSSPPSRGRSPTSENSGSARPLS KVRASFVAVDKAAQSRTSSDLPASPARVRSFNSEDLDTTGSQKSAESTSPTTASGLGI ATPAESSKQESGNSSPQNASFPASKADNAPTPDEKPSPARQEAQPASNGSAAPTPNES SRKPTTTSKTATKQPLNSRQSTAARSSPARSPTKARTETSPAKPATRATKPATSNEPT KSVASKSSRTSLNTATKAPSRPSRPSTTPARDATKTTAASRASTITTTNTTKPATKSP TRPARLPAAATAPTQSAKSKGTATTISAASRKPPTLKNGTSARSSTPTASSVRKQTPR GSPQSQSSSERPGSRASSASSRPVDEGFLARMMRPTASSASKTHEKVDVKSPPKASKP IQGTKRAVPKTNVHSKIQGAKPAAKAATKPKDENSETISTEGITKKPAESIPEESAQP VEKSAAPEKLAEEPKESKEPATEVAEASPAQEPSVQEPVTESNDAPTEAQPSDEAPIE TSIEAKPEEPTEQPVDTPSIPEEVTTEQTVPEAFLKAKEPVAESLVESPMEKSAENTE VATPAAEVDVENK ACHE_11370S MAAARTLRIGLIPGDGIGREVIPAGRRVLESLPSSLNLKFSFVE LDAGYDHFKSTGTALPDKTVDVLKKECDGALFGAVSSPSTKVAGYSSPIVALRKKLDL YANVRPVKTTSGESGRDPIDLVIVRENTEDLYVKEETSKDTPNGKVAEAIKRISESAS SRISTIAGEIALRRQKIRDVAPANATRAHPMLTITHKSNVLSQTDGLFRETARKALAA ERFSSIRVEEQIVDSMVYKLFRQPEYYDVIVAPNLYGDILSDGAAALVGSLGLVPSAN VGDGFAIGEPCHGSAPDIEGKGIANPIATLRSVALMLEFLGEENAAAKIYTAVDANLD EGKFLSPDMGGKATTQEVMDDVLKRF ACHE_11371A MAPCSRSTSSSRLTPSRRRSSSASKSNTSATSGELFDQAQHKKY RLKVTAGTQYYPSTHQVVPVNGDETVRIDNDLATVSLAVRIQGYNGTSLPAPNLPYMN LNTNWKIGYPDTSPTTHPIFTHPTHTTDQYSLTFSIIFKKPVNGNDLLFGHDFDRPIR DRLPPGFNTALRLVKWSIDPSMDGDAYADKPYLFSPALASWNQFRVGNKVKSGDEVPR VNGVVVQEGDEGGDAGKVREEAGVPGDASERMKFFQGEEERKKFVFEEGRVYSVGFGN PYLVFNDFSLRLPGFTLHATKYVDEKNHDLRYVLKNRETGEVYFVVLFTLVLVGTEQE KEHIKEAEDGTKETKEKDGNLGKIGWEA ACHE_11372A MAATAATARAIELRRSPRKRRISSISNAGVSGSPASRTRSRSRS GKQSDVSTDTSTITPRRPGKKVRISDPGPSTGLTPAMMRASFDGSNTGSAQSTPSRQR RSTPASRKGTQRVMQFMPLRQALDSRVQRRIGRMGLSTEINHIEREKRNFATYEKKLQ SLLKERELLKQELENAKKSGASDHGEESLAQEEFMLEASQENLEELEAETSRLRQEVS FSSAQDANVESGIMNGDTIILDDTGMDGSTVIMSDSPDIRGVGYQPAIPDGFSLLGNS APGVDSSIQAELPDRNQEAELRRLQLDLETARKEKRALFDAWRANIASPDGTAVSNNI RQSSPPPDFFVQIIPTLTDALARASDATKSLESVKKELSTLGFSGSNPSEIISEMSSR FRTACIELERAVPGETANAGLHYGNATLGALVERVESLVEDFGNERTGHDGALGREKA LRGKFNELLTRYEAASKKVQELDVSAKDMLHMRMRMREMEQEGEKKDFGIQRLNAALE QYRGDIRNLEALVSILEEENTASKTQHIQQVSELKNKISDEEKARRAAESTVERRDAH IRDLNQTIERDRIRARDLMSSIESITKERQSAISEITNLEREIAKQHQNHEQEIEVVE KRRQSAIASLEHESAEQLQHCEQEIGAMNVRISELNTALEEARAEAEKLGRSNAVLEK QLQLEIEERDNLIDRWIADQERSFTLMKETANAERRKAKVRSANYELLKTKEPQSDNS VTGSEPITPVSMRHVDIDVGRGKHRRPLDSGVGIPTDDALDDEPLSDFNSDGVVLPSD PAYL ACHE_11373S MAMNIEPDTSNDAVPSLEGSLPSTEALPPDSESRLLQLPPELLQ QVLSELSVLDLTRISRTCRSLAEHASNNLLWADLLNAHLPFKIHDPGPFTSFRSLYAA YYPCWFIPQNRVWFADTEHTGNLILARYDNRRGVIEAYRVVAERRNHAFQIWEWNPDV IVQSFNPKVSLWLDDPVLFLKNTPSAEGPPRTPQYLNGETRMPMALEYQYVFNSFSLC SNKPPDSEMAVDQKWPPPNIPSDQRVYRDMDEDLSEGDEPPRHLDDVSEIAFRIRRWA HFRLGMPFFTAGNGETLTTFATLDLSLYTPTREKPYQGIWVGDYSAHGCEFLLFLQRD TTDGSTTSPETENTGWIPHGRLEAIKLTGDPNVPRGEISFAAEDIGQGGFVRIANDSL FRNARVVRSEGHVAGLGFRDDTWLPSQLILVSTDCVAHYWESMGHISYFRRVDIDALL QT ACHE_11374A MVFARLTHYAFDAVLVSAFLAGVKRSTGLTPSLDSDKITENKDF KKWIDSYLGVGEWVMDQSVFVLGSSGWFERKR ACHE_11375S MRGSGDSKAEAPTANNIFPPAHTPTAPRSNSPDNFFSSLFFPFS SHRLLFSLLSHSILISFLTVFWHRPFSPSVLSSITFKMAASNSLDHLSNRMKLEWHSK LNTEMVPAKNFRRTSIIGTIGPKTNSTEKINALRRAGLNVVRMNFSHGSYEYHQSVID HAREAEQAQKGRPLAIALDTKGPEIRTGNTVDDKDIPIKEGHELIITTDEKYAAASDD KNMYLDYKNITKVISPGKLIYVDDGILSFEVLEVVDDKNLRAKCLNNGNISSRKGVNL PGTDVDLPALSEKDVNDLKFGVKNKVDMVFASFIRRGSDIRDIRAVFGEEGKEIQIIA KIENQQGVNNFDEILEETDGVMVARGDLGIEIPAPKVFIAQKMMIAKCNIKGKPVICA TQMLESMTYNPRPTRAEVSDVANAVLDGADCVMLSGETAKGTYPCEAVRMMHETCLLA EVAIPHFNVFDELRNLAPRPTDTVESIAMAAVSASLELNAGAILVLTTSGKTARLISK YRPVCPIIMVTRNPMASRYSHLYRGVWPFTFPEEKPDFNVKIWQEDVDRRLKWGINHG LKLGIINKGDNIVCVQGWRGGMGHTNTVRIVPAEENLGLAE ACHE_11376A MSARQSQSTPSPSASSIFLPLGRRGSRASLSTQAEQENLNAALD QIHNAAYQSDSLTVFNEFTNPPAPTATADENSISGEIQGGLSGLYSRFRGGVRDMVSG VGKQSDKASESSRMKSPISEQSDARSTAAESQYMHPNSSQGSRLHSPTPGQLQSNQDM SVQLGPAKGSKLSSKSASISSKASVSASPAIKSPAAPLSKTTGALATTDPTVKEPHVN AVKDPLHHSDTLQGMESTAPNKGDNSRPGSFSQSSRLTHSPVLSAKPHSSLHNEESNA PDISRPSTLSGQMTEGAIDRHGFSELDTGLSSQKRQHTLDGTEDLSRERLRTGSAESF RLDELNSGPATSSVAQSPKAGNSSLHGEQVSPTHVTSRPFVDGETQVGESSSASAKRR SIEQTAVPSPGRLIDKGAPGTPSKSSTISPLDTTVDRTPSRSRNRRPQTSGGDGAMPQ MRSNLLSKEFWMRDENAKDCFHCGESFSTFRRKHHCRTCGQIFDSKCTLIIPGAQFGQ PGTIRVCKPCEAMINAHDDDSSEFSDSDQSPMAVNPRVSELSFGNTRRMSLVDDDDTS SIVSQSVDSVMKTPTMAIPATRRAGEGHNRRSAILEISPDRPLARPTSSKSLKSSLSG RPHSMGHKRHHSRQQYVRNFKPYHDERAPFQRRQAEDTDGESRLPAFHKDNIIDPDLA QYLSDDASSGDEHPSLLSAVSESPLSKSSGDNEKSGLGGLFNIMKKGRSAFGDRSITG REVDEGSIASSKAVNVPRPRRRNLSIASSIRQSPRTSKEVVFSNPQEPSNTGSMIPTM TSTGFKMTRSSSMRGAGAPPVEMNQASLQHVRKLLRQLLSDASIPHPQSWEMALLPIL LKAADEVDPDVQHGDDMDIRHYIKLKKIVGGRPGDTSYVSGLVFRKNVALKSMPRSIP NPNILIITFPLEYARHQQHFMSLEPVIRQEREFLENLVSRIAALRPNLLLAEKNVSGL ALELLEKLNIATAYNVKSSVLEAVSRCTQTKIITSMDKLVTTPMKSECSSFDVKTYVY NRRKKTYMYISGCPKELGCTIVLRGGDKSVLGKVKSITEFMVYVVYNLRLETCVMRDE FAKIPTSSEVEPREGEAGTRSNTPLLMSKEGETTSKAQQELNGTEERPTLERKVTVTS EETEVPDDVPMPTYYEDMVQDHERKILSASPFVKFEPPYLLMRAREMERRLAYLRRLR DQDVDSEPSMDESTKGQKFILITPEMVHESPQNAPLKVKEVLRAAHDAEYDRALHHYM TQKRQWETYVSGSNSNLFDPYAHQNIAVLFSLVCTTTSIPCSGPDSFAIEFYNEHGDD TIFEPDCTLGQYVEDLCHTANGICTANGCERRMYEHHRQYVHGEAQISVIIQHYPSKL RGLQDSILMWSCCTICNNETQVFPMSDSTWKYSFGKYLELSFWSKNLHARAGVCPHDL QRDHVRYFGFKDMALRIQYHKIDLLEIIVPRTQVTWKVDNDLRLRNEVYQRMEQRLNK FMISVKARLRGINVQSVVPERIGQCEKEIELLNRKAAEDQADLLRRLQEKYTNSRYWE LIPFNEVLRSAQEKVVEWDNVFVEFEKNYFPSEKDIRRLATLQLKKIFKDASISFAPS EEPTTTPAGTDSEANKEEGRPFVMRRMTLSPEKAQDVLVSVVEEHSGKKNGGNEIETP KVEEVESEAPPLDEKTGSSPQEQAVAQKEVRHLDLAVPSGQTEQHAPIQDVPASTTDT PEPVPTRDGEKPPTSPSQEQTEPQVDKPPQDAVEIPGRRTPTTPTPSAIPRLAEAASR RSGKTRSPPLYRAQSQPAHLQKEKGRTGTRLGGIDTDAVDETPNPPHDKPKGSDKRLT ERLGFTALKHGRLPSGHSFIPRKRVSNLAKHFEQMTREFEKERQREMQRRAARSTHSR AYPLASSKPIVEVYKNVREAVEEREPSGEGEDFISSSAPRLESSRTSEEFTKSVSEEE PRKSLPQQPAEKPAEPAIPTEPAEPVEHEDHVRTDEAIASDGEHSDEDRISADGLLDS NEEIPKISPDDEPLDSIDLKNHERSTLLKLLTNFWSERSASGWAPLEYPLSMSDHVFA DCDIIVREDEPSSLIAFALDSSDYTEKLASIQQHHEEEEPTIGDHAEQKRVEKALLRD TGTHLKYQFQEGQAKMLCKVFYAEQFDALRKKCGVADRIVESLSRCAKWDSKGGKTKS IFLKTLDNRFILKSLAPIETQAFLKFAPGYFQIMSEALFHELPSAIAKMFGFYQVIIK NPATGTEFNWYLLLMENLFYDRVPQRIFDLKGSMRNRKVESTGERNEVLLDENMVDFI YETPLFTREHSKKLLSQSVWNDTLFLGRQNVMDYSLMIAIDESRSELVVGVIDCIRTY TWDKKLESWIKDRGFAGGGKNRPTVTSPKEYKSRFREAMARYVLQAPSCWHQFQHAPV YWHPRVGHQVNYAADADDGNDIAGS ACHE_11377S MEDSLGDWIATLVQRCLSFYLEGPGDADLEMEDDGSNLRFTNPI SRSAVIYQYLSPREGRVQTLKLIDENTQIEAILSSDSLDAYNRESPDRPLQSYNPTQY RIRLEGHEIVFEYSMSQPKVHLYVKKFSIEWERGKVTPFRARKQLRKRGHINNQMNMV FGRVKQAQRRLDTADSADSSERSIKSQNDDHSKQKSPDEEGIEAGISQEGFMSQVPSG HRLASSGRVSNRLLSNLGRPSVAEDVMSNALSVPGPPEDAKLGSKDMPWLRLTEREIT ELRGKLRKTAEWQPSEDLINYELTLRGRGSEAYKNAKARAEAEGAEFVDCDDIENSST ASGLNPSNGNNEPIELNKLKKPNRSMERQLDVVVREEYTQRSASANGHQMELQCVSST EKPAGQSSKNYFDRQETDLRKTPSQSASTGEEQPRDNHMGSTGTKSDPKPARNRTAPA TSREVEVPMSRVVEIRSAETNKGPRGSRSNAEPESTAARPEEPIKESVETGSMGSHQA ENASNESDAKGSDGQSNSERQNPWEGLKRLRSRDVKVPRDQHKLLEDHRQWMPALLGK SDPRGHVPPDLLAQWNRIVLLRNLRANGSEQGSKSPRPPAPDSCDSPSGDPDSAPETD SDGGTQYSWSPSPAESRPRSELPANNQLPADSPLSERRRTKKSSSPRPGEDVGAEEKL PEDVDSSIHSNNEQDRYAISERSEAHRAHTQNSRQVDEPVDASAPEPTPAALTQNHEG DSDNESDESAMDAAVPCPLGDNLQAELPVEQTDQDPTSLRQWLPQRDRYEHVQAVDTP AANLTHRLSEKPAKENTANNQQESSQAAISSSQSRIFNTYASHGTSMCSSQEPHFSPQ MNGDNESTGIDIMGTQMSGEICPTQSTSNSHSGFVPNSSGPERREASILVGTQTEGNS ELRTEARMSEDNDRQVAESWAELEKIVGSEALEVLKMGGQSYPYTVQLRKTFEDDLNE GIRKLTQAVVTRLRSVRSDVPAFLGIRSNDAWEAEKMSGEEIPDSELAELGLVRGPGG LPVRKRDVEENDQEVDAILRNDEDHDVENVVDDTHDGELQTTELDERKVPPTAMFPSD DENLGPTVLSSAWDSSMGGTREDVKVPNLKRLASVFETEEASPSKRQRTEPIGGQNAE SIRRRQNKRPTSNIMSRRESYINSTADRAEAMRAYEKFRSDYPIYSGEFSHFIELCSK LQSLRDRGHLKRSFLWDDFIIMHLDQYPSYLQLCQEMDSKSMDYEEYFSVHFSIPTYK KRSLTANGIEVSAAHCLTTSQPSVAASSSISRGETNGTITTSFVNKLSEFHVDSSFGP ETQNDHIDIESDRLSATASLPPTPLKRPQRNAHTLARSLVNGNKPQLSQQSSHRPSRQ PSRQPSLQPTIDRADNSQTADVAEPCAPETTVDESVAEDYDSTLDEMEARRQLLMENA QALSAEQTITDVVMTEGNTEEPAAGRPPEIESTPEPPGPVVMTTEHEVEPSIPESDHE DDIPDEQPEIYDDIEETHSTASIELGDEPSTISQEPRSDTREAEASEKVDVNEGWFAS LRHIRPPTGPVWSDDPNTPFKLWARADQNVKIEVSRRGGRYRDVDNKGVIQPSTTLRS VP ACHE_11378S MQGYTHAGPVDCDKPFDATSLKGKTAIVTGGANGLGEAYVRALV AAGANVCIGDPDVKKGNILEGQLPGTKFIPCDVSKWNDQVRLFREAASFSPTEKISYV VANAGIHRQDEVFVNSGDDQELAEPNLSIIDVNIRGTLYTTKLATHYFIKQNGQKPSP EQEDTCLVLIGSGAAFLDCPRAPQYCASKWAMRGIMHSLRRTAFYYGSRVNVISPWYV KTNILSDEAFDHVSSVGVQFAEAEDAGQCLLRILGDKSINGHSIFVSGRKWTSKGYMD LDLDDYLGNSFVNEIQEDQVKSAPVSLGLFV ACHE_11379S MALTNATAINATMRAVVWQGNPYNVGVVDLPRPTIINQTDAIVQ ISRAAICGSDLHIYRGTNEGMPAPFGLGHEGVGYVSEVGAGVSSLSVGDPVIVPFTVD EGHLHTGLTTQMYGGYGNGGDLGGTQAEYLRVPFADNGLIPIPSLEYINTTTNESVSL INDYVMLSDIFATGWASLDYSGFQAGDTVAVFGAGPVGLMAAYSAILRGASNVYSVDY VPERLQLAESIGAIPINFRDAEPVQQILALEPNGVARSVDAVGYEQVNRNLTVQSDVI IRNMLAVTSTGGGLGTVGVYNRESNSTATAPRASTVNTHVDFSLADFFFGEFTWGAGP SNPIDLAPELVRLVASGKARPGFIVSDVINIEDAPDAYARFERHDATKVVIAFD ACHE_11380A MYPAKNVSYIDALFFGCAAATQSGLNTVDVNDMRTYQQVVIYCV PMMTSPIFIHTAVVFVRIYWFEKRFQHLVQSARVIRRTGSISGRSSLDVEQQSSKRRI SGSNGSSPVIDAYNDIAAGTSRRSSGDNSSNTQPEGTSDVENRDETPYLSWNATPGRN STFIGLTEAQRDELGGIEYRSLKTLAVILITYYLFFHVFGIICLVPWIMRSTKYGPVI QQAGQGRPWWGVFTAGSAFNDFGLTITPDSMESFQQAAFPLLVMSFLIIIGNTAFPCM LRFIIWTISIVVRRDTPLWDELRFLLDHPRRCFTLLFPQKATWWLFATVIALNVVNMV VLLVLNLDNPDIKAMSPGTRFVDSLFQACSTRTAGFSITDLSNIHPGTLVCYLVLMYI SVFPIAISVRRTNVYEERSVGVYSSADDHEQERNTKQPSFVGIHLRRQLGSDLWYIFL GLFVIAIVEGGRLSISKLPSFNIFAVFFEIVSAYGTIGLSLGYSTGNTSFVGHFQTIS KLVIIAMQIRGRHRGLPYALDRSVLLPSESIHKSELAQAEENITLNQCVGGDQGAHRF LGAAGERPQLPQGAVGEGVRGEHRLGIGTAMSTLAGAS ACHE_11381A MPHPPEYIEFLKSMENSKQYQILNNLVNSPEASVDNALDQITDL TLSALAPSDDENFTPENVDYILSFTLMMLVQRLEPTKHSKLVQFLYGLQKRIVTDPAT GEPLTVGPTKRVLWTDLPSFGYTELESWDECGGEYKDPETPNLKGEQRQRWINENAFI AQFTQAADISYEPPLDQNDNIHPIDRSHRALRVFKLALENDDIPMPTLAKTAAMEAAC IWFIHAAARVWDNVRYGRTYDPEEFGTGPGCKRFAARGWKGYEQDRWEVWGERLREAR GVCGDERMRGLIDEALGCMSRVMDK ACHE_11382S MVCIIIINNNNNNNNQSINCHKNRKFSHHYISRTPSIKMLFNNK TLFLYGLIAVASASPVPQAVTKEELSNIAQEVTSSSASITTTPPTLDTRDLETRGSKK KVTSFNCNGVKLEKNDVGSAVAKMKGAKDRTIGNYPHEFKNGENTFAGVSKKLREFPI IQGGTFNGVGPGPYRAVTDYNNAFVGVMWEKAVGAKMTKCTPVTETTTAAPQKTTAAK KDDKKDDKKDDKKDDKKDDKKDDKKDDKKDDKKDDKKDDKKDDKKDDKKDSKTGKSGK SGKTH ACHE_11383A MSAFALAPKPASLLGYHRVLAPTAGIREDFMGECSKPQSFAILD AFYKLGGNFLDTANNYQNEESEVWLGEWMKERGNRDQLVIATKYTTGFRNSHRDSEPL QSNFVGNSVKSMRISVEHSLKKLQTDYIDLLYVHWWDFTTSVEEVMRGLNALVVSGKV LYLGISNTPAWIVVKANDYARANGFCPFSVYQGKWNAGFRDFEREIIPMCRDQGMAIA PWAPLGQGKFKTAEARSSGEAGSARASNMSDGDIKISEALEAIAKKKNTSLHAIALAY VLHKTPYAFPIIGQRKLEQLEANVDALSVALSDEDLVEIESAAPFDMGFPMNFIFRNN RSNNTAADVFLTQVSAHIDAPPHPSPVRPR ACHE_11384A MNGHNPPLHDKQIALQSFTQRVGAWPDDDWSGVTDPKQRRKLQN RLNQRARRLRNKRDGETQQSSSAALDAAHPGEMSPTNTVITATSSPSLLREIDNVHIL HQDFPETKVMMQRLETIAHYMLGSPRTDLLLHLTQLNFTRALMENTRILGLTSDTLHD DAISPFNTAGPWQYDFEYGLPSTLQPTMVQRSIEHHPWLDLIPVPQMRDNLICDGEWY DEAQLCLDMKGSGSVRDGGAGIIVWRDPWDPAGWEVTEAFAHSWGWVIWNCYELFQST NHWRAKRNEDPLFRT ACHE_11385A MTTCCCIIPEYLLNTIVEKGTAPQHVVHGCQSTLEKTKLFQSSR VEAIASTQRQPPSEGIIPPYIHETIARTAATTEEREAALSTLTHGAKHRTVARPTRQL NRTVYDSQHSWDDPPPRGKIAIKEGGALISEAEDPSKDANECYIGLKKTYDFFFEFFQ RNSINSRGMPLDSFVHFGVHFKNAFWNGRELVFGDGDGIIFNGFTDELDVMAHEYTHG VVQYTSPLDYTFQSGSLNESLADVFGIMVKQYAENPDNPQTVDQSNWLIGEGIWAAGV NGRALRDMKNPGTAYDDDRIGKDDQPAHWKDFKKLDISQDSGGVHINSGIPNRAFQLA ATKIGGYAWEGAGPIWYKALASGKLGRNATFKEFADLTIENAGKHGDEIKEAWQLVGY PFPDSRSEL ACHE_11386A MAEAKSVAIIGAGIFGLSLAVVLQDRNYKVTVFDRNQYDENGYD PAADGVQAASVDHNKIFRASYGTKLHYQRLAIEARKAWVFEDGKHGFSEQSDLSNDQS IFVGSGMLRVQSSDVLGALEKETLANMERDGLRDTQFVKSKPEDRRRAEQLGWKDKLL DFKIPQSSPASTYEAVLDTLAGFMRCSNACAYYQKIAAGKGVEFHFGPEKGAVDSLVK SSSGADCGRERVTGIKSKDGKFYNADVVVVAAGSFSTQVLPELSYFLESSAGSLATFK IDPANTELWDKYSPERFPVMTWKSVPRDSSGKDTGSIYVLPRTPEGLLKIGYRGIKFT NFQQAPESTPFTQDGQWSVPLPPEKCFQLQEPALYAIKQFISIFLPEFNGVPFDSTKL CWYTDSLDNSFLIDYVPAYAENSVFVCTGGSGHGAKFLPVLGEHAADILEHGERSASY MRPFWRWRLEVPRRNGLEEGPGGPRDIKHIS ACHE_11387A MNFFNFRQPSQPGAAWHSVGLTSALPDLSLDDDDCQITQACRAF TIPKTAGPQDAPVEADINLPGEDLKDQVLVFKYKGKVHAIDHQCPHQSFPLSKGSLFD IEDFGITLSAGITCPKHGWSFDIFSGQADRGNYKLKVWEVQLRDPRTSTADITDKEVW VRRKQRIG ACHE_11388S MSHLTYYNYPGVGERNAQNFKYSQAVRIGDRIECSGQGGWDPNT GEFHREINAQIAQAFANVDLALRTAGGKGWAQVFRVNSYHVPINNEALEAMVRGFREW VPGHEPLWTCVGVSRLGEDDMRVEIEVVAHDP ACHE_11389A MGKAGRALCIFTPYVLTIASLVCIILVGLGCTNSSSSTLNNLYF FKADMQNFTTSSGTTSEVSSLLSDAGVDVSSTNISSVMDEAADQLNVADFYTVGLWGY CDGNITSSNKYDTSSCSKPKAAFYFNPFEVWGLESSGLESQLPDGIGKALSTYKSVSK WMFVAYIIAFIATVVELVVGLFAICSRWGSCITSLVAGVAFFFTAAASATSTALFAVL TPLFNQELGSYGIKGSMGKNMLVTTWLAVAFSLAASLFWIISSCCCSGRSPYNHKKNA AGGITAEKAPYTYEPLGPQGRSQSPYGPYSHDTSYPPPPPTHGNMRTNAYEPFRHA ACHE_11390A MATQAFNLPPIIDIDGLREMLYFPSEAEDLSDWLGWIEEERPQT RTRRAQIPPLGRAIDQGWILVEGVPRE ACHE_11391A MERLIRPVSRQLLRPRPRYPFAVPPPVYAKRLYTMGHTVPPLKD QSLFIQKAYVNGKWVGAQSGKTFEVHDPASGKLIGTCPEFDGSDTEKAIQAASEAFST FRTTLGRERSRMLRRWHQLMVDNAEDLATLITWENGKPLADAKGEVNYAASFFEWFSE EAPRIYGDTIPASVPGNRIMTLKQPVGVCGLITPWNFPAAMITRKIGPALAAGCTVVV KTPGETPFTANALAELAHRAGIPKGVVNIVTASANTPEVGESLTTHPEVRKVSFTGST NVGKLLMKQSASTIKKVSWELGGNAPFIVFDDVKDLDAAVAGAIASKFRSSGQTCVCA NRIYVQKGVYEEFSKRFVEKVKGFKIGGGFEDGITHGPVIHGRAVAKVDQHVQDAASK GAKVAHGGQQLSHLGPNFYDLTVLTGMTKDMLVASEETFGPVAGLFPFESEKDVVDLA NSAEVGLAGYFFSSDLKRIFRVAEALEVGMVGVNTGLISDAASPFGGVKQSGFGREGS KYGIDEFMVIKSVTFGGMNEPLQA ACHE_11392A MSSIPDDPFSDPLNPPQSAQPFQSLFGGPASQDVDLEPYPESEH GAGTHEDHDDLDVTMGDIPMMDDNQSDATYHASNEDDSESRNEANALPKKRKRPAVVA RSLSPPSSPEERPNRFRGPESTWRRLTAEERRNAQALETIRARDLAAHLYNSYALRVR ARERGRMTMKEDKRVDETEAFAPPKGWAAWPMSADKVPRADERFRREEDAAWTLRMSS DPRPSADLEESVIAMMLKTAKERFEAREWDRKRTISQPRTSAAVRVDANDESTAYEGS NGGYMDDDELRPVVQADDDKSRRQLRPLSRNVLTQFDQLLMGLHHAQTGGARGGDSSA SEMQSDTESMASSRSSPRKRNPGETERSQSRGRKPSLRSSQHEGPRSCSKVQHTSRSR GRSLGRGHGPSTSRLRGGRGLRDWSEVLGVAAMMGWPPAVVMRTAKRCSALFGEDMAF QQFNEGTVKPIEEDKAQDVQYMESESESEPEPKSPTPPRISRQSSKNPRSRASSVRHE STSRPASPATADNAERKGKGQHRKQDLVCPFKSCRRHVNGFSRTWNLNLHMKRVHPGH RPRTPAPINIDAGNGDEQ ACHE_11393A MAKLKKKGTSGQAKNYITRTQAVRKLQISLPDFRRLCIFKGIYP REPRNKKKASKTSTPNTTFYYTKDIQYLLHEPLLAKFRDQKSLAKKIARSLGRGEVSD ASRLEKNHAPKLTLDHVIKERYPTFIDALRDLDDALSLLFLFANLPSSAHVPPKTIAL CQRLTHEFQHYLIATNSLRKSFLSIKGIYYQATIQGQDIMWLVPYRFVQRVNGDVDYR IMATFVEFYTTLLGFVNYRLYSSIGLRYPPKFDTRSDENGAELAAFTLEGRSVGNVPK AIEGNSTKTNALTNKEVSTEVQSKVDKVIHDAGLDQTKDEKTVETTTEESNDAIDKFE PAAPEADTLDQPDLSGADAGSLFSPFTFYISREAPKAPLEFILRAFGCTRVGWDAVSG DGAFTHDETDPRITHQVVDRPPLPEGALPAVPAAKEGAVPQVKPGTRIPGRTYVQPQW IWDSINEGRLLRPDLYAPGATLPPHLSPWVKPSRGGYDPKASLADQEEEGEAELAEEE SGSDEEMADDKDDKGHEKNGDAKAAEASDEEDGSEDSEEDGGMDVDLANSDEDEEQEE SESEGEEFGGFEDEAASESEDDEETARTRHQKELEAEAAGLPFSSANGGADEKAKKKS SQAKKIASKKRKEEEELERQKMMMSRKKRKLLEKMMYSNKKQSDEAAKLRSKRRKLEK GDK ACHE_11394S MLVDRRKAVVFGAALVLRLLLWVLFPSLPDLLTGRVEVSTPVNS FKRLQEGLFMYTRNVSPYDGGVFHQAPLLLPIFALLPNAKEYPLPTAIFYSLVDLANA NALVTISDSGQALSGRLHSALRKHVRYDGVAVAAWFLFNPFTIATCLSRSTNVFTTCG ILYAISNAGSGNSVNAMLALGFASYLSIYPALLFIPLVFLCYDRRVQETKMSSNAAVF SVQYFGIFLGSVAGLLGISCLIVADFWQFISATYGFQLLVPDLTPNVGLWWYFFIEMF DSFREFFLGVFWLHLACYVGGLTIRLRRQPLFVLTALLGIFAIFKPYPSISDASLYFA FLPLYRHLFPLMRYTFFAVSALLYATLLGPAFYHLWIYAGSGNANFFYAITLVWSLGL SILLADTVFAALREEWEQDNPELRGKDVRQV ACHE_11395A MPPSVQDGRVTKNKSSLRRSSTRQTSQSDKESKEYKQNQPLPLV ERTNALSIEQFKSTYAPTISEPFRLKTSTYKSKDDSTPNKSKEMDYVLGLYTAKTIPS TDFEACFGLIELTSSNAYNGSSMGWSPSKKRKEMKLPDMKYLIARRGARNSDNTSAKE PILGFLSFMVTYEDGKEVIYCYEIHLSPEAQGLGLGRQLMLTCEDIGQRVGLEKTMLT VFKSNTKAMRFYERCGFEVDEYSPQPRRLRNGTVKEPDYLILSKMLDNNDPWQTD ACHE_11396A MSTFKLNSGYDIPVVGLGTWLSKPHEVENAVEHALKTGYRHIDA AACYLNETEVGSGWKKSGVPREEIFITSKLWNTHHHPENVEEAVNKTLSDLQTDYLDL YLVHWPVAFEHTNETLTPLDPVTKRFRLADVPISDTWAALEKLVKAGKIRSIGVSNLT VDKIQELLHTAEIPPAVNQIEAHPYLQQPALFQFLKEKNILPVAYSPLGNNIYNAPRV VDDSTVIEIAKKLEKDPAAVLISWAVQRGSSVLPKSVTPSRIESNFQVFTIPNAEFET LNQLDRNQRYNYPFRWGIDIFGELGPEEAERRAEEFAAKQRESS ACHE_11397S MPLRQNRVHRCKNPISISSQSTTIFTTMAPAKTQQWTVLDKENG FDSLTFGEADVPKVGENEVLVKFYAASLNYRDLIIPKGMYPFALNFPVVPGSDGAGEV VEVGSKVAQFKQGDKVATLFNQGHQYGPIDIPAAQTGLGGVIDGTLRQYGVFNENGLV KTPKNLNYLESSTLSCAALTSWNALYGLRPLKPGETVLVQGTGGVSMFALQFAKAAGA TVIATTSTEAKAQKLKQLGADYIINYKSDPNWGQTARKFTPNNAGVDHIVEIGGTGTL SQSFKAIKFEGVISIIGFLDGADPKGQPSILDSLSHICTVRGVYVGSKALMNDMVKAI EANDIRPVVDEKVFTLEQAREAYEYMWAQKHFGKLTIKVN ACHE_11398S MQVNTTEPFSLPILGPQPHQKQQKKPASQLRFIRPAQMSLFARV SCYPPLGQVTCPQRIQKALELEKDDTLRFTVVIESSVSFPEQPWESEIYHTISTTPAT STTTTYSSNTPSSAPEWKSLPLRKVSTSRAPMLNAQKGEYDYYRTVFTEEITLPNQGG YAQFTVKYRSSPNSPWQWVNQQKPEEVRNGELVFAPRDQSALAIANTAAATAAASGAG GAGGGGMSGTQSTPSWYSAPTPSYSSSSASSGGRSELGKYFENLSSEVEVESRVSEAV NSVLWQVSGSVPPAGETESTVKEVVLGVPSSVVRNFSLVRIWTPWLGPRHGRKTFQLT EDAVLCSFLRTDGTHLVLLGVSGVDHMLTLFRSGEKGEVIVKAQSDNTETTKFKVLAA VAYDFEVAMSAVMYEARKLVRVASQGSQEEEKKDEKSEEKPAEEEERPSSSASPTGDD LVMVEKDPKVQWLTEWYDGLTYCTWNGLGQNLTEEKILHALDALKSHGIKIVNLIIDD NWQTLDNEGDSQFKRRWTQFEGNPRAFPEGLRQTVQAIRQRQPSIEHIAVWHALFGYW GGISPNGDLAQRFKTKEVKIKDPAAGAPLPHAFDNGVLLAIEPEDIQTFYDEFYSYLT SVGIDSVKTDAQFFLDLLATPEDRRRFIPSYQDAWSIASLKHFSTRSISCMSTFPQAI FHSQLPTNKPSIPLRNSDDFFPAIKTSHPWHVFCNAHNTLLTRFLNVLPDWDMFQTSH PYASFHAAARCVSGGPIYITDEPGKHDLAVLNQMTAPTTHGTTVILRPSIVGRAMDIY HDYNEGHVLPVGTYTGPARTGSGILGLFNIQDSESCKLVCLRDFPGIHEGSNGHYVVR AHTSGRVSDPMHPADEDSLVMVELGQKGWEILTSYPTHSFTLRGSRGCGSKTSNLTHV AVLGLLGKMTGAAAIVSYDVFIVENGRLRFDIALKALGTLGIYFSDLEDHSIARNFMV MISGRAVPRRTVWKEGGEGSRVLAVDVLGAWRGMGLDSGWGNEAVVQVFVG ACHE_11399A MKRTLSADKETLSSPAKKECHEGNGNLPIITSLDAPISPPRRRS RSDKPPPIPPSIPHGSIDTAEVASTTSTSSLPNLAAIEAGQVQVKDHLSIFSSRLSQC TRPSTSTAVPRLQITDWTDLYQRNERPHGRHFVVHQHDHPIAGPHYDLRLQFSDSSSV SWSVMYGLPGDPNSRRLNRNATETRVHCYWNHLIETASPNTGSMIIWDTGEYEVLSYQ MESNMLETDDSRSVSSESDGPAQYHKSECEKLWEAFHNRKIRLRLHGTRLPPNYTIIL RQDKTTHQTPFRMPQKRRRRARPKVQSQPTLTSSDSSPSSPPSPSYKPPDQPSTTHKS NPEPPESSTKADSDDDKAEHQIRLNNAYPGSTNTIGSIHQRRWFITLDRVNSGFEYQS GKKMWIRKKDPDTGGLMGFEPFYFRGPEKERSVVTGRLGSDVLKDEGVQGFTPRRGWR PVLK ACHE_11400A MSNNTLIRTCLLAALGNNLTRVTFSEAGTIDANPYNLNFPVVPA AITIPESTAQVANVVRCAASNGYKVQSRSGGHSYANHGLGGTDGAIVVDLKELKQFSM DESTYTASIGAGMLLDEVTHKLYDNGKRAMAHGVCPQVGVGGHFTIGGLGPTARQWGS SLDHVEEVEVVLANSSIVRASNTQNQDVLFAIKGAAASFGIVTEFKVRTEPAPEVAVQ YTYELILGNTTERARILAGWQDFISDPDLSRKFASIMILFEHGMLLTGDFYGTKEEFD DLGLADRFPIRKPGNIAILTDWLGMTGHAVEELALGIIGGIPLHFYAKSMAFTQDSLM PQSTFEKLFDYLDSTDKDTLLWLVYFDLQSGATGDVPNNATAYAHRDTLYWLQSYAVN LVGPVSNTTVGFLDGINSLIAQDVPSANTRAYPGYVDPFMQDSQERYWGSNLPRLERI KAAIDPDDVFHNPQSVKPRKDDS ACHE_11401S MFTPIHTSLGALLLFQGSSGLLLHNGAVFGISSLLAGSVFNPSR YNLPIIAGLVSSAVPIYWLAPSLLPTYPAAPSSWASIASTLGVGFLLGWGTKNGRGCT SGHMLCGLSRLSPRSLIATVVFFTTALLTGNFVNGGQNIPACANGIPCYTAVYPSTSE LGFMAAATVLTGIINYLIVPKSLNRSEESQTVFSYLAGLEFGLGLFISGMADPAKVLR FFAFLTDPSRFDPSLALIILFGIGPSLITYLSAKPGQHGDKDDGKPAKPTLAEQWRLP TATVADIDWRFVTGAMAFGLAWGLRGVCPGPAVLRAVLQPTWGVVEMAGYMLGNLV ACHE_11402S MSFLGCFLPCLFGDAVDDAPIKTTTTDHHIASNIVTAILTSPTT VDLHKTVNEQVAVTGWGWTDSLVQAILQGLTAAVTAGAALARPAADALKKAKDAAVGF AKEHPVYTTLIALGILLVLLPWVLEVLGFGEAGITLGSWAARWQSTYEGYVPKGALFG YFQRLGAKWHWFP ACHE_11403A MHLLKPLASFFVASAFTNASMLSNLPKPSSESLAAGNSAVETCV GQVHKLATAYGSPVWEKAEKAAQWSAENPGSAAWVVVGAGGALAVAAPGIVSAPILSS AGFAATGVTASSSAAVMQSTIGNVGANSLFALFQSAGAAGSGLAVVNGVVQAGGAVAV GFSGGLVWLKSML ACHE_11404A MSSAYGGLLRLILLIAVALLSVILPGHAYESLSDETLKSLPRPY NDFDINSGSLLSPILIPRVPGTSGHTAVLNHFADFFRTTLPNWRVEYQNSTSKTPVSN GKEVPFVNFIAARDPPWAAVGDVGRLTLVAHYDSKYEPEGFIGAIDSAAPCAMIMHAM RSIDEALTRKWEKMEAEGHADASLEEQKGIQIILLDGEEAFVQWTATDSLYGSRALAE HWDNQVNPAMSSFKTPLNSISLFVLLDLLGSKDPAVQSYYQTTHWAYQNIATIEQRLR DLKQFKSADSQRWFIDSSKDDHNLKTYGGIQDDHIPFLQRGVEILHLIDAAPFKGFPK VWHTMDDNAENLDMDTVEDWSMLVTAFAAEWMELEGFFEPASGRRGNGNVKRSASYDW DKTELSEQ ACHE_11405S MSSMRPTLLLFHPQLRTSLPRTMQRDLSSRLFARLKTTTTNYLK NPRAAKEEPPQLKTKDGSPAGLRTPASSPAAHAKTAIRRGPPERVLIYHGGTGRTIFL GMLRVTTIFLFGASCLLVAPAFAADEYPWYIAPAVVAGGTIPMLFVAYTSAPFVNFVH LALPVFARRSREQALQYAKNLPPTATLYINTMKFTTVPRHTEVRVGDLVADKALLRPV SFRNQNPAPLPWWQGRTLKQFWAMEKSKPGKQSTTFYPELWEYVYRQIQNNVPKKR ACHE_11406S MSGKAKQEALLGLSASEAKLILMASYLSTDQKVDYEKLAQLGGY KTAASASTLYRNAKRKLAEYIPANLQNGGGGTTNTNANTPDATPANTPKKTPTKRKTP AKGDEDGNGAVEESPTKQKKQRTPAKEAKMKEAKHESEPGPPSARPMKMEDELFPYVK LRNGVA ACHE_11407A MAKETPAASSPTTATPPSAALKKTTSSAQNMKSQKSILGFFQKS SPSTPSSAARRPEPASSPAERVSENRGANAPKEASTGKKKNGPKFSQKLTPVPSSDLG GIDEEEEKGANEQLQTSPVQPKASGSPSRRSKKQVNYVESDSEGEDDDDEIFGPNRRN SRTNKRRKTSPESDDEFKQDGNDGGYSDDEMDDFVVADDSDEDAKPSKKRKRPTNRQA PKSSPPPFEPTPADEEVDLDVPDAPSGTTLKWTYDPENLEPRQQRTAPTSSNSPSSAV KQKAHLKEPEERYSWLANLRDMDGHPVGHPEYDPRTLYIPPLAWSRFSPFEKQYWEIK QKFWDTVVFFKKGKFYELYENDATIGHQMFDLKLTDRVNMRMVGVPEMSLDYWANQFV AKGYKIARVDQSESALGKEMRERDGKKSGGKEDKVIKRELSCVLTAGTLVEGSMLQDD MSVYCVAIKEAIVDDLPAFGLAIVDTATGQFSLSEFVDDVDMTKFETFVAQTRPQELL LEKSTISQKAVRILKNNTGPTTIWNYMKPGKEFWEADITVKELDTSEYFVSQDDENLQ AWPETLRQAREKELVMSAFGAMVQYLRVLKLDRDLITIGNFTWYDPIKKASSLVLDGQ TLINMEIFANSTDGGSDGTLFQLLNRCITPFGKRTFKQWVCHPLVDAKKINARLDAVD ALNADPSVRDQFSSQLVKMPDLERLISRIHAGNCKSQDFVRVLEGFEQIEYTMSLLTD SGSGEGVIGQLIKSMPDLGGLLEYWKTAFDRTKAKENGILVPKAGVEEDFDNSQEFVE QLHSDLENLLKKVRRDLGSSAICYRDNGKEIYQLEVPIKVKNIPKYWDQMSATKQVKR YYFPELRSLIRKLQEAQETHSQIVKEVAGRFYARFDEHYATWLAAVKIVSQLDCLISL AKASASLGEPSCRPEFVDNERSVLEFQELRHPCLLSSVEDFIPNDVQLGGERPNIDLL TGANAAGKSTVLRMTCVAVIMAQIGCYLPCHSARLTPVDRIMSRLGANDNIFAAQSTF FVELSETKKILAEATPRSLVILDELGRGTSSYDGVAVAQAVLHHVATHIGALGFFATH YHSLAAEFENHPEISPKRMKIHVNDEERRITFLYKLEDGVAEGSFGMHCAAMCGIPDK VIERAEVAAKQWEHTSRLKEDLERRKGGGFIGLGWWSDVAWALKESTGEGECEGSEEI SDAGLEVLRKAIEAL ACHE_11408A MKPQISSLPSAARLSARPLNLPLSYRGVCHYAQIASVRARPSLS QSNRLVWLADGRQVQRRWITLAYIQRMKDAEKQWKEWAQEIKAGKRLSFVQHLEQREL LHDVVGERDLLHKVFTEKRVGLYAGVDPTAPSLHIGHMLPFMVLAWAYVWGLPAVFLL GGATSRVGDPTGRLQGREKVHSSIRKANMAAMHMQLKKLGASIERYGERHGYQRQRIW RRSLVNNNVWWNKTSFIELLRDLGAFMRLGPMLGRDTVKTRLAKGDGMSFAEFSYPLM QAWDWWVLFRKGVQVQVGGTDQYGNILFGMDAVKSISRNTADEQDRNALEKDIDRPIG LTTPLLTASSGEKLGKSAGNAVWLDKDMTSTFELYQFFIRISDDVVERYLKMFTFLPL PEIAKIMEKQNADPSKRVAQHALAFEFVELIHGRQEAEAVAMQHRQLFRPRKSTSEPT PLAQAGRQPPAGHLRSPTASFVNPQSGNPYAPQTNFSNMPSVNVTLPRSLVYDQPFNR VLWHAGLVSSKSEGHRIIKNAGAYVGSRPGESGPMSDELSFTPIKPWTADKTAEFIQG DSLLILKLGKWKVKLVNIISDEEFKERGLTAPGWGSQQDEN ACHE_11409S MLLSSSVDSWLYWTVYSKLGVPVYEELAALVFAKSMRKKDVKDT KTTHKPDESAMDPSTAALLDTEEEDEQAQEGLQSIINYAAVDAKRVADFACYSYLIPH AIIRLTIACGFLLGLLGWRSLSTGLLVAAVIIPVNSYLATRYAKSQDDLMKLRDLKLA VVSEVLQGIRQIKFSAIEGEWERKIAERRHAELQSLWTSFLYSTGLISTWILGPLMLS AASLTVYALLYGELTASVAFTAMSIFGSLELSMASLPGLMSKALEAKISSDRIDKYMD SPEKPMNTTPANYIAFEAASVAWPADEDHSDWDTGKGFVLRNLNLTFPPKGLSVISGK TGSGKSLLLASILGECDILQGKVKVPVSPQLNVRCDRRISSAEWIIDNAIAYVAQIPW IENGTIRDNILFGLPYHPTRYRKVLYACALDKDLDMFPDKEMTDIGANGVNLSGGQRW RVSFARALYSRAGILIMDDIFSALDAHTGRHVYNHALTGEIGRRRTRILVTHHATLCL PHTDYSVFLENGHVKHAGTVEELRRNNSLSDLLLLEESARPDDQRHIEGRRESEIEPM AVPNKVRCSSTRNRTSTTARRSSVASRRSSIASRQSRRSSVWSRNTNIGETDTRQFVQ DETNGTGSVSISVYAAYCNKGGSAYFWGTIFGAYILYACLIVGRSWWVKLWTSSSNNQ ENQSHHPSSIDAIMDRLASVKADADLFLYLGVYVGISVTACVLGTVRYYTLMKAGIEA SKNLFNDLTYAVLRAPLRWLDTIPLGRILNRFTSDIYAVDVRLGYDIGLFVHNVLEIT GILLAGMAVSPFVIIFAAVILAICLKLSLMYLAGAREVKRLESTARSPIFEQFGSSLA GLITLRAFNKTDTYIGIMYEKISVHARTAWYLWLFNMWLDYRMSVVGAIFSTMTAALV VYFPSIPAALAGFALSFALQYNSAIVMVLRQYANVELDMNATERVLEYSDIEIEDQTG MEAPASWPTEGKVEVEDLVVGYAPDLPPVLNGLSFTMERNQRVGVVGRSGAGKSSLTL ALFRFLEARWGRVSIDGLDISKLKLHDLRSRLAIIPQDPVLFSGTVRSNLDPFNEHSD PELYDALERVNLISFSDSETLASESSTRPQITRTTSPESTTDTLASSHTSRTKENSLF TSLSDMISEGGFNLSQGQRQLLCLARAIVSRPKVMILDEATSAVDMETDALIQRSIRS EFGRNASTLLVIAHRLSTVADFDRILVMDAGREVEFGCPRELMGIEGGVFRGLVESSG EKNVVEEIILGKSLDY ACHE_11410A MALYTRWTQSGLRHIHHQHYNPRRWLATSSPQVVTFDPLSQTPF TSTPQAKYWRNIPFWKDVSENEFINYKWQIKHSLQNATSLLTFLASVLPSSIPSPSTN GNATREEFIADVAAGMKRAPMATRLTPHILSLVNWEQAYSDPIRRQFIPLASSFQPDH PRLQLDSLHESLDSPVKGLVHRYPDKVLFLASSVCPVYCRFCTRSYSVGAKTETVSKK RFLPLRKYWEPMFKYIARTPTVTDVVVSGGDSYFLEPAQLREVGEELLRIDNVRRIRF ASKGLSVCPSRILDSTDDWTRTLIEISNLGRKKGKSVALHTHFNHSQEISWVTEQAAQ RLFENAVTVRNQTVLLNGVNNDVATMKELIRRLADINIQPYYVYQGDMVQGVEDLRTP LRDILHIESHVRGTIAGFMTPSFVVDLPGGGGKRLAQTFQSYDQKTGVSRFVAPGVKG DTVHEYYDPLWSFP ACHE_11411S MAPLVIAFFYESISLYRSRGYSVEECVELDQDETIEAIAQSLRS NGYEVVLVGDVKELVNRIAKGEHEKWDLAFSISEGMHGVGREAQVPGLLEAYRIPHAL SDAATLALCLDKGKTKMVLEHQGIPTAPFAVVPALWTPNGSTAKTVSQLLEKSIHAEE LKDFPLFIKPACEGSSKGIYPFSKVTSPSELENGVKKLQARFLGQSILVEKYLTGNEY TVSLLGTGNSAKVLGSLQVNWSNPADGGFYTVSNKNEQGNEHLDQFVDAHNNPEVQAA EDLALRTWHALECCDVGRVDVRFGANGKPYVLELNPLPGLRPQWSSLVQTAEYHKISH EVLLGRIVESSLQRYPSLREKQSEK ACHE_11412S MFPRVGRYSPRLRSSYIEISNYFRGRQAGLRTGLLAQAPTYRSF HKQFCLREQPHIHAEHRPFLERFKKAVEFPPATHNDFEVFFTQASPGQEVTLHGYLGH RADLSKKLSFVRLTDPTMKHSVQLVSFAKSGDAFEKVRSVSANSPVAVRGKVQAKKAK GGETLEKSDAWEVHVEDLHSLNDFPKNILMTPETVFAPEQRYLQLRNESELRDALRFR AQVHNVCKEELEQCQPAFIEVETPLLFKSTPEGAREFLVPTRRRGLAYALPQSPQQYK QILMASGIPRYFQFARCFRDEDLRADRQPEFTQLDLEMSFATGEDVMHVVEGIVRRLW STLMDEPAPSGPFRRLLYEEAMSKYGSDKPDTRYGMEISRIDYLLPVDLVSKITPILN PYVEVFKIENNENDPAAMTKFITEFLDSPAGAPFNNNPDGAPGIFIYDAKKPLCGLQP FGFEAAEHIENALDADHGDLIVIQAREAVPFTGGSTAIGDLRRALHTAAVSSGFKPAP MGFEFLWITDFPLFSPSSDTEPGQGGAAGISSTHHPFTAPKTAADVDKLLSDPTEAIA DHYDLVVNGVELGGGSRRIHDAAVQEFILRDILQMRPERLADFEHLLDALRAGCPPHA GLALGFDRLVAVMLGKESVRDVIAFPKTGKGGEDAMVRAPSEMSEEALETYHLRLRE ACHE_11413A MSDSLYRLTPDIKMAFESLSIKSPHLSSGAVSAIVTHNFLRDTF TIQTWLLIGAALQSLLTILLPLPYAILPAICLLSWRAMHTVLMAFGYIRNTNMDDVVW GKFSAQVPGRDGMLPEKGAAEDVTVIMLGARSNHPLGIFGPGYQQVGDFMNKMLAQLE GNAEEYGFLGSTTYLEATARKTSNQSIILCYFRSIEEMHRYAHSPLHREAWNWWNSIT RTHPHLSISHEVYHAPKGCWENIYVNYHLTGIANMRIDVKGSEGGVRPIVDASRGGLR TQTGRLAKSDGADNEKYGVDPY ACHE_11414A MIPLRSSLLRPGAASRDPARLLCSQCLHRAVRPRRPSVRAFISS SRLRSGVAHDNSPSSTLQKTYFSGNRTVDDATFMSNGNLLDSLSTANPSASPANTRAA SSSSSDATIQSNPEELPHRRRKRLKEEATGNNSTEHVIPPDASAQLSSFSSALPTTSL RRKMAAFLALTKPRLSVLIVLTTTSAYGMYPISTLLTLDPSIAPLPTLSTSTLTFLYL TTGTFLSSCSANTLNMMFEPQYDAQMSRTKNRPLVRGLLSRRAALLFAIATAASGLGL LYIGTNPTVTALSAFNIFLYAFVYTPLKRMHVINTWVGAIVGGIPPLMGWVAAAGQTA TMGHDTWRDMLLSEDSIGGWLLGGILFAWQFPHFNALSHTIREEYRAAGYKMLAWTNP ARNARVALRYSVLMFPISIGLWWVGVVGHGFLVGSTVANGWLVKEAYRFWKQQGANGT ARGLFWASIWQLPILLVGGLLTKKGLWDGVWNQIFGQPLDDEDDYLYYDAEEEELETS DSGAVSAGSNSSSR ACHE_11415S MDVLQKSVIEPLQPVLRPIALSLPEPVHDAIVSLIGSDCHNALV LGLDVTKDPKCTSLAISKALGLAIVGASAIVKVPQILKLIGSQSSAGVSFVSYALETA SLLITLSYGVRNKFPFSTYGESALIAVQDVVVGVLVLSFAGRPTAAAAFVAVVAASVY ALLVDQNMVDAQAMAYLQAGAGALSIASKAPQIFTIWKEGGTGQLSAFAVFNYLAGSL SRIFTTLQEVDDKLILYSFIAGFTLNVILAGQMLYYWKSSAKPQMQAKPAFKPVQQAP VAASTGRASPKPSGKTPTTRRRG ACHE_11416A MTSLNQDQIKALEQSRQRLVQLTHSLSSLITSINTSDPLPSWSS LQSQATIISNNLITVSEHLTDQKDLFNSLVAYPGPDYPSRTQGPALEQLLRTKLDPRV EDWVSRGRTTGQKSRSQTQVGGRELSEGDLAELWAWAPVEANQEARRRNWGGNFTLEE KEAGIENVVTGLRRELEDEDESEEEEEEEEDQMDIVGARKKASGGGLEFDIAARQPSQ VAAAAGPMMPLDDVLRFMTTGLPPKQR ACHE_11417A MVEAVAGPPTPLRKTPRVLAHDENGQAEASPVIFQTPGPSPFSV PDSAGVPSTRQSKRVNFSPFPSHVIPPSVTSIAMNSKSDLKTLTPSNERKPSKSILKS TNTPVPVNTAESEPVTPGNFVMLLHSIAEQLGGDSTTAKLDAYMHFFGVLRTYEGVPT LQEVSDKLGVITDYMRKDVTRDLENAEPVDINLVCQALKLSAALIWHAEISRQLSDDF RVFLVDHSIDRLHDAKVPKSVLIHCMSILSGQNFGPKIMNNARLARLLNGLRDISDRV NGNGIISQRLCIYHRLLEQFRSFFISQAALWMEHLISGLLHPVKDTRIKSISLGSQLS KIAGPNATLSKTIRDIFDKPLGKSKKLVSEICERMSRMMASADTNSHVPQIWTVIVSL LRCRSLNIDQWEHFREWVLVLQKCFNCSDAAIKAQAILGWNRFVVVVGPNETTNPSVI KMLSKPIFSQFERKKQEKNGAYPNQLAVSSYFNLLYFSFHPTATHPFLDTVWQEYVSS PSATIFASNPTLSNHVAHALSYMLWSSHTKVWTEATISQCTKFDPSFLPALECKWTRS RITSVIGVFENLFKSAPWTDGRPEQSYIASAWGSLSNALSYASSKEITPTPESMQAVA AVLGLLQRLWKSGPSSLDATGNYDAFFERFRFLSAKVISDLGSILFTEKLLLKTADET FQTATTPTHRGQQGDSNLNTPIMHFMRLISDVSSIKEPTESYLDLVNGTLEAFCNGKE TRGSRLDLLCHCVDLHPSETNSHSGQHNLDQTVWECTAQFAMDALSRLKESNRAPSPR DYENVVKILSAGLKFADISQFWGQLLDSFAHATRTEKDGQAIVTMVLEPVAEAATHFH DKLVYTPSISLLLLLLSVSCGRPDVTKFDGSNGLYLPEKLQTLIHKTLKESYGGFDPA NSGGAAGFIESLASFLESGDLDFRSMVLEKFQGPLVQWLKDEGRKLDAESDVGSRHLV ACRVLSSTVLNIIQMSQSTNPPCLQKFEPIICGGLESFHMSISKRFVDMLNTMSKSDG SLVYSESISRASQKWRSDNQNQPPSSSPLVNGLQVCSREGLLHLFAMLNCSKINAHPN AADDDLASISPKPHQTSENPAVTSHDSSPVVKVDDAATDQFQLPEQRQTDELVFMQGT LPLSAATASRKKREELFSMIENLRSSSPAITPKELGFMTPPHLRNFRNAGRDAEPPLT PTLPVVAGENEDVFLGSSPTPGIRNRPQSSQSRLASSQRGKTPDTHPNTDPPSSPPTI KSPRPDRQELQVPAEQDNTMSEQTSSRKSPKKKSKKDRSNANPSKEKPVTKRLRSSKK STPSKKSSKRTESSMRSEEAGKSISLGTPNKQPGTGNVSNTIADSFNEDVNEDVESQV ASQLELDLEHAADHEGNLKDESAELPNSFPMTKKRKRGVEDVQTPPRSEKRRSNRLTS SQPAATDIIPEPRSTRSTRSSAPVSQQNDTSPRSSPAQSAGKRRKGQNTVDAKESTEQ TTAEPEQVADHTLEDDSHIPESSQNRRRSTRLGTIPEQLAAEESLRKNSRNARRARLR QANKENRQKDLEARQETQETSQETSQDVSQVPDSHPQEEQLVAENVASQKALSQEREK EPDVPAEQKEVKEPLKPTSPEQPAEIQGNVEMDQPEVQPEQPTTEPDVEMEDAVMVPE VNTAAPAQEEPAIAHAPQTEQPAEAPTEKDAAASQSGIIRSFEQSLNDLKSATLDENS LRQLDELLFKIRVEAHDAFRRNTG ACHE_11418S MMQFGMLQGAAKLQKVTELLATLEKDLKEGTLTTQQRVQTLLEL RQHGTNPRDADPIYSKDGLEVLMKYGVDGEIADVRRSALRCVANALLLNPNMGQVFVD TGYASRLAEGLKCDASDDEMMASRILAFSSNRTTMDVEKLVSEHALGTNLNYQVSRHA AQFPESGKAALSQMDQLALTDTLKLIYLIVSRNAKLAETFSPSLPLMFTILSRADIPA KPLDEVVGQLINCISALDNSRGKVKQLEDSILFPAANENAIADKLIKILDKTMSAYSP AELEPRAIPLLYTLAVICERAPDGPREHMKQLLLPESEDRSQPIGQSDTLPSKLLKTT KTPYSQLRMLIFELMFVLSDRDAEMLTKNIGYGYAAGFLATRGIEVPKMGGEGESGGL NPEINPITGQKWSAEPQDTGPPMTREEKEREAERLFVLFERARANGILNVENPVRLAQ QEGRFEELSDSDTE ACHE_11419S MSKPFNPEEAQNLEDMEKQFAVKAVEHLMTYWAILEKVPGSKLR LTKMDDEIYAHFKEEFPDFDPAATLIEDEMKSKAGKEKWRNWMMKYEKTIHDFNFGTI IRTNPKYEYDQDTTIFGKILFLWIPDRGGVLGELTWVDKGVRMQFYAIEIARNRAGLN DWIYEKAQKSSS ACHE_11420S MILPITLSRHEKELTSYAMLDTGAEGKRFVDKEWAQDHGLKLLP LKRPIRLETFDGQEAESGPITHYVQMHMRINDHQEKRACFLVTQLAHYPVVLGLPWLK IHDPRIGFAEHTVLFDSKYCQEHCNVPTRPAKIRALHDIPRKTRPKHLPARPEGLEHQ DIAAISLSACSAYARKNYRMFTVTVKDIEAALNPTPDEEDPTTKLPPEFQDFADVFSP KEAERLPPHRPYDHDIKLQEGKVPPFGPLYPMSREELKALKEWIEENLKKGFIRPSSS PAASPVLFVKKPGGGLRFCVDYRALNAITVKDRYPLPLTKETLNNLKGMKYFTKIDII SAFNNLRIKKGLEYLTAFRTRLGLFESLVMPFGLTGAPASFQRFMNDTLRDYLDVFCT AYLDDILIYSKTREEHIRHVRLVLEKLRDAGLFAKLSKCEFAVPETKFLGIIVGRDGL RMDPDKVKTIVDWETPTCVTDVQAFIGFANFYRRFIKDFSKIITPLVNLTKKGIQFKW DTTCELSFNALKKAFTTAPVLRPFDWNKEVILETDASDYVSAGVLSQYDDNGVLHPVA FFSKKHSATECNYEIYDKELLAIIRCFEEWRPELEGTPSPIKVITDHRNLEYFMTTKL LNRRQARWSEFLSRFNFKIIYRPGKQGAKPDALTRRSEDLPKEGDERLLHQSQTVLKK ENLEPAPDNSPVTLNATTRARDHSAESSVENPPRIPAQTRRVRFADETNHDVPEPPQD IKNLLDNAYSVDETVLSILEALDKDATRHPQITLADCQRRGKYLFYRNRLYVPDNGEL KAELLRQCHDKPAIGHPGRSKTYELLSREYYWPGMYQYVEQWTQNCHTCRRIKPSREA RQGILRPLPVPERSWQDISMDFVTHLPPSRGYDAILVVVDRLTKMKHFIPCKGTCNAE EVARLYAYNVWKLHGLPQTIVSDRGPQFVAQFWKHLTRRLQITNLLSTAYHPETDGQT ERTNAVLEQYLRAYVSYLQDDWSEWLPLAEFAANSARSESTHVSPFFANYGFHPRMGF EPVLPTNRPARDAEEFACRMELITEFVRTAITSAQARQEEQANRKRQPARRYQVGQYV WLDSRNIRTLRPQKKLDWKNLGPFRIVEIVNPHAYKLDLPASMRMHPVFNVSLLRPAA GNPVPGQRQEPPPPVEVDGLEEWQVEDILDSRWERRGRGGPRLKYTVKWTGYDDPTEE PAAYLEHAQEVIANYHRRYPHKPGPGLNGARP ACHE_11421A MRVSAVICTLTTAGFVAALPVAPGVNRDATRDSLLPRGIDSQNS ANPNSLHSLGSAVPGNIHARNSPPKTDPKKWKSDHKHDTDDEDDTDREHDTDGEDDTD GEYDTDDEDDADRKGHRGSEPHGNERNDKRNNDKNQHGKGNDNGNNHHRNGNENGHGN GNGNGDGHHDERDNDNAHHGRDNGNGNVNGNGHGNGGN ACHE_11422S MCASQLEELRGMRPGPASEPDSPRIVGEDTPTDCENLETWYRIQ RTIRNTAEAPETGSDTRETRSEAYRISRTEIIKCPVGLELLANWLRIDQEASDKEKRK VLIGELQESYLNERSHVRALAAQQGRSLDEIHAWFMNKVRDLEAISFDEGLGRKAT ACHE_11423S MPLIALLLCILFHVVHSKILLPGPSGPCQVHIKSTELVDTSRVD PYSPKHDVRAIMVSTFAPIHCGEVHYMPYIPPRIFPEASRRMKLPNSTLNIFELPSFA PSEDHHNDTQKYPVILFSPGMGSSRLIYANILEEVASAGFLVISIDHPHDAAAVEFPD GHVISMNPNIPHNVPLALETRVGDVIFTLNQLQRNIQSILPSSFSRKLYLDNVAVVGH SEGGATAAATMFNDTRFAGGINLDGSLFGPVVQKGLDRPLINIGDPHLAKEQHWAWDV IWSRLRGFKLQLRINDIKHLGFTDLPLVFDSAPNAKALRNESAEHLGSLPGLGTLPGL RVRAILAEYITAACGFFVTGKKSDLLNGPSPLYPEVVYVRQ ACHE_11424A MARIFITGSSDGIGQAAARILADRGHSVVLHARNTDRAAATHRA VPKAEAVLVGDLRSIAETKKLAQEAKGPFDAIIHNAGIGYGSTSSREITADRLSAVFS VNTLAPYILTCLMERPTSRLLFMSSDSHYGGDESLRNVTQSHSYSDSKLHDTMLANAF ARRWGEEIQVVSMHPGWVRTKMGGSMAPGGMDKPARALADWAVGQGKLAGLRSGAFFT TSGEDSAHPGAGNVNKQEELLRICREVSGVGVPGE ACHE_11425A MSKDVLVFPESERPFLEPYLLPHNAEIKNSNLPFVTLTFATSLD SSLSIAPGARTVLSGPQSKAMTHYLRSRHDAILIGVGTAVADNPGLNCRIQGVGGYGG GDNLQGQPRPIIIDPTARWDFTEEAQILQLTRNGCGRAPYILTRNPSPPAEQRQILEE HGGKFITLDITTPETGHDLDWTAVLETLKKEGLNSVMIEGGSGVINTLLEPSFQPLID SVIITIAPTWLGQGGVVVSPKRRFDAAGNAVPASRLANVKWHPFGEDVVLCGRIKS ACHE_11426A MSISVHSTGEPDTVGPYPHPRKTIRQRLESLRRAFTTRDGLIGD YDYASLFRPEIPFVKRTPRAMPFFGLNDPIPVLLAVILGVQHALALLGGLISPPKILS SSMNLDTIQSQYLVSTALIVSGILSMVQIIRFRIYNTPYYIGTGVISMVGVSFSIITV ASKGVNQMYENGFCPTADDGTKLPCPDAYGAFLGTSACCALIEVLLSFIPPAAIKKIF PPIVTGPTIMLIGTSLLQTGFEQWAGGSSDCMSRPESGQYVLCPSVDAPHALPWGSAE FVGLGFLVFVTILICERFGAPIMKSCAVIIGLLIGCIVAAACGYFDGTEISEAPVASF IWVHTFKLQVYGPLVLPLLAVFILCACEAVGDVTATCDVSRLEVEGKVFNSRIQGCML SDGLGCIFAALGTMTPMTTFAQNNGVIALTRCANRAAGFCCCLFLIIAGIFAKFAAAI VAIPDPVMGGMTTFLFSSVLVSGLAIVAKIPFNRRNRFILTASLALGYGATLVPSWFS YVFHETDNRSLQGLFDAIVLVLETGFAVTAFVAMILHNIMPDEIMDTFTVDVVDGHAP AESSTRAYQLEQMGGK ACHE_11427S MLYTNATIVTVDPSRRVIGDGALRLENDKIADLGKTDQLRVKYP GEKEYDLSGRIVIPGLISTHMHTAQTLLRGTADDLELVSWLCERIWVLQGNFTEADGY AAARLSIGEMLKSGTTCFLESMFADRYGFDGLCRAVEESGIRGCLGKIVMDIARYAKD DAWAMHPGLAENRETSLLGTLRMWEKWNGKADDRIRVWFGARTPGGVSEALYREMTTL SHSKGIPITMHCAEVRADREFLSSVSHTPMSYCESVGLLSPSTVLAHMVHLDDFDIQR LSASGTHVAHCPTSNAKLASGICRVPDLQKANVNISLGTDGAPCNNTCDLLQEMKLAA IIHKSTSYDPTAIPAESVLEMATINGAKALGLDDRIGSLEIGKKADFVAIDMRGIHSQ PWFNAVSAIVYTATGRDVDVVVVDGRMLVKDGELLTMDETEIVEEAKKRSREVVERAG LTEKTKGRWPVE ACHE_11428S MSPPTTNGKDALPQDGTYDQIEIASNPQILTEADCYSDLGYSFP SVKKWTIITVIFLVQTSMNFNTSLYSNAVSGISEEFNVSKQAARCGAMIFLILYAFGC ELWAPWSEELGRKPILQLSLFLVNIWQIPVGIAPNFATIMVGRALGGICTAGGSVTLG MIADLWEADDQQYAVACVVFSSVGGSVLGPVVGGFVEAYLPWRWNIWIQLIFGGFVQL AHLVLVPETRTSILVDRVARHRRAKGKNVYGPGEVVSFKDRFSAREILTTWVRPFRMF LTEPIVAALSLLSGFTDALIFIFIQSFALVYEQWHFSTVAVGLSFIPIIVGYIITWII FIPVICRNIHERQTKPDDERAQYESRLWILLYAAPCLPIGLIGFAWTSLPQTHWIGTM FFSALVGIANFSIFMATIDYMICAYGPYSASATGGNGFARDFLAGVLTVPATPFYQNI GGKYHLEYASTILFCISVLLVATVYVIYFYGPVLRKRSPFAQQLMGRRAEMQTEIRSA AASRANSRRNSEV ACHE_11429S MTVIQQKPQNLAIQTNPNHDLRVVECEIPKLRPDECLVHVRATG ICGSDVHFWKHGHIGPMIVTDDNGLGHESAGVVLQVGEEVTRFKPGDRVAMECGVPCS KPTCDFCRTGRYHACPDVIFFSTPPHHGTLRRYHAHPEAWLHRIPDHITFEEGALLEP LTVALAGIDRSGLRLADPVVICGAGPIGLVTLLAASAAGAEPIVITDIDQNRLTKAKE LVPRVRPVTVSKEESSQALGQRIVQELGQEAKLVLECTGVESSVHAGIYATRFGGTVF VIGVGKDFQNIPFMHMSANEIDLKFQYRYHDIYPKSIALAAAGIIDLKPLVSHRFKLE DGLKAFDTASNPGSGAIKVQILDD ACHE_11430A MSLQGKVAIVTGGARGIGAGIVRSLSQEGAKVAFNYVSPSSRTA ADALVTSLRNDNKEAISIQADMADTNAPSTIIKATLDAFQTDTIDILVNNAGVGDNRP LEDVTLDAYTRMMDVNVRAVIFMTQAVLPYLPKPRGGRIVNLSSISARGGYPTQSVYA ASKAAVEGLTRVWATELGHSYGVTVNAVNPGPVDTDMYQAAGPVHLARMEEQNKKVPA GQRCGTVEDIGDIVLFLSQERSRWVTGDVICANGGMLYT ACHE_11431S MTDVQRTRHVLRRSYACDECKRRKIRCSGDENCSNCKRDIKLCR YSSPSQRLSTLQRRLHELERVKADMERAWAVYLPSVDLQEALQTIRLQNDSNDPVPAD QKRQKHHNDVTHSTEQPPTSFAEHSNAEDYEFDESQDFDNSTDGMGFLTVDPHKAGYT GPQSGVAALKFLQSLPLYLPLSSFTPGSSLDDEEDDTSAAAVQRRRAEINRYLDDYFE YYHPAYPILHEGTFRARVSGALAKPRDGSWPLLYNIVLAIGAFVGDSNATKVDVPYFK EARKHLSMDVLEKGSLSYVQGIVLMANYLQKRNKPNAGFILIGIGFSMALAIGLHREF GMPSTSPFTMEIRRRVWWTLFVFVSGVQLILGRPAVSLVGVTVHLPANVDDHDLAVDM DALPECGTGPTITSCLIAQVNLAKIANAVQVELLTHHLPTYQKAAALEQRISAWYHEL PAHFSLDVPLEPRFDIPRRVLLWRSFHLRIVINRPFLFQRIAAKSNLATSTGPIASCL AAADECVTSICAFLESTDNRRRGLTWYATCWLLTATFVQATCYIYEPGNALAPGWKSH IQRAVDCLGSLGSSHDMALRARDVLQTVLEHGHGLAAPGNFTPYTSTQIPAPFRSLWA PSDDQANFNPFSMGLDQSIPGYPQGSFNAEFLDATAGLMIQNFFDSTDERQNNSCMPG ACHE_11432A MLAQRYPTAYDGIAASAPAIYWPQFVSSFTYPYLFSNWAQESPQ SCELEYLTAEAIAYCDPMDGVIDGLISDVSFCNYDPFNAVNSTFNCSSTGHTMQLSKG AAMLADAVWSGARSTQGEFLWYGLNPGANISGLGNDGANAQAPSGVQGLWIGLFLQKL QNYNNTVIDHEDFDWLFHLGVQEYTDIIGTADPDLTKFHKAGGKLISYHGMADNSIPT EGTEHYYNAVKERLPDVHDFYRHFEVPGLGHCSGGNGGQPKTVFDALRSWVENGTAPD TLPIEFSSKDGSHQERILCPYPSKAVYQGGDSSSAESFRCVDSEEEMCS ACHE_11433A MNLSTIPITACAPSAIAPPTILGAEILSLSASPVTNFSFDVFAD FNYNHGEISVTNASFCNITVTYAHPGQNDIINVETWLPLSNWNERLQATGGGGWQAGR FALSQFFMAGAIGEGYAATTTDAGLGDSPTSWALKSDGNVDLYALQNLGSRSLHDQAV IGKSLVRSF ACHE_11434A MLQPIYALQTGPPHSTPPVPEWGHLPLDIYMLEQWHVPHPHSAW ETVQGRCRRLARQFMQLGAIRREPYIRRTDPHSPLYSPARPTEEQIEHILRPYRPDGL RRFALAAGINPIWMRICYDADSPDAHDAIWREFMCEKYQAACPGSLIFNDPTTFGTDN VDLALEAFPERVINTSRPEYAHVREAALQCLRGGDTSRWTRLEGQYEDILDQDEIDAI VIRERFVSYHIACIVTYILVEDMGTLMGDGVLLVFLDDMGNVVRQDRMSPEQVDNFEI KWRTGRWKYYAERYAQEVGPAYLNGGVRGPPYW ACHE_11435A MLLQRSFLAIALACTAAAASERTCYYPNGQEASGTVPCTDSLYT SCCGESAICLSNGYCMDVERQPYTMSRGACTDSSWGADCPSQCAGSSDYPNAGCAIVL YKANGTQADYCCNAIINDGTDAGCSNGQTPFTIPDGKVIIGRALLSNSSTTSKVNATC ASPTAVSPSSNNKRDVAIGAGVGVPLGVLLLTAMGWALFERKRRYTMMSSAAAAGAAG VPGVSYSAQMQQPVYGQMAGYPAPGVEGPRMQELDGAKHVQPQELEGRAPS ACHE_11436A MASVIAGGLHKAQEAVQNTASKNKKMVDLQQSTANIHTKLPVTT DHGTKMENADNWLKIMDDGKRTGPSLLEDQIARERIHRFDHERIPERVVHARGTGAFG NFKLKESIEDLTYAGILTDTSRNTPVFVRFSTVQGSKGSADTVRDVRGFAVKFYTDEG NWDIVGNNIPVFPIQEAFKFPDFVHAVKPEPQNEVPQAQTAHNNFWDFVYMHPEATHF FMWAMSDRAIPRSFRMMQGFGVNTFSLINAQGKRHFVKFHWIPHLGVHSLVWDEALKL AGQDPDFHRKDLMEAIDNKAYPKWDFAIQVIPEENQDDFDFDILDASKVWPEDIIPLR VIGELELNRNVDEFFPQTEQVAFCTSHIVPGVDFSDDPLLQGRNFSYFDTQISRLGIN WEELPINRPVCPVLNFNRDGQMRHRITQGTVNYWPNRFEAAPPAKPGEGGFSSYPQQT VGIKKRGLSDKFREHHNQAQLFYNSMTPVERLHMKKALSFELDHCDDPTVYERLAGHR LAEIDLGLAQTVAEMVGAPVPSKQLRQNHGKTAYHLSQTDFTPQKPTIASRRIAILIG DGYDPIAFSALKTAILAASALPFVIGTRRSAIYAEGENKTTSKGVIPDHQYDGQRSTM FDATFIPGGSHVKQLQNNNQLRYWVQESFGHLKAIGATGEATDFVRQNLATVDGLQVA TPAKAEPLDWYGVVTARAVQKPETFREGVRIAKDATDFVGKFFYAVAQHRNFPREMDG LSTQVAF ACHE_11437A MMTIRFLNKHFFPPVSAPLKRTVTHSPIMGTPRIINAIKQDHRD IESYYDRIIKSTDKTEQTQYQNLFTWELARHAIGEELVVYPAFEKHIKGGAALADKDR KEHQPIKEQLKKFQNLKPTDPTFLPTIQSLMKDLSQHIHEEETSDLPQLEEALSDEDS RSLSKTFGRTKMFVPSRSHPSAPSKPPFETAVGLMTAPIDHLADMFRQWPDTAAMPNP STK ACHE_11438A MIPPESEESPLGFTGAPTTYSQHDDAAAQVEDFDSQSPASSRDP GSVQTVNDTATTAPEHRDDAQGGSRNTAQSNNQESDKPAWSEMKTKAGKERKRLPLAC IACRRKKIRCSGEKPACKHCSRSRIPCVYKVTTRKAAPRTDYMAMLDKRLKRMEDRVI KTIPKEETRDMTAIGRSVVRPPAPGQPTSKSQKKRSADEAFASELDDWTREERRAPQD TFPMSKSGDGGSSLLTEGAEFLPSLEIQEHLAEVFFDCVYGQSYLLLHKPSFMRRLRA GSVPPVLSLAVCSVSARFSTHPQLNSEPPFLRGENWANPAAAIALSRHDEPNITILTV FLLLGLHEFGTCHGGRSWSFGGQALRMAYALQLHRELDYDPLLQHSNGNGSQLSFTDR EIRRRTMWACLLMDRYNSSGSQRPPIGNEKFLHIQLPIKESHFHMEIPGPTEDLDGNV PNPVPDDIGQLSNAKENMGVSAYIIKTVVIWGRIVDYLNLGGKRKDPHPLWSPESGYT RLKRQIDEFSASLPSHLLFTYENLQIHAAERIANQFLFLHIIIHQNILFLNQFAIPLS PGGRPPRDMPKAFLSNAGRAAVEAAHHISVLIDRASAYPLTVPFAGYCAYSASTVHIW GIFSKNAQLEARSKENLRHTYRYLNKMKKYWGMFHYMVESAKDRYRQFADAAIKGTVA THDGGKITAMFQYGDWFDKYPHGVSRMHWEDPGAKQKETGDDAVMGQKPDLQSVEDFF ASLSPTPQTAVPRRSHSRKASRVDSASAEQPPQPQSTVDVNMESSPGMLGTSGAGFPQ PAMYNHGRAQAFGPSPLDFNIPSDHLPQLDRQFVYGSFAGFDPASFEAPPPPPPANNP PILPSATNGVEPQHHQDAAIFTGQLDPTAPSGAGEYYQPSAWFLPFNLEPIAPAPGME HPPGAGGGGGDMFGNTGIPMGTYDFGMGGMKRDP ACHE_11439A MVSTRQQKRDFPSPPRRSPSPPRDTTTTTTTNKWVHTPSTAITL WLIFSIPLVLWDSGYVLLRPHSMPGNSLHSPLWTPYALYGTIDYIYGWPAFNARNGFT AAQTVLNLFESAGYCYYLWVVYRYGVSVSAGSAGGRGRGKRAEKAEKKVLGFLKEERV VAGRVGATALLIAYSASVMTLGKTVLYWLNEVFSGFENIGHNDIFTLIFLWIIPNGLW IIFPSYNIYVMGSEITASLENSSVKGKRSKSS ACHE_11440S MAPAKETVQQHSTEDPESCTPPKENNNEHDSLECQRPSSTFKQL GLLDKLLALWIFLAMAIGIILGNFVPNTARALERGKFVGVSIPIAVGLLVMMYPILCN VRYETLHRSFRQKALWIQVGFSVVVNWIIAPFFMLALAWAFLPDERGLREGLILVGIA RCIAMVLVWTGLAGGDNEYCAILVAINSILQMILFAPLAIFFVRVIGGADSGFDIDYS LVAKSVGVFLGIPLGAAIVTRFMLIFLVNKEWYEKNFVKWISPLSLIGLLFTIIVLFA SQGGRVVHQIVSVVRVAAPLVVYFAVIFLITLLVTRRCGFGYRLSCTQSFTAASNNFE LAIAVAIATFGVDSDQALAATVGPLIEVPVLLSLVYVVKWLGRRRQWEA ACHE_11441A MEFATDQRKDKLARIRENQRKSRARKQEYTHELRQQLASFKELA HQKDVQHRLTVQRLEAENARLKSLLTAVGVPSSVVHGYLQATGESEMARKVAIPALKR AEGAQGQGARSCSLKKETNGNAVCCAKPDGLVSIGEPGVSPDNGTDIAHQSVSRRSRI CGSVADDRSFPANEDVLNTTLCAIAEELINQYNTRGVDLAEIQKKLWAGFTKSCATGE ECRVQNHILFQVLDEISGD ACHE_11442A MAAAITSWVLNPIQSLTMSRPRTRKLWCAVPGNLRRPFSIECVA DQDDIETLMKKILDHAPAHAKKDASDYGDLILYSPVVQLNHEEEFRIDDGEFLHPRRM ITSNPLFPESKDSDVDIVVVVSGDTTTWKRKRSESQSVNVPWTHPITENQLICPRERT VSKLAAILDEVNIVHVRGTPASGKTRLSELLRDYYRKEGRKAFLIKKWEELDSEDPWG SLIELVKKKNKELEGVSTSFPVTSSKSEHDLSWVLTSNTVIIVDEAQATYSDDTLWNT ILKERQTPTYIYKFQLCLFCSYGSPAAGPDQTFFTPVKLANQQCISLTPQSQQDSPPI GLFYDKEEFKDVVSRLLTFQYEERFKFDEGALEYIFAVSSGHPGAVTSIVDVVYETYR HDIKRGHIRTLTEDHVIWFLEDTATVFEKLSIKPVNRSFPCISRATNGISNTLCKIAE EGSIPFDINDPSIKFCYQKGWIHRVALDGDDIAVLPSRLHEKYIEYFIGTIPITLPAR FESLPKLCKEILREFSIRILRRSAEGKKISTASQPRPVEAQYQDEFYSGFTRVAGRGV SISSEWSRTKDGRVDFYIPEKKWAIELLRDHDKVDEHISRFKEGGKYHAWLKEKMIED WIIIDCATSLPTKEFSEPKLWHAVFINDYSELQLYNYQKVLMMSVHLRN ACHE_11443A MTTFSAEISDHESNDQTDGNMGDNIHSGGTTTPVPQDLVAVIAG LQRQLQQMEERRIEDLRRLKEELTSPPREPLPQPTIEEIAPQPMADPVRRPRPKLTDP EVFDGRNRSLYRPFRSKLRAKLEVDKEALGNAYDRMWYAFGRLTDGAAMQVLPWMERF AKKGATESQLDGMLDQMDFIFLDRNLEEKAVRDLASLKQNNKPFTVFLTEFNRLLMEA DGHNWPENTKRSYLDNALNREMNTRLETVEKKNGFEDYCRQLQQIADRMEKNQLRYSR NNKHTTSTSPAHPVNTTRASSPPQDMDWEPTTTTSARSQPRRVAKHVSREEMERRRQE RRCLRCGDSTHFISHCPYDSPRNSTRMARSHIHGPELEDEEEQLREQPKLGKE ACHE_11444S MLVKQVLVSALLAPAALAATNADTVFSNFENLQKRVSSARDCFQ SFNGGVVQILTCGYDMVSLLTSSTNSNKELADLDSLPADKVQAFLDHYHDMHVLVGDA LNTVSSKADSIDEAGLTMIAEVLLRTFAGQSVHFETMSKQKLPAANHSELDGPIKTLG DQFDKAIAKFS ACHE_11445S MGEFISDALTQEVPLNQSSNETPGNVVASNFETDEGTPPHPDDA DTDDTHVPFPIAIVGMAMRLPGGVSCEKEFWDFLINKRDGMCKVPDTRYNIDAFHEDS RPGAIRTQNGYFLEHDIRRFDPEFFGISKIEAAKLDPQQRMLLEVTWECMENGGQTGW RGKNIGCYVGVFGEDWLDVKIRDPQDHDRYRVVGAGPYALSNRVSYEYDLGGPSVTIQ TACSSSMVGLHEACQGLYSGECSSALVAGTNLIFTPTMSTSMSDNMVISKSGLCRTFD AAAEGYGRGEAINAILIKPLDKALRDGDPVRAVIRSSAVNCDGKTPTISTPGHAAQER LIRRAYRKAGLEVSSTALFECHGTGTTVGDVAETSVVAKIFGEKGIHIAAVKPNVGHA EGASAITSVIQSVLALENKTIPPNAHFHNPNPNIPFEKAKLKVAVEPTPWPTDRSERI SINSFGIGGTNAHVILDSASSLQQNAISTDVDDQGLRLLVLSAKSKDSLNGQIENLQK YLETAKSSLGDVAYTLGFRREHMAHRAFALADGDGKVSSFERSTSVKEPIIFTFTGQG AQWPGMGRELIQQIQTFREDIQMMDGVLQGLESRPLWSIEDELLKCDDESRVAEAEFA QSLCTAVQIALVNLLRTWGITPDAVVGHSSGEIAAAYASGAISAKVAILIAYFRGQAM KNLSSERPGGMAAVGLGSEKARRFLKPGVVIGCDNSPDIVTLSGDIDALNEVLDDIHA DDQGTFCRKLAVNVAYHSHHMADVGEVYEKMVSPYFSYQPSMVPMYSTVSGTIVSDPS TLSPRYWRKNLQSPVLFNTAIERILKDDDQSKLFLEIGPHSALSGPIRQSLAKANTKE HRYIPTIVRGKEPWRSLLATAGNLYTHGASISLHSLIPQGKVVTELPTYSWQHNEIYW DEPRIVHDWRHRQFPHHELLGSRTLESNHLEPSWRNVLKIENVLWLMGHVLGTDVVFP AAGYLAMAGEAVRQLTGSTDFSLRNVFIRTALILEDTAEIFTNLRPVKLTDLVDSVWF DFTISAYQNGKWKKHVVGQVRGGPDQEHDVPRRQIYSRQVDADKWYREVKKRGLDYSS HFRGLEQITASPTTLQASAVVHGSEPPPRSYYALHPTVIDECLQLLIIGATQGISRRM TKMCLPTAIESLYISEGRGPMDLNVVCETTGGTIQGSSTLFTDCMVNLRLEGAFFFGI HDSESDGSNALLASNVHWMPHIDYIPLEKQLPPHEPLFNGQLGARATSGYVVEAYRRT RQSTPTADHLKRYHTWLKDLYHKIQEQSSDLVPEMREVDVSVLGHHGPYADALRKEMR EIHPAMMISHRLAERLCFALHDILEGRTSPLELLMQDDGMKDFFDAMATASPCEDFLA LLGQSNPKLRILEIGAGTGGLTAIALKALSPASGRLYSQYTFTDISAGFIGDAQERFQ EYDAVEYATLDITRDPEGQGFTPESYDLILAGNVLHATPQISSTLQNVRKLLAPGGRL LMQELSGDVPLINFLMGVLPGWWLGENDGRIDGPALSEERWHEELIKANFTGVDAVRY ANDRRLSHVGVFLSGAKTVDMNKEGGQIGLLYLSHISEWGREVEKALSLAGYAVTWHT LQDAPRSGSDIISLIDLEGPFFEHLSADEFLLFQSYVSKLAGNHLLWITRSVQITCED PRFSLVLGMARTLRSELGHKFATMEIDRFDGIAVASVLKILEASRVQSDRPWLDADYE YALQDGKILLPRLQWSSLDQQLAGLPHRAAPRSLDIKFNGIFDSLRWAMSMSPISPPE LKEDEVEVDIKYVGLNFRDMMITMGFLGDTDQLGFEGSGIVRRVGSSVEHLRVGDRVV CLTDGLFSTKVVVPAVLCHHLPGEMSMEDAAAVLIIFATAIYCLITVGNLQKGQSVLI HSACGGVGLASIQVCRMIGAEIYATVGTSDKVRHLTDVMKIPADHIFDSRSTSFLQGV LTATNGRGVDLVLNSLSGELLHASWKCVARFGKMVEIGKRDFLGHGKLDMDVFLNHRS FSSVDLRMLSLENPMALRSLADQFADYFKQGKLAPIRPVTVFDACDIVKAFRHMQTGQ HMGKIVVRMPEDPESLPITKIHDPVSIFRSDASYLLIGGLGGLGRAVSIWMVEKGARH FIFLSRSGAQSPDAQGFIKDLESHGMVSATVVTGDVSNNGDVQRALFAAKRPIAGVLQ MSMVLRDQMFSKMTYEEWAAVLAPKVQGTWNLHFELHNIPLDFFVLFSSVTGIMGFGS QANYAAANTFLDSFVTYRHSQGLPASVLDIGFMGDIGYAAEQSPQTLKAITTIDGQIL GERNLLQALEISVFSQFPHHSSQLLLGMGTATPGVEPMVQEGRFSRWRNAAVSGKATT VSRSHELRSLLNEIEQNPKLLDEQSTHDKITVELGKVVAAHLAYSEDMSKEELANIAI DSLMGIEIRSWFRRNAAIDISLVEISNAGTVGGLATVAVKTLRKKHCDGEEVSSPNTP PSSAEPDELEVCLEDMKLGSDLRPLPGPIPDWCSESEGRIFLTGATGFLGAFFLLDLL ALPQVKSVTCLVRTTDPESGKRRIEKTLSRYSLPLDGLSKVTVVPGSIAYPNLGMSKE DFDHHAQTSSVVFHLAAHVNYTLPYSAHRDANITGLLNVLDFVNAGRLKPLNYCSSIA ACGPSAYLSGGTIPEDERVMLERKFFELHVGYTRTKLVAESIVWNAIANGFPITIYRP GLVTGHSGTGVDKPEDLFSRLMTNCIQLGAFPIPPPQRNQIVPVDYVCAAILHISQSQ ENHGHAFNVVLPDQDETITMADTFELLSDCCPSPLRAVSSAEWLQIFRERGKQGMKVA TPMLQERLADSLIWWDTTGGMSTYETTNLRRALADSPEILNVKPRRELLRTYYTHWEA AAAETNDVV ACHE_11446A MADFSPFSLSSLDHALLAVYIPQNLCFRTSDHQQCLSRLQAGIN LLLTRLPFLSGEIVPRTDHGAKPGELRVQPGNTFDAIPMLTIKHFTDVSLPPVLIGGS NSLKTDHAVASLDSQFFPLPLILPPSEPRPVMRLQANVVADGVILSMAFSHSVLDGTG TGVVHEMLAECCRAAATDSLPSLPTDGDEEAALRNRLTTAGDGGHPEIDHSGEIGQSY AYERKEENEDCKEGGEASKPKSIQLLEAGLQTRAFIFSPERLERLRKACSGFLPLLSH LYSQTADSTRKWPTFLSSNDVLTALLGVCIERTRGKSGVDVSSPSRHMTFAVNFRNRL TTLPDHYLGNAVFPSRVYFRIPVDEPPNLDSLENLIDLHRLQGSGIDARSLLEIANQA FQSRAGILAYDDAFLRSWMAFVTMQPDYESMNLRYGDTIASSWRDLKINSLDFGPGLG QVDNFELNIGVADGACTVMPETRLDPLATAPKAPWDVRISLKTQEMAAFERDLLIDWL ADRVV ACHE_11447A MPVSCFGKSFIQSFRRIFQEKPPDKPDDNQSDDCSPSAGLPVVT QVAPQQAEDPWLRAEWQLKQNETTNKILTASVEILEIHFGLNVQTHGITGRELCGFLD TKTRELEEKKWVVRFGGHKLGVEEQLTRAFQNILMVKDVYNTAASASPPAAIACAGVT IGLLFVVQAFEQHECLLQGIDLTSALIPRLHAMEDIYAHSDKSSSIHLEEKFKENLLS LYSKILEFQTRALCYLYKHTISRVFRDMFKQDAWDGLLKDIERLEHSAQSFTSLIRDA DIRQKLVEIQDSVNQNQIWKLSSDRDERMKNLFYRLYTCPYRDRKDRNDKRVPGTCDW FTSHDQFHNWEQSNHSSLLWVSADPGCGKSVLTKYLVDEFLPNPTRTVCYFFFKDDFA DQKCASNAFAAILRQLFMAQPHLLSDFVLRKLDTDGDKFIQSFSDLWDILMTIATGQN VGEIICVLDALDECQHDDRMQLIKAVRDFYSTDIKRHNLKFLITSRPYDHIRRGFHNL EARLPTIHLSGEGEVEVEKISSEIDLVIEKRVREIGAQRGLKSNELKSLQRQLTSVPN RTYLWVSLTMNVIEKDLIGTTDGDIRDVIHPVPQDVNKAYNRILNRSPNPEKAKRLLH IITAAKRPLSLGEASVALAFSAGSHDSIDDIYDHIETDDKRIQTAIRDLCGLFLVVVD SNVYFLHQTAKEFLLQNNSAKIVDSQSGEWEHSLLPETSHRILAEACTLYLTQNITQG PFCTFLDYAAHNWVFHFRAASIQSKDPVVKQGLILCEPGSKIYETWSKMFEAPDFIYL RNQSSIVIASYLGLAAVVHRLLEIRKVDLDSKNFIRKRPLSWATLLGHEAVVKLLLDS GKVDVNSKDSDGQTPLSRAARFGREAVVKLLLDSGKVDVDSKNYYDQTPLSCAARFGH EAVVKLLLDSGKVDVNSKDSDGQTPLSWATLLGHEAVVKLLLDSGKVDVNSKDSDGQT PLSCAARFGHGAVVKLLLDSGKVDVNSKDSDGETPLSCAARFGHEAVVKLLLDSGKVD VSPKNSDG ACHE_11448S MSTTRYKDPIPEGVCIFTTLDEAAKIQLANPAASLYPVNNGHYI KNPDGTVIAVAADEICEELDHRIAELDAKIAAGELTD ACHE_11449S MSSRTAVRKNVLRQRIESEGFRAVIPCDRCVRLHKVCFKSESSD RCSECVRGSGVKCEMSKPTYSDAEWRRLVKLQQQIAEERRDALAKVMRLERQESLLRS RAGDFIARDYKEIAELEDLERREKEESERLEKERKAREEQENLQKQRKDVEYNAQLAS MSDDPSLTQMLNSPSFWENFDSAVAGGIPSPTGGNQSSSQ ACHE_11450A MAPITRHSVRGIASGSALHRGHHRAQVITASAKTHRHRKPKKPD KQTEGDPGEPNAPPPAPTPSNMDMEVETEASMTTLEPQSPNEQLDLELTKHASIALQA RAEREKEEDKEILELLTLLDKKVSSMKQRSLDRASSFGNALQSFVHNYFTHSGTSARD QTATANQAPAGAPQTYAATATEPSSDNKTTRKPHNTPPKPERPLRLFLRLPTDHPARH ASPHVALQKLRSSLDPAVTATIKEIQHIPTGLAIGPKDAQSGQILLNKKEEIQQAIQG SNAELEQRWAIFVIPSAIKQYIGYDGSIVTVSEQAAKEEFKLQTGTMPLKLHWSRKSQ EHSLNTDNTATMVLAVPETSAPRIPPWIHFFGKNLRIRRKIITPKVQQCAVTDLVVLD SRDDAGS ACHE_11451S MPSRKRAASSSLQYPRRPRRISHIPRKLNAVACIDDENALLDGT DENAFYSTDDDYRSEEEDPESEKDDEEEISASEKDIKEEEEDTDEEEDKKRVVTIIPH EMLRPLDGVEYADHKIHKNTLLYLEDLRVNNRRSWFKSHEKEFRRALKDWETFVETIT PKVIGFDSNIPELPPKDFIFRIYRDIRFSKDQRPYKGYDTHHRDIQLLKLRNYHVVKK VDDAIFTTEDGQEGIINIISILQPFVTFLNDIIMPDPDRV ACHE_11452A MRWDSEYKLLTGCLSAKSANKNGCRRPDDPVLHSSTKMNGQKAG RLVLSSPPEEENAMNLTSSTMGKSSQSASDKVGKHEKREKVSEFRSPRRNFRKGPRPV PIISIIRWTPWEYRL ACHE_11453A MKRASISIPPFPNHRQFGDNHQETITVKECVSHLKLLAAIAKLR HDISTSDRLFGINDSEACEFSGEKRNLARARIREKRWAVYVSKAVDRFMAWWESCVPV SEGTAEISWTVDTLPPLDVLMVWHSYMLHPRSLLADCMRLSKMGFWTSGLPWEAVESC IEEKTYRYYLGDKAIRHFEAKTGHSWDNLEGPPSKILTCLRCKGKLDAPWTTATSIGC DPDVAFAHCTGFADKSFRVQCPACKFSITHANLQVSYFRHDMKALLKDGTPMPGTLLS LRGTPAEKSNRDSSFPNRLITNGIKVELLQLTDPAGRGDNSIESIRNLLERSLKNRRL LRQVNETVLPTDLSSAEGISIRRMMSSYWDNASPFSLDLVGAVIRQGAFIEQMEQLNW IQSPSLLSILANLIEKYGVFWDIMVKNPDHVVVPTLDVDLAWHTHQLSPARYYAFSTN RIKNTLVNHDDKVDENKISDAFEWTSKQYEKLTGGKIYSECTCWYCEAVRESQFQSLS SLTSISSSLAARANASSLGTDVQGDTKPHISSHNSVHTLGQASPRASEIKADKLKKNW ENARKRNQKTSRRLSREPASQEVEIPSQVRIWGVLCDAPQYGSYMRDPGVHGDIYPFD PLYMNVAPGAPGNCVAGIGRESVGRGGCVSAATRDEAPGKYRGGRPGFCQGLGGMDSG GGGMF ACHE_11454A MAESITPLNPGVVLGHPNVERNWPREASKLAVNSFDTGVFINEL ANIELLPGKLESLSDDEKKAFAQNPENLNWKASEQGPAPIKEGTYRGTQLALVKIYDL IEQRFSSFMDTANFEPLVPSPLTREQKLKFFAFTDSSDKYPPHLNLAGNAEAAEMEED ENHKSTLSPTKIFSLMRLLQLSSLLPGVVPDIIGGIGRGAAWVIFGSMDTPDKGEKLA DVEKFNRDARGRYRFQRKDIFDLPNVGDLKDWYSDARFAQQHFTGTNPTTIERASDDW LQHFIQAAKAPEDAVAKKTIADLSRNCRESLYMQDYSYFREAAGMDPTAVIKCEFDEK DDKGKTTKSYRYGCASVCLFYLNEKGQLYPLAIIIDWRGSAERSVTIYNRELIKRTDL QSGSDKHDPKQKITDEADDWAWRYAKTCVQCSDWLRHEVTVHLANTHLVEEAVIVASN RQLDPDHPVMKLLYPHWQKTLALNAAARTTLVPHIIIKLIGFPEEEAFAFIRHAYKNF DFKKRYVPTDLNERGFPPEQLDDPKFHNYAYARCIHSMWYKIRNFVHYMLGLDYSGPD ADNQVLHDERIQAWSAEMRSPKGADLPSFPTISTFEELVDCVTMCIHIASPQHTAVNY LQNYYQSFVVNKPPCLYAEPPASLQDLLGYTEQQLVKALPMNHTREWLLSSHIPYLLS FKPGDKESLIDYAASKYHVYANKTSRKDLCVAYAAGQFYEALVDSAKEFKDFGQATDD WGTIPYEVLNPEWNAVSILI ACHE_11455A MTRLDGTVSRQHTIANCRKLSNTEEESLKNWILDMDKRGLPLQV SNVRHLAQLLLSARSKPSKDISISEKWVSRFIQRHPELKFKYTRQYDYQRVKCEDPEL IKGWFNRVQETILRYGIAEQDIYNMDETGFQMGVASTAKVICGSETRDSHAKSIQPGN REWITIIIAINASGHALPPQIIMAGKKYQSQWYSAIPKEYRISLSDDGWTNDILGFEW LQEMFEKHTASQTAGRYRLLILDGHSSHATASFDQFCTERRIIPLYMPPHSSHLLQPL DISCFAPFKHYYGQKVREMAENDIHAIDKQDFISIYSSIHGRAFSKGNILSAFAAAGL IPFKPERVLAKLNIKTPTPPSSSSSNQSFYL ACHE_11456A MWTTTSGLKGRTLRLSITITAVMGFSLFGYNQGMMAGLLNGKEF VNSFPTLKMPDNASDSEEHYLNVIRGAVTSCYEIGCFFGALFSMFFGEKLGRTRLIFS GASVLTIGALLTTVCFTGKWELGQFVIGRVVSGIGNGMNTATIPVWQSECSSAHNRGF LVCFEGAMIAGGTFIAYWVVFGLSHAEDTVQWRFPVALQIFFALIVASGALMFPDSPS WFVKRGHDREACEVLAKLKGSTPDSDDVLTDFNFLKTDMESSKNATSSWKTVFTFGKT QEFQRLLIGCSGQFFQQFTGCNAAIYYSTLLFEENLNMDSYLSLIMGGVFATVYALAT IPSFFMIEKVGRRKLYLIGFLGQGLSFVITFACLIKPNEENAKGAAVGIFLFITFFAF TLLPLPWIYPPEINPLRTRTVGAAASTCTNWICNFAVVMFTPLFSNESGWGVYLFFAL FNFIGFALAYFFYVETAGRELEEIDIVYARAHIEGKMPFRVANDMPKLSFEEIVQQAR ELGLDTNDHGAPEKNELGLSSDNGQEVEEVQEKR ACHE_11457A MNVSSTRRTDCFLSNGTGIVAIHNLLWLKDRAPCPLALAGVATN LEITHILFRTLLTAFAPTNGQQKYWRFNIEGTIEEKYDYKGVGELDDVKAVKELVKRA ARLIPSNEDDLPLETSLVIPDSYDEPDESDNM ACHE_11458A MIAGLSNFRDVGGLPTRAGTVRRNRLFRSAQPGQITPGGTESLR QLGITTMFDLRSTVEISNYDFMRVKDIDGIQRVSVPIFTNSDTSQQILKRRNYALGQP GFKQEYRGILKNGGSAFTQILKHIRDRPSDACLIHCSLGRDRTGVLIALLLALVGVDD ETILVDYERSEEGLAGWRPKLEKILVADDPWLGDNPDALNNMLSARGSNLRGTLEWMR TEYGGPEGYIKEVCGLTEGDLQLIKAHLVDGP ACHE_11459S MAYLDRVRFESVYPILVQDMLEHAKGYNTPNEGIEWLRKNLEYN VLGGKCLRGMAVINTYAHVLGREPTKDEYEQAAKLGWLIELLQASLLVADDIEDGDEY RRDRPSWHMVPDVGMIAINDACLLKCTLYVLLRQYFGHLPYYANLVELFHETTVQTEL GQMLDLISARRDKVDLSKFTVAKCERIAESKTAFYTVYAPILLGLYLGGAATPETIEQ TRKIAIPLGRDYQYHDDYLDCFGTLHCFGKEIGRDIKDGKCTWPITQALVRASPAQWA ILQDNYGRDNPIKVKKVLNVLTDLQIPRIYQEYAKTAHATVQEEITQIGSMGLNRGIF DDLVAVMYERQR ACHE_11460A MAASTTLETRLFINGRYVDANSTTRLTCHSTVNDEEIASVHVAN ITDVDAAVDAAQAAFPAWSRLDPGERAKVLLRFADLLEEHVSEIAYLEALCNVKPVRL FREYELPQAVEIFRYYAGWCGKLSGESFPVSKGFLKIVQREPLGVCAGVTAFNAPIMG MAMKAAPSLAAENTIILKASEKSPLSTLYLGKLATAAGVPSGVMNLLSGDGVTGSLLA SHMGINKISFTGSVGTGRKIAQAAPQSNFKRVSLEMGGKSPSIIFPDADLDEAVSWCV RGILVLAGQVCFASSRVYVHESIREDVISRMKAAFAEISAVAGNPLDDATEYPPLVDQ AHYQRVASMIEQGKAEATLVAGGNRLFSKGNWMQPSIFVDPSPNAAINDEEVFGPVVV ISGFMEEKEVVALANDTPFGLSGAVFTQNLQRGLRVAGAITSGTVCINCCAMLDTQVP FRGWGHSGVGSELGKEGLLEYTQTKTVFVR ACHE_11461S MCHLLLLSIGNAGAQYGIIQSCSPLLICKLGGYYLLGLYSSMLV YRGFFHRLCQFPGPFLARLSNFYLTRLSAKNLHLYEEVQALHREYGDIVRVGPSELSI THPDAVKAIYSNASPVTKGPWYTLLDPRVSLSFSRDKQVHARRRRVWDRGFSTKALHA YEPVVQMYSNQLANVIDRDLDQPIDITRWLSYYAFDVMGNLAFGKTFDMIRDGKESYF LRTIRTDMGVIGYLKHQPWLFPLFAKTPLVNANHLAFWKWIEDRMTERIESWKEGDRR DVFAWILDEYLKGPRTQQDTLNLHGDGYLIVVAGSDTTSATMTHLFFHLARNKKLTEE LQRQLDALSNHNDDSLAELDLLDAIIHESLRLHPAVPSGVQRLTPAEGITVDPRAFDH PDEFIPKRWTTQPELVKDRSNFIPFLTGSYACVGRRLALMEIRRVTADLLSRFNISFA PGQSEKTFLDGKVDAFTLVAAPLWLEFSRRDKK ACHE_11462A MKEIWKENHVKEVGNLHCLLNPVLADSVFRLAIQNWYKGVDPDP ESEPVEFGNPESEVNSDRAEFISELFEKSKEESFKDWIGPLMKQAIIKAKPLVFQTLK KTIDKIIKQIGEDSPRVS ACHE_11463A MSKKLALWSFEGKDHNLESLDSMPHPDHGHGGEGEKAESIFMDN KDHREQVPEAQFADGGTYRSIVKIQSLLPRTDRHLGRHGCGRSARCPLQAGIF ACHE_11464S MGVLDLVRGRNNTIVASGLEVTEHQDQQPRESEPKNPDSDSNDR SSLEARAEREIELHPDQVTEGADLGVQKAEAAALVWSKKALIGIYAWIWVSFFMLALQ FSISGIAQARAFAGFSAAPAVGTANILSTIIGGVIKLPVAKTLNLWGRAEGLCASVIV YLVGMIILAACNGPSSYAAGYVLFLVGYDAIYLILQIFVADTSGLRNRAFTLAFASTP FICTAFTGPLAGNSFVERTGGWRWAYGAFCIIMPFVFLPLGIAFKYYEKKGMKLGIYT QERSGRSVIQSIVHYFHQFDVIGALILMAGWVLLLLPFSLTSAGRAGYNSATFIAMIV VGFFTLLLFAAWEKFFARAHFIDYELLKKRTVLGACICSLILNFSFSCWDLYFLYFCM VVYNLNSAMAGYMTQIYNVGSCFWGVVVGVWIRWTKHFKYTCLCFGLPLLILGAGLLI KFRGEGGGDLNYVIMCQIFIAFGGGTLVIGNEMGVMASADRGGVPMMLSLIGLFSSLG NSMGAAVQTAIYNNVFIEALQTALPDDMKSQAAKISGDGYLVQQKYPLGSPERNAVNH AWGTSQKYGAIAATAILALGIPAIGIWKNYRVTKQQNKGVML ACHE_11465S MSSNNSPVVPIQGGILEGGNPSYYDKKNPIVIFIIQASIIIIFC RLLHWPLSKIRQPRVIAEVIAGVLLGPSVFGRIPGFTDAIFPEVSIPNLNLVANLGLI LFLFLVGLETDLRFLISNWRVAASVSAAGMILPFGLGSAVSYGLYSSFRDEPDTVQIN FGTYLLFIGIAMAITAFPVLCRILTELKLLGTNVGVIVLSAGVGNDVVGWILLALCVA LVNAGTGLTALWVLLVCVGYVLFLLLIFRPLFLRFLKRTGSLAKGPSQSVVALTLLIA LASSFFTQVIGVHAIFGGFLIGLLCPHEGGFAIKLTEKIEDLVAALFLPLYFTLSGLQ TNLGLLDNGTVWGYVVGIIAIAFIAKVAGGALASRLCGLLWRESFSIGVLMSCKGLVE LIVLNIGLQAHILSTRTFTMFVVMALVTTFATTPLTTLLYPKWYQIKVERWRRGEIDW NGNSAQDASRHDSVAAAKDQLKTNAVRKLLVYLRLDGLSSICTLAALLSPNDQPPAPK VHPEKKKQATSPEPAADESAATEEEEEEEAPKLQVHGVRLMELTDRDSSVMKVSKIDE YTLWDPVINTFRAFGQWHNLSIMAGVSVVPEHSYADTVVGMAHQESTDLLLLPWSETG TMTEHQSGLGMGIDEANRFTNAPFADFVSTVLRQTTCNVGVLIERTMTRKQPPALLGK PSLSGMSVQSSVWDTSVLPPPATRSHHIVLPFLGGEDDRYALRFVLQLARNDQVTATI VHLAVPSSVADDPAGPGSYSTVQKALPSEAESDTTFFNIMRDSLPEALASRVVFKRVS AKNPNPDTDPATLAVHTVQNEMSQSPHKAGNMVIVGRRNSRVAETPSQEEIEGCEHNG VSVALGAVGQVMVRTEARFVGNVLVLQAGRPA ACHE_11466S MKLGWLEFVAATASVAQAKDLAYSPPYYPSPWADGQPADWSNAY KRAVEIVSNMTLAEKVNLTTGTGWQLEECVGQTGSVPRLGIWGICLQDSPLGIRYGDH SSGFPAGLNVAATWDRKLAYLRGEAMGQEYSDKGIDVQLGPVAGPIGRSPDGGRNWEG FAPDPVLTGVLMAETIKGIQDAGVIATAKHFIGNEQEHFRQVSEALDYGYNITETVSS NIDDKTMHELYLWPFADAVRAGVGSVMCSYNQINNSYGCQNSHLLNKLLKHELGFQGF VMTDWGAHHSGVASTLAGTDMSMPGDISFDDGMSYFGSNLTVAVLNGTVPEWRVDDMA VRIMSAFYKVGRDRQRTPPNFSSWTTDEYSYAHYAVQEGWKQVNQRINVQRNHSEIIR EVGEASTVLLKNEGALPLTGDEDVVGILGEDAGSNPQGANGCEDRGCDKGTLAMAWGS GSAEFPYLVTPEQAIQNEILNRDVKHPVFAVTDNWALDQMASVASQAEVSLVFVNADA GEGFLVVDGNEGDRNNITLWKNGENVIKTVSENCNNTIVIMHTVGPVVIDEWYDNPNV TAIVWAGLPGQESGNAIANVLYGRVNPGGKSPFTWGKSREAYGAPILTETNNGIGAPQ VDFTEGQFIDYRRFDKYNETPIYEFGYGLSYTTFKYSNLHVKALNASKYVPTTGKTSA AQTLGEAGKPSNYVFPKGFDRTTKFIYPWLNSTDLKKSANDPEYGLETSEYIPENAQD GSAQPRLPASGGQGGNPGLYDELFRVSATIKNTGKLAGDEVPQLYVSLGGPNEPKVVL RNFDRITLQPGQEVVWSTTLTRRDLANWDVAAQDWAITQYPKKVFVGSSSRKLPLRAS LPRVQ ACHE_11468S MTTRDKSPPSSTPPKTHASSHQLPQIALLIYPITLLIGSVYSSI SPTARAPNHAHPAPLAPSLAADINLSSPPESPVNYFARKDNIFNVYFVKIGWLWLTLA FASLLISQPTYRQTATSSRRIAQACLRYALATTAWYLMTQWFFGPPVIDRSFVVTGGG CERVVGGVAGAAQAATGAGDVAALESVVTAAACKAIGGKWAGGHDVSGHVFMLVVVTG VLGAEGVGVAGSFLGRALGLGSSATDEADGKEKDGDVEQGRVWALRFVLAVAGLGWWM LFMTAIWFHTWLEKWSGLTIALGTLYGIYFLPRKVPAWRDVVGMPGV ACHE_11467A MATPIYSPSPRLDRFQQSLESIYGPFSSIPDPSTWTPPPKSGGH RGRYLWTDAYGVLNLLTMHREYTATLDLTTNTSEKEKNRYLTMAERLVETVHEVLGRT RDGKRRLPGASEENVVGGGLRIGKEEESGMDGDGQYHHYLTVWMFALNRLSMATGDGR YNRQAVALAKAIHPRFFVNRKSSRPRMVWKMAMDLSAPLVQSEGNLDPIDGFVVFRLL QAAAVKFDGEESEGVLSEEIDDYRRVMARKGEHFVSSDPLDLGMTLWTAHWFSEREEW AARLAGRCFEQLCMPLLSFPSPISAIPANEVDDLFEINRYLERNVKYRLAFREFGTAL GAQCQSHQSTEKDRAVDLKNYADAIITAWDPYMELTLGSDLMHDDLRPITRVMYATAL VPGAFQYGYFGPEPKSTLEK ACHE_11469S MAQIQQLFTAAAQPLPAISDPSFASHFDDFAKYKVVLLGDGSHG TSEFYSARAEITKRLIAQHGYTMVAVEADWPDAEAVDRYVRQRPGPKAGVGGHTTDYE PFGRFPTWMWRNREMQDLCEWMRDRNSKLPDNQKAGFYGLDLYSMGASIRAVIDYLDH VDPQAGKDARRRYGCLQPWVDDPTAYGLASLRGLQDCESQVMTMLRDILERRVQYARE NAERDGDEFHSGEQNAYVVRDAERYYKAMYYSSATSWTLRDTHMFDTLRRLFQHNPTG KAIVWAHNSHVGDARYTSMGSRRNELNIGQLCRENFGREHVAILGCGTHTGTVAAAHE WDDDMEVMDVRPSRNDSWEMLAHQTGIPSFVLDLRQDKIDPALREAMAAETLRLERFI GVIYRPETERISHYSQAFLQDQFDAYVWFDRTQAVRELERIQPATPLGKGETYPFGV ACHE_11470S MAVRRSARLRARSSEEPEPQTEPVPQIYKNENATNNETKLPPVM EHDEPQPPEPVQKTPVRNSTVNETPNKTPKSEKKPSEHKTPTSTAATRPPMGEMHPSK VHQSTTKKVDSGLILGFNPVKKDANGKVVKDTVVENTPTKAKASPASQYGTPGFEFKF ACQESELSDEAKKLMESVREDVARIKAQMIQDKQAQEQSGDNTHEGDRRIAQPKGRAG RYDDAHMAEFKKMDSIAGHASAFRAAPGRFKPVDATKTLKRTKSKARLDESESQNNSP SKATPSKATLCKPSPAPAAGAKRVKHDRTDDASTRRPSKEDAPATTAPATTPRRTIDP LRRRTAVRGSLMTPTRSSMARASSASVKPPKKPSMIPGPTQSPVSKPLAAPRTPQTEF NPRLKTNLPSFANLKSILRRREPLFSKDPAKIAAGTHSAAPDFTPDLLFSGIHGEGDK EDVAQTPSPKKRVGFSPSVKSPNDLPPASPSPSKIPTSTRPASDITYPTLPALTPEKA ATSNPGTPSIRHVRPSTVTEDKSLIPEVPGVMHGINNKKRHRVEPDEADTENVPPADS TTNANASDERSAKRVKPNTPVKRHQPPTPSPVKAPAGTPLRSASKVSRPSGAPGSVSR TGTGTGTPGSMRKNRFMTSSRLNMLAQPKHR ACHE_11471A MTSRLDRLVTLLETGSTPVIRNTAAQQLADVQKQHPDELFNLLG RILPYLRSKSWDTRTASAKAIGLIVSNAEVFDPNQDDGQEIKKADEDVDEVVIKSEDQ SPTDDGLLRLDRLDLTSILRWGHRLLGSAGKEYEYSLASMDPATRLQHQKKTLTSRLG LAGEYIEEDLIDDNDLVNKPAPTPKIDTNVPTLPRDNSLQSAVASPSEPANGEEAGLS KRQLNQLKRKNKQSAKLGANKVRVVDISSSRKQSDSAPTPTVSTPHPIKSESNGEEKN GDTKPDYFSLDRSGDDDDSKIVTEFKGVETPEKPLLQPELAEEGTPVGWPFEHMCDFL MMDIFDPNWEVRHGAAVGLREVVRVQGAGAGRLQGKSRVENDVLNRKWLDDLACRLLC VLMLDRFGDYISDNVVAPIRETVGQTLGALLSQLPPRSVVAVYQCLYRIIMQTDVGLE RPIWEVCHGGMIGLRYLVAVRKDLLVKDSQLMDGILQAVMKGLGDYDDDVRAVSAATL VPMAEEFVTSRTSSLGLLMHIVWDCLSNLQDDLSASTGSVMDLLAKLCTFREVLDAMK ANAADNPESSFGKLVPRLYPFLRHTITSVRSAVLRALMTFLQLEGEGTNDWVDGKALR LVFQNLLVERNEGVLKQSLQVWSELLKALETRGCFKSETELSSSIQPLITLTMGPFGV PRYPIPMNASLFIKPSGLPYPMSAAAPAKSSPSSNNTAAEPRGRKRKLEKKEIPPPPP TSSAHNVDGHMLQGDIDLVGADTMLRSKIYASKALGELLFFWDKSQLSSLWHPVIEGL DHSASTSQLSAAMVVEEYARFMGPSSKYTESICERVRPIVEGDRPAWYSDVACYLHVA RAQCHSLLSAFRDHAHVPSSKLPVLAVIVQGDTEAGPNAFSLADAERVIGPDFERLKK GLAPAQRITALQVLNDTRATTESAINEARDIRERRDLRIRAAAAGALVALRDIPKKPG HIIKGMMDSVKKEENAELQQRSATAVASLVEHYTMAAKRGPVDKVIGNLVKYCCVDTS ETPEFHHHAHLEKSILSLRKEEDRRDHPDAAKFEREAREARIMRRGAKEALEQLTVNF GPALLEKVPNLASLIERPLRDALSGELPQNIRDPENELGQEVVDGLSTLRALLPKFDT GLYPWVIELLPIVVKALQCELSVIRYAAAKCFATACSVMNVDGMTMLVEKVLPSINNA LDIHHRQGVVECIYHLIHVMEDGILPYVIFLVVPVLGRMSDSDNDVRLLATTSFATLV KLVPLEAGIPDPPGFSEEMLKGRDRERKFMAQMLDVRKVEEFKVPVAIKAELRPYQQE GVNWLAFLNRYNLHGILCDDMGLGKTLQTICIVASDHHMRAEEFERTQANDVRKLPSL IICPPSLSGHWQQEVKQYAPFLNCVAYVGPPAERSRLQDELAKADVVVTSYDICRNDN DVLNPITWNYCVLDEGHLIKNPKAKVTLALKRLTSNHRLVLSGTPIQNNVLELWSLFD FLMPGFLGTEKVFLERFAKPISASRFSKSSSKEQEAGALAVEALHKQVLPFLLRRLKE EVLNDLPPKIIQNYYCDPSELQKRLFEDFTKKEQKELQNKVGSSEKSDKEHIFQALQY MRRLCNSPALVVKEGHKQYNEVQTYLTKKQSQIRDVAHAPKLSALRDLLTDCGIGINP PTEGELSTGASYVSPHRALVFCQMKEMLDIVQSEVFRKLLPSVQYLRLDGSVEAAKRQ DIVNKFNSDPSYDVLLLTTSVGGLGLNLTGADTVIFVEHDWNPQKDIQAMDRAHRIGQ KKVVNVYRLITRGTLEEKILNLQRFKIDVASTVVNQQNAGLGSMDTDQLLDLFNLGET AENAEKPSADSTAAAGNEVDMVDIDGEVKEKGKKGWLDDLGELWDERQYQEEYNLDSF LASMKG ACHE_11472A MASGYGLNGGPSRCYGFWQEVLGCYVVNSGEGETGKKKCMPALE DYYECLHHRKEALRTMKMQAAYRKAEAAHPRENAPKAEQIRSLGLLGNEEQTADVLAK AS ACHE_11473S MAVLARLSGAHAILLASHLCASGQVAALPRLQAQFPGYLPLERV LRLLLTFLPESIEPQHYTPVLEELVDTSLSFSALSEDDIDLSSVKDLSEPAARKRVRK LRLLPLKYRDDDDSDVTDLLAQFLIHRAHKIDAETSLQPFILDLLLPFYGRSPVLRTW LISSLIPLLRLNYEYYPDQDGSFTLDVIESMDDQTAVNVLLSMTGSHSDNMDLVKNLR GLIGPWIHGSNRSKRRRLNEAAERESVTITQEPYRPRTASHAAWGPVNEWLLSRSLVD LESVVGAYTDWNGPQDVDLGGYGDVNGQYQDDELADLQARHGQSGLAVVYANSDTSRP TLEGCVQIVTRIAQRLGLEGSIPDSPLPTVTYDTNTIASTSRASLLQNALLVSSNTLT RPSATSISFLSATLSSLEILSELGHPVTCRAAANMCLHNSEDAQLLDLRSVIASTTRN ARSGHDWMKIRQQLLWLHNWQVEEAQTERPYHGLFWRVPRDVVETEILKALLEVKEYK LAVNIYTDLTTPLQASQVEAAVKDAITTAYDNASNGNRTRGGMKKAYDILQIFQPHFP DSVSFKQIQALISATHALSFYSLTLQHGVPFQPVSIRIHQDPLSLIEKVLDQNPKGYT NLDDLLSIGRNLVAAGFPPILPDSDSHEHTPISEEDAPVIAERRVISLAISSALASDD LGTAYSYIFTRLATPTSSSSTSIKDDISWRAVYNAGRHRSSSTSSSPNLQTQINQLSQ RMELLSLALVLVPYPDPLPEILGAWRRCDEEMNVLRAQETEEEEIWDTKGDTVSMVPG GFGPSDSEQDALETKQQHARRARAYQQQQQQNRSHEEAPMGLFEVARGAAMALHKNTL PLRGMAAAGSSTSRPAGHEYKNGDDDHEADSEGRMRKRDVVSNMVTGGLASGIGWVLG AQPVSR ACHE_11474A MSSSTAAAPVPLLRPPVPGRNRSNSNAPKPKLTLGIPPSPNTRP LVNGNPVPASQAAPPQIQPPRPANRPAPPQLRLATPMGSSSEVPQEMPRMVNGRPAPP PLVTTNVNDANNHSGNYTYLDGKASGPASASSSNYSTLSFAMGLRQPPGGTPDPSSAI SSVYSDRENGVPERDNSSVNGLIPDLDKLSLEKGRPLDVDDLDDEGWLAASEQKKIVE LGSLGEGAGGAVTRCKLKEGKTVFALKIITTDPNPDVKKQIVRELNFNKHCASDHICR YYGAFMDKSTGTISISMEFCEGGSLDSIYKEVRKLGGRTGEKVLGKVAEGVLNGLTYL HGRKIIHRDIKPSNILLCRNGQVKLCDFGVSGEFGTKGDANTFIGTSYYMAPERITGQ SYTITSDVWSLGVTLLEVAQHRFPFPADGTEMQPRAGLIDLLTYIVRQPIPKLKDEPQ NGIRWSDSFKYFIECCLEKEPPRRATPWRMLEHPWMVDMKGKKVNMANFLKQVWDWKE ACHE_11475S MRFTAASVALFAGIAAALPNGDLETVYSTEDVTITSCAPTVTDC PSRQTSTPQAVEAVTSSSVPAVATPSAPASSSSPVAPVEAPSSSSPAPAPSSPAAGPS SPVVPVQVPTSSASVIPVTTCIPTVTYSTVQVPVTPAGPAGNRPSGAAAKGTSSVPVI PAPSGQGAAGNPSGSASASASTSAPSSLFTGAASSVSNSFGFAGAAAAMAFFLA ACHE_11476S MDLSSLEGPPLPDSSFNVRTSVWSPRGTEIPRIHLDPTPSTSTW PQTPSLNYDDYPPRPASSGSTALRRYHRSQDQNAETYQFRRRSYNDESPGLLHPPSSS SNGYRVSESDLANHAGTQRRNTLVWCEAQKTWLALERHAPGNTAPALSIPQSQSAESY HAASRGLGQSHSQSQNRDRYMDGDDLPPPYESHYYDRILAAQPMSSAHGQYPYQNRRP GQVSRWGAVARRMNRSPGTQAWY ACHE_11477S MPLSEIDPHLDPGSFELQDLSPTHASQRNLTSTSTEQDIARFHY TSEQNIRAWYPCGSDTEPSTLTFDRSWSHISPRLQDIYVERARYLGHLAEHGMPIDGS QCEDFFIEIYTGYYQARGKGDGVGVQGEEAMSLTTFDALLGKRIGRRVIVDDKPQLED QDIEMDTQPPSTEEPLPCLRHKATTNPMLSRLEIAVAKGVQTLTHLHTFLTNSKTELK SSDVSDWLSEITKIKTYAAPPKVVIGIVGSTGAGKSSLINAIIDEENLLGTNCMRAST AVATEIAYNYRSGKKYRAEVEFVERGEWERELRALIGDLRDDGENSVSFSGVRGEAMA RNSEAAVAMEKIRAVYPVLNVQEIKNLDVKELLSKGGVCDVLGRTVVVESDDPKAFAR DLAVYTDSKSKRPKTTATNNTGQGKTPMRTTFDTQTKTTTTNYWPLVRVVRIYTKAPA LATGATLVDLPGIFDSNAARFAVAEEYMKKCSAHWVVAPINRAVDDKVAQDLLGRNMR VQLHMDGAANDLTFICTKTDDVSMSEVQESLLKRDSVSPGLGEERLGRLEEEIGELEE WLVGVKSEILDVAERLEELEVCSEGGLHGGCGSQGSSPGKRKRDSFVSAQQPALDNTS GAQSDSSSASGSVSEDDVRTLNDRRKELINHQRTLSTERHAKETQKEALEHELQDLQT SLIHECIQARNAYSKKELKHDFARGIHSFHNTFQHPPTSVQDPSYYHALEQDLPVFCV STRAYQKLQGRLRREAPVSGFNALEQTEISQLQAHCIAVTEQAREASALRFLFHLRRV LSSIGLWAGAEDGVMISHGKKVEIEARFYIAEESFKREVERTCEGLMQGICDILTLNV IRNFGHASTLASEKCDETVKHWNDLNYNTYKAVCCRQGVFRFHNWNQELANLVIEPLM HDWKNAFEIEILQEVDWFTQLMKTHLQAFHAQATSAAEIVLSDEIKDELKDIWKIQYK VMKRDVVYAVNRARRKQRGINREFAVGVEEYLYPAYLKCACENGSGMFHRMREHIRTQ STGIELFQHSTDRVKSELIKLLDKIEHDLISITTRLTEQTTRDYTTCIITPLVQQFAS SGQSQARIKREAAGVVREAEMELGLDGLLNDVATARSTAATSHGCGPWEGGGRDDGQR ERRALETIKEEDENE ACHE_11478S MVLPHFFSRDKFKAKDKGKDEKKSKSKPKHTVIPPVNPIKQPSS PPPVNHIQRKPHPQQPTPQASAPQPQPQSQPQSHPQSRPPPYSPPPPPPKSFDRATSL RTSSPRHPPSSTSGTTATATAEPEIPPPPPLHRLPPRHKTVSHHAHSRSHNSASSVSP SATPSPASSPPTSTSATSSPRHRSSPTKPTAASRSQSLHAHSRSSSYPPKKAPPPSFS SSRFSFSPRSSASNRHSRHQDIHPLNLPPDELRRLSAMAAARDESVNAMDIDNKNGAN GSAGKSPTPPPHRSGGEAESFKLAGNKFFKEKNYSRAIEEFTKALEIEPNSPVFLSNR AAAYMAANRFLEALEDAERAKQLDPANTKVMYRMARILTSLGRPAEALNVLSQIQPPA SAADRAPAEKMLRFISQAEEILTQDRGVSMMIFCLDQARQMLGAGVKEPRKWTLLTAE AQLKMANDNAYGKAQDIAINMLRENNQDPDALLIRARAFYGMGDTDQALKYLKICLGL DPDMKKAMVMLRMVQKLTRTKEEGNAAFKAKDYRKAIDLWSQALSVDSKNKDVNSKIL QNRAQAYINLKEYDSAVNDCNEALQLDPGYTKAQKMRAKAHGASGNWEKALQDYKAVA EVNPGEKGIHEEIRRAELELKKAQRKDYYKILGIEKDASEQDIKKAYRKLAVRYHPDK NRDGEAGDEKFKEIGEAYETLSDPQKRASYDNGDDLIDPADMFGGGMGGMGGMGGMGG MGGMGGMGGMGGTHINIDPNILFNMMNGGGGGGFAGGGFGGGQPRGGFGGFPF ACHE_11479A MAKDPILELAFNHVVLPPKLPGKQDSNIEDVDKKLLSLLLRATN AMKSCAEDNDLFIWQDIEKALRTCGLVNEDRYINQTALMNIFRHFEDQNAVILYITEQ NAGLLIRSVGEDVIFEAFEASPTTEETLASQGAMQWDFPTIAASLNRSVFENPVFQKN LVSFLEKASLEPLDEFAAKTFKAGVKISETRDTVDPTLITSFLMTLLEVNGSRIYPPI LRKRIKDDVVWDNAELPWRRSPFWLTLRVAAQRLLCLKMGEEKGRANYKFLMCCVLVE LLRDSINHLSVEWCTILRAKLCCRLAKLENEKKAAASLSTASVYTKLFEDIGPLFRNT METVTSAIEAQWNAFKAECQRKIPPLPLRAQNSELYLTLPNCGSYLQGVLNQARHQRL RPVLVDPAALGPNSSKTTTEYFSSMTSRYSALDELEIKIESADFRVPKSKDMCSRICK DLAAEIRGYLEAVGDTYEGNPEQMSTFILNVSELWMRMDKCATAVHPLLLEYHPFIEP EILDVLLLSRLHDMRRLQAIQQYLHGRCLQAKMHISIFAETQANCFASQYFIKCDKSE NMGKLYELHDHIDAASTRARDSKEKELQKVNQEYSDRTEKMVQILCTQKRHPDGSHDI RGCTHCWHVRSRRRLKIQVHEDFLPKDPYQRRITLFELKPPPTFSTYRSATWEIINCL SPKIASKPDEAPEVLLGSYSQLKIYNMKSEAFSLASKTKSYLGTHYNFKKLPASASKV LLPLGLKFSYYDSRRGTWAKDFPQSLKLAHHFAISIPKELAFSGLYSSPSFAADGNGP SSYETVASIAECPPELTVHEYIAHQGLMAGRNRRWLSMLAEMASSNVNFSLQDTTILF HHLALQAGPRLGHDDLRAVHVIFKDVAFCLALFDQIVRHTEAISANWREINYMETMLT LTIRLCMLGSRKPSARANQILLKIRLTTLNWISRLRQETRTTQEADVAERTARYGFMS ALLCRRTFAPQAYNGTELDSESFKSFVEATLAMQENLVVDLSRFSTTTRNMLVRDIKM AHRMRTMILRLAERYPSSLQSAIDTVWPNPNDAPRQYTSWSVLPPPYEWWMTSTVRAT TRSAPQTVHYHLLEGHLLIDSKPLGKLPAGIRDSSILKSLFGNQRLVAFPSSLPGMSY MLGIPKNGYYIHLGYRGQQLIIQAQGATTLLELIPKDVFGDKFNFDLPGFLIQDCVHW LDLNSGNLDVRRQPDIWKSKPSNWIINIRTRQGKRRDSFLVDPHSKTFELMAQIFRDF APAHLLTVFQPRGKLAVELKTMDLDFYVNNNHMLHCRKLASEIDPDQDVGALYGLRSM IVLRDAANPLQRSVITTLGTLRYRRHGVHVHVKMENDGDYAKYMIDDILGRLHCPPEP RLLYNKAQLHAFTSFILPDPLTGRTGTEEALRCLQSGLCQPWNTINTGSIPILKTIAN LSPRRHYYPKELKRQQVVTWDPHLTVTIQHDAFKLVVDSIMKKLERLSLFQEDVLKAH DEDEVWTIPHLRERAHWRRSIYERPEMMVTAALAPADRKYVSRGALNQSKRTSKAREV LKLLCSRPRLINTTTNLKDILRKWPIIGGYIGEFAPNLISDCLGADLGEEWGGLVKLC QNCEPEDVYNLMFWVGLMAFRESVDMRILKTAVSFFILNDLKYLDLPRYASFAGPEMD RSITVQDLSSMIRPYCKKYQKPPVPRRLVNSVRFETDKNDHEQKCTDESMDFAKFLLK QWPAPLPLKAGFDAKYVDLDDALEVITPYWQRIYTGLQLSRHVAEVQTILNRYFVAKN ASDPELIIPESTIFGPPRRGNIKAIPHLGKDLFIKPGEKIGRVPFKLPSIAKPKFEEI NRRVHYKLNRQLNALSTGVKPDSTREIAELERIIGRFRHSDCPVRSIYGNDLVQSIDA LKKMNKTLTAKPLVDINLDFEIGKAYRALEQRYQSLVNALVVDDPRCPWLFQANLCPS MTPISLLEYLRSNSDFSIGKYMKIMVFEYAKSIVKVQHLLRMKDALLKNDEGRFRQEY NNPGHVNWDPFFFPDWLLLEIDSNIQIREDQVTVALEMISPSSGTNSVLQMNMGQGKT SVITPMVACVLADRKKLTRLLVPKALLSQTAQILQLRLGGLLGREVTHVPFTRRTPTS QQHIQEYHNLHKHMMQSAGIMLAVPEHVLSFKLCGLQRLSDCKASEAHQMVVIQGWMD RVCRDILDECDFTLATNTQLIYPSGAQLAVDGHPHRWKVAETILGLVAHHLRDLAQEF PQSIDVIEREVTEFPVVYFLRSDVENTLIQRLVDDICAGRTSIIPTRDCTKEQRQAIR VFISQEMVERHTINVVSQLFPGAPNTRKMVYLLRGLLVHGILLLCLKRRWNVQYGLHP GRDPVAVPFHAKGVPSEQAEWGHPDVAILFTCLAFYYEGLNKKQLRESLQAVLKSDDP TTKYDQWTQASASLPDALRHWNIINVDDEGQVEEIWRHLRFSTVVINHFLNHTVFPGH AKQFSVKLQASGWDVPLFSVNRQWADETGNRPGITTGFSGTNDNRRLLPMTIEQHDLP GLSHTNAEVLTYLLQSRNRGYVLLAKRQSSEFELLKHLCERETRVLIDAGAFILEMDN RSLAKAWLRVDTQAQGAVYFGPDNKAWVQYRAGNTVPLIATPFAENLDHCLVYLDEAH TRGTDLKLPAEAKGALTLGLNQTKDHTVQAAMRMRQLGTTQSITFIAPPEVHQNILDV CKKRPSDKLDSTHVVTWLLDQTCTNNQDLQPLYFAQGRDFCRRTQAGRTWNQFLSQVK HRDAYMAVLQQTERQGLEELYATEASFNLTELNSGSVTLSGELRDFEKNIQSQQHQSQ HSTSSTKSSALEEVEQEREVAFEIEEEREVQRPCRLPALKFPGLHKSIREFVVTGFLD GKGGYCKASMALHMTQLRQKYCIQPSSLLRCLYISQEFLRTVKTANKRILDDFTRPVN WVLWSTVSDTALVIIPEEAELLIPLLRTIGMPVVHLLVYAAPVTRKMQEFNRLDFYSI PTLPEDWKPPAWLPLELGILGGRLYFEFAEYHHIQRSLLFSTQRNEFGRDEHEDEDSQ TTAKNTLAFLGEWLSLRRQGQDITQTPMGYVCQGWQLRGDHPFFMQRLVNINVLSDGH VFQSSSQNESDIDNDVESEDEDFFFNEEEGNENEDTELME ACHE_11480A MARATATARKSTGGRASRKRLGAKAARKTPAKKKGTRKFKPGTI ALREIRRYQKGHELLLPKTPFRRVVQEITSEMMFEKDYRFQSSALDALQEISEAFLVN EFEMTNLCAIHARRVTIQARDMQLVRRLRKHMGLDPVGTV ACHE_11481S MTRKPGIPGPKPGANPGKKRKQDKDLSKNPHTMRGRELLASKSD SEKAVIRRKNNDRAAFVSARLKLRASTSWQEATMEEQEILEISLKDQVMRERYEKGQS AQFFLDQLEGESIDSSVWETVDFENDTELCYHAQLDDIASHETIPTTETAKEAEAAQS SATGQLIKTLHTITWGHFRLSLLRSLASLDMKLKILGKMESCHDPLYYNGIPFCLKSI LPEKVFLKEERAAWSIMSSISSNPWATLPGPADWWEGYSCQSLAKFWGFASKEEAKAL YRLGIYIIQNKEAPENAGGLIDEVMGLLELLP ACHE_11482S MHCISRDRLFAAVKQLDPDGVYRRANDLQRHRGEYIVPGPNWLW SIDGHCKLNFYGIEIYAAIDAYSRYITWIYIGISGRTAISILVQFLTTLQKEGVHPQR IRSDRGTETPLLAAAQHAFMKRHVADISFRDCYWYGTSTANQRIESWWGQLTGGLLFR WRDYFQRLHSEHLFEPDNLADKIALLAIYIPILRQEVQAFARMWNMHTIRKQPNRPNA VHGKPIMLYFYPQDPCIQNYGLKPDPQLLQELTDQTASYGMMVHKLPYC ACHE_11483A MSEPWTPFCRRKEHFEHAVHCADDISCPYCGMTNPDTSTSQDII FLPQTPTQSSGKRNTPIEISSSPPAHQSTSSTFPQLDRTSEIARQQSIQRTQQSTQIR PHAGSKILSSRPQVTRTQRTHSAQPTLQKFGVTVIIYTGFLYDFDLGFGDRWKCRTSF GAYLTPADTYETEDDLIPILARNYQRWDDLLRKYNPVKIMIAKTFEKGIGQPQIIPTD AIKLPVPHILTECFSANKIFLFLGCEEELSDVEDTQDTSMKIKKEKGQKVKEEKGPKI KKEQSMPQKPRQTYQRKRNHSQVSPQSSQTTPLRYVQPRVALNRNSKKSESPEHVNDS TEAEDQTTLDGVYAEEQELLEIQEPEELHLDAPAGRTRQRLQQN ACHE_11484A MATILTVCTAQVPANVINHFLKESLQGSPYAFDLFCILQTANQT EVDRKGTKPDIQDFTSDFQDKTEDEVRHLIHEWIERNGRSGGISNRWIAVLDSKSMED ETLLMYYATKKSYWDETHEQLDVDASIPGHARVCEDGYIWWKWRVPFRFGFYFWNSIT SCGMEALELYCRPEYLHADGSVDMDTCSKIIDGDIEDPMGRM ACHE_11485S MYISKPLFTLALCLTSSQDALGAPAQLDSRDIVERANPGNSENN PIKGTMNVDKQS ACHE_11486S MQGGYNSFLIPVNQAAQNSQGGTINGFYRRHGIEENSCDSDKSW FTIDKWDGNLGPYCHALQHGSPQEEKDICKKGSKDAVGPRGFDVGEYAYKWDGGAYHP AGKNK ACHE_11487A MVIVDRLGKGVIPIPCEKIDTYTVAQKLIQSFIGYHGIPASIVS DRGRQFTNEMWKRFCELLGIKRQLSTAYHAETDGQTERMNATIELFLRSFCDHTQSNW ASLLPMAQLAICSRDAASTGVSPFFLDHGYHVDPFQLEEDVEINLSAPDLGTMRERGE RIAAKLRGALDIATTELAVAQQKQEDYANRQRDVAPEYQVGQKVWLDLRNIQTERPSK KLGSRQAKFTVLEKIGSHAYRLNTPGTIHNVFHTALLRPAAMDPFPSQRKDDYQPPAE MINGNEEYMVERILDERFRRWGRGERHEFLVKYIGWQEPEWNDARNMEDTIALDDWET YKTMNGIVVQSALSIPNEPPHAGGRSRRRRGRGVM ACHE_11488A MTTFSAEISDHESNDQTDGNMGDNIHSGGTTTPVPQDLVAVIAG LQRQLQQMEERRIEDLRRLKEELTSPPREPLPQPTIEEIAPQPMADPVRRPRPKLTDP EVFDGRNRSLYRPFRSKLRAKLEVDKEALGNAYDRMWYAFGRLTDGAAMQVLPWMERF AKKGATESQLDGMLDQMDFIFLDRNLEEKAVRDLASLKQNNKPFTVFLTEFNRLLMEA DGHNWPENTKRSYLDNALNREMNTRLETVEKKNGFEDYCRQLQQIADRMEKNQLRYSR NNKHTTSTSPAHPVNTTRASSPPQDMDWEPTTTTSARSQPRRVAKHVSREEMERRRQE RRCLRCGDSTHFISHCPYDSPRNSTRMARSHIHGPELEDEEEQLREQPKLGKE ACHE_11489A MPYISNGSVSPPSIPNQNPTPPPDTAFEKLVHDLSAALGPSSGL DSDDVDPLDIQRLMEDYASNSEEWAQYALGDISRPYTRNLIDEGNGKSNLLILVWSPG KSSVIHDHANAHCVMKILKGTLQETLYSWPDQEKVEHGQVSPPQVRRETLYGKDQVTY MSDELGLHKISNPDPDNFAVSLHLYTPPYAALHGCSIFDEKTGKAKHINQNNFYSVRG VRS ACHE_11490S MSTTVDKIKQIEDEMARTQKNKNTSFHLGQLKAKLAKLKRELLT PSGGGGGGGAGFDVARTGVASVGFIGFPSVGKSTLMSRLTGQHSEAAAYEFTTLTTVP GQVMYNGAKIQILDLPGIIQGAKDGKGRGRQVIAVSKTCNLIFIVLDVNKPLVDKKVI ENELEGFGIRINKQPPNIVFKKKDKGGIAITSTVPLTHIDHDEIKAVMNEYKISSADI SIRCDATIDDLIDVLEAKSRSYIPVIYALNKIDSITIEELDLLYRIPNACPISSEHGW NVDELLEQMWEKLNLRRIYTKPKGKAPDYTAPVVLRANACTVEDFCNSIHRSIKEQFK QAIVYGRSVKHQPQRVGLTHELADEDIVSIIKR ACHE_11491S MDTDRHHQGSNTNSNTNNSSNNFGPPSWMEVGDMNSSQHSPTLP DFHSMGYGSHPIMPLDSSYGLSIPPPYASLPLTVPSHQWPSLIATQSHFPDSGLSSHP ALPGVSQVAPQQSGRKSSTSSAAPRRTLTDEDRRRMCLYHEEHKTAKQTDIGALFGVE RSTVSKVLRQKEKYLNAEDTTRSPIKRTK ACHE_11492S MFFASTCGSPDGKEKVLTTRWLEKFKQKHTLGSKSRKSSFASAK SDSTSPTRLSINSALASAVQSPTLISPTSPTGFISPTSLSPTQSHENIKTNLAESLAD LAEYQRNNKAMTSPDTTASSLSPGVTSPTSLMSDPFSSATDANKPRSETFPFGTVDPN MISEQQQHQQSPLEQAQPHPLSLPILESPFEEHNTNNVTDLSNTVKRNRSHPEIKARP IYPAIYSKSATVSPISPPGSPTQDEARQALELVMNYFQQQPTGLCAQEYFTMGKLMER LDLAKNQSHMLPGGFTRIEHDDSASQMNRKRSIHGLV ACHE_11493A MTSDSHRPGLHPLSHLKAGPTTSSSKSTARPASSTAQSSPQTFS RPRLSKYNSIRDDNLDKINDKTITKLIRRVLCPQANSSSSLEELLPPLTSSNDVDHQL YAIIAIIVKEFIYSWYTKITSDQIFVNELLQVIAHCTRALEQRLRQTDVSQLVLDEIP ALVEAHITSYRLAKQQSTPSGLPTSTRTIYHALNPHPGLSPIPDPAHPQSIAEQHENE ASYRQLLVYGTLAVLLPTEDLENSCLRTLLGDILADLILGKEVSGRICERWFLWETIA KLVEVSQQKPRHDDKNKAQGQPEDRLRKFGLLSTEEEDRDLSYISQSRISVWIWSVLQ YVYVTFLALRFIATGLFRVASRPQSTTSRIKKAYTPSATASPVNQRPVLDFRLFSMVS ELLAVPQRMPWLGGLLALFQHLVLAGPGRLGDADSVLDRFLYETIQDYVLTPALLPRL LLASRTALFPVNVPAPQGAEPGRAVQTPLSMHPPTSSAAEGGNTNITNKGNANTSSAE NTGPSVLEIAAIRRHCAVSILALIPQPVTRRLFGAAHNSSNNNNRHTISIDNNDQLNG HGYNNGYSGHNGTTNDSQKRQKSEPTVGGSDKEETILIAAIENELLDLLEDEYCNKHL VYSIIETVLVRLVPELGERSVGELLEDRGVLFSSV ACHE_11494S MAETPTPIPLWLDCDPGHDDAFAILIAAHHPYLNLLGITTIHGN ASLENTTANAGSILEAIGRPEIPVYPGSKKPFCRPAIHAPNIHGDSGLDGTDLLPKAT VPPITDKNPILAMRDALLAQPKGTPWVVATGTLTNVALLFATFPEVAEHIQGLTIMGG GVGNGFTSAPMSRLPGEHTRIGNITPYAEFNIYCDPEASQSIFSNPIVAPKTAIMALD LTHQVLASPTVQSRVLHGSDDQSAPPTVLRRLYHDLLVFFAATYDTVFGLSSGPPLHD PLAVAAVLSNFNPVFASLHPDKVIKFDDNGGERFSVQIVTDGLHGTDVATTGELGRSI AAPAEVKGVAIPRGVDLDAFWNLILECLQRADDHNAARKA ACHE_11495A MAKIKSIEYFRVLPRWIFVKVTDDEGQYGWGESTLEGHTEAIEG TLNSLSKRFQGYEADNIEHIWQMVWRLGFYRGGPVFMSAISGIDIALWDLKGRRLGVP IYQLLGGRLRTKVSVYSWIGGDRPADVEAAGKARLAQGFKAIKMNATEDINWLDSPHA LDSSVERLKTVKALGLDAALDFHGRLHKPMAKQLAKALEPHRPLFIEEPLLSEHSEAI KLLSTQVSCPIALGERLYSRWDVKRFLEDASIDVLQPDISHCGGISEMRRIASMAETY DVAIAPHCPLGPIALAACIQCDLNTPNFVIQEMSVGMHYNLEAGEYDITSYVKDATVF EVKDGYIEALTRPGLGIEVDEEVVRRVAQTTQPWPCKEFYGPDGSIREW ACHE_11496S MPPANSPSQDTPALSPAAPASSSLSFQQSSSVPIPISTLPTPAI DNDAHDDNVSFLRSRVRSSPDSSSSLSRQRRRRQQQQHNISEFDPDPMDVDGPRTSSE FSRRIPIVRRQRDESNHMPNYEGRSTNVRSLYGWAPGSEEDEQNDEPAYDPLPEGFSS WFGRLSDRQPGHRHHARERERERDSVVARTFAEGVAEGDRHRIDPSTSMAEALLQSVR RQPRFSRTRTLQNYLLDRERADRDAEESRERQGPTPRAYRFLPTSRETHRILTHNDLR ARISAHRQMHLDNPPSPRLTETIKYLERLRDSSSLEESVTSAAGGGFTQWALDDDDFI LDIGSIHPPPHCSWLRPGMVFSGSQKAAATGPGTLLPQRISSPPQSSANDPIIVNGSS ENSGRINVYTTSGRRYLANTVYGLGNSRDENWPVRVTIHDINHEDMTLSGTMEAYNIP DKTSPSQDAHIVTFLEGEIIDFNKHTLETKNFKADAEIDSTYWRELQPFKNLTDEEMT RNLVSRKWITEELSKGWILMRWKERCFITPTDSRQGLTIFGFYYISLCRETGHIEGLY YDPGSSPYQQLSLKPEDTKMVFPSYQFR ACHE_11497A MSDGEETQSNPPVAAEEVEVSADAGTSGQMSVLDALKGVLRISL IHDGLARGLREAAKTLDRREAHMCVLNEGCEEEAYKKLVVALCSEHKIPLIKVPDGKM LGEWVGLCQLDREGNARKVVNCSCVVVKDWGEESQERSVLLNYFQTEQ ACHE_11498A MSDVDTISSLPAALDAGQSALANNVNNHQSPSGSSFPKEANPQL FQPKDSDVAPKSKVDSTDEANQPLSPKADSEAETIIQSGRESVSPEKRRKYIKHDPKR HDEGNDKLEEPEEGELPPNDLQVRKRKRLGDDAHDVRDFDPRRSRSPARSPRVAREVS PSVVKTEKPEDFPSLRASREDSVPRSEKPRISRKRSFSESVDGDSARHNRSAARDLHG ISLPPPASNGRSVSPVRPSHKRTVSGPQLSEASKKRKAPTPLTGFSRQGSEDRQSVSS STSGSPMPSAHIRKLTSLDGTSASPARTLGPKKQRDQNGRTRLARACAASELETAIAR HAERPEDLNVADNAGNTPLQIAALEGCAPIVEFLLSVGCEIDTKNIDKDTPLIDAVEN GHLDVVKLLLDAGANPRTVNAEGDEPYELVPSDCDEYDEIRRVIADAKATPRTARRSE ERKDPPSQRPSATSPRDSPPVHGGRSPPLFSGHSTTKRRTVRSEATRNDLLWTKPTSE NLQAFAAKGDMAGVVTILNVGQKADPESMIAAAKGGHDEVLSLMLGMGFADPDPNPVT SSGQKSGYNTPMLAAIGRGNLEVIKLLLDQPGFNPTRRLFRDCTYFDLSRERKGENWE DEYELLRDAYDEYSRRKSRKPDLSSPRRKENKRPTRRESRSPIPRPKRLAGSPTRRDS ALKEKRRDGVAHPKEKSLAARSKAPRRDSSDNETDGRLESPRRKPRTSSTTDGESGTT SRGDEQPKRRRLIPGRPPQRRLSVLSSDSVSSGPEGTKPSEAVLSKRGPTLKRIRSSE SPPRSRDTTDVEIHYPETQKKKRRVLSEDGAPNVSNGAVKRSHDVPADVSKSHAPPRS IEDKDDDLPREHGRAASQKLGLASQKGVAVPRISSNVVKEERDRRDVRDLEEIPMEDS VSKLRKTEAKAEDERRQAEKIKAEEERVATEKRRAAEAEQAHQARVEEDERAAFLVQE KAEEDRKRKEAEHRRLKQAEDDRQKRLEHERLRMVKLRREQEEQEQRRRDALPNRLRV AADLVGSNDPRAKSHAWLKRFMPLVTAQTKQLDPNCEADVANEKWIPNYLVAPLLATN DLQLSQYVSWEKRIVTPTQKGNLWRVTRRMLVPADDTELAGSMGQVMQKDCATRPKYF EMEHVFWVKLSDFMDLVPHIPHLHGLDIQFLQMHIDKEPSAEEPVPKPQPNGHHVLES PHVNGLSAGTGNLPNGFGHARPSTYV ACHE_11499S MDRLSALVDGFLASTHSDAVDALRAFFLFAACTVLTLNLFAPLR ARFITYGARAASSSSTTAEKEKPSSNGTKASLITRALDFLQSLQVPHSYFTQFYVVSI LSSIFWLAQLLLRGSVFQAITARISPEHLQSSMSVNQTILCWVLMVVHSSRRLAECCF FFKPSASRMWFVHWLLGISFYLAATVAIWIEGAGALRSHELTLDQVKITNVPSLRTFI CLPLFLLASGLQHDCHHYLFSLKKYTLPTHPLFHMIVSPHYTAECGIYLSLAFLAAPS GEMINKTLLSCLAFVAVNLGVTAGISKEWYIQKFGKDSVGGKWKMIPGTY ACHE_11500A MGIFVDSPHGAPNHVLINEYMPGQGIMPHEDGSAYYPLVATVSL GAPIVLDLYTKRKSEGQEGQDEDGAEERRPQFRILQERRSLLVTTSGLYTDFLHGIAE STREKGLGPESVCNWDLLREKDRYENGWFDRATRISLTYRDVIKVAKVGNKFKFLNGR ACHE_11501S MGAKNKGKGGGNNDDSGKGGKGGGGGGLKPATAINVRHILCEKY SKKEEALEKLRNGSKFDDVAREFSEDKARQGMYKYHPLFIPPFGIFKKSVC ACHE_11502A MARQKQTAPLQRSTSSELMHMLPDDFKANGQAKERNARAQNTEK GAAHDSAQETPGLMQLVICVLGIYASFLSWGVLQEAITTVSYPIRPASAIEHEPPTER FTFSIVLNTIQSSFAAITGFLYLTFSTPKGQKVPSIFPTRKILFPLILVSITSSLASP FGYASLSHIDYLTFILAKSCKLLPVMFLNLTIFRKRYPLYKYLVVLAVTLGVATFTLH HPGTSKKMAAAAEKNQSGSSLWGIFLLSINLLLDGLTNTTQDHIFTSPQVYTRFTGPQ MMVAQNVLSTALTTLYLGIMPHLSSSGILHAILPFPIPPSTETELSTAISFLSRHPEA LKNVLGFAACGAIGQLFIFYTLSRFSSLLLVTVTVTRKMLTMLLSVFWFGHSLSVGQW LGVGLVFGGVGAEAAVQRQEKKAKEQAKAQAKKE ACHE_11503S MTSEIPPPSSNDLKTYPEPSALTTLISTAISPTTSLSAATLQVL HNLQHQHLWTSLQIHRLSLPEAPSTSSDVSYASSTATTAFVISGVPPNRIYTHPDEQL FMLERGLRDNDIDPERTFVLPTVEGQSWSLRKMAAVFDSLPQVDEGLASLAPEGGREG EGSQPRDEKEAKIAEYLEYRKSARATKEWGGKRLLLSMVDRNMGGDGTVVYYVVQEGA VKPRQN ACHE_11504S MEQDLDIKQPLPFGFKRNRLAELLAADTLVAAGSATLITPAVMI FDRLVVEKSSYNQPLLPAFRRHLWYSITQPATFLTSRPSLLVWSLYAATFATANVSET IFSQVFPQIDHAIAGTTTFVSTFLVNSSVGIWKDVKFAELFGHNNSNNTTATTTAKAA TNASSSTANQAKSVPGGAPASKIVRSVSRSIPMATYSAFLLRDGLTIFGSFSLPAMAS AWIPDSIASREYLKILIAQLGIPASIQLISTPIHLFGLDLYNRQSPLPLKDRLNRVSR DWIGASLIRMCRIIPAFGLGGFVNAEGRAFLQQQLDRNAE ACHE_11505A MSSGSWKSDGMLIAPCSVKTLAEIGAGYAEDLISRSADVCIKER RPLDLGVRETPLSAIHLENMLALARLAVVSFPAVPAFYTRPRALDDIVDHSAVRMMDY FGIELDGFMPGEGKREGFQK ACHE_11506S MSSTNFAAAQQRVLERRRLRETESRARFADQQRASSVNNAAIQR LPFPFNRLPLSASWLWGSLTGREGNRPAFRVGQVDAELLDEELLDLLKGQVGEALKYY GPHLREDWSHEIQLVLRAILFKLSIWDHDASYGAALQDLKYIDVRSKGPIHSTPTKWQ KGLYGFLTVGGRYAWDKWESWLVGQSDGYEEPSRGVQFLGRITDLVSTTHSVAAFVSF LVFLVNGRYRTLIDRILRMRLTPPSAQASREVSFEYLNRQLVWHAFTEFLLFLLPLVG ISRWRRWLSRAWRKTKATLKSSSDDNEPEEKRGELAFLPERTCAICYKDQNPANTSES DVMAASASAGGMIGSAQTDITNPYETVPCGCLYCFVCLVQKLEGEEGEGWVCLRCGEI VKKCKPWNGDVLEEVRPQTTSGKAVGFAIDEKEDAKNTSEEQDELEGSKQWTSVDKES VDENDHEAAAA ACHE_11507A MCTSNYYIPTAQAISILGCALLSGTLLSLSLFTIPTLTLPPRPS PSSPINIHPTPFAPPPHLTHQWLYTYKNTQRILSPLATVTFTGYTYLAWCLRNDPTAR GKWYLLACAVMVGMFFWNHVVMRGVNGRLEGHARRDDKARAEGAEGMKMSEQEVAKRG REDTNASKGLRLWILLNGVMAMFPLGAAGVGFCAGM ACHE_11508S MDPSRPATVSTLGEAKYIDFPSLPDDAKHEDGSPALNRHSTTIT RGHDFPGAQAMLFAAGIPDRDAMTKSPQVGIASVWWEGNPCNMHLLDMGKTVKKSVTE KGMIGWQYNTIGVSDAITMGSEGMRFSLQTREIIADSVETVTCAQYHDACIAIPGCDK NMPGVVMGMARHNRPSIMIYGGTIQIGYSDLLRKPINVSSCFEAAGAYVYDTLRQPDD GGDTSKNKDEIMDDLERHACPSAGACGGMFTANTMATAIESMGLSLPGSSSTPATSPS KMRECVKVADAIKACLERNIKPRDLLTKRSFENALVMTMALGGSTNGVLHFLAMARTA GVDMTIDDIQRVSNKIPFIANLAPSGKYYMADLYDIGGIPSVQKLLIAAGLIDGDIPT VTGKTLAQNVESHPSLPQDQAIIRPLDNPIKTTGHLQILRGNLAPGGAVAKITGKEGT RFTGKARVFDKEYQLNDALNQGRIPRGENLVIIVRYEGPKGGPGMPEQLKASAALMGA KLTNVALLTDGRYSGASHGFIVGHIVPEAAVGGPIAVVRDGDVVTIDAESNSLSMDVS EEEIQQRLREWKPPVPHVTRGVLAKYARLVGDASHGAMTDLF ACHE_11509S MGSTGGSSLVPTSPSPSSLSRTFTFPSDVKGVLRIWDSVISSTL DRYRNGTVNWSQVVFLTTCFVAMAGCGFLSSLLRRRGVQPPSDGRGRRFGRSARKNDR KSSAGAMSSSDEDEYDSNMSLRPGTDSSLSEKDATGQHREQSVEALTTDPGLLKKYSS YLSYSTSVATYPSIRTFFCPHPQMSKLPTKPSPIPMLVFVHGLGGSLAQFHHLLTSLT NIAPCFGIDLPGCGLSTFEPTSWDAYTVEALAELIATAIEQHRDVEAGQGVVLVSHSL GCSLSALLASSVSPIGTQVKEHIIGTISVCPRASPPPPKDTTMFRRLLYVPNPIFNLW RTWDRRGGPNSASVTRFVGPDADPETRELQVRFNKQSKTAVWRRMAWGTLPKQYENDK PIGGMPGEEVWAGIQSPVLLIAGESDAVTKAAELKKILRFFGDIKPDEKIEPDSSVVP DATRVQDQIQIPVNGQTHEVEYGGEEESEKVSEGKRKRPVKTAVLPAPASHALLYDRA TYRTVSGIIQDFFVSHIDHRLSLGWQLHHLNTSGKWDVKNVAKWKKVPPVSQPLADTF VALKMLREVDEQHNPVHFSEQHHNKIYAVIDISHENPVYNPASLDKGGIHYHKHPTVS KIPPTPDETRDFIALVDRLQAEITDLVKKRPESERDLPRPVVGVHCHYGFNRTGFLIV CYLIERRGFGVQEAIDEFERRRPPGIRHGHFLDTLFVRYCVGLKRAPTL ACHE_11510S MGGVTVRDVEAQKFISAYSAFLKRQGKLPIPGWVDTVKTSASNE LPPQDADWYYVRAAAVARHIYLRKTVGVNRLRKVHGSTKNRGNRPAHHVDASGSVDRK VLQSLEKIGVLEHDEDKGGRRITESGQRDLDRIAKTTVDEEEEDDE ACHE_11511A MSYEIISNPSVSPQTTSRILSFLSAFYQTSDTESAHDRYVSSFT DDATLIMGSKKAVGRDEIRTLRHGLWTHVASRKHAPTKVFFGGEDEVMLYGTVQYVLR ADAEKNEVEVPWAGRVVFAIDGEEVRMKFYQVYLDPSAQSGKK ACHE_11512A MADLESYSPTREAGRIFSLLCDQSERFSLPPQVVENRDKVSFYS SHDQIYYPIPFKETETLAALKGVEGSVAAALADLRYGAPSQNIRVSLEKATAFGCQAY MAKLDGLSKLDPAVKARLKDTDLLAAQSNGYRRMSANLYRTKNPGEFFHIHGSLEATT TLDMIGLEGHRPDLTDYEEIIKVIESHVQKYGAAELEDMNRERRQAGVTAFKHEDFVK TPHGQVNMQEPFWKVSRLQGDIPPTPFPAGRSGSKKILEGIKVLELCRIIAGPTVTRI LSEYGADVLKITSPNLSDVPFFQVDGNMGKHAADLDLKSEEGRRQFEELIADADVVVD GYRPGALEKLGYGPNALAALAEKRGKGVVYVNENCFGYEGEWAGRPGWQQIADCVTGI AWAQGQFMGHPTPMVPPFPISDYGTGCMGAIAALTGLYHRAKTGGSYHGKASLMHYDL LLFAVGQYSASIQESMRAAQPPEFFALRHCDSVDRISSTVLKIMQQRFPHLYVPEVLT EKWHSEAYKADIEVVRPVTEIEGVENVFERGSRPNGVDRASWEDFRKQEGDYKY ACHE_11513S MNTTLTHIMSRPTGPSSIAMALAGKTASVDIPKPRSDFTAARDT AHPVMLPTPPNSISPTLRPHVSFGHKQSVNLASTSPLATSHPDSDLDLGDAGDLDSTG AITPGMLAKHHLPEIMLHQGPLAIRHVMGYLTTSVPGFSRIPPAKARRLVVAALEGRG CEQGSASDGDVVFEKVGWGRWDARRRGEPSRHNQHLEPSPPSSLPNSFHQRGVQIPSR RENWSPYGTSAADSAVFSYTEGADYGRHRDVSMLEDEADKMSLDGDEREYASSSEAPE DQIPDEDWGEEDVTDEEDWAQIGADALRARSLNASGGFLDAKQQPRGGGPAPSTLAKS LPNNIPIQQLGFTLPEGVVGDREERAAVEALLRLGSM ACHE_11514A MTSYHLELYLPWHCLQTSSSPTMTLVYTPEGGGATEATATPSSK PDNDQKQQLPATTSVTATVTAPSYSPIYSAAQPASMSSLPAATESVRGQQEPQTQPES LPEPEHSTSVYTPSETTNPTPATTTSSSPPPQPGAVPVAGAQGQGFGYGQKEVQGQGV PPPPKVGEAVAQGGVAEGATPAATATASPNINPGIQFPTQPYGYGYGYASQQQYPQFQ QPPNATTTPYNTVYPRHPSISYQTPYTIPNNNNIMQDELDTGEKGLLDMAKGWMQTAG EKLIQVEAEVWRRINEAHDGEQ ACHE_11515A MADKKGEVNQGEKSVLGMPGFVVDFLMGGVSAAVSKTAAAPIER IKLLIQNQDEMLKAGRLDRKYSGIGDCFKRTAASEGVVSLWRGNTANVVRYFPTQALN FAFRDTFKSMFAYKRERDGYAKWMMGNLASGGAAGATSLLFVYSLDYARTRLANDAKS AKGGGERQFNGLVDVYKKTLASDGIAGLYRGFGPSVLGIIVYRGLYFGMYDSIKPVVL VGPLEGNFLASFLLGWSVTTGAGVASYPLDTIRRRMMMTSGEAVKYSSSMDAARQIVA KEGTKSLFKGAGANILRGVAGAGVLSIYDQAQLLLFGKKFK ACHE_11516A MEPPSKKARKLLDDDSDSGNESGGVPIGKQSDADSFKINEDYAR RFEHNKKREEMQKLESKFGKTSSLGKRRDRDEDDGSSEESSDDEDEDDDAELATEALD AEINATLNAIRSKDPRVYDSNVTFYSQHDDTQPDTSKKQEKPMTLRDYHRENYLSGAT LTEDNDAPQPPKTYAQEQEELKDAVIKEMHAAADDKDASADEEDGDEDNFLIRKSAPE PAPKPEVKLDVENADKDPENFLSNFMSSRAWIPTNRELHPFESEDEDEDERAEAFEEA YNFRFEDPNKANERITTHARDATNQQSVRREEKSSRKKHREAERLRKEEEKKERETEK NRLRKLKVDQLQEKVNQIKEVAGFRASKFTDEDWAKFLDDAWDDKNWEQEMQRRFGED YYAEEEGAGSDDESGGKKKKPKKPTWDDDIDITDIVPDFDAENPKPSMEESDAEMDDA ADGEGSSSKKSKAQEKRDQKRDARKERMRIEEAADRNLDLDINLLPGATKKNATRFRY RETSPQSFGLSARDILMADDSHLNKFAGLKKLASFREEEKKQKDRKKLGKKARVRQWR KDTFGNEEGPEFTFGGEKDAAKEGQKGGDVDIREGGRKKKRRRTKKN ACHE_11517S MSTQPQVPLPQIGKLVSVVPVGLKEAALDSPTFRATTLHFSDQI EFLERWLDGYAKSASKLTSELAALESTVGSFLSYSTNPVAVSEAVLDHDYTLLSMRRS GDGSRDLWNGLVSSTRKLETFVAEPIRGFIQDDLRSFKESRRILEQTQKQYDYLHSRY SSHNKSKEPSAIREDAFQLHEARKAYLKASLDFSVRAPQVRNALDRLLVRVSFDQWRE FKTMHNNNGANFGKWGQEMDRIKGWIHEMEGSEKLSRRELLSARDKIEETAETAFRPS RELEDYSISTVPYLGSRPLSGENMTAEAKPEKQGWVNLRTLTGKPTRTTWIKRWVFLK NGIFGCLVQGSRTGGVEESERIGVLLCNVRPAFQEERRFCFEVKTKSNSIMLQAETQK ELLEWISSFEAAKRKALENPASTDLSVSGKMTVQDPAFSISQPPAPEFSADPSESLTP SGDEQGSSDRGILSLPEREPFAFRASTEIGSRRSTGPDSENSGREHASRLIQKLDLHR KSNNAAQSASPLPSVGGGIASLITASHNAIPSMPIGVPDSDSTRGRSLTNRSLSNRNA PYTTLAPFTLANPPAPTSMSKAAVIVSNERGIGLGQADSTGGMPSGLMANLWGSSNWG FVNRFEHERLGQPNGTGSSPEIQPPSTAMSDSSKHTGADADTPISTKPKHGPGHRQTL SLDGSSPTVVKPVAAFEHPSYYPLQLRIQDAQFRLFFPNVKREEPLVMVFRATWSPND QQEFPGRAYVTNQNIYFYSNYFGLVLTTSIALDTIKEVTAAPGRDCDFLFLHFVPPIG TDTPGRGTVKTFLEPLKLLQRRLNFLIQDSIAVEPLGLEDILKTLTKMESDGPARDPS SDSWEDMTGADRAIDGSIDHKPKQELRAPIYIEKDLDINPPKAGNGRDVAKVRLPTQP VNYVPQGNLTLAAERTFDISAKALFHILFGDNSGVWQLLLHERQARDIKQGPWVSNDS RHLRRQLTFQIETADLIGRTEGIEISDYQIIDVLNDHLCYVVTDKRTPWHLPFKRSFR LVSKVVITFISKSKSKLAVYTKVEWLWTPYGLQGIINNQAIGDLEQDALDLVDLVNEQ VRKLGAHGRTKKAISVFGHVGRQNQASELPPNGSNMALEPRKPRKQRSLVQLLFEMFT SFGETAISSVFMSIFAFLRWTWKTVNANKVILFLLLSSVFFNTLYSSQVAYEWWHERN AGNFMARLGVHPDNVMSKAVYLKDIDEAIANSTIGQSPENVSDCFFTFHEQMMRDQAT PLSLGATGPRDAVTKSATKRIQQSRERLATYRHNLLVALRVVNSIEREVIQSEWERWL RQEIRRCHQVEVLLGKDNENEEAKVQVDTVFAELTDDVEQWYGRYCTSCQKEQEFVEL SGRSYGSA ACHE_11518A MVESDALRMAARAEQDLNSYPAKQGLGPKSDSTLESGVNEFVDK KFPQETGVRTGREAGPTGSDKKPIPEDEGGSRDDRGRLAPAGEFQGKGGPEDKARIQG ERRPGDQDTLNLQDLKREGIAK ACHE_11519S MAFELSGEANPLDLQNVLNALMLAASSTQQQVQTGAQQLQNWEK QANYYSFVQDIFLDYSVPFEVRYLAIIQLKNGIDKYWRKTATNAIKKEEKDHIKTRAL QAGVVEPVPVLALHNALMLAKVFRYEFPQEWPDGMSSIIAFLRSSTQPGANPLQLPRT LTILLQIIKELSTARLQRTRAHLQSASPEIFHLLGSIYVDKVNEWGSFLEQGGVDEAA LLKTIEQSLVSMKVLRRLIIAGFEHPSRDKEVQDFWRLTHSHFSRFLSFVQGHTTLPE QLHRAIEKHLLQLSKLHVEMAKTHPASFSLLPDSIVLVQSYWTLVVKLGEDYEKLEAE GKSLMERTGLRALLLIRACAKMAFNPVQTFKYQTPQDKEEKKQAVDLIKVQLFMPDFV VNVMELLVTQFFRFRKGDFQEWEEEPEEWEKREAEIAEAWEFSIRSCSEKLFLDLVIH FRELLIPRLLSVFYNFSSPENRDILLKDSLYSAIGLAAACLDRHLDFNAFLESSLVPE VQIQEQGYNLLRRRIAILLGQWVPVKPDELNRNAIYQIFQYLLSRQDPLNDIVVRVSA GRQLRSVLDPYEFSPAGFIPYAPSILSDLMALIQEVELPETRMALLDTVRAIVVKMED NITPFSDQILALLPPLWEQSGEEHLMKQAILTLLSSLIDSLKEDSAKYHPLILPLIRS SVEPGSETQIYLLEEALELWASIMVQTPAPASPELLSLLPALFQIFEDATDSFPMALQ IAESYILLAPQEALSDRTRLPLLTSLESLLKSTTKQRLGSVPRLVELLIRGAKTVDPA SSETTYQVIARSLLDSSFLEGLLQGLHSAYEASQTTGPHRKQTQVYGMVETDYLSVLA RLALANPKIFASAAAATTGTSEETTLTWILTEWFSHYDNIGSVNQKKLHALALTQLLA LDGPDAQPPHYILNHLQSYLSVWTDIVTELAEGSEEDPSLPGDYLIFWNQPQPGTYVE NEPPEIERRRQWDSSDVIHTINIRDFVRQKLHALIVACGGEQRFQEEWLVNVDREVVA AFGALGLF ACHE_11520A MFAKLSRKALLTLSALAAVTGAIPVGVLDEIHAPSVNYDADKIR GVNIGGWLVLEPWITPSIFDEVGDAAVDEWTLTKVLGKEGARNRLLKHWESFISQADF DEIAAAGLTHVRIPVGYWAVIPLIDEPYVNGQLDFLDQAIFWAQSAGLEVIVDLHGAP GSQNGFDNSGRRGPIEWQQGDTVERTTAAIQALIDRYSEYPNVVFEALNEPSIPGGVD RGLLSQYYGDFEQRVHKATPDAPLVLHDGFNPVDSWNGFLSENNVVIDNHHYEVFDNS LLTQDVNTHVSNVCAHSSGQLQKSDKWAIVGEWTGAMTDCAKYLNGKGVGARYDGTMS ADFSAGSCDGKSVGNVADLSDEDKVNTRRFIEGQLDAWEQKSGWVFWTWKTEGAPEWD MRQLLAEGVFPQPLDDRKFPGQCG ACHE_11521A MGPLLQTRFEALLEHWNAWQVTDPLHQLEQCCDASVLLGIGAGD RERKFDFFLIHTMKVAHGLRILWHLFPEDQRSCILRQCALFVIMIYICQLRPAFGVGM IDSIQTVKLDDHCWEAVIDRTLKHRWFKDSHFFKIVRAPKAFEDLWEER ACHE_11523S MLCATPSLVSVLFKEAASGSVISTAFAHIAVSYEDLAEGFVQLA PGLKFADNAVGIGLSSQRAERPMFVLGKVLRGLLFQSVPWYWKAEYAVLGRSH ACHE_11522A MQFVSQSASRPPEANMDAPPPAAAQGPLGPKAQLTCEMCKRRKI KCDKLRPCTACRNAGTICVPVERARLPRGRSGGRKKKNIAAANSNAEASASANVNHGQ SDVNGNGNGADDLKERVSMLEHTVQGLVHSGQASYGSAEDGGMSVLPGFSLWDVGIGL AENRLLNENSQQTDNHVDSWLSGISPIPEPEDWDKELHHRRQLMSIYMERVDSFLPIF HCASLGDYLISRKPYLDYNVDHPAPAALAWAVRYLAISSISDEQCFQMFEVGRQSLLA RYQKTTQSALEKADYISTDDLTTLQAFVLFLLAIRAHDQGRRAWTMLSLALRIAQSLS LHESEPPFPVKPLEREMRHRLWHVIGILDIQAAFDRGSEPMLRSNCSMSEISSPSTGD PDSPFSITQPDDAATQFLHTDTRFLAIMAEAQCAFRALDVSELNASEVATPTNFDTKS RQHVATTFRQKSLALLPDPQSELITTNLDWCLRKLVNIVHAFLQLLSFRPIRSGNRNP DSPPVAIPRGSGLLLLTVKFLQALDQFRHDERSEPFRWFIRLFVPWHVLVVAIDEADA CEDVLLRQNCQALIERFLVSFEDMLADVHRAMLQGSVERLMAFGWNVPTMNSLRGSFG EGQDVSPNS ACHE_11524S MNPLFDSDRPIKHVSRKAIYTRLEARIDYLKSFLDFNTDDIEAL ESGNKYIKALIPAVVNMVYKKLLEHDITARVFMTYDTSNEKPIEDFFNEESPQIKRRK MFLRWYLIKICSDPTQMEFWRYLNKVGMMHCGQERLYKLNVEYIHIGACLGYIQDIFT EALISHPRLSLQRKTALLRAINKIIWIQNDLFAKWRVRDGEEFADEMSEVILDDKEGY LGDKKILGEGSTSGSSIDDDRSSLKSSIAPSAHSIAPSPVCPFTGASKGDTGTETKIW AE ACHE_11525A MPVTPTPIRWRSGNMMQPEFNEGSMESASMDENEGQEHWQTRGS SRAPSRAPSRASEARSGIRQRKSLQKTLIGRPKHQTSLLDVSKHAQVLVGALEAAQNQ QQEMFQMVQEQVQAHLAEELSNWRAEQQVHEGLYLERVTKLELEVSKLRTELTEAQNT IQRIKPMKQDTPTTTNAQSSQTNQHNSSKVPKIREATSQKSRQQPTFADLATLLSTRP GGQEWQEVTKKQKNRQIQAVAAVSQPDPTKLKPAKDTPKEARRFLFRREGGKAAVTTW LLSYRT ACHE_11526S MTIVPLSNPNGATITTSTTSTGKTPIPLLINNQSVVTDNQFSVH NPGTNQVADLCVGATVDDAIRAVDSAKAAFAPWSKTTPYARRDILLRAADIMQSRKEE LIAYQMEETGAGRLFSEKTFDLGVSFMRDTAGRIPSIEGAVPSVSENGETAMVFKEPY GVILGIAPWNAPYILGTRSIILPLAAGNTVVLKGSELSPKCFWALGDIYREAGLPAGC VNVVYHKTSDAATVTNALIAHPAVRKINFTGSSHVGSIVAATAGKYIKPVLLELGGKA AAVVLDDANMEQAAMACTLGAFLHSGQVCMSTERIIVQRSIAGQFRQLLAETAEKVFG QHAPAPVLVASAAVERNKKLVADAVSKGASVLFGNPAAFETNPYSMRPLIVDGVTKDM DLYTTESFGPTVSLIEVDTEDDAVALANDSEYGLTSAVFTGNLFRGLRVARQIESGAV HINSLTIHDEPVLPHGGYKSSGLGRFGGTKGYEEFLQTKTVTWVEP ACHE_11527A MSPYQSRIAIIGAGPAGLTLGLLLHKRAIPFTIFELRERPTDEE LAKPSGMLDLHEESGLAAIRECGLFDQFLQLTGECAEAQKVADMHGNILHTDDGELSE RPEISRHALTKLLGDSLPVENVKWGHKLLSVTNLTAAAGNDTVLDFGPHGKYAFDLVI GADGAWSRVRNLLTDVKPHYTGTQSITTTIHHITNKYPHLAALVGHGSFSALGLRHGV MAQRGPQDSARIYIFLTTADENFAISSGIAGQTAADAKNRLLSDDTLLGRFGPVIKEL VRVACDEESMDNPGAAVDTKPLYTLPIGTLWEHNDSATLIGDAAHLMCPWAGEGVNLA MLDSLLLSRAVIKAHETARRNSVLFKDTLDALMKEFEKDMVMRSKEKAEETYSNGQML FGEDGAKAFADFFLVVYQHVEGFRPLPRQL ACHE_11528A MRVYGRGQQSHCIRKRRYLRDLERQVQDLKCAASTDNISPQSGA RCSCTADAAGIPFDTAGSQSEASSSPQAIGYARSVWTSPFTLPSTTIKNTENNKRSWI WLAPSSMWSFTARLTVMLTEKLHHTFPNNAPTLLKREVYPLRWTCCAVDEPPDVNGLP SLDYAMHLVNTTKFHLGQNYRLFNEDVFISRLLGFYHGDATKIAAEDRLWFIQLLLVF SFGIAFRSGSKNSRGAPGSSFFIRAMSLMPDHASLWKYSVPAIETMALAGLYLYSVGE RESGHIYIGQAVRIAQFEGLHTHLPEDQLGAETMSRCRNLWWTLYTIDSHFSSSVGLP TTTQDWNISTPINPPSTCSHQDVILSLQVKLSRLQSFIIATVYKSEKTQLGAFLETTR SILHTLAGYAEEVEKIIHAKFRNSVDRTPNGTRHITLLYHQCVIAATRPLLLSVLKER LDSLDHETENWQDFLVLTKTLISISIKSAEKALHILSEDDGLLEVFLPYDLEYTYSSS VHLAIANALFPSVVDGQTYSQKAHLILDEMINKGNKVAEVRKAELSHLESLLLELAAR AELQGFQPLTLYSPKQSGTTSRNDSMVEEQPNREPVADHDMMVTPNPEPPRPREASLS GDIEFLNDIGVSSYEFYNIVDQIANSDLSYSILDSAPN ACHE_11529S MSQTATITPMAPPKVLHSTMQKAARPTNNLPQFLLDEAQIADKQ SFDPQKHLSFEFPSKIYTMKEIGFEGRGVSPNAVTAPFQLFTAEAIRQMRAEIFSEPV LRDCRYTSAFVKNTIRGMGPARAPFTCDVWNSPEALAKISEVAGIDLIPAMDYEIAAI NISVNDQTVTIKKDDAPNDDGLPVFAWHHDSYPFVCVTMLSDCTGMTGGETALKTASG EIMKVRGPAMGTAVVMQGRYIEHQALKALGGRERISMITSFRPKSPFVKDESVLTGVR PISNVSELYAQYTGYRIETLQERFRAFQKQELRRDQANRPFDPAAAKKFLTEQKEFLE STIAELLE ACHE_11530A MHSSELRGIATVLRTARTNRTPIDPPTKSWPTLDAESGFEVQRI GAEEAVREGDRLVGYKLGNIAKVMQAAFGLDHPDYGFLLASTMVYEGTNVARKNYIKP YVELEPAFVLKGHLKGPNVTVADVINAIDYALPAIEMIDSRVRNWEIGLADTLADNGS TGTIILGSTPRRLTDLNLSNTRGTLHFNGRGVVSGNTGNILGNPISAVAWLVNRLSAY NIEFKPGQIIMPGSCLEATPMEESGHWSCTFEGWGTIEFDVI ACHE_11531S MQAANRLQKSLRKREPAFGAWQMLPGTNLARVICRSSPSPDWLL VDLEHGNISDDSMHEIVAASAACGVSPIVRVPEGQSWMIKRALDSGAHGILVPVLETV QDAKNIVQYSKLPPVGTRGLESLLAVEKLVEQHPHGGKVKQLTGAKYAQQANDSLVIA VQIETKSALENVKEIAAVPGIDVLFIGPFDLGINIGRPISSPDQMDPELVDAIQSIHD AAQSAGKATGIYCDTGEQAREYANRGFHMVSAITDMIGIRKVFNQAFTATK ACHE_11532S MGGEHIETYDAVFGDIIDEGPNYRNVGFTGTVILMLKTQLGIGV LSIPAAFNVLGMVPGVICLCAVAIITTWTNYVVGTFKIRHPEVYGIDDAGGLIFGKVG RVVLATAFCFSGILGISIGLNAVSTHGACTAIFVAISAVIGFGLASVRTLGRITWLAW IGLPCIIVAVFIVIIAVGIQDRPAAAPQIDTVWVSDYKLIGNPSFTTAITAVSSIVFA FSGTPGFFSIVSEMRNPHQYTHALLICQSTLAIIYIIIGCVIYHYCGSYVSSPALGSA GGNVKKISYGFALPGLIVTATIITHIPAKYIFVNALRGSRHLSSNTPTHWIAWFSCTF GVTTIAYIIASAIPVFDSLVSLVGSLLEKQACSGV ACHE_11533S MTAESYSSSSQPLDLKEQEVKIASEVEPSYDADPRNPYNWPLWK KWMTMAVAYWVAILVGINATSFTTVTDALSEEFNISNGFFEYSFFAVTSWNAAAAIVP LATLPMMETFGFRIGYLSAYVLFVIFIIPQCVAPNFATLVVCRTFAGAFGGTIQNAAD GIAANIFFTVQERILPLTLYAFTLMFGVTIGPVFGALLKPLDWRWVFWLQLIINGATI PVLYYGLKETRGSVILSKLNKTEKVTDKTAALEELKETVSRAAMLLITEPTVTSFTTW SAFSFGLVFISTQSVPVVYSVVYDWPTYSGGLVQTALALGEAIGIVAFLYQNRIYVRS AAHNLEKPGVPVPESILHLSIPSTVFGLAGGLFMYGWTTVNGTHWIVPTIGLVLIGFG IMCIVIAAAVYVTDSYSGYAASAIAAVAFGENTFAAFLPLAAKPMYLRLGFQWASSLL AFIALALALAPTILLWKGAVIRSKSKAIQKMSLN ACHE_11534S MASSFSSSLKDSALFVEKSYINGQWVTSKSNKTFNVTNPALDAV IGSCPESTNDDLSDAIQAASNALPTWKALSGRQRGRILRKVFDLLVENKVDIGRIITA ENGKARPDAEGEVLFAASFFEWFSEEAARLYGDVIPHSNATSRTHVIKEPVGVCGLIT PWNFPIAMGARKVAAALAAGCTVILKSDGVTPFSSNALAVLCERAGLPRGALNVVTAL ENTPQIGLGLCESDIVKKISFTGSTRVGKLLMQQSSGTLKKLSLELGGNAPFIVFDDA DLEVAVASALGSKFKVTGQTCVCANRLYVQEGIYNEFCQRLLEEVKKFHVGDGLQDGS VTHGPMTIGVSKVEEHIKDAVNKKAKVLLGGNRLPSLGKNFHELTILGDVNDTMQVAS EETFGPLAALFKFSTEDEVINRANNCDVGLASYVMTSDLARSHRVTERLEFGMVALNT GVISDSSAPFGGVKHSGMGREGSKYGVEDYMTVKTVITGGINTVYTYL ACHE_11535A MAISRSPWQPRPRQRKRNGLIALGLVTIFTWLFFSHSGSRTPSQ TSSSQAKQHDDAQYDGSTSVNGELWQTLYPFLTDYAPQCDPPLRQGSAESVTFDPSNA KHPPKLLDMSGESVGAMREAHRLFVKAIKRDIPTLPHQPSTRGLVSTAGGEYLPVLVA SLRMLRRTGTKLPMEVFLAHWNEYEGYICNVVLPSLNARCIVLSEILDSMPGSHTMIQ KFLYKPLAMLFSSFEDILFLDADAFPIYNPEILFNNDPFTSKGLVTWPDFWAPTTAHQ FYEIISQDRPAPNLRQSTESGAVLISRKTHIHTILLATYYNFWGPSYYYQIFSQGASG EGDKETFLAAATALKQPFYQVRQPIRALGRHTRNGLASSTMIQYNPIDDYLLMTKKKN IGEITAPVPFFVHANNPKFNPATIFWVNGPVIKEDESYTRAWTAPEDVVESFGTDLER HYWTEIRWTGCRLEGKLISWRGQTGICERLEDYYNSIFA ACHE_11536S MRYLSAEKRHQIVGSYYAPEGYYEYTKTLPFLGTVTSDVRTLVA GEWTEINIVYEVGASGLADGAWIKGTFKFYSDWTLFQTSDRTKDNYVSAEYVPKPLLP GQEPATVQSLGVRFDQKGHERPFQKAVIIDIHDGYLNAGDQIIIRLGDRRFGARGTRA QTFVESGFRWRFYIDPVGTSRFAPITPDLSWDIIPGAPAKVKAISPRLVKSGTPFAIH VHSEDIWGNVTRDVPDLNWKLKIEKQGNNDSNPPVVVHKAAATADGWTNNIFSDVIID KEGDYLINVELRSATDTIASQQTHLTVLSNLSIPKILFGDLHVHSDDTVGTGSSVYNF SYGREIAGLDVLGYTANDFQITEERWESTLKLIQSFNQPGQFVIFPGTEWCGNSAAGG DHNVVFLADPATHPPEFPFDSHGNVARSFEWSEDGPKDLVPGAWPLDEVYATYAHTAD SHLLIPHVGGRRCNLAWHHPQLERLVEIGSAWGQFEWLLQDAVRRGWKLGVCANSDEH RGRCGGGVPGSAVFGTRGGLTGILSSKLERADIARALRARHTFATTGQRLVGLISTKN GTAIQGDEIDHSANEPLKFDYHFLGDRGFCSIEAFDASGKIWERRLWSETEKTPTILR ITWGGARLYDRYREAIWTGTIETQSAITRVEPFGGLEDNPEDQVVQRDAQSIAFHSHT SGDVDGVHVYFDPASTLPSQISIKGTIGGYVKVGDALTGNPHKPQPSFQLDASWDEVV SSGGKSIEISGGCELFIRMEAIPEVSLSRRAQGSVSFTTERGEERAIYFVGQEWSGEK VVTSPVFVRAT ACHE_11537S MLWLWRSSSLTRLLYLAAFLTVCSIAFYTFSLSSLYTGRSNVPL KYGVGVPVSNDNKGSWHPIDDLVANANAEWSALLKKETKTAKVAAKEYRRRRGRHPPP GFAEWFEFAQSKDAVVVEDFFDQIYHDLSPFWGLEPKELRRQARSFEPRIRVRNRTAD AVVGGGSIWLDAWLDLVRSVEGYLPDLDMPFNSMDESRIVAPWETISEYVEKERSSRH KMMDSNPKRLRTQYIALSDEEQFEPFMPRYYGPADGPFWDMARVGCPPESPARNGSRI TDTNLALSMGMDNFMQQSENGYVGNWTQAKDVCFRPELQALFGTFIEPLSVSTTRELF PLFGGSKLGVNNEILIPPAMYWAENEWYSGGDVHGGEWELKKDVLAWRGSATGGRNRP KNWTGFQRHRLLAMLNATSVASAEQNSARFLNFILPSYDYYNLTSGLEGHLPDFLNEH VDSGFVHLICFPCDEEGGPCEFDGADPHCTYTDPYFAVTPGMPMGEQYANKYLPDIDG NSFSGRYRGFLLSTSLPIKSTIYNEWHDSRLIPWAHFVPMDSTYLDMYGIMEYFIGYT NGTGHDEVARKIALDGKAWAEKVLRHEDMQIYTYRLLLEYARISDDNRDFLGVAEDLL ACHE_11538S MDSGSAGTTAGIDPKILENWQSDSAFSIASWEHPPEWDTSQDSS QADAADLVDLGALQGSLGKRHPNAPPLMGHGLHHHHPSNQDSTSTSASTSPEKFSVPD GAVEGTVSSNTVSPRTLPSSADDNFHLDESWPQFQLFNPITAAMSVEPPSMMYPYAKE STASNNTVIVDDGVGDLSNGQGFSSPEFQSEQFMPFPNAPSTYPLVTEPWSETSNHAV PENVHLPQPQMPLSMESQLASKDMGPLRDFHGSMRSLESRWLDTLEAQLPADMSASSG FGMMPLDPSAQGQDRFQYNSESSSVSDDVPGVHESFSATSEEIPTFAERQLDNVKDHA GESQQTTAFMVSETPADSYIVTGPSRSRASSSAQRPSNNRTPLALQSAATVRKRKPRS SNMSIDQGQPKPLQIVQEDGQGGSIASADFVSPPRGARRKGPLSMAGRANAGMRRKNK DTCVQCRLNKRKCDGHSPCDACRPTLHEQPCARACFANIVEYGTCNYISQRAVNHPTM DRSGRVRLEIPSEFDLNSLLSFLGERQGRFNIRASQAWGSLYVLDLGETYRFLKGLSE YNGNNRSSFLEFIDRRIVESKDKSKNWLTCVRDCDPINSVYSLLSQWNNMPSRAAYSF VPLDPNGQERPMDIHNPEDRREILLAAQLSRIFCRMLEVEGFRKLERDFYNIKWKQIS HETHLRFLSELGHILLSLRWRVSWWKRLGDGGREPDPSKQHYVDRVELLCRILYVYYT CVLAKLPSWSTSDVPKGTWSTYADSENAVWDDFPLDPSDAGFQNWMARGSELIEQAGV PSKISKLR ACHE_11539A MAQGLLKKAKPSTKSKRPAALGPKPGPRQIAPKKNTLVKQQKMT RKLTAGYTAKTERSLAAKAGHLEMLAGGKKDKRDQKK ACHE_11540A MLFTKTAILSAMAALASAYTQPDYNQSPSGNAITKPGLNDLVTA GKPYTIEWDPTTEGPISLVLLRGPSTNVQPIDTLAESIDNSGHFDWTPSTDLEPDTTH YGLMLVVEGSGQYQYSTQFGVKNEEHGAASSSAASSSTPATTETPVSKGDGLHTIYST EEFVTTRCPKCSAEASAASASATSTSATPATTLSSIPVSAGTSSTAVSSSSASATSSS SVAAATSTKASPSDAAAVTGSDSGSASGSASSSAPTSVFTGAADRNAVGLGAVAAGML AVFAF ACHE_11541S MHILVVNDDGPPSARLSPYVHPFVSALQAAGHTVSVAIPAASRS WIGKAHLIEASLEATYVPSSAFRNDGTWDETPESSTDETEWVVIKNGTPASCVQLGLF NLFPDERGPIDLVISGPNHGRNASTIYNLSSGTVGGALEAANCGKRGIAISFGSKDPQ PAEVIEAAARLGVRVVGHLVRNWDERVELYNLNIPMRGDVEERPVRYTRALPYYWQKG YLYKEIAEGEEGVNGTGHDVEAETETKKVVSGTSGSLKQRNFKWAAELSDMKKALQAS EEGTDAHTVLDGCTSVTPLLANFWHVPGLEGHLDIDA ACHE_11542A MPPKNAKPTSDELLAQFDDLGIDAKPDDQKPAPKPAATTSTGQS EQDILAELDNLAAQRPSSGPGTPRLSSTEPRSSTRSPRPTGRTSEDKPPVRKSGESGR SSRTANRNAAQSLSSTEKTVNEESSQSVNNDGGSGGGWWGGFFATANAAVKQAASQAE AAVKEIQRNEEAQRWAEQVKGNVGALRELGGGLRDMAIPTVTSLIHTLAPPISSHEQL QIHVTHDLSGYPVVDPLIYSVFSRVMAQVEGGDLLVLQRGQESAPKRGLDFGYQSSPA GWRDGPWWRTVTPGTPRSISAVPGSVEGTKLARASAESYGTEYFAAKGGIEEAAKQAT QVLSESNPVRSSDIFLAIQAISQASSTELFQAGPTTEKATPPGVVDVPDATEGEISFA LYLHDPIHGIAFHTVSQAIPQKWVDWLDSASPAQDPSAEDADAPQFVVPEDIAGIIES GGVDPREWVSEWIEDTLSLAVGVIAQRYVARRMGVGEAGAAKGKMRAEQASVVDSNAG EAARGL ACHE_11543S MAPVAQSDIDAESRTSGQDSPLRRQFSEPLHAPSTSTPTAQSPA PVASKDKCPDCGEFFEASNEENGLKEHIATVHPQLARASEAHDDAADEEMAEGDENVD DEPIDEEDDEQQQDIDGDDDVANGDEAPEHDDAMVEDLDKPSEEAGGDVDGNDQGPVL ETATATGSEVDFGAEDNIPRGKQEFLSAEMRLLNRWDIHDARSFSRNYDDTTTELEQD WDYAFKVAKPFKKRNSLQLPERPDPYKKARVDRGKFLELTPIEDFLVDLRDPETRSPE ELYAITANVARALSTWQDEYFAVDRLYKLSTGQYEKLAPDPRKKVEDPDVTAAKKEAT LYQYKYDASKHKKGLDQDPWIQGGFRPTPTQARKAMKTAKVEPGTTPNIDGWRTLRKF GIEYVPKYQDPPPEDIPSKATRTRKAQEMEAAAAADEAARQAAAEEEEEQLQLATKRQ TRGGRAALDAMDDQEFSTPTSTRGTGRGRGQRGQGRGRGRGRGGASTAPGVSSETPTP DGQAPTRGRGRGTGAIRGTRGGNTRGGRGKGRATTTPIGTPQQGSPTATPVPSSRPTS LAPGPSQLAFIEPMPNGGFVTMQPPKPFTTPELPDELDPAEVERRERARAEKIANSKN PRRTEAMLNHWARFNQAGRIRQPKRSKDEIEADRVAAAAKKAVQPPKIGGRKKKSPSV PGVNPPMANPGIAPAPAPLPPPGPPPGPAPVRALPGPPPGPGPAPSAAPTSLPAPTPS QAPPPPPHGHPKAHPSASNPGFPLPAPAGHALGPGGPLAPHPPRYTTPYSPFGHIDPR GIAHFPSGPFQPPPPQPQYQTPYPPDRFFIPFGNAGLPPPGHGHRRPA ACHE_11544S MGKKRRGPTLEELLARPWCYYCERDFEDLKILISHQKAKHFKCE RCGRRLNTAGGLSVHMSQVHKEQLSAVDNALPNRSGLDVEIFGMEGVPEDIIQSHNQR VLTQFQQAEAERQAVTGNPPPGTGTGQPSAKKPKVESVSEMKKRLAEHRAKRAEAING SSAEGTPVGAGQTPTTGGFGQSPQSPAVANQQFSYPQPYGAAATATANQPYQQTASPV YQNYSPGGQPQFPAQYTPQSFQAGTPGQAFPAFGTTPTPSPFSQQQPQPQAPQNIASP QTTPGFTPRSGSLPTAPSLPQRPSFGAPQVNSYQMQQMHMGHPVPTPPGASGAEKTPT PGAAPDDQVAKPAEASEEKPTKKDKSKQARLVYSDNEISPEEKMAGLSRYAFVPNRQG ETTVETAPPSTIVGTIRDTDNTTLDPTD ACHE_11545S MSQPQSLPTNSALTLSLLTSLGGVIGYARTGSIPSIAAGLSVGA LYMYSYSRLRNGDTLGEELSLLASVVLGGSSVPRAIKTGGKMVPVGLSILATYGVVVF GKEVLGKRA ACHE_11546A MTSSPRLNSWIAEGAMDHNCILHRVGERMTDFGNANDEEFAQLN QNFQLFGAIDDGTPRLGQTAFLSFLHSHGALPSSLTEAGSILYNILQYLSQAPFSHRQ PLPETLTAEEFLRALTWTHYEKACWVNREGNYCRGRTPADHRRLLFQSLATYRDSRNT PLDVKKWRHQAERRAFELPDSRHAGINCDEDGDEMYHDVLDVVFSTQPIVSEALAPVE RDEFRSIAKELHGNDIRLHELMIPPGRLHALVKLLLVARFGHCGMLPDEQLPGLDCVA GSIVKSFHRITDSGITWPMFDEAARTVPLLFDTLYTISSNLLGQPETFADLEQVIPES GKILTFPKLAKLASVLDCNFAWDDLRPFCQYDPADNANTASSLAAAIGTSEGPILLLV SGKISHESATQNAVFGAFIANTTYDGTEIQPKPQIDQDSTLLFQLSPVHDIFRGNVGW AGWSVVREELCFGERDGGVALVFAKDLKGATVVHRLDGEDKAVYKPSKWRGTWSTQVE VKAIEIWNHPY ACHE_11547S MKFSTVFSIFLAALSVGVGASPIPLDQPGAITVPNGVVSRPFYD GELDKRGTKKPATSQEKGSTELPTTESNTYKAVAKVGKNLQPNKYYAFYITNTLKSPP VKEEAPHINEARKQLGYQHIYYGVGKVTKTTKGGGKNKKEELGFGESMQWDLGFKDGN DHPITYATQKWNPTSDKRNLHFVGEVSEKVVKKLGDTSKQYVKDHPHYDAATNNCNTY VHALDSRVPLTKITI ACHE_11548A MLERRPKLPVQQLIVLSICRFAEPVVLTSVLPYLPEMVEHMGIP KNEVAKWVGITSAITAVCQCAMAVPWGTASDYIGRKPTILAGLMFTMIFSLVFGFSRD MATLLWARALLGLMNGNVGIIRTMVAEMVPEKELQPRAFSVMPLVWTIGTIFGPAFGG SLARPAEKHPSIFGGSEFWEKYPFLLPNLASACLFVVGIATGFLFLRETLQARRDKHD YGLLLGQVLTRPCTSRRKTINSEAEDDERTALLGEDDDDQAVRQPKQKPARRTSWREV FSTQSILVLMAYGMLAMHNMAFDSLLPVFLHFPEQEMDGNPDVQLPFKFIGGFGVDSQ TIGFFYTLIGIFGMFVQFFFFPTVAKRYGVLNITKLVYLAFPVVYFITPFTALVPSAI RNYVVFLLMLTKLSATIFSFPCCTILLTNSASSLSILGTLNGVGTSVSALGRAVGPAI AGATFSFGVKRGYVIIPWWTLCVMAALTAVPTFWIEETDGFKGHDADEDDDDAEEGDS ESGEHDHTDQQHR ACHE_11549A MKEKKTARLESSPLLLVHVAPQQQRYPHNTLRRILGLLLSIALV LFLVPDSFWSYVPGSRSIPHSSWPQGYGLSYEQLQAILTSTPSAVKAREWSSYYTAGP HLAGKNLSQALWTRERWQEFGVEDTIIEAYETYLNYPVDHRLALLKKTETGATEVTFE ASLEEDVLEEDHTSGLPERVPTFHGYSASGNVTAPFVFANFGTYDDFEDLVKANVDLE GKIAIVKYGRIFRGLKVKRAQELGLVGVIMYDDPQMDGEITEENGYKAYPEGPARNPS AVQRGSTQFLSFAPGDPTTPGYPSKPGCERQDPHDFIPSIPSVPVSYKEVLPLLKALN GHGPKASDFNQWWQGGRLGYKGVEYNIGPTPEDVVINLYNQQEYVTTPLWNVIGTIKG IIPDEVVVLGNHRDAWIAGGAGDPNSGSAVLNEVVRSFGEALKAGWKPLRTIVFASWD GEEYGLLGSTEWVEDKLSWLSKTNVAYLNVDVAASGTDFSPRASPLLNDVIYEVTELV QSPNQTVEGQTIRDVWNGKIATMGSGSDFTAFQDFAGVASYDLGFGRGPNDPVYHYHS DYDSFDWMDRFGDPDWLYHEAATKLWALSAAKIVETPVLSLNAKEYSLGLQTYLEAAK KTVKNLPVGADFDFEPLDNAIAQFQAVAAAFDAYAEGLSSKIDEDLPWYLWWKKAQLF LQIRAVNNRYREIEHQFLYQPGLDGRNWYKHVVFAPGLWTGYSGSTYPGLTESLEAGD VANARKWSFIIETRIQAATELLQ ACHE_11550A MGTRTRPDSSFSRNYLTARNFTGKHLLSTFNNETNKLPKPERPE PGIDDAPISSSDDEVEAGDQDNVNISDLEEDRELNTPVKQSLEDKLAESSAPRRSGRA SVSKQDGGDKPEVSSSQEKSRARTSSAIEDPETYYLELWGSSQNGKRRKNAQFSSQSR FSSGPSSQDNKSASKPQKDKKGYVKKSPQEKNKKKEESSFQMPREIDMSSPPPSKTRA NGKTPEFKNPPALPNGISSDNEPQFADVLDSLSQDDASPLSSPISTPSPPSSAFNFEF SQADEEIMQPKKALCPMCKEEVDPEALMRFETQPKQRFRDQMGFCESHQTSTAEKEWK SKGYPNIDWDAFDERIRGHYDDLAKLLVPECSSYYRNLLNSAMKSGKAKNFRLTLSGG GLEDISCGYYGTRGSGKMLQAVTTHFAPQLRRLAAADHIVKTAGVAGYAQAVLVPELA VRLVKENMKIDDDQSARQILRDSIGIGEKLNAQLNDVIPVPELDEDKENVAA ACHE_11551A MTSTSNAATDRTVDLTAQSGDPPGDDAQLPGNNVDNEKENVNPR DWIPGYKPVAEQDGRDTTQQDEVDDFGLPIRARPRPPPQPASEASDDNETFHDVENSS LEKDGNAQLERQGGPKAAENSEQPNEPEKECEATAHKPGASGDLTQGSQESQAAQLPA QAPVSDKNESSEKDPIVSPQNETPATPSAPTQGVQDANATPNAESTIAPKPGSQREKR PNLKASEWSHQRLTAAGQDSDDDESEEEDEGEWKEMPALGEFDEYDDFGRLLARGAKR QEEEEYAQKGYSGAGKGYTRVQLDEDAQSATSLDEDTSYLFREAAGNSAGVEGEELRD PDSQLQATKDILTEGQRIAYVGVTRLSIHEMSLDLENIPTTKGAKKALQKGRDATKMW GQAMMARLYAHMEIDAAEQIMIEQLAQHGVRPLDLVPPLMQNARVKNPIAEEDDPSRQ STSSVTSPGAKDSEKGSISTEVNRDSASTSPPPPYEATSGGDDFPEVQSPSDMPTSAK IDIDLRWTVLCDLFLVLIADSAYDSRSRSLLERVGRAMEVPWLQITKFEKRVMDALEM QEAENNQEQWDESDHMEKRRKSALKRRYMVMGLATVGGGLVIGLSAGLLAPVIGAGLA AGFTTVGITGTSAFLGGAGGTALIASGATLTGGTIGLRASHRRTGAVRTFEYRPLHNN KRVNLIITVSGWMTGKVDDIRLPYSTVDPIMGDIYSVLWEPEMLQSMGQTINILATEA LTQGLQHVLGSTVLVALMASLQLPLVLTKLSYLIDNPWNVSLARANAAGLIMADSLMD RNLGKRPVTLLGYSLGARVIFSCLKELADKGAQGLVENVYMFGSPNVANKDEYIKARS VVSGRFVNGYASNDWILGYLFRATSGGIMRVAGLAPVEGIPGLENFDVTKLVNGHMDY RAAMPRLLKEVGWEVLSEEFAEIEDPDPESHGERQRELIREIDEARKEAEMKPEKKRF GLFKRGKLAQKKGWETYDVERNKSISRSSTDSSGTGSVLFDIDAIKAELASEAIEVKQ LESTLPPMKLDLNSPTGSPTTPSSSDVKAKEKETPSAGPTQSTPEPASNHKSPLPDLL SNPHEEEGIEMTFDTSYDEDTLQRSHSAFEPTHGSNHDPYPTRPELRSSATMPVGAGA GVGAGVGASALGALALEPNAWAEHDFEGGEEGEMELSFE ACHE_11552A MVQVCDRCRVKRVKCDGQQPKCGKCERAGVDCTTSAKLRRKTTP RGYVEPTRETIQQLRGELQRAHDQVRDVSAQVEELRATVARQNEELEQLKQLSSNINR VPSSHKGSSQGPVVGHLGRLVLGDSNAEFFAGSTTGVHFILSAQQQYQRRFQCPDHFP EGIFRLHILKVCHGRVQMSPSEPYLHWIEPQFLNLPKIEYEFLSQQDLTSFEAVFDRF QHCWGMLYPVLLSKQFFAALDQLFRGIRTSSDVSFLLQVYALLALDAFSHHRTHSGVL HHTLTQSHYDRMLSDLFGRMPNRGDLTTLQALLLYLLYLQSTSQHSLGLRICGMTVRL AQSLGLHRHSRRFRHILGETELRKRLWWCVYILDVQSSVLFGLPRTVQHADVDVDLPF NADFDDLHCEQLSYPLPGETTRVEPFIHYIQLSQLLSRCLQQMYTTTDRRGAVGKIQH LQREVDVWGQNVQSSQSDIALMVDIGKDSSGETSRYISLWLSLLAQFTSILIHRPALT FSPEEPQFGKSLDVCVESASQIIACFENGRDHHLLPRMWPAGYHLLFQSALMLLYNCW VRGPQEASTSQPSSLNLATSSDSMKPVQTAIELLKPKSTTAHDIASTPGDLNSGLSPE AISELSQASSFLQHLYNQSLWTNSRSIGQPLSLAQSPSLPISHSIFTSSPAQTPRSFD YSSVLDPMSIAAATWTPFSIDEVNQMEPFEFTDSFLVPWGN ACHE_11553A MAALVYASSCHIIGDMEETAEEFIIGCLAAEVNEGVLHAVSVVK RMNGRCTMP ACHE_11554A MSISAFDSRIFRNLFGTEEIRRIFTDEAYAQYLIHTEAALARAE SMVGLIPRDAGPAITAALDTVKLDFERLSQETEIVGYPVLPLVMQLVQHTPEAMGKYI HWGATTQDIMDVASMLQMKEGLKLVERELNTLIEILTSLSEKHRDTPMAGRTHLQHAL PCTFGYKCAVYLSSILRHKDRLQQLRERCLLVQFGGAAGTLASLGTDDSGLRVRAQLA KELGLEDPIITWHVARDNIGEVLGYLALIGGTLGKIALDLIIMSSNEMDEVSEPFVPH RGASSTMPQKRNPISSEVILAASKLLRSHASLGLDAMAVDFERASGPWHLEWAAIPEA FTVAVGALYQTNFALGGLVVKEASMMKNLLFTRGLIVGEAVMMSLGEFIGRQQAHDVV YNACKTAIEEDQALLDVLKADPRVVEHLGEHKLAQLCDPLNYLGSCKLMVDRVVNKAK GNRV ACHE_11555S MLWIFTCFTDLTLQEKFWKRTGYSLHNYKENYDKLPTAPASDLH SKRDRKRFETGYVDANDARILAWKKQHPMILDEDFPEVTGTYGRTRRGLLFSTMNYLI RQYLQCKPREQAMEHQALMHSANELYNGALHDVKKLQLRQLLISRLQMNGQTSQPTTT FKNFFDRVTGSRLFHVEIHPNGKKSPYYRKPAQCLAAAMALAVYNEGDVEAAIKKLWK IRKSNRLEDPITLEYMKSARYTELRWCKEAREVAAVT ACHE_11556S MRSFAGLALLPFAAAIPARVAQNTQSSYDYIVVGGGTAGLVVAN RLSEHRNTSVLVIEAGGSVYNNTNVTDTTGYGNAFGTTIDWAYQTVDQEYGGGSPQTL RAGKALGGTSTINGMVYARAQDAQIDAWEAIGNKGWNWETLFPYFKKSEGFQVPSDYS FLQGSGVTYDSAAHGYEGPLKVGWPPAEENDGLAQTLNKTYQSLDVPVPYNQDLNGGD MVGFSLYPMTVDSKLNIREDAARAYYYPYQSRKNLHVLLHAHANKLTWKNNEDVTADG VEVILANGTTTVIRANREVILSAGSLKSPVLLELSGIGNKAILSKYGIKTKVDLPTVG ENLQDQMNNAIEYNSKKNHTQLPDFISYPTISQLFPNTSSQIGARLYKQLPSYAAKVA SANGNVTKASDLERFFRIQWNLIFKSKIPVAEVLIEPSGDIFDTEYWSLIPFSRGNIH ISSADPTAAATIDPKYFMLDFDFQSQVQVSRFIRELYSTEPFADQVGVEISPGLSTVS ADAVDAAWSDFLKSGYRSNFHPISTAAMMPKEIGGVVDTSLKVYGTSNVRVVDASVLP FQVCGHLQSTIYAVAERAADIIKAGI ACHE_11557S MSLSRLIESINTAAANTNSNKVDDNVRKELLQACETLRSAYETP LDIVTRLYYSSPQAIVLRLGIDLKLFDAVVKLSDESTNGEVKVQQLADETGAEPLLLA RIMKFLATMRIVREASKDSFISTPSTAAFVSNSPLAAVVIHGTHFLTILSKLPEFYAQ KGWKSPDDADDGPFQFAMGTQSHYFDFLSSEPYYQKAFNTTMTAPFRRKGQSWFSFFP VKEKLRVEDSTAPLLVDIGGGKGEDTLAFRDKFPHLPGKIILQDLPAVVEGVQDTTPP VEVQGYNFFDKQPVKGAKAYYLRTVLHNWPDMQALQILARVREAMTPDSLLLINEVLV PETNRSLCSVVADLAMMTSYAALERTQAQFKALLSEAGFELVNIWRPEEAKVESSQQA VLLEARLKR ACHE_11558S MPFQPLESAPLNPPSQTPTNAGPLHPRSLSGSYNVASNPSAVSQ PSHEHLNSHSCVTCRRRKVRCNKRSPCSNCMKAGIECVFPAPGRAPRKQRRQQDPELL SRLRRLEGMIEQIKDNKTDNVSLPSRSPVESVANAANEQPQPIGKGKEQAVGGEHQEC PFMVDQDPTKLAPQKLENEFGRLVIDEGRSRYVSNRFWATMGDEIEELQDILDPSSSE DEDYPSPESSTGSTGHDGFLFGFYSLSHSLRGYHPPPEQVTFLWNVYLENVAPVISLL HRPTLSTLFNGPAQNPDLLDKNSEALVVTIYFVTAVSLSQEQCQLKLGESREAVVSRY RFAVEQALSKANLLNTQNLMLLQAAVLFLIGVRREDDTKFAWAMTSVVLRLAQGLGLH RDGTNFGLKPFETEIRRRLWWHICLLDICTSEDHGTDTQLHEKLYDTRLPLNVNDDDI APDMQEPPVEREGCSEMTFSLVRFEITTVLRRVSYNCPGGRYRLGHTQPSPDTCGNML QAVNHRMEERYLRHCDMNIPIYWVCATVARLILAKTWLIIHHPMTRGDRGAKLSSASR ENLFFTSIEVIEFARLLENNEHTSKWGWLFTTYKHWHAIALILSELCVRPLCPITDRA WLAVHSVYGRLEQHAKQKKGMMWRPIAKLMKRAAAVRAKQREEMQTEPTACPAITDPT FSPAQSGQGCANLCLPQFMPRIEAPFDTQVSMPTPSQVSYNQQPAMGLGDIDISKGDM GVINDLFPGTDFLAVDHTAQSPVIRTDTSAGIPNAPMAPMDDQQVPSNSRLNWEEWDQ VMRDFQMDLQRTQDSQPFGNMSDWLA ACHE_11559S MAPKRHAAATTTPSTPPTTTTNTTPSTLSSGPATLKSNSSPFEI AHYVLNQYLTTTPQRTMLLDAFMGFLAVVAVSQFLYCVLAGNYPFNAFLSGFCAAVGQ FVLTASLRMQTSGGGNDGKGGKAGGKGKSVRIAEEEKEESGGEVSHERAFADYVFGSV ILHFFCVNFIN ACHE_11560A MGVKEDEGDSAVAMPVDEEYKGGNKFAQHMKKDEGGQSAFSKSK TLREQREFLPAFAVREDLLRVIRDNQVIVVVGETGSGKTTQLTQFLHEDGYSKFGLIG CTQPRRVAAMSVAKRVSEEMEVDLGSSVGYAIRFEDCTSDETVIKYMTDGVLLRESLV QPDLDKYSCIIMDEAHERALNTDVLMGLLKKVLTRRRDLKLIVTSATMNAERFSRFYG GAPEFIIPGRTFPVDVHFSRTPCEDYVDSAVKQVLAIHVSQGPGDILVFMTGQEDIEA TCELVDERLKMLNDPPKLSILPIYSQMPAEQQAKIFERAAPGVRKVVVATNIAETSLT VDGIMYVVDSGYSKLKVYNPRMGMDTLQITPISQANANQRSGRAGRTGPGKAYRLFTE MAYKNELYLQTIPEIQRTSLSNTVLLLKSLDVKDLLDFDFMDPPPQETITTSLFELWS LGALDNLGDLTPLGRKMTPFPMDPPLAKLLITASSEYECSEEMLTIVSMLSVPSVFYR PKERQEESDAAREKFFVPESDHLTLLHVYTQWKSNGYSDSWCNKHFLHSKALRRAKEV REQLHDIMVAQKMPLVSCGTDWDVARKCICSGFYHQAARVKGIGEFINLRTSVTMQLH PTSALYGLGYVPEYVVYHELILTAKEYMSTVTAVDPHWLAELGGVFYSVKEKGYSQRE RRVTELEFNRRMEIEAQIAADRERATADKQREQERNDPSRRKREVEVGSSVVRRPGVG ARRIGGITASSLSSGASAGAGRGGGGGSVVKRPQVRKRGGRAF ACHE_11561S MASQPQINPKKQQELQLQYTNFKNTLQQMAQKIGDMEQEGEEHR LVIETLEPLPKERKCFRMVNGVLVERTISDVLPALKTNSDGLKQVLEEMLKQYKSKQT ELDEWKKKNNIQVVQP ACHE_11562S MSSTSPSKEPEVDPEAQSNEDAEMDKDPDQAHGQEFEVKEQDRW LPIANVARIMKTALPENAKIAKEAKECMQECVSEFISFITSEASEKCQQEKRKTVNGE DILFAMTSLGFENYAEALKIYLSKYRETQSARGENQGRPPSSGYSAAPQTGRPGTAAS GSFPDATNNANSILNPALDPSEQDTAAYGYPPMPHNGAGGESY ACHE_11563S MSEPESVAAKSLSPNSAGQQLTTLTTPVPGNTNAFNNNHGTSPI SARQAYQQQPTPGPAGDPDAHTDLEQRLVNLIDDGDSGRREIQRGVRAIYVGHELSNM SFLIRQQRDKDDDVYHFAGNEIPRRQLKIGHDQLVMDALTLPEQALADELVQAYFTYV NPGYPIIDEDLFMAQYRNRDPADPPPVLLLQAILLVGSHVSRPKAERDALKEIFFRRT KWLFDSRIERNRDIMVQAALLMTWHTESADDDVASNAHYWVGVAARIATGLGMHRNPV SSTFVPRDRHMWRRLWYILVQFDVMVSLSYGRPQAINLEDSDVSPLTPADFEGCGSHA QIEYVIHFSELCIMISHIVRERFGLRVSPERRKAALQEADEALANWSLKLPDNLRLRA SDMDPWSAMLHLTYNNFLILLHRPHPRASAYSDDYGPHDAEICSAAAGVIASIFEELR LNSRLKLLWYSGVHTLFTAMIQVRVELRFSNPVLAINALRRFDSASHSLRELSQYWAH AATILRLFEDSKRLQEDLRTATTERPRQFSGSHERNRNTNPNALSTPVPEQSPSNIAP PTPDISQLRSTLNQPQQFDNWIPPPTTLPPVDPIDHSRELLDWRQLFSFTDPDQPVPM AFEGLPELEDEWRQIYWQEMPMADLLQDGGWMHG ACHE_11564A MSQGDNPRKETYNDHFPWELGVYDAHCHPTDTMASIAEIPQMKA RTLTVMSTRGEDQDLVFDVAINFSKEDGNSNVENENCILPCFGWHPWFSYHILDDTNA SPPSTTDETDEDLKKAHYKKVLTPSPKDDETGNTFISSLPPPKPLSHLLTETRTRLST HPTALIGEIGLDRAFRLPNPWTTQEIETRDTTVTPGSREGRKLSPHRVHLEHQKVVLR AQLRLAGEMGRAVSVHSVQAHGAVFDVFKELWRGHERRIPSRKERRRRGSVAGAHEES EEEDAAKTSQSKTESEEKHKKPAFPFPPRICMHSYSGPVEAVKQFLHPANPSDVYFSF SNVINFSAPGAGKAIGVVKTLPDDRVLVESDLHTAGEVMDGLLEDVVRRVCEIRGWGL EEGVKILGENWRRFVYG ACHE_11565A MASESLDSSESHAHNHGRRDSTPYAARGGLAAGSGWFPLGYREG FSQWWASIPAAVAEHKVLSHLPYLQRTPPTHQQTGKTTDATDGTVDSLQHTDTSQQSE VAASSLGDPYGPRRWKSSMVELSGKDRALNEFSVERLGEEADQHLVMLHGYGAGLGFF YKNFEPLSRLKGWQLHALDMLGMGRSTRPPFRIKAKKREDAIKEAEDWFVDALEEWRI KRKIERFTLLGHSLGGYMAVAYALKYPGRLNKLILASPVGIPEDPHAVTAEMPSESTL PSEVTQDQQRTTTAAVTPPLPGELQKGDNNVLLKGPVNATGAAATAPGAPPRRTLPKW FAYLWDQNISPFTLVRWAGPFGPRIVSGWTSRRFSHLPAEEAKSLHDYSYSIFSLRGS GEYALAYILAPGAFARSPLIRRIQDVGRQMIQPSSSSPNWEPAEVTDASQAPSPVPGA SQPNDASTARAASQSTLVKRENGIPIVFMYGDHDWMDAAGGLAAKQKIEEERRRILQD ATAEERKADNGSAKVVVIKRSGHHVYLDGYEEFNKTILEEMEDVNRRERGRRP ACHE_11566S MATSANLDQLVKGSTPSSNHTHSAPAPSSSSSSELSDSSSFAPQ SSPTASPDLTSSSPSPASPPDPLSTLPSSPPQIYLNLLILESSLRAQYLALRERRRQN TFFLLLLAAWITYFAYALFLRPREDGRGVGGSVYWVVEMGEKVALLGGIVTALLVWGT GQWERGIRWPRRWLAVANRGLRTMNTKIVVIRGPWWQELLGYLSFLFPFSTPFFPSPT GNFRYVDRNLSEKRGGRPHYPQYYSSVDDESGLVEEDLSPGGDYIRLLLLPKSFSPEF RENWDDYRAEFWDKENERRAQLRQKLQERERQQAQQNKARFWWMSFAWKSSSPASPSQ QRRRPAATGTPQYHRHHHSSSSNLKSPTRRGTRSDSHSRTPSRSTTPVDADERPPSRS GTTGRPRRGSTTPSSTPSGTERRKRSNSKTIPPHGLSPLTQAQIREGVPPEIREVGNA G ACHE_11567A MDDGVQLRDEAAQDRVRAAVEFLDPTDARARSYRADIVLMLNRG LRRLLVSIDEIRAHNRELADGLLTSPFEYSIAFDKALKDVIKTLPNRPSRETGDDVNY YCAYVGAFGEFACNPRTLGSIHLNRMISLEGIVTKCSLVRPKIIQSVHYSEKKDRFLS RRYRDQTMTASGATSLNVYPQEDDEKNPLITEYGYSTYMDHQTISIQEMPERAPAGQL PRSVDVILDDDLVDRAKPGDRIQLVGTYRTLGNRNAGNGSSTFRTLVMANNIIQLSSK SGGGIAQATITDTDIRNINKISKKKNVFELLSHSLAPSIYGHDYVKKALLLMLLGGME KNLDNGTHLRGDINILMVGDPSTAKSQLLRFVLNTAPLAIATTGRGSSGVGLTAAVTS DKETGERRLEAGAMVLGDRGVVCIDEFDKMSDVDRVAIHEVMEQQTVTIAKAGIHTSL NARCSVLAAANPIYGQYDPHKDPHKNIALPDSLLSRFDLLFVITDDIEDSKDRMVSEH VLRMHRYRQPGVEEGVPVREQLNQSLGVGLEETQDSNQPTDVYEKFNVMLHAGIANMN RDSGKKNLEILSIPFIKKYIQYAKSRIKPVLTKGAADHIVATYSALRNDELSGNQRRT SPITARTLETLIRLSTAHAKARLSSRVDEKDAKSAESILRFAMFKEVVEDERRKRRKV TTFDDDSDSDSSDEDSDDDDETPATTTPRSTRRGGTLRTRTQATRSSINDNADAEGED ISDDGDGLYEASPRGQRMRSSQTGQTQTQPQSQSQMSVASSQPASQLMSDTSQSQSAA AASQPIQPPRLTIFRQTLGSLMGSRLFADGDTADVEELIGAVNTAVRTAPGLGEGAVF QRPEAVQALKAMNEMNQLMYLEDDETVYRI ACHE_11568A MLERAAGCLENAGRRFFRDSNGAIRHSRSLYPLIGHNNGASADF PPWLLALVQISDLRGSHSLGATSNTRAPFLDFLYPPQTESFAASCLFNASKRIGSRRR RRTVPGLSRAYVSKSASRYQSAQAGQRVLEEHEKPGGEDERERARDSLRVLFNQRRQG DYEEAWTLYAVAGRPLDLKSSLLDYLSRSERPLDRNRLKQIFDEIPVEHRSAHDYLRL AESCVAAGTFPDLKGLCQEAVSSGVGNPCLAFTIAASVNNAQWDIAHEMWNSRPKSFE DKLSPDNEWLCSVISQVDLSLFLKNAVGLADFLKGQGDVRPARELVGILIDHAFSSLR AIENTSSRNILLLLRRYKGLGIVTAEHFYKLIQTSQSSDLRTTFIRSIVIYRTFRWQL PDKVPPAKIIRAMMRTLVSFNITNGMTYFMEEFSHFHGKPTPDVYKNALVAFSRAGDV AKVREVFDKLVSDHGPPRSRRLLTPLLYVQARIGNVRETRREFNRIPEEYGLPLNTVC WNNLLTAHANAGDPSGAFQTFDEMLKSGVELNSHTFGILMGVCANKGDIDNVRRLLAM AKQRRMQITAPMIDPIVEAYCRNGKFDVAESVAETCLGLEVQGSRVRMWNILLWHYAF RLDLEAVSRIRSRMDKAGLLPDGMTYAALMLSLALIGKTDSARRIFRSLHRNKRVHAT EFHYTIILYGYVRERNRDMVHIVFREIKERFQRPGSSSSLLFLKNQLQRDLQSVRSGG KPEESTSVRLENAEKFLAETIADFDTTKLATKEPMPATGRQSAMEAFPSMFYEYVMNS YGTKGASRKVRELFDQFISRQQTSRASDNVEELAPLRLLNTLMLAHLRADEYKEVEKC WRLTFPRAIKLATPLDIDEWLSAQLPPADSLEPPRPSLPQSPQGNHDLLVDSDASDLS EELISQKNRILPSYKFMLSKPLSLYLRSLAYRNEVERIPEVVAEVERAGFSLSSYNWS SYVQLLATSANPSRQIEAFVVFEEKFMPNFPGWNFIRRGLSIKPSGVPRAIARLEEHR YGGRRDHLFKEGRIYWSKIQPDFMHPTYISMVYLASALLDFRERSVVEGGVQLQSLYT EAPRTLEALGNMPYLREKFQGVLLRSRQQKPDKRKIPLQWEPWVWTGGVLDVGGRPRS SALVLSSEAAARTSTAGGLDQHVTDTKAKAKASISEEDEPEDFPPPKTFDPEDEHDIE TETSYEETSGLVDPDDESRYLREPDNLYVFRLPIVKDEQGSNELDEPAEESAEEPADG PADDASEYDAAEDAENAEANNEEQDLKHTGENESDGRS ACHE_11569S MEITDFVFNQREESLVVGDYNAYRAHATRKLHKLRKKIGQTTPK GRKYTAKPPVSAEDIGNNVTYVHLLLLSSERAWAQAMHVKSTHSADPSAKGIVGAARR HIISRLNKATSYAEQLVNLLQDQSTSGATDTDVVEARAYYASLCGALNLEKRKWEQCL QHYAVARIVYTMLGQMAKKDAFRDLLSGTVDPSIRYAAYQMKLPRSKPLPSLAINYFP SNAEVRSEVEKVDPNCLKEDAAGTRRTAEGEVQQLPETIEWRSRTVSLEDASISQALA AAAAAEARLTAWLAEPAGKSASYKDKAAAYDNVIIASQDAVDATKTAIDDLTSEGVDP GDKRMQSLQITRTAVNYALVGWRVGRNRVLCGEQDDVSSDANLTKASRGNKADSRREE SHGKKLTQLRERVVLYDSTLQSLDFVFELPGVVADSALVSELETKRAYFRALRCLAIG RSHGFLGKSKEALALFAQALKLASTAASTQTLEVEGPPRLDVSRTQAQTLANTLRDLG AQYRGLVTLERMSEEEASKLASERPVIERLHEYSGDGLDLSHLVPALPQLQPVPVKPL FLDVAWNYIDYPREDQGPAQGTTAEEAPEEKKSSRRGWFGFGR ACHE_11570S MATVNGSFVSPIADATIFPKVFEPSGLVASVFQGVSVWKALLTM LLGAVVYDQLRYFYLKGSIVGPAFKIPFMGPFLQSVNPKFHEYKAKWDSGELSCVSVF HKFVVIASTRDTSRKIFNSPAFAKPCVVDAAHKLLGKTNWVFLDGKDHVEYRKGLNGL FTRAALSCYLPRMEEVYNEYYERFLEKSKETNFEPTAWMPEFRELMCAVSCRTFVGHY MTAEAIQKIADDYYLITAALELVNFPFVLPYTKIWYGKKASDMVLEEFSKCAAKSKAR MAAGGEISCIMDAWIKSQQDSANYRAKVAAGEPAEKPAQILRDFSDYEIAQTIFTFLF ASQDATSAASTWLFQLMADRPDVLDKVREENLRVRNGDRTVPLSMDLLDNLPYTRAVV KETLRYRPPVIMVPYMAKRDFPVTDKITVSKGCMVIPSIWPALHDEEAYPNADSFDPD RWVTGTAEKQSKNWLVFGTGAHYCLGQNYATLNLMAMIGKASMEMDWEHTPTPLSEEI KVFATIFPQDDCLLTFRPRS ACHE_11571S MSAPTFRRSFTTLNACLSKQAQTSSAQICRRSGITLQIKSPFLA LTATRAGQRILCTSSRTSVLAPLRPNFTPQEFARRNSGKSEQGWKQWKFEDINASLPA DTPNSPSQTPQKNLILVDVREPAELKGGILPSAVAVPLASQPDALFLSPDEFETRFGY PKPGVEGEGDIVFYCKAGVRARAAAQLAVQAGYDVNRVGVYDGSWLDWVKRGGKVEEW EGEDY ACHE_11572A MRRYLRRLRSTPAVVLLFLILLFLLPYDNQFSPRAVIVNAVPAL FEKRWWLINTPGAYPVDFSQDVAVLVKSGIGTKERIPSWLQAHEHMELSDLLLIGDFA TLPGQEYQYRDQRLPVHDVLAWMIGRGYMAEDLDHPRLQKYYNLTRAIGREDMDAAQE MSGSFGWELDAMKFLSGMELLYSRFPDKKWYIMVDDDTYLIQPSFKRLIEHFNPNREQ YLGNPVGGEDCRFGHGGSSIILSHRAVSHLTSDREYLATAYRESLDETWGDKRLAVAL NRLEIYIYEEYAAFFNGERPHTSKITKERLCTPVLSFHGLSSSSDMLSVSQTFEHVTE QVLWIDLWNIPISDKSDNAHLPKASTSLFDDPMYDIGHENWDYVGRLDEWTQSVEDVS SVEACKQICREERPGFCLAWTWDSNEKECHMSWWIIKGDQAEGRTSGLNVPVVKGLVR SCRP ACHE_11573S MSTYSQSLLPRRSSVPKARSVLKPPERPIALRCEYITDTKTVLT LNPQGDSNSSKAYKILDNEGAVVFTVTGWKFSNRSCREFRDASGLPLFELHRNWFKFR HKWCVTLPGVEPGSSSASSSSGSGFGDGSCNGGVLATGSHRIRALGYKPFGGFSIGIE RNAAAADSKKEDDKKLSLEIEKYGNVLALFDVVDGDRKVAQVRESIEHNERLALISSR ADYRPVLDVIVTPGVDLSLIAIIAVIASDSVFTANV ACHE_11574A MAPKKKGNKRQEEDWEAELGEAAPAAQPQPEEPAADGAEEETGG GGGLLAALRKNKTKKAKKGKQVNDFVEGEDPTEEADGAADLASKAPQEGSFEDEEEDV FAGNQKSAKAAAAAAKAKKAEEPEGGEFRVKSKKEKEKEKKEREKQRKREQAAAKKKP GDNKKEPAKQAPSPKEEASPEPSPAATPAPEPAAGGKKKKLPAHLVAIQKQQEALRKQ REEEERIRAEEKALLEEQRILDEEEERKKEEARQKKKEKEKEKKEQLRREGKLLSKAQ KEAQQRNEMRMKQMLAAGVGTVAGLEANQAEKKRPVYDTKKKKGPKKQEEDLEAAAAR AKAQREAEEERRKKEEEERKAKEEAEAAAAAAQEEESEGEDWEKMAEAEDVKDSWDAP SDEEEEKPAAKEPEKPAEAAAPKKAEQKDESESESESESESDSEDEEQSAAQRAIAQR KAEAAERRKKQHEEAMAARSKDNLRSPICCILGHVDTGKTKLLDKIRQTNVQEGEAGG ITQQIGATYFPVDALKTKTAVVNKDGKFDFKIPGLLIIDTPGHESFSNLRSRGSSLCN IAILVVDIMHGLEPQTLESMRLLRDRRTPFIVALNKIDRLYGWKKIDNNGFQESLAMQ NKGVQNEFRSRLDHTKLLFAEQGFNSELFYENKSMSRNVSLVPTSAHTGEGIPDMLKL LTTLTQERMTNALMYLSEVECTVLEVKVIEGLGTTIDVVLSNGILREGDRIVLCGLNG PISTNIRALLTPAPLKELRLKSAYVHNKEVKASLGVKIAANDLEQAIAGSRLMVVGPD DDEEDIEEEVMSDLEQLLSKVSKDQRGVSVQASTLGSLEALLEFLRVSKIPVANISIG PVYKRDVMMAGTMLEKAKEFAVMLCFDVKVDKEAYAYAEEVGVKIFTADIIYHLFDDF TKHMAELTEQRKEESKLLAVFPCVLKPVAVFNKKDPIVIGVDVIEGSLRMNAPLCAIK TNATGQKEIIDIGRVVSIERDHKPVQITKRGQPSVAVKIEGSNQPMYGRHLEEKDLLY SRISRASIDTLKEFYRSDVSMEEWALVKKLKPVFEIP ACHE_11575A MASHQDTDIFMANAPYDIREFVRQRESEKGQLSIPSKPRVGLLS HTVVPSPTIQWILPAHLRSQRHNDVVFVGDRHIQIREAVSGIHLEDVTSKSDFDAQIM AAKVINVGTELPWETQMKQGAGHLATDESQDDLPPQVLVMSLASRELVFLYYSIAGEG QFVSYRRPLPNDVNLFEQFGRNVAVEPRSRAIAVSASCDYFGIFMLKEPRILQSQMTN HQLDPILKERFFRVDGDILFMEFLYPKSEGENKIILLLLVAQNQITHAICYEWDANIP LRNAPPRVTRRLLPFEDRLPTMVIPLTKTSSFMLVTPSSMAVYKNRLDPRRQPSRYPL PICDKQAQRSPLWTRWARPLRNWIYNQKHDDIYLCREDGRIFYLEIGNEGEIENQTFL GLLDCDVDAAFDTLDIGHEGGDLLLAAGNMGDGGLFVQKARDHPRCVQKFMNWTPVTD SVVVKSTAHDSLAAEVACDRLFVSSASTFGKGAVSELRYGIEAQIGLAVALEGLSSTR DIWTMADNVNGGVCILTTDPISSLLIYLPADFGEEICAIDEEESGLDFSCQTLAAGCT QFGAIVQITEKAVHLGAVAESPGVRHGYDPAESVATAAINESAGLVANAVRSHKGTQL HIIRINSTGSQHQLSDIGARIYVNHEPISMSIERLGFSCFAFIGTGSGKLLIYRVVEE TITFLIDIDINVEGSVDISKAIESLAIISIATGDSSEKAILFCGLRSGILVSLAVTVD NDNINSPISMQQGIPQRLGHTSVKVQSKGNAALLTCGEGFWQVSRVEDGDLPEYTLQR VWITDQNNPAYYPKSIYGFTTSNVVNPNMDDAFNSLFCVADEQLFICTLDREARTVPR RINLPGSANKLAYSKHLKSLIVSYTQTELDTSLDPVRRSTRPMLEFIDPDFQDSAISL PGTEDGPQLWRPQGAAGEKITCILEWTPRKGDEEYHLIVIGTARKNQQERGRVIFLQT SRDASNASQIECSVKYVHKFEGPVYAIAPYGPFTLMVSSGNEIIPLEPKFSRTRWGRA ARYSTVSPAISISAHEPYVYLSTARESLMVLKASDDKLSMYAYDRHRHDGLSHVHIGG PLNLTVASSRGGTVSVLTERGVTETDKMMPHSLCEAHLPLSVMKLSLGSKLSPLNSSS RVLYGSTINGTTYRFLTLGEKDWRLLRLLQNMCEKDPRICPFKPKRKRLRNPVESESI ESSPSQMHVDGDILSRLVAHGAGYLHRMLVSGDLYDPSSHDTGTIQATMERFSVLSED VLGRSTNQVEAVMKWLRNMLHMQF ACHE_11576S MDPSTATYENDNGSKEAIIHDESKHLMDARDEEPEILQKELDES HLAEKADAIRRACDLGDLDALVSYARSDGGFLRDDLRQLAWPILLRCHQGRRDDNKDW NELQRHADEDQVQLDVHRSFVYYPSGTDEELSKKKDELSNVITKVLRNYPYLCYFQGY HDIVQVLLLVLGEKQAAPAVTQVSLFRIRDYMLPSLNPSLKHLQIIPAIIERADPGLR QHLSDVRPFFALPATLTLYAHDIQEYSDIARLFDFLLAHEPVVPIYLFAAIILSRKKE LLEIPVDEPEMLHFTLSKLPYPLNLDGLIQSAVKLFNDYPPESLPFGAWKQIPACSVL KSSRHPFREQKAEDAIKLFDQQTRQLRNDELRKKALGLLWAHRKAIGSVAVAVFVGAV SIWIRKKGLDAPIWSYIGNFKAAFQDRFF ACHE_11577S MANRGYDVVVDVDAEGDLGHTDLQDDLEFHPSNFESDQRNAKAQ QDSAPFLGGAGPSHGRGRDRSPGGSASKHRWWSLHYYAQFFDVDTNEVLRRCVAAVYP RTNFLDVLEGNADLYGPFWIATTVVVILFLTGTISQWLSNNDDQHFEYDFTLLSGAAG LIYGYTGVVPIALWGALRWFGSSTADLIECWALYGYSNLIWIAVALVSWSPLTALNWA LVGVGFGWTVFFLLRNLWPVLSATDAKASRILLVLVILLHAGLAIAIKILFFAHGSPV SKKNKHDDDDHGDDKRRMFMM ACHE_11578A MEYTITRGVCGQEGCRERRYYLDNGLWFCRRGHQQEGRQVEEDP EDYGVQGRTHRVKKDVVEKSQKTYRGQRAYSLFLQIYQLILWKQSHALVHDRGFPAQF ENVIRDLWALRLEGFSSKINTNMSETETEEPEVFSSQAADTDDESDKAFKPSGKRVQW PRLVDSIALCYMGALLMRLPIGIADFHRMVMQGDVPYLRIIKTIPREMRDKLSPEYLS LFETTRLPKAEHFHKAALELILFYHRRSGVHFPPLNAPLLLFRFIKRLTLPIDIYPAV TQLQKLVGFTFTYPPTIAGKRRSLHLPEVQMMTLIVISTKLLFPFDDVKRYPESARDP STQAIDWQLWAQVQRHFDNREKSSGRIGKGNEVLVNEKDVFSMTPNQLDEYLDWYEKS WLDSSKGANSLADLFPLGPTGAESQPTAPPSEADDEASLDSMLETVTRQLKTMKVVAD PESEVPRPGSFYPRYRLDTDLPETVRPFYETAAKVVGIPLSTLVKTVFQTEVRMGHWQ EDRRRMEYYGEVMDMEFPGDADVDDIEEAGEIDE ACHE_11579A MADEHETFESADAGASTTFPMQCSALRKNGHVVIKNRPCKIVEM STSKTGKHGHAKVHLVALDIFTGKKLEDLSPSTHNMDVPKVNRKEYQLLDITDDGFLS LMRDDGDTKDDVKLPEGELGERIQKMFREEEKDLNVTILTSMGEECCMDVKEAPK ACHE_11580S MWLDRISGNSTPGPGFDSRGSSPIPRRSSHLSPVPQNNRPGSNR HGSSLSVLLTPNDSNTSLPATARDTNGRLNATKSRPSDVLDPLEVLNGILSKRKGESA AKATASNPEVKPSQLVEIIDFGELSLEEFVAKEDEHRPRRVQNTDAGAQTIEQFEKER DKFQELHLAITGCDDVSKSVETYLSDFQSELGSVSAEIESLQTRSIQLNAMLSNRRNV EQLMGPAVEEISISPKAVRLIAEGPIDDNWVKALNEVETRTASIEAKASSSSSTKSID DVRPLLSDLNNKAVERIRDYLVSQIRALRSPNINAQLIQQQRLVRFKDLYSYLSRAHP TLAGELTQAYANTMRWYYSSNFNRYLLALEKIKIYPSDRNDVLGGDPSAQRTGNIVPG GRAGSAAHDPFSLGRRIDILRNGNSMAISSYVAEEDNAFHGIEVPFRNFNLAILDNVS AEYSFMTEMFSALSFHQITRKAMEIFEPVFNLGYGMTKQLIEQTTDSLGVLLCVRLNQ HAAFELQRRKVPVSDSYINGINMQLWPRFQVIMDAHYESLKRVAANTGRSAVSALSLA GGDDLSRSTAPHFLTQRFGQLVHGILVLSSEAGDDEPVSNSLRRLTAEFDSLLAKLSR TGGDAKRRERFLYNNYSLILTIISDTQGKLATEQKQHLEQMLKSSGKRG ACHE_11581S MATRACLSVPRSFSSHRCFSSTRAAAADVTHAVIGAGVVGLAVA RQLAAREGTSTILLERHDAPGTETSSRNSEVIHAGLYYGADSLKTKLCIEGKQMMYDL CAKRGIPHINTRKWIVAQTEEQWEASLRVHEHAQTIGVPTRVVGRDEAQRREPEVRAE AGIVESPTTGIVDSHSLMTFLQGDLEDRGGDCAFLTSVTGIEALNGGRNGYKIMAVSP DGEETSITAETLVNSAGNSACWINNMVLPEERHRIPYYAKGTYFSYSASQPKTSVLVY PATLPGTGGLGTHLTLDMGGRIRFGPDVEWVDDPTDLRPSPARLQQALPEILSYLPRV NPEAIDLDYCGIRPKLGRGGAVNTGKGFQDFIIQEEEGFPGFINLLGIESPGLTSSLA IGKMVEGLLYR ACHE_11582S MGEIGEHYRDYRAHKKQQRDRRLKQQAEKENQNQNQNQNTPRTR CWDWMLVGGTTHYAKNRSAFTDYRRTRCEIRNSLYGGSVRVSGIGTVKLEVTRAPNDP RTYTLVLDDVLHIPDSICNGVSIDTLSIQEGRSLGMQGMKFWDSRTKEPLFYGERYCG LFRVVLAGRPQGETYLTDGGIKFLSIHASTEDLVGLRKLWRTYREYKRLQEDIRLKQQ ALKKQGSTRQNDTTSTTPTPNPAVNNRNQDLGKGEQKTKTPTKLTPRTPPNTRRCWDW MMVSGSAHYARNRSSFSDYRRTRGQATLSTSPGAGVTQVLGIGTVKLNMIRGPDDPRT YTLVLENVLHIPSAICNGISISLLHVKYDVMNRRVFDSRTKEPLFYGKKYCGLARAVV AGDPQGETYMDDETVYMLSIHATDEDLKSLFRKTKHRVS ACHE_11583S MSDISNHHRGFSECKKQRKSRRARHNGQKQAHQSRNHNHNFNDS DNRTNGCTSDDNKSIASTTSNGNRNNHNNTSSQRCWDWMMVGGTNHYAKNRSAFLTYR RAPCKIGRTRVLGVGTVKLDLVRSPKDPSTYTLILHDVLHIPEAVCNGISINPDFMGA GNYGISWDDKRIYDADTEEPCWYAKAFHDDGSMRCVLAGDPRGTTFLEKDGSYALSVN ASDEELEVLGRRVENRSLL ACHE_11584A MVLKKCTICDRRFKKTEHFKRHERSHTNERPYECNVCHKRFSRS DVLSRHAKGHNPQNANGPGRKPSAASDRRPSTITGTSPTIALDDNSQQLPHSTSTKGA NQFANAPVTPQELPPLSAATAIPPSSLDFLADISAHQHARTEPDANPMMVDNRQAYMS WNGVTPEHSHNEAMFGTIPNDIMQLWLEPRGDASSQHGSLDLMRDSNPGLAENNFSVY PDRQYRPSADSVNSENIPNERFAKVQRFWLAPSNAGRRPMNNLWGDVACSDLANIFAV PTVDLLDNHSDRLQGSRYGLDEQCKQRLQATFGQLPSQSQQNNPISPTSLDSTIRTMP YFPPAEILDMALDLYFRNFHPLMPFVHIPTFSAKNTRLPLLYVMCFIGMIMLGTRGTT NFVIKNFPFVLNRVMAELGRCSSGFENLLETISTYATVLLFLNLAAMTGEKEHLEQCQ MLYVNLLSTAQRDGLFAATEGQILDMSLFESIVDTDTRWKAWSRVESAKRLITGLLTL DSWYSSFLNTSPIIVPDSIQFILPCDEALFQAYDSTRWNQLVQSGKRMLAPTVMAPSE VINIPTFDSPIEDFSMHGILATIQLRLSGAYHRLLSNRANYPFAPCHTYAMDGRARCL PSLQLQVVSKYGEILERLNPNAAIMWHNMCMMLTADIQIFHVAAGRAGPGPARQALDD IAAWSQTPAARRACLHAAHIYKAMINRKASDHTTFHTVFSLFSAALVLGLYTFMVPSP SESSQVGTASIELLDDVDWQKVGTEGFTSFMEPRGSSALSATDDAAVSFIRNGGTVYL RGIPFQGGYQSARRILLDYAGLLKDTGKWSVRKFSYVLHIMSDVLMDVE ACHE_11585A MLIRGAKEILTKTPQDVVVLSSVRSPIGRAYKGGFKDSYPEEIL MPVMQAAVKKANINPGDVNDAMIGNVLAELGFAKTGRMALNHAGFPNSTTFHTVNRQC SSSLQALTHVANSILVGQIDVGLAGGVESMTRNYATRAIPVDISPVLKDSPVKDAKDC ILPMLKTSENVAARYNVGRKEQDEFAVESQRRASEAQKSGRFADEIVPINARHINPET KEETTKLVEHDEGVRHGVTYEKLSGLKAVLEGGASTAGNSSQISDGASTTILASRAWA DAHGLKPIARFAGTQVAGNNPDEMGISPVFAIRNLYRYCGIEQSDVGIFELNEAFASQ SIYCVRELGIDMAKVNPNGGAIALGHPIGATGTRQVATLLAEMLKQDTEMGIVSMCAS TGMGVASLFIRE ACHE_11586S MTRPSPAVAAFRPLWRRNFSVSTSKRGIDKVCPSANEAVQDMKG SSTLLVGGFGFSGVPNTLINAVRDRPEVKGLTVVSNNAGMPGAGLGQLLETGQISKMI ASFIGENKVFEKMYLSGDLSLELTPQGSIAEKCASGAAGVPAFYTPAAYGTIIQTGEL PVRYNKDGTVAEKSKPKETRVFNGKEYVLEEAIFGDYALVKVHKADKLGNCQFRKAMN NFNESMAKNAKFTIVEAEHVVDVGEIAPENVHLQGIYVNKVVQSTEKTMIEKATFAKD PAEMLKAGSGDATARRERIVKRAAKEFKDGMYVNLGIGMPLIAPSYLPEGVEVVLQAE NGILGLGGYPQPGEEDPDLINPGKETVTLGTGASLFGSHESFGMIRAGRIDLTMLGAL QVSQYGDLANFMLPGKVKGVGGAMDLVANPEKTRVVVTMEHVDKKGNPKILPECSFPL TGPRCVWKIITDLAVFNVSPTEGLTLVEHAEGVSVDEIRSKTAAPFKVADDLKPML ACHE_11587A MYVTLTLIHPKNFYLAARITKDGLYRVTYGETPSLTREEYIARQ PMRYQEILPGSPKPGDYQITSISPYQMQQRCTPSFRVGRVVFAADAAHVCNPFGGLGL AGGIADAGSLFDALMGIHLGLADDGILDKYSEMRRKIWKDVIDPASREHFRRLRERDA NTARENDEFFRLCVKAEGDEVLARELAMGLEVLRYDMTQYYSKKQDKVSVRSRL ACHE_11588A MPLNKMIIVGAGPTGLILGLLRAKQGIYVDLLDAGAILDKQPRA AHYASPAAYDLDRAGVLDDVTARGINHKKMVWRKIDTEAVATLPLDRMPRSANNIRWL CFHWVNWERFCMSICSDNLRQE ACHE_11589A MPPSNAKKTSRITIACNACRSRKQKCSGGKPVCTQCLEHNRPCD WPEQLKRGPAKGYIEVLENRLHETETVLLKVLSQISDAQLASTLSRDQSSNANGYAPF SRLGKKGTEYWMRYPLVTAENVREWQQDCTREMSGSTNEQGRVPSEPSTSNAMSKHAQ RYELNQSDVDARGRYDDSLEFEPRTAPSEASLTASLGNVKEVQEHHCMNPHY ACHE_11590S MAPQIEDSPISPQLYSLGKAATSESRFDSLSILPKDEPFALHSE YLNDPHPNKVNLGIGVYRTENGGPWPLNVVEEAEAQLHQERDEGRHEYLTIQGDLGFL PLARDLAFSFQEHELGLHRGDKDRIVSIQTVSGTGANRVGAEFLARSLKPRTVWIPEP TWGNHHAIWELAQVPIKTYPYYDFEGKCFNYGETVQLLANEAKGGDIVIFHACAHNPT GADPSKEQWMQLANLCQTKSLFPFFDLAYQGFASGSLEEDAWAIRHFLQSRPQLEFCV AQSFSKNFGLYGQRTGALHVVTLSDTGKVPQAVLANLNLLARSEYGMAPRGGSDIVKT VLGSKELREKWHGDLKHMSGRIIAMRQALYDELIRLGTPGTWEHILSQIGMFTYTGLT ASQALAIRERHHIYMLQSGRISMSGLNSKNVCYTARAIDDVVRTVS ACHE_11591S MAGMMKAAICKQAKAPLVIEEIPIPEPSGREIRVRVKAASLCHS DLTIITGEGPAEVRNIFPVILGHEAVSVIDKLGPEATPYGFHEGDLVGASLWHNMCLN CNECKTAGPDFCPTRESLGITRPGNFAEYTLIDPASASLISRPGVDPSVEIPPPAALS PLFCAGITVWDGLERAHIRPGETVAVVGAGGLGELAVRYAQALGAKVLALDVRDDQLQ ACKEYADGVINTYSLSPVALKEKIAQVSGRGTVDVALVTAGAAAAYEAGLSILKPEGK LIAAGIPLEILPLPILQVTFQAIQIIGCRSTGQAGVQKCLDFSLRKKILPRVNPRKFR LEEINEMIALMEANQVQDGRMVIEFPW ACHE_11592S MESSDFVPGASETGSLTAHSNEESQFVGSSSGVYFINTVRQAFS QNLNQSAGSSPSGHGFPQPEDTLVGSEDSPRDKHHAPASTAASPSRAGDSETMSPWKY APAVSVHLGSAPPVGMAKELMMTYFKVWHPLFPFLHGPTFLQAMELLYSEDAPDTTAA NQTPFLDHRNACWTTIFQCVFNLASFLRPDLHLPPESQIESPSSMYPLLGTLSCRHDI PSLQALLAAQLYLVARMSLRTASTVGGCILRSMLHAGLHRCPFRYKELSSHDRQLRKR IFWCAYAIDRYLSQALGLPLGIQDSDIDVCPPGAPEIHLPGIHGTQCLLLFGRTPSET NTSRSTRPDKMLNQETEDKRKREAALASYVESGKLTGQALELFHKSVLVRSIRRSSVL FLASDVHRWWNSLPRELQGNPAKADATVPAAPSSASPLTDKPFDFGPFFTVLYQHLIL LINRPFLSLAPSTPEFCSALQTCIGAARGILAALRSQVDGKQELFWPGFLSAAWMSGL VLAFACQLKQYVLSKGSQEINECLEFLYIMSPQWETARHCHSALSLLFSNIRQSAQEP QGYRHRAEDEGSATNTNKKRRLDNNPSYYADGQEQMRPSLVPNPNLEEQQQQSTINPV SQSPEPNQELVNSYDPTSISADAATADNGFTEADNNRLFYFSDGTTSNPGQGPGLSGV GNFDLNMVDLFQAPSNFDSLFDLFGQQYPSF ACHE_11593S MGNMQGERLGIAQIFLVACPSFILFGYNQSGVGGLVDFPSCTRQ FPAIDTVNTTGAQKSHNATVQGAVIASYTIGALFGSLICTWIGDILGRRRTIFTGALI ALIGQALECTAYALAQFTVGRVILGFGVGMLAATVPVWQSECAPPNKRGRNVVLTGMF IAFGFALTQWVNFGFYHIQEQSASWRGSLAIPALFSFTIMASIFFLPESPRWLVLRNR SDSAQQSLASLRAKDITSPEVLQELHSIETSLEESSHGTIRLRDVFSANEDKLLYRFL LCVTLQFFQQMSGGTLISVYTPTIFEDDLDLSATLAKILAACALTWKFLSCFVGFFII DRIGRRAAFMISGGGMGLCMVAMAVSTSFVGNHTASIISALFLFVYNFFLPLGFLGAN FLYPAEVAPARLRVAVQSISTANQWLWMFVVAMVTPTAIAQIGYQYYIVYAVISLIIP PSVYLFYPETKNRSLEEVDQIFRESASIRAAVRASKKLPIRNDVLSGFEGKEKEVVEV E ACHE_11594A MSADATTNPATDNPVNGTPETAAPASETTAAETTTVAANQPHSA SLYVGELDPSVTEAMLYELFSSIGQVASIRVCRDAVTRRSLGYAYVNYNNTADGERAL EDLNYTLIKGKPCRIMWSQRDPALRKTGQGNVFIKNLDSAIDNKALHDTFAAFGNILS CKVAQDEFANSKGYGFVHYETAEAANNAIKHVNGMLLNDKKVFVGHHISKKDRQSKFE EMKANFTNIYIKNIDQEVSDEEFRNLFEKFGEITSATLSRDQEGKSRGFGFVNFSTHE SAQAAVEEMNDKEVKSQRLYVGRAQKKHEREEELRRQYEAARLEKASKYQGVNLYVKN LTDDIDDEKLRELFGPYGTITSAKVMRDASNVERIQSEEEKEEGKENEKEAEAEAEKP AEEQPAEGEEKPKKKTFGKSKGFGFVCFSSPDEASKAVTEMNQRMVNGKPLYVALAQR KDVRRSQLEASIQARNTIRQQQAAAAAGMPQPYMQPAVFYGPGQQGFIPGQRGGLAFP PQPGMVMGIPGGRPGQYPPFPQGGRGMGPNQQIPPNFAQGIPMGAIQGPGGIPNGMAY PQAMAQVQFGGRGGGRGGQVPGMPMRGGFGGRGGPMQGMGRGGGRGQNAPAQPAAQPE APVAGGLTPQTLAAAPAPQQKQMLGEALYPKIQAQQPELAGKVTGMLLEMDNTELLGL LDDEEALRAKVDEALSVYDEYMKNKGTEGEAGEAKPQEAAPQEGEENKS ACHE_11595A MEDMFQSFTGNARRPRQVNLGARNTNPFAAFPSGRTGHGPGPQN TLAIAQQERLARQQERDRLGATRLVQRAWRGYRSRKNTHGTWRVEWDTVEQERAGTSL PFDDVTGQAGLDVEGSVPYASAAGCLSQLRLLVQFLEPWDNGDVVRLVYFANAFQKTL HEIPTIATEGEWTTPLTRLAKVILRVLRSAPSSRVPAFASRYLLQLLTFLTNLIPKMM ALLAQEYYSVMSLLTTNIEPLRRSNLSPDHLVQSVLALLRPITSETLTAYEWFARNYL VISDLPSYLGTLDGLANNINYKLLTSALRPLDAQFKSRLSNDRDVEARLWLLAYFIYF HRYALGSQAGGHQAPEPGFVKVVSELLNSTAVHVSQRLELEEAGDLDEITAKEPLPRF VKEQLFCLINQSNITGLLSQLHSTQGELANVDSVASHEAKTLATYALTLLRVFPRRGD DIRMWLYLGSATSGESGSRVPAIKYFWHASRSSRIFAAICQDSTKVLPFLKPTSEADS KFSAIPQTERDEEWTIILLFLELYTFVLKVMDDDEFFSSDSSFIASSNTKVSWTKESA LPLREIKDMTVFLKNLAFTLYWNSADLNEDDTKQYAGDIRSYFTSLAPPSDQITSTKD IEIKNKEKGLPGVTGIPLDYFKGLVTGLLRMIHERDSRRKFLPDDHWLMTNRFDMEGF IPAVVAEEENRHQLQDDEDDEDQDDLMNETFEPSGLIGTGRAQQPRRIEALRRRQQQA ARRKQLESVAPRLEILRNMPFFIPFPTRVQVFREFIHRDQMRRRHGAIDPDIWRMTVA QASMGRMDGRDLSQDVLTRQHADIKRESIFDDAYDKFYDLGEGLKEPIQITFIDKFNN PEAGIDGGGVTKEFLTSVTNEAFKSDQEFPLFQENDDHLLYPNPMAVEQRRELLRQSG YLERSTEWNEQVRDLLRRYEFLGRVVGKCLYEGILVDVHFAPFFLLKWALTGGTGSAQ KESAYRANLNDMKDLDQGLYQGLLQLKNYPGDVEDFALNFTITDTVSLPSSGSRTMTR DLKANGSDIAVTNQNRLVYISYIARYRLQAQPALQTNAFLQGLGQIIQPSWLSMFNQA ELQTLVSGESGDIDVADLRRNTLYGGVYVIGDDQQEHPTIKVFWEVMFNMTNEERQKV LKFVTSTPRAPLLGFSHLNPRFSIRDSSEDQERLPSTSTCVNLLKLPRYSNAETLREK LLYAVNSGAGFDLS ACHE_11596A MFKPSQPMMARLRLTTKQVNGGYYKGTRSGSMGYFAKNGSYVID WKKVRTYVVPEDLDQFKLTPFVTKAMAPTKSRYTAEVEKDGKFVTRERAFGGKDYLEL WTSDNGQEVLEMERLERVEPETEPAQ ACHE_11597S MPRKQAQLRGPKDRDSQQSRTQNRSQNKTTKRKAGKGLDALAIA EAEYPIDPRIRRSRLGVGDDDDFSKRKRDDADGPDTKRRRTGEDDSSDNDGSDADGRE WKIGEVDSDDDEELDSDEAMGSSDDELFEGFAFRGSSTTKSKPNPKQKKEKKTRQLNL SEDVDESDEEMNDNEEDGDEEDDDLGEDAVDLLTAWDMNTAAEEEAAKKAAAKAKKAA TDDYDEESGSQDEDSDDESSEDDDALSVSDDDADVGNEHGLSRLQDFVNSMETDATSK PTKKKGSAQEQSKPTEYGLTSSKKLTVADLLPSISDSRLKNSLKHVDSTTDHKQSSGI PGKLDAPAPKRQQDRMDREAAYEKSKETMDRWLETVKANRRAEHLVFPLPNPDAQLVH KLDAPKPQNDLESTIQNILVESGLATTQGKSNEDEVKEFEELEGRKLPIEEIRARRAE LRKRRELMFREEVRAKRIKKIKSKSYRRVHRKERERLEQQERQALIDAGVDLDEEEKE KMERQRAEARMGSKHKDSKWAKSLRQTGRTAWDEEARLGAAGQALKEEELRKRIEGKR VSNGDDDYLDPSSSESEDEDPWAENGSDVEKEKIRKKISALEGGDAGEEYKGPHAKLL SMKFMQNADAARKEQNDAELRKLSRGLQGGEESQSEAESEVGRRKFGQSKTEEPERKP EPFRKNELEEAPDSDDEDAVESRAAEMTQETSKPKPSARPAKKEAPARISNNASKQQE TVDEVEENPWLVQTNRTNRKANVNDSNQSVDISINDAPARAPAPKSSNVSKDQKSKAP PAKKPQIAEDAGSDDEDQVPVLLKNHDLVKKAFAGDEVVQEFEQEKLDTIEDEGDKVV DNTLPGWGSWAGEGVSKKQQKRQKRNLTTIEGVKPEQRKDAKLSKVIINEKRQKKNNK YMASQLPHQFETKQQYERSLRLPIGPEWTTKETVQNATKPRIMIKQGIIKPMEKPMV ACHE_11598S MDRKANWGYTALLIWLLAPDTALCFPKPTGSVTEPTSTASFKTQ YPPDNGRLLIPETTGFYPTSLERDVRGVSPERTPYLGFDGLIDWFLSDSGFQAAEPTI TGLPTAPETTISNPGPTKKPEPTKNAEPTKNTKLAPTTEKHGNPSYSSTPKGREPAPH TKGGSKATGFPRPSMEGQDVFLPVATGGIPSSIIARDDHPVPRTNIGNTTDPIETNKF YSGLFLGTQTNGTFTHPYNIAWAKGNGNAGSYGMSVSHTEKNVLAEGPPNDKIPGHPV SFYVNPVGIQSMILSAAELRDGTVLTAEDPKPFSANAVLRPHPGSHQNITFPLVQGMG FLTGIYTDLQPLVQTGVLYQKVVSAGSPKPGIFKYQAYLEDGNMWLIYAIPDDGKDPN FKLDSHTDLRGPQGWSGTIQVAKNPQGSSSEKLYDNSASVFAVHGVLSGAVSQDTGVY NLGWAKAGKYAKDTPLIMFALPHHVESFDDATKGRLTSIQLRTTTKGNATAVIGEQWT LIESELPISMDFAPWVQNGNNLSPSAKKVLLDIAPKDLGEDIDKQSDLNSMYFSGKAL SKFATVIYAVSELGGNPGLAADALDKLKKSFARFINNRQQFPLVYDNVWKGVVSSASY GGDVGADFGNTLYNDHHFHYGYFIHAAAIIGTLDPEWLKTSKDWINTLVRDASNPVAD DPNFPFSRAFDWFHGHSWAKGLFESFDGKDQESTSEDTMFAYALKMWGKTIGDKSMEA RGNLMLGVLRRSLQNYFLLEKENINHPPEFVPNKVTGILFENKVDHTTYFGGNMEYIH GIHMLPLLPASSYVRNRKFVTEEWNALFASNASTPADKVEGGWQGVLYANLALIDPVT SWKYFARDGFDYASIDGGASRTWYLAFAAGMGGCRE ACHE_11599S MPSFFSNSGDSDEHTSLLGNGNGNGNGHINGHSHRYKVASHLSH WPSRVLHLTWVTLVRDYVNLLLVFVPLGIMAGVLKWDATAVFTLNFFAIIPLASLLSF ATEELAATMGQALGGLMNATFGNAVELIVSIIALTRGQTRVVQASMLGSILSNILLVL GCCFFAGGLRYHEQTFNSTVASTMSSLMTVASASLIIPATLYSSLSNQPKRTENILVV SHGTAVILLILYVMYLYFQLRSHSYLFEEVNEGVTADMEGQEDGENEAREPQEERVLG PLAATVALVVVTILVALCADYLVDSIDDIVEKTGMTPTFIGLVLIPIVGNAAEHVTAV VVAWKDKMDLAIGVAIGSSLQIALFVTPFLVIVGWIIDVPLTLHFQTFETVAFFISGL VVTFLIQDGKSNYLEGGLCLGMYIILALAFYVYPDSDTVGDALVNTS ACHE_11600S MDRKFMTFNMNSVNPAKFAPKPYVEKYYFFYGTLIDLSMLSHVL GLDHEPELRPAYIVGQK ACHE_11601S MPPRLNLFTARKAIPVLRSSSTPSVNAWQSIIANLPHRSSNAVG LQKRWNSSGSDKKQETPEEADRLKGPTEDALPHVSEEAAATDRIMSKEKRCDGIPTTP ELEQGTPISEILQRDEKARKNAPKVMQDMMKTPSGSRSFSTSTRRLQDVQKNGTNPDG ASAALVADMVANVDFQAAELAGLKFSPPKPLAKTDNFRSRYEPLLEQFTKLLMEDGKL SLAQKNMAHILDTLRTSSPPQVNPKRRLLPGPPAPQLPLDPVLYLTLIVDSVTPLIKI RNQKGIAGGGAAVQIPVPLSERQRRRTAIQWIIDASEKRRDSKFANRVAQELLAVAEG RSGVWDRREQVHKLGVAGRANLGKRVR ACHE_11602S MKFSHSLQFNVVPDWSAYYIAYSNLKKLIYSLEQEARRVNGQPY TDTESAPLLNDSNSSNSDVVFRRALDAELEKICSFFQFKESDIYDEVEDVTKEAENYA AQADGVNLDPVTESMIKARRSSVGRPDSIFRNNRSRSGIRDTVGDEEDDDADSDDDQA PSEAQGRLRSQYAESTNPGDGHTSELGDSQFLGDSRMMQSSRLLDQDDQFLSDPKLKE LHNAGVLLKKRVVDVYVSLCGLKSYIQLNRTGFSKALKKYDKILDRSLRRDYMNSTVS PAYPFTDPVLEDLKGKIDHIEHIYADVVTTGNLQLARRELRLHLREHVIWERNTVWRE MIGIERKAQAANVGIRRTLLGADDDPAAAQRQGDELEIRTKEVSTPLGRYNIPQWMCS LSFAALILIFVVFAILLAVPIMEKPEQQNCLAMLIFVSLLWATEVVPLFVTSLLIPFL VVLLRIMTSDEKPHQRLGPKEATSAAFASMWTPVIMLLLGGFTIAAALSKYDIARRMA MFVLSKAGTKPHVVLLTNMFVSMFLSMWISNVASPVLCYSIIQPLLRNLPPDSNFAKA LVLGIALAANVGGMASPIASPQNIIALQNMHPSISWGTWFFIAIPVSILSILCVWVLL LVTFHPGRGTTIVPIKAVKDRFTGVQYFITITTIITIALWCVSHQLEHVFGDMGVIAI IPMVLFFGTGILTKEDFNNFLWTIIILAAGGLCLGKSVTSSGLLHTLAGEITSRVEHL SLYGVLIVFAALILVVATFISHTVAALIMLPLVRQIGVSMDEPHPNLLVMASALICSV AMALPTSGFPNMTAIMTEVPQTGQRYLKVRHFFTRGIPSSLAAFAIIVTVGYGLMYIA GL ACHE_11603A MMTDQENQYQSPNPNQGSQNQGQNPSGTPQQEQPQQAPEPTQNT DTQENQESQPDTETKQEQLESDPEPEPEPEPEPEPQPEPEQSQRRRKPRPQPQYRQDP ETENIDRSDMNAPVVAERPRRQRRSRQQQQNGGGPLPGLGGANQAGDLVQNTAGNAVN GAGKAVGGVLGGNSGKEEKEEGRDEQLRLRLDLNLDIEVQLKAKIHGDLTLGLLN ACHE_11604S MAAAEKTRQQEQQQQPTLQPEEYSEADYETDGYEYSDDDELAPQ PQKLSQQTQSRRQQQQQRRTQKDDYVDDDEEYSDDDYTSDELYSDEYSDDDDYADNNG IQGNAMQPYQTGRDGKLINSNNISGGNIEAAHKKGKSIDDEEGMKLKLDLNLDIEVEL KAQIHGDITLALLA ACHE_11605S MALNGNKDFIIKHMNADHQDSLVLYLQAYCHVSAGDAKSARLED ISLSDLVISAGGVRYSVPFDPPMKNFSDTRSRVVAMYKDSLQRLGLSEIGIKEYRAPQ GFQIVIFVTCLATYIGFVRRSNFLPGSIIYELLGLEKVPAFTQFCYDIQPLLFPAMVG IHVLESALLAFLRLRPHRVPLFSGLWFAWMASTFIEGFGAFQRIKGMVKEEETKRENR K ACHE_11606S MGISRDSRHKRSATGAKRAFYRKKRAFDKGRQPSNTRLGNKRIH LVRTRGGNQKFRALRLDSGNFSWGSEGISRKTRVIGVVYHPSNNELIRTNTLTKSAIV QIDAAPFRQWYEAHYGQPIGRRRQAKTETTEEKKSNSVQKKQAARFADHGKVEPTIER QFESSRLYAVVASRPGQSGRCDGYILEGEELAFYQRAIRK ACHE_11607A METPDHSQSNKSTRPYRSRLQPACHSCRKRKSRCKTTDSSSACV TCQLHGTECVYPRVTDEIPQRSRTPRTLAAKARQDGGNRPASTRTSQIRRTASSSNYS PQLFQPLPDTEIHTTNTAVRPSETLGSSKETGQGGLFSNFMGIVAETGDDSSHIVSPA VANDNDVLESYLSTIPGARRRCLIRPSFDSGRAIKPVLFNTVSRRPLGMNTKQTLAAT KCEYIEKYLEPGVADVVDLFFQNANICFPIFDEASFRNAYRTHKEKISPALLCNLYAN ALIYWDNSPRLRSVRSPDIRFIWNQANEALHSELWLCPGISTVMAIILNVCGRPSTSI FGNGGMIGTAVALCNALGLNRDPSNWNISPLEKRFRIRIWWLVNVHDSWCSLAYGTPP QINSAQHDVPFPRLEDLCEASFTSERKAAASIFIALTTLTAVLGRYLEHIYQVSKTNL PYPPEMQSTTYFEHILSKWEESLPDDIRRLVLRGTHLNAPGAANFRLAYLAVKLLLRR IQLDLDTKDAAVHVEEDATTSQFYLQAQRAAEDIVHLIQELDESHFRGFWIPVNAFSL TSATTFLLRSGLRMGTSTLSSRNAPLRIAREMINTLHSHRLHFSWDLADHCLASCSEL VEKISSVEAGGDGLGDMGILDFENQVDVDLSALEDFLDGFPGFVDGLEMPMPL ACHE_11608S MQDIHPVDLYRIHSDDRAIYTNVNDVIKHIESKLQEYVIEGGTQ YIAITNVTNKSFQEFNKKREQIRPHSPRVRFFREQETMIIKFRDNIHATVEGMLHNAF LTKLTELGIEEKVLMSVGAAMQSLPELKIQPDLSYLPYQTRTLNEYPSFVVGVGDMDC LHRLQLDTRLWLENSHGTKVALLMAICTESKELLFEVWQSKDNTVECVQHIRVNNTAN EATDAPLSLSLGLLFDELPVIPGLTLESSISLSAQDLGKFEKDIFSYMVVNRQK ACHE_11609S MASSDATTFFSRADKYLMSTGVPYSPVIITKAKGTRLYDANNRQ ILDFTSGQMSSLLGHSHPEIVEIIQKHAAELDHLLSNMITHPVVDLAERLARFLPAPL EKSFFLNTGSESIEAAIKMAKCYTGNFEIVAFAASYHGLTQGAGSATYSAGRRKGGPA MPGQLAFPAPYAYRSPFRKSDGSYDWETELEFGWSMIDRQSVGSLAAFIMEPILSTGG VLDFPAGYLQRMSLECKKRDMLLIMDEAQTGVGRTGRLFAFEHDDVVPDILALSKTLG CGLPLACVSTTTEIERGCKEAGFLWLTTHLNDPLTAAVGNKVLEIVERDHICERAIDR GDQLRRGLLKLQEKYWCIGDVRGRGLLQGIEIISDPQTKAPGPELGQAVSEQAMARGL SCNIVNLPGMGGVFRLAPPVTVSAEEIEEGLRILDEAFVHVLSETTA ACHE_11610S MLNLHSRLPLLTRQTTPINKLPHIHPPHYRYQPYRYHYQPTTTP PTPSYSNDQRNITTMTPQTRKTRILLLSDTHTSPPTPSQSTTSSAYRSPLPKADVLLH AGDITKVGYKREHEEMLAMLKDADAELKIVIAGNHDITLDEEYYNKVGYLRHKRKLGY TAALDSKEGGDGQLENCAEIKALYTNPHAVAAGIRYLEEGLYTFTLSNGARFTVYASP YTPEFCQWAFPYERHIDRYNPQSSVSVGQFGVQAPNPVPSYPGVDIMLTHGPPYGIYD EVVPSGSYVGCEHLLKATTRAKPRLHVFGHIHEAYGGGRMDWETKKMEGIRGDPEDML EQRCLYVDASEGGGKGLRFGEETLFVNASVVTIRYHAVNAPWLVDLDLPVEGQ ACHE_11611A MPWRPLPRIAFAVAIYPFQPSTPADLPLELGDELYIIERGGVDG EWCRGYLVAPPSLLAGLSSTKGQTLEARVFSGIFPRNCVEIREVLDDNDMPSPRLNGE HKIGEPPRQQQQQKQPQEQQPVNGTREKEQRDSLLSSNDDDQAVTGEVSNVVIAKKGK PSQIFITKLDDDSQGSPRSASSTWKGSPLPYTPLPRDPDAPKPAAPVPMLKIGDETPT SLSEPLVDEIASCLREWHSTNLHELLLAQKYNSVEELSNLVRELDFARRQLLHNVLTG QEKEALRNEMVWKLVRGNKMLSGEIVVRDPLQRGRLLTGEDSAVHLAKLQSEMCMLES SPMHHSDTAALHHLLLEVNAVSGHSPGPVTLVAQLCSKSSDASALKPLSETYSLDIPS PDKFASMGQSSKLKTLFTELCATDLGDAAANGNKLYLVISARAPETPSTFSGISHQPR SSMSKDESSLSGAASKPPQGINHSVKGSLKTRRSIIWSSKPKGIPGVDPPKESPKPPP QSAGSTSSAKDRTTTQPAKDATAIRTIGVGLLEVSQVLRQEKEAEHVVVNIWSPLRDG EEEGLSEGFDDLIRTLLPSPSGKYMRAQRAARLHLNLHPFVSTDADDLVRRNPTIMHN VVQTRRMGFSQAPTTPRSDIYVTLTQAIFPSEALLSHPHAGQVPVPTNTGLRNLQLTL EVRTASGARVDRCVYPSSSSNTAHTAWRTTVSERGTPWNQTIRLNIPSDQIPGSHLVM SVADAPEFPFALAWMPLWEDQAFMRDGPHSLVLHAYDKHTSNVENGKGAYLSLPWNAL GKNESTKDEAVTGPMSSLRLETYLCSTEYSQDQAILSLLHWRERPVDDILDTLRRVLF VPEMEIVKQLSGVFDSLFGILVENAGNEEYEDLIFHDLVTVLGIVHDRRFNLGPLVDH YADNQFNFPFVTPCLIRSYLRLLCANSDPEQSRNLRSTFKVGRHVLKFIINARQQQQA KEEGIGITKVGSTFSRDIHTIFRSLESLMKNPSPAMVGSKTLIVQHFHTWLPELSKVL PKDEMFMLALSFMDSCKDVTGMLVLYKLVLIQHYTQFEIFASGQERESLISCCISWLA PYWGATGHVSDLYRDQVRLCCAIVAQLLTKPEPQLYEFMPKIFASYCSIIPDGVEETE YLSLLFSKTFPFQVKTCKTKQKFDEALVELAAVMAATAKIPNPKPLALKDLELSTFLT QAFEAHNSILDCEAYPESWLSMHVYNHRATVKSLEHLGSMMIDKFLPAPDDADTFDTK LWECYFMTLLKVVSSDALALETFPEQKRRAVWKIAGDVREQGADLLHSSWEAIGWETT DEERERYGLRKLGGYQVQYVPNLIYPIMELCLSVHEGLRHVAVELLRSMILSEWDLNQ DLSIIETEIISSLDSLFKTKHMNESILQKLFVSELLGHFEGCETFDEDLSNAVKSLIA TVDELLDLFVASQSGSVTESFNTLRLMEYMKDMGREDIFIRYVHELANAQAGAGYSTE AGLALQFHADLYDWDPNKYIPEILNPAFPEQSSFERKESLYFSIIQHFEDAKAWAHAL VCYKELAQQYEYIMMDFAKLSRAQGSMAKIYEYIAKEDKQFSRYFRVIYKGLGFAPTL RDKEYIFECLPTERMATFIDRMQREHPTAQVMSSGDIRDYEGQFLQITPVSPQRDMNH PVYQRTKVPQSVREHLVISEPCRFSSTLRRHVNSANVEEQWVEKAVFTTAEPFPNILR RSEIVSVEEVALSPLQTALERTWRKTQELSLLSRKAAAGEDPSLTNLTEALQQLLEVS SSSPSCVALYRQFLPEASKKDEEGGDSGDESAEEDATAETPKPVDPLESALAVALIDH AWGIKQALSLYGRPAYQATQAELMRRFEESFSPEIASLAPTTVPEPSPLPSQRQSPNP MDSRQQQPAGRVSPEQELIRSSRVGHSRKHSTRQSVSHRIGSMNPFKRSHHGATGSVS TAVAETRQALEGKTNGILTEHAEENGEPDNETATINSRTTSYSRETHSKRRSFFGVDK PYKHGSSLSIAAASITTDDAHKHRKQPSQSTSRDTVAKPSHDIHIQPVAQNGGPMSPT SPHAGWSTIPSVRETPRPATGQQSVNSIKSPKSPTSPNGAVHTGVGVRDSVMKRFSLL KGVGRKNSRLDFKADTHGGLVHEE ACHE_11612A MMNGQRPRGPPPGDMPPPHREPRRGDPRSGGPGDASLSRAEKFE DEKRRITQSCFSRKESDGTLVESYITHVRITEDAAYPSTPPPPNSPSENRKARVIIVA VRRSGRVRMHKGRENNDGSFSIGKTWMLDDLSAILSYSAWMPSTPLEQQHKQWAGNVG FVVTIGKPYYWHARTSKEKEFFIGSLVKIYRKYTGGKVPNLIGFDDRERQMLVGTAPA GPPGSSRGPVPPPVRPEGPPSSHSSRPQSPYTGRAPSRDGPREHRRPPDDQSLRAQKS RDQMQRPSTGQSGKVATPPLSHPPVFPEQPPPRAERRAADRVPKTPPVPAYQEKKYRD PEESSLASSHFDAQPPPSRDGRMPEARPYLRTPGSIPSSPDVKRSTDGLRPATPGSVS GETRNIPPSPASSLGQKSPLNDANDKVSIPEPSGLSREVESPKISTDIPPIAPLEPAP ANDHATNVPVQTTAADLPPVEPEPKEAAPEATPPVGPPEPEPLEEESEAHRPGLGPMI KKKQTKDLAGAFRKAATAYGAFKPRPGGAGERLMAAAKQQRGDPEEPDGITGVVPAPS LRPNAATQSPVSEVPSTPTLQTPETEVPPVSVKETPPPLSPAREPPTPTVEITQAVAE EPPTPTIEVQEEPRDTSRSTVQVPVDQRSRSASPSPHDRNRRRREDNTIKYCLAIGID PKVLDGRGVDFDDILTDLGWNGRLSDEKRIEDLEADVRREIGRVEATSWLGNLEQQEG KVDQLAKLIDKTIEECDELDGLLTLYSHELNTLNDDVSYIEAQSQGLQVQTANQKLLQ NELQNLLRTLSIAPSELRSLKESSLSNPSGLRDTELALSTLYRAMHTIDSDIWQNKQR LVDAAGQHGSVGVYADTEIGQMRAIKEKKEEYRIESRSFLQRLRQFMGIAYKVAEQKR IDAAAGSPKDPMKLNNKARDAFRRELWVYNALTLFAREVSTSEWNGLMQLYEQQAKGP YQGEFRDNIQAWKKASRKLTGEEQELLFTHHDKEKENQGVTGMAARKLTVKRGKTIKA ATGLRLSSGEKHHGKMDPCEVFAGTLQETLDMICEEQSFLVHFFHLDSLSTVDFPDLV ASAAPGERRYPDFSVKQSHDPDRAMARKVERIMDEIYSFWPNDMQNLVDWAIQVDPLQ GIGILFALEKSMSDLDDTNQDFIIHSLQKLHSRLVGLFNRFVDEQIRGIEDTKVKINK RKGVISFMRVFPHFSAAVESMLTHPSQEFCDVRVSVNEAYNRINRAMWESLKFIAKEA PGPPGAAAVGGAGGDPEDKEALNYHILLIENMNHYIEEVDVRNLLVLEQWRDRAHQDL AEHMKLYLDAVIRRPLGKLLEFVETAENLMATSTDIASRPSHSRSVAKKVLATYDTKE IRRGIELLKKRVEKHFGDADDPGLSRNLVLKVFGECERRYEDAHDRTRRLLETVYEGQ LEQDWRKEDAISMFRRT ACHE_11613S MAAHKGKKASAPKKSPNTKKTPPPPQLIASIATFLSENGFESTS KALAKEQADKSIAQTDSEKAPSLLELFHNWTKKSEESSSSSSSSSSSSESDSSSDESS DSDVEMGDAQSKQSSRSSSSSPSSSSSSSDSDADDEDDVPAAGPKSTGMKRKAESSSS ELDSSSSESEKEAPKAKKAKLESSDSSDSSSSESDSNSSSSSSDSSDSDSGSDSDSDS SDSSDSDSNTDSDSSDSSDSNSSDSSSSEKSSAKKADKKTLKTAIKTPLPESGSSSSD SSSGSSSSDDDSSDNESSDNKKKDDKSSESSKSSDTLQNSDSDEQKPANKAIENARET SSSKASPVTGNNSAKKHTGARQTPLAALSELPHDHPSNTYMSYAYADRAYQDLSVTRG KGFTKEKNKKKRGSYRGGPIDITGGKSFKFED ACHE_11614A MPTHISIAVYRGDPIDTVMFRHTAIHFTFPDGQQSAMHVVGAPS MFDFEEAEGIDPTKVTGLAGLIYVASVPDVIERTSIKDACVRTPVRNDREHRDWNCQN WVGEALTELVEIGCLTQEERSAALDKMMDVCLEAADEDLE ACHE_11615S MLTELPAEIIYNIALHLPTVSCLTNLSQTCQHLHLLIAAEDSRI FRAFLKTRFPWIETPPFWRDASRALSSRSRALDKHAVIGRFVVPPQNAIKVGSHRGTR ADTPTLGYRPAIDSYEVWNGNLWPDRKEVLAWGAADEIIVRVRQTGSHPSDKWVVFND LDHVSSYDDICGLHLLKSDRYFQGSDDKEHLIFGRVCGELHHLSISPGDATHDYEQEF VTHGTELERIDLSDGPEPILAAHFENSSIALYHTTTDEPEVYPFARLGCEGLTRNNYS KFLSPTRLAVGTGRLENTLSISTITPDNVSLYREIGSEFLGFEERVGLNRKTNKVSAI APLTGQAGGLGDVFLSTWGDRAVRLHDLRTSNPYEAMYRDTTDDNPIYCVHPFGHDRF VVGAGGDAVLKFFDLRMHNPYSYLNGRYPSFSHPSVEPSADNMNTDGIQDSLRYPRKD FSLFLSHPPPGLKSSNRGRSRGNPTSYRGPIYTMSSPSALSATIYVGVVDGVFRLDFA SSDDLASSSCQWYKDGLALDLNADLNSSPSTPDRVLELSGYERPEPDDLTTTSKLRTQ QPFWTISNDDARNEVVTGWDRRWERLDKNAPWRRHD ACHE_11616A MTTIPPNEELSPYYTMFEFAPQDYLTNVEGAVHDLPPEYPVYYF FYGILKTPATLKRILDLPEGGEPKFRPAKIIGYALAKWGDYPALIDGEQGQVVSGSAW LVQDVEQARKFAYYETKAYKVFPCRIRFTDDEAPEVVSGRTFYVCWGSTDIAGAAV ACHE_11617A MAAPSSKPVQAPKSTTSPASSTNATARLEKAHPGVRRSTPDSDA LASSDDDGDHSQQTQPPTSSVSIPKPGRRTSWLNEVPPHVTRKSSLTTTAPLSSGTSN PTSPATDQSVWSTSPGLGGSIAWNHVGGSSFPWGTGIWNSESRKEPPPRLSEIVPSPT TSNTYLADELLSPTARTSSGDAGIPFSIPLHPTPKTYRSQSYSVGQLDPEFLTLMANK SGAAQAAPYPNARPRNSVSQLSALQHRSSRPSLLGELGHDPATLDRVREDEDDEDEGS ANGSDGSMNYTVNQARTIEQLSRENALLRQAAMDNSMRDRRGSTASANGGYAVGGAPR NLHAIRGNVPEVTDLDVNELDELRAIHGYNNLGGPKRRFSEHSANLEKQFSGLAPLEN RALENVRKAHWQTSLGFDSIPEIPQSRRHSFADIPMRHTSISSAAGDSQTPVNIRTGL GGPEDEHIHIADGPLVNAPGQTPSYFSHEQSLRMADEARHPTSLHSTYSLPHHGYGRH QPPLAHFHQNQLLYIVTFKCHRADVFYIQEDTGLQVKPGDLVIVEADRGTDLGTIQHA NVSLQKARELKQQYAEEHYKWLMMFSRQGQNGGANVVDSSGNLPGLNGRSAIGGMGPH GSHGSQEAPADIKPKLIKRLAQNHEILTLRDKEGNEAKAKRVCQQKVVEHRLNMEILD AEFQMDWKKLTFYYFADSYINFNSLVTDLFKIYKTRIWMSAINPASFATPPGVGLPSS GSMSNPLYQEAQPDRRHQHDARPYGNGRDRDFLANEMLRNPYADNYQSFGQAPRHPEA GLGGFGMQGQPDPFSAYGPTAYGPMETGFVDYAASPRMPPAAGDWTSRFQGLSLGS ACHE_11618A MPVSSVSGIMLRRTIFNTRLAAQPWNAAEMASRSVRSPALGSYR AVHQSARLPAMTASEARHRPALRPRHQPAAHSRVNGPSPTSKRTIFIQTENTPNPDAL KFIPNHPILPEGFPTTFIEYLSPRSTVAPPHPSPLAAHLLNVDGVSSVFYGSDFITVT KASDANWAHIKPEVFSMITQTVTSGEPIVNFVEKSAAEQGQEGGDEESLAYNEDEEEV VSMIKELLETRIRPAIQEDGGDIELRGFENGIVMLKLRGACRTCDSSTVTLKNGIESM LMHYIEEVQGVEQVMDQEEEISMHEFARFEEKLRQQKGAEATASTVGKGSLDTVA ACHE_11619A MGGIPFKSTGCNTCRRRKVKCDEAKPECLRCVKNGHVCTGYERQ RVFIHKSASTAKDSQKVVQRPKEKEIAQRSAGAIVVTNPIQQLPRFNARPEVRSQLLA SFINSFLPPAQFLKTHKSLYETLPDLVGGSPLLDRAVISLSSAFVAKNNRDDRLLQYS TKLYSQAMKLLHSKIMMGKGLGKDLLYTTIIFQVYELINCSPSGFPAWLAHVQGSNAL IKQCDGRSKEAVAENLFLRQLRFVILCDAIGKRQAAWRYNIPTRSSEPPGDKNALPPE PIDIFLDILIECTALIEEVDTLLDQGYMARGSRRVGERLLHSCLSLEDRLHNTCIWMQ AKLGVPSSLPRDASILKGFRTAVPKDFFPRPLNFPSLSCAESHLIYWTTLVLLYPLIN QLFDFLSPDFSQSGSPVSTASPCYPSIETVETPTPPAADTQNRADFIALTDVYATEVC RAAAYCLQPSMKALGGQLLLSPLSQSTQFFQVEQSSEKIKWCQAVFMYLPQVGFAIGV FLKDMVWPQYRLSQKRKSPSPPEQNSR ACHE_11620S MAVTCEVCSSEQSKYRCPTCGLMSCSLGCTQSHKIYCTPKPQEQ TPSDNDDPPQPETDTNDDAASKKHEDDRPDPAAVLKSPDLEPLFNRYPQLRAQLRDMY KTTLEEEWVELQYQPQAGRGRANARGGRGGYRSRGPWTREKGFNRGLGKVRKYRERCE TGLEIGKDAEGFMRFVALVNGSGNGDDPSLSQEPA ACHE_11621S MRQPFKGRQTTKKMSLDGSVYLVRVLELSTTEIMPDPKGPVWPR FGSDPAPPTIDGVLVLHDITQPSTFPETAKLLDSVAASSLPFVVLASKSDVIPTHNGI RDPVLERYEIHKATPESPRSQKMCIALVLRSVVNNKRDIALSHNGQANKAVTGNSSQT SLQANPPIAHGFPHHARPNQEAAAGGPTTTSSTAPAQRADGSGEIRGPTDQLNTSSGA TSTGATSNAVSNNAHGLSRFARSNSNPMRPQTPPSGTQLNPHDPLASDGNSPNKDQYL NRQQRLHTEWRNSGSSDAFDNFLAMEEEIVGPRSAPPSPDGSRDKSNDGASSDVGYTF DELVDRLVSQPVSRQDSKFAAIFLCLYRKFAAPAQLLNALIKRFDRNEKNNTDQLSRI ADQLQLLNVMAQWVSEYPGDLAHPRTRKRIVDFVSTLERSHFYMFAAKEVSSYLEIPA EDDDVGWPFSDTDIEELNIPDTPLVSSGRNSPSTFLGAPAPTPQEEEEEEEDPIYNLS AVDLSEGLTDPSMKLSGPPSSSSLVEKPGSIVSQSFTLMSTEAAQKEAHHLELTPMIP ISKIIWRQFMDFSDEDVARELTRLDWVMFNSFRPRDLVRHVSISGPDKDKIKSLQNVN RMIKQFNHLAFFVASMILLRDKPKHRARALERFMNIALKLRRLNNYNSLGAVIAGING TPVHRLSQTRDQVPVQVQKDFMRLVILMGTQKSHFAYRLAWDNSFSERIPFLPLHRRD LVSAEEGNKTFIGEGKSRVNWKKFEVMGEVVLCIQRSQKTPYSQMHRYDDIQRLILDI KLAGDEEELYARSMHVEPSAGGETGRKKFGWLRS ACHE_11622S MPLTPHARSTYRALLREHPRRSLPKTNPTATTPLHARLRDHFRA TAATPQFTNVATNPNTHETEEWEKMKGRLQEAEQLAVYARAQRTYATLLERYNPGMSM DEEERIRLTARRVGWDLPVVGGGEK ACHE_11623A MPKASRSQSNAAKSCRCLSLRCLSILAIFLALFSALYSYLNARL EQFYIFEPGQLHDLSQRAIAAHGNDTRSVVNYIVSELDEKVPSQFVNKEEEWVFNNAG GAMGAMYIIHASITEYLIVFGTAIGTEGHTGRHTADDYFNILQGTQLAYVPGSYEPEV YPQGTVHHLRRGEVKQYKMEESCFALEYARGWIPPMLFFGYADTFSSTLDFPTLWATS RITGREMIKNLLQMKL ACHE_11624S MKSIVALTSLLSLTTAAVIPNSLNRRADLCDQYSQSTEGDFILY NNAWGKDNADNGSQCTGLDSVNGDTISWHATWSWEGGDGSVKSFPNAAYQFEAKTLDS MSSMTTKWEWSYTGSDLVADVAYDMFLSSSADGDAEYEIMVWLAALGGAGPISSTGQS IATTTIGGTSFELWSGKNGNMQVYSFVASSEAQSFSGDLLEFFQYLEQNQGLQSSLYL TDLQAGTEPFTGSDAKFTTSTYSVTVA ACHE_11625S MTQQNPYTSTTTTTMDPKPTTLNSLNQAALNEFVKLPVSRDMVC HLAHQASQVIRCESPSASPQQPTPPSTPPSDDALDNSGMPPLPSVETFIASIVTRSQV QVPTLMASLVFLERLRARLPPVAKGMRCTVHRIFLASLILAAKNLNDSSPKNKHWARY TVVKGYDGFGFSLPEVNLMERQLLFLLDWETRVTEDELFKHLEPFLGPIRRRFQLQEH ERKKRQQELYRQSRLQLSPESLRHQTPSTSSRNGSPRRHTEHSPTPASRHSMVATPYT HRRANRSISPPSIKDVPALSRAETFTSLSSCASSMTTSSRGTPASISSSNGADEVIVA DSSNSPHICTANYVTMSQKADVKTHSHSHSLSNDGVSNKKMRLGHHSGGSGLVARFLA SASYMGGRIGRT ACHE_11626A MRHSTCYLPNGQSFTVTPVFGGFNFKSNDLTLHHSVLPPGWTVV LYTLDEDEASEKEEKDRQRRFTDPTLRNDCLHIAYTVDPSSEDFKPASSPTRQIALLL YITLWWYFHEQEPDLHLHTANSAKTPLLGKPKGDWRINIKREGIFKKRNLLQKLERMG LIATEDSSVGLEPNENQDSGAWDNMFVSRRSFWQLDPRLFLFTLAPAGIPASITGTVR QQISAPTTDEQARLAKEGPFTSGSHLPTYFPPPPTQYTFTNGVRHPLRSRPPHQGEVV YIRYIPSVGQYLSFRVPYFSPAKQPPSQSQSGTNTPSGSNHTHSLSSSSAIGMQQPQP TDGPTDLEILHKWMNDPRVNAAWGEAGPIEHQQGFLRHNLTSRHSFPVFGCWDGKPFG YFEIYWAKEDRLGPLLGGLGNYDRGIHLLVGEQEYRGSHRVNIWLSSLVHYAWLADSR TETVLLEPRVDNEKVISYLQKSGFYKEGEVTFPHKQSAVMKIKRDSWEAPAI ACHE_11627A MPLTILSQAQLRSLLLSLTREEIIELQHKLAESLREYSTGSQEQ GCSSSYQPPRTAITRANGCTTLFMPASTGQTIGMKMISLDDADKAGCAVESGLETSEN EKASTRSRSRAGRGSMGSTSQSSDTDAHSIASSQDEDDGDSSNENDTNGSSSGPSLRS SIQSGTVNQQPIPPNVSETLGAWPGAGTRDTSPQGSVTLLDEESLPFGLINAHELTPF RTALTALMLFNKRNRVRTLTIFGAGKQAYWHIRLALTLRGSEIKKVYIINRSFDRAAR LLRDIYSPENASWRGDVKFSAVSSEFGNYTRIVGDAVNKADAIFCCTPSIQPLFPAEL LTSNEGRRKGRFVSAIGSYKAHMAELHPDILRDEVTVHTSHRHFRRHAKRSGVVVVDS LDAALKEAGELIQADVKPHQAVELGELLMVREASRQDTGGKDDTKSLREWLERGNVIY KSVGLGLMDLVTGGDLIRLARERNLGTTVEEF ACHE_11628A MHTFVLLAFLASRVVASSSIKSIPADHKLDRSLLAAQVGGLVAA YVGFVAITLSLLLFVGRRLRRTVHASNYTLQVEMMKPFKPPSTVDPSPVTPISPSLPS PNRPNGFNRSCSSLGRSARTLDHPSNSGSVATIDETVVATDRRRAQEQMEMLYAAVME YDEQKELAKESGSSSGGHSHNYSLQSQESIVTNPFTDRSSRILEEPSQANEVLASPRS SNSRLSRRLSSLSLFSSNTRTSAGSGKIRSPRLPLRKLSISSPLASPDPTTNTSYGED QPPLTPRLYNPPPPPAPPIHVSAPPPAKSSGMRRTPAPPPLSLSAASHAAYSSRHAGP SQGSSSLPFRDAYGLQSAPPTKTTILERPAKQMNGPRTGMPTPYSPYMPFTPVTPLTP SRIVTKRERKREGKENGLHVLNEDDMVKDDGDMWGY ACHE_11629A MAYDRLRNGMKSIQTLFKFNIPMILPRSGSFHLVTSPTMIIQLA PQKITRASEKQLYDDGTGKKQLGAHFKSFFDSLSQVRAGVEGLPERDGSCAIFQWGDM HRLAPQPAFTLWVNEHQVKFKIGTSGKPITVKNDGNIYIVKEVGFRRREQAKVPITNY DAKRRDAGGVKAKGWPEGEIHF ACHE_11630S MGHKVSVIHGVRSEDYYKPGNAINEIWIGHLELISRLPFGDSDM GQAGWPHGGFPKIPWHNFIIPLKRRGLVEGDGDDGYTERLEGGDQSGDRSSSSGSDVG PTSQVTSLVPALAMLSLVVLAFGLPVVLRRYTVSYNRIRGNGMEKCKQRRDGHAIRTC IAIISLVTGLLLSLLVFQALVDVGQLGILNGSVLVLLQVVAAVAVGVGFCCSVRAAYS LWMDWRDVSRWLGEDDIVSESVMIEESLPMIVSSWLERQHRA ACHE_11631A MSAKHVSVLNLTRIPARCRAVYQQRTTFVPRSLSTSNVLKQDGN SEKKDDSSSQNEPQEESAMVRRLSEMTEDAMLEGGRSARKNIQEAGFSEDLKAKLEER LAGSTFRNEYAAAHSIVDMPESAGQGTQDIAGSTPWSGAEELPDITLRMLDDAKPKPI RTPYKIPQPNPVDLRISPKRKVSPGVRIAEAKERTATYNLSQSPGLTDKERQKVREEL RERFTPGARPMPVSLQGFASLANERIEDAISRGQFRNIKRGKGVNTEVDHNANNAFMD TTEYLMNKMIQRQEIVPPWIEKQQELAKEVDRFRQRLRADWRRHAARMIASEGGPLGE QMRRARAHAAAEVRLAERARIEKFFQEDSSEPETQSVTEPSSSTPETQAERTDDENLP HLPPLRDHHYLSIERPYHEVTVKNLNALARSYNLQAPPVAQKPYINLDRELSSCFADV APSLADEIKRRAMERAQGPSTMVHQKTSSVMDSLSTMQTSHVYDEDESKGYGFREFWR DLFSKKNR ACHE_11632S MPAKGASTRLNPVRLQTIPHLRVRRPNQHEQNPCVTVMSTMLSC WASSGFGSENCAAIESQLKKCMDQPKSHGEKKNTINYHLMRMYPKVVGPQKRDGKLG ACHE_11633A MALSSVSVLAAIAVSLLLFYKAIIYPVFLSPLSKIPNAHWSVPI TPAWILWKRFRSQNNRTTHAAHKRLGPIVRLAPAEISINCVDGGIKSVYTGAFEKHEW YPRVFGSFGTISMFTMTGNKDHSFRKRMLSNIYSKSFLQSSPHMRLISETMLFECFLP LIHEAAVSNTPIDMHETGQALIMDFVSAYIFGLANGTNFLEHESYRRKILSLYFSRKP YEFYYQEIPNLVSWLRSFGVRLIPRWCDEANERLDAWCLELCDKADRSVGSTKLKEEP VVFKRLKQSITKHLPEEKYDSESHANSAKQQRFDIAAELYDQLTAGFETSAVALTYLF WELSKKPDLQKELREEFLTLDPNITYPRPTASRELPSPKSIDSLPLLEAIVTETLRIH APIPGIQPRVTPYPSCTLAGYDSIPPNTRVNAQAYSLHRNPEVFPEPETWEPKRWLND ANSSVDLEERKRWFWAFGSGGRMCIGSNLALQEIKLAVAAIYTNFSTVLIDDENIEAT DAYTVKPKGDKLILRFMPL ACHE_11634S MGALKYVEEIQKKKQSDVIRFLLRVRCWELRQLNAIHRASRPSR PDKARRLGYKAKQGYVVYRARVRRGGRKRPVPKGATYGKPTNMGVNQLKYQRALRATA EERVGRRCANLRVLNSYWINQDSTYKYYEVILVDPQHKAIRRDARINWIAKPVHKHRE ARGLTSTGKKSRGINKGHLFNNTRSGRRHTWKRQNTQSYWRYR ACHE_11635S MPSSFARNISTPSNRLPSSSTSSTTNPATSAPRAIPQDIPILPS PAANTSHGTSPLASPPHQRGHSRSISHPFNHNPFSGITKRRNQSISKKDFLDSDDDDE VTFTPAPLSSSPRKPLPRPPPGGEELVTGKCMTCSTTVRWPNSLKTFRCTECLMVNDL EPYKESGDAGHGKDGNPAVLRKALPLSLDRTKGIIDNCVSSYLRRILEPETRAPPIGN LNGRPLCDRRQSPNRDPGLSRPRGLTSNVPAKPNDGMQGSSYPTSYLSPFSSHGDSQP RARSNSDVQSASKTDRSREAKDHTSRPSIFKPLEDYIITSFKGCDCLNASFITLPPQL PPPPSRPAGESSLAKPISELSTVPNPHQTQAVFEPDAKTLLLGDLAENSSWWMHDSAR ANGPGPPSKDKSSGSYRLVSSRTPRINWAEVSQWYHLIMTAGTSWVEQWSAMQPTETD EGDAVRKKRWESMDLAFVEREVSEARYHLQRTLLKATENLLKRPRRPLKKAEDTRVLL ILLANPLLHPSSSGPTSSSYRDERRPSGSKDPQRLGPPDTKQSSHKDPSRHRSRGPGQ HSGIVKRILGLMANLPNDCHHYLVSWFSRFSVGQFEKLVELIGSFVTYRLTRQHGRKR SESVKDDSNSLIPSFSSPNGNTPAELHAAINGRNQNKSGNDKKDQPMVYGDDWQIRVA ARIMSLLFTANHTHRRPENQDFDSASKNQPSRRGHIIPISSFYNTLLDYSDLVADFEA WETKMSKFSFCQYPFFLSIWAKIHIMEYDARRQMEVKAREAFFNSILSRKAVSQFLVL KVRRDCLVEDSLRSVSEVVWSAQQEVKKGLRIEFIGEEGVDAGGLRKEWFLLLVREVF DPNHGLFIYDEDSKYCYFNPYCFESSEQFFLVGVLLGLAIYNSTILDVPLPPFAFKRL LAGAPQPSGTNAPPTSTPSRSSYKCTLDDLGEYRPALARGLRSLLDFEGDVAETFCHD FVAQVDRYGETVEVPLCPGGEKRPVTNANRREFVDLYVQYLLDTTVTRQFQPFRRGFF TVCGGNALSLFRPEEIELLVRGSEEPLDVTSLRAVATYDNWPTKQPESEPVVQWFWEF FEHTQPSAQRKLLSFITGSDRIPAMGATSLSIRLACLGEDSPRYPVARTCFNMLGLYR YPTREKLERMLWEAVIYSEGFGLK ACHE_11636S MATGLPLTMKALKYEKPEVHSIVEAPLPTLRENDVLIKVKACGV CGTDLHIHEGEFIAKFPVIPGHETVGEVAALGPNVTGFEIGDRVVADNSELCGVCFYC RRGEELFCEKFQAHGVNVDGGFAEYCAFPAARVFKIKNLSDVDATLLEPASCAAHGLD KIAPKMGSSVLLFGAGPTGLILAQLLRLNGGCRVVVAAPEGLKMDLAKSLGAGDEYIA LSRENPSAQFEQLKADNPYGFDIVVEATGSVRVLEDAINYVRRGGKLVVYGVYKENER VAWKPSKIFGDEITIVGSFSEMNKFPAAIDYLDSGKVKVKGIVNKVFKIEEWEQCLEA MRKKSAIKAAIVFD ACHE_11637S MVKPLTFKGDKPKKRKHRTDDSSTSTPKPPKIARDSEQGPEDAE EDTEDQSWVSADTPSDLAGPIVLVLPSEKPTCIASDANGKVFASELENLIEGDPATAE PHDVRQVWVATRVAGTEGFSFKGHHGRYLSCDNHGIPSATSSAISHRESFLVIPSPDL PGTFALQTHGGDRESFLSISESDSGHKGGGVDIRGDTTTLSFETTIRIRMQARFKPRI KANKESKAMEKISRKELEGIVGRRLEDSEVKRLRRARREGNFHEEVLDVRVRGKHDKF A ACHE_11638A MSADSLTTAATLFAGPGTTNTTPSTNSSLDFRLVLNGNVQTLST HNAPENDPIQGLLFVPSLNPHDPCNDIAAPFVPPNVTRKEDVSPFGNHSIALAPWISV ECSHSFLKASRHDEPDALIFFLPTQDNKEKPPSPDDPTWVLGDGDEWKNRNEYPVYAI PGPDGATLMQRLSWYSEDTTLTSPHENDSSAVAPHRHYHEDVRLFTFIDLAKNGKKMP SLWGFVLAILGTILVLSMLLLVCYQIVQKRRRRRLRRRIEAGDADLESLGLNQMKVPQ EIVDQLPKYTFPDVNAPPEALLPNNTHSHHTKFFSDCHGSITTIEEEPEDRDEIDADH EPHIQRPQPATTTTTTTANTTTTTVNQPISTTLSVDRLSYSQTTCAICLDDYEPGLSI VREMPCGHIFDAHCIDTFLTQNSSLCPLCKKSVLPSGTHPIPVTNIMVQRDYMQRRTR ACHE_11639S MAPATKGKGKGRETRPSRSRNTTPSSSFSAGPTASAPTPTYYVD SDLSKLLIPSSQYAEILERMGGVGPIPDSKSLESLVEELKNLAQMADTRGDVCNAGIR ELSQKRKDVPEEPEPMDRDSGERTRMKREVDDDEDLPIKSGKLKKRKERGGSAKEERP LNHGAHEVARQDGAETKIEGAASPASKRSKNAASEGSPLSPASRTSPEHAATTTAEAL AGAGSPGSEHSADSHQREPAPTVPQVQVFGPNPIKFDDPTIYHIREVTPEMSDDAKKE IYSVAVFPRSDLSHLMAGTPPDKDFSNAKPANQVSANTFQAYIEPFVRPLMEEDIAWL KEKGDRVTPFIMPPRGKKQYKDIWAEEDGSMNIDRANGDSDRLPLNQGRGSIDQVTDE TAETDQVSVGPMVSRLYSLLRYEHRAPADENLTNGVNGEPSTNGALNGGDSMDLDNLA PEQSENKPLPSATSFPDASPTGFKVPAAKLDHAQLDERLKAELRHVGFIGPDDNPDYD AHYDDDIAQRLRLLQGELKKQIIINNARKARILDIARERMAHQEYTTIHDDLDTQVQQ AYLKRSRTLGKSKKGSQAKNRPGAGGAHIGAARPAIGDAARTLMDRRKRWEDCIGPVF QDCKTSVPGAGETVFEPGVMAEFEKAEAEGWDEEQE ACHE_11640A MIKAIFYSKFDTQEGPKVVHQVPDGAIVPSANAPSQPLFLTFSD ISFFVIPRQELCGNLIQVCTNGHRILGYPICIKSQRYDRNEFIFNFCVVLAEEEDFST YKSVVQKLADLMRELEEQSGFLSRDHSKNGEGKVYSLCETLMEDLNNYCESMIPIDDL NTLNIKLFPVYPAPPSVKAWQVPLFTVRYQAFMDENWDLTMQRVVPYINGVNSVRIIS ILADTDFSLTCRAIKHLLYYGCLLLLDIFTFSAIYAPTAQFSSSIGSEENMQRECARY VNTLFAPQLAPSPTTPGQAPAAPWSSARSDITGRPSSSDTTASATGTVVTGGGGGGPF LDPDEVWPPMGDDAQPPSDPNDPSTTTESAIDRSQQHQVVDGVAIVELYASLKQGQSV KQWYAQHSRQLAYIDIRRFITFGIIKGFLYRVHKYAYATGFPKHSHHHNHHHHHHLPS TGTNSGFSSRGPGTGANTPSIYATSAGDSEPLIGRRSDEYQYGTSAQGNRSFTYEEGE EEEDFIDDKSLSRYLDGMHCFDQICTELEVGEKVLMARLKRYPGEVLIIHR ACHE_11641A MHKPSLGQIVYNAIFPRPRTSDPSSWSAHITRNLVPEVRIETST FYGSLDCIEAQYPGLDYSYGPHRMRLGRFPWHRRLFRTFDELRLTEAEISSLCRWEGT KSARERYEKEAGIKVQDTTANGVRPASPRPLPSIEVHYEDDTESAEDIESITESQNDI IITDTETTGVIDDRGVDCPVSHHMSEDDSSDEEMESCGVELNHRLLAATAAREQGVNV PLDEDWEQWLKEAGERGSYANMINAIRANQPLRFVTYIPHSPLPHSSRTGVAATSAPY PGSYILSDPSDRSILRRTSVHPRAR ACHE_11642S MEKYPHRMNFNKGILESRRLHYKHLYKLLRPIPLNTPTEIELTP RLSIRVTLFDANHCTGAVMFLIEDDEKAILYTGDIRAESWWVNSLARNPLLVPYALGG KRLDKVYLDTTFARAQNLQYHFPSKAEGLAELLQKVSPYPEDTVFYFRAWTFGYEEVW MALSAALNAKIHVDRYQLGLYRSVSLARGGNEVSALCGFELGNRFVPGCLTDDENSRI HSCECDLPCSIARSEKTVYIEPIVGRLQDGSQLPEVGIGGGAGDLYQVHELELPDQAS LEKLEKLCLERIHDSRVLSQTRNALFAAFRSKSKSLSLDNYGMKNDTDIPLEKLISIL SRGHTDKGSSADVKDEAGNRLPNIIQFPYSRHSSYNELCELVSAFRPKDVYPCTTDPL TWDDGTSIQSLFGHLCSGDHFSHDTHMREAIANDEELPRSRKRARYDEGSSPQASQQS SFADSDFILSNSFASTQRHVKRPKPSTFPVKHSTKDFSSSSSSRGLLPSSMETIHETH VNTNPNEPLIVPEPTLETERAKRDGIRRAWYFLRSNMLGTSHLGPLPSSWPTEEDDGL QNKDINANNSDSKNKIIIETHLPTSTTPSPSPPPPNPDTMDLDTDTTHQTESQQTNPL SISESTFASFSSQDLEQQDDPKTTKTAESSTSRRRSRKAAYLAAQADTYEAWNTISLV SAGNNHTEPEIEL ACHE_11643A MHLIPKELDKLTISHLGFLAQRRLARGIRLNHAEAVALISSNLQ ELIRDGIYSVADLMSIGKTMLGRRHVLPSVTSTLVELQVEGTFPTGTYLVTVHHPVSS DDGDLEKALYGSFLPVPPADAFPDPDPEDYLPEKMPGAVIPVKSTGVVLNEERKRIRL KVMSKGDRPVQVGSHYHFVEVNPQLHFDRVRAYGYRLDIPAGTSVRFEPGDTKTVILV EIGGHRIIRGGNFLANGTVDLSRADEIVARLQEAGFAHAPEPEADNALMATPCMMERE AYARMFGPTAGDLVRLGLTNLWIKVEKDFTAYGEECAFGGGKTLREGMGQASARSETE CLDTVVTNALIVDWSGIYKADIGIKNGLIVGLGKAGNPDIMDGVHPEMVVGASTDVIA GENKIVTAGGIDTHIHFICPQQANEALASGITTFLGGGTGPSTGSNATTCTPGSTHLR QMIEATDCLPVNIGITGKGNDSGRTSIEEQIRAGAAGLKLHEDWGSTPAAIDTCLEVC DEYDVQCMIHTDTLNESGFVEETVKAFKNRVIHTYHTEGAGGGHAPDIISVVEHDNVL PSSTNPTRPFTMNTLDEHLDMLMVCHHLSKNIPEDVAFAESRIRAETIAAEDVLHDLG AISMMSSDSQAMGRCGEVILRTWQTAHKNKAQRGRLAEDEGTEADNFRVKRYVSKYTI NPAIAQGMSHVIGSVEVGKVADLVLWSPSSFGTKPLQILKSGMIAASMMGDPNASIPT IEPIIMRPQFASFLPSTSIIFVSQASIDTKTVQSYKIRKRIEAVKNCRNIGKRDMKFN DTMPKMHVDPESYVVKADGVPCVAGPAESLPLSQDFYVY ACHE_11644A MAPKEDNKATVTVNIDEFTRTRDSVLVSLTQLNSAVADLSRAYI NHANTVLGRKPEDFDLGIINSGITNALYQNGIITRPSSPGAKSEAGGDKKKRKRHHDP NAPKRALTPYFLYMQHNRPQIQKELGGDGVKPKDVAEEGTRRWGNMSDAEKSVWKKIY LENLEKYRQQMDEYKAQKEQKAHEHDQAASSQLQQEAIAEPSQAGSDDETDQSEEEEG EEETPHQAQAESEPEEATPEPPKQPSPPRSSKQRRRSDAAKTSKAAEESPVAAKSPEK KKRTSARKEKEQEPPASTRKTTETKRPRKKRKSDAGDE ACHE_11645A MFARQAFRCSQSFKQGLRKYSTEAPKGKSLTPIYLTVGLAGAGI GFYRYSTGAATAESPKDRQKVFVGGDQGWVDLKLAEVETLSHNTKRFRFEFEDKEAVS GLNVASALLAKFKPAGAEKPVIRPYTPTNDEDKPGELEFVIKAYPNGPMSEHFHSLNV GQRMEFKGPLPKYPWEANKHNHIALIAGGTGITPMYQLARQIFKNPDDKTKVTLVFGN VSEEDILLKKELQDLENTYPQRFKAFYVLDNPPEGWTGGKGYITKDLLKTVLPEPKED NIKLFVCGPPGLYKAISGTKVSPKDQGELDGVLKELGYNKDQVFKF ACHE_11646A MRIFAPNPVVAKSRFWYFLTQLRKVKKANGEIVSLNVIAEKRPT KVKNFGIWLRYDSRSGTHNMYKEFREMSRTDAVEALYQDMAARHRARFGSISVCILSD SLIPNPLSNPLSQYRSSRSSRSTTPRTSAAPTSSSSSPRTSSSLCLTALPSLLARRSS PTRGHLPSHKCDVRGFGSRSVWMNNNDTAIACNEDIKHAENTACIYHSENGKDGFDVT LVLCSDGFSSLYHKKQNLGFLFSVHDFSRPWCIQCLNDDVAFFLFSSISRE ACHE_11647S MASIFNDFKQGHKELSGPRLAASLTPVAPPEYPDRLRSFYACSN GANLHSDLRYLLFQANGPKLPKQEQNAWIEIFSAYWKAAGEILKFDDGRGSWVGVFNA WNQVSSVLGRGYTNAGIEAWTIPCLYVVGKYVRTFAIRADAELASQGSVAFNDRFQDD ISFDSEKSAKLEEAARVINKLFTICLNDRAPSIEESRKWGVYNMISLTFKTHFKLNSI GLCKSLLRALNASSADLPPLEAFPKSHIVTFEYYVGVIHFLDEDYAEAEKHLAHAWKL CHWNAIKNRELILMYLVPCHIVTTHTLPSKELLAPFPRLEKLFRPLCDCIRNGDLGNF DAAMSAGEDEFVKRRIYLPLERGRDIALRNLFRKVFVAGGFEEPKDDQPPIRRTRVPV AEFAAAVRIGTHATDRVRVDIDEVECLLSNLIYKGLMKGYIARERGMVVLSKGGTAFP GTGV ACHE_11648A MISTKRPLPPENTSKYRPGKTCSRTRNPVREERESRRPERRHKP SSPQDRSPSDSPIVTLSVGPEKRLFACHEDVLCASPYFATFCRERFGISARAKRIELP NEQPEVLSCVLEYLYRADYTPRLVHNARREQWELEDMGTDSEGQSHGATMFHQAAGGV ILRDTAVYCAAEKYTLEPLKRLALRKQGLHTGIQCSTILTSARYAYANTPDTESKLRA HYLALIIRSRSTFKRSGTMQMQMEEGGKLFFDLFVAMCNHMDDLSAMAKRDR ACHE_11649A MDTVQKEHNRLLKKLKGSQSIQNVQSTIDLLQSARDTIASDPTQ ASITMAKLQDPVKSSFDSINDSLKESHSSLNKYSKALDKFFKDRPLPSTEHDALSSQE HLINRAIAMHLLREGQFSVAATFLSELAETKSRNSQQTTEYKPANDATSLLDIDEAPS DQVRKQFVKMYNILHELKENKNLLPAIEWTRENRDALETRGSNLEFDLCRLQFVWLFN CEQYPGAPLSAGRQAALEYAKREFHVFLPRYMREVQQLIGAMAFCPNLQSSPYRNIFN NPTAWSDVSLSFTREFCSLMGLSADSPLYIATTAGAIALPTLLKLQTIMKAKRTEWTT QHELPVEIPLPPSYLFHSIFVCPVSKEQATDQNPPMMMPCGHVIAEESLKRLCKGSRF KCPYCPNESHPREARKIFM ACHE_11650S MPPPTATQNGAPTAPPTVTPRESIKSTVSPKHIPSYSNGDSDAP LPPLHNHQRIVFTDPVALRYLEEDPSTTVLHRRMTLQGYEVYVVEQWACSRIHPTFVI TTYTGDPSHTVVVGILSVPTDESTWSPRLKLYFSAVTKCHARRIETPLGALMVTDLST FPSALTVIPVPDGDFKKHRDDFIVNEDLKRLGCAGRAGLRLQQPPPATEAKFHQLYRT SERVALYSAVMELVKQCQMALMMFDKLAPEYVDGLLCDVTETAINDWWTDIGIDLYNI EPNDGVMGPTTVAALLGTLLGARNRLHALGAPVGKDAFDIHNLKRGVGRFQKSQKMKK TRRLDRQTLDKLHRVTAKAANAEGWTDAVKSTMAELSGQGGEMVMGMVRGRDKAGIAD IETLDIDNFPHLVTGERAKWLWRGKPRKSGVNDGFSSGPPASDMMFTTDDHGRYIWTT RRRHSNEDLATDRSFPASEHSMKTPEQIGVPDEKDQNLSKMVFKGVSDKVSDARIGFG RFKDAVGLPGLRSHHHRLTREGTDFAGDAAYLPPIDSETEASEVQKTAVDGIQLEDTA RYEEEQAESSLPRKSVELKPPEITVEPVASTPRLDSPVKPPDDDGQTLMPFRTRSSDA SDDRGQQIPRQALMTLRRPQSCTDLRTEDDFRHKDNYFPRHLSFSTVEEVILGWESVG GKQSVKDKPNATFEEAISQEDTRASDARIFCSRVLDLDQNTVPWVERQVDSVDELNRI LYNRHEDINAAYLEHHGYFQQTRDKSSDVLTDEHMYLADYMKRVELQGAKLDYELNVL GSKVEEVESGVEEFERHIIEMEARVKTLIQGEEEKQSNSWFSWFGRLTGFATQ ACHE_11651A MSFLFGGAPKMSSAEKIAAAETEVEMVTDMFNRLTESCTKKCIP NDYREGELNKGESVCLDRCVGKFFDVNIKVSEKMQGDAAGRQGGMGLGM ACHE_11652S MHSIRPRWGSSSAGLSSVLHASCLRPLRTFPSTKLLNGRIRNSS SNSTTTASPERAFKERLQEIQNACPDPYPRLAPDSRSVSCSEFRSRYSHLANNQTVEE DSVVVHGRIRTYRLAGNKLIFFDVVQDGQKVQVMCNKRRLETASPEEFKRFYRLLRRG DAFSITGIPHRTGRGELTVVATELPQLLSPCLHDVPLDAKSHENSPYARHVQFLADPS TADIIRARSAIIQYLRQFFLDRSFMEVSTPIIGSVAGGAVARPFYTNATEFPERRLSL RIAPELWLKRMVVGGFDRVFEIGPSFRNEGLDKTHNPEFTTCEFYHAYANLEDLMSTT ENLLSGMANHIHKLNSNGTLKPTEVDFTTPFHRIDFITGIEEKINRKLPNLSSPDALS QTTALFHDLSLSLPENPTLPRLLDALCSTYVEPDCTNPTFIINPPECLSPLSKSFTHP TTNQRVAARGELFIEGREMVNTYEEENSPFEQRRKFEEQARYSKAAEAEGEGEIDETY LETMEWGLPATGGWGCGVDRLVMLFTGAKRIGDVLTFGNLRVVTRRPGGAVPGGASEA ACHE_11653S MMITLQNKIIYMPNIPPYSRSETIDDYAGQCRPVQWKDDSLVTG DGARISLAVGEIPKDEDTSKVENGGEDVVVLYFQGNASSLPPRLPYLSRVLRMADGDG MLSIANGLKKNITIVAVSYRGYWTSTGSPSQKGIEQDADAALSWVLERYFSRDSPRRR KLMIWGQSIGAGVATNLTANYLHDPHAFRAQSQPAPQDESVVLSGLILETPFTNMRDL LVAFYPQKWHPYRYLSPFVRSHWDSLQSTQRIAEAPADHKRPRIFMLEAGADEVVPAG NAEQLERHCRELGLDVERRVIRNALHSGVLAKRDGQVLVAQFLRDESGKIAVS ACHE_11654S MGLNEVSQAQAVTVSLQELVDGTVSFDALTEAFGPSSLGIIIVK DLDTKFQQLRSEVLSNASSLAALPEDELESLTSPAAKYLVGWSRGKETLRSGHFDTLK GSYYINCAFYQNPTLQSAPADGFPDASEYTTDNIWPAAERLPRFRESVEELCRTIIDT AALVARACDRYAMAEIEGYQGGYLERVVRTSLTTKARLLHYYPSNDTNSGDETKDSEA NDDDWCATHLDHGCLTGLTSAMFLDETLPPPLTELITSPDPRAGLYIRSRTDQIVKVN IPKDCLAFQTGEALQSITRGKFRAVPHFVKGARGEEGRIARNTLAVFTQPNLGEVVEV DSGKVFGEFAREVVERTY ACHE_11655A MSAPESMSEEDENTIVIPIDRSFSDGDPALWPNDPKYKLCNDST YREKLAVLWLKKMGAYEEGMNYSLDKLPEGYALLERPRIVNPDIYDRFLYGHPVGQYF QSTIQFFPHFYYLMTGGATLCKCALCERLQKQKARREAGMPLRGGRLPGSNSSRGSGT SPRGSSLASRGSGVASRGSGVASRGSGVASRGSGVASRGSGIASRGSGIASRGKLLAR AGRIVRQPIRPVVDGEGQIDVYKLAIMELKENGRLDKKIKEKTSMDWRAERERLREYL EKLDLQPSYIPRAGEIVLWTCELDGELLWNSETYRVEIYSAEENRWFGVPEWRAGTIG QVPEDDIMLQDLVKTTPKGKAVNYSGFRIETFPDPNSSDKSYSLQSKYVPLRCIKPFN SFELFVQGMPRKELHPSIENALTVMSSFSLLDKYHVKGTWPNASIYCRGIFIGAELLI IGDAVRLKPKGYNPNSLQRASVTDVMVIDEIQMELINCEEDVKSSQLAEDYRVRISGK IYTTSSVRAQKEADVAKPIHGLSEQEISNTFQYTDMGGYGNWYHLYTGKTAKVSHDMI LGRCYEPDAMRLLFGSLSMNHDLHGVIMAREYSRQADDRIPEGKQWFWGDFRTQTLAI DSLNGEDVGYYSEARDIKMWRANLKIIDGTACPADYREAKLPGEVGRPSAKSRSSFGE VRKTSSLVSTGLGATTDISNTVSSADEGVAREESSEEDFTVPIQYLHGGTEETEETEE GDYVLEKERKAKRSKR ACHE_11656A MAAQSTLRPKQDRLATLFTLYVDRFRARLRRSTKTTKFIATALL LLSIVGSGYGGYNWFWARAKDRAQGRRLLRRNSGIRGKDGSRTIYVPYRNSMTSKVKI YPTKPTTFDAHRRLFLNPPASARVSDGEAASQIPPPTTKPGLNLAFLHQFLSLGSIMV PRWNSKETGLLMSHGVFLLLRTYLSLLIARLDGEIVRDLVTGKGRAFIWGIFKWCGIG TFASYTNAMIKFLQAKVAIAFRTRLTRYIHDLYLTENSNYYKLMNLDGGIGQGADQFI TQDLTVFCSAAAALYSSIGKPLVDLCVFNYQLYRSLGPLALSGIGAAYFSTATVLRKL SPPFGKLKAVEGKKEGDFRGLHSRLLANAEEISFYGGADVERLFLTRSFKDLQRWMEG IYSLRIRYNMLEDVILKYAWSAFGYLMTSLPVFLPAWGGLGGEMEMVDVPAAIGRERG RMKEFITNKRLMLSLADAGGRMMYSIKDISELAGYTSRVYTLISALHRVHASAYYPPR GSSAELYSLADAQGTIHNGFDGVRLEQVPVVAPSLYPRGGDELIESLSFIVHSGDHLL ISGANGVGKSAIPRIIAGLWPVYRGLVSRPRGFGLDGIMFLPQRPYLSVGTLRDQVIY PHTAIDMQERGTTDAELQKILDDARLGYLPAREGGWDSRKEWKDVFSGGEKQRMAIAR LFYHEPRYAFLDEGTSAVSSDVEGLLYEQAKHHGITLITISTRASLKKYHTYHLALGL GEEEENWRFELIGTEKEKLGVEKELQELRKRLDRVDEWKQRREDIEKELNRVWVEEGE LAPPPYEEEVKEENQTGI ACHE_11657S MLGGSSERGSALKSANGEASMSVASGQQNPESSLPHIQMNNTNI SLSQPNPGESSEQVPGPVASAEASGTTANADLADGSRKHLLAPAASRSSSKVDKHSTL DKTQETVHDDSENTLRGSKRSILKGRRDRSSGSSRRSRRQNQDSASVENQKTPTGPEA PQPEKKKVSYRIFAFLSCCSSSNVDSEDTAIPAKKTIKRPSLSNRQPTPEKTEPAPAD QSTTGPTEQNQYREEKPNPTVTSNQSPTQIEEERDTALQEKDAQFNETAPASDQIQPD HGPATVQKDHDGQALTSASQDAAPMPAPAPEQVESPAQKSEEHVHSPSVTWDQSVDDS SVADPSKAMAKPLAETDPKEEVQYYSHDEEAMGIPPPPPPMAPPSQEGIQQQWLLPPA VPPLQNRKCLVLDLDETLVHSSFKVLERADFTIPVEIEGQYHNIYVIKRPGVDQFMKR VGELYEVVVFTASVSKYGDPLLDQLDIHNVVHHRLFRDSCYNHQGNYVKDLSQIGRDI RETIIIDNSPTSYIFHPQHAIPISSWFSDAHDNELLDLIPVLEDLAGTQVQDVSLVLD ISL ACHE_11658A MTSASGSSSSFKRTRGFKRPSAIDHTLMALPSVYIDPRSMFLDN RLAMQLVPQGRGCNWTATINMTPNPTKSTSHLLSQDMASRAFWQKLQAGIRASYNSYL SMYRGIKAYPYLPFLTTDTHRADS ACHE_11659S MSITFDVFRGSPEGRVIADTVTKTLEHNEVFIETMASGICGTDE HFLKSNQVLGHEGVGFVRAVGPGVTSVKIGDRVGFGFTHSICSTCDNCVTDWDQCCRN VKQYGMNDFDNGSFSYGAVWDANCVFRIPDGLDFVHAAPLMCAAATVWTTLTRYGMRA ADRVGIMGVGGLGHVAIKLAAALGYYVIVLSTSEMKRQEAMEFGASEYHVFRSGGAPP EGFRPIKHLLLCASGDVDYSSLLTLMDTPSTIYPLTATFELSKIPTLELCFKGIRIQG SLVASRNSIRTLLDFATKKNIAPTVRTFPLTIAGIEEAMNILREGKMRYRGVLVRNE ACHE_11660A MTAINSAAWLPSPKTKPLSIQTAPYTPPGPNELLIRNAAVAINP IDWHLQTKATFPLTYPAILGHDVAGTVAEVGSSVTNFKPGDRVLAHAMSMATQRPQDG AFQLYTTVLENVAARIPGSLGFEQAVVLPLGVSTAAAGLFQRDFLGLGLPSVEPVKTG KTVLVWGGASSVGVNAIQLAVAAGYEVVTTASPRNFDLVRSLGASVVFDYRIGTVVGD LVTALKGKELAGVYDTIHTGGALQNCLAVLDKLQTRVIVVTVWPVSEDLKTEVEVKFV YAVSIKDNEVGGAVYNDYLPQALEKGKFVAAPAPRMVGKGLEAIQMGIDEWGKGVSAE KIVVSL ACHE_11661S MIAQRGNTDAQVQSGHVNPRDQMRDPEDDWRGIIDQKKRRKLQN RLNQRAYRLRRKGISLPEENPTSLSQVIPFNGNKEEEEDILKCAHAPPNALAFQRWFE ATVRHSYLHGNPQVEHLISLSRLNVHRAINENIKLLGMNSDWMKSDDSVSIFNLLQPV AGNGTGEESIPPSLRPTAIQRTVPHHPWLDFFPFPRMRDLLILACATNSDFDDDELCH DLMAFWDTRNTNATLFVWGSPWDPSNWEVTEAFVRKWGWLLKGSGELFVSTGTWRRKR GEKTLDWGSYIQSADTVNPSI ACHE_11662A MRAVLWTGIPFQMNVTDVPNPTIQNQTDAIIKVTTAAICGTELH TYHGVYGSGTVPWIMGHECIGVVDEIGSAVGSVDVGDRVIVPDATYDGYEGFGARENV GFGYGVDYVLAGGGCQAEYVRTPKNTLDNDYLLVGDIFAKAWAGLDFSDFETGDSVAV FGSGPVGLLTAYSAILRGASAVYAVDHVPERLRMAESIGAIPINFRDSDPVGQILDYE SKGVTRSIDCIGYEAVNADLKHEQNIVTNSMVSVTAQGGGIGLIG ACHE_11663A MVAGLFVALLGLSTPLVSALGPGIELTYSLSRATQGISISSDGR KFLSQRYSTSDPPQAVELLNDNSTRLYPNAAWNSYNSSNLNSNPRQTFVSIDGARIGP DGRYWLVDGGSQGVNGSSKLVGVNLTTDAVDKLYYLDSIIASSSSIDDVRFNPAGDVA YLSDTAGALLVLNMTTGHGKRVLANDDSATAWYPMMYNGTLVPGYSPSGSTLQVGLDQ IGVSPDGNYLYYQPCNGGLYRVKTAYVDATLTNATLATTLGDYAEPFALTPSTGGTTI DANGNIYVSDTNLLAIWNVTLEGRATILVQDDDLLWTDFMWITADKKLWLPASQMRPG ANGLMAKGPNYVFTYPIEAGPSPIDHA ACHE_11664S MKDSNGWDGKLRVGAHAEITNPEALEDPEYSDPEAPPVEEIEAD EDLLEDEDPETDQIDLVHSRISSIPALHLERFPKVERICLRQNQIHRITFPPEIAKNL VDLDLYDNLISHIKGLDDFHNLTSLDLSYNKIKHIKNVAHLTKLTDIYFVQNKIQRIE GLDTLTKLRNLELGGNRIREIENLDKLESLEELWLGKNKIVEMKNLDHLSNLRILSIQ SNRLTSLAGLSSLKKLEELYVSHNAIIDLSGLENNTSLRVFDFSNNQVSKLEHLSSLE QLEELWASNNQLSNFDEVERELREKKNLETVYFEGNPLQTRGPAVYRNKVRLAIPHIK QIDATFVRV ACHE_11665A MAAPLSRLAAQAVHITTDPIPRSLTESKQVLAALQKFGEVVTFR NLWYDAKNSSPAHARHTVAIFETRDAASRAIAASPLTIPLPPAKANLNKTTTTNIPTS NPPFSTPEQSRTRFLNCRIQKSHHNHESAVKRNPYHSYFEVDRDTTQYRDLVNSTQIP LRDLAGGFLDKKQGKKRISVENERWGATSLWGMYEQGLKAEEKVENGSNDGSSSSGKG ERRSATKGG ACHE_11666A MASDWHPDSLAQNQPALESVGAAHADRALQNTSGNIQSYSDNLA VHHSDAAGRDEQFQHLTYKYPHPPPAPAHPVHAPANFHQQQALAARFQAKKLRRMNSV GPGAGGARRARSYLKSQKYLEYRARPRRDTGKDGEAVWSDELEDAFQQALEANPPMGR RKWSEQGRSLGRNELIAEYIYNLTGKSRTRKQVSSHLQVLDSFLKGDPDWEKLVREQP SDRTGGTPQPTGPQWRSSLRSPVPSSYRPQSHSIYHDPLRPVQPYPGELPPPAHFTLG SNIHEPANSHTVYGFNFEMWVTAPHQAHQIDKAWHEYTRLQGDRHRPGAPPMPLENLS SWRTAFPYLSSLSSADSALDCDVILLDVSLKLMDDFPPPSSRLGINLNLDFGHPIAGD ISMIGQMGNWVCSTYIYENGQTVLESHHDLPNPSSTQVQPLCESSWWAKRFTQLTQDK RMDEDSGRHHATDERTRRYFRSLTAVTEIRATTPNRRMSNQLHPQGGEEKRMAIVLWK FRQTRPGEVGTTTWKKLVPPPDRTIANSPRAAAGIDLPPLSLDGLSMHRPAATNVYQA PAPQPHDLLHGNSLPQPHWNIYQPPQEIVGNMFNTTNSFDFLNPISRPEDSLADRPAV TSVLDPFPGLQQSETSQSASLSSSDAPVMLNAPDYSLPQSHLTGYGMGHESHYIHSQH PVSNVHESSKYLNSILSQPIDDIGHHHASWGAPSTSIPGDVGTSSYTHLQFQPSDHEV PVSRESSHQVNGLEGLVPPEWLEKMVSTDPGMHGAGPDHANSSYNENIVEAV ACHE_11667A MESSRVFVSGLPPTFTNDQLKKHFATRFHVTDAHVLPKRKIGFV GFKDPEMAKQAAGYFNKTYVKMSKISVEIARPIDSKPDAKRDVKASVANASTDGTNLK RKRDEDHAKQDPRLQEYLSVMQHPSKTKTWANDDIPRPAEEHAPAPEQPEKDLSQDAA ASQRKKAKVDGSAEASHAPTEREQAQPEPMVVDQSGNEENAEKEQDASETPAEPVSDS DWLRSKTSRLLGLLDEDEQAEFDAKPEEKPASPVTARVDQSPMPGEEAIEESEPQDTE MAQTEEQAEEHDPNVEHVRNSARLFVRNLPYDTTEADLEPTFSTFGKIEEIHVAFDTR QSTSKGFAYIQYFDSGAAVEAYKNLDGTIFQGRLLHVLPAAAKKTYEIDETELSKLPV KRQKQIKRKMEASSASFSWNSLYMNADSVMSSVAERLGVSKADLLDPTSSDAAVKQAH AETHVIQETKAYFTANGVNIEAFKRRDRGNTGILVKNFSYGVKSEELRNLFEPYGQIV RLLMPPSGTIAIVEFARPDEAQKAFKGLAYRRLGESILFLEKAPKDLFDAPPSSQLPA VEPKGVSQGFSTADTFAADEVDESVPTSTLFVKNLNFATTNDKFVETFRPLDGFVSAK LKTKPDPKRPGQKLSMGFGFVDFRSKEQAQAALSAMNGYTLDQHQLVIRLSHKGVDAA EERRREDTAKKVAARRTKIIIKNLPFQASKKDVRSLFGAYGQLRSVRVPKKFDRSARG FGFADFVSAREAENAMDALKNTHLLGRRLVLEFANEEAIDPEAEIQQIEKKVGEQLDR VKLQKLTGTGRKKFTVGAQDHEET ACHE_11668S MQQEAVEVAIEAMDKYHVEKDIAQYIKKEFDSRKGATWHCVVGR NFGSFVTHETKHFIYFYLGHCAILLFKTQ ACHE_11669S MAICITFGTHEFTSMLEGYENVRAYCYNCQHWNGHCLTRWPFFT ICFIPVIPLAMHKYKEVTCYTCRFTQDLRDRPDITPETRPPANLPPGPYPPPQAYYPP PQATGAAPAPQEQPPNYGYK ACHE_11670A MDTFIVWACLSWSQVPFLNLNSCQTRPFTRASSGGVKDYEERER ITVVKLGEKNEMPEPRTLPVAEAANLLVDIAMGHREW ACHE_11671A MASNEVKFDVPLTQGFGYGIILGLGFAFALVMIFITWALKRYQH EVQTSEMFSTAGRSVKSGLVAAAVVSSWTWAATLLQSTAVTYKYGISGPFYYASGATV QIILFTTLAIELKRRAPNAHTFLEVIHARYGTPVHVVFIVFCLMTNILVTAMLLTGGS AVLTSLTGVHTVAACFLLPIGVVLYTLFGGIKATFITDYMHTVVILVVIFLFAFSAYA TNATLGSPGRVYDALVAAAKLNPVEGNAEGSYLTMRSKEGGIFWIINLIGNFGTVFLD NGYYNKAIAAHPVHAFPGYVLGGLCWFAIPWLCATTMGVSALALEGNQRLSSEDVTAG LVLPFAAVKLLGYSGAVATTLMMFMAVTSAFSAQLISVSSILTYDIYQAYIEPHAKGK KLVWISHMACIIYALAMAAFATGLYYAGIGMGYLYLLMGVIISSAVFPGAMTLLWKGQ NWIAAGVSPVLGLAISLIAWLVTTRRQYGNLNVTTTGENYPMLAGNVAALLSPVVISP ILTYTLGPQNYDYKSMQAIRQVDDTEVLAAAHADRESLPPTNVLNAADKTNPAITSQT QPQINPEEEEERKLNRAALYSRTLTIFMVLCFLILWPIPMYASSYVFSKPFFTGWVVV GIMWLFVTTGGVVVFPLWEGRDSIVRTVRLMGRDFMGMSRRKPVADISGLGEESEEVV AYGNGLMEGTGDKRKDGG ACHE_11672A MASPRPASPLTSGAESGPDTKSPGSGPGASGSASSVNRPASPTP PGGPRAALRRRAAADHKESLRNARPSSTRAAGAGGSSGTMLKLYTDESPGLRVDPVVV LVLSLGFIFSVVGLHVIAKITRKFSS ACHE_11673A MYVPNSLWTWSFAIVTLVQTIITLALECYVFANFQLQLKPEADG VTASKAIPTFLALYCFGFIYELVLVYDALRMKNTIQIIGLCVCNIGLLIYGAVQVQQI KEAVNNVLVVNQVISPLVWPETEPFLIIIPCVVAMGTALMLIVAWKLYDEFAWSIYKH ISADLRMKRRYLTYQIYIALLKFDFFFFLGFTVQFMVIVTGRQDSEFALTLAAIPVTI LILVCAAIFVRRESTVGMIITILLYFAAMAYFLFKLVRMYQPYFFKEYLPARRSLTFF AIITLVLIVMTIINACLCTANFHKGLKPHINKKKTREEEKTTELSSNVAGQMPTRMMI D ACHE_11674A MLEARLEQASLLKRVADAIKDLVQDCNFDCNDSGIALQAMDNSH VALVSMLLRAEGFSPYRCDRNIALGINLVSLTKVLRAAQNEDILTLKAEDTPDAVNLM FESPETDRISEYDIKLMDIDQEHLAIPETEYAATVEMPSAEFQRICRDLNQLSESVVI EATKEGVKFSCQGDIGTGSVTVRQHTNVEKPEQNVSIALSEPVALTFSLKYLVNFCKA TNLSNSVSLCLSQEVPLLVEYGLGSGHLRFYLAPKIGDEE ACHE_11675A MESLVYENSPLAEYLQGEGESDNNWPVDFTENEDSDIADATMDF APRGASKFPQRIRDKLPNPLDSKVAHRSEALGRFYDACASALNARIGQSDNARFLEQF GYVIVASQLLNEHSAPSYTSAADVLSHAQPAELPSLSTTFGLQGAIVTGATSFSIAWL LHWSRPRSGTGINPRKVGILMILVPVLGVLFYAFAKRQWLKYLRHQAVDAAANFISNA QGFDSAASASVVFIQEVELVSRGYRISTPMPPISRLEDQAQIRRCLRLRRTVSECLYS MLERYIQSLHILQPLTDDVNLARYYDIYDISLEELAEAESSLAERSTDDQYSLRALRT LFGRLYGIRKSILCCLLALSADGGGSDIERWSTAVEQMRSLADVTSKNIRRMTNILSE EDREVIPPSPLPTASPNRDALKAQYRRLNTLSQGIRALHAKMHVIREEPTSNLDEADT SELEATLVAQYDSIGTDIRGLLQEWEAGKSALVNSFDRQSVLDRYSRPSSTLTPLSPT PSLSGVTAVEGSPSDALKALTGESRPDISVTDAPEEEEIFEAVALPSRSNKRSSLSRE ERIARVKEDRARQAAAQDRSTANTSMLRELEMVIKQRPHTAASKRVTSI ACHE_11676S MMSGILGEVGTPCPSRSSNGHDTFDRLWRDGLDACDDTANLDFT TEIKAPVLTQAKPKRRTGAVSSFQIHDDSGERPAPSINRPRTMLGASNCKSSLLTQPA QRFRPKVSFATTPSSTNPHHLGRSDSQNKSAEADAMKDNKKLLMQINGKERGLEQDAA LKKDVRRETVYIPTEDTTVASVFMGLFSPLKSQTTNTINCQIPKDPEIDSLEARIARR RHAKKTMAGSARKTPLQPSNNIAQEGAIRVDIAGKNGGKENTPPGSVLVDGKDKSSKR DMPVFEPPKSKAVGNILKSGGNRADGLCTATRPRPRTRAALGDKSNTSPAAVNKSQGK DIVKPKPADQERTRSDALKQTTSNFLNRPKNTMPLKLAVSSIKAKKIDEQFPLLTENI SNPALYEDSWLAHQEVVITQSLNELFEQTDGHASSDDPATLRRELLGHYQNDPFTLLY RRVQASLMSGAMSIPKNVLARESRLRKDLGLKRRFLDTWTKTYDLRALRAALETVIGR RIANDDDDGHLYTTTGKGNILKKRIESFLEAFLLRNEEMVQRAETPGKEADAAGRAYR RTTLRSIMIIVLLDKARMCSGTTLPRRLFVTSSPLKSSAAVLQALARLLLPTSGDIIK SLGHLDCQVSYEQQQIEEYDYHIRNLAVDVRDGVRLTRIAELLLNCYGHFDGEPTTTV TLPDEGAFSHLNEEQWSLLPHLKLPCTSRVVKLYNVQVALNALASNNGTNVLIHDVAA EDIVDGHREKTIALLWRLVSKWGLPGLVDWKDVRQEIGRLKRKAISRFGYEQATDAEL DEPSDEYVLLLKQWVSLLAQLKGVHMDNFSTSFADGRIYEGILDEYQGYILGKDAEYS GGDTMASRLRALGCSSQFATLVTPTSTATSPIVNSDFTLSAVAFLCSRLLSASKGARA ATVVQ ACHE_11677S MAHFRGGDRPFSSIHKIVKMLLSPHSSNKPASQDTTDMAEDVDE PPDHRFLPSVPMHGHDDDALVYVKSPSVPESLLTRALKNSPELSPTEPHPSYGHRVSF RSYPQSNVSGVSTAELTSDGGMTTSPSLSNTPSPPAPSHLTGRRPSTTTNKNAAADPS ECTVEANLGRKRCISFACGRKAEGQQKPQQQQPQSSTPPKLIAQNKDSEPTPTEPVKR KTTLTFVCPSRNPEPARERSPVRKTAFRSRPRCSPAPIARRASQPGKEPSAPVAIPQT ESTQELTPTDRRGVPTRGLGKFEESEATRFHEFASSVEEDDEWVAKAGEYTNKITLSD CMKKENAIRKLGEEAEQEALEDEDEFDDDDEDEDDDDDSADEDEDASTVNDSDTDDGN ESDNEAGFADSDDSDAASDYEFWAPSTTTAATSPQTIDIPRQSLDRRVSNASYDSPFD NEKRNWPPALTGKPGRRSSKTPRMRPGTPNLPDSTDFVCGTLDEDRPLEAAYKSCMEQ RRLSKQVVIPQDIDPSFPTSDPEDQDEDEEDEVEESVVDEAFRGRSSAAQSQRTSPRL SPSKRMVSPPPKRMVSPPPPRQGRSSPKRLRSPPPPAMKLKLPSPIDGRPSEEVPVMH PQGVNISELVQRPSVTRTKSLPRTPNPFFASLEEWRPRWTGIDDSSDHEGSRTREVHT RGPIDIVEGLEKKRQKRKEKFWRQHCRRAAKEQMVRRPIPGKGAERMKELGLEVAERC RAYGVGENAQLVLSV ACHE_11678S MWIINWFYDLLASLGLLNKHAKLLFLGLDNAGKTTLLHMLKNDR VAILQPTAHPTSEELAIGNNRFTTFDLGGHQQARRLWKDYFPEVSGIVFLVDSCDHER FPESKAELDALLSMEELAKVPFLVLGNKIDHPRAVSEDELRHQLGLYQTTGKGKVPLE GIRPIEVFMCSVVMRQGYGEGIRWLSQYV ACHE_11679A MADQEVDLDSIIDRLLEVRGSRPGKQVQLLESEIRFLCTKAREI FISQPILLELEAPIKICGDIHGQYYDLLRLFEYGGFPPEANYLFLGDYVDRGKQSLET ICLLLAYKIKYPENFFVLRGNHECASINRIYGFYDECKRRYNIKLWKTFTDCFNCLPI AAIIDEKIFTMHGGLSPDLNSMEQIRRVMRPTDIPDCGLLCDLLWSDPDKDITGWSEN DRGVSFTFGPDVVSRFLQKHDMDLICRAHQVVEDGYEFFSKRQLVTLFSAPNYCGEFD NAGAMMSVDESLLCSFQILKPAEKKQKYVHGGMSFGRPITPPRKQKK ACHE_11680A MEKLNTEDGQLFIKNLASFVRTHEKALANALQLRRTQSAHVSTT SSSSGGALSSTSSTLASALSFGALKLGSQGIKPAKLTLTPHHLFYLLSRFEDLSISVG PMSVRLENIHNDVSQSYVSFLNKPQRSRGDRDSIHSVSSVRSVMSGMSALWSSFGISK DSSTKSEKAKAALEADLKYLYSAFTKIPCLRLAPDHRARLIRGYEEFPFDTAVPLHSF KNLSALEIIDIDYRSFFGWDRLSEQLRTLTIKRANLEDPADLLTGIVLDDIDKRRRRS SKAQGHSPTLSSSGNGHSQMVRPEWTGSLSVPNSPVAETALGSSTSPQAASMLRVGSE GSQGRTRTESNSPTRPASSRHSIQRHTRHSRIRRTGSGSSNSSENSGHYRSSSSSNLL LSTTLPPSKWRFLRHLGLPDNSLTTISTNSLAPVANTLHSLDLSSNLFTDVPDSLASL IALRALNLSHCMIESLHSLSRNPLPAITALNLRGNRLRSLAGIERLLSLERLDLRDNL LTDPMEIARLTCLPEIREIWISGNPFVKTYSGNRVVIFNLFRRTPGYSVDIIIDGTGP GYTERKHLVERVAEPEGAPVIRAPVADHSAIVSKSPSTAVAQQAPVPVARPGQAPEEV RGNACDVGAPRRRANRRRIVDVPKNHKEKPLKDGKSDSGTTVTPVLSTIQHVQVPNEP RLAAASDRQWKSDGGSQGGHHQSQSNHERPGDAGTTAQTPHHGADWNMEGDLYIRQLE ALRQEVWFNALGDRSRPREVSIPRTGTPLDESTRIPADTIPRACSNQIIIGGDRT ACHE_11681S MASAEKLTDARNPYDPSALPDYDNEFIDPDDLRQFEKALNAPEA SPLVAINDWRPINQRVRKLNNKNNKRRKPRRSKDETREGVLYTLLKWPFLFTVFAWIS VLSFIYVLTRWYIFIYEQWVTWRGKRQRLRHELSTKTNYADWLKAAQALDAYLGNARW KEVDEYAYYDHLTINKVVAQLKKSRKDAEWEMQNGKTSTGESAIEELCILLEACVKNN FSGVENPRLYSETYSGTKDLVQEYVDEVNACIRLVEDSQQITSDEKYQHFKHLDTNLG RTALCLSGGATFAYYHFGVVKALLENGVLPEIITGTSGGALVAGLVATRTDEELKQLL VPALAHRIRACHENFPTWFRRWWRTGARFDTLDWARQCSWFCRGSTTFREAYERTGRV LNVSCVPSDPHSPTILANYLTSPDCVIWSAVLASAAVPGILNPVVLMTKKRDGTLAPY SFGHKWKDGSLRTDIPIKALNLHFNVNFTIVSQVNPHINLFFFSSRGSVGRPVTHRKG RGWRGGFLGSAIEQYIKLDLNKWLRVLRHLELLPRPMGQDWSEIWLQKFNGTVTIWPK SIPSDFYHILSDPSVERLARLIHVGQQSAFPKIQFIKNRLKIEGEIVKGLRQHSRTGD QLMSPLLHRRQTSSEQEPADPMVNHVNRKIAERWSNYKDESRYVETSESGSTGSSRIP SPTPRRGSRQLLEEMRRQSAVFFDDAIYGEEDAVGPAE ACHE_11682S MPKPPFDSLPLQKDGPPGNAWGLFGPSDECGMLNLLTPEKTLAA PQEIQKGIRISTDWPLDAMARPCFGRAPFKQTIKNKAPREVNDDTLEFNTQSSSQWDG FRHYAYQDARVYFGGRTLGDVLGGKGIGIHAWAEKGGIIGRGVLLDYASWADANNIPI DHFTPTTITVSTLQEIAKSQGTTLQEGDILFIRSGWTRAYTHLSDSQAQALADIPVPP AIGLESSEETLRWLWETAFAAVAGDMPSMEAWPCQDKRFWLHEWLLAGWGMPIGELFD LERLSVVCKGEGRWSFFFSSVPLKVPGGVASPPNGVAIL ACHE_11683A MSSYEMHLHDTHPDYDNLQHPTQHNIINIGRFTTTHKLNEKIVR KLPTDKSNPINVQALDIESRIYHHLGEHKHIARCLRCCEEYIDLRYEPYGDLQAFLRR EGATGKVDDAFRYGVARQTIEAVAFIHQKNIIHSDLSARQFLVDEKKNIRLSDFGGSS LHGSDAMVIEGPTHYMPRGEREPNSVQSDIFALGSTLYEILVGKMPYEEKPDEDIRRL YSEKVFPLDQIGHEGWREAVRKCWMGEYKSADYVLRDMSKHHHTLTKELSKVKHALEG ACHE_11684S MPLIQRSIRHLSARILATTSHPQGHKSAAKSFCQYLQELQDEND VLTITKEVDPHLELGSITRRVYENDEKTPLFENLKGHDRAKNNSLFRILGAPVGISKV PGQKFDQIAKSIGLLSTASGQVIIQKINDVKKKAPPPYYRKKSPQDP ACHE_11685S MKDFCIRVGHVVFGSKPGWYIPKLYLVGEDIDPIDLKDVIWAEA TRRQAVTNEFLFEEYGNIPLIPYMGHGFKPETGSGHHRKVVRCCMFASEFGDGELRWE EGSFRGSFPADVQKRVEENWKDYGFAG ACHE_11686A MEHPDWEYPEHPLTDEVQYAVQDSEQPAAEDEFDTSLLDPRLYG GSLPNPLQYSDQPAVEDEYYAEEYQAGDDSEEEYELSGDEDSEDEESPDMVEEVADYD DEYGSRRRRRRGTGRFSGRYGARGGKGIKRGPRRPLEPSTEFKMLHSEATSAFIDGDY ERAAELVKRAIQINPEMFAAHSLLSEIFLAQGEKDKALTALFSGAHTRPRDPSVWAKV ARMVLERAGDDRQTALNDALYCYSRVVDIDQGNLNARFQRAALYRELGHNGRAATDYE RALKDSPHNVRALRHLAETYIDLNNVQTAFDYWSESVEYYMTVDPDEAPDFSWSDVNI YAELFTYLGRYDEGLKEIKSLCRWLLGRGDDTMWEDFHADDREWDSNDSPRRIKTDGY IPKQWPRDSYGLGLPIELRIKLGLFRLRMGYEHKDEALHHFEWLNPEDNAEGARLYDY GDLFREVADALKEVTLFEEALRFYTPLQHTGEYADVSFFMAMGDCYMRLGNLEDAENC YITVAEHDTRNVDSRAQLAKLYESIGMTEQALKYVNETVLLERQEMRSNRRRKDTRLE QLAKEFKAAEMAPEEAPVPKEYEEETPEAEGVAATLTAAPISRKQDEEEVEGDRTEHV QYLYSKMQVFHPLVKEGNLEATEDWLDIADALLREFRSNRVFYPLQRQVFMGYSREAQ KKAGKSQSRTLMDEMAEMAGRLQESLGNVPVEPLQDAIPTDYHGISFDDWLDMFLQYA LLVAEQGEPEEAYEALGAAADASVWYNSKPKTRLIHVCWFTCALRVQDEETLANEARW FIKEYQFVTDTYRLFSMLSHLSGDPHRSLFHSSPNMKFMLRQIKAIDYTLPDNNPQVR QGKAVRESVYQERASLTTRDESGEAIPAEEMDVALLVLYGHILYSGNSFFPALNYFFR AYALDDENPATLLSIALCYIHHSLKRQSENRHYLIMQGLAFMHEYRRVREREGSLQQM QEMEFNFARVWHMLGLAHLAVEGYHRVLELGEQIQYAYRKAVEAAKGGDMVMQDAAAG VGAVATNTQFVEDFSREAAVALQIIYSLSGDFESAKDVTAKWLVI ACHE_11687S MASHIIGNRNSTPDASNSTLRPPSSARNLGSHQLRASADMSGFP SPLSSRSMRPSSEVFYNQQSHNQSNNEDALDRASQQWLADIDQYETTLEEMAAATLDQ DFKDELSAIEQWFRVLSEAERTAALYALLQQTTQVQIRFFIQVLQQMAKSHPMSGLLS PANFGEKDAMSNRLNDAMSKLNVDSSRSSLGRPPPSPGAKRNSGLDSSTINAMFPDAA AAIARKKAEFTQQTGNAPPSNRNSAVFGDRTSFVAPTISAPDNNEGLGQPPSSPWTQR GGSDQPPIARPKSSSGQQPMGQFSQPSASSGLRSPLPTTQTATIPAPDIEAPLLSPYN VGNASWASMTNTPMTATFGGQQQQQPQQQADMVANATAMKLAALSTVNNRIALDDARK YRRARSNDGQGKNTNANNNNNQNMSQGLASPGLPGTNVVSGQFLNPQQLAALQAQQQA AMAGRRSRPTSPGIAMQGGALGPMGFTSPQNNGFLTAYDPNSALLGNGLGALGIGQFG LGGHEGYLSDHSEVTRGRSPRGRRGSSKPPEDPTDPNLLKDIPSWLRSLRLHKYTDNL KDLKWTELVELNDKALEERGVNALGARNKMLKVFEQVREAKADGKLENLA ACHE_11688S MPPTKQEISLLINPLVPESVQHNNRVLSNLHSLTSFLLGLSAGI LALQSSTGFAFYFLGTIIVSGFFHVLLLYRSGGKGAGLFFPGAGGGEIEDIRMDGRIG KTQGQGKVLRKGAWRDVWFGGGVLGEALSGFILGWTGLGGVLR ACHE_11689A MSKDDLKTKIGHGLAKGLGIQVAYRDPLNADQDPVTRGESTFSV GTMETYSYLEPEPTSYEWIKEHTPSLRALGLYVYSLFPFLSWITRYNWQWFIGDLVAG VTVGCVVIPQGMAYAKLAELDVQFGLYSSFMGVLIYWFFATSKDITIGPVAVLSTVVG SVVLQAKEIEPDIDGNIIASAMAIICGAIVCFLGLARLGFIVDFIPLPAISAFMTGSA LNIIAGQVQSLLGQTADFSTRGATYKVIIDTLKYLPSAKIDAAMGVTALAMLYIIRFA CNYGAKKYPHRAKLFFFAATLRTVFVILFYTMISAAVNLHRKDDPLFKVIGEVPRGFQ NAAVPVVNTRIIKAFANQLPAAVIVLLIEHIAISKSFGRVNNYTIDPSQEMVAIGVSN LLGPFLGAYPATGSFSRTAIKSKAGVRTPLAGCITAILVLIAIYALTAVFFYIPKSSL AGVIIHAVGDLITPPNTVYQFWRVSPLDVIIFFVGVFVSVFATIEDGIYCTICISAAV LLFRVAKARGQFMGRVTIHSVIGDHLVDQQGKYSPVNDRPSDEQDQLHRSIFLPISHN DGSNPEVNVEQPFPGIFIYRFSEGFNYPNANHYTDYLVRTIFSQTRRTNPFAYSKPGD RPWNDPGPRKGNAAQDESHLPLLRAVILDFSSVNNVDVTSIQNLIDVRNQLDMYAAPE RVQWHFAHINNRWTKRALASAGFGFPTPASDDGFHRWKPIFSVAEIEGSSSAAAHAEI VNNQQTQHTAPTPSKGADLEQGLKDGSHTIEHETTRDIEESSDGSSNSSNGLQKDLKS SKAYRSQGPRKVAMVQGINRPFFHIDLTSALQSALANTPESKPYNKDVVADDA ACHE_11690A MVKDTKLYDILGVPETASEAQLKSAYKKGALKYHPDKNADNPEA AEKFKELSHAYEILSDSDKRGIYDQLGEEGLEGGGAGGGMGAEDLFAQFFGGGGGPFG GMFGGGMRDTGPKKARTIHHVHKVNLEDIYRGKVSKLALQKSVICSGCEGRGGKEGAV KSCTGCNGSGMKTMMRQMGPMIQRFQTVCPDCNGEGEMIRDKDRCKKCNGKKTVVERK VLHVHVDKGIRNGHKVEFRGEGDQIPGVMAGDVVFEIETKPHPRFQRKDDDLFYQVEI DLLTALAGGSFSVEHLDDRWLNVNIAPGEVVTPGSIKVIQGQGMPSFRHHDHGNLYIQ FDVKFPEKDQLQNLHLLEQVLPPRMEQTHAPADAMVEDFEPENVDSSEYSQARAQGAA GGMDEDDDDVPPGAERVQCASQ ACHE_11691S MDQDPGFIAAVEEAKKGAAEGGVPIGAALVSKDGQILGRGHNMR AQNGSAILHAEMSALENSGRLPASAYEGATMYTTLSPCDMCTGACLLYKVKRVIIGEN KNFVGGEDYLHARGREVVVLDNKECKHLMEKFIKEKPGLWNEDISV ACHE_11692A MQKQFEPLKNDLLLRAARGEKVERPPIWVMRQAGRYLPEYHEAK GGRDFFECCRTPEVASEITIQPVRRYAGLIDAAIIFSDILVIPQAMGMQVEMVDKKGP HFPDPLQSPDDGQYGKVMKKEVNVKDELEYVYKAITLTRHKLQGQVPLIGFCGAPWTL LCYMVEGGGSKMFVQAKTWLYKYPQQSQALLQKIAEICVEYLALQVLAGAQLVQVFDS WAGELSPASFKSFALPYLRYISANLPKRLKEMGLEPVPMTVFAKGAWYALDDLCESGY NVVGLDWLHDPAEAFKIANGRVTIQGNADPGVLYGGRPAIAEAVKAMVDGFQKGKQGW IANLGHGVTPFVKPDDLKFFFEEIHRLTTS ACHE_11693A MAGNFQHPFLRIQHVDRQSTGSQNLLIASSGPRLYSYGAESGEQ LAIWPRNVDAAEENQEPPEKKRRVSNSEAPANEDSKSVGEAWKSLVSITWSSIPLLVA SSSGKHVVALTSEDKCIRVFSVGDDGDLEQLSARNMPKRPSAIVLANNDTTILCGDKF GDVYSLPLIPGEKPTAIPSRPITQTRTQPAATSLTVHSKKNLQALEQQKRQWNEGYRP EEKTGPAFEHQVLLGHVSLLTDLIYVSLPDSKRSYILTGDRDEHIRVSRGPPQAHVIE NFCLGHTSFISKLCIPQWAPEYLVSGGGDSHLLVWKWAESQILQKVLLVDNASETPEI AVRGIWAVSLGTSNVIIVSLEGSSQLLCYVLEPAGTLKAQNPIQLSGNVLDLTTANEA IFVSVDGVRKTGSTQEWRETPASPQTLLEAFRVKTGSESLEWEPIQELAEAINSQGTS DIIAAAAEGKHRKELDESTYSMANLRKRKGEDD ACHE_11694S MGGKSAIKTAYFEKLKSLLDEYKTVFIVGIDNVSSQQMHEIRLS LRGEGVVLMGKNTMVRRAIKGFVAENPEYERLLPFVKGNVGFIFTNGDLKETRTKILA NRVAAPARAGAVAPGDVWIPAGNTGMEPGKTSFFQALGVPTKIARGTIEITSDLKLVE AGTKVGPSEASLLNLLNISPFTYGMTVAQVYDNGQTFSSDILDIEEDQLLKTLSSAIQ RIATISLATNYPTLPSVMHSLVNGYKKVLSVAVETEYSWPEIEQLKDRIANPDAYAAA APAAAAPSGGDAPAAEEKKEEEEESDEDMGFGLFD ACHE_11695A MPTLYSNEASGNSYKARLLASFLDIPLDIIQVDLRHGEQRGEKF LFLNPKGEVPTLVDGDLVLTDSAAILVYLAGKYHNPDGNGWWSTDVMEQALITNWLAF AAS ACHE_11696A MSSTTATTTNHMPSDEEPSLDPLDAADYDPIDHLNAIFSHPSTL SSVSRVSQALQTYEDELDGDIGSLVEDQVTSNAESVERIQAAKADLSELFNKIDDVRD RALKTEQAITEMTADIKQLDNAKKNLTLSMTALKRLQMLTTAYDQLRALSRTRQYRDC AQLLQAVIQLMAHFKSYRSIDQIALLSRNVADIQRELLEQVCEDFELAFAKGEVGPKK TILAEGCLVIDSLGDYARARLVTWYCNFQLREYRQVFRNNEEAGSLDNISRRYSWFKR ILKIYDEDYAGIFPASWKVDEILANVFCEGTRDDFKGILSRSVRNGQTIDVNLLLSCL QETLDFEHTLERRFVSPRPSTDTFASSEAPVFGQAISEAFEPYLSVWVEAQDKQLAAL IPKYRQQPLKPPDEEFDSHIVISSSTELFTFYRHALQQCAKLSTGGSLADLGGVFAKY LDQYAQQVLLYYISERPTGHTPSKVPSLEDLILVLNTADYCYTTCTQLEEKIKGRLDK NLKEKVDLQSQADSFMGIASAAVRGLVRQVEVEMEPCWREMRNTPWNRLEAVSDESSY VGQVLSKAKTKASEILEFLHKQQYARAFTDHLVELISGLFITIVFQSKPISETGAEQV SFPTSLRLGDPNTYVYRCYSTHTPSNLAYHPSSHRQPRQASSSA ACHE_11697A MSKMKGGKGSRRSGGTDRGGIRKRGGPTRVDRDGDMDMDAAGGG ARGRGKRARGDSGRPSGPRAPAVDAIQKAISGNTTSQVNIRQGGKGSNLEQVSIRGWK QSKAASNRDGGHESLITFLEKKINAPDSKASSRARISKSRIEGDTLIVSIRPDLLDRI LHLNGFSFAGAPLTVEKFDAMTDQPMISDIAQNGSSSSAADTKAKLSAILAKRYFQDA KLLNLSALGTDPDLMAMGMFNTTSTESKFFPALMKIWEMNFTNSVTKREAVKSVSLAD NQLANISVVTTLAQTFPDLENLDLSRNDFKDAQALIAWRWKFRNLEFLDLTGNPFSAD PSFKDTMLKWYPKLRILNNTEVRTAEELAAQKKTPIPVQPPYFQDQSQIAENFVRAFF AGYDTNRSDLLNSVYDHNSTFSLNVNATAPRAQQTETAGWDPYIKKSRNLLKINHLPA RMSRAYQGVEKIRELWNSLPATRHPDIAAHPEEWLIECHPMPGLPDPAGQSATGVGGL LIQVHGKFEEMSTGKVELRSFDRTFIVGPGSGAGGIRVNSDILCLRAYGGHEAWAVET PPVPQSVPQAMAPVPQVPPVPQVPDVQAPTQLVAPPATPAGYGIPAPGKPDAQVQQEQ LVMQMSAKTNMTLQYSGMALSGNGWNVEAALKNFEELKGQGQLPPDAFLPGTV ACHE_11698S MPAKSRFTRLDAFAKTVEDARIRTTSGGIVTIASLLVILWLVWG EWVDYRRVTVLPELVVDKSRGERMEIHLNVTFPRLPCELLTLDVMDVSGEQQVGVAHG VNKVRLSPQAEGGRVLDVQALDLHSQEEIAKHLAPDYCGECGGAPAPEGVMKPGCCNT CDEVREAYAQKSWAFGKGENIEQCDREGYAERINAQRREGCRLEGVIRVNKVIGNFHI APGRSFTSGNIHAHDLENFFEKDLPENERHTMTHEIHQLRFGPQLPDELSDRWQWTDH HHTNPLDNTKQITDEPAYNFMYFVKVVSTSYLPLGWDPLLSSAIHNTYDKAPLGTHGF AYGNQGSIETHQYSVTSHKRALMGGNDAAEGHKERIHAQGGIPGVFFNYDISPMKVIN REARTKTFSGFLTGVCAIIGGTLTVAAAVDRGLYEGAMRVKKIHSS ACHE_11699A MARSRVISFMSSLGPSRSSNQANSRKRDSSASFTLESPSLSAAS TTSPDAPSPVAEGSSTGPLSRAERRASRPSSAVFSHKPPQLDVSGDTPAEIQPIFALL NSHANKIYYEGYFLKLNDLDTHGRPCPDRQWVECYAQLIGTVLSLWNAAALDAARDGQ EVPPTFINLADASIKMIETLPIKNQQSQPLKNVLSLCSAGQNRYLLHFNSFNSLVQWA SAIRLAMFEHTSLYEAYTGSIFAGKGKTLANANAILQQTRFKHEDWARVRFGAGTPWR RCWFVVSPPDEKEIQKARKTMKRRSAYDRSPPTVKGNIKFYETKKTKKQKPIATVTNA YAAYAIYPESIQLIEQSTLVKIEGQITIHASSEASTEGFVFVMPETHPAVSGFETMLR FLIPTFDTFNLYGRPNRIIAVTNHIKSIMFAFPRNRRHGYLDSLDIANLMQTPGCLNW GEAEWRQQLKEATARRMAAGGGGSRSSSISSEKPRFRATLPNRQSTMPVGGTRMFSPP SHFQPALNQSVDNIIPEGSREEPESPSNHSRAQSDTVGLYGHLERPPRLRTDMSPASS VYHLAEDGSERPESSNNTRSISDNGQQVDPQAAAVRDDLVSPSPPAPVSSPPAFTHGP NHTPSVRPRPSVDARKASHRMSKATFAQMAAASGAGLAAGRSTTKSTEDMRQQNMPVN NPYASEPRGLGVSDVNWRASSEVIAAPKFVAASSPSANRSPGSTPSSEQSSAPSSIKN RLSLDTTKAIKRKPVGQSQRAQFGVTSPVASTVGEPSYDDLRHTVDEDALNQVGLLHD PTPSPAKDSNQDEESVYDTVYDDASTVSPDYASTHGSVYSKASSVRRPRMGVKKTVGG GEPERNVVIGDAQYTVDQQPSQSNPDIPHVDFGPTMTYLPTTGRPSTADTLKQSGHER GGSDSTERGRINVPTHSVDLSHSRNSSRDERRRSVPWQPGMPNGRPTTPGSSSTLAYG HVPTSPVHPRPQKPPVSPNWMAHSTSNMHLVQNQHRPRSRGAGAMMPQNISPNLSARE QEHVARMTGSAFFNMSSSRRQQPQAGPRGLVAEIDARERQKRGLKEGMSNHMVQHAIA QRQQHMQYQPQHASYYGGDAYSSYNLPAAGHSLGALNQTYRADEPRRQTWYGNGMQQA APVYYSQGPGQYTNMH ACHE_11700S MLVFQRWAASSKLSTVLILFAYLIFGIFLLLFVFTEPSGIITME ESLPEEIFPLSGFPTPEERLMDPFDDTTSVFSDPFDLDERDREERLAAHDTQRLRELV SNFSRGFDAATFPDYVKTWIPRLGHDLFPGSYAHLMIILLEDWDQTEYFQLSMFKWLC ERHPVPRYYRIGRARGIDDRLFVTELGVLKKLLSPLWGDDPRPIHWIGSRLTNPDDSC DQVKTSMRIIKHFKEEISAEIQDANDDRTIFSPKTAPEDHKAEVSIREFRIDACKASL GWGEFLKTDLHFTSFHQYYKAHLIRTSPHRARTLGFLPPLHEPIPDYFPRRTDAQPTA WCNWVRPELRGSVLDLILKIAVWLGLARGAAAVHLFCDIVRAFSLKKPTDLTDRDRIA LLYHFTAIRIPNERITSGLTTPFSHADKSVLSRNTDYLSAIADLSYDHDRVSLVSGAA SMEWSDSATLISQKLPLIHRRLRMSQGQVKTYHDGQVLEYLGQASVEMTLSSKVMAEK RLELDYTDYSSSIFKKATIVGKNKHLSLLPLSRPIPIPRRRVVGSLRIGYV ACHE_11701A MGQKRKASAGASPHSKRIQKETVLDHNDPIYEETHPDPEYLSEN DSQSFASDIPLETPATPISVTSSRYPSELKTHLCPYEDCTKAFNRPARLQEHLRSHNN ERLFTCPQGGCDKTFLRASHLNHHIKSAHTGVRDYVCDRPGCGKSFVTGSRLRRHLAA HDGRDKYRCTEYPPCNETFRKHTTLQKHIMTAHLKQKPFPCPHVDGATGQKCPMAFET AGHLRAHESRLHTEKRFTCTECSQRMDIGQNESDSAVTFPTYALLQAHIRTAHPPRCP NCLVTCSTSRELRRHLEVAHGDVSVEERKVFPCTIPGCDRSFTKKGNLTVHIRTVHEG EKRFACGETDLSTSKKVAGWDGFGCGKRYGTKLALEEHIRTAHLGFPNAKAVRRQRLG QGQNHNPTTNTNTNTPSTLAALTGSGYAQETGRHIACFIDSCAHRFHRDYDLWVHMGG KHGCSEDEIRDLFLRRALLSTNDDGQQQHGAEVFGIYGYELDPDPYESYIPAPAPGEA MQDTQDAKDEIPPDNDMALIDPVLAYNLMN ACHE_11702S MSDYGGDHEEETYDYEPAEEVDDLEPEDFVNPEDMEGQEEGEGY ESYAPNVNGDQVVVSGDPNAGYSGKVMEQAREKKVPNEQRATTPYMTKYERARVLGTR ALQISMNAPVLVDLEGETDPLQIAIKELNQKKIPLIVRRYLPDGWYEDWTCEELL ACHE_11703S MGANVDVEQSAAVTSPDNIEKNETSQQPYEKEEHVYDTGLLPWM QVLGSWFLFFNSWGVINTWGAFQTYYEQNLLSNMSSSDIAWIGSLQSFLLMLFGVVTG PLFDAGYFRYLITFGNIMMPFALMMISISSKYWHLILAQGVVIGLSSGCLFVPSVAIL PQYFKKRRGLANGIAASGSSIGGVIYPIMFNQLQQKVGFPWATRAVGFLCLGTICISQ LTMKLRFSPKEKRKLLILSAFKEPQFVMFCIAMFLGFMGFYNFLFYVQSYAIDTGIVG SKLGFYLLAMLNAGSTFGRIFPNFVADSTGPLNVLTPAATATAILAFAWIGVHNVPGI IVLAVLYGLCSGGFVSLPAVVMATMTKDVRELGTRLGMVFAVTSIGLLIGTPIGGAIL NDSGSYLGVQLFTACCLITASGFFVTLRLMRTGITFKARA ACHE_11704A MPPSPLDIPHRHTDTNGDFEPLSPGTYENGFPHSSSPPPRTATP PTPGTPRQQGMMNVNAPHRGSVDVDLTGTSADAGGGAGLGNLADELADAWEEEEGYGY GYGYASGQETDNGPVDLQQQDVSDGEEDYDVGGGARTPSSGYSVEHNSLHPPRPKGRN AAQRHRRHESQYDGSDYGNDSDLEEAADISPSLEGQMAEIESLARRGMENNGSENDHV IKRAVEALRDLGGQSGIENNAMRLITAHTSITSHLTHQTRTLQTLTHPLLFSSFPLLS EDAIDALIPLIDTELLPNLPYPFQGQARHSSTTTSTPATSRPGTPHSHSHSHSRSHSQ PQSHLNPLTSLQTLISQTSDLTHSLQGLSDTLYESRQLTSTASRRLRSARELVAELRR EEEGREEGSRWLERGDWDRKLKEREAGRECGDVVSGFEAVCGEWRERLFGAGAGEVAA A ACHE_11705S MNHISNPRSNTHPRNNKNNQPATMTSIRRMSPTDLFSLNLTNLD PLTENYDLGFYLNYLMRWPSLFSVVQDRGEGIAGYIMGKLEEQHPSMRHSEHYTPWHG HITVLTVAPAWRRLGFARRLTERLERGSDINDAWFVDLYVRAGNKVAVDMYKGMGYSV FRRVVNYYSDDPSGMSETGEDAYDMRKPCSRDKNLEHVRENGEDFPVGPEDVL ACHE_11706A MPPPPHIKPENILKRAQELIAVGQSPAALNVLHEHVTSKRTRSS PIASLEPVMLLFVELCVDLRKGKAAKDGLYQYKNIAQNTNVGTIETVLKKFIELAEKK VTEAQAKADEIQSSLESAAPSSNVEDLEAIETPETILLATVSGEQSRDRTDRAVVTPW LKFLWETYRTVLEILKNNARLEVMYQTTALQAFQFCLKYTRKTEFRRLCELLRNHVQN AAKYSAQMHAINLSDPDTLQRHLDTRFQQLNVAVELELWQEAFRSIEDIHTLLSLSKR PAKNLMMANYYEKLARIFLVSENYLFHAAAWNRYYNLLRQSSVTLATGQGSKKDNPSV SEADMTKAASFVLLSALAIPVISTSRSRGALVDVDEARKNKNTRLTNLLGMATPPSRS VLFRDALNKGLLSRARPEIRDLYNILEVDFHPLSICKKITPILKQIGADPEMEKYVLP LQQVILTRLFQQLSQVYESVELKFVYELAQFPDPFQVTPSMIEKFIMNGCKKGDLAIR VNHISGVLTFETDVFSSAKALHHGSAAGSAENEVGSVQRLQNTPAEIARMQLTRLAKT LHVTCMYVDPAYNEARAQAKQAAQARAEAGLAKEHEETLARRAIIEKKKEAATDAVQR KQREEETRKRIRTQQIQEAEKQRLLDEQREREKKRLKDEQERIRQQELKKQIDELKTG VKGIDLSEIDLQDLDANRLRAMKLAQLEKEKNELNDKVRTIGKRIDHLERAFRREELK HIPEDYEAQKKRDLELYEAVKAETLQEAELKHKEAVALKHRLSRLVPHFSNFRKEVSE KRHEEFEKRRKGAEREFEAKKNQRIKEVRERRRRERLEREAAERQQKEEEERIAREEE ERTAKEEERRRRLAEEKAKREEERKLVFLYPRSQTEDMAKSLYRRLDETAARQRQREE EAEARRALRRMEPAAPAPRAEPERSERPRLNLAPRTGGGPSWRERQAAKEAAGGSAAP APAPAAEQPKEDVPPLRKTGGYVPPHLRGAAASAAPPSNGAQLDRERYVPRRAEAPAR PQPSDESKPSTQKWTPRWRQQQ ACHE_11707A MSNWKRLNIGVVGGGIGGMSAAIALRRAGHAVTIYEKSDYAGEV GASVSCAANGTRWLHEWGVDVAKGDPVVLKKLINRDWKTGEPVSVYDLDDYEERWGYV YNMFHRQYMHAMLKDSALGEEGEGTPAVLKVNHRCRDINLQTGEIIFENGAIAYHDLI VGADGIGSAVRGILGIRPEKKPSDQSCLHANVTTEEAVKAGLVDYSQDSALEYWGGQE GKWDKIVLSPCNGGKLLSYYCFFPREQGDYTNQSWGAESRSTDELLAPYPALDRQVFS HLAIGKEIQPWRLWVHEPYPYIQRGNVCLLGDAGHPMMPHQSQGACMAIEDAAALGIL FSGRYFSGDIRQALAVYEEVRLPRVTRVQSAAARAAYNINERIGFSFNKDIPTYRVED QKNVLTIEEMNAYDMYKDIEEKLAVKQGQPYMGSFLCGLPLGLELPSGIIIGAA ACHE_11708S MLGKIALEEAFALPRFEEKTRWWASLFSTDAEQHVREITDIDTL RIEYADKHGVGYQILSYTAPGVQDIWDPEEAHALAVEINDYIAERVSKAPDRLGAFAT LSMHDPTRAASELRRCVETYDFKGALVNDTQRAGPDGDDLIFYDNPSWDVFWQTCTDL DVPLYLHPRNPTGTIYDKLWADRKWLIGPPLSFAQGVSLHVLGMVTNGVFDRHPRLQV ILGHLGEHIPFDMWRINHWFEDRKKVLGLGETCKRTIREYFAENLWITTSGHFSTTTL NFCMAEVGADRVLFSIDYPFERFEDGCEWFDGAELNEVDRVKIGRENGKKLFKLGEYK DSSA ACHE_11709S MPAYELRSGGDVKNKKQSVADLKYRRLTELNARLKEDLDRPRVK VSEASMSLINYCNNTRDFMVPSVWGQVDKREDPYAPQQQGGCCTVM ACHE_11710S MTEPMPPIEQRTPTEQHQKLGSDNITAERVYLPRITIKYCTQCK WMLRSAYFAQELLSTFNTDLGEVALIPSTGGVFTVTMYHASDETLTTSETVLWDRKAN GGFPEVKQLKALVRNIVDPSRSLGHTDRALRAANVPTQTQIQTQTSADGKRRSVSGVS QDMESGNANANVHGKEKESCEECQ ACHE_11711A MTHPALYVDETLTFKVLHTQEPPTPEDGELLIETHFSGANPADI KHATVLGIYPTVLGYDFCGKVVQSASDNSAFQPGDIVAGYTPTGIGRKAKYGAHQRHM ICPEDLAFKVPSNLPKHHAATLSVVVMTAADALYNFFGFPLPSLDEDEGKGKVASPLL IWGASSSVGLAAVQFARASGVHPIYVTASAERHPLLLELGATQCFNYKSPTVVEDIKT ALRESQQELKHAFDTVGSNNSAKLMADCISPSNDTILISVVFQDDPRFKMPFAAPGQD VTIELPGTPGRITIPARPEDYQHARNAFLWAIENYGVKFEMPMVKVFEGSAEALKELM ALAGLGSGFGKVVLKHPLR ACHE_11712A MDRVECSAVYIDDRVNSEGWVSRRFSSASAVASDSSILSDVPQS LRSDVQLLLLVCKKIYLCRSGTSFANKLAEIGEFGENNHPVFAFFDVELGREDSIIAR RKANRASWPDLGPPSPTSLRRGFTFSSQSEESYGLQLLSGLSSDIQLQESPSLIIPIA ILRTSCAEHPDHAVELQQQNHLLPDPQRISKCLDAGAIDVLTTPLEKARVQGLVVQAY RTQKTAQRQQSRFLARRKSRKHSWVGVHDEQPYAYLREAMVSKLMKGICNPEETIEDF QDRELDIRPEREDYVKDRIGSWDFTAHEFTEDELVFGACEMLQHAFRMPELEPWRLSS TELRTFLLACRAAYNSFVLYHNFRHAIDVLQSVFCFLLHIGALPPHAPVVQSGASKSP IASLLSPFDTLTLLISAIGHDVGHPGVNNFFLVKLNAPLAQLYNDNSVLEAFHCAAFS QILRRHWPAAFKDKQLRKLLISSILATDMGVHQKFMERLGSLQEKFHENNRSIIGWKS QDIDMYKTLLCGLLIKCADISNVARPWDVAEQWTKILQEEFANQGEMEREVGMETALF GGPPELGNTYKLATGQIGFMSIFAVPLFEGVSDILPQLKFTVEQIRSNQSRWHYFADL EKRKQSLHDGRLLDDGHSQRSPRSQSPAVSFKTSRSVQAKDDVTTPQLAGEVLGAEAD ETEAAVAVVAASGTVDDKPSEEAEDYFGPSPISSVRTASPRGYNENTISPVVSPDTPG PSMDITGSPMPPPDETIQRSPEDPEFISSLANASRRGSGAQNNADYMEPLPGAVDRNR TPADPSVLAALIFANASKHDGEAQDPSRSGGSDGDGVDTPSGDQQRPGSSRHGPFSFL NRRRPHANTNSTGRTSGPSTSQRNSCTRTHSVSTYSNNMTPISPTTNATSFLTVDSMD DDDDDKKSAGSLSRSEREPGSSTRPSTSDPSAEPDSKASADPGSQLSAPDSGHKSNFM ASLIGSRPSTSPPPQSTATTRSIDDRRRSRTPPPQLSQHLSCSRSPSSPHSPSSPHSP NSPHSHANQHGGEHPPRRLPKKRSRLRLAFWRRRNHHSHQQELSGET ACHE_11713A MTGFSKGEKKVVTEELLRYVDMLHRIKSKTTGNPDPEAEGPVIP PYRVMAADDRKDTWPRKTSDKEEYVFCHNDLSQANAIVDAKTFHDQSDRGLGVRGVLA RMVRDAHLEESWAECGPGEVWGEG ACHE_11714A MSADSSPPNKLRQQQSQEGEQHNDHHGKLNKSAQPATTTTTTTT TAQAANESQPQPMTASASPSEAPASEQPSNSSATAVPYGTRSRGRNAPRPNYAEDRDI DVDLEVAHPASSKSSKRSGPVAPTSNANGSSSKQQQQHDSEKPSSRKNPAASNGGPQS AAAAASAKDSIPGTSSFSAKTEERESNAATSNPSRKRKQPANSHATNANAPNGNPAKK VFTTAPGFVQGQTVSNMVHFENHGANLVDGVLTADDGATYAVNDHVYLICEPPGEPYY LARIMEFLPSKDTPSGPIEALRVNWYYRPRDIQRRVADTRLVFASMHSDTCPLSSLRG KCQIRHLSEIENFDEYRKSRDSFWYDKMFDRYIHRYYDVIPTSKVINVPANVKKVLDD RWKFVLVEIGKRKELTAAVKTCKRCSLYAANTDSVDCAVCHNTYHMYCVRPALTKKPA RGFAWACAACSRAQERKLEARHTSMIGDTPPEGEEEIPEEEEEDPNGVANGTKGSTPA AAEDAAIHPATEEQTAQARMWPFRYLGIHCGVEDVLDYDDRIYPRASSRLGPRHQAMV NPWPGRPVEYVKPTDIKKKYLQKSSSRKDSKLGKETLAAIEAARQELANRPKWVMDEP TGYVRRGEDEPVTVNGKSTRTSELLFKMPTASQIPSSSRGEDDAPDSDLSPEEREKFI DDYMARAKEIAPTMGLEKWSTNFLDKALELLYAHSFDVDAALAKLKKSNKYKDLKEPH LRPEELKLFEQGVSKYGSELRNVTKHVKTVPHYQIVRFYYVWKKSPRGKQIWGNYEGR KGKKEAKRDLAAAKLADDVADDQDDSAFDADKAAEKKRGFTCKFCHTRNTRQWRRAPA VAPGTTVPAEASSSKKDKSSQLMVALCLRCAQLWRKYGIQWENVDEVARKISQSGNKS WRRRMDEELLVQLLMTSETPVTINSGTAAAAAALGVNVSESTPQAQEQPSKRKGHHVN DKESAATSTATSVEPAPAKKKKTAATEKPEPPPIIPDPPKARTLPCAVCNRIEPAGND HLSCRDCRLTVHRNCYGVSPTRNGVKWLCDMCSNDRSPMISTCYECVLCPVTWTEHEL MESPKVSHKKKSDRDREKERLEKEMVQEAIKLYRQRQEAVGKPVGPREPLKRTVGNNW VHVMCAIWTPEIKFGTAKELEPAEGFGFIPSDRYREVCKICKSNKGACVSCHHSGCNA RFHIGCAFQAQYRFGFDITPVKSSRRDSVNSMRLGQETGSASAAIWCPHHQVSGAVHD VGEMTEKEGVNALQRFVQTYKQADLTLTGAVRKAAHVQQSIGASQPLVLGSGRRPSVA NGPVVKEGHEAPVDEMEVDSEPPAPSRPISGDLVERHCIRCSTAYSPRWWSVDRSRRM TLMGSRPPLLNGIGMGGPPPSAISPTYHQGPYPPPPYGLPIERDEPIYECHRCHQKSL TAPTPEPRPSGYTTPTQRPVLPTPRLAEYSHPYATPHAHHPTPLPPTASAPPQIHPRP DWYPGYDHGPGYGAPPTAPPAPHHLNGYQAPPPPPSSHPSHPPPHVAAGPPPHFANGV PPPPQHYATHQSPYGPVPAPSPRQTHVPVAGPRPYASSASPPEVQATMVRHSPQHSIS ALNGPPPRIYSTDRVLSAPVLSPSMTQVSPAAPRVEDTPVTLPPTSRGRHSSINGTPA PPSGASASPSLKNLLS ACHE_11715S MSAQQPFQSHGFNTSIDLVRRQAYSVPSLAGHKRPPESPSGDPP SKTSTSGVHHGLNTFNQPNPGYHQASSVSPPVGFARPSVDQLRGRLIASEIHPMTAAA GDDHRSIEEQAPPSSSQNPLLSLKDSRYGLPSSLVANFAALGVSSIYGWQASCLLANG LLTGERHLVYTAPTGGGKSLVADVLMLKRIIDNPSKKAILVLPYVALVQEKLKWLRRI VQDVDKRVPDYHDTDTKPAYKHWQKQHKSIRITGYFGGNRITASWADTDIAVCTIEKA NSLINTAIEECSIGDLGVVVLDELHMLDDEHRGYLLELMVTKLLLLQQDIQIIGMSAT LSNTELLAEWMNAKFFISTYRPVPIDEYLIYENAIYPAATSRQLFQTISKLRSASAEA LTKTMPPHRMIERSTFRELSNPMTNSMVALAVHTAIAGYGALVFCGSRQACQLHAAII GEAMPGTTDVDPAELSKRLDLLAELRSLPSGLDPALETTLIKGVGFHHAGMTTEERES IAQAYDQGALKVLVATCSLAAGVNLPARRVIINGARMGRELVGPAMLRQMCGRAGRKG KDEAGETYLICGKADLEAVCDLLEADMPAIASCLAPEKRGLKRALLEAVATRLASGCE AIKEYVKCTLLCRTLDKKIAYSIMDSALRELVDEKLLRLTDDESYEATQLGQAVVASA FAPEDGLFVYEELKKALQAFVMDGDMHIFYMFTPLQVAASTQIDWQIFRDQLDFLDES GLRALQFVGVSPGFVNTMVQSGATLKETTPEQINVARIYRRAYTAFQLRDLSNEVPLS TIATRYRIPRGTVQTLAQQCHGFAAGIVKFCQRMDWGMLAAVLDHMRDRLEAGACADL LEMAQVTYVKGWTARLLRENGFKGLRALAEADPKDLVPVLTMVNPRKSQKSQLHPTEA ERYSAKLLAKAEIIVASANKIWEREMQIDLEE ACHE_11716S MSSMVEDSHDWAREDELDLGQDEEQDQSQHDATPGQNGNVNGLS PVNRDNGSIAHVEEDLSPGPEVNGHGQTAGVEDEALPVDLSSSPRPELLRGSIDETAS TPDDTPSLQGSLRSSPSGNLLALRASPSPSHRPFDLRFQSRLSSPSSPFGSRTSSPYL GYIHSRHSSLVSQLSPGTVDSGDENPQGPWDVVRWTRLRKISGQAFSEVGKRNFGHPT CMAVTTSIVIGTSKGVILVFDYQQSLKTIIGPNTRALECGAITALALSADHSTVAAGH ASGDIFTWEISRSARPFLQIPPIPSEQIDARTSDGHISGLSVVHIGFLGTRRTALVSA DKRGMAFSHLATRGMGAVGRTVKTTRILGRYPLTIGEAGRLRKPSAVLAFSPLPLGNV EQPTDSLGLVAMLTPYLLVIVSTTPVAQTQHKSPRPKEVTAHGAMTGALAWFPAIRLK GKDAQISNTKLVYCWSNVLTVLEVSEMETDEPPSRDQPPQLAFRARSRWVADEAIVAV QWLSRSVLAVLTITQQLLILEDGSMRVTDSIDLLNRHIYHVDLFSGQLHSLVEQFHEE DASMHGVVADAFYMSFRSYKGRLFLLGYNETLMGALSNWADRLLALMEAGDFIGAIKL ATSYFKGSAEKLTVGLPEEDALRQPIVRERLLEMISASLKYAFGRNAEANNERLEGKQ LAELAEASVAACVCMEELEFLWDEVFTWYEEHESQGIFLDALESYIVEGTVRSLPPTA VKALINHYSTNHSASRLEEIICLLDTTTMDIDQVTSLCKQYNLYDAYVYVWNRCLGEY IGPLQELLGMIPPPVESFANGESADVLKQYTNAVKMFPYLSFVLTGRIYPTGEAMDEA ESARAKDSLYNYLFSGSPSYLDLLKMLQFDTPSFMSMLNEAFEDSFLNEQEPDEAASS QGVGVSINRQYLITILLQVMSSASSSFTPSDTIYLDMFVARNLPKYPQYILLSGTTLH NVLERLCHYPNPEMGEDCELSVEYLLSFYHPPDIQSLIPLFRKARFFRVLKATYRAEK QFPQLILTYLEDPNEQEAVFTCLQDCLRPGSGLGKKQRRDVVDVVQHNAQSLAAINVT KAAQTMQELAPETHNKFLHVLEEDSYRQYQYLVVIIEPQMVRGVEARRSDAAEPWMVE RYVQLLCKYNPSHVADFVDDLQTGDVRLEELLPPMEESGVVDAAVILLARQGQVRGAM DRLLAHLETLQSGLVGILRSVRESPDSASTAEAISDLVESLNKYARVGTWLCQGQTKT ARQLGGGQSNGTRSMVGLDQPLSFDEGLWLDLIEAVVRIASNVFTLLTQEDLADTKAQ QAPTPSQYGSNAAQLISSFRTLVQQIFTALLSSTVKGGSSASPSPTSPSTPTPTTPTT PKTPTPSIPGSQRNDISFLRILRSFLTRAASWSPSLLELRAVLSSIFSAYTYEKSLLS LANGMLDRDLFVHVDEVTRLRQRGWRPRGQTCEICREKIWGPGLGMKYWKSWEERQSE QSQLKMNRMISVRNDPAIERGKGKAAVHHRHISQTIAAATAAAAAANQTSNDQKTVVN DDDETLPPPNPDTEPPGPAVIFSCRHLYHRECLVAIGGPSRSVASRADQPVHFYNPDG SGWVPSCPVCT ACHE_11717A MVSLSADTGLKASMGIFLLSCTFLTTTYDTTFVFDRPLSSIVFV CLLSGISLIILGRFFHHIPTSKSLSQKSRYSVIPLAEPGESPLEGSLSPSSPINTSLP IPKSRKYGQWIRIAAVSAICCIRVELYRRVTIRIECAPTGYAHIIPFLVSLYDYWRNQ RSRPIGQRSSDDDFDESPTEHLRKITALFKRAYLYFSRTRIRYVIPAALLMVGGMITS SFHDGIESTHICPITSGLGPHLRALRAFSAILDSVILIAAAEMFREGIRTPEGKRKQS PISWGYCLLGVALFWSLTGIGLARRNHVPILDPDTRYFRSVFGQAILVVFLLVSASQL LASYGMVGISIMAGFIAGYFTWASALFNGQEPFPLLPPSHAFMALATIFLGTMLFLCV RTASGEEQKSLWGYNIFLRILVSIMAGIGLILVFKQPALGSLHPIDLLIYDGRVHHDS WLSQARGSKNLAGAMQEYRRRYNQHPPPGFDKWYEYATKRSSVIIDDFDQIYEDLLPF RALSPERLRSLTHEIATNPFNDVGAIAIRNGSINLQVEIKPTHRWMVEGAAKVIEPFS QYLPDMDLVFNLNDEPRVAVPWGKAAQLKSQGKYQSFITESNLVKEWSADRDAGWGPL DPADQTGFSMFTDFSWQNVYDRYASVACPPSSKARTQRIWDRHGICLSCIRPHSMGQF PVSWNIASDICHQPDLIWLHGFMLSPASLKVSQDLAPVFSQSKISGFNDILFPSPWNY VDKVKYEPSKEFPDPKYMDKENTLFWIGSTSEGVSRKGEWKGMPRQRLTHLVNNNTNQ VSVLLPSQDPDTYSYQIMPGNAPVEKLGLSANVHLSDVVRCDDCGEQLDEMHTAEHIE FQSHWNYRYLVDLDGAGFSGRFLPFLRSNSVPMKTGLFRQWLDSRITSWLHYVPLDLR LHDVWSTLAYFAGVNVSVTDPVTGKEKVWMEPHDLQGKWIAEEGRKWANKALRKEDME IYFFRLLLEWGRLTDDKRDVLGFKM ACHE_11718S MPRKAIDSRIPALIRNGVQEKKRSFFVVVGDRAKDVIVHLHYIM SSVDVKQNKSVLWAYKKDLLGFTSHRKKREAKIKKEVKRGIREPNQEDPFELFITLNQ IRYVYYKETEKILGNTYGMCILQDFEAMTPNLLARTVETVEGGGIVLLLLKSMNSLKQ LYTLSMDIHSRYRTEAHDDVVARFNERFILSLGSCDSCLVVDDELNVLPISGGKSVKP LPPAESTDPSKSGTQKELKAIKESLAESQPVGSLIGLSRTVDQAKALLTFVDAIAEKT LRNTVTLTAARGRGKSAALGVAIAAAVAHGYSNIFITSPSPENLKTLFEFVFKGFDAM GYLDHVDYTILQSTNPDFNKAIVRVNIHRQHRQTIQYIQPQDAHVLGQAELLVIDEAA AIPLPLVRKLMGPYLVFMASTINGYEGTGRSLSLKLIQQLREQSRGGLKANGEEDIDV ADRSTGKAAKGAEKGLGGRSLREITLSEPIRYAPGDSVEKWLNKVLCLDATLPKSKMN TQGCPHPSKCQLLQVNRDTLFSFHPVSEKFLQQMMALYVASHYKNTPNDLQLMSDAPA HQLYVLVPPIDEEATKLPEPLCVIQVALEGRISRQSVLNSLSRGQRAGGDLIPWLVSQ QYQDEDFAGLSGARVVRIATNPEYLNMGYGSRALELLVDFYEGKFTSLDEDVTDQPEE MVRVTDTELENSNLLDDNVHVRDIQTMPPLFGKLTERRPDTLDYIGVSYGLTPSLHKF WKRSSFVPVYLRQTANELTGEHSCVMLRGLSTGSSDISWLGAFARDYHKRFLALLSYQ FREFPSVLSLSICESANAGEKLDPSIAPRPLTKAELDAAFSPFDLKRLDSYANNLLDY HVILDMVPTIAEYYFAGRLGGKVSLSGVQQSVLLAIGLQRKKLEDLEKELNLPSSQLL AMFLKIMRKMSTYFRALVEGAVADTLPKEAIPISAPAPDAHDEVVDERFKPLETGLED ELREGGEKIDDELREKQRALIDALPLDKYEIDNGSASWEDAEKQVRAGGASTVSVKSA KQSKRKKGESAREIYDAEIESKRQKIIKKGTEGKKKRF ACHE_11719A MKQPILLVASLLAALPVSADGIYTKKSPVLQVNHKTYNQLIADS NHTSIVEFYAPWCGHCQNLKPAYEKAAKNLDGLAKVAAINCDDDDNKPLCGRMGVQGF PTLKIMTPSRKSGNPTVEDYQGARSAKAIVDAVVDRIPNHVKRLTDKDLDAWVEKDEE PKAILFTEKGTTSALIRAIAIDFLGSIKVGQVRSKETGAVEKFGIENFPTLVLLPGGN KEPIVYDGELKKKPIVEFLGQVAAPNPDPAPAKAKAKSSSKSKSSKSAETQSSTVYDD EAENLKPTESPDHKVIPDDAKESKPASVPIKTPEIKTLPTIEILESLCLGPKSGTCVL ALLPEPKDPDSDLPNPAQTAVLSLSDIAHKHAQRQSNLFPFYSVPAINTGGKTLRAEL RLPGATETVEIIAVNGRRGWWRRYDNSESQDFSVVSVEKWIDSIRLGDGKKEKLPEGV VAGKEDEHDEL ACHE_11720S MELLWSVLGLFAAFFVSQIIYDVFFSPLRSIPGPSLARFTRLWE LHVLQHGHSHMEFVRQHEKHGTVVRVAPNRYSFSRPEDVKLIYSPGSKFPKSEYYEAS GNPHQTNIFSVRDMADHADRRRKVASLYTMSTMVAYESAVDRMTAVCLRKLEQIGREE RSVSVPQFMQYYAFDVIGEITVDENFGMMEREGDNTGFIDTIRDSLVYHAKYGLIPDV HRALVKITDIIGKQGSGRFLQDLLVRKIEEHSSDGFKDKRDPRSEPFIAKVLEQEAAQ KLTRINVLDSCGANIAAGSDTTGISLSAALYYIYRNPTKLAKLRQEIDQGQATGQVSD PVTFKESQNMPYLQSVIKEALRMHPAVGTILARTVPEGGAHFAGRYFPAGTQVGANAW VLHYDPKIYGPDPYEFRPERWLEHDNGSKLREAMFFPFGAGSRTCIGKNISILEMSKV IPQIVRAFDFEFKRPEQEWDLFCSWFVWPEYECLVHPRQAAGEN ACHE_11721S MDFTPMDYTISTLPREIFWMLLDYLEPRDVIRCRSVSRFWNKAF RDPANLVPLMRKWFPLAKEVRELVHNQTLDTECTDVDDDGDYWRPTFDRIACRYDHLA RGNPRSTQKFKLSDHFGASGERKWFPVQPWENHASHLMQRVDDLFYESSWTYEDGLLV LLSADDRCLVLVDLETDRRFMVPLIIRGKVIRRVRLQKRVLVVEWAEPKAFHWLNDSD GVHRHFASSFDVEGDSTSGWRVIPRNEWKIMFLGHPLSERDRFFSSHSKTHYVIYIWQ PNRSLYTADEDAPIESLMVWDISKPSSYRPSLDPTGCLRVPGQDTGPEQVTRLGFREL GFLSVRQRGLPAIQRLEVTGDAQAIEITENICTGPMDRLVGPAEWTSEVQITSIPLIG EGPCLRRTLTDVKFPPYRGSNGLQTKPLAFAACDEPWYTIVSETIDEQAQCENSVFGC YFEAW ACHE_11722A MMPTTEIINHYEVLEVPFHATLKDINHSYKRLALKHHPDKAVDG DVDKFQQIQAAAETLRDPYRRKKHDQDLRSQRIRQAYAAGPNAAGRGGRGFYTKWMPR TFGTQSKAEFHYMYSYANSVHMNPYSRESREEMERIEREKRIDEMLRARGHVSAEDVL RQFEQKGREAEEQRPEKKRRHQEAYRAGVERDPDESEDDEGPIKGEGKEKCAEETKEE DHGEEQKVELKGEFGEQYEEEHGIEHGEELGQDKKVQQAESCPASPVDEAEPKGHGNE EEDNRSSNFSGEVSSEYGTAQESEYLHSDEDDDSSSHHKSTIDEDDITMFFDCAEDKS SRINTAETTDTNSSEEESETSDEEVEHEDNRVNDYNCKFANTSSLLGPFIPHFMAKLN DPSGKYTEQDLQIELRGIVMEAYCGWLKDQCATFSAAKPQPEPSPFTGACLHLGYWKK EFERPECEVCHRWKPIFTLICPGCGIKACVGCKFQG ACHE_11723A MFSRAAVRPAVKAGSAVLTRTAPANAANFATLREIEGRLKSIKN IEKITNTMKIVASTRLTRAQKAMDESRVYGQTSNTVFENAETKPLEDKKTLIVVASSD KGLCGGIHSGLAKATRRILGENPNAEIVVLGEKAKAQLARTNPEHIVLSFANVCKDIP TFADAQAIADQIALLPGEYASVKVLYNHFVNAQSYEPQTIEAYSEEAITQSPNISAFE VNDEVLANLREYSLANNLFWAMAEGHACEISARRNAMENASKNAGEMINKFQILYNRQ RQAAITGELVEIITGATASADM ACHE_11724S MSASEDDTPLVKTNGKPGPTPSGDADKGPNDVKMEDATEANGHV DPGISIRFGPVKSEDTEMDDAGSDALAGKRKSRTSVDQKSYAEPESSEEEDQPLSKRR RTSVKHEDPETDDDVPLAANGRKLPKASETAIGEESDSDVPINKKLTSEKKKIQQKGE KAAEASRKPGTAKTTAKSAVSATNGRKKQANGIKKESDDEKAPAKKPAAKKVKAEPKS PAKRGKATPVKEETAEAEDGEEEEYRWWEDPTKGDGTVKWTTLEHNGVVFPPDYQPLP QNVKMKYDGVPITLAPEAEEVAGFFGTMLNSTQHVENPTFQKNFFMDFKDILKKTGGA KDSKGNKVDIKDFSKCDFQPIFAYYEGQRNEKRNLPPAEKKRLKAEKDAEEAPYQYCV WDGRKQKVGNFRVEPPSLFRGRGEHPKTGRVKTRVQPEQITINIGKHAPVPPPPEGHR WKEVKHDQEGTWLAMWQENINGNYKYVMLAANSDVKGQSDFRKFEKARELKHYIDKIR KDYQKNLKNELMVERQKATAVYLIDQFALRAGNEKGEDEAETVGCCSLKYENVTLKPP NKVIFDFLGKDSIRFYDEVEVDNQVFKNLKIFKKAPKKNGDEIFDRLTTSALNKHLSN YMPGLTAKVFRTYNASHTMATLLKDMKAEGTVAEKVKAYNDANRKVAILCNHKRTVAA SHAGQMEKMSERIKGMRYQKWRLKQMMLDLDPTLKKKKGAAFFEIDEDIDQDWIKEHQ AFLVEEQRQKIQKKFEKDNEKLAADGEKEMKASELEERLKAAKEMEKKFAKENKTGKV EAEGKSPSVDKLETSITKIDQRVETMSVQAQDKEDNKEVALGTSKINYIDPRLTAVFT KKFNVPIEKFFSKSLREKFEWAIKSVEGDWEF ACHE_11725A MAEGKKPAVLIVGGLGFIGRHFALYLHQNNLASEVRLVDKVLPQ LAWLAPEFQEACSKDKFVQADASREQHFPRIFDRANGEQFDYVINCGGETRHSQPDDV YEVRSYALAVALGKEVARRGIRSFVECSTAHVYKGGATPRKEDDKLQPWHRLAKWKMK ASEELKKIPGLNYCLVRLPHVYGEYDHGYFAMGVCLARVYLDLQRDLELLHTKDLKVN TLYVQDAASALWKAAEWRASTTDSPQMAFNVVDHGDTRQGHLAEALDAVFGLKCSFLG SVASQFAKLNLDEVVDDMNEVSLQVWAELLEKKGIERPGPISPFLERDVLKDQDMSID GTLFEITTGWKPSRERFDADGVRAMVESYKRMGWWP ACHE_11726A MLEQENELRSCAREFVKRYERLYWGSEENRRKYLMPGSFVDSPE AMEITRVITILLERKARNILKGGEKSKKESTRDSIFLSSPLPKSEPQSPTFTLYGGSS PQAPGIPITRETSDRQVKRKAYGIFETPPQVPVSNGVQISSSNKSLISFCGIALLDKD GPLPKKRPSEPRSVSPTPAPAVHVIDVDVNDMHDEENAPNIPDRSTVREESQTISVDS SGDKEDSNINTNTNGNDQRAFHKPYAYKSSFPVKTTFLVSSSTQPSMGSVWVPFRDFN SASLLLSYMAAECHPEDWQSLDLGQSPSRQPNNEISRNGASSSSSQNIIAATVKLE ACHE_11727A MNNIPASLRSADIGRFAARAAQVERVKPAIAYWCNFWIVNQIIE KGLHTADDETKLYTTNLVDKLEQVKSENADNDTITDNVAAHAYVEQFALEVFNRADTT MGANKVTKQTADTFQAAATFLELCQIWNAPDAETAAKIKFAKYHAVRIVKAIKAGEDP NATNPVRQQEWEDVEEEGPAEQDVQAFDKSVAQRAAQPSVEDAPDESTSASPNLPSAP QNLPTSSSAEEPGLNLPSAPETFGSTPNLPDTPSNLGVSQLAPPPISPSNPPDVPYDP SSFYNKPPANVVTPPPAQPSPGIPAVSRTVPQPAPAPAAAPAPVPAPVPIPAATPASG PSLNLQDADDQTIALAQKHARWAVSALTFDDVNTAIKELRNSLKCLGAE ACHE_11728A MSRPNAPSQKSLVAQALKAERDVCNASSQKQALEAAIEAAEQYM KALKLAVSPKDKQALDTKCKEWLTRAERIKGVRDWQSATPADQNGTPRLQPPVSTRKL STREEIILLEGAKLNGFIFPPWASAPKPEEFQQDGGKLFLDKPDLHLSSSQRDIFAGW KRPQELLAMNFSNKEHPLTPTMFVSTKTDLVQDVLTDCSVVASLCATTSRLERGLDKR FSPVSYPFDEHGNSQETLSGKYIFCFYFNGCFRKVVIDDRLPASKTSRSLHVVDRNNS NFLWPALVEKAYLKLRGGYDFPGSNSGTDLWVLTGWIPEQVFLHQEDVTGEQLWKRLL KAFHYGDVLLTIGTGELTEREQTELGLVSGHDYAILDMRESRGRRQMLVKNPWAGPNT ARALTRTRKSSDPRHDPLSPGTFWMDLDRVLQHFENLYLNWNPGLFNHREDFHFTWDL ANGKGIPGCFVKNPQFAVSSELGGTVWLLLGKHFKTSTGSETHGELGFISIYVFNANG QRVSLSDGALHRGPYVDSPNTLMRLEMPPYTTYTAVVAEQGLPALSQNFTLSTFSTAP ANIAQSKERHTCMRKVHGAWTRSTAGGNAESARYPLNPQYALQVPEMTEVSILLESSE PELATHVKLFWSNGKRVSNAKSRDIIADSGDYRRGCALAETRALGKGQYTIVCSTFAP NQLGRFMLWISSTIPCEVRPLAAEGAGQRAVLSDVGILPPGKDRMLVSLQTPRMTRVK LIARSRQSLIGDRAVGPSPMLMTVELGQGPYKETLAVSEDGSYSDSLAGIRIEDLDLQ PGLEQKGGVWIVIERIGGPGGQVEDHLEVEALAEERVEIGEWIVEDA ACHE_11729S MTGQSKPMRLSPWQSAVAGATGAVLANAVVYPLDLVKTKLQVQV KNESSDDTVQYKSTMDAINKIVDSEGVSGLYSGIAGSLLGVASTNFAYFYWYTIVRTI YMASDKVPKPPGTAAELSLGAVAGAIAQIFTIPVSVITTRQQTQPKEDKRGFWETGKE VVDSEDGVSGLWRGLKASLILVVNPAITYGAYQRLKDILFAGKNNLKPWEAFLLGALS KSLATIATQPLIVAKVGLQSRPPPGREGKPFKSFGEVMRYILDKEGPIGLFKGIGPQI TKGLLVQGLLMMTKERMELFFIMFFAYLRNLKEKKLQKTIDSAASTAKTSLPATLK ACHE_11730S MRWPWSSDKSHPNPDNDQQQQEHYFPTLWSSSSSPSPSKPRDWN TSLNATDWAAFTETRTLVPTLILTGSILALVRIHRRYFRRFSDATKISPWFFRRRSIY GQVTSVGDGDNFRLYHTPGGKLTGWGWLPWKKVPTLKRELRDKTVHVRLAGIDAPELS HFGRPEQPFARDAHTWLTSYLLHRNVHAYVHRQDQYQRVVASVYVRRALDFPIPFRRR DVSYEMLKRGLATVYEAKFGAEFGGEAMENKYRKAEWWAKWKKKGLWVDFSADGKDWE SPRDYKTRMGGAKVTHEKEKENGKGKANKGK ACHE_11731A MTSATKQLTKAARIILVGAPGVGKGTQTERLLSRFPQLASISSG DILRDNVRRKTPLGLKAEAVMQSGNLVPDSMMLDLISSEFKSKGWLPNNTLSSQPTSI SPNASFILDGFPRTVAQAGSLDKIVPVNFVVHLLTPPSVILSRIASRWVHEPSGRVYN TGFNAPKVPGKDDVTGEPLTQRQDDSIDTWKQRLQKFEETSKDLLEHYDRQGCLWRVE GNSSDEISPKLFAEVERKFC ACHE_11732S MIRQDFHRIDPKRRTTLDHKKKQFATPIFKQQDYPFRLNFYGVP PTAEITLEEFEQWAIDRLKILAEIEACSYRNKTPAETEEHIKPLLQKFLPLSSNTSSS IGPADSRLKKERQKDHYSHFILRLAFSGTEDLRRRFARAETMLFRFRFQHDDSRERRA FIESLSLDWEPVSDDERRALSEKLVQATPGLRRADEETWYKVDWERVPELVEKRAVFL SKGKAYVPGREQLSMVIAEFTARLERALELTSRALPRLDEDDRLTPILNHLSKNFGSA ESVYSEGEGFVDGAPITASSIDHLSQHFPLCMRSLHMSLRKNNHLKHFGRLQYTLFLK GIGLSLEECIIFWRQSFKGFTDDEFNSRYKYNVRHAYGDVGGDVNRRGRGYPPYSCQK ILSDTNPGAGQTHGCPYRHFSVDNLINLLQATGVNDKEVLRGVREDVGKTRYHIACNR VFEWTHKSEIKKVKDDGTWSQTDLDTIVHPNTYFKRSYLLKQLGRNAPPQSA ACHE_11733S MDYEALKDQWSDIEERDGIRLSWNTFPSSRMEASRLVVPIGAVY TPLKEKPDTPLLQYEPVTCKSPCRAVLNPYANVDVRARIWICPFCLSRNPLPPHYKDI TETAIPPELHPSSTTIEYQLARPAPAPPIFVYVVDTCQEDDSLKALKDSLIMSLSLLP ANALVGLITYGTMAQIHELGYTECAKSYVFRGSKDYAAKQVQEMLGLAAPGVRPNMPA QPARPPLGAAARFLLPIQQAEFQITNILEQLQRDPFPVPNDKRPLRCTGVALSVAVSL LETSFQNTGGRVMLFTSGAATEGPGNVVGPELKEPIRSHHDIDRDNIKYYKKAVKFYD SLAKRAANNGHVVDIFAGCLDQVGLLEMKNLSNYTGGHMLLTDSFTSSQFKQSFVRVF DKDANENLLMGFNAALEVVTTKELKVTGLIGHAVSLNKKSSSVGETECGIGNTCAWKM CGIDPASSYGIYFEIANQGGPAAVQQGPQRGMMQFLTYYQNAAGNYHLRVTTVARTLS GPAGDPTLAQSFDQEAAAVLMARIAVFKAEVDDGPDVLRWVDRMLIRLCSRFADYRKD DPTSFRLEKNFTLYPQFMFHLRRSQFLQVFNNSPDETAFYRHVINHEDAGDSLIMIQP TLDSYSLEHEGSQPVLLDSASIQPAHILLLDTFFHILIFHGETIAEWRKAGYQEQEGY ENLKVLLDQPKEDARELIADRFPLPRFIVCDAGGSQARFLLSKLNPSTTHTTGGYGGG MTSQTIFTDDVSLQTFMDHLMKLAVSGTS ACHE_11734S MPPKFDPNEVKIIHLRVTGGEVGAQSALAPKIGPLGLSPKKIGE DIAKNTGDWKGLRVTVRLTIQNRQAAISVVPSASSLVIKALKEPPRDRKKEKNIKHTK SIPLDDIIEIARTMRHRSLAKELKGTVLEILGTAFSVGCRVDGRSPKDVSDDVKAGEI DIPSE ACHE_11735A MSGLEAAGVIANILQIAEIGFKLSVSLYNLYHKLQSANQNLRSL SSDISLTCNVLQQLGSALKQDEQKRLCSPQALSTAKDVLEECKQAFQRIDDAVRESSA LLGMNRLERAFRRFAFVLKESELDALHGNLESLKSTMLLMLNVLIYAGQIRSRSASSV LLVQQDLIKELIQERRIYQMQESELASAQNATVHGDHGQPYNQVSNPDLNPIVEMEKY YLLIRRIFSDIDACKTDLERERYHRIRNGVELLHLGENNKFDAGLVKQLESRFPDLIP SSADSLQRETGNPRKRGAGHSTAMPMVAKENGPPTDSSKRKKIRKRVKQDPLVAELIM EWTTLDEQELE ACHE_11736A MKLIVSFLFGSGFWVQILKAQVFENGRFSVEYSGISETCKHALN TTVKCPSFLGDVSEDNPRLTSEQLTELCTSGCQTSLTDVRKTIASGCDNTDVITFEGA DWPATLIIDRFLYTYRLSCRKDSSTGRFCDEIFTSWLNQSYLTAPQNCSDCMLGMMQN QLNSPFGYDDEFASEFNSTTKSCTATGYAFTSPAPYALNSSSTAPSDPNPTPLCANPY RVQKNDTCESIALAHNVSTNALISAGGLSPGCENLQVVGSICLPAKCDLYRVEYDESC EDIIQKHPGLSAMNLLNWNPNIYMVCGNIQALVDTLICVGPPGGRLPQNTTTTSTQQP TTRDPTSIPKPTNAPPETNPRCAKWYVIKEGDYCDKISIRNGISLKDFFFLNPEVNST CGNLMLDIAYCVQAVGDISTYKGYPTTKPVYTLPPISYSTTTDVLPSAPVPLITPVTS LPHASGTKADCKEYVEYYPVPSLEERAAAQKAFGVTESINSCDFVLASYEVDIKDFLA WNPSLRDVEPCMLQKGFSYCAANVTVGNTTSSSGSGSSALPTLMRLVYH ACHE_11737S MTPLKKLTKTAIVLFPLTLLFFLIHNTKQPSPNILIARDTFIPD DIPSYTHPNLTRRQDYTCGPGRPCSNGACCGASGNCGYGKTYCGDGCVSNCNAVAECG KDARPAGKKCPLNTCCSQYGFCGTTEEFCTKKHKCQSNCVLDPKPPGGSAKGQTQSKV IGYYESWTHNKKCHQIAPSDLPVTEMTHLNYAFAYIDPKSYELVTMKDEIPESLFQKT VDTKQYNKNLKVWVSVGGWDFSDNDTITQPIFSDISSTEAKRKRFADKARCKLTNRLD IDWEYPGAPDRGGKPEDTKNFVALMKTLRSTFDASPRELGISFTIPSSYWYLRWFDMP GMMKYADWTNLMSYDLHGVWDANNPIGSIVQGHTNLTDIKKAASLLWRVGIKPSQIAL GFGFYGRSFELSDPTCSKPGCPFSGGAKPGPCSATSGVLMHYEIQAMINQLSRPTIDS DGAQVSALKPTHDEDAAVNYVVFDNNQWVSYDDAKTFKQKLDWANGIGMGGSLIWASD TDNSQYSAMSEFTGKRVFHPDLASKALQQSELTIVRNHVGENGQDCEMMKDCVDPDIV RCPNGHKKMGWDKAGCKSGGKLICCPTRSAPHSCTWRGSGGDCNGQCHAGESTLFKSS CGTDCKTGEKELARTRDGCNLIHPHSRYCCPSDTLLHDCTWRGSLPDCPDAKCKDDEV AILNNGYADGWMRCSWGRKKTNCCKVSKPPPPQISCKITSCNIIDNLCDDSLDTVGTL STRDKNGQELHTFEKRGNPRDFHWKLTAGLVMTEWSRSRTCGTPRLHQVNIDINDPPP AMSNTEHPVPLLIVSRFAATANHGRLPLARPIRTVDDTVPQGRRTRTRAIPDPFWQNV WGNAIGLPAGLPPVASNSPDLRQPGQRIFERIGSNTNPSHFVLLRDSVNAIKGRLEGF ASPIDVSVWERYVRQAAGRHGSELDVMTFMAPLRDTVAVFQYLRDPMVMERMDAVASG ILDDLRLIELHTQGGDGLSAHWNEFYPYYFEQVSQFARNWLQRRIDYARRQYRASDNY LRESVERDLLELENQIPDMKYPWED ACHE_11738A MLTVMIASIRACPLGQFLFPKTRFRPFSLSPLPGRTFSSSTSPR TASLESSERSILDYMNQNNYDKWGWVIYRCTYNDDEAWARFKDTVGHQTRQSIAKSKA PELGNKLELTFIENRGTLDNAPKDQLRAHFNTWAAKAVHTENPRRPRTLYDIYGIPRY NYFVHVDEGALQSVVYPGLHSIGYVNLVKADWVPMSEQPAGGKLCDEDDYFEEEQYEP IDGCCEEDVGWMRLAVENLGAGFYDSMFCLDDMWHVFYKRPPEVVLY ACHE_11739S MLPSVAPFPSLQPHHHMSHYHAPGNLNSSYGFNSFPSSLPRNDP SKNSLQSVPRTRLQYPHPIQRLDLRDNTSSSSPSVGEHALRRKTPNGTLSAGYDGTPG DTTIQPPASKHILVSSLEAGQVLSPQTGFPMDNWQQPVLDQSSRPKPSNFPPVYNKND AIRGVAVAGDMTQDANGTGWVRSLNYDPGMDSILNQTLPMQQQRFYLHDGAYVPTVLP ATLQPCVGPTASAGSGPYGPYWPDGVYIPYRPAALRDSRFNSPASFAKPNMQFYDPSL NPQNSIPPGNHPDAAVAWNPAPLGMLNLDSTVKSNFPPRYSDQKPLDSALHQQILPSH SRQNTAPYPSHPSSNEPFAPWANLQQPVPGHNPRTTNAEFKEKVLSWAHSVYVDLLAS IHQARRNSISNNTGDVQNQRLLKPSIYPKPPRQPGLDFSQASRPHFQQPYGASDMQMI DRLRHTGRFTASVSRLPSGPFNESPSANAVSALEMLSHLCIESGWEWIDGMLLGGCLA YGLGDYHKAMRWYSRIMARDTTHVEAISNLAATLLALDRREEALHHWLRAVKLRPSFF EAVEHLVGLLCNTHRGKEAVNIIDYVQTSLRHPKDGDCFTTDEHASEPESDAESASDM CMYEKASFDYDNDLGHPTGHPASFDQCAPDNRPLGFASSGYAIPGADNGRILALVHAK GNMLYALGDNARAAAAFEDAILIAAGRRLHGIRGLIKQIFAAFSYGSYPSGQHDNGET ILLYPDKALQTSKLVFSPCGTPPGIKFVAEGLARKAAISTTSNSLLSLAKIYQDGMSS ISSSGAPRSAPGVRDILALYYLSLSLQQSPSTANNVGILLAGIQNNPGKSPARSSGET QHPDIPGVIPGSGISLALAYYNYGLRLDSRHAHLYTNLGSLLKDIGQLHAAIRMYEQA VACDNNFDIALANLANAVKDAGRVNDAIVYYKRAVKVNPEFAEAVCGLANALNSVCNW VGRGGVVNGYGFRDRWHVDEQGMLRDAYNLDTGAGWIKRVVDIVDRQLREGETWGRGL LTPGMVDQLCAQLAPAVDSHRLSSGQKGSLVSILQAWAGQRWEGSRIVRLVERAIRSL TWQWYQDRYVHGKEYPWTKYRRPQLPAGLTAPNAPTVLPFHTFTCPLSAKQVRQISQR NGLRISSSTLRAPWLPGTVYPPPAPPNPYLKVGYVSSDFNNHPLAHLMQSVFGLHNPS KVKAYCYATTPSDRSIHRQQIEKEAPVFHDASAWPVDRLVNQIVEDGIHILINLNGYT RGARNEVFAARPAPIHMSFMGFAGTLGAEWCDYILADELSIPPETLSPGRRRTLMEER LLEEDHSEDMEGWVYGEKIVYTRDTFFCCDHRQSAPDAHEAGLNWDQEQELRWRMRKE LFPNLRDDVLILGNFNQLYKIEPTTFRTWLRILAQIRNAVLWLLRFPESGEQNLRELA VAWAGPDTASRIIFTDVAPKNTHISRAKILDLFLDTPECNAHTTATDVLWSGTPLLTY PRYKYKMCSRMASSILSSALPNTDLGRQAQTELIASSDEDYEEKAIRLCTSTQYVPGG NGRARGRLSDLREMIFSERRGSRLFDTARWVRDLEDAYEQVWKRWVEGEEGDIWL ACHE_11740A MKRFPNGNSALRAFESLEAMTVPTHDAVVGVALLSNTGQCSHLR GRARGNTLAEGFLLNDDGAGRFFRFDLVADPLDVANAFLDSDLDNEVYVKMPPGSQAS VTKILARVMSTTTETVVTISTDQGA ACHE_11741S MPSFAPSSPPEFLFGYNRANAQFIFRDFPSYNLSHEKALYVPRT YNVPAIDAILLCLNNVDKKAELIPIQITIQKAHRISEEQFFNNWFFWHDYLDDYDVNV TSLWITSDGGFQANVHGGKTP ACHE_11742A MISIWEEKSLQGAASLGKDIRILVLNFSKNLAEEHTARKEENQQ PPPSKHNSYAEATRTSPTGPKTHTILPATPYKTPQPEKPSRIFLRPPKTTQKSDFVCV ACHE_11743A MCQHPLLSLLLPQPLQRTLQRTQSRRTLLQRTLSLLASLDTPGL ITTTATASSSVPPGASLFGKHAAPGLHTAGKLGFVSLRKKCQVALMQGLQPSLEAIAN LDPRATTEDGREDDFAVICDP ACHE_11744S MQGLADLVAAGIGESLLEKPKNGIHSEDVKLSLVHRGLGLHNII FSESLTPTLKGMINWEFVGHAPALITIPALIEPTFELAKSESWATAADELREAFWDEI PQWKHSMGSKGSQIFLDLYSFGLYLKADTLSDSKANLAAKEQY ACHE_11745A MSVELQTYIFHYFWGGYNPGRDPGMDRMIMLTWTLRRELDDQVE ELNSVIREAMSDVNGDRRTGQVHCVDIHRDSTATGGARKGLSTYKSEAGA ACHE_11746A MGWTCYSDISIWSPIIGSVFFGYGLIIVFTNTYLYIILVYQMYS ASALSFMTFGRYMVSGAMTVGAIPMYRNLGPHYALIILACIAPVMAPVPFLLYRYGHV IRRMSKGVQNKD ACHE_11747A MAQTHRRGPWVPEEDQLLVQLVREQGPNNWHMHYRSPKQCRERF HQNLKPSLNREPISAEEGLLIERMVNDMGKRWAEIARRLGNRSDNAVKNWWNGSMNRK RRGLSSSATTTTSFSRTSYSGRIEAPYPRASTINSSPLSRRFSSTSSSYSTVNTPKQL SPIYTLPSINRPIETPLTSPAFSETSNAPSLVSDNNSISSASPRTAASPQLLPLPIDM RQHYHMRRQSVSSLNSTDETYATGYQGPKAVDSISDMTQKSRWMPELPSWRQHTAALT QSWIMPPEEVRTQPLKIETQTAERDSRMGLSNLLN ACHE_11748S MEVADIQQQATNGTAPANTDMDIDMDLDLGPLPEPEPEPIEAEP EATTSFGHDGAFDAQTAEAQYEKVHIRGVDDLTTDNIKQFAIDHFTTEQPTRIEWIDD TSANIIYSSPEVGLQALAALTQVGEDEDTFTLPLRLRSAKLLSSHPDSVLQVRAAVKT DRKKPRAHEASRFYLMHPEHDPRERLRMELSERRRQGGDSGDGDYRRRRFDGRELRRR RDRDNDELISADMYDDSGAGAGDSERRRGGRRELFPDEGGSSGRLRNRSASPGRDTLE SDRLLSDRSERRFRERSPRRSGAKELFPAKSKSKARELFPTKSESNERELFPNKTTNS YLKKELFPSKVSNHRRTDAIDAADETLSSRITAPVGDSRNRGVELFPESSNNGGVSIR GTARDEGFSIRGSSNGLSIKGKGASVKELFPSTYSSNAGKELFSNRIEGRGGPRRRAE DMFG ACHE_11749S MATTTQSASLASTLVARFLRSNNFSDTLNTFIHEAGLSADVGQT DSGNDWTIEEVLEEKKAFDKSVNFERYNEDEKKDVWTVPAPSKPTIIQTPTSSNLLAA SVEPWQQQTNDNPSPCLVATGADRQLHVFKTAEGNELVKSFAGHSDSPILSYVSVRNG RYVFMTNMSGQLILQRGEEVLGKRKDHGKYAVKVVAHEDPSGKVLVATAGWDSKICVY EFNIPEGDEEVAMDQPVAYINLDTKPETMLFVKHVDLGHTLLLVSRRDSTHLYYYQVD STGQTTPYECRLLGKQNLAPHSNAWVTFSPSSLALHPHDPGLLAVATSSLPHMKAIIV RLLFPSAESFNPEFEPQPPMEPITQATQAFEALALQNREDAAILIQANTFAPQTAYST PQVVWRPDGSGVWVNGDDGVVRGVEARTGKVMGMLNMGHDVGCKVRTIWAGWVEVEGV KEEWVVSGGFDKKLIVWKG ACHE_11750A MAKSKNASQHHNSQKAHRNGIKKPKTQRYPSLKGVDPKFRRNHR YALHGTMKALKERKEGKREVA ACHE_11751S MNSFRFARSALRARPSVFSMPVQRRGYAEAVSDKIKLSLTLPHQ TIFNSTGVVQVNIPAESGEMGVLANHVPSIEQLKPGLVEVMEEGGASKKFFLSGGFAV VQPDSQLSINAVEGYPLEQFSADAVRAQVAEAQKVAGGNGSEQDIAEAKIELEVLETL QSLLK ACHE_11752S MNPPSSPSSPFSPTRPSRLILHQCSLSEAQTQLRVSPGRLRLYA SEADNDAQRNERNETNTPPVSSAYSTPCKSPDLPIDKSFSYDAKEEPSGLKTPSPVSY RANRFRLDLDSLDITSGTASNLKPVWLKEDSYDSHSLNSIPEVWKPPKPRKPSFLIAP GSTVFDTMPNPSENAQYPTYTGLGAEQDQQDTKLPLSSGAYRPRKICLDVNQATRKQG GTGVGRSRGQARKIIWRPSLRSAPYRTPAETYQILIDDPERPFPMPIPTMDKLPLRWE SLKYRSLDGQETDRSDERGDNLLLCLSKKVFELALVTFSLDEFEHSGQSSESSSLFRV GFRLSVTPLKTSNFPNREQRVISGFPVDDAVNLLRLRFAVPVLLLRAISLITATAQSL GSSKGLTTIVRFITALFVLLVITVVWMCDKLRLNATSGWKVGPRTPRSWF ACHE_11753A MSPNPELSAPGSSTYSSNTLHVGDGTWDSGRDTFLLPNLMGLNF ETMQYNGMGNRFRDMPNYRTMICAHGVLATIAFLGLVPASVLIIRYYSRWNPYWAFKL HVWCQVLTLFISTIVFVLGWFAVGPERSLSNPHHGIGLAIYVLVIFQVMWGYLVHRIE RNKKRYHVPFKLVIHRWIGRILAILGIVQIPLGLTLYGSPKVFFILFAIAVFTLFVAF FVLSYLYDTEGYPVVSEYDSRASYVSGPSASEGHHHGGNLGRMAALGAAGAGLAQLFR RRSQNKGREHDYDDSHTSYMDEKYSDEESHRDSGGWGKKLLELGAIGGAGFLAKKFFD KRRNRDDDTESGTYSRAHTRSDSMTEETMSRMEDGRRPEQTHRTPLYRPPSRPPSRPQ SPGSSYYNSTYLTDHDQDRPNAGRNALFGAGALGALKNLFGRKSNEQRRLEEIRRQEM EDERLARANSNRRRYTDPRHRRDGLYAATDVTSDVTRPPHEESLLSGRPPRRNTYDGQ HSDLPPAPPSHHHDMPSETTGYDSEPGAAPIPIPGRQHRRSNSRQHSDHTHASSPPVS VELKIPSDGRHVTLRRLTEEEAAANREARRRERRTSRRRNQSASSLSGNEGGSNNTWR RVEEIERQQQEEMERQQAAARAAAAENIHSAAPSTNIPPSSYAPPHPSRMSGVPPPPM RQSHPPSTLPYGSGSFASPGPGTFTGTEASGDYANNRRRRRAERARARERQSSVDFA ACHE_11754S MISQYEIFIKALSRLLGWIYMLCWSASFYPQPIDNFRRRSTTGL AIDFPTVNVLGFASYAVYTVTFLYSPVIRSQYAARHPQSEEPTVRVNDLAFAVHAVFL SSLLYSQFFPRIWGFEVSRHQRASKPVKGIFWGCLVAVGVVIGVVLAASPDGGYDPRT WAWIDVMYALSYVKLLITIVKYVPQAWLNYKRKSTDGWRIEMILLDFTGGVLSLIQLL IDSSFTQDWSGVTGNPAKLLLSNVSIVFDLLFMVQHYVLYRDVEKKDQRRGPGLITPL LSEPDHLSRSAHI ACHE_11755A MSHAYDSDEGGRSPGLEPSKPDYGLVDEQPPPFVGNDKGTSPDS SRKSQPNHSEPGFSHGSAVLIGQLDGNRPDIREYELSHPFKREITPEEGFNPQKIIAK GALQLLQNEPPVPKDIAPNYDFHQQDNQIRDTKSEQGQQGPPPQLPPPVPSIVGPTRL PLELKAYSMLQPSETARHDLLPAIHQPPSALPKSPENQPSLPPLQSALGELPSVKEPR VNASGAPSYPLHPVTNGPPPRVDMPREHQLPGPPQVPLSPYSHWSPASSKDISTVPSP VSHPPCPRPPPHKSNIHYVTSPYDVPTQTAKSPVTCYPTPTDPPTVGSYDRPYSDTNT PLNGVATGSFKCHHPGCNAPPFQTQYLLNSHANVHSQDRPHYCPVEGCVRGPGGKGFK RKNEMIRHGLVHNSPGYICPFCPDQQRKYPRPDNLQRHVRVHHVDKDKDDPELRRVLM QRPEGSGRGRRRRANN ACHE_11756A MAGEVRQPIDIPSLERYLNQNVPEIKTPLDVKQFSFGQSNPTYQ ITGADKQRYVLRKKPPGKLLSKTAHKVEREYKIIHALGQTDVPVPKAYCLCEDADVIG TPFYIMEFLDGRMFTDPAMPGVGAEERNALWQDAVRTLAKFHRVDPKSVGLERFGKPS GFYDRQIATFSAVSRAQSQAVDVDTKEPVGELPHFTDIVSFFSNKSTQPRDRGTLVHG DYKIDNLIFHKTEPRVIGILDWEMATVGHPLSDFCNLTSPYYMDGTDHTTETFKPGVI PGLPIREECVRWYSELAGWDPTHDILWGDSFFNWRSSVIMQGIAARYALRQASSARAQ EYAKKTKPFALNAWERVKRAQEESQQKGKL ACHE_11757A MASDALVPETSVLAVASHVVYGYVGNKIATIVMQSLGCDVAALN TVHFSNHTGYRQFKGTRATAQEISDLYQGLCQSNLTDFDVMLSGYAPSAAAVEAVGAI GLDLQQKAQKAPGSFFWVLDPVMGDQGRLYVNDDVVPAYKNIIRYADLILPNQFEAEV LSGIKITSLKTLAEAITAIHKTYSIPHIIITSVQLSKFTSEISSSPNSLTVIGSTTRS DGSPRLFRIDVPALDCYFSGTGDMFAALTVARLREAVFATGPDLRNVKSWVSPDEVAP TDLPLARSTVKVLESMHSVLEKTLEARDAELYTTSSDSASVSEEEKRKQENLRQSKAA EVRLVRNARFLREPTASFQAQEWRKEDLPESLQ ACHE_11758S MSQPYSYLYFFLLIIATISSAIPVVHNGSDFSGEQQITDHPEIA TSLERAFEHHHGIITADDNDNTKNTITPRATADTDLPTPFDTSLGTNYTKKSCPKFFT KFLSDSSFQSCHALSLLLRNSNSFFYTLHSATATSHLLDIACSASVSQCTSTLSSLAK ELIKDDNCGQEYKLGNPNVVDAYVDLISYEPLYHASCLKNPATDNYCFVDAATNSTNS ADYDVYFVPFGNALDGHDEASWDNNTPTCNKCLWETMRVYKGFARVDGQPLVQSYLPS ARVVDRHCWSGFADLNVTVGAAKKVETSGAALALEGGTGLAWLMGAMLALVL ACHE_11759A MTSTLPYRPSDDTLSGSGATSNRAKPSGLPRLTPSPSVLKRSPS SQTLLRRPSENVYANTVAIPQSSRPRRCKSQYPLHSPERHVEFILVASFHIDRGPIME HQYPAAISGDESMLAELMLPDQTHVRSQDWTIFFLHKDTSADDDDESLHEKKRRRNKA NKSDNGEDDGSDNGSADESSDDEDEGGEGPPLMYVLNLVNTKQDNTVKRGAVVKAMAI CTRHSFLHIYKPLLLLALDDYFKNPYPETLARLYDAVNSMDLSLLPKLSLLERQILQA TNTKDMFIEKFEQMAHQRLEEEGEAVPDDASPPSPKKLGPRFALPRDTHEFESKVVYN DIPIPVKVPTVIWPETVGDFSFIKLIQTFAAPHATSPQPFPLHSHLTTSGPFTHPIIV LVNAMLTQKRVVFLGHNRPSGEVAEAVLAACALASGGILRGFTRHAFPYTDLTKIDDL LKVPGFIAGVTNPTFANHPEWWDLLCDLPTGRMKISSHIEPAPVTEGLLFFQQQSHFV NSSSSSHSDSGDNLFMEDIHRSITNRYGENSIRAKWRAYIIKFTRMAAAFEEMVYGAS NLFIIGPNEELSPDSPSSQQADPLDPTTLRGHGYVWPNEAMKQRELAASVSRIEGWRT TRSYYSFIQDIAALYYPARPIQKPDLHHHHDRLRSLKLSHADAGAIYIAFSHAVRDYA GICQLLTVTPESRAGLFYLSMGLFHPDQNVREATVDLLERISVHMAGRHFWNQLGRFA KLAFFRVKRERDASSPVSAGSGFSSKEPQSLMGVAMGDGLRRS ACHE_11760S MPTNTPFKPSPLSFGSPRASPFRRPSTPNSPPQGRSSTPGSSPG RGLPPVPSPSKLNQSYTIDDDPEDSPGYHLNDAPIPPPKFSRELPPSPTKGANLGSSS PILSTRKSNSMMNAASDAAANLSPAQLREIREAFQVLDRDNDGSVNREDVADVLVNIG LNSSAISQFFPPGGPQTINFPTFLNTLSDLVSPLSSRQELINALAAFDDDDSGQVDVN ELRDALLHTSPEEGEHPLTEREIDEVLSGYTGRRVFGGKSSKAAGGGKRGEVFQYQEF VDSIMGGTENGRQAETA ACHE_11761S MTSRPTTQAQLNLAALASPSPSPRTMRSLRKIQSHHTLSSNTSS DLQTITSAAGTDESSLATQQHHQQQQQRQLASPARIRTHGRGRSNSDSGSREATLTVP RKRRPARKTGSGIGVKRSLLESYLRDGPQDGNLAEGLQELRYLVLSTRVEADADGMST YRVYLWLILLDIPPVPTDEYLSLIHRGRSPAYTKIRNDTFRTLATDPLFKRRVTEASL IRLLNAVAWKLQDAKGNRRRKSRPSSSRRREMESLIKTPPRIAEEDEDEFVLVDNNTT LTTPFTSTATTPNDNNNNINARGNVSESAIYVQGMNVLCAPFLYAARSEVEAFALFHH FVTHECPGYIRSAMDGVHRGLRLVDRCLEVVEPKLAAYLFSKGMQAELYAFPSVLTLC ACTPPLPEVLHLWDFLFAYGSHLNILCIVAQLIRMRDTILESASPNKILRSFPPLDAK EIIALTVLIVRKIPSPLYTELVNHAK ACHE_11762A MPTREKSRPKPRGSDEEFVLFLQGIPAHCRWQELKDLIRQTAVH IRQAVVYDDSHGFPTGLGQIIVKNEEEAWRTYHRLSANGWEGQSIVVTLSKTCAPTRP IAGPTRGPACVFPSGYMSGYSTPPRVTQNLAAPGSPESLYQSPEYAPIIGLPQPPPSF VPMFQDPLSPPTAPAPGPGPAVACDSSLYTFIPPPTYQFQQVPEPLAHVPAKPLFNIP SYTTNNFYTKSTRRTILVQNLSANTTQANLASLFQEAGPIERCHFDSTTTTSAYTHQK QSARITFTTPDSAKRAATLFNNTAFMGSKRIRVRIDRNPNPNPSLAPTPDYNQTPTVN NTRTTSAASTNSTTPASSPSPSPGPYSYSYAGHSSAGTSPAHSVAGGSRPASKDGPAP RQSVDRCQPLVVNGSGVGRNAVAVVVG ACHE_11763S MTTSSPVLYSFPNTDTVAKQLRPYVLRAQNSAINRHDTFRLAVS GGSLPAILAEALLASSNGSPEDTPQFSKWEIFFADERAVPLDHPDSNYRLLKDELVSK IPSEFGTPNIHPIDEKHVGDDDPQELADLYQEDLMRSFAAKDSVKLPVFDLILLGCGP DGHTCSLFPGHELLREKDAWVAAEINSPKPPPKRITLTLPVVTHALNIAFVATGGGKK DILKQIFDTEEGRSLPSALVNQGAGEKVSWFTDHPAVEGVAFPRRGSL ACHE_11764S MPIPTRSVSLREPRQQGSSNVTRTATKAPASTAANESALNRRKS MLLPQRHSSVRETPSSTVKTQTQESRLRLPQRGINSNGTKPATGAATATATARTSATT TTATHRRTVSQQQPQQRQQQEDAKRPISQNSTATSATSTSSSSGAAIARRQSLRPSPL KTVSRTVPSGRPTASTTTATTPTSATAPTSSASPRKRAEATRNAQSISPTKKTSMPPP PLPRPARSASLRQPSTPQSSPGVPAAGARGHTRVRSQIVTPSSTPRLKPSSSTPQSSP RKPARGSTAVNPTASINAAANALAAITAEADPSLMPSSWPEVAAVQTEVLQLYLFHSS SLQKHAEWQAESEAKLRRKYDSVAQKYRSIVDEEKQWQRRLNGQALDYWVQNIQTHNG RLGFAEQIQLLSQTMQEVTELSDEVGGRYTLAVQSFEHWFRKADEIKKIRTQLDSGAA DLVVFIDPLDGIWRDEVNDLGMKLERCSRQLQSLDILGYGDTEKLNDSALLRIARGLD DMTNLMQDEIHAMRKIEGDIVRSERAWVSQLTDPLVMSDNRPREDRSLRAGMWRSAFE ACHE_11765S MKASLYDRLWRRESGEQSRYASLSGIYGSKEWVNDLDIVNELGG HMGCINALCWSGSGRLLASGSDDKHVNLYSYQPDSSTAPFALNTTIMTGHRANIFSVK FMPHSNDQTLVTCAGDSQVRVFDIEYSNSSSNAAAALVFNASARSRRFDNFFNGARYL SERNTNARVYRSHADRAKRIVTEWSPYLFLTCSEDGEVRQWDLRQPSSAYPQPRGGQG FMAYRPGLDHDDSNVPPPLISYKRFQLDLNTISCSPSQPHYIALGGAHLHCFLHDRRM LGRDLQAERGDPGSSSPRSSGSSRDDEMMGQATRCVRRFAPHGKKRMRARDNGHITDC KISNSNPNEMIVSWSGDHIYSFDLIRSPDARDPQSTRENPITGSPRPRKRKSSKAKKR KRRQEQEEEASFSSQASGNRHESRRRSQETDDGGELAFRVRYGNGESEDIPLPTLSET FFDAPEEVIERARNAVLTDTQRLSVRIAKRLVTLRKALFSLESALHEISNVSLSDEAE SAPTHRFFTSSLAHASYCLNQMNGVMSSWSYPLNPTPDMVNFQQTLRRNREACWRLVQ GAGTMLRILGSVAESSSEAVEGRPGIVDVDLFQQIRPSPGESTLDPPVQFGYDFLKAI LLWVEGGRAALLSGFKRDPAQRRNQSRFPVPEAAGDEAIETVLVPYLQSLAGDTPIVN VDASRFEHDSTRLLFPTQHAALTAFANAIRLPLEDLNDTAARVDGHGEESTDTPQVQA LDRGATKRFWVLKAGRGILMETASGVNFEYCKWAFGGLRTTTVEDDESDEAEDIELGQ ERSQEDIDPNEEEEPIRDINLVRRGSGSSGPGRYDGSETAVSDISALSLLERRFPGGH DGDDDAPGDDGDIEIDDIDDLASASSNGSDTSDEDDNDDGVAYGENEDEDEEDVEIAE LLSGSNGFPRARRELVEIDAPCDTHTRVYRGHCNIKTVKDVGFFGLNDEYVVSGSDSG HLFIWDRQSSDLVNILEGDSDVVNVVQGHPYEPTIAAAGIDSTVKVFSPDRKAQDDAH HGINILNPDHPVNALGSDSNICGLSSCRRMHDSYRIMSQNDVDRQGGMSDAYVTRSML ARLATNLRHRQLQGDGEGTTLVVDPNCAMM ACHE_11766S MDILQAHSEKTSQLYADPHNPHRHLSRGLINHELGFSDLAAADA YRALSLLESVVDPDGCEFHARRVDASRTEKDDEEHEDDGVPITQEEYDQIIGDVYALL VRSLVNVGCFRDAFDFCTRGLEELRAKSVDKNVEVLEEQMGVIRKFYVERTKRNDAEI DASALPAQGFARRVLYPWNKHEPDRKAPEELNLLNERLKDVAPKCEVRAVALPVLHGE KQNAEGKTEGEEVSIQLGLFAKEDIPAGDIILRESSMLTATNRLHDDLCDACNASLPS LESTSTDSGPIPCQGCDDTDTIFCSQTCHDQAQETYHGAVCGLLEGLESIGKDIPDPK DKADYLYLLLLGRAVAMAATQEKHPLDLPEVKYIWGDFHDYKPANPTQVSDEEKSLPF SFHLNILQPMRIIEEMTLDPYVTLPTYDTWVLNTLYAKFRGTASGRLSTWDGGPELCA VHPLWCLANHSCDPNVRWEWGGEITFSVRGVGERALWKPAKPETEAGDGEGKFDGIRK DQEILNHYCDIGLDVKERREWARGALGGICLCSRCMWEAGS ACHE_11767A MTDPVVLQSAVRVPTPPPGESQPSASRKRSPPSRSPSPNRRRSA PGDALKQDGDAPRLDDERARERERQLAERVREHEKKEAARKPMTDEEKQASAKAEYEK LLNMRSGGTYIPPARLRALQSQITDKSSKEYQRMAWEALKKSINGLINKVNVSNIKFI VPELFGENLIRGRGLFCRSIMKAQAASLPFTPIYAAMAAIVNTKLPQVGELLLSRLIV QFRKAFKRNDKAVCISSTTFIAHLCNQQVVHEMLAAQILLLLLHKPTDDSVEIAVGLT REVGQHLEEMSGPIALAVFDQFRNILHEADIDKRVQYMIEVLFQVRKDRYKDNPAVRE DLDLVEEEDQITHRIGLDDEIETQDGLNIFKYDEQWEEHQEAYARLKAEILGEGSEDE DDEDESDVSSDEEEDEEKKMDIKDQSNTDLVNLRRTIYLTIMSSIDFEECCHKLMKVS LPPGLEPELPSMIIECCSQERTYSKFYGLIGERFAKINRLWSDLFEAAFAKYYDTIHR YETNRLRNIACFFGHMLSTDAIGWHVMSIIHMNEEETTSSSRIFIKILFQNLGEHLGL PKLRAQMTDEILRPSFEGLFPLDNPRNTRFSINYFTSIGFDRSRPHYRRLGTQIRIQS RSAVVHLARPAQGPATLAPDPDPGPTRTPGRHRRAVGGPTHGLCPGRLGDEATATPLP APAPALAPLQQDPAAALFPTVDPQAGPYRGHRPDEAELAPTTHGIREAQVPTAHGNAR RLDQSPHLESGATLARCHGLSHRLDTELRHLKADATQLVYHGHRHVAVVEVLGMIPAR LALHHAGEDIQTRCHGLARHRLVGVAGRLRGVQLGGRGEVARVTIFSPGIKKQDLHG ACHE_11768S MLGPIVGSVMLLVATAIFLYYTAWTLLMPFVDPGHPLHDLFPPR VWAIRIPVFLTLLGSAVVGTFIGIVMINSNKKKAAKAKAAAAKKKT ACHE_11769S MPPAGSDDLFQHSRSLGDNIPFPQDPSRPPQEHEPSGKNKKKGK NGSKGKDKAAKAATKTSTPAEDLIDPSPPLQTEPSSCPNPSLNHHAENHIVSPVLDAL GSLAETPDNDIAYRTGTWARSIPFGKSPPTDVTDGEFASGSPLSFPTNAERGGFSNPS SASPPPRRRPLSYNTGYLSSNSNVSRQPSTDRQKSHSVSTPYNGQAPPPHLPQAHFYG APDVDLPLFSGQNRNSTDGSYSFCAFDIIPSPSYKTSRMGGSVLLAGTDGALEVLAIE DRKTRLIGKLSGLNGRVIGAKVLTANLSHDPFLSTRPHVAVILHGPCFPNDDEGHASS AASEVNDGSSVAARRSSKEDTKFYQTRVEIYSLRTGEHITTLFTTKAVPYLENMPGMP TFAPGPIGNLRLHTSGSFVVLASGVSGEVYIYGINPSSDSGGYQCYGKTWTTVQTKGA RRYSTSSSSTDPDGSRSDSPNSANSESPIFALKGRWLAIIPPSSTHTPPLHGSVPPSL IQGKVFGLETRSPPSRPTVNCATDIGEGESLFDKVARGVAQELVRGARWMGDQGMQAW NNYWNKEQSQNQSSRRPANVMDGLQQGYNAFPPTHAQDTQTASPAEPDLVSIIDIKRL EDGGDNKNALFNPVATFQVPNGCSFLSFSPSGLMLFTASKKGDVQYVWDLLQIKYCRA GIFLTEDSTVSCANVRQIARYTRLTTSRIVDVIWTAPIGDRLAIITRKGTVHVFDMPR SAFQWPPFRRARPVPGKASGTDSPADDLSDRANGHNPLSAAFKLVGGRTQPILAAVRR TPSTGSAFPVGGFGITSAAGVRSGKAVAAGLSKSVGAATGTVNTLRHVGENRLHLPGL ARDPVASRVTWITHRGQTFLGLVDNGAFRLYRIRRTLSANKHRSLQSVIGGREIEYKL PAILQNPCGPMPVTSAIPESNVHASLALPSSNPQPSSASKGQRQPLSQAEIETNVPYQ PFHTDQRVNLFVLSPQSEATDSMLSDPTGQWVFGDEISTTKLHVRPFSAGGDDSDEDT VHEHHPGFSGDMENLISLGNSTGNVEEVVITTRRKKKHSSFPSTGPGVDMDDGFFEDD CEVLDFARDRV ACHE_11770A MKLSLLPLSFLLFPLALSQEIYPNIPERDTCVARCNMGDFCCVA QCHDLLCGNIHNTNDAIRCMTGCPVGVGTPEQVITLSRCREDCIMNNYSSSSTSTSTW TSASSTSTASSTSSSTYSATSTYSSSSSTSTSTSTSTGAASTTSSSSASGMCMFLLFV AGMLF ACHE_11771S MSDLDDDYNDYFNEYDFLAAEASEASAESNENIPRATKRRRLEE PDEHGEDGESVGSQTDSFRASEDGDNDKYASDSFIDDDEVRSPSGKLYGEFDGESRSK LKAFYPKHSAMQEDIFVTQLTQPPSPPERIRGPRWKKPDPEPLTPKPPTTTPIPSVRD PQSGSSGGSNGGPVRDEGLGGDNEFSDDEGLNAAIAASLESFEEENSRAATCSFSHEP PQPRQEAAAASSYQNSNKNNVLTDSFLDDIPDDAFDSEPSLSPPPRTNTQAAQRTQTW PNMGRPSNGPTGLRQTTLFGMVARNAEKSAPRGEQNISPPDKEEPPTHHKLDNDATAT WVYPMNLGNTRDYQFNITQSGLFHNLLVALPTGLGKTFIAATIMLNWFRWTKSAQIVF VAPTKPLVAQQISACFGVAGIPRSQTTMLTGEAAPGIRSEEWKTKRVFFMTPQTLVND LKTGIADPKRIVLLVVDEAHRATGGYAYVEVVSFLRRFNKSFRVLALTATPGSTVESV QAVIDGLDIARVEIRTEQSLDIREYVHSKDIETQTFENSEEMVLCMDLFSRALQPLVD QLRTLNAYWGRDPMMLTAFGLTKARQQWMASDAGRNANMGLKAKTNAIFTVLASLAHA IDLLKYHGITPFYRHLLHFQSSVDGKKGGKYQRQVVQDDHYKKLMNHLDPWVKNPEFI GHPKLEYLKQVILNHFMDAGEGGEGSSQSATKVMIFVHFRDSAEEVTRVLKRYEPMIR PHIFVGQSSAKGSDGMNQKTQLGVVEKFKKGTYNTMVATSIGEEGLDIGEVDLIVCYD SSASPIRMLQRMGRTGRKRSGNIVLLLMQGKEEESYIRAKDNYEKMQQMIASGTRFTF HDDASPRILPADVKPVPDKRQIDIPDENAQQDLPEPKKGKGRAPKRPPKKFHMPDDVE TGFTKASRLTGDRKSKAGDKSKRRVRTPTPEPVELPALDEVLLTSPQQRELEHRYQNI GGTSPQFIRYPRNDAFPRLQHVLRPTKSVRHGKVTRRMIGTLRNMANVNADCEKRFKH ILSLVPKPPPKRSSFVGQAPRQKQSDEQPTNHSKGLKPLHSNLKPNQLPVEFNGESDL DDEPPSPDVLSSLGDRQEPPKASQVSDLDGNYDLPDLKTLFEQADADSQPTHKRRRVV DDECDE ACHE_11772S MADAQFDSALDLLRRLNPRDTKRNLQAITTVVPDLTEDLLSSVD QPLEIRRCPKTKRDYLLCDYNRDGDSYRSPWSNEFDPPLDDGTVPSERVRKLEVAANE AFDVYRDLYYEGGVGSVYFWDLDDGFAGVILLKKGVTPGAKSSGEWDSIHVFEATDRA RMSHYKLTSTVILHLSNGNESLGEMDLSGNMTRQVEVDLPVDSDASHVANVGRLVEDM ELKMRNLLQEVYFGKAKDVVGELRSLAPLSETNRDRAAQLEMIKSLQR ACHE_11773A MAESKEPGQPDQTLTSADRIRQLNDVDKDVAKLIHSAGLAVQAL TNARSNDSPSATATADTSLDSHKSRFKEATSQYFALLSSIDVRLRRQVYALEEASVLA PDSASRTGEGGAAGGGGAGAANPLDISWLNSRNDTVGKDKEAELWAAAKGFVEQIGNA TSTEPVKTEEGHKMEVD ACHE_11774S MSAPGGAPSPAPRSGSIGPGGGGMSMPSQQSMPATTPGPTPGPP PAPPSGAMSQQNLNQIVIDYLAKKGYSRTEAMLRMESANQEIDGRPLPPLGEDARPKY RLGFDLLKVWVEDNLDLYKPELKRVLWPLFVYTFLSMVTSFYPQDARQFFDVNKNLFL PEHTEDVRALEPISLPEHVQDNSTAKLYRNNKYRLILSNPAFGNLMQFLESKQKEGGS VMSALLSSYCTILTRDRAVDDRFSFAAMLGQAGMGQTFPAEDEGIPGHHPGSAYTGDN PAMAGTLPRLKLGKLPMEQNLETDVRGELADEDTKNPPGPGRNTLLQEFDQMIKKEED EDAPTRADIPYPPSTARDVAMEVQHVKENRDRLKIEGRTGGVGPAVSVCMFTFHNTYD GITCLDFSDDNMLVAAGMQESYIRVWSMDGKRIPTTYDNVDDAPPSNSRRLIGHSGPI YAVSFAPSATRSENAVAPTNARWLLSSSADKTIRLWSLDLWQCMVVYKGHDQPVWDLN WGPYGHYFVSGGHDKTARLWVTDHIRQQRIFAGHDQDVDCVAFHPNSAYVFTGSSDHT VRMWAVTTGNAVRMFTGHTGNITALACSRDGRLLASADDHGSIFLWDLAPGRLMKRMR GHGKGGIWSLSWSVESTVLVSGGADGTVRVWDVTGPAQDPSQGRVIGEGGAGTKVDGG NASASAQASSSVAPGMGKKKGKDVVVTPDQISAFPTKKSPVYRVKFTNMNLIIAGGAY LP ACHE_11775A MRRHHLGPTTPRISHFEHQRPGLTQPTINLNAATINILNSPMSE LQKSFAKAKIAKLPPEAPMIPEQPAGGSTMQPIGESDNDSSDASSTATAVPSPTRQLF ARPSRGFQSSTSLPWTDFFTQELSLTQTIPSTNLRITHHVYLIPPSQSGPLFVMHHGA GSSGLSFAVCAEEIRKILPNAGILSLDARDHGITEVSRIDSSAEDGNAEIETDLMLET LSLDLLFVIRETQAKMGWETLPDIVLVGHSLGGAVVTDVAKKGELGQKLLAYAVLDIV EGSAMDALQSMEKYLATRPTRFPSLSSGIEWHTRSRTIRNRTSARVSVPSLLYEEGTP IDPSRPWIWRTNLNDTKPFWENWFIGLSRKFLEARGGKLLILAGTDRLDKELMIGQMQ GKFQLQIFPEAGHFIQEDQPAKTAQVLVDFYKRNDRSALVLPPKVADMQASTAMNKSV GAINPNSFGKGGDGGQPGSIRKP ACHE_11776S MVSLWGKKNDNGDREEQQDHEEEQPVTGHQQEADERTRLLPRDN HAYLSPDDPAVSPYNIWGIRVLRGLSALFLAISFVWWTFLLVSLFVNPPAMHTRGSGF FPFAYTTLTTGYLVIALIFFSIPSKPMTIWGAILSVFLLVDMCIILAVPRLRVEEGWV GIASVVWATFIALFNVIQNHSVGWGKREEEERLTGREETRRPLREWIAVLIEIVIMGI LAIVSILFTLTLILRARDASLEAPGKKYLVHGDAYQVHLACVGNTSNKNANGDPIPTI LVEGGEEPVEQSLQPFIDDAYQNGSIQRYCYWDRPGFAWSDNAPSPHSAGMASDALSE ALALAGEEGPWILVSAGVGGIYSRIFASRHLLEVKGFLLIDTLHEDLLKGLGRPGRGF VIWLRGIISPLGLDRIAGAIFKGRSREDRIFGRSAYQTGSFIRTKLQENLAAQTITYS EIRTAQHVQMPGTPVVVVSSGTEVRRNDKWAKKQEELTKITENLKDWDIVRGAPHEVW RAAEGRAVLEKRLRELIDS ACHE_11777S MLLAHGTDVNALPYADGRVHGCDIKIAASQGYHHLVRALLDHRA DLNCESQTPIIAAAQGGHEEVVQLLLEMAREWKKHSSVCRWKTSPSAGISPKNKRVRM SRRKVNQAKPSA ACHE_11778A MASRPIILRFESRNGQFRLNVTPDELFPSLQQKILEKLPQDVES SSIALSNKPIGTGGDERLLNTLDGVSIGQVGLKHGDKLFLGYTDKSSQQGNGQTDSTQ RLNGAPLTQDETISIRPQAIKNPWEVVKQSPLDNNLDKKDGKISRNRDTKMCRHGPKG MCDYCMPLEPYDPKYLSEKKIKHLSFHSYLRKINAAANKPESGSSYMPPLNEPFYRVR RDCPSGHPQWPEGICTKCQPSAISLQPQEFRMVDHVEFATPDLINKLLDFWRNSGAQR LGFLYGTYEEYTEVPLGVKAVVQAIYEPPQVNELDGVTIQEWEDEKDVDEVARMCGLE KVGVIFTDLLDAGQGDGSVVCKRHVDSYFLSSLEIAFASRLQAQYPKPTKWSRTGRFG SNFVTCVLSGDDQGAITISSYQASVSAVEMVRADIIEPSAEPSVMLVQSEDDNPDNKA RYIPEVFYRQINEYGVSAQQNAKPAFPVDFLLVTLTHGFPTAPSPLFIESTFPVENRA LVGESQELSHIAKKLASSGDPDKVIQAVSDFHLLCFLHSLSTFSKDEEALLCRVATSH SPSEGLQLINTPGWATLVTILQESGERPPKRPRSPPRPFPPSIRPQSPKSESEQLAKR FKGASLE ACHE_11779A MAPKVAIVFYSLYGHIVQLAEAEKKGIEAAGGQADIYQIPETLS EDVLTKMHAPPKSSHPVATPEDLLNYDAVLFGIPTRYGNFPAQWKTFWDRTGGIWATG GFWGKYAGLFVSTGTLGGGQESTALAAMSTLAHHGFLYVPLGYKTTFAQLSNLTEIHG GSAWGAGTFAGADGSRQPTPLELEIAEAQGKAFYEHVAKVNFA ACHE_11780A MATDVSYAASSILSTDNTRDPEYNDFSFTPFLRNSFGFGLASDV PVCKAYSEGHCPLGPACPDRHPTPTRVTTSTTTASGLAPSTTHGSLVCKHFLKGLCKK GLKCEYLHEYNLRRMPECQSFTRSGYCPNGDDCLYQHVREHARLPPCENYDQGFCELG PLCAKRHVRRRLCQYYLAGFCPEGRACPDGHPRWNEHLPRPSLRVEKTEEELERERSL IREEQEREREREREWRNERGRGGGFMRGRFRGRGRG ACHE_11781S MLSGLFPHWRRKAAERSSKFSSSYEIPVYANAAYYPNWRIYKKQ PPSSLRLGFVSHVFYAFAWVKEDGTIYLSDEWADAQMPIDGTNGCIRAFTQLKPQYSK MKVVLSVGGGGKGSDNFALVAQSQSRLATFVRTARELVDQFGLDGIDIDWEHPQNPQE GYYYVNMLARLREVLPAPRYVLATCLPAGQWALRNIDLGKASQYLDLLNIMAYDFSGP WTDETGHQAQLYARGGGHSGQSAVNYVLSQGVEPKKLLLGIPAYGRSFLGSSKAGQSY AGTGGEDGVFDYADLPRPGAKEHHDDKAGAAYCSGGDGGFVTYDTPRTVQQKAKFATK MKLGGLFYWHMAADARGPRSLLETGYNTLHEM ACHE_11782A MVLFKNTAVCASALLAATASATPVFHYPRAANSSAFTNSNGLKF THFNQSLPNVTILATGGTIAGTSPDKTATAGYESGALGINQLLNGIPEAFDIANVAAV QATNVGSGDVSSSLLLNLTHTLQTVVCDDPNMSGAVLTHGTDTLEESAFFIDATVNCG KPIVFVGAMRPSTALSADGPMNLLQAVTVAADKDSKDRGAMVVLNDRIASAFFVTKTQ ANTVDTFKAMEMGNLGMIVSNKPYFFYPPVQPRAKTEIDVSGIDKIPRVDILYAYEDM QTDLLYAAVEHGAKGIVVAGAGAGGVSSDFDTAINDIVKNKSVPVVKSHRTVNGEVPT SGITGDAAEYQIASGFLNPQQSRILLGLLLAEGKGVKEIREVFEKAAAA ACHE_11783A MAEAKTTEFSQMDLPSPKPSVGARIKAHFKKWWWLHAIIVVVVI LVVVLPVIYVGYPKIARNDVNKSTLNVTSMIITDPSPGSFHLKQTQVIGSSSSFKPTI FGFSAAVSLLGAVPWGKAQVPDVKAEDGAVVHIEEQIKLSNETAFGDFAKAVLLNEEF DMNIYGEPKLQEGALPKVDVTYNKTVTIKGLNKLNGFDITDFKILAKDADDGTNTVGT VYIPNPTIMTLTLGNVTMDLSSNGTHIGQAFLDDLVLSPGNNTVPMRSTVNKLKVLTM LPSDSMLPVDIRGNSSVYHGRDLPYFSEALAANELRTTLDVGKGLRNGM ACHE_11784A MSYRPNMGEIRSPSHETLRSGIPSPRIEHYDGEVPPALSPLDAF AAQGRLLARQLEESARRDRRLSRLPPSSVARSLSRPRPGYFRSLSSGDSSTNAEGNLE RRPTQKINTEVEEQRFRPVSEHPRFSSVSNAYTEASEYDDDDVTVDEDSTATPKHGST ILSPTRSFEVPRAESPEEDLSLRAMPDQGPRRFYAAAAAGAAPPAVGVSLAAPSMNSS TDSASSRLLIPRSLAPPGSPMSRPSSSSRAQPESSDDDYSSSNGGSTFSQPPRKLSSS SAMSGPHSPMSTTAAPMPRGRPRSPSQSSEASNNGGRLQRPSLNFSRPMSRSSTNLSA SAMASSEQLHVSGNRASIERGHKPGPIVVPTSTETTTPSTEEPSSALSASYTYAKYAL PRGRQVSRDSVVFAGLQTPHFEWKEPLFESPTSEHPPERSARTPSPPPSNHEAVPAPK ARSMYEAPISDRQLLTPEPVTPSRRSPSPDTASASRLSPKANKSDAASSAGSSNTLRP QTARSNDSANPVSTPVTADDHLAVGIQCHEKGSLNESTYHLRIAAKKEHPTAMFLYAL ACRHGWGMRPNQREGVRWLRKAVDSVGLELMGDSNTPVPARFREMQKAYRTQFALGIY ELGVSHLNGWGIEQDKALALRCFEIAGQWGDADALSEAGYCYAQGVGCKKDMKKAAKY YRQAEAKGVNMVGNSWIYKDKYMSDDEPNDRSRGRQAGASDKKSRGRSKSRTRSLFHR KKSAAEA ACHE_11785A MTSDDQFFFDYLASIPHDVKRYSLQVANSIDRQVDSAATTLRDS LSQQSWIPSSVRPSRKTVDIVPTRSLTGRVQDWMISNRALSAAVLAFAGTTCVLYFGN KTFNGKRRKARRAGNGARKEIVVIAGSPHDPMVKSISSDLERRGYIVYITVSSTEEEH VVQSENRIDIRALWLDLTTTPSSPSDIHPSLRGIRSLITQPQFPMPGVPPHTCQLSGL IVVPSSHYEHGPVATIPPSAWADTVNTRILSPVLVTQLFLPLLTLRSSPSNIVLAYPS ISSSLSAPFAGPEVATARALSGFATSLRTELSLLQNGNVSVVELKLGNLDLGSSRPQS RVAGTETLGWNAQQRAQYGSQYLSSIEQRPVASAGPSAVRGSPARHLHHAVLDALEPP SKNIFGQKTSKTTVVYAGRGARTYGVIGALAPGGLVGWMLGLRSGYTAVGDGMSGSFH EASWEKV ACHE_11786S MRKFDSLISEYQHERHRPRESEALLMLRKIASLVKPIMRQRAWR VGTLCEFYPHQRNLLGLNVNAGQKICLRLRYTSDQCQFLPLEQVVDTMLHELCHIVHG PHNRNFHALWNQLRDEYEELVIKGYTGEGFLSQGRKLGGRKIPIDEARRQARAAAEQR RKLAAGSGQRLGGAPVLRGTDMRRVIADATQRRIDVTNGCASGRDNTNDLAEEASRNG FRTKAEEDDANEQAIMQAYIELIQQEERERYGPSYIPPSQGNPAGPRSITSPPPVPES SRTTSSLAPPPAEPADLISDSSAYEQPWTCPICTLENPPNFLCCDACAVERPRPSNPT SVSGPAAATSEARASRGRNKRTLSETGSKPNFKNRTKAAESLAAIERNAQKRPLGWVC HSCGSFMETEYWTCSNCGLMKQSS ACHE_11787A MVKSYLKFEHSDTFGLVTSASSNAIWARDDVLSGAARKTGAGRA IVGASEEVLCWDVKKGELLGRWRDTSCKAQVTAITQSQTDEDIFAVGYEDGSIRIWDS RTATVIISFNGHKSAITQLAFDNAGVRLASGSRDTDIILWDLVAEVGLFKLRGHTDQI TSLHFVFPSMELLNAAGMSDHAGFLLTTSKDSLIKVWDLASQHCIETHVAQSNGECWS LGLAPDQSGCITAGNDGEIKVWSIDEAAMLEISKEKVGSENQKILTARGTFYRTGKDR TIGISFHPRADYVGFHGSEKAIEIWRIRSETEVQKSLARKRKRRKGKDAQRSADGQEE NKPEDISSAPITEIFVSHVIVRTGGKVRSFDWVRTKSSGNIQLIVASANNQLEVYTVP TASKKKEDDDESDYNRSLAVDIPGHRTDIRSIALSSDDRMLASASNGSLKIWNTKTQS CLRTLECGYSLCSSFLPGDKIVVVGNKNGELEVFDIASSTLLDTIKAHDAPVWSLHVH PDGKSMVTGSADKTAKFWNFQVVQEEIPGTKRTTPKLKLVHTRTLKVSDDILSLRFSP DSRLLAVSLLDNTVKVFFTDSLKLFLNLYGHKLPVLNMDISYDSKLIVTCSADKTVRL WGLDFGDCHKAFLAHEDSIMGVAFVPNNKEGNGHNFFSASKDRVIKYWDGDKFEHIQK LQGHHGEIWALTISHSGEFIVSASHDKSIRTWIQTDEPLFLEEEREKELEEMYDSTLT ASLEDEGGEGEQAEAVDAGKQTNVTLMAGEKIMEALDLGMEDLEIMREWRAVKASNPN AAPPTRNPVYIALGNISAEQHLLNTVQKIPPASLQDALLVLPFSKVPALFTFLNIWAN RQWNVPLVCRVLFFMLKTHHRQIIASKMMRPMLDSIRSSLRRVLAAQKDEMGFNLSAL QFIGNQVREQGTKDYVDEDTWEQDHPVITGTGKKRQFVQIAS ACHE_11788S MLLLDYQNVLIENLLTERFSGAPPVSIDQIASDFDGVTFHLSTP ESKSQILISINVKCFKDLVQYGAFDVLQREYGPYIVNPEPGYDFSVLIDLENLPAEQE AREELIMRLALMKRNAMAAPFERAFDEFAKLAEEASQFSPEATPPGVKEGGQVMAIHY REEEAIYIKASYDRVTVIFSTVFREETDRIFGKVFLQEFVDARKRGMALQNAPQVLFR NDPPLELQGVPGVKPAGEGEMSYVTFVLFPRHLTPQRRYANISHIQTFRDYFHYHIKA SKAYIHTRMRKRTADFLQVLNRARPENEEREKKTASGRTFRVQG ACHE_11789S MPLAASCSMAVSAAYHPPPGDDNGLKPVMWGEIPATQLDRAKTS SESDNEEETMRSASNLNTRDHGSDNEDSNSD ACHE_11790S MVKQSAELEKFAANHKALLKRLIDSAEIPGAFIAASMDICTKRV KTTNKLGTALVVVVPVLPEGASPIPEDSHEEYEEVSLVLVAKDKTVVADTLYPEREPV RDPLQ ACHE_11791S MLVSYSALYAAEHRKPTAPTKSVAAPAPAFTLDTIHHIEEAHMI HLGRQVEVSKQLFPNEDFKLHQVPSGGVAALTIPFLGQQINRTLGLGMEGPVTDYDIR ELEELHRASNLPVSVLLCPYADPSALQMLAVRGYGVDSFLNIYARSLNDIPVNMDQEP EYTFGFDTRVSRAPATEAGMAAFVHNSVAGFMDSGRPVTFLRAVAEMAALRKDTILYL AKIDGQIAGCGALALMETEYGRVAHIYIDSTTPAFRGRGVQRALIRARVLDAKRLGYN FVTVHANPGIGTGRNVEKEGFRLAFTKPIFTKRQN ACHE_11792S MSAGAQHKLFQPLQKPLHDQDHDPIPPGSSGSGSTVAPSEASND STSSLVVVDWDGPDDPEKPLNWSRAKKRAIISSVCLMRFTTPLASSMMAPALLQIGDE FSGTSEMLITFTVSIYIIGFGIGPLILAPLSEIYGRNLIYNVGNVLFTLFTAMCGVSP NATALLIFRLLAGVFGGAPLTNGGGTISDLVPVNERGLIMSIFSLSMLIAPVVGPIAG GFLSQAANWRWIFWLLTILSGFTTIVCFVFLRETYGPVLLERKAARMRKETGNPHIQS ANKSPLPLGQLFRQVITRPVEFLYKSPVSIVMALYMGLVYGIIYLLFTSFTQVFEDTY GFSQGVAGLCYVGLGLGCATQLFSGHYSDKLYMQLSQRNGVQRPEYRLLLLIPAALSL PVGLVIYGWTAEFKIHWIVPIIGTFFIGVGFSGSMTSVQTYLVDAFSSYSASALAANN VVRSIAGGVVPLAGPSMYGKLGLGWGNTLLGLLGLVFGLTPMYFYRYGGTKKEKKEEK EIPV ACHE_11793A MVHPQEPPVLDFSVFYGSDSQAKTKLLQDIRECCLKNGFFQITG HRVSRELQRRTMSCVERFFDLPLEEKLKIDRSRNPFNRGYEVMQSHMSQPGSSPDLKE GLSIGQDLPVHHPYCVEKKFNCGPNVWPEALDDLEEFKCTTMEYYDAVFQLAKDIIAV LALTINGDEGLFAPYTDGAVATLRYLHYPPQPPKTYDNARGCGAHRDYSGITILLQDE VGGLQVLDEPTGQWIDIKPTPGAYVINLANLFSRMTNEIYKSALHRVINKTGLERYSI PFFFTGNPNYICKCLSEFQQEGEPAKYPPATVSDIVGAAMRGTVERAKLFNAKK ACHE_11794S MSTYQSAIKIAAVTGALFLSGGIAAHGLATVPALLESISEDGTS SHAMVQVWRRVYEQGHANSPKIAVLTTVAFAYTAWGANSQQISTRIPMLLYSAAASLV VGIVPYTLIFMEDSNRKLLERAAGSKHYSSSSASSEKGPEDLIDQESNEGLLRRWRTL TLTRALLPTTAGFLGLLAITHYE ACHE_11795A MSEPLISVVCTANIPPTILSTILTNTYDNDPECEPALILLSTNS KSDWEAYTLDSATQAPVTESFVSPFVGMTVQQIARCLRANASGTLLSETYFYVADERT AEDQTLLLVEVEGEDVEGLRSVRVSGECANPDGVALTVGTIGFEEIESLIGDDGVYHG ACHE_11796A MSLPQLPKELLQMIAEYLVSHREINHFCQTNREIYKKLIGYLYW YNTEYYRSDVLQWGAIHGRADAVRAAIRHGANVNTSARISGTTLPERYHYFCPLLQME MREMVQNGEQFVLLNGDSTPLLLAAGGGCEDVVQALLEGGANHRHGGSIRMSPLHAAA AGGHAGVIEIFLKNADFTTDDCSRALGMAATYGHVEAVETLIRHGADHNGPSEHPALI SAARQGHVGVIRTLLDHGADIRIKNKSALLHAIEKDHPDVVLLLLERGEDIESRDYEG VTPLMHSVRMRSEKVFDVLIELGADINVVDEDNQTLLWWAREKYKSGGPAAIHIYSVL SYLAGKR ACHE_11797S MDKISILPLELSLIILSHLPLKSLLVFGATSRKNYERHILCVRR LRLAVFQKRIHSVVSFLQAGWANPDQISESGDVKSSDTEPSMHIVNIIQPRLPFTSTG SSSLDEKYDAKHSLKVLQRCSRPNLLMSQMVRNQNEVFAQFVNRYGKSLTELEFMAYD LDTQGAQALGLNCQDSLRHLALRFEHPHIRDGFTKPAMWFKPAPGSTAWNTLIGIGPQ YKPRGKITGLETLTMERTGITPWQLSMLVRNNPNLKTLKLRTCSGAQPEFLDWLGGID EGSDSEGESMRDDYGLAPGAQLEVLWLENCQQLLDRTVKFDKLPDEICDYGLKWVRGL TNLKSLSFSECACLSPEQVDRANKTVWRIPEVILPHSPPFADSILEVDPMFRRI ACHE_11798S MRNSRANHLWGTAFSKLFPKRSSREKEEPKPLEPATEINELTDG FYMYSQSLLEEEAKKREAAMAHEKQMSDSSDNSFASAMMSPIERRIHPYAIDNPDWEL PGALIEEIRDENGLDKVSSIMSVYSKVYGVAKIVYVAFVSFGVELDDFPMGFLFHIKR AEEYVRSVSLAELKEMVENLYYAVYARLIAEMANVELCSSFTVDIRRASAKDTIALPE PSHMYKIFLSCKEILDSPSICSELNKHTIQVCQENFIRRTANRMSSGGFSLDDVLGYR RHILTEVSNELSPFCQKWHRLSVLYRMPAAEILAVLSEKYLSIMPKSPIAEEIPVSDL PFINPDTIRPDVWERQIIQDHRQATLAKLEGRSIGDIRRENNGRRLLDFVKERKCVCP SACDCAHDCTMNVERPCVCAPRMMRIMVAKQRRGPGAQPLGVRCNGLAKAIFDGLAVI SRDIGDSQMVAELGIAVQLLEEEVQKERMGGE ACHE_11799S MVIKVAAAVTPATPSSTVIVRRYNLATTSISTQHTTARSFSSPR WIKALWSGRQTPVRWYRAWPSYGVITPGSENHNQIDSSTKTTSSASHNNTHHKLPFCF ETGYALCAKRPPRPFPPPFLSPPSSSFSEPLTTHNLSQDKRLSIRGERIRGLNNGDDA VLVAENFLGVNDGVGAWATRSRGHAALWSRLLLHFWALEVERNLDDTAAPDPVEYLQR AYEETVEATTSPSEWYGTTTSVTALLHSTRDDAGEHKPILYVTNLGDCQVLIIRPSEE KVLFRTQEQWHWFDCPMQLGTNSIDTPRKDAALSKIQLQEDDIVLALSDGVLDNLWEH EILTITVESVKKWEQGRNEDEDSEWAPPAALADERMVFVARELLRAALVIAQDPFAES PYMEKAVDQGLAIEGGKMDDISVVVGSCKRRER ACHE_11800S MRAKRSKKYRKLMHQYELAFNFREPYQVLVDSNFLRATHSFKME IIPALERTLQGKVKPLLTKCSLAAVMASQPINPRTNAPYRPDHLPPPTVVPLRHCSHN EDDTPIDENDCLLSLFSPSPDSNSKKNKEHYILATADPQSPEKVVATTQGDHKKKKRA ETDAVEAVRKSRALRDQTRAIPGVPIVYVKRSVMVLEPMSVQSEGVRDGFEQSKFRVG LGEEPSLGKRKRDGEGGGEGEAKKKKKKVKGPNPLSVKKPKRREQPAAQGKKHEQKPK ESEGGDAPEQKQGDSSAPKPKRRRRHHSKKEGGGDGGDGGDGAEPSNNGPADPMDVE ACHE_11801A MHSHLHTPYNANCEEIMNALDECHARGFLHKAIGSCNDIKRDVN RCLAAERYARAKRNRDQARDNRSRIEKIWAEERVFEGKVPAGAAAGSGEGEKQ ACHE_11802S MSMITTSTWVRRGVAAQFPTKYEIDENEMNRISNLARMQLEEAQ GDLSAAQEGKEDEAMDEDEEKKDAMEDDEKNGSEKKTDDDDLKEYDLDHYDSDEVDED GEKITMFGNVKSLAYHQPNEEDPYLVMPPEEEEEEREELQILPTDNLVLAGKVEDEVA HLEVYVYEDEADNLYVHHDIMLPAIPLCVEWLDIPVGKAAEGRTSGNFVAVGTMESDI EIWDLDVVDCMYPNAILGQGGNDEEKKSKKKKKNKANDEYHVDSVLALAANRQHRNLL ASASADRTVKLWDLTTGKCAKSYTHHTDKVCSLDWHPTESTVLLSGSYDRTIVAADMR SPDSKARWSVDADVEAVRWDIHDPNFFYVTTDAGMVYRFDVRNVPASPQESKPVWSLQ AHDSSVSSFDINPAIPGFIVTGSTDKQVKLWNIADNKPSMVVSRKPEVGKIFSTTFAP DTDVSFRLAMAGSKGVVQVWDTSTNGAVRRAFVSRMPSLAGDVQERTVGVAPDQNDSD DDGDEGEAGGADAVPGADGWESMDED ACHE_11803S MDYRTREIAGSPDARKRAPLPMAMASGWDASILGLFCDCEFAVL ASEFRVQGRVGLDADAEDRSR ACHE_11804S MTSLTRAFTKRHKRPEVSAPMPYREGQVKFSSGTIKRGKISGPV QLVSTTNMLAYNAPDLGSATSSSSSSIRSPDDSEMSFSQHSFGTPITSPDDSSRDVSP IEPSQASYFPKQQFMPKRSATTTSHTRSSTSTTSSTDAPMVPRRALSHTKRSHQELAR QRSLSRLDPPPLNVSRAPSVRQAPDPSFKTEAHPFGKELEQVNEVAEEFGGTTRRFDE EEAVLFSKGLMKFTVDDYLVEVNDLYGSIFDDQLGPISASQWL ACHE_11805S MVTFATPASRPAATSSKPKHNPSVLATSSPVPILKTSKTGTSTP SLKRKMTDMDLSLSPSSAASEGSDGAPSPKKRVRVQFDKEVDMREVPANEPKIREHNT GTAMEKNAAVVREEVRRALQRHISGTDSEAYDRIKEIFSIDPKRQDEDRPSYDIPSHS TLKHHLMGLLSNVASLDRSCNGLVHAILSSEWLGRDESYVKLFIRFMGNLAAAQGSYL GSVLKMLVNNMCELPKGIGRIPGYAPVQASEVYTRVHMALRYVLQLIPSGSGSLSPIL STNFPFDTDSAKANIAYTRNLLRVVSYAPELQADVLALVTEKLVKIDVQIQVDMEDFE DEVGEDLLHGTDVEEDDDDDNASVQSDDSADDESRRIQTIKDNILKLDGMIDLLFEFY SPPFTSGTLDEKENALDLLLSHFQSIILPTYRSRHSQFLLFHFSQSSPILVDRFAATC VQLLFNKLQPVIMRQSAAAYLASFVARGAHISGDVIRDVFDLLTTHLDNLRIEYEPAC RGPDLRRYGPYYSTAQALLYIFCFRWRDLTTAAMEGDTPDQVDELEPEEIEFPPYIKE TLHRAIQSKLNPLKVCSPAIVSEFARISNHLDFLYLFSILETNKRIRITSYRSLSTMA DPRFSQVERETRAGDDLGYQLDAYFPFDPYQLPRSRRWIEGDYVHWRGIAGLHDDDDD ESGSEAGSDDESVSDDMTETDED ACHE_11806A MSVESYYTSLETRLGNALLFGGRSHLPYYPSLSPNAGLWEYIKS LSPFPIYPALLAMENHLLASLKIQGQGREVLDAGCGTGDMAIYFSKRGLKVHAIDLLP DKITISRKNAETELGRVYKTGNHSVVKSLESLSIQEGDYHDLSSIFPENKFDAVYTIE TLAHATDLPAVLGEFYRVLKPGGRIALYEYDHWMPSASAEDEMSKVHQYGGIDPTATR TSATNTGGREKDGRGLAAIVRDTGFTDVHEEDLSANVRPLLRFLVVCLFVPYMIVRML GLEARFINTVAVVMNYRRGWKYVVVTGRKA ACHE_11807A MPNFSRSLSITDIDSQIFFDIHQGDPNTTFWRLSPEEIVFQPDN LDRALESHDNKWSPGDILAELRLNTIIGFTLRQVVERESDRHTGLKKVKSLGFRQSVA VEVLYKYEPRRLIGFCDHILRYWHSSRTFAAVIGGEESRDEVLGVISMLHHERRTTGR SDCMVYGLATDGYYFHFVVIDNDSQYSWHTLNWNSQFEQVEIISQMTRIIKHAAGLAV SGGSVNSRRRPDSESFDSWHRRDLASNVGGYARVARYCLLVMWNYFLSKASA ACHE_11808A MASSRWWLFTQAVFWRFLMRIGMFIHDIASPRPPSPSFTRSIPS GDNDSTYGSGAILHFYCPPGYYRSRKEGRRLPVVVNFHGGGFTLGCATDDGRWARCII HEVGAVVVSVAYRRAPEYPFPTAVDDGVDALQYLARHAAELGLDVSRIVLSGFSAGGN LAVTVPLRLRDRMVREVYPYPASTASTSNLSRADSTQKLVDMSNDLHIVGLFCWYPIL DFEESRDHRRARSAFPDKTLPAFFTNLFDESYLPDYEERKSPYASPIRAPNEILADAL PHDIYLYICEWDMLLNEGQMFVRRLQGLGKRVRAMMIEKAIHAWDKSPNPFRDQDKVD ILYSDACADMKAIFER ACHE_11809S MSIRRALSRIKPRANHHEDESTSSASRVASPSPRRSFLGGLLRD RDYISSSDEASDDSASAGTLSKNQQKRMARRQRRQERARQSEDQRSEDSERRRRDDIA LAAQQETPEMKARYGDLPLMQSRDRPRDQRTRFENISKGIAGQEVLFTARLHIVRRMS AKLVFLVFRQQLYTFQGVLHEQPGSKSLAMVHWAEHLRLGSIVRVRGHVQIPDVPVLG CTIHDVELAVDEIHVVVRRDDPVPFSVYEAEIRTAEEERIEGRRSRIPDRTRLANRVL DLRTPTSQSIFRLQSAVCNLFRFALDGEGFIEIHTPKLQGSATESGASVFGLSYFGRD AFLAQSPQLAKQLAISADFGRVYEIGAVFRAENSNTHRHLTEYTGLDVEMAIDEHYHE MLEVLDNVIKSILKGIYSRHRREVETVKHLFPSEDLVWLDETPVIRFADGIKMLNDSG WRDEEGNPLPDDEDLHTRDEIRLGELVKEKYGTDYYILDKFPASARPFYTMPDPENSR YTNSFDIFVRGQEIVTGGQRIHDARMLEESMRRAGIKPDSMEEYMEGFRWGAPPHAGA GVGLERLLMLILKLGNIRLASLFPRDPRSFPSKPPVIELRHPESSTIDPPWQREKRSQ LAERDSDLQPLAHLIANYGDATSTSWGDERYRIWRDMATGAAVSYVPSVNNHVVIPGN PLCDPSQYNRIISQFLHWLHRETKYKPIWLLCSPEVESILGDKLGWRSMSCIAEERVD PHRNQAASDGEIARKIRRSENEGVKIVSLNKGEMVSDDVRQKIDQRINDWLSNRKGTQ VHLSDIQPWRDHEHRWYFYATEKSGQICAFVTLATLSPVHGMQIKYSLDFPNAPNGVI EHIVTHAIQTAAQSGIKSLTFGAGATASLTPGHNMSGAKVKFLRNSYEGVAKQFNLVW KSEFRAKLGAVEDPLWLAYPPHGLGSKGIRAILNFFMD ACHE_11810A MLQFLLALLFTLTTTLANVEKTIFLAPTPSPLSSSSNITPDLSD LGLDLLSPENTILRTTLNASFPSPASPEGTESWFLLEGLNPGQRYEVRVCWLATQPTS FMLSTYPLDAIIDEPSLLASISHFSSARLASVDGNNPKDIVPQVSNSHHAPRRSLRRT QSRDSDSVLFLRIHAAADYFTNEQGLMENVPPVIADVILDPFLGNVFPKSLVPTACWG LLVVVAAIGIARWVVKEFGRVILQGIGNESAAGSTEKKGQ ACHE_11811S MREVISLNVGQAGCQIANSCWELYCLEHGIQPDGYLTEERKKQD PDHGFSTFFSETGQGKYVPRTIYCDLEPNVVDEVRTGTYRSLFHPENMITGREDASNN YARGHYTVGKEMIDQVLDKVRRVADNCAGLQGFLVFHSFGGGTGSGFGALLMERLSVD YGKKSKLEFCVYPAPQNATSVVEPYNSILTTHTTLEHSDCSFMVDNEAIYDICRRNLG IERPSYENLNRLVAQVVSSITASLRFDGSLNVDLNEFQTNLVPYPRIHFPLVAYAPVV SAHKAAHESNSVNEITMSCFEPNNQMVKCDPRNGKYMATCLLYRGDVVPKETHAAVAT LKTKRTIQFVDWCPTGFKIGICYQPPQQVPNGDLANLNRAVCMLSNTTAISEAWSALD HKFDLMYSKRAFVHWYVGEGMEEGEFSEAREDLAALERDYEEVASDSLEEEGELEPEY ACHE_11812S MAEAGSRQPNLNLTPEEKRVFYQLFQAADTTNLGVITGEVAVPF FEKTRLPPEHLGLIWQIADRENRGLLTPSGFGVVLRLIGHAQAGRPPTEELALQPGPL PRFDGIVVDTSMPNSRDVGTTSPPPSAGGPIRVPPLNPDDVNKFTSLFDKSDVARSGI LPGETAKQIFERARLPNEILGRIWNLADTQQRGALDATEFIIAMHLLTSYRSGAMKGI PQTLPPGLYDAAARRGSRSSMGSRGLDVPPVPAIPRQFTGPQRTQSPLSRQTFPQPLT AQGTGGGVEWLITPQEKVHFDSIFNTVDSAKLGMITGDQAVAFFMNAQLPEEVLAQIW DLADIDADGQLTKDEFAVAMYLVRQQRSGQEPLPQTLPPALIPPSMRRPGTAQMAPAV AQVPAPAPTPGPPPAVAAAPSPRSAADDLFGLDSPAAPAAPSQIPQSTGGSNVPFPAP ASPKTSTTFKPFVPTSSFGQSLQPQMTGGPPPVRSPPPPADDLLGDNDPEESKKLTNE TTELANLSNQIGSLATEMQNTQTKRNSAEQELSQSSQQKRDFEARLAQARTMYEQEVK SYKALEERLNASKSETSKLQQEYALIEGSRQDLQNQYDQASAALTADQQENTSLKEKI RQANAVVAQLKPALEKARSSGRQQKGLVAINKKQLATVEGEQDKIRGEIEDLSKDTER EFAASPAPETGAVAATAAAAAIPAVPTPPPQVSTPAESTTSQNTNPFFKRTATGSSGN ESRPISPAVSNDQRSTFDNLFGPSFAPPPGATPPPPVSFGAESPAVQQAAARSPVGSG APTPSVSPPPATLTEPPAPAESRQITPSALPFGEPQSVTSSTKVSPPGSNFGGFDASG FATPPQGNISPAPIERTASKSPFDDADTRFPGLQNAPGPAAGEGATKDPSFDELFGGP AHQRSQSQKASDFDEAFAAMKQGHGAEKPNGGAGVAESEFPPIRELDDDDDSTDTEAP MGFDDNFTPPQGQTGETAAFPPPTATATAAPQPPPAGAQASPPTYDQSVPHQEPGDLP AEYNGLLPNREDPTHAADAPHSVESSTGAPVIGGEAQRDVSNKPGGTDFDAAFAGLNL APAKEAEDDEDDFETGDQNNKDFDFSFDNPSQQRTASPKPNNNVTSSDFFSFDSNVNA QSSDPFSQPTATSPSGGTPKPASHEWDALFAPLDNINPETQAPANGGQPAPADSKEPG WALQNDTGEDDLILQRLTGMGFPRNDSLAALEKFDYNIDKAVDFLTSKS ACHE_11813A MPTAEYKGPSSYVDYGRQGSLTPIFPNSSYDTPKPSLNDDEENM DILIEELESVRGIHDDGDDSQDEPGYAGTVPDELLRTDPLRGLDDKEVLARRKKYGLN KLKEDKQNHYLKFLSFFVGPIQFVMEAAAILALGLRDWVDFGVICALLLLNASVGFLQ EFQAGSIVEELKKTLALKAVVARNGDLVEIDASEVVPGDILKIDEGTIVSADGRIITD DAFLQVDQSSITGESLAVDKQLGEVCFASSAVKRGGGLVIVTATGDHTFVGRAAAMVN AASSGSGHFTDVLNGIGTVLLVLVIVTLLIIWVSSFYRSNNILTILEFTLGITIIGVP VGLPAVVTTTMAVGAAYLAKKQAIVQRLSAIESLAGVEILCSDKTGTLTKNKLSLSEP YTVAGVEPEELMLTACLAASRKKKGIDAIDRAFLKALRYYPRVKSVLTQYKVLEFHPF DPVSKKVTAVVESPEGERITCMKGAPLFVLRTVEESHRVAEEIDADYKNKVAEFALRG FRSLGVARKRGDSQWEILGIMPCSDPPRDDTAKTINEAKTLGLSIKMLTGDAVGIARE TSRQLGLGTNVYNAERLGLGGGGTMPGSEVYDFVEAADGFAEVFPQHKYNVVEILQQR GYLVAMTGDGVNDAPSLKKADTGIAVEGSSDAARSAADIVFLAPGLSAIVDALKTSRQ IFHRMYAYVVYRIALSLHLEIFLGLWIVLMNESLNLQLVVFIAIFADIATLAIAYDNA PYSKTPVKWNLPKLWGMSVLLGIVLAAGSWITLSTMMMAGEDGGIVQNFGRRDEVLFL EITLTENWLIFITRSSGPFWSSLPSWQLAAAIFVVDLLATFFCLFGWFVGGQTSIVAV IRVWIFSFGVFCIMGGLYYLLNGSTGFDNIMHGKSPKGNAKQRSLEDFVVSLQRVSTQ HEKNS ACHE_11814S MNREIPGFYYDPEKKKYFRIQASHKAVPGAQYSKDAVKRKRIEQ EKHECKARQTKKIAKEKIKKASFLYHPLIGCEREIGAQNVAHPVRREHQGLVYASQMR RRELHRFEPWPDEYSIRHVLRNKHSGVLVAGGQRGGESSVSICFPDLDQDQWSYNRTM ERVLFKEAYRLSSISLSHTGYLLTTMDSGPNGDSFLAPRMLPDPDEGGDYRWPSAFTH PIRILTSTTYWSSASCPTPTGPKPLFAIGTSDGLQILTGQGSHWSLSKTPFPDDRKHR RTQVNAVEWLSGDVIAGGCRDSSVFLHDIRSGGSAVRLWHPGAVAVRGVRRVDEWRVV VGSYNSLQMYDLRYPPTHQQSPNSNPTRHAGHNKNRNESKRKHTQSNCNTPTKPYLVF PDYSPTYTPEYDLSTELGLLASVSDENKIQLFSLRSGELVSPYTSPVCRYTYPKPISS VRFEDGDVSAKGPQTPGLLVTAGDSVNEWLW ACHE_11815A MLPIEISRSISQQWPCRELQSRQLASLLSPHIASPSTLVVHGIS ATCKSTIVRAVVSALQVPHTIVRSTECITGRHLLTKILWTTLEALGRTNEWEKFGKGR CEHVSALAVLLGEILASSEDGKFVLVLDGIDKQREAPQTLLAALARLGEVIPSLCVVL ILNSTPRPLFLQAVAVPHISFPPYTRNEATRIILNADSPFVEGLPEEVITKVYPQFVP TIFDSLVGPTASSIPVFRSICDKLWPQFVAPIVNGETPPGGTGEWDFTRLLVRNRSLF RQQGEAALVHHIVPEEPTQVAGTIAKPSLKAVSAPSPLPSLPYFATLILTSAYLASHI PQRLDTIFFSKFSSSSLSARNKRAHHRRRLKLLSRAQAEDNKAIDPSTPKKGKRQKTR ITKSTLESAFATTSATTSAVGGAPGVAGPSTILTARPFPLERLVAIYHAIDPNPPANP IKVAAVSDAIYAELATLRRLRLVVPAGARDSSGGSGRVGGGSSSGSTSLSSGNTTADA GDKWCVNVSGDWIGEVAKEVGVEVGEWLAGGLD ACHE_11816A MAFKSGLSERLDELRFPSPRSPPSESPYMGYTTMAPAGHPNMAS AFSRPSGDVRANLHRRFTTDSSKLSSWNYFSQPGNTPHVTDPQELVSSANNSYKTQLF EKKRQHIEYMREQRRRFEEDMKLLDLQHEKEKLEMDQLARDLAKAGIPGPVSEPTTPP EYHDNGIAAGFSRPTRFSTSSVTSSPGFFNVFAPSNQMSSSPNGAQTPTNRFSVHSVP GSRRNSEKEDFGNEQLSSPFRPGPAIHRYSMPTANLSQFRANAPAPGFNNPSGLDSFS AAKYLFPHDDDRDTVRDEDRIPTPDIKSYLKLTEPDDKFPTLSRRDENGLLSANSDAL DLANSRTPNPESWNAHNRHRASHQSMPQNTLNMFRLNHQLGSPTGDNRPTGVNVARHA ARHSLEANLFYGNEDTRENAASTASSRPTSLQSSYSTNDLPTVKDNDFDPAITPPKAH ADVFQGRFRQTRGSPDHEDAQRPNSQSSTTTLQASAAPFGPQLNMTASNPIAPSSTTA TPLTSVPNSFYGYGMQPYLGNPMQVNNQLPNYNPPGSFAGYGPYSGFRVNDTPARGAV GARRSGDGESTQLSRFANFPLEHYRGELYSLCKDQHGCRYLQRKLEERTSEHVQLIFE ETHPHVVELMTDPFGNYLCQKLLEYSNDEQRTALINNAAPELVKIALNQHGTRALQKM IEFVSTPEQTRTVIRSLENDVVALVQDLNGNHVIQKCLNRLSAEDAQFVYDAVGANCV VVGTHRHGCCVLQRCIDHASGEQRARLIAQITANAFSLVQDPFGNYVVQYILDLAEPH FTEPLCQSFRGHIPALSKQKFSSNVIEKCLRTADLQMRRQLIEEMLVGNELERMLRDS FANYVVQTAMDFSDPEMRAHVVDSIRPILPAIRQTPHGRRIAGKIMASEGSGRGNAAT SGQVAPNEMNSAQLPGPLQGAPKPLMYQQNAFASASLGRQFANQNYTPASGSSSGSNA SSGGASDSSGSLYTPAAQQPTGNFGAQGPLYAYF ACHE_11817S MDTGIIGPVTVMKHFVAQFGNQSATVHGLIVSSILIPAAISSFF AAYLADRLGRPSGISIGALSFGIGAALEAAAVHLAMFVVGRCIEGIGEGLYLGTLVVY ICEISPTSVRSALTTGPQLLITLGLVMGFFTCYGTSRIDSSFSWRTPFLILACFSAVF SVAAYL ACHE_11818S MGMQQLSGIDGVLYYAPLLFEQAGLISSEASFFASGVSAIVIFA VTIPALIWADEWGRRHSIVYGGLGLSITMFLIGALYASQTVHSSTGAGRWVVIVSIYI FAVIYSLSWAVGIKIYAAEIQPQRTRASATSLAHGSNWTTKFLVALTTPILLSTSSFG AYFLFGGCALLTAFICAVFMPETKGRSLDEIEEAFKWKVVGIQNLAKVFPLLR ACHE_11819S MPPRRRPQASAAANAEVARNAAPGRKRPSQSKSASASQPAQAPE QQQQDGPTEKHEPYIPYPYRHRDPFDALLEPFYYNKSLTDPINTAQDKWNLLPAFLKV KGLVKQHIDSYNYFVEVQLKKIVESSSTIRSDVDHNFYIKFTDIYLGSPRRADEPQDA GFNVESTISPQECRLRDTTYAAPIRVDFEYVRGRQRVIKRGVSIGRMPVMLRSSKCVL ANKTPSEMTVLNECPLDPGGYFIVNGTEKVILVQEQLSKNRIIVETDPKKEIVQASVT SSSNERKSKSYVVFKKEKLVVKHNVLSEDIPIVILLKAMGIHTDKEMMLLVAGVDKVY QEDFAINFEDAIKLGIHTQQQALEWIGARIKINRKQMSYRRTHVQEAVEAIASVIISH IEVKNMNFRPKALYVAHMARRVLMAKNDDTLVDDRDYLGNKRLELAGQLLALLFEDLF KKFCFDIKMNIDKVLNKRNRAEQFDAWSVMSMHGNHITQGMNRAISTGNWSLKRFRME RAGVTHVLSRLSYIAALGMMTRISSQFEKTRKVSGPRALQPSQFGMLCPADTPEGEAC GLVKNLALMTHITTNDEEGPVRNLIFMLGAEDIQTVGGKELYAPGCYTISINGTPTAL TRRPKYFLEAFRRLRRMGRISEFVSIFINHHQRAVHIATDDGRICRPLIVVENCKSMV TAHHLEKLRDGTMQFDDFLAQGLVEYLDVNEENDSLIAIYEKDISETTTHLEIEPFTV LGAVAGLIPYPHHNQSPRNTYQCAMGKQAIGAIASNQFLRIDSILYLMVYPQKPMVKS RTIELTKYDHLPAGQNAMVAVMSYSGYDIEDALVLNKGSVDRGFGRCQVYRKYVTNLK SYSNGTKDRLDPPQYENDAPIRKHALLQSDGLAAVGEQVNAGETYINKSTPDQAHSSG ITGSDLGRPVSFQPTPMTYKLPDPAHIDKVMVSATEGENQLIKVLTRQTRRPEVGDKF SSRHGQKGVVGIIADQSDMPFTDSGINPDIIMNPHGFPSRMTVGKMLELVAGKAGVLA GHHGYGTCFGGTPVEETSRILVEKGFSYGGKEYLTSGITGEALPFYVFTGPIYYQKLK HMVQDKMHSRARGPRAMLTRQPTEGRSRDGGLRLGEMERDCLIAYGTSQLLLERLMIS SDRHEIDVCETCGFMGYLNWCQRCKSSRGVVKMAIPYAAKLLIQELMSMNVTARLKLE DEFPESKGR ACHE_11820A MFQQSFKEKTSLNDPDIQDNPFLRPGFGLPLDFAPMQKNVYGIH NRSLFPNANDDRDIKQGNAEYIHNDDVAEAWKKEAREKEPDITPNMENWIIDELRFKS ALYEHSGAIALYNGDVTKSDVNISDEFRVRLLNAVKRLEDVPQEMRFFNPGTGYVQED LLPVAFCCLVYGRTRALTDKIIGTDESLKFIGQGEVIPPPEETGITREDIATRVATQA DIVTRPYSRQFQMLPFEMNLGDDGKWHITSYINNLHPDKYSELYEIIEELFNKVTSQL EITLTPLKDMLHSRARIEYRKAEYYPLPKEVEEQMPQPNEREAEIEYEDRLQNWRMTN LEAVQPDCGRFIPWAVPQWMMNNIPMDLSTPLRVENEVLLAKDFAKHGLQLIVRIFNI HLSPEKPSFESGWHGAGQMNDHVCASAFVTIDLDNATVPTMAFRHLAETTSLDEVEHH PEDTVWLKKIFGLNVGDPAIQEPGKIRCFPNRMIMFPSTVQHRYEGLELIDRSKPGGA KAFSFFLVDPNIRITSTANVPPQRLDWAFQGADYDEFLNLNISLDKLSLGFQKQSNLP FSMSEAKRFHAQALKEVIEFTKYTDVAWDSKKVNI ACHE_11821A MAGKPLDGQHPLASFSRLSPSVYLQEPDETVKYKGQYPKTIVLA FWMNAPPRALAKYVLEYRRLAPAARIIFVLSSSNDFLFGASKETQQARVMPAVEAIRG SSSPGDPVFLHMFSNGGVSSTTNLLETYEKLTGNPMRVSSMIFDSAPGTTTVSGVMKA FSFAFPGMLILRFLLKIALYAFLFLNAIFRRLTGTKDAITTARDAINDSRLLHGIDPK GRPKRCYIYSDADDLVHWRDVERHAYLAKAMGCYVRREKFIGSPHVSHMRADPERYWG IVQKYLFARA ACHE_11822S MTSTPPDRRPIVISGPSGVGKGTLAQKLLDTHPGTFAFSVSHTT RSPRPGEVEGANYYFVSPATFSSLISQYAFVEHAVFSDHHYGTSKQTIADQMAKGLVV VLDIEMTGVKNLKADSGIDARYVFIKPLSFEALEARLRGRGTEKEDDLQKRLNQARVE LEYASTPGVYDKIIVNDDLERAYKELEEFVYRPMS ACHE_11823A MFRYDDMEFGSSMLRAANRRFDEYYRCYPVAMMPGPERENVNHG GKVIMPPSALDKLTRLHITYPMMFELHNGARELMTHAGVLEFIAEEGKIYLPYWLMQR LLLEPGDLLQIKSTDLPPGQFIKLQAQSTAFLDISDPKAVLENAFRNFSCLTKGDVFT FSYNDQVYEMAVLETKPDGKSAVSVLETDLEVDFAPPVGYEELQKPSGTSTPRSGVSG KLPSGGLLHPQGTMAQSINYAAIAPESTEAAAGAKAVSSNFLSGGHRLNAKKGSKTPT PQPSTPAPGTTNPQHPPPARRTNGPQPLRLPPNQLFFGYAIKPVKKRDEKGQVVEEEE KPRFQGAGQTLRGKKKDTGRSETPAGS ACHE_11824S MSASARPKRAGEDFTRTHHHDEDDPNGPASHKKPRFDLRNPSAL APDALEEDAVLDADEIGRRGQQVRRKAVNLDGYDSDSDNEGFSARIEKKPENQRKQHD ADDDDMFAELQEDFGAEEIDADEAMRKNKKNVRFLQDDEIQGQVSSSRGGHAMRADLS KGRGEVDQEDDDESEEDVAEEDRAKVDEEMDEEIGAGGKKKNAPLLDAFNMRNEQEEG KFDDQGNYIRKAADPDAVYDTWLEGVSKKDMRSAKEAAEKREAERRERDRQDDSILTS DVLKTIITHLQRGETILEALARIGKGVQRKPKWQQNKQRNKKKQNVAAAEDTEMTEDD PKEVVRKQTIEAITGAADILMTRGQAEIYDTEREMLTRQYKRETGEDWVDPAESTDTG NGAGGPGPVMWEFRWSDARDGGNIHGPYDSAMMESWKGAGYFGEGVEFRKVGDTGEWR QDVTFS ACHE_11825A MAAPISTIAESKELRGLNLIAAHSHIRGLGVDADSLQPRTSSQG LVGQEKARKAAAVILQMVKEGKIAGRAVLIAGPPSTGKTAIAMGMAQSLGSDVPFTML ASSEIFSMEMSKTEALTQAFRKSIGVRIKEESEIIEGEVVEIQIDRSVTGGHKQGKLT IKTTDMETIYDMGTKMIDSMTKERVMAGDVISIDKSSGKITKLGRSYARSRDYDAMGA DTKFVQCPEGELQVRKEIVHTVSLHEIDVINSRTQGFLALFSGDTGEIRSEVRDQINT KVAEWKEEGKAEIIPGVLFIDEVHMLDIECFSYVNRALEAELAPIVIMASNRGHARIR GTTYNSPHGLPLDFLDRVVIVSTQTYSGEELRQILAIRAQEEEIDLSPDALALLTKIG EESGLRYASHIITTSHLLSQKRKAKEVSIDDVQRSYRLFFDPNRSIKFVNQFEQRFIG EQGNVDFSTANGGDAMEIS ACHE_11826S MDLDNPSTRDPRLASRLTRYNIPRSIKKPTLERQNSISLGSPST PKPTVLPPSSEPPKPVEKQDNPRDGSFIQLISGLVQAAVATAVSRSEKERLQKKKEMT EGLLKKAKAHTNFPSTVAFFQQASNDENVDLTRIDDIIKEHLSNCSQIERDLVTKWRL LSPSSSGTSNDMIKDLQRELQTVKEEAANTKTELARLVDSSPARTGPIEILQDRVILL EKALESQSTLLSEQSKTAKANDELLTSLSSEMKKGATPSLTQEAPSTQYTKDIGDLRE KYKESEATMRALVECQEALSKSIGQINEDIEEHRRKLNDINVGPISEMKTRMDTFSSH LSSLADKAVAECPAVQPANGNVESTGAIRNMEQKLQKLEGNQAVPRINKVQVDQSMQA LNRRMDELAHLQSMKDDLQFSEMEDIKKTLMQQSEDFKGLKDGYGQVSAKIKAIAQSN PAAALRQIQDLSGSLSVTQRVLESVKVGLHSLETRYNNISTEPIVKNMVVAMQEMYPS ASQLTEQVTALRNHLDKDILPLRAKVEQLFKSHTSQVAQLQKDTAVQLEERNRLKTEH ARIEQSIADLSERIKTQTSVSPQQQFNQLQSKIDSLSKRMNEHTSNISEQLKSKQSSD ESLVQSLNHEREHFDKEFQRVSGELEGMLSKLSQLQSANTTNMETTKSHAGDIGSLLD RMRHLEESVSNNHKQLLERFDNIKKAVKSQEGIPMDGQAAEGPQSPQQKVEGDEEPEP EVPEEPTESPVNGDSSTISQIAETNPTHALREKKGKKKRPRLSTNRSDDERPESNSGS NSPRMLTSGQEGTPSDGKKKTKKKKRKLIRDTEPITLD ACHE_11827A MLSLRTALRGATSIRSFSTSRVLRSEELSYQIFGPENEQAVRSP ILFLHGLFGSKQNNRSISRALARDLKRQIYTLDLRNHGHSFHAAEHNYTVMAEDVEKF IDQQKLDKCVLIGHSMGAKTAMAVALRSPSRVSGLIPVDNAPVNARLQSDFGKYVRGM QHVEAEKVTKQSDADKILQGYEEALPIRQFLLTNLIRSDDQTMKFRVPLSTLGASLED MADFPFREPGAVTYDGPTLVVRGIKSRYVSDDSLPAIKKFFPKSEVVDVEAGHWLISE NPEAFRQVAVKFLQNTP ACHE_11828A MASPKGPFRLVTVNTAPERAQRLIGRVADTLKDRYIIIHEANCS KIEEVRPKVQELMPDVLFSASMWTAEEAQQIHATAREIKPDIKLHAIPTGLQVERGPD AIVEYLCEKVPPLLDS ACHE_11829S MSKIASSFSRLVRFIPRSNRSSILIGEPVDPKLDVGLALYQGKD VPVHPFSGPSVLNPGQKTEKTEIIERLLSPLAQNEVGSIRCIGLNYVSHAAEMKLSIP DVPTLFIKPSTSLADPWPAPTILPKITQQDDTGDYESEMVIVIGREAKDVSEEDALDY VLGYTASNDISSRTSQMNQSQWCFSKGFDTACPIGPALVSAAQFPDASQFKIRGLKNG QVLQDCPLTDLIFSVPQLISFLSQGTTLPAGTIILTGTPPGVGAAKNPKEFLKAGDEF AVELLPHVGTLVTKMKHQQ ACHE_11830A MAFFGMQPSRAVGEIEESNPTSKPNIDLIESAETGMPATYVETV AARLSKGHRDYLIERHGTLDLDPVPEMSPTDPYNWPTWKKMMNLILVALHALMGTFMA SAVIPAYSTIAEDFGVSLQRASYLTSLQIAILGGAPLFWKPLSNRFGRRPIFLLSLIC SLVCNVGCAKSPDYASTAACRALAAFFISPASAIGSAVVMETTFKKDRARYMGVWTLM VTLGVPSGPFIFGFVAYRAGYRWIYWVLAMINGGQFILYLFFGPETRYVGNGVDRQIN WKTEYLYIRRIDPTPFSWQEFIRPLTMAKHPSILIPAVAYAMVFLFASILSTVEIPNL LQEKFSLNEEQVGLQFLGVIIGSIIGEQVGGIMSDFWMRQRERRLGRKADPEYRLWLS YFGFSLAIIGLVVFLVCTQTAPNGHWRVTPIVGTAIGAVGNQVITTVLVTYAVDCFPE EAASVGVFITFIRQIWGFLGPFWFPSMFESVGIAASSGVGVALIVGVSAVPTIFLHWK GRVWRKEKTDV ACHE_11831S MMGETTPAGIKKLACRVCNKAFSKAEHLRRHERCHTGSKPFVCK DCARPFARQDALTRHEKLHMRTPTTKNVPQPSPLSSQTASIQETLPAWNSNSPSTVAP APPTSTTWETSQSTQQSTLHAASDLDFSLIWPDSENLFQSIMSTGTTDQWQMPLGTLP FPPVVQDVNTMNFGSPNSFDDRSSSIGTIPSGGSHQAVRDVTEMVTSSSSSVTAEVKA KSITSVFLDECLHMFFVRFIPTFPILHRATFVFRECTHPLLLNAIAIGSLYLGPKDSV AKGEALWRLAHTAVATSWQSMITHRGLYDACKGVQLLITALLGQIYGALSKNRGIRTT SQVFRPLGFFWARHCGMLDSPSVPPENLPFHDSPIAEKEHQWRVWAAREIQQRALLAY HILDGLVAQMSGDGASTRHVANPLNLPNNEAAFDASTADEWLVHMRSLKTDQPSFRLV FRSLFPPVGSFRSLDYQFSAFALRVVLEGLQSLVSDSDESDLASVGVPDRSDVRRALA QIHETISMSIHLSPPERLEILLRWHTICLDTMINSTILCRHVCSRYNITQSVSGGSRT LKSGFDMIKWTHTEDARRALLHAIAIQDIIEQLPRGRAHVIHMPSSLFAAATIYFIFS IAGVATVRLPNSIIWQDALLTRSDLNLGSTDMHPASGGSETRRFVEGGRTDSPPSVGA VRNMLYELNSIQKLFRCLCSQWGIAFDMEEIIDQWITLCH ACHE_11832A MAKDIGAPTPQSENPSPWPASKQVSVILPAASTEQLPTPDQSEG VMVNGLRIVEGIPPDGRGKRLTWEEVDVLVRLCMERTVENEIQTRPKKWWSETSDLLF ARTGRSYSWQSCKRRMQQLVYACRVHRDGITNHLDYEDSCLQRDLRQLPHDLCSEMVE WMNKTTVPSQQVLVDKTKAIDAKRAERVLERQREGGEKQQERDYHKRKHDRVWKWLSS LPPPGEMLSALDDGARTERGRNAGSGQRDRSRSPCREATKYRQRSPLSRREPQPTSTP TGKIERYFEPDHPRDSREKDRVNNQQARQPLTKNDPKNMTPAERSDLAMQLEDTVDDL ADNFMANHMYPLVRSTYPSYNEEARNKHEAVNLACYALFRNMAKVTVELLAAAGLPTP PYPVD ACHE_11833S MMQEPSSLLLEALSSLSVEHGSRNRFTAKISRDWTTQLAVLGGF LNALMLSATQKYLELEFGPGRYPHPIHVFVQFLNMVPAESVVITCHTLRTSSRQCVVR VELSRGTESQSPTTVAIVTYGDLFKEKGVSQHTKPVITTLPNRHTECVPIDDPVVDAT PVTRQLHWIAPNANNLWGHRLGGHHREVWLSPRDGSKMSSVFHLAVLADMPLQPPATH ELGFYTRYALSTLCLSVEFKKIPHPDTRWVMTRSHSSKVSNGRYDVNVQIFDESGELL ALSNHVVYIVPLRPPPKPKASKM ACHE_11834A MVNWRAADAKDRLFASLLASHPTLKLDYHTMTAIYGEGATYDAV EKQFRRYRKMANDLRTGAQARGVNIDGPVPRTPRGSRNRASGLASASASKSKSNSSAA KNKKGIEDSTVPETPTKANSRLGNTMGSAADVICLDDSASPEVKTKKEVEEAESLFVK MENVNPEHTATRSPSLPVLSRVTPPPVKPKSLIIGSVTPLKRSVEGIEESPTPRVKAE GRREMTKPFAFGIGDSFTMSDPFVNEDYYEGAA ACHE_11835S MPVKWTPENDQLLLLKILETHDLSVDTKKVAEAWPATDAKYKPT PRAITERLVRMRQTARASSKNAGRFAIKSAPATPKKPRNNAMSTPASNKTKAPDSNKR AHSQKADIDNGTEDEDMADAETPTKASKRVKMEPEAKAIELELAELDGVYVPVPAKRI RKSSELPLGMVEYDGDKWEEDETVYDSSASEFVPEDGVKREDDS ACHE_11836A MPMTWNDQTDAKLLIGILHLSTQKIDYEALAEWMGPECTKIAVQ RRIQRLREQVNRDFHIVGSASASASGSGSGADDAAGEGSSASGLALPEKKKRGRPADK GNGKAKKKAKMKGKEKEEAGEVDTEMEEDEED ACHE_11837A MIKPTQRQAPRSLLHKIPNTQISNNKVQLLAAILHTTNAKLDYD AIAKYMGPECTKVAVTIRINRLKKQVKDASKAVSSSAPVTPAKRKKNGKAGSKGKIGG VKKRPAKKAKTEEDEEETMETEESG ACHE_11838A MSISSWIYTSEISQLFTSVLFCAAVNVIGVVVYRIFFHPLAHIP GPRLAGATSLYCYWYNARGGRFYLQIQKLHEQYGPVVRIAQNEVHLSDPNNLDKIYSI GSRYGKHGDFYRAVGSEKASLATESPEAHRIKRSAINPFFSRRKVLSLEEVVQDAAKK VVSRMQSAFETSGHLDLHYAFRAVSVDVITDYAFDESYKLLDAPDFGKEFFDVIRGFG PATLFFQTFPIVRYVALRVPRWLSVLFKKPWRKMLQHRQNARNQVLRVKDAVDKGEKS KRTIFHHLLQLDGVEGYVPSVDYLADEAYIVLGAAADTTGNALTIATYNAVINQEIHQ RLMTELTESFPEPDANIDFTSLEKLPYLTGVIKEALRLSFGVPGRLPRVVPKGGAQFN GYTVPEGTVVSMSSWVVHHNEEIFPNSTKFDPNRWVDPEVCKALEKYLFAFGKGSRQC VGMPLAYCELYVTLGRVFRQFDDLKTTKKSSEELMLDDYFSGYHPEKYAQFVFERAG ACHE_11839S MSFHLTAEEIVIEDNHILKAQLRNEDGDLVEATLDLNEHLGNND GAFEWDGENFSESAQNVEFSIEGDGEVPVLRASLHRVEEDEWVDADINLSERVVNDNG QFVYQ ACHE_11840A MPIDILKTLLQVDASIAQLATNVLELGPKNRSAVAAKEHVQCKI EAPISLLFSHPDSVLSVCSRTLTPDLS ACHE_11841S MSFHLTGQQIRVEDNHILVASLQNEDGEWIDSSIDLDQFVGNDN GNFQWDGQGFSQTASNVHFAIEGDADVPVLRGDLKDLEGNWNSRDLNLSERVENVNGQ FQFQ ACHE_11842S MRESDIDLDEIIGSENGQFEWDSVNFSETAADAEFTIEGGGEVF VLRASLQDKQREWATSDIKFAERVLDVNGGYRFL ACHE_11843S MSFHLTAESLRLEEKHILVAQLRNADGELVDSSIDLNTIIGNVD GHLEWGGQNFSESAQEVQFGIESENEVPILRALLKKTDEEWAAADVNLSERIVNENGA FVFV ACHE_11844A MATSRRSSHPSRPLRSSRLKVQSYHEDSSSDDVHNDDRDGDSDE GELRRLSLSLRPRSSNRIPPSYREESSDENFEGTASDDGIGEVPDVSTHRLPAPTSSN GTAARPRRNRTVKTRSQTSRSKRPMNNSRLELGRPRSKRKKVEVDETPLLTSGVIPPW QHLPYHILFDIFFYASHPLVDERSGTRKGSAQWLVHVALLCHAFSEPALAALYYSPPL IPPAKCHGLLSLLSQPQDSLSTNYINKIKELHVDVEALLLYKSGPTLGYFELPRLIEK TPQVKKMRLYHAEDYVVGIPPWQRARSKWGYPEGLFESIAYSSIRLRSWDWNSRFMET PELAPYALTKHLQPAFQGLEELRLLHVASEEWDEEGDGYLSNEREVVLATALRELPEL RRLVFLECSIVNEHLLPNLPETLTSLTLNNCDEVTTANFSAFLTSHGQHLRELNLSHN RHLSMSFIVGLATFCKNLEKFKMDISIHDWSSYHDVEPHFPELIRSSEVPTWPSTLQD IELIQLRKWDDAAAEVFFTSLINTAPELPDLRRLVISAILKIGWRDRATFREKWIGRL EHVFLRRSVPPDPNQRTLRRSPPPSELIEGAAEDTATPHSDTPNSGPSTPSKRQSARL AQRKIMELEDDDDDDDDDDDVEGSPSSSRTAAEGFSDQGHRGMQVQGMCDVVMVRIDN QRPTETQFSEGDFLDEEASGDEDWDGDDWDVAEGGYAW ACHE_11845S MEGSFPGAMNQPDRGELGGNPIVVQQYARYPPTMEPALPSASSI DSSRSRVAASKSPSLQHKETPRSLQASPTVQRSIIHRPPSVRSGPSMSPPVFDSMRQK QSTESMDQAESRTLPSRDITDQNIDDAYVAFIFYCNPNVPSSVDTFELRKTFRSPPRS DGKSFSIFSLWELIQKFDAKEVKTWIQLAIELGVEPPSAEKKQSTQKVQQYAVRLKRW MRAMHVDAFFEFCLGHPHPYYTQRPAVNASVSDSRDGVPLEEDLALRALVPQWKPKRG RKRAEDKEDDDKPAKRPQLDTSVGILHGGSFQPHSAAFPQSAIPFSAFPDEVESHDPW MTATSAFPADAPNAQQGQDIRWRALERDASPANYPQSAIIPRGHHPSDVFLAAEPRSA ITPPPGDKSRSRRRHGPAVSSAWPSSNGSSTGKARGRPPNRGTVSGPFSSFPVNPARD ESSHGENSTRPASAVGLDQNSFGQFQSPAPFQQGGKPGKLQLQVPQNTGAPVRLATPP TVMVNGTEPSKAEPVPEASGAQSSDPKPNNLYEKLVWVLADEIQRADTNNRSFSLRPG EARSLAQGVIKKITSSLPNLSGAASTRLVAFHLGFGSLAGYQGPISGSTVVHAQSAAT FLGANLPPGFIYSVEREYSYGPGVVTKVSYGGLRIEDEKPDTASRIEEPDNIDDLDGL SDKDFEVDGPENGVSDATWKQRYMRLRAQMQKKDKSLSQYKRKIMESVMAEI ACHE_11846A MSRISNDDQFQFLISCIRHSNNGKIDYTEVAKECSIVSRGAAVK RYSRLMKAHGINTGGGINTTTSTSTPTGSTNTTPKKPKMNHKRKTTTDSETETESNGK AKASKRGKKDRAVESAEKVLKKAGLDIVKEDEDAEEKINGEAEDSE ACHE_11847A MSSADEISTNDTQFIIECLKSLEEDRLINLNKVAKTLGYSNVFS AGNRLRSLRNRYGFANFEGKTVTGKTVAGETPGAPAPAPVPPSKRGRPRVKKVVPIPK GDIAVEVINKRKREDDNNNNNSNEENNDDVFKKTTRRGRKPASTATTTVTDSEGTTNG HVHGLGGDDKNGQEAAGNAE ACHE_11848A MSVRRSKAMPTDGPTAKFLYTIIKQLDLKSIDWNLVASQLEISN GHAARMRFSRFKQQMEGITSTPRASKPKKTTKTSSNKGVSKPGLEIGVVSPPPAPPVK QEMETGTETAYDPGQSPYIKTEAEPYLQRIPTLEDASVPSMLYTRPLQAFRPQQQFYS SASQYPSYAYSPYSTYTPYSPMTVAPTDLSMSTKISPFSSPISIGFEMPSSASSVWTV KDEGEDGESNEPVKLEGGQEK ACHE_11849S MTAHNLSSGLGVNGMPSDTYIDTSAADAFNEYVHSENLPASYDS MMNGINGVNMESPFVDSVLATMHLAGAQFPMAPPPLPQQFVQGQNVLDAVEPFVPQEQ PQANGDSVSNAATVDGASSVHGPLTPVDDSATNDSVSHGSEDSQDANYEAMVQLAAGG TRRAEDRESRGGEQAATQRERRTISWTPETRFELLLQVAYERRHESVSEGAWHRIADG SRGGLWKGASWNGVRLEFSRLMSERFGDYASADNARARRAQLDAVALAQRDGQPSTVI PELVHPLLTDADFEPAPRRRRAAAEPAEGDDQGTENRGRGRARTSSAPRTTRGRGRGR AARTPRTPRTRRTRANRATPTGDTDVENIEMPDADISNAESPVATAEAA ACHE_11850S MSLVAVGACYVDTILTTPYYPSEDEKLRASSISRRRGGNCPNTL EVLQQLTTRTTDASLNLVAILPAKSSVASQQIQSAFEPGVRLDRCIYREKFSEPASSY IIKSSGTGSRTIVNYNELPEISVDELKGSIDAVGAKTTWFHFEGRIPDVVLAGIKHIR QRFPSVRVSVEVEKPQRPGLQELAVEADVVFYSKSWALGNGYKSAEECLREQAKLTHK AYARDQPTLYNKLILQVSSLLHMGPRRCRRS ACHE_11851S MAAVYKTVSKKNARRAEAEEDSEDLEMEDFIDDADDTSSEEEDE DEDEDEQEDGQTLSSAQKQLASGMMPKTRVLMLTSRGVTYRHRHLLADLAGLLPHTYK ETKLDSKKKQAGYNLLLNSLADLHSCNVIFFLEARKRGQDLYLWLSRPPNGPTIRFHV NNLHTMGELNAGFSGNCLKGGRGIVVFDRSFDEQGPVMHGQPGTEYRSLIREMLRGVF CVPKRGVKGMKPFVDRIIGIFGVDGKIWIRVYEVREAEGDNRNKEGPEVSLVEIGPRF VLTPIVILEGSFGGPVIYENKEYVSPNQVRREVRMSKASRYGQRRDTTTERVTKRTTL GLNEEAGVKPSALDTKKIFS ACHE_11852S MQFKTLPTELLIHIYLSSSSITDILALASTCRRFHRILNANASN KLQILYTVASSEFSPIDDIIQLITQNESQPVHIRREAPLSFPLLKQIAVVGKVARKWE IIYPFKKWKVDYENRRLLSNDEKWRVRRAVYRLWLYTRAFHTRSDRYTRNLRPVVLER ARLLHNWSNEELAEIEDLRGVVHDVVRNHICPSNGTIERKFRKRFPAVNGQLTFNFLP MPGEVKPAGYYTTDQYFYAHPTDSVKYRSRFRNDIFHDPGYEGWGDEIPHYYVVEDMM KLDPRQVLWLREHAPLKEQVEAYIEALGEWFRNNGETFGETLAWVMLERGEDVENFRA AIYNRDMGIV ACHE_11853A MAISLSQHEKDILTRQLANPPAQKIGFFSLYRYASKYDLVVLAV ASLAAIVGGAALPVFTVLFGQLTSSFRKIATNAITFEDFNKELTDNVIYFIFLGAGEL ATISIATIGFIYTGDHVVQKIRIEYLRAILRQNIAFFDSMGSGEITTRITADTNLIQD GISEKVALALTGLSTFVTAFIIAYIKYWKLALVCTATLLALLAIMGAGSTGMLIFTKR ALESSGRSGSLAEEILDAMRTVVAFDAQETLAKKYETLLKEAEGPGKMAQVAFAFMVG GLFCVMYLNYGLGFWMGSRFLVDDDDGVQVGEILTILMAIILGSYNLGNVAPNGQALG NAVAAASKLYSTIDRVSPIDPSSDEGERPEKVQGNFFLHRVSHVYPSRPEVLVTDDMT LSIPAGQTTAFVGPSGSGKSTIIGLLERFYSPISGKVFFDGRDIQTLNLRWLRQQISL VGQEPRLFATTIYENIRFGLLGSQFENESEEDIRHRIEDAARMAYAHEFIMNLPEQYE TNLGSGGCSLSGGQKQRIAIARAVVKDPQILLLDEATSALDTKSEGIVQAALDRAAEG RTTIVIAHRLSTVKNAHNIVVLVNGHIVEQGSHKELVDSQGVYLDLVQAQRIEEIRQS MHLEKMTFFLDADSVKDCPSETDCSSYHSSLDEKKPRVRHSMLPDLEPEPEPTPPSTP EPKERHYYSLPELIKFIANFNRPEWPIMSLGLLASIAAGGIQPSQAVLFAKAVTTLTL PSTEYGKLRHDANFWSLMFLAVGIATFLLYSIQGTSFAYSSEKMIYRARTAAFRALLR QDIAFFDREENSTGALTTSLSAEIKHLTGISGVTLGTLLIVTVNLIASLTVALIMGWK LALVCISAVPVLLACGFLRIWLLDKLQTRARQAYQASASSACEAASAIRTVASLTMET EVLSSYRKQLQAQLRADIIPIIKSSVLYGASQALPFFCMALGFWYGGRLLGHHEYSLF TFYVCFSEVIFGSQAAGTVFSHAPDMGKAKNAATEFKRLFDSRPVTMKQKEKKRDQPV TSMKGAIEFCNVSFTYPTRPDQPILRNLNLTVKPGQYVALVGASGSGKSTTIAMLERF YDAVSGQVCVDGRDITRLDLGSYRSFLALVSQEPALFQGTIKENILLGRCGDEYVSDE MVIQACKDANIYDFIISLPQSFDTPVGNKGMMLSGGQKQRIAIARALIRDPKILLLDE ATSALDSESEKVVQAALDAAARGRTTIAVAHRLSSIQRADVIYVLEQGEIVESGTHKE LMRRGGRYCELVNLQSLG ACHE_11854A MIAAIILIPCLVLLGFALTPKGPIMKCVPGSWTDLLLAGFGTKF AFLRGRSAHHFNDLHLKYGKIARFAPGQATTNTVKALRNIYASVGKGSVFLKSPFYKG ISRRNIFTAQDPAYHATVRKLFSPSLSPGSVQAHEGVIRDCVLRLHDTLKARLQRSDT LVLNDLYYCHAVDTVSEVLLGKSLGCLNRGRPYFWTEQLPRIFYWATIRDQFQGSGVP TVIKWLLRRFLRKGIRTRAEDARMRLINEQLRTQHTRRDMMVEIMERAQTTDLPETEI AENFSAIMLAGFHTTQNALCAAIYFVLTHPEAHAKLKAELDNIYSFPKDIDGQVQKLP YLNAVITEAMRLYPPVPVGGPRVSPGAYVDGTYIPAGTEICTSLFALHHNPEYFNAPY EFIPERWTDPSNTDRKEGVQAFLVGSRSCIAKYFAMQMMQFSLGAFFLDFDGEYVGCV KDWQRQSECYAFWEVPDLRVKMTTRE ACHE_11855S MIFLLALGVVAFLFLNIKVLPGAWHVRVLWGIYKQTTAPTVRRE IDSKKDTKPLFKYLTTTTRAAFLECDYNGHKSNSTYFTDLDINRTQLLCRLFKLVLSA SHYDRTKRNKHLKIALGSTCCVFRKEIQPLQEVDIWSRVLSWDEKWVYLVSYFVRGES GEKGGVPREQDILASAIGRYVFKDGKKTVQPIEALRDCKLLPLESDPDWEEYEAERVK GMQIGGLLAGLGNLPEVFCPDSGCLN ACHE_11856S MGLDTDRYEEYELDWLASTMAINSGEVLVASRALDNDMEDTVKN CLNWAFLLPRSARRVRALTRCLLCGELSCISTIKNKNMIIRPPPHSVHKRNGAITWSA VMTTIRKTRDM ACHE_11857A MANDEYDFLFKVVLIGDSGVGKSNLLSRFTRNEFNLDSKSTIGV EFATRSIQVDSKTIKAQIWDTAGQERYRAITSAYYRGAVGALLVYDISKHQTYENVNR WLKELRDHADSNIVIMLVGNKSDLRHLRAVPTEEAKQFASENNLSFIETSALDASNVE LAFQNILTEIYRIVSSKALEGDSPQNPLGERRQVVNISESQNSEQKQGCC ACHE_11858S MTDVEMRDVDDDDISVTSTVPSEQESEYEVETILSERTQDDQPM YLVKWAGYPIERATWEPAESFFNDETFSDWKKKRKAIAEGRQPEFDLVSFENHLNALE DARENRRRRREAKRRRLGLSGEQTNAGKEQRVDTAASPASSGPPSFHNSDSGAARMDP STTRPTAVRHVPPKPPMVLFGSGQNRSGPWMAARNNNRNPEVSDKQFSNLSTKWRFEK AKSYEPPPNINQLELFRPSDWPARTGAPVDTPKVADHLVDPPIGGSPVASQVERNNPF SDRYRTSSPGPSLRHQLTSDSWNSDSSPTRVARLPEEQTSRDSSRSESPRTRRPLLPE RQGSRDSWRHSSFHARDAFNSNRPGTSDERNFSRLQIENNGARSQWLSDEPIPPLPPR RPKAIKGAILRRAKDENSQSRFWNPGEVFVNMYLGPEKRFIGPVRLCGLSPMSRSRVL QSKKDNKIDVWFQEICTIDEYRELCNRSISNLRYDNGWIEGYDDTEPDVYRAGQALHR GHFLAIAYPDQPSWGKNVLLAYSPSSLDFDFLRNDYKFSPDTFLHLTVRNPLAYLDKP TPSNRISRAIPARMPELQDNLPNPKEQRVEKELLEAQIAQELQLQLSADASNHATSLV RPQEQLMETDEPAPVQDKPSPQKQLQQQQLSVANFDVDDYFRNVFKMTFDVLATNNGV EKGRVKVFYLMCPPENKLAQDECGIIVEFLKKHEAVIFSSRLEEDWERFVRTINRGIV LLHDSFVDYDTMPSLRGLIHKPFTFWSFSLTGIGEGDNKTYFQRLFPHGGVILLTEDF MVREPDATVIILAWFCDWTKKKFPGSWKMMLRPNVLEWLLRQPEPAEKHRQGVWLSMY SLIAQLSTTDNGTFLTHSEPLDGIHDESPENRVISPPSIPRYGFRTEEDTDGLVTQEQ RDTDHLAEFFAGWALVNSDRFRRFTIVTTKPLRSWNAWNHVDVKETAMDFFKAYGVDY RTYWSRLKGKASSSSSSKEKKQAAESPSDYDAAKMGSAQGPGRL ACHE_11859A MLRLPLRTSASSHSLKVRCDSVLRYRPAVYSAARRDFTSCSTSF PRLSQFNRSDFTNQSWSTSYEPGLPTAGPLGATPAFGAPRITPRVLKQYLDQFVVGQD RAKKILSVAVYNHYQRVQELQRREEEAEEEHAKRERREALEGHPAEDEFPGHNRAVRV STNRNQSPSPSDLPELVDTAPLQLEKSNILLMGPSGVGKTLMAKTLARVLSVPFSISD CTSITQAGYIGEDAEACVHRLLAASNYNVEQAERGIIVLDEVDKLAAAKVSHGKDVSG EGAQQALLKIIEGTTVQVQAKQERNSPRTGGTPNTYPSNSPLGNPPNTGGQPAKGEVY NVRTDNILFVFSGAFVGLHKVIMDRISRGSIGFGQPVRSATSTGDRPGEAGAGNNQPI PILPGSEEEDLYKKHLPFFTPPSIASPNSEPSYFNALDLVTPTDLQNYGFIPELVGRV PVTAALATLTQALLVRILTEPRNSLLAQYTTLFSLSGIELRFTTLALHKVAANAFQMG TGARALRTEMETILSDAMFEAPGSSVKFVLVTEAVADRKEKPIYLARGQGGKFHSMIA TEESQWEEKAQREKKDKEQRNKAQSKEGIDFTSNYREERSHASGS ACHE_11861A MAPSIMKKASGRGAVPSTKSNDGLFSDDFRTSKKDKRTIKHSSF ISKIEKSSKKAPKKRRASKKLATLDSLADALPDADTEEQQQDPNNQVNIIKQKTLKHK PGAEKRRQKLEKLERDRFARNMAQMTAMDTSQEAPAPATTEGTSSTAAEANGTSNRWA ALRSFISQTMEQQPVFKANK ACHE_11860S MRCAINRTAIPLSCATLSAGGVIFQLPRSPVFSSSPRSLTSASL SPRSTRSSSQTLPLRFSPKPILLGPFKLLRPVAPAPFSSSNNSSLSLDSAYTSTFNMS SVSHYLRKHKVTVVGSGNWGCAIAKIVAENTASNPSLFEQDVQMWVFEEKVEVPKDSR HYDPSSPLCQGQQNLTDVINQTHENIKYLPGVTLPSNLKANPSIVDAVKDSTILVFNL PHQFIVRTCEQIKGKILPYARGISCIKGVDVNEEGAHLFSETIGNTLGIYCGALSGAN IANEVAREKWSESTIGYDTPHLDSKAPAPSESSTADVVHFEHKDVSGQYSKIKLQPLP NEFPPVDHETLKTLFHRPYFHIRVNSDVAGVSLAGALKNIVALAAGWVDGLGWGDNAK AAIMRVGLLEMVKFGERFFGATIDNRTFTDESAGVADLITSCSSGRNFRCAKLSVQRK QHISEIEKTELNGQSLQGTLTAIEVNHFLKKQGAESDFPLFTACYHILQGTMQPEVIP SYIER ACHE_11862S MYVIFAYIFSGLAIYLLLQETNKVIRTRQRYLGSQTSTTDRTIR LSGIPKEMRSEDNIKEFIEGLQIGKVENVTLCRDWRELDGLIDERLSVLRNLERAWTK YLGYKRPSRGNGDVMPLTWQQAGGSSLILDDDNERTQLLPDSARERTTNRKRPTERIW YGPLNLRYRNIDAIDYFEEKLRNLDDTILTARRKKYKPTGLAFVTMESIAASQMVVQA ILDPHPMQLFARLAPAPADVVWKNTYVSRARRMMQSWSITIVIAFFTIFWSVLLIPVA YLLELETLHKVFPQLADALARNPLAKSLVQTGLPTLALSLLTVAVPYLYTWLSHHQGM MSGGDIELSVISKNFFFSFFNLFLVFTVFGTASTFYGFWENLRDAFKDATTIAFALAR SLESFAPFYINFLALQSLGLFPFRLLEFGSVAMYPINFFYAKTPREYAELTTPPTFSY GFSIPQTIFILIICVVYSVFPSSWLICLFGLVYFTIGKFIYKYQLLYAMDHQQHSTGR AWPMICSRVFVGLMVFQLAVIGVLALSKAVTRSLLLVPLLGGTVWFSYWFSRSYEPLM KFIALKSIDRNRPGGGDISPSPSATFSPPSGLERDALPIRVGGQELGLKLSKYVNPSL ILPLHDAWLPGRSAAPDFSGAFAGYEATNGSSESV ACHE_11863S MPSLWPSHPGLSCMPEKGFSWNESTVSDSASDSSEMSNPVDTRA APEIPPAVAKRLLDFVPTQTHSSLRLTCKSWSRAVDTAKPVSRPAGNIVPPEILLQIF YMLNPRDFDNARRICSQWMRISLDQRLLESMLKRAGWWDAWQRDFQMQRPPASPAEEE SLVWRMSKRFSTECLLSGRKLNVEKPGFLTTGVIDFSKLSPQHRSQSARSAQRPSETT DYFSRDVTATSKFNVSTCGNYLLVTSGCMIYVYRLLAKKAGLLESMSLADVSDKDIAL VASIPCPDGVVSATIDTSAPKFVIAALLHDRVGMVCDLITPENQEAVDESVRNDRIDA SQHYFHDVCSPEDPPRSVSICPGRRCVAFGGGTGIELRWVDELSRQDCRKHFPMTQPS EILHFLPNRADTPEELRLVSSLAGPGVSGCQCHSETQQPCQLHLAADVHSLTSLDPRD KQNLSLVRATHCHHYRAIPINDGLHILFIEPRTGFLCIGSDSPIGGPSNLTRALVCAP PFDHEHPETVPTPTVFAAGSDLSWGLRVVAAYKDRLVLYSVPLDVFNVIRKEREVQSL GVMGDSDLARDWFLDGERSSKRRGSLVPNQNGDWEFLLSVSYRPTAMMWPLKIYGKEI GRMDNVVELALQSSHGGARVWAFGASGETNIIDVDTFTSPAQRPTDVPCKSLSIDEDG SVENAQFVNRSEESGLFSPRSPRAEFLNDFRGQHSTAAQLHHAMAGLNMGHAATQPAI QAAGAASRRRPSYAACIVGFNIPELGAREGRWVENEA ACHE_11864A MSFFIENPNVGNQSHLEDSRIRGYNPLTPPNLLQHEIALTETSR QTVMQGREEAIAVVQGTDTDKHRLLVVIGPCSIHDPAMALEYCDKLLKLKEKYKDELL VVMRSYLEKPRTTVGWKGLINDPDLDNSFKINKGLRTSRQMFVDLTNKGMPIASEMLD TISPQFLADCLSVGAVGARTTESQVHRELASGLSFPVGFKNGTDGTLDVAVDAIGSVK NPHHFLSVTKPGVAAIVGTVGNPDCFVILRGGKKGTNYDAASIQDAKKKLEAKGLPAR LMVDCSHGNSEKNHKNQPKVAAVLGEQIAAGETAIMGVMIESNINEGNQKVPPEGKSG LKYGVSITDACIGWEDTESTLEGLAQAVRARRQKLTGN ACHE_11865A MTESSETGSPILQAKDLANYCPRIVSIFCHEKDAALKFFAGDII RSLRSTGTNERHLWKSRELKYRSIFFECLRKYPNDWIQSFHSYTNEVEHYESREARVS SRNERSGTIKQSSCHLIGSVAIGSQTYSGPAILALSTSDSVIFIFPDSDYIEIPVQYI SEPVHHVPKRRRGPSDAASLEFEATGGVLLNGRQLLSKASISIVSDKDLSGLRDVLTD ARSKAQKQGRATRRVSSTMVALDTAEEVATKSSQCRDSSSQCFNHEVGSSANKDHGSR GESIAEASNVGSHELRAMSDLTREASKPESSQMAIEDVETLAAALSENEIPTNQEHGD KSPGKKISFAYDARDSPKEHVTSPIAEANPAEHITEPETADAASKRSQPKRSGVPNRR GTQKKQPLRRSPRGRALSNRKDRRAIPNTQESLLFPRMGRPSKKIYTSRSKRAVDWEE DLRPTEDDEEAARVVQNNSQLTSVSSPSPGDTSIFSKRPNSAQKKRKARAAPSLAKGK RSAKKKVASVNRRGRTPRLPLQTKEPNVENGNEGTSTGDEAEKTTNDTNGSTGAGNNA GSRPHNHEDKEDTFGLAAKPDNISFAVRMDQLPSGVQGSRSPLEDTCVKRSAQADATN GAGQGREEAGAGNLHMDSNEQPSTDRVMVSQTSPQQTEFWINQDSRFSPVHDEKALME GSLPEQGLELPNEYEIQQSESDKLQGIGDFQALFLSQEDEIGRLSVSIRDRAHDTPAE TVTDGNRDDAQSEPEERLLARSRKRAATQSTTEENPNKKSQTTFATSGDERLHQLEDA VEPDRTSRQSNIDSSSSASIISAGANGGKVERTDDHPRGAKPHDFAGIESKEKHSR ACHE_11866S MERLPIKAHQACGPCKKHKRKCDKGLPACGLCARTERTCEYDGT PRPPPSAEEFAALQARMTELEDRLSNTCGSSAFVASPAPTSSSSTTWTDHMRSTTTRF PSALFLDADCYEWAKMKIPRSAATIPMDVLTILNQGNGILEISQFYFNTIHRWMPIIS KKRIDLGIPFRDGGPDLAILFLAMKLVTTPPELTGSVYGTIREFFRALEADNVVSLRC LQAMILVALYEYSHSIYPAAWMTVGACSRYADIIRLSPSGQCLSAIGSNTTWTELEER RRIWWAIFILDRVISLGSRRRFSCPEPAEADVLPANDVAWNSGDVSGVLHCAVSIPFS VPQSPFARLCQSAICIGRAMECRRASQQLRTEERTTMVTALTEDLCAFSVVLEENTPS FPDVEEYLSLLAPRCLVWSALFLLLDNYCCPEKLGNEPGYPLSGSAKMPEEQFLQVDA TLVVRSISDQAHNAALKIMETLEGCLQAQDQLARVSPFALDALYCAMATFHWVLREAG DEMIKVSLENIMQCLRRLGEGWPLALEYLALEKVYANATADETSIDMSA ACHE_11867A MDLHRYGPGLVAVFVGGTSGIGESTARAFARYALSPCIYLIGRS KEQASKITQKIREDNQLADVRFLNKDVSCLRAVDEVCREIQASEKEVNLLFLSTGISK GGEETQEGLDKKLSLHYYSRMRFIANLIPQLAAGSERLSRVVSVLGAGGESPLILEDL PLERNYSMRNCANHAITMTSLAMEVLARAHPSTSFIHTYPGVVNTNLTRDMGTVTHMG AKALLSLACRWIVPFQESGQRHLYISTSKEYLPLSALPIPSPKHDGVDLGTAAAYLLD HRGFPRQNLKLLQEYHATGTSKKVWKHTVDMFAKVGGDKPEPK ACHE_11868S MAMPRKSTLCPYKPVLTEQNLPDQQGKVFIITGGSGGLGKELAA ILYQHNAKVYLAARSESKTAEVIKEIQRAHPRSSGQMLFHPLQLDDLTTIKSSAQQFL ARESRLDVLWNNAGVMVPPQGSKTAQGYELQLGINNLGHFLFTHFLHPLLVETAKVAP KNSVRVVWVASSAADNAPKPAIDFSNMDYHHDEGIWTKYSRSKAGNVLHAVEYARRAG SQDIISMNGQSLNPGNFVTNLQQTMPKMQLAMFKLISHAPINGAYTELFAGLHPSITQ ENNGGWVSPFGKLEPVRKDMLDANLCRKYWEWSETQVTPYM ACHE_11869S MMSGSFGTTNDQARAQRHGIPQTTLARRQASTTTSSLELDDQLS EKVLELRGDLENGTQPSPDQTVLYLAYGSNMASKTFLGNRGIKPISLINVYVPELRLT FDLAGVPYREPCFASTRYRLGHGPSGNTDNYNYAMVEKDMSEKAPLWRQGYDDERNAW HKPLIGVVYEITLKDYAWIIATEAGGRGYNDGIVTCYPFPETYNPVDDVPEHPSTRPF KAHCLLSLIADEDDYAAHGDISLLRNPRIRPDPSYAQPSKRYIDILNAGAVENNLPFS YRAYLSQIPSYRVTTTRQRIGKSIFLSIWGPLFVFVTYLTMKYAKPDGYSPRWLTVIS TIVHGCMWACYDLIFARVFGDGERTIGD ACHE_11870A MLGRNVLRSVPSRGLARQFLNRASTRASSSTAGAESSPFYLTLT ASVATAATIGSAAYLYGQEAFAMTPAEEGLHATQYPWEHERWVKTFDHQALRRGFQVY REVCSSCHSLTRVPWRSFVGVMHTVDEMKAFAEEHEYDTEPNDQGEIEKRPGKLSDYI PAPYKNEEAARAANAGALPPDLSLIVKGRHGGCNYIFSLLTGYPDEPPAGASVQEGMN FNPYFPGTAIGMGRVLFDGLVEYEDGTPATTSQMAKDVVEFLNWAAEPEMDDRKKMGV KSITLLTGLFALSVWVKRYKWSPIKTRKIMYSPPVPRR ACHE_11871A MEVIQQPVLHIEARVKNTGDGMIVRTDLIRNDITQWLIDNFVVL SLGQEIYSFDGLKEPYAQALDSILVTECSGGETESGAYRLQHVELDVQAYQLRPQFEH ESAQQTQHLEEPADANDDTPKAKILALPSKELDGLWESLQFDQPVQSTLLNAITRMVS FSTRKPNKWSINWNRLVLLWGPPGTGKTSLCRGLAQKLAIRLGKHYPQSKLVEINAHS LGSKFFGESGKLVGRLFESIASSLEEEEDTFFCVFIDEIETIAARRERALSGNEPFDA IRAVNALLTGLDKLRSYPNVVTICTSNLVNALDEAFLDRVDIKQFMPHLSNRAIYGIY KDCLEELNRCEIVQGVSFDVVQANPEDPETALQYVEEPMEHLTLPTFDEMLLNYQMFA DAVPKQLADAANASVGLSGRTLRRLPVLSLVLHNNSIRCAHVREALAALRMGIASEVQ AKAGGRVG ACHE_11872A MSLPRVAEPPGEVTPYVVPAYQGETLTIPGTKSTDRVLASAKES EGSISVFHFDGVLGDPVGFHHHNEAHDIFMCTRGYIKVWAGDQCRLLGPGDFCSVPPK VIHRPQLVGPWNESLGLVTPGKWVEFFRFASEKYNGLLTNEFDSRNTLQHMVPKFQTI QDEYDVIFDPGHPVCEVGAWTERDTKIADGVQPYYLRADTGPRNLLGGVLSRPFITTK QSDGGKFAITSIESSSEYPPTVLGKPFAFKKVHQVYHVLDGAINVIIDGIANQVRAGE TVFIPVGTEISIHFLDRYVRFWSFASGDGLESFVRFGGREYEGKIIPDKADPVDDAKV LRAAENINMKVSI ACHE_11873A MQIHQPHPELPLHIHLRSSQLNQQRLYHGYPNFARTEYALGRKL LDSLIYTSILYWPPVRLDHPDEMEIWIMFSVDYLLKAIVMWRTGWTGKAPGKCQHKRF SLS ACHE_11874A MEGDQLQPSNYVHDGQKRSIEAECFNCEHCQKFFTRKEHLKRHL TSHTSLRQFSCSRDSLKRHMAIHGHQAAQSFAANSPGIKRTAKACLSCVRSKQRCIGD MPCERCLHKRTRCVYVPTSSPVTIERSNVSSWGCSDAWSTLTPGHAERADETTQDDTS AAPTRGFDTLTSDDRTMNTEFMEVDPLGKLDTISRVTHASATSDNIEVPPLPQMPFSS ELDTFSGFLFHPFAPWPEDALATPFGVADYDDIPIATSLDGLQRSYMNSICPTPMPDM QPHAPTPKPNLSGTEPNNAPRFPALRKDDMGTVMSEKFGHIKQVPETAYEKIKQFYQE VKTTPAGIGADDFPPLELLGSFLELYFEYFNPMIPIIHQATFNPSSENWILLLAMMTV GGQYSTLHAREQYITTLQALLQRAISAKVNPPFLRLRETVNSHSWQTGHE ACHE_11875S MHILGLANGSLQGNSEILLKAALNAAQKEDPSPTVSWIHVPSVS IPRNPKPLEGQLDISLGTVDSMKAGNDHDSVPDDREAVLTAILDADAIIISTPTYSHQ PPGVIKALFDRIGGPYVDASFAELVRQGQAAEDPNFANMKFDPRLQKPRVAGFMAIGG SRTPDQFTMALPSLHVLVYPIHAKVVDQFIGQGVAARGSVLLQPELMERARQLGRHIV SQMGKHFDDAQYLGPRDESSCPNCHLSKIELLGKDKSIGCITCGARGKLVILPNQEIR FDWEDNSIWSCITMEGKLKHSKEIGAWGMEEQQKLKSIEKEKQSWLDLHAPKVPLPSE SIWSKLDS ACHE_11876S MSKTKNGPGTGGKPRRDVLASLKMASMEDVSRAALPAEILSSVF DYLSPVDLIRVARSSKLLCEIAYDDTRWVQKMKKMGCWNEIEARKRVEHVYGTIVDPK SVEKQELAEQGKGTSAAIGDVEGSTASAMKSISDGFDQINVSTTAPVDTQELERDPVL GALKLVKSVRGEARQEYGKVHAALSPFYEDIVTFGPSTKNLVFQKYNDPHHQSQILSQ LQAFSNCDNNESWRERIARLQEAVSLFETAALKEFRQGYETEDIDGTMKKYSHVLCTL NGGGSAVEYFIHHNHMVTRKSEFARVADCIDPVTSRVKLQQTQVFFTRLSVAYNEEVS IINRIFPPPTKVASPFVEKVGQDVLYPFLTAIFDELHRSNIESYLNAVSVTFAQCQNL SETLLPIQNAPDSFDEFLDSVITKVYEPHMDLYLAEELDHFRKWSDSAVGEWDRQLDE QAASTESFLMSNVNRQADKRDFLSSFKKVIMAPVNILPSFSGNKSNETKSDQDSTAGD VSSLKSPNRFSTIASPAPVTVTEAPTSELAAKAALMKSKMEGIRSLFSIEVALSMVHA AKSSLERAAQFVRIGGQTGAAAKQQCQAIFVTLLRILGHRHVIVGFNRAVDHLSNYRP REQGERDQSGVEPLVTFLELVNVGDLILQMVDVFYEQELVSSKLTDRNDFLDPAVKEK KKFEQMLDERVATGLSKGIDVLMEEVDYILATRQLATDFNPGISNDPYRQTMNVGVTE AASAVVDVVSSHTQMLVGSTDKSTLDVFNQEVGLRLFAALCKHLKRQRISIEGSLRLI SDMNHYFKFVQTLRNSNLLLYFKAFRELSQIYLIDPSDAKELATIIADAGRFQGIWRV EEVYEFAERRADWYQVKRDVERAMYGIGCTVM ACHE_11877S MISIFSISNCIRILWLSLLFITLTQAQEQETVTLVTVTETQSSP TPASYTSLSVFKDTVLSISNDYRREHDACTLTWNDTLADYSRNWAKQCKWKHSKGPYG ENLSFGYPNASSAIAVWGEERKMYNFKLPTGFSEETGHFTQLVWKATTQVGCAAVDCG YDDDAQDKETGNFEKALGWYVVCEYAPAGNVMGKNKKWFKMNVVPERAKVGGSGGGTG SGGHEDDGDEDEDNAAVGRRYIGKAGLWLAMVHGVFFML ACHE_11878A MAPSFDTLTEQDLHEEEVEEEIDFSDLKAQYEVKLEEGLDTFIV IDGLPVVPEESRQKLIKFLLRKLSAVGHTSEDAVFMPVNDKNMSEGFAFVEYETPEQA VAAVKQLHGVPLDKKHTLSVNKLMDIDRYGREGRIEEEYKPPTVEPFKEKEHLRSWLG DANARDQFALFRGDKVGVFWNNKSNEPENVVDRAHWTQLFVQWSPKGSFLASVHPQGI QLWGGPSFSKQKQFPHPFVQLVEFSPNESYMTTWSARPIQVEEGQPVLTYEEDGKNII IWDIATGKPLRSFVSHDLTAGPAGDGDAQPKKKVQWPAFKWSADEKYVARMLQGQSIS IYETPRMNLLGKTSVKIDGVMDFEWSPATTQREGIKQYEQLLCFWTPEMGSSPARVGM MSVPSKEIVRTRNLFNVSDVKLHWQSQGTYVCVKVDRHSKSKKSLATNLEIFRVREKG VPVEVVDSLKDTVINFAWEPNGNRFVAITTGEAVAGAAVAPKTAVSFFAPEKKGHQLG SFKLVRTLDKKNNNAIYWSPKGRFVVVGTVHSQTSFDMDFWDMDFEGDKPEGEKDFAA NLQLLTTVEHYGVTDIDWDPTGRYVVSSASAWTHSMENGWSIHTFSGTTLAEHPTDKF KQFVWRPRPATLLSKEEQKQVRKNLREYSKEFEEEDKYAVDIANTAVVETRKRVLNEW AAWLRREKEFLAEEREAYELPENADEPKLAKDARPEAEDQGETVVEEMVEEIVEEHEE VIG ACHE_11879S MSLPPETREQYTQVIDSILAQSDLNTISEKRIRRGLQDAVGHDL TPQKAAIKQLIMERFDIFADQGGIGASGDGDKTTETTTNGHDNSGGSATPAERSPSTL SASPQKRAAENEEPADSPDEASPPAKKQKPEHDIDADALFAAKLQAEENMRARPTRGA STRKAAPAKKKAKPKPKTKTSKKVKAEDDSDVDSGSESGKKEVKRSGGFHAPMALSPA LSSLLNEEMLSRPQTVKKVWEYIHKHELQDPSDRRHILCDDAMRTVFKQDRIHMFAMT KILNQNLYSPDD ACHE_11880S MASAMTLSLPYVNGASRGMKYPNRTMADWGNPLPTRKISPHDDV HFDSALKPRAHRMVETPSTSKILFLNVQIIDSTGTEPYHGDVYVQGERLRYVGNVPNI ETLRNDPTVRVIQGNGRTLMSGLGDAHTHFTWNETALDNLGAIGVEEHTISTARSAMV YLDSGYTMCYGAASAKDRLDCVVRDAINHGNIPGPRYLANGKEIARRGKELSPGITAY ADGPLEMREVIRHHAALGVDQVKLSMSGEEILEDRAAEDSYFSEAETAACVDEAHRLG LRVCSHARARDSIAQCVQHGVDVIYHASYIDEATMDELEKNKHRHVVAPALNWLYATT YDAGPFGYSFDKAEQDGYKKELDVAIKACKEMHQRGITVLPGGDYGFAWTPHGTYSRD LEHFVKLLDFTPMEAIISATAGVAKLFMQDQELGKVQPGYYADMILVDGDPLKDISVL QDLSKLNVIMINGRIHKASPQDFELSRPMQIEEPTWQPRSKFSNYVSYLDDQHNSRVG HLDLDSSMVTPLAMLSGAPVHSLYQVIELENAVVPCGDSVPLAGLTLQAPLSDRDILA VGKNYVDHAVEFNRSGFDSSDKTDQPTHPVIFTKRSTSIIACNEDIYPHTEFTETLDY EGEIGVIIGKPGFRIDERNAMDYVWGYTIINDLTARERQRDHKQFYLGKSADTFCPMG PIAVPAGQLPKKLRVQTFVNGEKRQDATTDDLIFSVAKLISVISNGGTIRPGDIIATG TPAGVGIGKNPARFLKPGDKVEVSVTGLGSLENRIADPSSDNAVAKRVPLESHLASLN LERTVGGSGLVYLGGKQVNVRRIGTGPDIAVFVHGLGASSEYYTPIIKSGGFEDHYTS YVYDLEGHGLSATNVASIVTVESFADDLANVVALTGASSVTLFAHSLGCVIAMAFTLR DTSKVKKLVLMGPPPCPLPEAGKIAMSKRAAAVRATGMMASGTADAVSEAGTSSATKV YQPVAYAAVRASLLSTNPEGYAKACTAIATASPMEVERLTMPVLLMTGDEDKTSPVNI VRNLNERLPDSRMEVLRCTGHWHVYENAEEVSRLIRSSTKFLVKLLAAVVLIALVVLI TLFVEELPVQELREPVHRIRHGYTIMIRGIEFLLNG ACHE_11881S MSEAPVPSIGAGGLAAGALPPPEIPVSGVNSTPSIGKNRARTRI INRRPRKPISCHPCRQSKLKCDRQQPCASCKRRECIESCVYEGPRKNKADAGRLASSE VVRRPDSRDSQGLASPESLSLAPGPNRFIRTPIRTSGSLAHDHDYQEYSHAHWDALLQ RPIDQMHQPSSPQNDPFSLPSNLCFPFSLGPKVSKSDILAMLPSSHACEYLITQYFMR LSPLFHILHGPTFQKQYDAFRQDPSGADLSWMALLFLICSATLKSMEKDEIALVDIQP TVSDSHDISSISYKLRAASLICLSQNQFLIRHNLSTLEALLVLIYTISNIEGVEHAWT LLGIALNIGIALQCNTDINHSQLSCIDIERRRRCWAGILMLHTYQAISFRDVNMSLLL NTEATMPADVNDVDIRDDTILPPSSKPTQMSVMKFKFRMFQLSSKICHRLSSNSRLDE STLDHFDGLISEQQQSWDTVFLLDGSPSILDTSSYAHWCILQLYAHQLYLLIHRPFCK PRGPSFRPTSRAKCIDSGAALLDIHRQFSDLPRLRHYRCFVYGLVSFYAIHGAMALAS CLLDEHDAHESSYYSMFASAVARFDSLQSKSQICIKACPILRHLQLLLSTEQFRLPNS VDYNFGATFDDWIDGVQWLNPESINWVAQLLPLSGYFNIY ACHE_11882S MADSQPPQTPAGSQGQNRGGRRRGRGGANQVRQPDGSGSGRNTR GRGRGGRGGGDRNRNTNASQSAAGADAPVAGEEKSKKVPAATDDADDGEICFICASDV THTSVSPCNHRTCHICALRLRALYKNKACAHCRTESSYVIFTDDPTKNFDQFVSNDFS RKDDNLGIQYENDEIFEDTVLLLRYNCPDTDCDVACLGWPDLHRHTKSKHGKVMCDLC TRNKKVFTHEHELFTMAELRKHEKYGDDVPGAIDQSGFRGHPECGFCRQRFYGDDELY AHCRDKHERCHICDRRSGHRQQQYYVDYTALEDHFQKDHFLCLDQECLDKKFVVFESQ MDLKAHQLEFHPNGLSKDARRDARTVDLSAFDFRTPYQPQRQRRGAGRGRDPNAEPLP ASSAQPLRRDEIAYQRQMAIQSAQSVSTRSFGGQLTRSDTQPVRAPPRSGNATPARSP PAQSPPVSELQNLNLVADSAPATPQEQARRLRHMAVIERASNLLRNDQAKLGEFRTRV SNYRTSLISATELIDAFFSLFDTTSSELGKLIKELAEIYEDDSKRTTLLKAWNDWRAI NEDYPALPGPGGLLPGMSPDTVNGSGAGGNRVLRLKSSTAQSSRSAVGRSGALPSSAS SATAFPPLSSTSSSSSRPAVRNTATTAWGTAAAPSPSSFPALSASTRSSPRPSGSSTP TASSSRASNTGGDAFPALPAAPKPNVLMAGLTRGTVRWGESRPATNAWASSGGGSSSD VIEDDYGEAARGGGGKKGKGRKGKQTLFHFG ACHE_11883A MSMSFSRASSFAPPTSRVNRVSTVRTARPATTATSVASQDIICA ITEAVLCQICDSQTYVKTITKIGVFEPTEILFMNTCKDSKLFYIVQENLPDPTFTFID RKYWSDRTCHEYVNRLAFPEDAESIKVTLGGNYFAACCFAAVIKYVELKLNRIFASHS LRIRFEPSQGSMTIDLSTICSLELIQNLQNAKSKDSLFGLMNETLTPMGARLLRANIL QPSTERSKLLARYDAVEDLSTKEEMFLSVRQALKGFVDTDKVLTSLILVPTKQKFQHV EQSVNNVIMLKTYVSSIKSIYKTLSTAQSTLLLTIRELCAPAGHSSVEQLIEGTLNEH VAYQTKPLDLRNQRIYCVRAGVNSLLDVARQTYKEANTDAADLVTQLAESTNLRLDLK YDSARQYYIVIPADEVDSLPDIFINVYRKKKRIECQTLDLVKLNQKIVDAHDEVINMS DWTIQELIKDVCSEISGLFRVSEAIAMLDMLAAFAHLATFYDYIRPELTDTLAIKAGR HPIREKIHSKKYIPNDAYATQQSRFQIITGCNMSGKSTYIRSLALMTVMAQTGCYVPA EYASFPIVHQLFARVSTADDLEANVSTFAVEMREMAFILHNIERRSMVIVDELGRGTS TTDGLAIAIAIAEALVESKSLVWFVTHFRDLAVVMAERSGVVNLHLAAEISSDASKMT MLYKIAEGPVTNQFYGLTLAKLVDLPPDVLDVAQDVSEKLHEIAARRHGNSGAVTIAR KRNLILSLREQLYQARNGALEGEALRKWLKKLQDDFLLRMASIDDELDDASSETEQED DIIEEDDQYDDSQEGNQTETELTDDSSPIISTERPDTHGIATERTDLSLAGEESILMT SQEIPETSPFPESVELV ACHE_11884S MATLLPPPTKRQRTDATEKARQQQDVESVPADLGSIRVQFFDQA TGSATGPAVSVPVADATVKNLETLLNTLQGNDDDERVPYRFTYQSDDKDNQTIDILAD LYHSLLKPGLKTTEDTVPLYFTPQAVFRVKAVSRCSASIAGHGEAILATSFSPVSSST MVSGSGDSTARVWDCDTGTPMHTLKGHTSWVLAVSYSPNGAMIATGSMDNTVRIWDAK KGQALGGPLKGHTKWITSLAWEPYHLQQSGAPRLASTSKDSTIRIWDVVSRRIDTVLS GHKGSVTCVRWGGTGKIYTASHDRTIKVWNATNGTLLQTLSAHAHRVNHLALSTDFAL RTAYHDHTGKVPQEESEKVAAAKKRFEQAATVNNKIVEKLVSASDDFTMYLWEPESSN KPIARLLGHQKEVNHVTFSPDMAYIASAGFDNHVKLWNGRDGKFITTLRGHVGAVYQC CFSADSRLLVSSSKDTTLKVWNVRTGKLSIDLPGHRDEVFAVDWSPDGQKVGSGGKDK AIRIWRH ACHE_11885A MQLKPLVALFLTTLPALTAAQPLSTDLADPQQAMQAKPVFPTDM ETRAVPAELTSLPLAALEKRQLDGDILLPLIELMTKVLKGLVQGGGSGLGVEPEEVRV MLDELKKIRNEQHKGTMASS ACHE_11886A MQLKPLVVFLFTTLPVLTVATSPDTATINDISNLTNDLKAFDAA VQNWDLQENSSPAQTMKDQANKAVKDLSQTLQDAKKIESLTPDAQDKLVASMKEFTSV AGDVIKHMAEKQSESDRGDGYRGLMETSNKATGLFFNELRNSLANKIPQETVQELSGQ LQGLQNGLNKAI ACHE_11887A MQFKILVTLLPLLAAAVPLSSFTDTVDPNTAALEDIQALKSDLT KLDNAVQKFDGNLASAKPLKEDATSAQEDVEKALKDSKEITSLTPEVKQKLTSAMRQL KPEAKSALQHLVEKEPQFQQSGLTQPIGENLQGLKGSLSEVEKTFLAQASGQEAQELT QASKEIGGELEKAIKAFSA ACHE_11888A MYAVEERSHLPPPPPPLSMDRIPPPSSAYPTPGSAGPVGTSDHL APFSARHNGRIWSLQVVQQPIRARMCGFGDKDRRPITPPPCIRLIVQDEQTGKEIDIN EIDTSYYVLTVDLWNADGTTEVNLVKHSANSPSISTAMASSYPPPPAQNISPTYPTYA QSPYGQPVGYPPNSYYGASPQMYQNAYPNPQNPYYPYYGGHMPMSPATQPAAGPGGMF TRNLIGGLSASAFRLTDPDNKIGVWFIMQDLSVRTEGTFRLKMSFTNVGKICPESGNV TPVINHGSAPILATVFSEPFQVFSAKKFPGVIESTQLSKCFALQGIKIPIRKDGVKGT RGRGGDGDDEGDDYD ACHE_11889S MVNETSAAPPPPPVAKKFPAPAPVPKPPVVSPTGPEGAFLVELM MYNGSPFKDHWAYWISSRVNPDVGVAMDAAGDVRSGFEFQIRRNLDLTDSSNQPWKRV PLQWVDGKHFDEARMFNGGEFKVDTVPVCGFEASAHKVKVPEKSLNSVEEMVDTSDVG KKISQRNCQTWIVESADQLVKDDIFNKGAATYLHAVRQ ACHE_11890S MRQPSPPFFLPHILWQFEIHIYPVRSFWLPGDLAANLPTGSPKF WYGNDLTWSVIARVDSQAYSLFGVTNTLDGVQEATVIAANFTSTHSIFTLEAGSKTFT LDFLSPVSPQNLLRQSLPFSYLTVSVSGGSSESVQIYSDIDASWTGKPNNAGWRFSTN GSTSIYHVSPANAVPFTQNTENQALWGQVLYASRPSSQSTLSSASGALSTIRSQFVKD GALNGNNADWTSNGVVGLAHDLGNVSGTVSVTFAIGHVHEEAINYLGNTQTGYYRTQY PSAVSAISHFLDDYTDAASEATTVDNFIQQKGESAHSSNYSDVLALSLRQTYGGIELT VPYDSLDANEARAFIKEISSNGNINTVDVIYATFPVLYILSPEILRLLIEPIFDYMES DAYSETFAVHDLGTHYPNATGHNPDGEDMPVEESGNIIILTYAYQLATGNTDLTSAYS SLLEQYAQYLQKDGKYPSNQLSLNDALGPIANQTNLAMKAAVGLNIYGKITNQDDYTA QGKSIAHALYEERLGTDADGTYFTIQYGNDTWFLVYNHYGDVLFDLDLFSDEAYNATT NFYPTVRKAAGVALEGGIEWGQTNWQGFVAATVDGTTRDLFISDLHAYIANGQNRAPF SDRYWVADSGDNAAGNYFKFRARPTLGSHFALLALQGGDQWSSYSS ACHE_11891S MGILSEGANEWLFTQRGTHRLSKEGDAPATRHYFKTHYQSLATP YRRSPLLLTATRSSMTRASSASVKPLKKPLMVLALMQSPVSKPLAAPRIPQTEWSLCV KKARVDSLLL ACHE_11892S MSVSRPVSRSKNGSTDSSSCCQDILHDISEEDSRGGPVQPEPPR FSTAEPGIDSLAAVASEAPYRVWAHLDLESFKKLI ACHE_11893S MGRQISHGRGGAGNIYSKEHKEQPASHKDFVTPTIKQDVYTTGR GGSGNMVYNDPERPEIARESQDVETPPLRVEEAPHHTGRGGAANAYVPSADEEKLVRE QEEEQLRRVYTASRDRLKDVLESQEERQSDAASK ACHE_11894A MSLINGRKSSRDCSCRDRRTLKRNERQCLNHHLYNSAPRIWARS RPSAHQLSIAKTTKTQPTMTDPSRTSTSLCRRLTNQLNINDLELIMHWHSSTYRSVSR DSTVEGIWQKTVPQEAVQHPFLMHGLLALSSLDRACSSGGRVREERVRTAQQHQARAM GGLSAVRRLENSRSLSTCNAMFALACVMVYYDLALPLLTSPAEGRSALDEFCRVLEQI RESIVVMAEVLDRVREGELCPLIREDEVRPKMPDTSRLAIQSLRRRNGILAARDPTHE TDTYESTIQHLSAALERLAEGGEPTIIAIRWIWFIPSRFIELMSTREPFALVILAHFA VIMHSLQGHWWMGEWGNRVLEVIGQTLDAEWRQSISWVIDATGCYIPL ACHE_11895S MVLFNKKQRLWLKALCLVYALLLFYCRYRSARDPTSYFFQPEEG YRPRYSVTRIQESLRFLSSSKHLSVRPASPSTDPSLCIGIVTAKRPLAQNLNTTVGSL LDNLSQEQRSEIAVHVLFAHVISSAHPDFGQPWVSQFVDRVFTYEQLGAPIPTLKKLE HERRISEKSLLDYRLTLESCYHQTNSSWILMLEDDVVAQRHWYERTAQALQTVSKWEK QGKIRRWLYLRLFYTEKFLGWNVEDWPVYLAWSIFAISTPAVLGICARQQVHSWQGVL ANSFLVVVCFIGVPVGILIYFLAGRMTVQPMRPGVHLMNRHGCCSQALLFPRGLVPLV SSYLDWKRCASPGPVDSVMEMLGDQGGLDRLAISPSLMQHVGAASYKENRPSYQWEGM YSVHGAHGVWNVEFEGQS ACHE_11896S MDANSPPISIPPSYETLPVTDIKLSHHPPNSPSPTPILIVTLNR PQKHNAYTQAMGESLERVFRTVDIDDRVKVVVLTGAGRTFCAGADLDIGFSGAKQIDP EVYRDR ACHE_11897S MYLVTTGAVFPPTSLHFGPLFAETFSEKEAILARGLEIASDIAE NVSPLAGALNRGLLWRGLDSPEETHLLESGILYHMFRGRDQREGVGAFLEKRTPNFRA TLEEDGPREYPWWSEVDINPKAKGESKL ACHE_11898A MNSISICAPMRTAMLRGRISTAALASPKTPFQVRMGSTSTRTTT PTIQPSMLLQTCSRPRNTLLPASSVLRNTVRANSSSAAPNEAKLDWNSFFKLRATRRR YSVASSVLTSAATTIFGVQYLSTQDIESLGAQVMGLDPIVVLGMSTMACGAVGWLLGP FVGNGLWSLVNRKYTAAFIRKEKEFFDRIRRFRVDPSSNSIANPVPDYYGEKIGSVQG YRSWLKDQRAYNRKRRSFIV ACHE_11899A MPHHLKHPLQRLSSPSRGLSAVVHLVGLASFCWSFKFMHENPNR ANEAYGWHFQYLTVIGLSLATLTFFFGILADVTLSARLFLVKNLLSILSAPLEVLISL LYWSLRLIDERLVIPEWAVIPLSADVSFHAIPSIVLLIDLLFFSPPWTIDIVPALGLS GTFAVGYWVWIERCFKLNGWYPYPIFEQVPFEGRVGLFVLSAVVMALSTATLKHLYGR VNGYGTATEARAYPGQVKRNGGH ACHE_11900S MPRPRRPGAPEPKRRSRNGCWPCKARKVKCGEEKPSCLNCRRQN DRCDYSIKLNWEGRTRRKSSVEPPSPLCNGYSTHIFSSVSPPAFQQSLPSVSEDTSTG AAENILFWEHKLSHTNPGTHHNRKLSGSERPPSSVPQFEQAAFAGVESSVEVNDLLQV QDVAIPWAENSPHSSSIVSTLNSTPSLVNPIEDGSYASPAETDSAFDRRFFNRSMQNS SFPYAQQTGPASNSQSPSGDIYYPGIPIDSLLDKPEDEEDRSLDLSTSEKRWRTYLRT VTDNYGLDCGRPDLDLNKNDDHAAIDVNRALQLIHPQWHSQRGIEPEFSFAKELKSKN RTYYVSPVPVDIPRYLSPLPMNLLKNPINLMYFHHFLNHTAKMLVPHDCEDNPFVSVL PSMAVADSNLLNLTLAYSASHRARYLGHAEPADRIADWVSDVFPALRFALDNPHTSIT DSHLATAVMLLSLKIISPSTFEVPIPWQSHLSLARGLFQAHAEHMAYPRNRIGAFLAR WLGYIDIMGTLSCRDGGPPLDMYYSVMNACSTGGEHDEFSVDCFTGFSPRTGACLIRL GKLVHRCDNECFDEAGMFRLNWTASVDMILEAKSLVMEFEALRTQVHVNGKHYRGSPT DLLSMDRAFCCSALLHLHRRILGSSLFSAAVDEAQDGLLKALAQIEPGGSTEVGALFP LFTAGCEVQDTKQRTEILERFVILETTGMKQIQNARRLMQRCWDEELPWIALAKGEFL G ACHE_11901S MEVPNLLPAPSFSPVRSLLVLFLSILLAFCTFVYYHRFGYSPLS CPRGSQPSSNTQSQGAAGKRARRKRKGKAKARSKAMAAVGSSSLLDNGVEAIPVPILH PKATHAAEESQSSDSALLDRRLEHLMIPILEPVRNPVTARHASQNNSLSGRKMAKTVP WDGQFRWAIKGCSVFDDQQEEANALYYPHNLLSRVPLSNRLVFQYGLRYIPSMTETNI YRTVTVENLPANITLSQMLLNVSGEVYSARLFDTKAITGYNTAIVVFLLESEARTFVE NAEEGLQVGSALAKVALVNTPTYPMPAEMDNLVNKGYSRCLVVSEVSATIQKDICGVL NRSAYHSYIEGVKAGPSAGQVTIRFHCIKSAAAVLSLLQGHPNFRKCSVSFLKESQGK LVLTKAG ACHE_11902S MSKADDNVSRVSDPEKEVQPGNEPEESKLDRFEDPDEGVSEEER AKRDRKLLWKLDLRLVPWLCLLYLVAFLDRTNIGNAKVAGLQEDLNITDTQYNIALTV FFISYSVFEPLTNVLLKRWGPSIFIPVIVILWVSTPC ACHE_11903S MAVRFFLGLAEAGLFPGIGYFLSCWYRRDEFGVRMAIFFSGAAL AGSFGGLLAAAIALMDGVGGKHGWCWIFILEGLATVLIGVACFWMVQDFPDNATFLSP DDKKRVVRRLAQDKQASAEKEDFNMVYFWSSMKDWKTWLYAVIYMGADMPLYGFSLFV PTIIEELVCSLFLLSHAAG ACHE_11904S MAISLIGMAGYALLLGAQGPGARYAGVFLAAMGIYPCVSNTIAW CSNNTEGVYKRGVTLGVVIGWGNLNGIVASNVYRGGDAPQFYPGHGVMLGYLVVCLFG GSLIQYLLLIVENRKRKQGKRDHWIEGLSPEQLAQRGDERPDFMYTL ACHE_11905A MSAQYPARQSPSPNPATMPPRQLSTLFTSLSRESRRWSLTRILH SNNPMDIQGELRGTATFKPLERVTGTGTGTDRDMVYREEGEMPSTVGMGMAGLRWSKK YIWRLSEGGEMSVWFVKVGGSKGSDEEEADYLFHKFDFKDGSAEDSDAAAGEDMFVAA PVPPAAVGDTAVLTARGNHLCINDMYRTAYAFRIRPESGEVLSWSSRHVVKGPKKDQD IVNVYEST ACHE_11906S MAESPIARGNFVHNNTTPPAHQSLMGLFSLKGKTAIVTGAGAGI GLAVAQGFAEAGANVALWYNSNQKTAERAAEIESKYGVQCKAYQVDIKDPQAVENAAN LVVKEFNGRLDIMVANSGIPWTQGPMVDSEVDHYSNVVKTDLDGTFYCAKAAAAQWRK QKKEGNIEGFRYGSFIATASMSGHIVNIPQLQAAYNAAKAGVIHLCKSLAVEWVQFAR ANSVSPGYIATEISSFVAEDTKNIWKDKIPMGREGEAQELKGAYLYLASDASSYTTGA DILVDGGYTLP ACHE_11907A MPRTVEYSVFSGSESGDILTETVQRTIQSNEALVEITHAGLCGA DKLSKTKNIPLGHHGAGIVRDVGCAVQYVKIGDRVGFNGSQIPCGNCDYCIGGKEQYC VQSKHFERVASFATHAVWHENMLIKLPEGVESKYAAPLMCGGAIMWEVLTANDVRPGD RVGIHGIGGLGHVAILMSSALGCDVVVFSSSESKRHDAMATGAKEFHITHDIVPGAPV APVQHLFWCRDGAPDFSKLISQVSCSGTIYILAVGLEAVSVPMQLVVKNGIRIQGCSN ASRKTMRKMLQFVRLHGIQPWIMTWPMTADGIQDAFKALNESKMRYRGVLVGEFGN ACHE_11908S MRMPSTVVKVGPIVSSRGFVKVKFETEIDCRVDKYIEEHSKRES TFHAWMQEGAQMLAPPSFILLEWPWFFE ACHE_11909S MVRSLALLPLLSGLFSPVIALSRNPIQVQEPNQAQTQGHAAFPS CAVNCSLLQQAQRECADNEHATIASCFCQSSLIDRLHSGPDGVCDQVCTASDRALLHA WYGKYCSKNTKRDLVSTLETPLEDTEMAPSQNFSTLKPRGGGDWWSTHYQWIIMVIVL IIGFTILTVLGVWLKRRHDAKYPHLYHGGSRGSSGLLLNRQQQSDPTLNQPGSFDPNL EMAQPRRFEGANTDSFASSSRTAITNPRTGRTPSRNRLQRQMQSPVQSPRNSDLIRAA SPR ACHE_11910A MADSRLPPNKRPHAAVDEDENESSDEDDFGPALPSADAPKKKRR KLPFEKVYVNALPASSRYSKSLMHKDQLSFVTMTPFTDFLITSSADGFVKFWKKMAVG VEFVKEFRAHPPEKLRSVSVSADGRSFATTGADKTIKIFDVVTFDLLSMLNLEFVPRC VCWVHRRGASIPLLAVTDESSSTIQIFDGRGTNPTPLHIIKSIHRTPVATMAFNNAFD CVVSADESGMIEYWRASDGSFEKPDNVFELKSSTNLFEFKKAKSTPASLSISPTGQHF ATISFPDRQVRIFDFASGKLYRKYDESLGTISDMQQAGTAIYPLETVEFGRRLAAEKE LENPVTIPRVNVVFDESGHFIHYGSLYGIKCINTYTNRVVRVYGKDEPFRALNLTMYQ GQPQKKGVTTVSMAASSNPLLQEAEERDPILVSTGFAKVRFYLFTNETDASKSNRDVH NERIQTGEGRGAKETTQRANELGTAAVLHTTMGDIHLRLFPSAAPKAVENFTTHARNG YYNNTIFHRVIRKFMIQGGDPLGDGTGGESIWGGEFPDEFSTLKHDKAYTLSMANAGP NTNGSQFFITTEKTPWLDGKHTIFGRAVQGMDVVHKIENTKTYKEKPEEDIKIVSITV S ACHE_11911S MASFANPTQIFADDVIEEKGENARLSAFVGAIAVGDLVKTTLGP KGMDKILQSASTGDILVTNDGATILKAIALDNAAAKVLVNISKVQDDEVGDGTTSVTV LAAELLREAEKLVDRKIHPQTIIEGYRIASQAALAALEKAAVDRSKDTEAFRKDLHSI ARTTLSSKVLSQDRDHFASLACDAVLRLKGSTDLSHIQIIKKAGGKLSDSYLDEGFIL DKKIGVNQPKRLEKAKILVANTAMDTDKVKIFGARVKVESTGKLAELEKAEREKMRAK VERIKAHGINCFVNRQLIYNWPEQLFTEAGIMSIEHADFDGIERLALVTGGEIASTFE HPEQVKLGECDLIEEVIVGEDTLIKFSGVAAGQACTIVLRGATEQLLDEAERSLHDAL AVLSQTVKDPRVTLGGGCAEMVMSKAVEHAAQNTTGKKQLAVDSFAHALKQLPTILAD NAGLDSSDLVTRLRQAINNGMSSSGLDLLTPGGGIADMRELGVVESYKLKKAVVSSAS EAAELLLRVDNIIRAAPRRRERM ACHE_11912S MRFTVNTIIFFAAMIATTHAAPANSTVTRSVKGWARFCDDANCS VNCGISVNVENPGCLIERGRGSVLYNGPYLGGIALLASPGESCGCQSSCVPHFWAISG SNTCLNLEDNPGSSYRFIHGGCPANNCE ACHE_11913S MIMAPQWLQSLFLAVLLAGQGMVNGLTLDVDDEASIKDIAGKAA YNTMSYYHSNESDSDLVPGKLPGTWWEGGALFMTLMQYWHWTGDDSYNDVTQQGMLWQ KGNDDYFPDNQSNYLGNDDQVFWGLAAITAAELNFPERKGDSSWVSLAQGVFNTQVPR WDTTACGGGLRWQIWPYQGGYMIKNAVSNGGLFQLAARLARYTGNQTYFDWAEKIWDW SATTPLLKQSDWTIADTTNMQANCTDHGDLQWTYNYGQYLGGAAYMYNITNGDQKWKE GIDGLLGTTFRTFFPKKYGGQTMSEISCEPNMKCDRNQDCFKGFLSSWLTGMAELVPY TYDEIMPKILESAKGAAQQCSGGDDGTQCGRRWYQDTWDGSTSMESDMSALSVISSTM FVHKRNQQGPFTADTGGKSESDPTAGTADENEQPGKLPDISTGDRAGAGIVTVVFVAM WGVAMTWMVYGG ACHE_11914A MSAVLLFCTAQVPAQLINKLMEDCILPDPDFTMNFFSLVRTPDQ PDVDDWATEPPVDYFTTGFLGKTDAELRRFPTERIPQVEHGQTVDKRWVAVLDEQSMS TQTVVLHNSYAKSLWDEVRPGEPIPAGGKSFEDGRIWWKWRVPFKHAWTFYNSLESDP TATTLNQYARPELHKDGVVDMDTVQKIMDGDMTIEDLS ACHE_11915S MKGQGTVSFDFDCYLILCKGVSPILQRIDKDTDEANRKASGAAA DPFRNVLKDKIPPKNPDWHKNEAFESPEEFPFASSAQEGSK ACHE_11916S MRWRLSGACSTLPASVALLLLPVLVAPQQLQEPLHSHRNAYNDH APAVSVPLHSTGPSHNAINVKSNDASALTTLALAGPGRAVRAPPAQASSPSSSAGLAP QLHARSLQDWEVEDFVLLATVDGSIHARDRHTGASRWALEVPSSPVVESIYHRANRSS FDSTQPEDDFIWIVEPSQHGSIYIYSPGPDAGLQKLGLTVKDLVDETPYSGIDPAVTY TARKETTLYTIDARTGNILRVFSSRGPLPSGAECRKVDDGFDGVTDACESTSGTLVLG RVEYAVAIQNTETGDPICTLKYSEWTPNNRDVDLQTQYFSSMDQSHIYSMHDGIILGF DHSRMERPRYTQRFSSPVVRVFDVVRSANVESPDAPTPFVLLSQPLQPPDPDYGPLDE RDERVFIDTTEAGGWYAMSEETYPLVTGRAKMAQCYEKDYFRHGQALMSLNPHQQRDA LAGVHSLNGPRIVSRERPSIGGSSGTHDLSHHDLPHDLIRTPSELALPPALRHSTIIR KGWDNALDIFVTLLLLFFGTFIYFNSHNIQELAKQKLDLKNIISSDQPPLSTPSTPIV NGNTDVKREPSRIRPVPNVTVDLDVSAEQQARDQTPRPRRDRSASVPDTPLAGDASLA ADATPRVRIREPSRGPDDEDDVEDLNLQSPEKPKKKARRGRRGGKSHKRNKKPGSEDD NKDQEDQVVKDVQNIQPMSRLGPDVQLSRTLPNEVVEMDGVVQIGRLKVHTDVVLGHG SHGTVVYRGSFDGRDVAVKRMLVEFYDIASHEVGLLQESDDHGNVIRYFCREQAAGFL YIALELCPASLQDVVERPAHYPALVGSGLDVPDMLRQIIGGVRYLHSLKIVHRDLKPQ NILVAMPRGRTGSRSLRLLISDFGLCKKLDDNQSSFRATTAHAAGTSGWRAPELLVDD DKSPITQGVESQHTESSEPAVVDPQTNRRATRAIDIFSLGCVFYYVLTRGDHPFDKNG KFMREANIVKGNFNLDELQHLGDYAYEADDLIRSMLSLDPRKRPDASAVLMHPFFWPP SDRLAFLCDVSDHFEFEPRDPPSDALLCLESVAERVMGPEMDFLRLLPRDFKDSLGKQ RKYTGSKMLDLLRALRNKRNHYNDMPAHLKAHIGGLPEGYLNFWTVRFPSLLMSCHSV IAELELTNIDRFKRYFSVMD ACHE_11917S MVFLRLTVKIYPPEQIQSSSSFSFRSILSSDNDAGRNSSGAPAG KPASFLLVLENPEDVTLGGLAKMIQEKWTKLRPNAEPLQIKKMLDDNHDTDDLDVDMT AADVFVDRGLGQIDGRDQRGCLRVIQRPTPYSPARFPSVTLDWDAAAEDYERRIQDKR QAAAKSVHKFPTITEEDCQAGSVSGSQDGDRQYTPGNVTPAHQADELERRRDMPVLSV EKEHEIPGSPARWDEQPQIQEQDSQDNSEKTIAATPQHRRFESQELGGSPTPTRPSAL SSEKMAATSQPRAATRGRAANRTINRIASESKSPPPAKGLHLTEQTPSLRHKTRSHTR RRISQLINPVEDDEEGEANGQDNGRQEGEGGEKDKGDNEKGNFDYDGDVAMDDDGIAP DAFTATEEAPEQDNANGDAPASRQTRLGKRKKSQEELQSNKEPRLDRAGTLTQERSES RRSSPSAMRKRERAPSFSGPGRHPSIMEQHSPSRPGLGLGITKSPPRKQLAKLDLKNF TKAPKLVLVDSSNREQPSNQTAVTAAPVWLSNAQKSLTQNDSTPAKPQTPADKVKSAL RKEASADKRRSVSFSEKNSVIPVTDDSRSRLPSGSAAKEPKKTKDKKEKSPNAGQDKP PTPGITVYPGGVTAEKIQQILEEQKREAEKKKQEQEEMDREMREAKERNASSQYLTIL AEIHRTRMWISENKNSGRQKTQQQLKSSRSRLDMYYRELESIKANPNGHSKTPEKKKK KRASEAAAEISEEPSTPSSNAKDTGSAKKKKAARRESSSTRSPKSPPKKTENEEAAPT ETTNGDSAQTTEEREVGQETETALAETPSHSKRKTSPAEKAQNKEPVTASPRTRRSRR SFRPVDGADAMDIDQPSQPVPQPEPQQEGGQEDGQEMETEPEQEGEQEQEQPEEPERE PEPQPHDKEEDGDEQDEEQRQDQEQEQAETQHEPEPESEPKQQPEPEQRETTKGLETE QEPAQDRESEQEQQSEPEPEPEPEPEPEPEPEAEAEAETEQEAEPQSEQQPQEPEKQP EEPEMVPETSQPQTQEPQESQAEPSQQLPEKPQSQQSRLLYQKQSQSFPMSDDVNLPE IQTIRTLDQSKKPEERLAPQPTTEEPKPVSQEGKAKESSSEDEDGDETDSQTGSETGS GSDSDSGSGSGSDDDDEEVQGPELTKADLLRRANGANGTNGTSFARNRMTKSPAPSSQ QQLPQSQPLPQNRYSSPRGSSQPPAQSQPQPHIQPFQSVNKTSARASLLGLKNMITSQ KQEYDAQLQEARMATVTRKKDVFDPPSDSESEDESESDSDSDSDSDSDSDSDSDNVSG ADDGDIQSSGTVGKLRKALQKK ACHE_11918A MWSLPFLLGPSLLAAVSQASKTPYDQYILAPSSRNLVPESIYQI NGSVSNADVLTNPGSGNAIFNGPASVTFDFGRNIGGIVSLDIASASSFDAFVGVTFTE SSLWISSEACDATADAGFDSPLWFPVGQGPGKYTAEKKHIRGAFRYMTVVTNTTASVS VRSVRVKYTAAPTQDLRAYNGYFHSDDELINRIWYAGAYTLQLCTIEPSTGDSLTTLG DISSTDNITLPETVPWYNNYTISNGSSVLTDGAKRDRLIWPGDMSIAVESAAVSTGDL ESVKNALEALFSQQKANGRLPYAGRPFTDQVSFPYHLHSLIGVSDYYRLTGDRTWLSK YWNQYKRGVQWALSSVDQTGLANITASADWLRFGMGAHNIEANAILYYVLDQAQDLAT TLHDQTATNWSSIASGIKAAANQRLWDSDAGLYRDNETTTLCPQDGNSWAIKANLTLS KKQSSRVSSSLRSRWGLYGAPAPEAGKTVSPFISGFELQAHYLSGNADSALALMRTQW GFMLDDPRMTNSTFIEGYSTDGSLVYAPYNNDPRISHAHGWSAGPTAALMFYAAGLHL TGPAGSTWKFAPQPGNLTDVDAGFSTKLGAFSTKFSRTDDGFQRLSFNAPNGTTGDVE LAGTRGSLVSREGKQVELVDGKAKGLAGGHWELK ACHE_11919A MSTAAIPDNVEFAYNDKVQKYIFSAFAGVVWYNAIELIVVCFVT FRRYRGCYFWSLLVSSFSLIPHTLGYIFLLFPLGISPWLSVTFVLVPWCGMVTGQSLV LWSRLHLVLQSPKVLWAVLCMVIVDAIALHTPVFVLMYGIISPKADQFIRGYDIMERI QLVGFCVQELVLSGIYIFETAKLLRLRPEQRHRQILIHLLIINIFILILDFAVVGTEY AGYYAVQVMFKPVAYSIKLKLEYAILGKLVEIARGASSDTWASSSPRDGIFSGHGIRL GSGGGASDHLQEVDSTAALPEVYATDSPFPRCVKDHG ACHE_11920S MPPKKRTTSSPSGSPANKRGRLDLSDPHPNAQQAEEFGIVLRDF YPPEMSNERCDAYNNGTLERPIETLQRAYEDTADVRKSIQPKNAVVHWFKTDLRLHDN RALYMAYEIARDNKIPLICLYILSPEDLAAHLISPARVDLTLRTLVQLERDLGELDIP LYMETQDKRKDIPSRILDLCQRWGVSNLFTNIEYEVDELRRDAKLVRLCADNGIKFEA AHDTCVVTPGALHSQQGTQYAVYTPWYRTWVSFLQENPEYLEVVEEPGSNPDDARRHF GDLFDSQVPTAPSNKRLSDEEKAHLEQLYPAGEHEALRRLEDFLEEKGTSYDDLRNLP AAKSTSVLSPYFASGSLSARTAVSMAKKANRNRLNSNESGYASWISEVAWRDFYRHVL VHWPYICMNKCFKPEFTNLEWEYDRDHFSAWCEGKTGYPIVDAAMRQLKNDAWMHNRT RMVVSSFLSKDLLIDWRRGERYFMEHLIDGDFASNHGGWGFGSSTGVDPQPYFRIFNP SRQSERFDADGEYIRHWVPELRDIKGSAIHEPYERGAGAVAEKNGYPKPIVEHSESRD RALNRYKRATQSK ACHE_11921S MNTAQAGDQQQNTAPGITDDPSNGSASGKKRWRRNRIACDSCHS RRVRCDRAFPCSRCLRNETRCEFTRELRKRGRIARSKQAGLDTANGASDRRAVERNNM KTVSPTRDRNTSPTGSAHHRSPTTNDPTVAPAPSVDGHRGLGPEANATEEWLSSTHLS SESYGFLNGAGWRDGSLPRMLDFWNGVDFAGQSAQTPSASKMAPIAQTPGSSSTSTSL KYPVLQPLMPFLEGTLSRRLVFDLLELYFTSAFSTHMHPVCHHIHCYVLRKASFLTTD TPRPTSPALLASMLWVAALDDRAFALAISPPQRKKICQFLCALTIRLLRPLIHASFKE QDSSAPRDVPYAAVGPECPPVATMHHPSTGTGDDRGLVGPAGSLDDVITYIHVASIIS SSEQKAASMRWWHAAFTLARELKLNQEIEVVPDMDSNPEGSSPPFDDFLPGWGGIDTG NWTDTFNPARPSLNCVCDRGRDWQGTLTEEHREERRRTWWLLYIMDRHLALCYNRPLA LLDAESEDLLLPLDEGTWQAGNVHSNSPKSDGPQCPLSGEKNRRRLFPNFICHDHSIF GFFLPLMTITGELIDLNQARNHPMLGLRVQGKEAWDIHISEVLRQLEIYKASLTTFAA AAATDPEVPVSAAFPSDPKTDRPPVMDPSLSQAYSWHTQTVIAYASYLVHVLHILLVG KWDPVSLIEDKDFWTSSPAFASTISHALDAADSADQILRFDPDVSFMPYFFGIQLLQG SFLLLLIVERLQKEAGEGILNACEVMIRATESCVVTLNTEYQRNFRQVMRSAVAQARG RPVDHSEIRRRRKAVLALYRWTRKGTGLAL ACHE_11922A MADGAGSSQEKEDDKDQFGAAEPARKNNARKKTRPTYSCLQCHK RKVKCDRVKPCGACCLRGTPSECEYGNSKQDRQFIEQSTVIENLLQSCETLKQELEET RRQAKLPPVKQEDETPFPHNVDSFDAGSSGKLGQRRALVHSGTQTDSQSHSLPSSPAT ILRKASSPQDLQKEKKNLSDPTLARALIELFVERLIREFSPANASNFGGTIRLRQAAD MRVFSPMLCNAFEAASLTFAGSRQQNRSLEMVGHARYIRVLRQLQSALYHPEKSQATD ALTVVLLSTIIEAFKQSSKDSILKHQLGGLQLLQSRTPYRHRYGLERSLFVDLRLYWV TAAIALRKPTFLASREWLTVPWLGDSAPKDILHRVLDIAVDIPAYLSEVDTFTSMLKN ATASTELIALQTTIWDKATEIEGRLQLWETTCVRPYPDGGPWEALEPIPVADFPVFEC RDLSSLEIFTPRDLVYPDLLLATSMCYFWAMRLMISSTDGGLPSILTMQDRYKSACNI CRSMKYYVQNIPGSLVSRMIFVLQTAYGSFADGTIEKQFVRDLFLYIGRRFQFPVFSK QPTSQAND ACHE_11923A MAFFRSLLATTALLSAYANAEGVQGTAFGFASGTTGGGDASPAA PSDIAELKSWLSDDTPRVILIDKEFNFLGTEDTCDDCECCIPDSNSCGSSGQNAIKTD GSDWCGNYPTTTCTYDNAGLEGLTVASDKSIVGVGSAGVLRGKGLRLTSGVENIIIQN IHITELNPQYIWGGDAITLDGTDKIWIDHVKVSLVGRQMFVSGYDSSGSVTISNSEFD GRTSWSASCDGHHYWSVLGYGKNDKVTFANNYIHHTSGRSPKVEFANHWHAYNNYWYN NTGHAFDVGENSNVLIEGNVFAQVDTPSEPDSGKIFAVTSSDQSACKSALGRNCVANS FVSSGNLTTSDQSVLSNWPSGEAKADVMDVSKVASYVVANAGVGKLGGSASASSTVGA ASSATPSASASTVKRFGIPFVPVYSQAGPGASSMPALPSFTWKTVGTPKPTLPAPAGF GSRPQAF ACHE_11924A MPSFNASNVDLNTASEEDVLCYLALAENDYNGHLGARISSIFVI LFVSTAFTFFPVVAKRVPGWKIPHGAYLFARYFGTGVILATAFIHLLDPAYSRIGPNT CVGTSGHWGDYSWCAAIVLASVVIIFLMDLAAEVYVEHKYGVERNESATDAFLTSHPH AHAAASDGGPTPTRIKSNGNISDDSSNAERSFRQQIAAFLILEFGIIFHSVIIGLNLG VTGEEFATLYPVLVFHQSFEGLGIGARMSAIPFGRHKWLPWVLCLAYGLTTPISIAIG LGVRTTYNPDSKAALIVQGVLDAVSAGILIYSALVELLARDFLFDPCRTKRRSQLLYM VICMLLGAGIMALIGKWA ACHE_11925S MTSSKGYGLYEPFDLLSTIHQTYSDSKIIVVACLASWLLCRLNF RFIWLVFILACCRTQYEVSIRRVERVIRDEVRRYHSRDTLQRGESVEWVNGIVGRLWH LYERRICDELVRYVNSELARKGAVEGNPQRVVIHNLEVIEQPLRINKVRVIPKPESPN FILEGEFSVNIQHPNAHQHLHFLDTPLLDMSIVHEHPDRKHHDMAVHVKQFTGSGTVQ FEFDFESSRPHLLQPHFEIPEKPHMDCTIKTISHHHFPFHFAHHVDWRKVVERQIREG IHRVFHQPLPLPFNVLGEGLMLKIMTGLWYWHRYRDGEGWL ACHE_11926A MPREEEPLLPRAQPKPTPRLAAYLKQEVSPHRGDLVLLLCYVIT GLLDSSAFFIWGSFVSMQTGNTVYLGLGLAQADPDRRWVKSGLSIASFCAGSRLFGTF YRLCGPSARRRWALSASFLFQALLVGIAAVIVTLHRHHHLTAEEWRVLVPLCLVAFQS SGQAVTSRVLECRGLTSVVLTSVYCDLFSNSIGFNVEQRRRVAAILCLGLGTMLGGLW AKSPTGLSGALWTAVALKGGIGGAWMAWPGVA ACHE_11927S MAHSPESSSRARDLEQREADILSRHLDPQYTGSYDEGSPLQVEQ DDSSGPSRNTDTPDQLSSLRLQGGDIHRDLYRIEAKAKQPHRRTATFSYVPRVSEESI EDGAAANEPGNFRRHFVRQRHGELDPETVPSSFLDFLDLYGSFAGEDLAESEDESAIT DEEEGRPGEHRPLLGRRKSARAARRGDASNIRTFFTLLKAFVGAGIIFLPRAFRNGGI LFSSVTLVTVAIISTLCFHLLLQCRAKYGGGYGDIGERIAGARIRTLILASVAISQLG FVCAAMIFTAENLQAVFEAVAIRTGMFGSTTGLILIQLAVLIPLAFIRNISKLGPSAL LADVFILFGLGYIYYYDIASIASHRGLHPTVELFNPTSFTLTIGSSIFTFEGIGLILP IQASMKRPQNFDMLLYTVMTIITILFTAAGVLSYGTFGAETKTEILSNLPQTDRLVNT VQFLYSLAILVGTPVQLFPAVRIIEGKLFGLASGKGDPSIKWKKNVFRTCVVLCCAVI AAAGAGDLDKFVSLIGSFACVPLVYIYPAYLHWKGVAESRWAKYGDLTMAGVGFFFMV YTTVATVSVWINGENP ACHE_11928S MSSTDITGSIAVTGSPGKVPILRERLQSISQEYKSRIGYSTHDV SSKCTTVDNFFDTIAVERLRRMPRDGGRLDAVLRRASRFAFAVSSLYDAVIGIMSAAS EAASLIWGTVLILLEMGIDQPGSLEGIFGQFGRVTLGISFLLQGEHYFRAPDVAHEVA EIYADLVELVSHVTMEYNDASKAQDGETMNENINHAFFVYFNRFNMHWRRITKAIMTS QYDTTIDIPAIYQFLDLQDRPLQMILEGHSHSLADGSFSWFDSDLAAFSMSDYDVLAV TGNPGSGKSALAQWTAERLQVSAEYDVWNVISFPIRADVPITELSLTVLKGLVHQMLD RSINDPNAQKAIVEATTRAVVTASAAAPDPQVEDVLWTAIEAALKSNIHFMIVIDGLE QMRNSDASATRFLSRLENAISSPGTPSKLIVFSRTVPSGVELSNAQHLTIDASKTEDD LKGAVYDMMSLDSQFHGLDAANTATIAKAIVSNAQGSFVWAQLALQHVGQHKTTSEML SAARKAPKSVDDLVDSHLNAIDLDQHEARLIIAWLAASERPLQIKEINQLLTIDTKGP SFVSRVTGPGSETFRPVSPLITTHDGFAAFRHHIVRDRVRQLADSMEGDYSNQGRFPF NMQEAHYEILVRSLAWVKFCLKEEVDVAFDKMAVDQRDAFLETHALLEYTSRYWYSHL LSSPLVSTDGTFNFVAPFKRALPESVLFVLLELTSYESQFSRSSILELYRVSVDVRKN VLGRKSKALLQSLIFSGRALHKADAGVVNDHLYEAWQMSNAILDARSSVTISLAELIA TTVRASESDNAAATRDETYRRRAEALNYLVSLDAEGSPLGFNRRFGYLAVLVKLYKDS NDEEAAYGVSRQFYQFSVHRYGAHSLESGKAADFLTHHFEISSDDDMALTLARTKYEN MVRTLPATDDRRIAYTLYIARLYEQQNQPEQAEGVLASLWAGLLSHDVTSASTWDKRT KVALVYYQFLRRHGRPDEAEVVMRDLSADIQTDGIHSPDMLERAETLRNEAREMQLTD MERMLSVLIWNYYKRASLEYTPQAVALADQLVTGTTKANYESLAALSTKDRLLLHQLL DSIASGEHLSVSTLVLCHNLAAAHIRDEEWLEGSDCVGAVLKHAWPTIDNADSDSKFP SDRAPHMANLALDMAYCLFRRMNVPKASVIYGNAFKASITADKVSVPSVSAVVKTVVE FYENTFQYAMALVVLRQVSQFFLSRLGVSDKHTMDSLYHQGDLATRLEHHEDAENSYG TIYDASLRDGKISSTGIRAAVALIALYELNKQWDSALGVYRHLWPTLIDFDEKDGYDR ALLEGLLEKTYSGYMALLGTTGKAEKYSERYRVASEHLRLCKKIHGPSHDMTLRATLG LAGVCEDGDQHLDEAISHYQQVLRVNEWVPSSQASRALPDMSLTLPITTKHKLAQLYL RQQSKSPEAGSLYAEEMALAKQRHGHSSTSALSWLRQIALFYALQDSTDSWNRGANAL RSHANKTIEVTDHQETLVDRARRLAQIYLESGYIDAGNNLIDALRQQVVYERPESQKS LNTYQPAVFVAAFEEYFRNRQSFSQILDELSEESSVFGSFDQSLSSHDLVPTLASGEQ LHRAQTEQKRTTAARQTQSKLYIYFCNTLSISHLQQKDVIRQFYNICRREVLYEDYNT NIIAATVHEVKSLCDSLRFQEAADLAGAFHSFVHLTDGLRTYESILASIKICLYLSGY HTAKCTDEKVARNMTIESKLLLQEIMTNAKDINVQFSELPFAQLNDLVTILGEHELFE DLEVILTDLWTSRIVQRTWTLNVVVWIGRRLVETRYCRDNVDGAIKLGRDICYNLRQV WGNCDPVTFDMTTLLSGMYTASGDYRSAVALHDTALNELLNDRDAVYDQRAAEITSQH LQLLRRAQARLQKGSSTQAGETQAYSELAKQLSLKFNISAPASQDVSVTDDDVGVWHR PRRFSLDVQEELEHQNHLRNSSGATMLNGNAGAKRISVAAL ACHE_11929S MPSRTTSSADNIVVIGGGASGLAVILQLVKRFRSGKPVRKITLI EKNEEAGPGLAYSPACAGTILNMTKSTMGLYPDNPNHFNEWKNDPSLKLYPFRENYGD YLRAMWSQSVEDIREMGGEISFIQDEVQDINRDDDGTFTLTLEKNAKTLFAKDVVLAV GNFTVTANPHLSHLPGYFPSPWPTSRLRSIPADAPVIILGSRLSAIDAANALVDSGHR GSITFMSRSGRLPKVQGAPVPFPRRYNLYTLAKHVHAPTRVGASFASLMSGIMEEVSH LAGNDWSWLLQNHECPMEELESDIKDAQEGRAGWQTVLRSTAPLVERYWRSLEMHDKK LFYDKFYSCWMRYRHGMPVENAEKILNMMKRGQLKVVKGREIESNGNGFFANTSDGKI EASYVIEATGQECRVTHAPSPLIQATMRKGMATPHPLGGFVVNFDTLSTSPGLYTIGL FTQGTHFYVSSVDRIAEHASRLADALTGEPLARPLHVAVFLRGDPFSHIMASRLVPRL LSAGHMPFLFVLPAGKTPANGTTASVRARQLAFFEKALMKQHVIPFLKDTPQNGAECL SLDQMRTTYGILVQDVDFAPSEETLHKHYIDLGLSLDCTTTRHLSTDIQNYFSWPRRL LNMHSTASLNADRSPFHLHDMQDCCDRDQFDLQTLSAEYSQPLIDAQNRISVLGVNMA ADLVDRVARGKDLPKALRAAKGPDTKEVNGGQQKDLSEVSTDAVVETVVKSYASPEQQ GHFRKYLAGAVKSWPGENRGEAKSKESGKESRFCVECH ACHE_11930A MAIIERVTLFKIPNNDDRQRVLEQFKVLVKSATKDGKPYITHAA VGPSFDDPRTHGYNLSVKMTFQSLEDMRYYDNDCEAHKALKAVAVPVKEDLLTTYFES VV ACHE_11931S MPDKANKMFSPSSPCENGHPVVTETDPLLPMPAVVSSHSSPTGD GDQCQDPSRQEIKYLYLDFDTALPTPRIALPPGPGQLPPPEQPCLKKYTSPYLWSKWR KSMLTCISCISTAIAGYSTGEISPASEELTKQWGISSVVYNLGITIFCIGFALAPMII APFSEVNGRRPVFIASGILFTATFATVVGGVISDFYEAEDRNTPMAIYSGGALFGTGL APLISSVIVYHASWRWVYYSHAIAAGFLVILMLLFFKETRGNVILRGKAQSLNKYYDQ LEAAGHVGVMIADQDKACRIRWKVQSDEQRASLGQMILTSCYRPFSEFSP ACHE_11932A MPKVKGKSKTTRLLARFSTIAGELGYPDTVRDAKGAAFKFYTEE GNLDWVFLNPEVFNLRDPAKFASLVHAKKRDPATNLLDANMLWDFLSSHPETFNALMR NYTDEGTPKSYSRLVISSVNTYTFTKRKSPTEWDHHLVRIKLVPATPIDPDKDWFTYD EATTMAGKDPDYLTRRLYDEIQRGQFPTWKVSAQILDPAKTSVDVLDATKVVPASDCP WIEFGQLKLNELPQNYFTQVEQAAFTPANIVPGWDISPDPILQIRLLSYGDSQRYRLG ANHDQLPPNRACSYVYDPTRRNGAHSLTNYANAPNYIGSSGRDLKKPDHYNISFLKWQ GNISRYLSKVEDVDYKQCREHWDTLSGPQQSRFISNVASSVAPASLPVRIATIAVFTK IWQAKGHETPPGPSPEKLTEDLKDRINERLENNKSKGSRLGIVPGGEGSDDLSYIPPT FPDPSTRPVPDIYERV ACHE_11933S MTFPCTRCARLGKSCVKSEGSNRCSECVKASNCRCEESEASFSD AEWRRLVRAQQKLEDEEERANEEMATILARLNRYKKQKKLLHRRAGDFIARDIKEIEE LEKLEEQERKEREEQEKFQEQGKDAEVEAQLAAMPNNPSLTQMMDSPSFWENFDSIVA GGIPSPTGGNQSSSQ ACHE_11934A MASEPKNVAERPKAVHTHEAHPTISCGKAGRLPDPPVSKGYFTL PNGCPVEHPLLAERADKQIESTRYGSQLIQDLNTVEQIAHISRERIPERCVTSAFQVT VTDLNVLISRDDMESRGRNYWMKEMQEGTGIELAEPADMSRDPSSDPNIATGMIGYDD NHTQHNRGT ACHE_11935A MLAALNSSMDKLREYYALTCNKELGELYAIGTILSPQNKLQYFQ TKDWKDQKIDYAALYCESLNDWIKPYRLSETQQPPLQIQKSTHSNMLSLLLSENQTEM PAPTVQGEIDRYCDSATLPNGTCPQQFWKHHEAEYPTLAALA ACHE_11936S MDLFLYNDTHRLWICGPCGFAVRPAHLAAHLANRHPKHPSAATP ALRRAACALMLKRPCWDPAREPDRPVPPPPAPGSPPVPGLPVHPGYRCPHPDCAYIVC NPESLLRHRTRIHADRRPRGRQPPASQVSPLPLYRTVSCQRFFPSGAGSGFFQVTPPA HTERARQAATMGEVEFIRRQVAGALAEDAAAAEAGAQQVPDPDAKAPTEISPWLELTR WPEFLHGHAFTAVAPLAAPPDPTAEPLLTVFSASVERLIEAAYQSIKTRRINEFDQIR INSFLQRPRVWDRPILIQLRPSTYRAYRQVWQRLICFAYRTSRPNAAVQLGHQLTTAQ LAALDRMETAAAELLSLPSPPLCTPGPGAADHPPWTTGGGPWVVIQTPRGGDRDRDPE GDRRTERRHAAYEQLDHACLDLSIALLDHPLKGDLFESAVVAFLAVLGVDVEKQTFRD PYAFTSSLSGLIKMAQMLVAQRAVQMADHGQVEHPADALEAMRERFLLPGVAAPFNWL TRAAHVWQAHPEHHHQSGIYLLER ACHE_11937S MAGLRGFVRTQVELAQVELEGLFLLHEEETREAVVPRLALVELA DDPTNNRRGWNFLQDHRTRAALPTTGEQWLMDRVVATDWLRAEWVGVRPHDHQVMWHT TVVDAYLGQVDQFLERLLLLMHLTAGQPARATELLGIRHSNTVCGQHRNLFIEHGVVS LVTAYHKGYSMTGSTKIIHRYLPAEVSELVVYYLWLILPFARAVQALAHGTRQARSPF LWPRGPNLAAGAWDSGRLRGVLQREAHIHLCHNLASLVSYLGF ACHE_11938S MPKSNPRRDRLADKIDKEGFFSPPCLRCSEMSASNMSCECKRIS SNRKCNNCVRSGVKCERDFHNERKWQNLERDRMRLAADLEDAERSNDEALARLSETSA KLARLRKHKRFLEARNKAMLENDVALLEELDSQVSWPVAETASLDAQLAAVTDDPSLS QMMNSPSFWENFDSAVAGGIPSPTGGNQSSSQ ACHE_11939A MLAALNSSMDKLREYYALTCNKELGELYAIGTILSPQNKLQYFQ TKDWKDQKIDYAALYRESLNDWIKPYRLSETQQPPLQIQKSTHSNMLSLLLSENQTEM PAPTVQGEIDRYCDSATLPNGTCPRQFWKHHEAEYPTLAALA ACHE_11940A MPTYEVPTTSDHEESASSAEPEIVHSPGTPSTMSQGNDSDNTHQ RPRQILPDPEVFRGDIASYQNFKHLLKAKLHVDRKALGGPYECLWYAYGRLSGNAASH ILPWMIANADSPTAVNDDTVTRLFEHLDFNYMDKELQRKAMYNLSTLKQGSKTINELL ATFDRYLMEAGQQNQPDNMKIFWLENTLNDDIFNRLVNAPTCNTFSEYCVQLQGIYDR HQKYQQRSAEHRRTPNQRAATPMFPPLAAPSTATPTQADPMEWEPTISRARNPQRKRA RWVSGKEIERRKQEGCCFRCGSAGHQISQCPFLPAQRPAARVAEFTAEDVTDAVLEDT QATPVPDVPSGKA ACHE_11941S MASRAASETASFLFPALNLFTTNPSCPFALGVSCTGNGGLPLHG VSLRGSSRGRGCQRRKHRCGCSLIRGASVFCRALLVFLVPVIDTLELNTVFAKCIAGR SVNKPIEYIVIEGIFQPKDLHIVRLILLAGFHQITVKGCEQFIDGFAALFQCAEVVHC FPLEFLVHVVEIKMFEESGHCIVVYRSGRIGVGNHPWENMASRIARQSSISIPEAFIG TTQSFPVNVKFRFQEMFEVLIGSNISPKHLRIRQDLSGTLVRVIAIIPLAHSAWGPR ACHE_11942S MENELFQKIPSLQVIICRQCKHGVRPAEVERHLKRKHQFKHQSA HQLAQAVQQWEDIEQDSAAIQIPPVVDNPLPILPCEPSGLLCQRQDPPCHYVASSMDT MRKHWRQVHQWSQQTRRGRVGQRERTQGAAELRRSFTTVAWQQIFPSGPGSHYIHIRF PEGHPPPPLPPADQAQRAVDAIITAWDQARTAQEQQAVIQADRITDANPWLRRTGWAR YLEGVHPQDLLRLAIWNAMGQLARRSQQTVQRCGTGICMEAARTEAGQTPYRPLQAYM DETSVQKHVQAWQQVLGFIARTQATQAGQGMPEWCGPLPVYGMTARQQRKWQMLWQLA MPTMARPQQAPHRARARAVHMFPGAGRILEQGGNPGSYRATEGRGVSPGDQPTAGHGV SPEHVEEAEETGNAGSTEPAWMMSPMERACLEFCIELLNQRHRAHEYESPLLPPILSR MIKLARFMVVQKALWLDPHVGDIIQMWQAQASTANGTVNGMVNGTPNGTPNGTPNGTP ASPIAWPLASADAQLADIDEGCDSASPTRSTPTTVHDRPSFHDHVQQMVSRFMIRGTH GPMQTLLDWRTYGLKIHYNSTAPGHVAWMGADELLYKDLHFTMGEFRGFIHRLVGATR ELLCELLCIADGSSSAHTPSTMPLPAIPWQGLYDDPTQGHPGWNFLHDRRTRWPVDGR WWMIQRLRTERPVQQQFMRRGAIHGPLVAQYLARVARFKEKLAVAIHMTAGQPARAPE LLSVQYVNTPNNQFRNVFIEDGMVTLVTAYHKGFHASNDSKLIHRYVPRAVGELVVWY MWLAMPFIDQLTAWQAGTAHGTVNGTSNGMSNGTSNGTLNGMSNGTSNGMSNGTSNGT LNGTQAGTVNGTVNGTVNGMSNGTSNGTSNGTANGISNGTLNGTSNSTLNGTSNSMLN GTTNSILIGTRAGTVNGTPIGTLNGTSNGTSNGTSNGTSNGTRAGTVNGTSNSTLNGT WNGTPNGTVNGMLNGRLNGTSNSTSNGTPNGTANGTRASTVNGTSNSTLNGTWNGTRA GTVNGTLNGTVNGILNGTLIGTQAGTANGTRASTVNGTSNSTLNGTPNGTPIGTPIGT QAGTSNAMSNGTTIGTLNGTANGTLNGTLIGTSNGTPAWQPPSPYLWGPDPGMQRPWT PERFREVLKRETQARLGQALNIPAYRDIAIGISRRFLRASSTFTSDRQDETEQAAALD ADCEDGMDADQWMAHMTDLQAGHSSHVAGMVYGRQLMEQAGTTSHRRAMFRQSSVDWH QFLGFGCGTGVPGDVHADIDAGGLRAGLVDEGSCPSRRPGQEQVRACLVDDPGQERVR ARLVNDPGQERVRARLVSDPSQEGVRARLVDEGNRPIHHPGQERVRAHLVDEGSCPIH HPGQERVRARPVLGKRKRAPWQVEAEEHHMERRHQLQTMDMAAALQQMTGQAGMQFQG IQAPAMAAIQQGKSPVVAVMPTGGGKSMLFMLPAWAVPGGTTIVVVPLISLRQDMQQR CRRLGIPCMAWDRQQPCDEAAIVLVTPESAVTPDFHSFINRLVVMQRLDRVVIDECHV IMNQQKNFRSAMAQLGKLVRARTQMVFLTATLPPQMEPEFSQRIHHPPDQIDIYRART SRGNVAYGVWRPPIPHTAPHGYGWEQDAQIIQFLQAQLQWARARGGRW ACHE_11943A MVQSHTPGLITTHVPYMPLHITPITCLLVPVIPAMLPPVSIHRP IQIIVQYHPPAPPTCHHPHVLLHQLHLRCAQLPLILRPPRRLDNDRLAGLPIPPGSPT FLCVIQ ACHE_11944A MNINRAGHPPGPWRRYNIDPATAEPPAPQTPHPAMPGTVAGGIE CRPRPTGAGVGGGSGTGAGAGGGSGSGAGAGVGSGSGSGSGYGLGLSYRCGAGADLGL GSGLGSGSGSGYGSGYGSGYGSGLGCGSGYGYGSSVGYGSGFGLGCMSPLLCWNHTPF RA ACHE_20001A MGGWWMGMVDGDGSEAVGQVWRQGLDGFGVDVEDDSGVVGFVGE EHGAAAWVGGG ACHE_20002S MVLANPPSLCLLTPTPTQPPDSPAAANKTPQTTGYGPPGCAAPA TQSPPQCPRRRPAIPPVAAAMPPECPPPMAHAASSPPWGSKPPGTDTGRPAPTRRISS AASHRKNTR ACHE_20003S MNINRAGHPPGPWRRYNIDPATAEPPAPQTPHPAMPGTVAGGIE CRPRPTGAGVGGGLRTGAAAGGGSGSGAGAGVGSGSGSGSGYGLGLSYRCGAGADLGL GSGLGSGSGSGYGSGVGCGSGYGYGSGVGNGSGVGNGYGSGSGSGYGLSLGCGAGADL GLGSGSGYGSGSGVGCGSGYGYGSGVGNGSGVGNGYGSGSGSGYGLSLGCGAGADLGL GCGSAYGSGSGVGYGSGFGLGCMSPLLCWNHTPFRA ACHE_20004S MVQAHTPGLMPSLMPGLITTHVPYMPLHITPITCLLVPVIPAML PPVSIHCPIQIIVQYHPPAPPTCHHPHVLLHQLHLRCAQLSLILRPPRRLDNDRLAGL PIPPGSPAFLCIIQ ACHE_20005A MQEWCGPLPVYGMTARQQRKWQILWQLAMPTMARPQQAPHRARA RAVHMFPGAGRILEQGGNPGSYRATEGRGVSPGDQPTAGHGVSPEHVEEAEETGNAGS TEPAWMMSPMERACLEFCIELLNQRHRAHEYESPLVCAMAVLGWGETGWRDPDSYPPI LSRMIKLARFMVVQKALWLDPHVGDIIQMWQAQASTANGTVNGTVNGTVNGTPASPIA WPLASADAQLADIDEGCDSASPTRHTPTTVHDRPSFHDHVQQMVSRFMIRGTHGPMQT LLDWRTYGLKIHYNSTAPGHVAWMGADELLYKDLHFTMGEFRGFIHGLVGATRELLCE LLCIADGSSSAHTPSTMPLPAIPWQGLYDDPTQGHPGWNFLHDRRTRWPVDGRWWMIQ RLRTERPVQQQFMRRGAIHGPLVAQYLARVARFKEKLAVAIHMTAGQPARAPELLSVQ YVNTPNNQFRNVFIEDGMVTLVTAYHKGFHASNDSKLIHRYVPRAVGELVVWYMWLAM PFIGQLTAWQAGTAHGTVNGTSNGMSNGTSNGTWNGMSNGTSNGMSNGTSNGTLNGTR AGTVNGTVNGTVNGMSNGTSNGTLNSTLNSTWNGTQAGTLNGTLNGTANGILNGTLIG TQAGTANGTRASTVNGTSNSTLNGTWNGTRAGTVNGTLNGRANGTLNGTSNGTANGIS NDTLNGTSNSTLNGTSNSMLNGTTNSIPIGTRAGTVNGTSNSTLNGTWNGTPNGTVNG MLNGRLNGTSNSTSNSTPIGTPIGTQAGTSNAMSNGTTIGTPNGTANGTLNGTLIGTS NGTPAWQPPSPYLWGPDPGMQRPWTPERFREVLKRETQARLGQALNIPAYRDIAIGIS RRFLRASSTFTSDRQDETEQAAALDADCEDGMDADQWMAHMTDLQAGHSSHVAGMVYG RQLMEQAGTTSHRRAMFRQSSVDWHQFLGFGCGTGVPGDVHADIDAGGLRAGLVDEGS CPSRRPGQEQVRARLVDDPGQEWVRACLVDDPGQEWVRACLVNDPGQERVRARLVSDP SQEGVRARLVDEGNRPIHHPGQERVRARLVDEGSCPIHHPGQERVRACLVNDPGQERV RARPVLGKRKRAPWQVEAEEHHMERRHQLQTMDMAAALQQMTGQAGMQFQGIQAPAMA AIQQGKSPVVAVMPTGGGKSMLFMLPAWAVPGGTTIVVVPLISLRQDMQQRCRRLGIP CMAWDRQQPCDEAAIVLVTPESAVTPDFHSFINRLVVMQRLDRVVIDECHIIMNQQKN FRSAMAQLGKLVRARTQMVFLTATLPPRWNRSSASAFTTHRIRSIYIGPARAAAMWHM GCGGHRFHTLHHMDMDGSRMPGLFSSCRRSSSGPGPGGGRW ACHE_20006A MPKSNPRRDRLADKIDKEGFFSPPCLRCSEMSASNMSCECKRIS SNRKCNNCVRSGVKCERDFHNERKWQNLERDRMRLAADLEDAERSNDEALARLSETSA KLARLRKHKRFLEARNKAMLENDVALLEELDSQVSWPVAETASLDAQLAAVTDDPSLS QMMNSPSFWENFDSAVAGGIPSPTGGNQSSSQ ACHE_20007A MAGLRGFVRTQVELAQVELEGLFLLHEEETREAVVPRLALVELA DDPTNNRRGWNFLQDHRTRAALPTTGEQWLMDRVVATDWLRAEWVGVRPHDHQVMWHT TVVDAYLGQVDQFLERLLLLMHLTAGQPARATELLGIRHSNTVCGQHRNLFIEHGVVS LVTAYHKGYSMTGSTKIIHRYLPAEVSELVVYYLWLILPFARAVQALAHGTRQARSPF LWPRGPNLAAGAWDSGRLRGCSSVRPTYICVTTWLLSCRT ACHE_20008S MRRLNERQMRWADLLSRYNFTLHYRPGKLAGRPDALSRREQDVP VLGDERLKHREQRLFDPEILKDGPVEGSSKRGLVEEPHPINVSRILLAPVGMEPYNSE PSAPQGYEQANEPTNLNSERPSLEELLDMTLDEHWARVEPLDEKYGRIREAVQVGAHQ FPRELGIKASISECSIEPNNRLCYRGRRWVPDIESLRTRLLQETHDSVLTGHPGRSAM YAILARRVYWPAISEDVRRFVRNCDKCSANNVWRDRRQGLLKPLPIPDRKWRYIAIDF IEKLPISNGYENIMVIVDRLGKGVIPIPCEKIDTYTVAQKLIQSFIGYHGIPASIVSD RGRQFTNEMWKRFCELLGIKRQLSTAYHAETDGQTERMNATIELFLRSFCDHTQSNWA SLLPMAQLAICSRDAASTGVSPFFLDHGYHVDPFQLEEDVEINLSAPDLGTMRERGER IAAKLRGALDIATTELAVAQQKQEDYANRQRDVAPEYQVGQKVWLDLRNIQTERPSKK LGSRQAKFTVLEKIGSHAYRLNTPGTIHNVFHTALLRPAAMDPFPSQRKDDYQPPAEM INGNEEYMVERILDERFRRWGRGERHEFLVKYIGWQEPEWNDARNMEDTIALDDWETY KTMNGIVVQSALSIPNEPPHAGGRSRRRRGRGVM ACHE_20009A MIISNGWLTLFNPVHQIRINSFLQRPRVWDRPILIQLRPSTYRA YRQVWQRLICFAYRTSRPNAAVQLGHQLTTAQLAALDRMETAAAELLSLPSPPLCTPG PGAADHPPWTTGGGPWVVIQTPRGGDRDRDPEGDRRTERRHAAYEQLDHACLDLSIAL LDHPLKGDLFESAVVAFLAVLGVDVEKQTFRDPYAFTSSLSGLIKMAQMLVAQRAVQM ADHGQVEHPADALEAMRERFLLPGVAAPFNWLTRAAHVWQAHPEHHHQSGIYLLER ACHE_20010A MDLFLYNDTHRLWICGPCGFAVRPAHLAAHLANRHPKHPSAATP ALRRAACALMLKRPCWDPAREPDRPVPPPPAPGSPPVPGLPVHPGYRCPHPDCAYIVC NPESLLRHRTRIHADRRPRGRQPPASQVSPLPLYRTVSCQRFFPSGAGSGFFQVTPPA HTERARQAATMGEVEFIRRQVAGALAEDAAAAEAGAQQVPDPDAKAPTEISPWLELTR WPEFLHGHAFTAVAPLAAPPDPTAEPLLTVFSASVERLIEAAYQSIKTRRINEFDQVS RQASEKYIYIGTPTPHALPHHMHARAHPYAICM ACHE_20011A MQPCSSATSSPLHSWRPWTGWRRRQRSCCHCPRHHSAHPGPGRR IIHHGPQEGAHGSSSRRPVGDRDRDPEGDRRTERRHAAYEQLDHACLDLSIALLDHPL KGDLFESAVVAFLAVLGVDVEKQTFRDPYAFTSSLSGLIKMAQMLVAQRAVQMADHGQ VEHPADALEAMRERFLLPGVAAPFNWLTRLRTFGKRIQNTTTSLGYIYWSDDQQTLSY KELHLTMAGLRGFVRTQVELAQLELEGLFLLHEEETREAVVPRLALVELADDPTNNRR GWNFLQDHRTRAALPTTGEQWLMDRVVATDWLRAEWVGVRPHDHQVMWHTTVVDAYTL VRHRSRARSVFSNPHWSVRPVSGPTPSSRPSVDPSISICLSGY ACHE_20012A MDLFLYNDTHRLWICGPCGFAVRPAHLAAHLANRHPKHPSAATP ALRRAACALMLKRPCWDPAREPDRPVPPPPAPGSPPVPGLPVHPGYRCPHPDCAYIVC NPESLLRHRTRIHADRRPRGRQPPASQVSPLPPYRTVSCQRFFPSGAGSGFFQVTPPA HTERARQAATMGEVEFIRRQVAGALAEDAAAAEAGAQQVPDPDAKAPTEISPWLELTR WPEFLHGHAFTAVAPLAAPPDPTAEPLLTVFSASVERLIEAAYQSIKTRRINEFDQVS RQASEKYIYIGTPTPHALPHHMHARAHPYAICM ACHE_20013A MLKRPCWDPAREPDRPVPPPPAPGSPPVPGLPVHPGYRCPHPDC AYIVCNPESLLRHRTRIHADRRPRGRQPPASQVSPLPPYRTVSCQRFFPSGAGSGFFQ VTPPAHTERARQAATMGEVEFIRRQVAGALAEDAAAAEAGAQRVPDPDAKAPTEISPW LELTRWPEFLHGHAFTARQRRAADRGGLPVHQDAADQRV ACHE_20014S MPRPRNTVASTRTNRNETNDDDTNRNEANTNTEQENRHNPIFID GDEHEERRMVTLEEFLQYASDEPEWLYEKLQVTHQRYDDSLDDHKVRLAEEELRGQTK DGEIALLRHETEEMKGQLQDIKKQLTDVNAERDAFGSQIARLVMDSASGRQASPMPIN SKSTKIPDPPMLTDGKEP ACHE_20015S MLNHLKTIYDDPNCVTTAKHQFRQLYMKNSDKFHDFFSEFLYLA AEAGVAEDDWKDELYTKLMTKLQELCISSSIGDGTFQEFSSAVSQTASRLEVINYRTQ KNRTFTPNKEMSKGISGTGTTFKKELTPSWSTTPHTSNAERDRLMKEG ACHE_20016S MSRALQGQEISTITTSPKLQRKMTSQSAIQIDIAAIGAAPFQRH LKRKDTEVFIASLSEIDRIIEEKRENGRQKEDHNEQELVQHLLPKQYQEYADVFSKAA SDELPPQ ACHE_20017S MRVQSLCRDESIDINGHPPIVDGDERPARMAIVGMACRLPGQVT SPEDFWKLCSRGRSAWSEVPRTRFNHAGFHHPDPQRAGTLNPQGGHFLDEDLGRFDAP FFNITLQEARSLDPQQRLLLECTYEALENAGIPKQSLAGRNVGVFAGASFADYDVRNM RDPETIPMHEATGNAVSLQANRISYYFDLNGPSLTVDTACSSSLTAVHLACQSLRARE CSVAIVGGAHLNITPEAFISMSSAGVFSDSGRSYAFDHRASGFGRGEGVGCFVLKPLD AALSAGDAVRAVIAGSGVNQDGRTRGITLPNSAAQESLIRSVYKTAHIDPSETGYVEA HGTGTRAGDPLEAAALHAVFGKGRTPKEPLFVGSVKTNIPKKLVPWPRGKRYASINNF GFGGANAHVVLEQVSSLHDGGVNEETPALKRKIITLSGHDENAVKQQVKRLGFYLEQN PAVFQESVFNNLAYTLAQRRTVFPWRLAVAGCSTLEIGQQLSADPAPSRAQGLPTIGF VFTGQGAQWFAMGRELLTSYPVFRRTMKVVDSCLVSLGAKFSVIDEIESGDATSNSIS KAYISQPACTAIQLALTDLLGSWGVFPSAVTGHSSGEIAAAYAAGVLTIEECVRVAYA RGTAAALLTKDTSNGKGSMMAVGSSCAAVQPYIDRVGSHRAVVACINSESSVTVSGDA GAISELQSTLDKEGIFARKLQVDVAYHSHHMQRVAKQYRQLIGEIAPQGSSVPFHSTV RGRTIPSCDLNATYWVDNLVSRVEFVMGVRSLLGQKVGETERPVSTVIEIGPHCALQT PIKQIIEHHFSDAKIEYFPSLKRKVDAVEAMQQLAIALWMRGLSLDFESINFPDLRTK PAVLTNLSPYPWNHSESYWHSARLSDNIYHRQFPRNDILGSLSMENIDLEPRWRNVIS ADAQPWIRQHRIHNNSVYPMAGFLAMAVEAVAQQAALHQLALDRIEIREVTVGRALTV PEASFVETMLSMRPCTSTSGNSPNVWHEFRIFSWSESRGWDEHCHGFIIGLENKEPNP VDSLRQQSSKVLDAAHQAAAIQAACTTAVDSNSLYQNVAQCGAVYGPLFRGLTDIAVS NSHEAMAKLTVPDTKLCMPKEHETSSIVHPTLLDICVQLIWVLFGCYKPGSKTTHLPS LVKHISIVPYHGLQPGSELQVYGNRINAISTRHPLSHRIFATRPGDPVNAIIEIDGCV TIPLPYDEVGVSEAKPLCYREHYEPCFDFLSGADSDLLRGPDSGDGPGAQRTRLLDEV SYLYLQRAVKSIGKNEVLSFKPHLQRVFRWAQKLCSATTFDTSLCAHHGDQEKLIRKV RTMNAVGELTCKLGERLPEVLRGNLDPLAVMMEDDLLSRHYEDNDHLLQNYARATKCI DAMAHQNPHLKFLEVGAGTGGVTLPILQTLGGEAGSTPRFSHFTYTDISPGFFEKAKD KFQAWRPLMSYQTLDVSSDPVPQGYEPHSYDVVIACNVLHATSLIGQTVANIRRLLKP GGKLLLIEETRLKSSHFIYALLPGWWLSEDPDRKNGPLLDPGLWERVLKDNSFSGIDI GIDDYPGAPQRACTLMVSTATDTRIRPSSNNVVVMNTGFLIPSLCRALQQKLKDVTGG VVNTRDFTTDPTGKLCIFVDDPDTPLLSSLTKESFRALQKMLARSSGVLWVIQKGNTG PESLGAHLAVGLARTVRSETSLPFATLDVGVKGSLPESQVADRIRDVFNDIFCNSSVL RHGDMDYVVQQGRICVSRIVDDPELNEHLFQDTEHAPPQHQALWQPSRPLKMVPAADG VLADCFFTDHDVVRSPLPDDQVEIKVEYVGLNFRDVLVAMSQIQGGQLGQECSGVVTA IGAAVDDFRVGDRVCSTAPGCLASHVRSAASNVWRVPNTMDLELAASAPLVFATAYYS LVDIGRLTSGETVLIHAAAGGVGQAAIMLAQEVGAKVFATVSSEHKKRFLMDTYQLAE DQIFFSRDTSFASGIYKATGGQGVDVALNSLTGDALRSTFECLAPFGRFVELGKRDII QNSQLEMVHFDKNVSFSSVDVSLIIRTRPALMKRLLGESFRLFGKPETQARWSITCYS ISELESAFRALQGGRNTGKIVIQMEKDAMVKVHPARRPEDILSRDASYIVTGGTRGIG LDIARWLPEKGARHLILISRSGAATEESQQTLRALEAEGVKVKVCRCDVGDPDDLAQA LVPVLKQMPPARGVVYGAMVLRDMLFEKMGLEDYNAVIRPRMHGILNLQRILQRQREP KLDFFINLSSLSSIVGTMGQAAYTSSGTFMAAMARYPSLAGVPCRTIDLPMVRDVGYL AADQKRQEEASHQLGGVSIDASDIRAVLAAAMRNEMGHSTNNHCLLGLNGVKTTPVKE LPFWAQDPKLSHLLRSSILSEIDSTQTQQHDTKVSPATAVRHATSYSSAESLVVDAVV HKIASTLMRPVDEVDPSAHISGYGLDSLVAIEVRNWITRELEANLQILEILTSDSVHA LARTILSKSTLVSPNVRAEWNSETPKNA ACHE_20018A MRYQHILGYLASSVVVGAVTGPVDNHPDSVLESRDLVDLIGDTE FDYVVVGGGTAGNVIATRLAQQSFSVALVEAGGLYQLESFQAIPGADVLPVGSDPDSK SAVDWGFVAENQPGANGRAIHYARGKCLGGSSALNFMIYQRPSIESMQQWANTVNDSS YTFDNLLPYYKKSVKFTPPNEKTRFDNATANFDSTAFDDQGGPLHVSYSNYAMPFSTW MKLGMDAIGIEEQEDFNLGTVMGAQYCSSTIRPSDETRSSSQSFLESIKPASRKIYSN TLAKKILFDDNKRATGVQAQGPLGTFKLTAKKEVIVSAGAFQSPQLLMVSGIGPKETL HEHNIDPVVELPGVGQNMWDHPFFAPSHRVNVQTLTQTASSLLSLLKQFLDKAIPHTG PLTNPISDYLAWEKIPDSLRSKFSDSTENDLRQFPKDWPEAEYISGAGYIGNVSDLLR DQPKDGYQYASMLGVLVAPLSRGNVTLQSADTSDLPIINPNWLDSKTDQEMAIAMFKR MRQAFGSDAMAPVVIGEEYYPGKQVQSDEEILEFVKNNIMTLWHAACTCKMGTSDDRM AVVDHEAKVFGVQGLRVVDASAFPFLPPGHPQSTVYMLAEKISDLIINSEKK ACHE_20019A MKDFLDRISIPSFDAVSYINNHANNVSELPNIAIAVSGGGYRAL TNGAGALKAFDSRTENSTSSGHLGGLLQSSTYVSGLSGGSWLLGSVYLNNFTTISALQ THGKGDVWQFSRSILKGPDDGGIKILDSAQYWRDLVHMVDGKKDAGFNTSLTDYWGRA LSYQFINDTDGGVDYTWSSIAQTDDFKAGKMPMPLVVADGRAPGELVVGSNSTVYEFN PWEFGSFDPTIFGFAPLEYLGSPFENGELPQGASCVRGFDNAGFVMGTSSTLFNQGLL RLNKTDLPDVIKSVAEHILEDIGEANDDIAIYSPNPFYRYRNSTAAYSNANDLDVVDG GEDQQNIPLHPLIQPQRQVDVIFAVDSSADTSYNWPDGHSLVATYERSLNSTGIANGT VFPAVPDRHTFINQGLNERPTFFGCDAANLTGPAPLVVYLPNSPYSAYSNTSTFQLKY SDEERDSIITNGYEVVTMGNATEDKDWPTCVGCAILSRSLNRTGTAVPEACQTCFQRY CWNGTVDSRDPGDYEPSLLLSTSTSGSSKMSSLNRTAAVIALAAVVFTTV ACHE_20020A MLISLPNYPANTSWLDEEEQAYAQWRLIHDAGEADDTGAGNISE ALRLVLTDPRIYLFTLLQHTSLLSQNFQYFFPTIVQTLGYGNIETLLITAPVWIATFL VSLVVTWTSGKTNDRGIHIILLMLVSVAGAIICTATTNIGARFFAMFLMPMGAVSAYQ IIIAWIANSFPRPLVKRSAAIAIANMLGNTASIYGSYMWPSSSGPRYIPGGSATAGVA LLVAVLATVIRMVHARMNLQLQEQEESNESGENTPQHPVGFRYIL ACHE_20021A MDKTTEEFVEYRGTKDDQTEQDILYQAYAAKGPEWHQRMTRKVL RKVDWHLLPFLVLMYLLNFLDRNNLSQARLGTLEKDLGMKGTDYNLATSILFVGYLLM QLPSNLLLTKIRPSLFLGISMAIWGVISACQAAVTSFTGLVVARFFLGFVEAPFFPGA VMLMSSWYTRQEVCLPNTQ ACHE_20022S MDKLSGLASKLGGKGQSNQQGGSSSGGSGNQEDYVDKGLDSVEK KFGADPSKLRDTNEKVTDAGRQQFESRTG ACHE_20023A MTATENGTNNGEQSYVNTMPSSNFTWQITLAEKVIAITGANRGI GLGIAEVCFANSAKVVYSLDLMEPGEDFAGLQKKHPNFRYIQTDVTSEESIQKAVDQI VEENGRVDGLVANAGMTKHQPALQFDRPELEKLFNLNVFGAYFCAQIFARKFMELGIK GSIVMTASMTSYRPNRAAPSAPYGATKAAVRNMCHTLAMEWSKHGIRVNSISPGFVRT AMTYYVEHAPDWDLKMQYYGGMPRLADPRELGGAYVYLLSDGSSYTTGIDIPIAGIVG AW ACHE_20024S MTASLDIEEKGEITSKEIENAGPDAPFWSPEEEKSLVRKIDLVL LPMVWIMYLLSYMDRTNIGNAKISGMETDLDLTSNQYSIALVVFFIGYVVFEVPSNML LSRIRPSLFLSGIMTLWGCVEAGFAPGVLMVIASWYKRTEQSKRFGIYISAAILSGAF GGLLAAVIVKGLEGTHGIRGWRWLFIVEGAATIGVALVAAFVLPDFPATSKRFSERQR SVAVARLADDVTAMTQDSEQISPQRAMVDSVKDWRTWMFVVGYMVIVGSSTLSYFYPT LIEGLFGDSSTERTNFLTIPIYGVAFVCTLITSYFSDKIPSWRGLIISCWLIFSLICS IAVCAVYDYTARYAFLVLMASGLWTTNGMSLAYASSAFSNMHPQTRGVSLALVNALGN LAQIYGSYLFPDSDSPKYIMGFSVISAMLALGVVVYLALHFWFRRRAKRAENERPEG ACHE_20025A MADASRGIRKPRKSRGRGLRATTGCLVCKRRHVKCDEVRPQCGP CAKGQRPCVYGGGDTASQQIDASSSDGTIHRVTSPQSQIHEPLRVLVDACHQEQPVQH PTDATPRKSFTTSPGLSSARAVSRHGQGVSPLSPPGYAPSPGTESSSTSNRLAPLSWF ELLANDAANADRDFLLSPPQRVPSSVAVESPVALPQSPVLQPRNQRERESFQAASFRR DPEIDERLAAAPVDDAPTSLPDEYSSWNTATPIELSDQGHFMFNHFVRTLGAWMDFFD PTLQLSTTLPHLALRNIGLMKALLALSARHLSLWSETNQYQHNGTAPEKVNSFDIADS EKEVASTVNRNVAVQYYYETLQYLNKAMQYPSYTRSAELICTALLISTYEMVDGSNYD WERHLKGVFWIQRFQNNNGESGGVRQGVWWAWLRQDVWVAMRERRRVFSFFQPRKQYS MLNAAEFTCRAYYLLSQCVNYASREESETIDIQQRLERGNELLFMLQEWQDYLPREFR PLPLKQTSEVFPPIWIHPPPYAAAVQLHSLARILVVLHRPSIGGLQDYRAAQRLLAAS VNTVCGIARMVKENDVPASLVSLNCLLGAGMSVHAPHERTALLDLIEIFQCRVRWPAD SLRKELESEYQKDELSAFAG ACHE_20026S MAWRSRNKTLQILEAAEKGRYGILAAIVYNVEHITAFVRAAESR KSPLIIQLFPSALTQTPSLIHAAAAAAKTASVPISVHLDHAQNYDQIKSVADNLPFDS IMVDMSHYEKEENLSKTKVLREYCHVRGIAVEAETGRIEGGEDGISDTGDLAGILTNA EDVEEFISAGIDLLAPSVGNLHGDYGPKGPHLDMDRLQSIFDALNGRVRLVLHGTNDF PPDLCKVCIEAGVTKFNVNKLVLQPWQDYLRRNVNKPLTQVMDEGIDVLTKEVERWMD IMGSSGKA ACHE_20027A MYTICAAALSLFVLQVHGYANPGSCSGACNVHDPGLIQRDDGVY FRFSTGNKISYAQSSSIEGPWTAVGSVVPDGSSINKAGNDDLWAPDVQNVNGVYHVYY TVSTSGSQDSAIGLATSDTMDEGSWNDHGATGISSSSSKSYNAIDANLLNDDGTYYLT FGSFWQDIFQAPMNSAATKAASSSYNIAFDPNGEHAVEGAYLYKYGGYYYLFYSAGAC CGYDTSRPADGDEYKIKVCRSSSATGGFVDATGTACTEGGGTVVLESHDNVYGPGGQG VFTDPDLGPVLYYHYVDTTVGYADNQKLFGWNAIDFSSGWPVV ACHE_20028A MSSEAPRNSSEQPRTRKRARYTQVACNECKRRKLKCSGEIVCAR CERDGVQCVYTTNAHVATRTPDVGESQDGRVDSQFRIVDRKIETLQREMQLMAARMRE METVLGHRDSNRTTNPQVSSVYTPAAASASGTGTTSSSTGAALGRILNPPKSPTYIGP TSAEFGLTARRRSVSDGEESSPSASEVILTTGDPITELGLAESLRLLSVYEQSVGIMY PCVDLDSVRTYIVDFFRGGGNASAVSNATDQDWFFARDASVIKMILATALLAESHGRS ERAAQLADIVEDEFATRVKIADVDMKELLILALLSIFHSYRDDEVIAWRQIGLAVRGS MQLGLHRQETWLRTGGVFPGELQCNWASRLFWCIYVLDRKWSFGTGLPFAIQDSDMDT NLPEPGAATPYLTCMINYARLSTKIWGLVVGWPSRPRSSTSDRCSYLDFQVQQWIQSI PPELRFDPSQFQSPGSDPPPDSIVMQQVLLALQANQLRILVYRQNLLSTESIEADFSG ASVAVETAKRTVHMLDCFSRVSVLYFQRPEPFNYFLLSALAALFLAVLHAPNRFSQVC RPEFYSAVDLVRRSSTRARTSRRLQKVIRSLKLIPLHWDGGKPRTHDQGNKNAASASA HAYQASSISNHMAHTSNPVSTSSSPHIQSAIHSVPTEQPSTAWSTATPVTLPTDTNNG CEDLTSFFELAGGLYFDPQIETEVNADGNGHGAFVQTSDARLSDAIHAEDEALTRVMA GLL ACHE_20030S MAQTDWSASQYLKFMNERTTPARDLLARVPLQDPKTIVDLGCGP GNSTAVLAHRYPNAHLVGMDSSPDMIKKAQSALPNVEFTAKDLRSYTPPQSVDLFFSN AVLHWLGRDERITLIRRLMISQPSGGVFAFQVPYNLTEPSHVLMREVAADGPWAGTLK HAGRDAFQTPREIYDQLIPLSSEVHIFRTDYNHPLENHRAVVEWVQGTGLKPYLDPLS PGEKEAFINEYLKRLEAAYPKSVDGRVLLGYPRLFVVAVKK ACHE_20029A MTPHPLSGLTPDEINVARDVVVASHPDTIVYFREIYLSEPPKAQ LREFLGLEHSGRLSPTTQRPSRLALCQYNVIGREKSTEYHESIVDIRLRRHVKHQIVD KKFHAALVVDEFDVFVDRCKASPLFQQALADFDLPKGFDVVIEPWPYGGLDLSDDNRR YMQGLIFAQDQTKNNEDANFYSYPLPVIPVMDCATEEIVRVDRPATGGKGDGLYDQTF SRDIIGHANASDYVPELLPGGTRKDLKPLNVVQPDGPSFRVTNESLVEWQKWRFRVGF NPREGATIHDVWYDGRSVLYRLAVSEMTVPYADPRPPYHRKQAFDFGDGGGGNTANNL SIGCDCLGVIKYFDALLTETDGSATKLPNAICLHEQDNGIGWKHSNWRTGRAVVTRSR ELVVQFIITLANYEYIFAYKFDQSGAITVEARATGILNVVNIDPCKTSEYGNVVSGGV LAQNHQHIFCVRIDPAVDGHDNSVVVEESHPVPMNEATNPNGNFYRVIDYTVDRAGWL DAAPDLNRVVKMTNPHRSNPVSGKPVAYKFTPLATQKLLADPNSVQARRAQFAQHHIW VTKYRDGELYAGGNYTLQSQVEIGGVSDAVKRGDRVADTDVVVWSSFGLTHNPRVEDW PVMPVDIYQLNIRPSDFFTANPSLDVPSSRNASSRVIQSDCCRDAHI ACHE_20031A MVDQFLQFAIGNGLPLAEKNAKDTEHLLLVSLTDLEDVDETSLV QHFQRFLQDKNVNIHPWQQSTEVLQSITHQGQNRDSQANLYAMAILAHRAGWSSLIVA DDLTKRQLKGNCRVGESPSISVVMISIRPCTAQEDHEVRVVAKRTTGEKDNTELMETL QDFEPQEVASRNNEVFMNDGLVLHDADRGVFTDDIVAKLGWEEYSNSGSTTKDGSWVI NHGAQPVALPPWVRQDNRHLNIFLMFPTAEDELANIKSTLQSAARNFTQEDGAEDPEM EIQLISWEYHRVQSRRGFLNLWNGYQQQILANDYSSSVYFLLEPTRDTENALIGTLYY DMIGPAIVSWVSLKEVISTAQSWGLSAKECLDRPEPYILERRESDVTELMYRPEQPFY VENPPWLPADDCIYWVPVFYLTSKLTREQDQAIRAELHTMNQIDSEYWDRKHCCFVPW KDDQRDGTLEDMWDVFWDVYTYKRGRATAVTSPLPMFFIDQQSGHDLTVIAVDSDTLY TRKSNTAAAEILKDTPARKVRGFFHGRLSGRDAHIAHANLSIANLNFDEFTEPNQFPR PGWPGHGILEDDD ACHE_20032A MRAWKSALPLALLGMGASIVDAMPTAENLHKLMNGAGQIPKNCP YSDIQGGSVKSSLNKRLLVNSLRTPVDVSGDHAFQAPDYDNGDQRGPCPGLNALANHG YIPRSGIVSFAEVIPAINKVYGMGVDLATVLAVMGTVWGGNPLSLNPSFSIGGKDDRV NNLLDNLGGLLGDPQGIIGTHNFVESDSSLTRDDLYMTGNNYALNMTKFEEFYAMSTD GTFDMDLMAERAKIRMDQTKATNPNFYYGPVTGLLARNAGYLFVGRIFRNHSEEHPEG VLTKDIVKSFFAIEGEEGNFTYNEGWERIPYNWYKTPVDYGLVQLNLDTVSFVTKYPE LGSIGGNTGTVNSFTGIDLSDLTGGVLNLSTLLEKNNLLCFVFEVLKFASPSALSGLY KTLSVPLEMVTKAIAAPLLNMTCPAFDDLKMGGQDFFSGIQSQYPGANRTGGGL ACHE_20033S MAAASSIQELATALPPCALKCLVAGIEQSSCGMTNQTCICTDTE LNTNVEACVLMSCTIKQSLTTKNVTKTACDAPIRDRTKIVSVAGVVGGVLSVVAFILR VAARFRCLGGQFGWDDATMVFTMMMVIPLSAFSVVLADTGLGKDMWTLPFKNITHILY IYMIDEVLYLSILPMTKISILCFYLRVFPKKEIRMATYVVMALCVGYLISFVLISVFQ CDPINGAYLRWDGEHNFKCNNINAQGWAAAIANMILDIIVMALPLRELYNLNLSLRKK LGVLCMFSLGIFVTLVSILRLESLIKFATTQNVTWDYVEIGYWSTIECHVGVICACLP AIRSLLRRVFPAAFGDTTKGASKGTSNTYSTSRSGAGSRLEGKFSAKVKGGDEDHFVP LVDMDNSSHAHLGHAHSQA ACHE_20034S MLVIRGFSFLSLLSAVLASAHGSNHEWLHKRSNNTQTTSGTDVE YDYVVVGSGPGGGPLASRLAIAGHKVLLLDAGDDQFDAPVIQAPALQLQSTEYEGTKW DYFVNHYQDLSRQEEDTKMTYKTPSGELHVGPNPPANSDPLGILYPRAGTLGGCSAHN AMITIYPYEKDWEDLVSITGNDTWAPDVMRKYFEKLERNRYLPSSLSGHGYDGWLTTS LTQLTLVVEDRKLLSLVIAAATAAGKSLIGKLLTTVTGLGEVLLRDLNSDAKDRDQET GPYQVPLAVDVPDYRRTGPRDFILDTQKATNSDGSRKYHLDVQMNTLVTNVRFDTSGS KPKATGVDYLKGQSLYRADPRSGNATASSSGSVKAAREVILAAGAFNTPQLLKLSGVG PKQELDKLGIDTLVNLPGVGKNLQDRYEVSVIGESPTNFTLTEKCTFLATTPDPCMEQ WQNNGALLKGTYTTNGIAIAVTRNSSTSDGDPDLLVSGAPAYFKGYYPGYSYNALKES NHWAWITLKARARNTAGTVELRSKDPRDTPVINFNSFDTGSTEDDVDEKDLQAVIEGM KFSRDIFDSLVPLDGDFDEVWPGKNVSTDAELKDFAKKEAWGHHACCTAPIGSDDDDN AVLDSDFRVRGVEGLRVVDASVFPKIPGYYIALPIYILSERAADVIISSA ACHE_20035A MATNLLIYLLKNGDSDPLPKRRKVEDDSWRLQANLIRVVDRNGT LYNSAAARARANLLSTVEHPNDESLVRSAHDPLRDCDPV ACHE_20036S MPRAVRGVLIECDPSVKAIILKYDEERHDYIVEDLDDDRHLVIK ESQLQNLKLRLGKV ACHE_20037S MSLFHISSPGDFAPFFSLLDNRDLHRTNRGQFSSLRSFSPRFDF RESDDAYYLDGELPGISQENIDIEFSDHQTLVIKGRSEREFHDSNDTPQDQAGQKGQD KTDDVAKTGEQSVVKSKKNNKHRYWVSERSVGEFHRAFSFPGRVDQNNVRASLKNGIL SVVVPKDAVSGTKKITIQ ACHE_20039S MDFTFHPGVNGSHPWVEFYPYYPSREAGYAFMAMFGLSTVAHFV LMIPYKAAYFIPLVLGGICETFGYYGRAWSHDDRTRIGSWALQEMLIMCAPPLIAATI YMFLGRVIRSFDAEHHASIRPKWLTPIFVLNDVITFITQIAGAGVQVTGDAHVMDIGK KATLAGLIFSLIVFCLFVLIAAVFHRRCNRDPTPVLLHNPNLNWKRYMWALYISCFAI MLRNLIRLIQFGSDKESPLNTKEAFIYVFDAVPMLISVVVLMVYHPGLLIKRARNAQK GEMLMADRNSGDVPLTQYAGARV ACHE_20038A MEPSSIRSRRTHQKSRLGCRNCKRRRIKCDEKKPACSNCQHHGI SCDFTASLPASPSPATSDPDEPQQRPASKYRFRASGYQSQLSRTSTPVSETLTASTGV QCDDPSIRPDGLSLADLQLFHHFVTSTYRTLAEDAHGWELWQVHVPQWSMTFSSILHL LLAFSALHCGHQQPASAPELRNRCIAQADQHFTFGVSTVTSILSQFELTPENAQPIYI AAILICFVYFARGPPPGEYLVFSDTGQAEWLVLLRGVRLIVTTRRQNIFKGVMAPSDA DEGMMKQRYISPGWLEIWRGDQRQLLEVRQLVRQRSADFVQGDMYVSLVDSLMQTFED AYVKMSAHRERVGLTQIIVGWLYRLPEAYISLLQEKQPPALVILAHWSILLQYMRSVW YMRGWDYHVIDGVQRFLPWDWKEWASWPLRRIQSIEHPG ACHE_20040S MKFSIAAAAGLFAALTTALPEAFTLVADGGKTVLTDGQKLLIGA DPASHEILILRSSNATTGVSFTSKDQTPTGFQTLYVVDGQVAPVGLTLPHSGATPEGA SLDGFGVDKDGYFTHDGKNYFGIEGYGDNPERVINWVDGHSSTQRIANLWVKECKGC ACHE_20041S MADYSYKYYNITFPQQYVAHVEINRADKMNAFFEAMWVELSQVF NHLSQDPSVRAIVLSGAGDKAFTAGLDVKAASEGFLMDKKTDNDPARKAAHLRRHITS FQDCISAVERCEKPVIVVMHGFSLGLAIDLSTAADVRVCARNARFAVKEVDIGIAADI GTLSRLPKVVGNFGWVKEVALSARIFGADEALRVGFVNSVYDSKQDAVREAVGMATLM ASKSPVAVQGTKEILNWSRDHSVQDGLRYTSVWNSAALQTEDVSSALLSGIQKRTPTF EKL ACHE_20042S MKSIAFFLFLLPSTLGATIYLAGDSTMAAGGGGSGTNGWGEYIS SSISGTVTNKAIGGRSARSYTREGRFEEIANSLQQGDYVVIEFGHNDGGSLSSSDNGR TDCGGTGEETCTTEYDGQTETVLTFPAYLENAAKLFQEKGASVVISSQTPNNPWETGE FVYKATRFVEYGKLAADTAGVEYVDHGAYVASIFESLGEDTVNGFFLNDHTHTSAEGA QVVAEAFLKGVVCSDVSLKAVLTTTDFDGECL ACHE_20043A MDFLAAFGGVPTVQTRKALLLLDFQNDFVRPSGALYVPNTADFL DTLPQLANSFRSIGTVFWIQSYYESRRELFDANEQELVVLGRGGEVHSKKHKSQSHTA NISKDEEAFLSAESPRCGDSHSPGGQLPAPIVAAFDSQHDILTTKSDYSALRNDRLVL TLRSQLVTEIYICGSLSNISVYATVLDAASLGFSVTLVEDCLGFRNFERHEEAMRRMA DIFGANGITSEELMQELDWQETDRIAEKGGSKPRPARSTGIEDVLDNFDFRATDGCEP DRVNDEEEEDDKPGLKIARAKDRYGPPPGHADKKVRARVRRARRPDERPETNSRSDAR RARRSKQSQELRGPGDVIGEGDSRIIYDLDLPSDAFERIRREVAWQKMYHMSGQVPRL VAVQGKAQADGSIPIYRHPADESPPLHPFTETVDRVRFIVEQILGHPLNHVLIQLYRD GQDRISEHSDKTLDIARDSYICNVSLGAQRAMALRTKTSEEDSTRQSQRIPLPHESLF VLGQKTNMRWLHGIRPDKRPESEKSVEERAYGGERISLTFRHIGTFLNLTGDTIWGQG AVSKKQGQARPVIHGDAAETQRLIHAFGQENHATEFDWDGIYGSGFDVVNFVSASTAH MIPGDDPVTNLRVRLCLSESSLRYDVMTAEQASAKPSDKRPVYIGTDGTIVAGDFEIM NNFAQQAHLEAPRPGIDRLQGGAHLPKIEELLTYWRQHRDCANALDILRTWEKALDGK HYLGGNVFTIDDCSLWPVLREIVQTRGKLPSRFSNLCHYYNRVEKRGLVKAVLEEE ACHE_20044S MASRGLPRALRCARVAAPRSVLSSALPRPALAKAAAAAVPRMTI NQQPIRGLKQITFADSTETVYEREDWPREKLQEFFKNDTLALIGYGSQGHGQGLNLRD QGLNVIVGVRKDGASWKEAVQDGWVPGKNLFDVDTAVEKGTVVMNLLSDAAQSETWPA LKPKITKGKTLYFSHGFSPVFKDLTKVDVPEDVDVILVAPKGSGRTVRTLFREGRGIN SSIAVFQDVTGQAKEKAIAMGVAVGSGYLYETTFQKEVYSDLYGERGCLMGGIHGMFL AQYEVLRERGHSPSEAFNETVEEATQSLYPLIGGNGMDWMYAACSTTARRGAIDWSSR FRDNLKPLFNELYDRVQDGTETQRSLDYNSQKDYREKYEKEMQEIRDLEIWRAGKAVR SLRPENQK ACHE_20045S MLPSPSAIHAAHSLIHPYIHHTPLLTSRTLNTIASTPQSAESLV GTPFEGQTPAHPRLRFFFKCENYQRIGAFKARGAFHALLRLVEERGEDEIKRRGVITH SSGNHAQALALAASTLNIPAYIVMPSISTPSKIAGTQSHGAEVVFSGSTSVEREAVVA DIQGRTNAILVPPYDDYNIICGQGTTGLELEEQYRDSVREKPELSAHGGTGGGLDAVI TPIGGGGLNAGVATFFSDKSTRVFGAEPNFEGADDCRRGLEAGERVPAVSTLTIADGL RTPVGLLNWAVISDPKKVAGVYAVTEEQIKAAMRLVLERMKVVVEPSAVVGLAVCLYN EDFRRRVATEAGQEGWDVGIVFSGGNTTVEAIGKFYS ACHE_20046A MAASFGEKLLGEVTEARLDEILHDLRNICPNETSNRLGVKALDD LLEIFMPAPAPAPQHPDENFNLQPEDRQAHRAVKARTDPVVEISSTSSVAGKSQLLYY LAAIAVLPSTIDNVQIDGRNAAAVFIDTDGRFDADRLLSVAQGILYQKLKQHMVEQLP DTESILYNSLQHVHVFRPTSSPSLLATLQSLESYLFDTARHLSANRPLHAIFIDSATV FFWQDKLRDQIARVEEIGRPHTEIEHDRRSKRSFYIGDMYAELVRELKRVRSLFGCAV VYTTTAWIGRAASASASASGEDLVAFRCSLPPPWGLFPTLRVVVRANGQGRFLGCVNG LGKEQWPRRVVEGLERQSRGTFVFSADKHGVLV ACHE_20047S MAPLVPVFSSESLPSHVNTIRRNFHEEKRRKGPAVDLKECPLLE MVQYSCNPPQDGIPKPGTIVCKPVVRLFRRCAGLTVETTSWEPIRLAKEEEAKRAA ACHE_20048A MLNCFWTFGLTWFIVADLVTFVSYVQQSMLSSRRIQPIPQDFEH ALTRNHLSPGDLLPYLKYPTVKPTPTLLSSPQPEEDAFKAISFLGPQLSGEDDRARSA HIPKHFPEFPSKHTYRHTPVFTERESDPRKIRERATEDGRHGEEALRKLARAAFQDNQ LGSAGREKKLWGRRMESMDSMFEKTVKGLAKKSSKNTAVSGMAAPMEIDSGAGADVEL KTSRSKMSLNLELPPIINCERDLWRRTTASRGGENHSKPEEKLNGKPETGNISRMDSW VST ACHE_20049S MASAIPPITGMLRRGLVLDLSTAFGFGTTFGYLWWYGYHLDRVR ERDTYYAKLEQERAAQK ACHE_20050A MLKIWSMKQQQQQAENADAAAGKKKKKVTSAQLRVQRDLQELTL GSTMKMSFPNPDDILNFTLTIEPDEGMYKGGVFHFTFAVNQNFPHDPPKVKCTQKIYH PNIDLEGNVCLNILREDWKPVLNLNAVIVGMQFLFLEPNASDPLNKEAAEDLRTNREA FKRHVRSSMAGGSVKGNDFERVLR ACHE_20051A MAYHGSGAQSPINYDDAGHRLQDIPSTDYGHEHEEEAAHGLLTS QGPFDDAHQRSLSPARPASGYSLTETYAPEASYHDPYSAGSVYSGQSADNPAAAFGVP GRVASPYARSETSSTEAWRQRQAPGGSGGGGGLRRYATRKVKLVQGSVLSVDYPAPSA IQNAIQAKYRNDLEGGSEEFTHMRYTAATCDPNEFTLHNGYNLRPAMYNRHTELLIAI TYYNEDKTLTARTLHGVMQNIREIVNIKKSEFWNKGGPAWQKIVVCLVFDGIDPCDKD TLDVLATVGIYQDGVMKRDVDGKQTEAHIFEYTTQLSVTPNQQLIRPTDDGPSTLPPV QMMFCLKQKNSKKINSHRWLFNAFGRILNPEVVILLDAGTKPGHKSLLALWEAFYNDK DLGGACGEIHAMLGKGWKNLINPLVAAQNFEYKISNILDKPLESSFGYVSVLPGAFSA YRFRAIMGRPLEQYFHGDHTLSKQLGKKGIEGMNIFKKNMFLAEDRILCFELVAKAGS KWHLSYVKASKGETDVPEGAAEFISQRRRWLNGSFAAGIYSLMHFGRMYKSGHNIVRM FFLHIQMLYNMFNTFLTWFSLASYWLTTTVIMDLVGTPSDSNGHKGFPFGATATPIVN TIVKYAYLGFLLLQFILALGNRPKGSKYSYLASFIVFGVIQIYVVIDALYLVIHAFSG QDAMDFDTSNGLGGFLKSFFSSSGAGIIIIALAATFGLYFVASFMYLDPWHMFTSFPA YMGVQSSYINILNVYAFSNWHDVSWGTKGSDKADALPSVTTTKDGGKDAVIEEIDKPQ ADIDSQFEATVKRALTPYVAPVEHEEKSLDDSYKSFRTRLVTFWIFSNALMAVCITSD GVDKFGFTNTATDRTQRFFQALLWSNAAVALVRFIGACWFLGKTGIMCCFARR ACHE_20052S MSFDSSPNVFSARDIFKRCVKCESAAPSCPACDEGYTCTMISQS CDQCATTKCIRTSSSSSSSSGNSGSSGGSNAGAIAGGVIGGLAFVTIVVGLVWWFYMR KKKNSNEYSLGGTSTQEKRGANAQGSRKSTGSIASTVLTRASNVIQIAYIPGVTNRSP PDTPSLVPPVPPLPGAAPDQHFFMPGDLRDSSFTEMSNDRRSGAPSVSPSLRSSVATT IYRNNAIVSPMPAQQAMLTRAAVVSIHGNQPTQTAAITPPDAPAVPAITPAQLAKAGV TDANNNGDNNNNSSIVARPMMAKPVMVKTVGGKKNNNATPAVQTIEEQSEASSSAPVS RSASTKKQADPAASGFDDSSDEEDEPSGKTAKAKSTEKPPTVAEAPEEQGPFTDRAST HLETNDGPGSNRSSRTPPARVESPFSDANEVR ACHE_20053A MAAVPQRTLNWLYSILIRDHYDPKQTYQDPNRTYYDVANALAQY PSLSPRTDVYTYETGFSTLLLLIAGTIPVSFRGTLYKFPVALWIPTTYPREPPMVYVT PTHDMVVRVGQHVTLEGRVYHHYLAHWLEAWDRSSIADLLSILRDVFATEPPVKYKHP IQQPQPQSMPTPPPVPPLPPGFGSPTVQRMPSPRPETQVPPPPPPKPGQMVAAEQQQQ TPPAGRYNSPPPLPPLPPEEQARRQSFSPQTGMSPGGMASPYRVPQQPHMTGPAYPSG TPVSPAQNLGWQQSRQYPPHQIPRHPVYQQSPVAPYPHSPMPHQRQLSQATPTTQPMP TPTLAPAQTAPKQQAPAPDLLTSPFELELPSFAPTGPAPPIPPNPEKDALVKAVSKTL AETVQSNVSQSESAAHSLTSQSHSLHNAIATLQAEISSLNSLNTTLQSNTSILQQSLQ RADTVIADAQTRISSSQLPTSASASASPLPTSSTDTTTSTPTSVQGLPSIDEILVAPT VVGKQLYDLVAEEAGIQQAIYALQVALVKGVIGVETWSRHTRGLAREAFLKRALGRKV AVGLGCEGV ACHE_20054S MLVVAVAGGTGDVGQTIVDELLRVAKFRIVVLTRENPESQKRQA QHGTVPVTINYNDSDSISRRLEENAVHTIISTLPMTSEECSQSQVNLIRAADKSTCTK RFIPSEYAHINTPELLEIDPTTQWWLDAVECLKKSDLQYTRFATGFFMDYWGMPYVET HLAPFTFGIDMGNCQAAIPGDGNDHLSLTYSKDLAKFVVRTLDLDEWPDLSIGVGDDI TFNEMLRLAEEARGKKFQVTYDRVDSLNEGKATMLPIPEGAPFSADEMTEYNALFGQF TVRGIFHVPTENRLKFPDLKPLTFKEFLSKTWGGH ACHE_20055S MQPSEGAQVDLGKAQVIDRVPKVIKELKFGVMSNDDIVSQGVVE VSDRKFFDLEHDRAVVPHGPLDGRMGISNKSGTCQTCGLSLQACNGHFGHVRLVLPAF HVGYFKRVIGILQEICKECSRILLPEAERRSYLREMRRPGLDNLRRLQIAKRINERCR KTRSCETCGAVNGVVKKAGTSALKITHDKFRAFNASASAKKVPPPSKIVFDDSFNEAR ASNTDVEKHFKKAQDDMNALRVLNLFKKVSDTDCELLGLNPSEARPEMFLWQFIPAPP VCIRPSVGQDAASTEDDLTAKLGDIVQSNINLKNALLKGAPVQTIMECWDYMQLQIAV YINSDVPGLNKADLGKPIRGFVQRLKGKQGRFRGNLSGKRVDFSGRTVISPDPNLRVD EVAVPELVAKNMTYPELVTRYNKEKLQQRVRNGTKKWPGANYLVKKGQTFKVFLKYGN LNMIADQLQEGDLVERHIEDGDIVLFNRQPSLHKLSILSHFAKVRPHRTFRLNECVCN PYNADFDGDEMNLHVPQTEEARAEAMELMGVKNNLATPKNGEPIIAAIQDFISAAYLI TVKDNFFDRRTFTQICLYMLGPETPFDLPPPSVLKPQMLWTGKQVFNILMHPNKKDPV MVNLDAACRQFKAPKDGSPRDLDPKDSWLVVRNSEVMCGVMDKATIGDGKKDNVFYIM LRDFGPAAAAEGMNRLARLSSRWFSNMGFSIGITDVYPSEKLLKSKHDLVETAYAQCD EVIAKYKAGTLEKYPGCDELQTMENQLSGILSKVRQQAGDECIAQLSKYNSPLIMATS GSKGSSINVSQMVALVGQQIIGGQRVQDGFQDRTLPHFPKNARQPPSKGFVRNSFFSG LEPTEFIFHAMSGREGLVDTAVKTAETGYMSRRLMKSLEDLSTMYDDTVRNSSAAIVQ FQYGDDKLDPVDMEGKAKPVHFDRTFIHSESTTYSNEERSLLPAEIMDVCEEMLSKER AKLDRKDLMGKPLAYMERTDHGIDQYESARDFLESIQDYVSTKADKLLSRGGDNDPTD VRRQKSLSHTGKLTERTLRTFITACLSKYKRAQVEPGHAVGAVGAQSIGEPGTQMTLK TFHFAGVAGMSITQGVPRIKEIINASKEISTPVVACELVTKDNIIAARIVKGRIEKTY LRDIIHYIREAWTGKEAYLTVKINWKTIQDLALELNVRDILAAIKNHRRFKAGDLKFR HSRSHIHIYMDLDPATKTNLSKTEIAATSTDPFLRLKHLKRILPDIQVLGHPLANRAI IRTDETSTTNTLLVEGYGLRECMTTLGVDGLKTTTNNVMEARDVLGIEAARTTIVTEI SEVMKDMDIDPRHMQLLADVMTYKGEVLGITRFGLAKMRDSVLQLASFEKTADHLFDA GGAGRTDLIEGVSECIIMGKTVGLGTGAMEVVRRMNFYEGQIGPKKTVFEDTWSEVCE APFEAKARAKRRVRT ACHE_20056S MGNKASTTTTTTTTAAAVHRCLLSAVDGNSALVSFQNDFLYGVT AVHEYNLNFPVTPAAVTFPETSEQVAAIVKCAAAHKYKVQARSGGHSFGNHGLGGADG AVVVDMKHFQQFSMDEATHVATIGPGLSLGDIDTMLYNAGGRAMSHGLCPEIRAGGHL TIGGLGLTSRQWGMALDHIEEVEVVLPDSRIVRASEIENADLLFAVKGAAASFGIVTG FKVRTQTAPRGAIRYSYSFKLGSAAQRARLFADWQDFILSEDLSRKFTSDFICLQDNV ILKGVFFGSEEEYHALGLDHRFPGSDSSKLLFLDDWLGTVTHVVDDLAVHLGGFMSSY FYAKSLGFTRETVMPPSTINDLFTYLDKTNKGTLTWFVTFSLVGGAINDYPKNATAYP HRDVMYWMQSFAINALGPVLDSTYDFLDGINGLITRDVPGSAGHAYLGCPDPRLNHAK RAYWGGNLRRLEEMKGVFDPGDVFWNPQGVGVV ACHE_20057A MASQSMSLRDRQVASIQKILNLNQDPPSTEDNPHDTSALTHATP ILNQDGDPIWKVLVFDNMGRDVISSVLRVNDLRTWGVTIHLNLTSARYPIPDVPVIYI VEPTLESIKTITDDLSRGLYTPAYVNFLSSVPRPLLEDFAGEIATTGTAEHIAQVYDQ YLNFIVAEPDLFSLGLGNDAYWKINSPQTSDEDLDGVVDKIVSGLFSVSVTMGAIPII RCPKGGAAELIATKLDRKLRDHILNSKDNLFSGQKKGTAGVPSSRPVLVIVDRNVDLV PMLSHSWTYQSLVQDVLQMRLNRITMEVEDEANPGKVTKKSYDLTSNDFFWKRNAGAP FPQVAENIDAELTRYKEDANDITKKTGASSIEDLQNDTSASAQHLKAAITLLPELRER KAILDMHMNIATSLLKGIKDRQLDNFFELEENITKQSKAQILELVNDPAKGNQPSDKL RLFIIWFLSTETELSRSDMSQFEEALTKAGVDDVSSLAYVRQVREITRMTMMTTAAPQ EQSSDLFKGFSSLSNRLTDRFTSGALGANFDSLISGVKNFLPANKDLTLTKITESIMD PTAASSSAIAKTENYLYFDPRSANARGAMPPASASRTQPPGSMGAPGPGTGASFGQRR QAFNEAIVFTVGGGSMDEYGNLQDWVRQHNHPGGDPSAAGGRTGAGSLNAPRRRVVYG STDLMNANEFIAESLGRLGKES ACHE_20058S MDQTPSQTPTGLPRSSVTASSLPPEYWNTLDSLYPAPDLQQQQQ QQPQLPQQSQLPQQPTPSQQPLGISWDHPIFHQQQPQPRNQFPRHQEQNHGIYSPTPQ PWQPNPLYQPLMPSSKPQGLVVQPQYSQVHQFPQSQLAFDPQSIPSDSTTLDAIPFPQ DFFPSQLSTQPSSQRATPSQPTPAAPYQSNAQQNPINQYSIPAGFPEEASHTPINFPN GFSDPGTSQQTINPLFLNTPHQSGNQQHAPINDNFLYLGPADFERPNNSKPFNYYRND LQAPPSNHHAIGNQSIPSQFPTVEVVIDNSQAPAFAPDKKPLDPQKKTAAQRQAKTQA KRVGKQAGDRSSSSSDSDDSDIEITLPPEELSPIPETRPSDPLGAARYDALRAVWSPR NRSPNAEKVKNALVAFKNVVKAVRDTWKELSQAMKTAENQGENDKATQLKNEVVFQRR LMDVVVSTTLEMGHPMIVEKLGEHPMAVSAMYSFLLDRHQAADVDGTLTVNILKLLSQ FVTVDEDVLQKTNVAKLLPRFSKKGGQTVKDLAKKIQENAAASTKRKQGSKEDSPSKN TTPDATATNGSRVEAAGSKRPRDGESKEGSVQPAAKRMVVTSNTKNPGSTGTANGATA KRPQETGQDAKATAAAAARPKANIVAPKPTNLFGSLSSAKKRPGTSNAERAAAAAAAK TNVPTEKKREAGPPPPKPAFSLGDIMADLDKPKDPGPVEPTEDKPPETEEERKKRLRK EGRRRLRVSWKPDHSLTEVRLFTHDPEEELGPDDRRQRQAGDVKGEGRILRLHKGLDE LGEEDEGGIKEENLLGYHEPSEIDNADITPDDRARNYVKRGGTQEPTSPEKQAQEHRE ATTLMVFYTSLADIPPSPKEPPPPSDDEIVPDVQQFGELPDHVKARQERYFAYVNPKP APAAQPAAPTNQFDISNLLKIIQSATQQQQPQQPAQPLPPQQPVSQAPTSDLERTVNM FRQQPAPAPLPQMPQFPMPQPQATQGMDFGNILSIMNAQNQMQPPPVFPPAPQSQPGI ASNLAAIISQLSNQNQGGPKPPSQVYEDPERKRMRETSGFDGAGDEKFNSSKRNRPNG PHKKHPKVGIVPCRYWKEGKCLKGDDCTFRHDPLI ACHE_20059S MQQQRCNHLIIVCCHAIYLGGPTRGLSEDEWAIEPFQRGETSTF TEHAKAGLQALANYPRGLLVFSGGPTKRGRTDLAEGESYMYLVKDNDYFGYSQRINPS QVTTETHATDSYQNVLFSLLRFRFYTGSYPARVTVVTHEFKRKRFIDFHFPAVGLVPV TVPSRKDEENRRVALIGINPPESVTLVESLIAGEEKSGISLWKRDRYGVQSELAGKRV KRGWQAGMENGLFVDVGLEPVVEDLVRWDGGRSGNEWFDKMEQLPWYSP ACHE_20060A MGDKDKSKVHKLSLKGSAKLVAEFFEYSINSILFQRGVYPPEDF TTVKKYGLNMLVTSDDQVKAYIKKIMSQLNKWMAGGKISKLVVVITSRETGEHVERWQ FDVEIFNKHKRSKSAQKTGDKENSGDAESAAPIEKTEKEIQDEIQAIFRQITASVTFL PVLDGDCTFNVLVYADADSEVPVEWGDSDAKEIKNAEKVQLRSFSTNNHRVETLVSYR LAD ACHE_20061S MRGCLHLARWLRAAPTCLAARSLARSLPSGIALRATTRSFTNTS LWQAARSHAAKPLGELEQRIAAIPIERYRNFCIVAHVDHGKSTLSDRLLELTGTIQPG TNKQVLDKLDVERERGITVKAQTCTMIYNHNGEDYLLHLVDTPGHVDFRAEVSRSYAS CGGALLLVDASQGVQAQTVANFYLAFAQGLELIPVLNKVDLPSAEPERALEQMKNSFE LETDNAVWVSAKTGLNVPKVLPTVVEKIPAPVGECKKPLRMLLVDSWYDSYKGVICLV RIFDGELRPGQQVVSFATGIKYYVGEVGIMYPNETSQTVLRAGQVGYIFFNPGMKRSK EAKIGDTYTTVGSEEAVEPLPGFEEPKPMVFVAAYPVDADHFEHLEDSINQLILNDRS IHVQKESSEALGAGFRLGFLGTLHCSVFEDRLRQEHGASIIITPPSVPVKVLWKDGRE DIITNPAKFPDEDELRGKVAEIQEPYVLATLTFPDEYLGKAIELCEANRGEQESLDYF TSTQVILKYQLPLAQLVDDFFGKLKGSTKGYASLDYEDSGWRAGHIVKLQLLVNKVPV DAVSRVMHASQVDRTGRKWVTKFKEHVDRQLFEIVIQAAVGKKVVARETVKPYRKDVL AKLHASDVSRRRKLLEKQKEGRKKLKAVGNVVIEHKAFQQFLAK ACHE_20062A MASETTQSFGSNGFDPFEQNITMHQADGTPFLVPVNSVDAWLQY CIRICINYGCQMGASAVLLIVLILLTRAEKRSSAIFWLNTLALVTNVARLLCQLIYFT GPLVRLYPIFASDYSRVPQSTYAGSIVGAAFAFLAVVFMEVSLVLQIQVVCATLRRWY RLMLLVASTLVAMIPIGFRFALLVINSMSIMDLEVISSTWLENAANVVVTVSICFFCT VFVVKLGFAIKLRKKLGVREYGPMKVIFVMGCQTMIIPAIFSILQYIVHVPELYSNVL TVVIISLPLSSIWAGYTFEQKSRVASRTSDSHRHLMNLNSYNPMRTKMLSTSLSTDAT ARNSIDANATCYVTLSPTRQIDPELSKYGISVKHDYSVQSHHKDGGEV ACHE_20063A MSLDLSLLNSPTQSQSFCNDLLATLKSRGVARLTNHGIPEETIN KLFDMTRNFFAASHEDKMIAKHPPESTPNRGYSYVGQESVGNISGFEKGVSQVKSVKD IKETFDMGSPTDTLVDNIWMPEDKLPGFRHFMEDFYAQCFALEKTILIALARALNVPE SQLLSLHSAAENEFRLLHYPAIPVSLLKDGTSTRIAEHTDFGTITMLFQDSTGGLQVE DQMCLGTFHGIESNKTDIILNIGDSLQRLTNDTFRAACHRVTYPPTVKINEGEGENED EVIPERYSIAYFAKPNRNASLLPFSEFVTESRPCRYDDMTAWEYNNLRITKLYQAA ACHE_20064A MADNNSSTLKSYVDSATGLAQRAVGAVTGSTSTQAEGQSTQDHS KSEHAASHDTAKLGHVTADPQTGATAQDSSTRTTGSWDQTVGSAKESVGNFIGNENLR RQGVEQNAAGKEAEAKGQLKDLGEGVTDRAQGKLGGIGAAIVGDREEEEKWRQVHDEG KVKQRGAEADIDKKGGY ACHE_20065A MLAPVPELADYGISPDHGFLPSELPLTTLPDPYYARWEAIASNL QGLLLSKRIRETVDRLPTLSTSYLQSESEWRRAYVVLVFILHAYVWGGHKPQERIPPQ LTIPLLEICDHLELPPVATYAGVCLWNYKPVFPDEPAGHLNNLASVNTFTGSLDEQWF YLVSVAIESRGGPTLPLMLRAISAARKGQSGVVTECLHELAERLDDIGALLERMYENC DPYVFYNRIRPYLAGSKNMAEAGLPNGLLYDDGSDPKYGQYGGGSNAQSSLIQFFDIV LGVEHRPTGEKPQAPASDKEGVAGRPRHGFIQEMRSYMPGPHRRFLEHVNAVANIREF VEARRSDKALCIAYDACLYMLRTMRDKHIQIVSRYIIIQSKGAREQTQPAARGSAKPQ NLASSRPVDKKKLRGTGGTALIPFLKQARDETGEPAIDAWARRLLGDAPVPSGFAALS KIGENSDGHIEVVGLSGTWAADESEGGICHW ACHE_20066A MSSVTPSVLRQASRAYARRLSTQHGSLFPRRVAAATPVTRRGYV TETKAGNAQVSVDTAIKQEQKAFMNQTGVQPQNVDLPGSGVSGDASMSPSAGILKQAT VMDQGTRPIYLDMQATTPMDPRVLDAMLPYMTGIYGNPHSRTHAYGWESEKAVEQSRQ YVADLIGADPKEIIFTSGATESNNMSIKGVARFFGRSGKKKHIITTQTEHKCVLDSCR HLQDDGFEVTYLPVQNNGLIRMEDLEAAIRPDTALVSIMAVNNEIGVIQPLEAIGKLC RSKKVFFHTDGAQAVGKIPLDVNKMNIDLMSISSHKIYGPKGMGACYVRRRPRVRLEP IISGGGQERGLRSGTLAPHLVVGFGEACRLSKQEMEYDHKHIERLSKRLSEGLLSMEH TNLNGDPDHHYPGCVNVSFAYIEGESLLMALKDIALSSGSACTSASLEPSYVLRALGT SDESAHSSIRFGIGRFTTDSEIDYVLKAVQARVHFLRELSPLWELVQEGIDLSTIEWS QH ACHE_20067S MSFKGFQKGLVRAPQTFKAKFNIGEHTKDAVYSDAERRFQELEK ETKRLHDESQKYFNAINGMLNHQIEFSKAMTELYKPISGRASDPSTYTIEGNPEGIHA CEEYEAIVRELQESLAPELDMIDSRIISPADQLLEVIKVIRKVGVKRDHKQLDYDRHR TTLKKLQDKKDKSLKDEKALYKAESDVEQATQEFNYYNDLLKDELPKLFALEAEFIRP LFQSFYYMQLNVFYTLHDKMQGMNIGYFDLTLDVEEAFEKKRGDVKERTEELTIVHYK TTGGRRPGSKPPIPGAKPSFAKEKFGSDGGSEYKSTYSRPNNASDGVDHPPPPYSAAA AGSSASSASSMAAAAKGKPAPPPPKPKPSQFRAAVETVTALYDYEAQAHGDLSFSAGD VIEIVQRTDNQNEWWTGKVGGREGQFPGNYVQLR ACHE_20068S MVNLSLSTKYRMNSGHEIPVLGFGSYLISNSQIPTVLQGAFNAG YRHIDSAVMYRNEKGCGLAIKDSGIDRSEIFYTTKILPSAMGHRRTAKQVDDCLRESE LDYIDLILIHAPYGGKEARLGSWRALTEAQQAGKVKSIGVSNYGIHHLEELQEYIDST GGSKIDVGQYEIHPWCDRRNIIDWLKKHNIVVEAYSPLAHGSRFNESILKTLGKKYNK SPAQIMIRWVLQNGIVPLPKSSSAERIKGNADVFDFELSEDEVNMLHTGEYSPTDWDP TLDYD ACHE_20069S MKLVLSTSNLMKGGGSSVIRRPTTEKSNVELINALRSNFQASQH ESSNGSSNGTSSTATNGYKSWTSEHDGTLYIPARDLSQPGLSEERPQYDITVKLFYLP GIPVSRRCAHTREAIDLVLKELGVDSIDLLIVSFPGILFDAEDDSEDEDEATEGEGTG ANEGQVQQSDDFDSMVQTWRTLEKLQSEGMISQLGVAEFGSERLARFLTHTNVKPSVD QINLKDCCVVPKSLILYAKQEHIQLLTHNDCNDILPVGTTRELLGPGESGAGILASAP DKNDGIQGDVEPQWVVKYTAVVKDRGVVENKGYFALADIGNCVRTES ACHE_20070A MSEYKPPRLPSPFTHTTPPPTLLTQGAEAHLYKTTYLTPTTPAA LKIRPSKPYRHPILDRRLTRQRILQEARCLSKLVREGVSVPAILALDWEPQWQEEKDA LEGRAGGAWLLMEWIEGLVVRVVLERWEGWMKRNTQIGEEQRKGEESRVRYLMRRIGH VVGGLHKAGVVHGDLTTSNLMLRPTAAPGNGVEEVDGGNPSMEGEVVLIDFGLAGQSN QDEDRAVDLYVLERAFGSTHPRTEPFFDELLQGYREVYRGAVSTLKRLEDVRMRGRKR SMIG ACHE_20071A MKSVMRNTFKEDRSRWIHSLPRVESSWSAELQNLEGHSGWVWSV AFSPDGQRVVSGSSDQTVKLWDVTTGSEVQSLEGHSDLVRSVAFSPDGQTVVSGSDDR TVRLWDVTTGSEMQSLEGHSDSVWSVAFSPDGQRVVSGSSDRTVRLWDVTTGSEMQSL EGHSDSVWSVAFSPDGQRVVSGSSDRTVRLWDVTTGAEVQSLEGHSDSVWSVAFSPDG QRVVSGSRDETVKLWDVTTGSEVQSLEDHSNWVRSVAFSPDGQRVVSGSRDGTMKLWD VTTGSEVQSLEGHSDHDCSPTSHKPGFQISVKASWVAFRGEKVLWLPLHYRQPSCFAI KNDTLSLGYRDGRVLILGFCALVD ACHE_20072A MHHLKKLFNHGKEQKGRGPNRPKKAQSANHPPHQHKIQADDWVS RSSVSIPAHQPNITKIEGSPPPRDLWKSAYDKLDQKEQDILSKIPVLTQPGIDEKKHK TKAIIDKVVETTKEQYEKYQEGGLKIRRSTGNDIDLRKLSHKIINAAFSFKEIISTVV GFDPTHHAASAWAVVSIGLTMAKNSSDLRDALFQSSEYMADILARHAYIEEKFYHQSG QNAQTGHALVKVYKAILQYAAKVLAAQDSNVGKWMLDSVTGITNQDLMELKKSVKDQE QELHHCVEREQHLHHNEQAEQLLTQGDQIMRSFQALIQKFNLPIAEGASYDSFDNQHG EKCLPGTRKELRCQIANWAEELDSKVIFWLNGMAGTGKSTIARTVAQSFDEKGLLGAS FFFKQGEADRGNAKRFVSTITRQLMTSNRELAHGISRAIEDDPDLSTKALSQQFDKLL LQPLLKLELNETTSMVIVIDALDECEKEGDIRNFLFVMVSSKMMIIRT ACHE_20073A MTTSTSPSIKLRFVNDDEIRNLNAEHAAKHRRCLFSDTQRRQMS DELIDAVVAQDQDPGQFMLDLEQDCLETYPKASQSFEVFRGPFGVARWLGSVEEVHDG DSELIGFDATDGEEPDEDLTRWLLDTSPGDNIRSTEDMFVDLLPVSPIPQTSPPRVNE SLNDIDLGTPISLDNHRMTFSINEDPKAWLLLSYYRDRIIRLISLCQQQHPQHDEVND PWSNLVMPCAMTTMAELTIGGSANNARLALLSALLATSAFHLQNSSTTLTAEEWMVAG EEYTARARGYLETCLGMDKSKCKYKEVLMAILCLANTFMVKGDPDTRLTYLLYAERFI RANGFNKPTLSRKRRTLHHYYAYMRIMAETTRSSGHLPSTASPSADKGSEDDNDQFRV CRWTSLSESMMGREKDPDTARRDLHLEVPGNWTSTLFPELYGIAESFLLLLSQVIRLA NERDLAMDQDENLSLKEFTLRAKALEKSIYRLISSSACGPVSSPSASSTSTSTADENA MQAMYTALLIFFYRRVHNVDVMLLQREVLTVQDYLMQIEQAQDSTRGNGRMVALMWPA FVAACEALLPETQGYFAEWFEELFAKTGLVSVSLAKGVCEFVWARRREQDGLSWPEVL RNRGVKALCT ACHE_20074A MAHRGETLHKHISCIADLKALGSKNLPVMVKDYYNEGAMDLITL RENEAAFDRYKIRPRILVNVDQVDTSTEILGTKVTLPLGFSPAASQRLAHPDGEVGAS RAAAKYGICMGLSSYSNYSLEDVAAQGSGNPYVMQMCVLRDRSITVQLLERAEKAGYK ALFLSVDVPVLGKRLNEYRNEYQLPEDMSWPNILSNGSDTSSRTEYDPSLDWENTIPW LRKHTKLPIWLKGVHTPEDIELAIKYGIDGIVISNHGGRQLDGVPATLDALRDCAPVA AGRIPLAIDGGIRRGSDIFKALALGASCCFVGRIPIWGLAYGGQEGVELAIKILHQEL KITMALAGCRKISDIHKSHLSIIKSDGVLARL ACHE_20075S MAKIFLTGASGYIGGEVLHVLQTAYPEHDYVVLLRDKEKAEQVS NAYPKVRVVFGDLDSAALLEEEARKADIVVHLAHAKHIGSVEAIARGLTTLNRAKPGH WIQVSGATLLSFPDIEQNAYGEPTNKVYNDFEGVEEVRQLIQRYSSKRLVDSFILNLA KSPTSPKTALVFPPLIYGRGRGPVNQRSVQVPELAKATLQRRAGLQVGKGQSIWSNVH ITDISQIFAKLVGKALQGEEGDLWNENGLYFAENGALTFKEISQLVTQESHKLGLIDS TSVAELTHQEADELSAHAGILWGTNAREQAQRARTLLDWAPKANPLDKEIPEAIHVEA DRLGLKSNL ACHE_20076A MFNSDPRLSGQPTSQPTNAKVKVPRSGYRGTTSHPSNVNEPDPN GDVTLVVSPPVHPPLYKQHPSSFGTNVKSSFSFSATLASAPATSIGPTVSSTPTESKP PKTRFRVSSKQLMLASAYFEKMLTPRWQEGQALGAGGSIELNIPETDPYTLLIILDII HCRRARVPRVVNFDKLIDLAVLADYFQCHEALEPYPSIWLESLREEVPSVHSNMLVKW IFVSWVFNYDAIFSRVTRIAQRQATYDIYLLELPIPESIYGAINHARKTALASIFGAL KRRREYLETNTRPTCCDDCDSLHLGALIRLMKSNNLNLEYPYIGLSVEAAAEKVSGFK QPRSIHPTPAPVAFASYNRLCETNSRLLGEGTKQEVENAISVLQGLDLS ACHE_20077S MPSLALSPSSMMIGSLAQMDDFLHWSDLFDLGPDPLQLTPHPFL ESVDGFDFAMASTFAQTNTLEHNNSSRIMTPQQSPLDTGSLSVDVMPDAPFLLKHFQD NVIAQMMAMPLGEKSPWKILNVPAAVLAYSDLTFLGAQNISHARLANLYSLLACSAYH LASNPDVITTNSAEYWRMVTEQANIQAKNHIQMSLKHEIHQPKKAKYKDQLMAICGMT GFAILCGQQKDARCYMIDAERLLRLRGLSKPKISQKARLLHHIYTWLRIVGESTYVLH DYNPSSSFIESFNRHFRTDQNTVSHRNPRLDDFLHLQPRQSDSDLDIDQPKDNDVALH DIHLQDSRQFPGTLYNQIYGIPETWLSLVSQTTRMANVLDSLRIARRTHKNLSAEAWD TVHRRRIRLENMICSFDLNLARDDCDGSPNSHMLRALSSALVIFFHRRICQVHPAILQ GHVDSIIISLANFDNALEQCQNPGPGTAWPAFIAGCEAITTSRREAIMRWVEKGGAKC GFIAFTRAKEVMLETWKRQDEHLAARRGDDIPTWVEVAKEWQLWPIFC ACHE_20078A MSLTGIMVALITPFTDDRTQIDESRLKAHIDHLLAAGVHGLVPA GSTGEFTTLSFAERKQLTELCVKYAAGRVPVVTGVGSTSTSEAVELAKHAADVGAAAT MVVPPFYDPVNLEQLTEMLSEIHEASRGLPIVYYNIPSASGLTLSPKEIASLSRVGVK YLKDTSGNAPAFTELVFGLSDQITAFNGWDTLTFYGLAAGTPGAIWGAANLIPELAVE LWDAVSVKGDLKKGRELWAKAWPVCKFLEEHNYAAAVKTGVELAGQSTGGLRKPFALL EGDIKEELRKLLSNAGVKTV ACHE_20079S MSFVEITTPNGHRYQQPTGLFINNEFVPSSGGTIVSLDPATDTP IATVQAASADDIDRAVKAARTALGHPSWKLLPATDRGRLMAKLADLIEEKKELFASID AWDNGKPYHVALSDDLTEAITTIRYYSGWADKTFGQTINTTPEKLAYTLRQPIGVVGQ IIPWNYPLSMATWKLGPALACGNTVVIKAAEQTPLSILVLGTLIKEAGFPPGVVNIIN GYGKEAGSALVQHPDVDKVAFTGSTGTAQQIMKMAAGTLKNITLETGGKSPLLIFPDA DLDQAVKWSHMGIMSNQGQICTATSRLFVHRDIFDNFLTQFQEQIRSTSKIGDQWDES TYQGPQVTRAQYERILSYIECARSDGATIFSGGSAHKLDNPKYANGYFVQPTVITNTT DDMAIYREEVFGPVVVVVPFSDEEEAIRRANDTSYGLGAAVFTRDLERAHRVAGAIEA GMVWVNSSQDCDPRIPFGGVKQSGIGRELGEAGLEAYSQVKAVHVNMGSRL ACHE_20080S MDQYLLPFTEESQSCLGINLAWAELYLATAMVFRPGGPKLSLYD MNESDIEFARDFLTGFPKHDSRGIRVMVN ACHE_20081S MNLTWLLFAASTLAATTPTPTTPPSTDSGWSSVENALHSMTIPS LALPTNSGNLANISPPPRSLINQVISAVPASVLVDLFDPSKRRALGSEIQAGSTPTWY QNLPTDVKNYMAVVKSQISEGALTASPTASNSVSGSGSGSVSNEATATAAETTTGAAS DTNSGGRIQPGGIEVAIAGAIAIMGMMPLL ACHE_20082S MHFKFLILPLVTLGLASPAPAPSGGLLSDLPDIVDNVKDLLSQD TIDDLQTIVKGGAVLLGGDTPQNLRNLLSKENIDKLQDVIDNAHTLITTSFVNDTSEL VADAAPLVADVSKLLGGILASV ACHE_20083A MSEEIEKLPDEKSIPASSSPDTHSDNASGKENGNQYPLDALDKL EILPHMLQEGILFAGSGSALLLQAALPDIRSDNEQHHNNLANELGDALQAILGYIACL VFGTRDEKRILLDLLARRQPPLKGSEYYTRRPDVHLWIAATLYATATDFYQRIYGRVN LKTAEKAYREYSLLCHVLGIPRGTWPENREAFWKYWDERIETLHVTDHANEFAKDLMY RTEFPRWVQTLKPFLRVVTIEMLPPRIREQYGLQSTMGTRGLYRFTMGFSVAVYPALL KSVRRYPVQYYLKELRKHLNVI ACHE_20084S MGKAKDLAEKPAYLSSAAAKYALGVHRGPFAPKESLDGNDLEHI ETADTITLENIKRTPTQNLRRHWFRFWCCYVFWSIIFLAIFLPIFFLICIPAISQNVL NRSTLLLVEAAILEPRPDSLVLSMTSALNLPVSLPVRIDPMTLELFNREQPMNNTWSK VYLGPYLIKGNTTLGVTNQPTPIDPEQWYNYVWKFVHLHHPPLSVRGKTTSYLGELVS KVTMDKDIPQTTLNNFTGFSIDDSTLIEAREDGTNLLANATLPNPSVVTLEIGNTTLN LFSGDLLLGNATLPNLFLRPGNHATPVEGILDIKKLFNKDNLSQIVKDQAESLKKGNL KLKTIGTNVMWNGVQVPYYTKVMQGLTLEADVPIAGLLGNTVHSLLNGKDNPLANISI TEPETDDSDGSILDGTGLDNLRKLKRAMSDVDLSKYSGRKRGMEMTIADLMATL ACHE_20085S MARQLKLERAVCSFIGNLGMVNYQSSQRTSDGVLLDLAIQQLTE RVDMVRYIKKSMTPDATNEATIWEAIGLSRLSLCYTARGLSQEAIKSALGSVEAAASL KDPTVITMPRFFYGHALLGSAKKH ACHE_20086S MEQIPFNQVPSTKRSPSFTNAAFQPDSTFRAQVQGQVPVPLPAQ SQNTSLNSTATANMPANSSTLGANPSQQPQSQSQKQTQIQTQQPEDESTEQTQKGGPT ATAPFLRDFSLVAEAAKRAQMAVVMRDLEGISL ACHE_20087A MSSSPESQSPSSPDSPRHLAWPVPSVPITVTHYNTHTRQHTTST TTTTTTSPTAGPSPTGGPTMIKSRRFYSSPLSDTSSTPDPKTPLQAYGLEQREPSIAE EKPHLLRRVSHALDDIKEDFSLGLDARGTAEKIRSRRRGSVFFEAPPSSSLAPRPESA RPLSIFSTAGSVDHGSGSAGKRMSRRLSMFGGGFSQRRKRVGESISQPNLIGSSTHL ACHE_20088A MYHHRSNSWWPEYGHQYPPQGYYQQPPPPPQGYGYPPYHTPTPP SHSPSPYSHHRQQSWNDNLPPPPPQVPQHFGHGAPSNYHFQYSTCTGRRRALLIGINY LGQPNQLKGCINDVANMSTFLHEKHGYRREDMVILTDDQENPMSIPTKANMLRAMQWL VRDAQPNDSLFIHFSGHGGRTPDLDGDEDDGYDDVIYPVDYRTAGHIVDDDMHAIMVR PLRPGVRLTAIFDSCHSGTALDLPYVYSTQGVLKEPNLAKEAAQDLFSAITSYGNGDL SSMAETAIGFFKKAAIGSSARERTVMTKTSPADVVMFSGSKDTQTSADTFQDGEARGA LSWAFIKSLERWPYQSYLQLLNTIRSELEDRYTQKPQLSCSHPLDTNLRFVM ACHE_20089S MTDLSRSLDSIPYDVFYQIASKLDCYDFISLSRVNRSLNHAMSS EPIARKTIENNLLHTKEGQDADRSKSGYRKAVGRLFDIKESFSTAQPYSASVIAYGTT FLYDSGSLCYVHDDDIRVLDVHGAAQKEQVMNISNVVARVLPHYAPGTSPIRLSLLHY SDGILAFLVELAEGPEAWLFAIDLRRRPANARNGRLRLRTQLSSTRRLFVRHNGSYLY YGTHSSLGYDGYPLWAIHCVDLQTGRHMSEKPVELAHFAGNEIGQTVCFGLHQDHLYA VSTQVDCEEEEVDWTSFYIWVCLAPEPNTRRRVTPNRTWRRQHREGPINDTWTDLSLR HDEATKRLMILECRREWRNGGSENCRTYYMQALPSPNEINTGKQRTGPTDARVPHHEP LIKVHDPSSKPNQQPPKKRLRRHYHPEYQLGGTGPNDSSAQPQRRDFILAKTKYHAYN LSASSFVDLVNDPSPDPTKDSTSLIPHDRLRLRTVSRKRKCPIDETGEEGPRGLLYRP DLSDTNGQPIDHSEERFLSRGVHMWPPDNAPPELNNLLCPSERAGRVQAMADERSIVY SVNHDGLTDGKQALILINFDPSIKFPGLTRLHRYNHHLGEMFSSAQDPAGVAVGVEQP QFGGPSRERHGMSVVRTMGMNVGLPSVREEAAMYLRINWGYWLR ACHE_20090S MAGQDSLDDAPSPTHPDILLNRPGTGTENYLDHSASNLSLPHRP ESGNRRLLLIYIHGFNGSEASFHAFPAHVHSLLTDALAASHEVYTRIYPRYKSRGDMK IARDQFSSWLSPHEADDLDIILLGHSLGGILAADVALLPSSPIYSHQHRKHNILGLIN FDVPFLGLHPGIIQTSCKSLFQRDRPAENETETVPETQITTEAITAAFSPSHYDPPFF NDIRFAERSGMQGLMHFLEKNYNRLTKSILTRIVSPYEFAGCLNNYPELRRRYKQLME LEAAHDQPFRIRFVNYYTASEPPVPLKDKNNAGESENSRHASMEHSSLPVDAEEPPCK QSETETENETESCSLKPTKSESGVQHKPESDQADCTCASETADCTSKQHKKKKRRKFV LLPSHHWSHGDDSLWIPVNMDNMNEITAHQSLFLPHGRNYDQLVGDTMATIEQWIQVD LTQRMLAQEEQEGK ACHE_20091A MRIPSFGGWSFSTSLDSYSIFREGVTDANRLAFTQYVVAFVDRY NLDGVDFDWEYPGAPDIPGIPAGSETDGPNYFSFFKTLRSLLPSNKSISMAAPASYWY LKGFPIAEMADVLNYIVFMAYDFHGQWDWDNSFVGPGCENGNCLRSHVNLTETEYALA MITKAGVPANKVVAGIASYGRSFGMEDPSCTGPNCLFTGPNSTATPGDCTDTAGYISQ AELSQYSSGSSLNRRDATLWYDNESDSDMMTYEDGTWVAYMPQNTKASRINRYASYGL AGVVEWALDLTQFILSATDEAATMDINEAEGTFADALALSDYDTSDEKVAITSGWQQS WKIMNHIYTVVKNGIDFNEAAAVEFLGSPAFNQDEQSDFNAVFKQLSTIQPGWGGWFA WQLAVRCDDFKYMCPCNIDTGVIAYTVQNDPKYQNHQPVSARDNYYPNQGVTWFHELL HVDWESLNGVPHISDIKIGFEIKGVMKWFEAYCPKLTKGLARVGGATGFWTLQNADSL SFARWPNTSRNAWATSIPISRWRLRRLVA ACHE_20092S MEVQEVQLMTPQTHCTFLGPHDESYSGPLSGSVEIIIASSNIDS SGYPKLSIKLTRTVAFERRCAATAPRRSNGFFKCLRRRSANQAQLIPIRGQSASSTET ITQCDLWHTQHHVEHHQDRGTTSLKFNFGIPVPFDIPPTTETVLGTVSYMITATSTST IGTTATTTRPIQILRRAIPGHPRTIQHVRTFRDDRMRIFLDITPKESPGPGSKASYTA RLCAKQTITEGPRTAQHVVIKELKWQVEETAKALSKPSHHGTHDAIYHKERCVRQLCH GRVTGRWSATGGRPKTEATDDTIQISFDVSIPTSADAVEVLEMSAVDTKQTCLLHQGP CECPARTHIDEEKAAIIASHQLKLDIIAGEDVIDQETGKLVDRKPLWKSFGAFFPMPV YEFMTSQEIPNAAFPANDTPPMYDDASNPPDYDVLR ACHE_20093S MAENKMDKPHADSNLESLGYRPELSRNRSTWQVVFMCFILASVP YGLSTTMSYPMAGGGPANMFWGWVIISFIILCVAASLAEITSVYPTAGGVYYQTFVLS PSWCRRVTAWICGWSYIAGNITITLAVNFATALFLVESLNVFKSSEGVGITEDFQAYQ TYLIFLGITLICHAIPAFGNRWLTHLETMAIFWTLIGVSALVITVLVVAAHGRRSAEW AFTGFEPQSGWPDGWSFCIGLLQAAYGLSASGMVTSMCEEVHQPAIQVPKALVGGVIA NFFAGLFLLIPLNFILPDIAMLANLASGQPVPTIIKSATGNSAGAFCLLIPLLALGII CGVGCVTAASRCTWAFARDGAIPGSKWFRQVNTRLEVPLNAMLLGMVIEILLGLIYFG SAAAFNAFSGAGVIFLTLSYAMPVAVSLVLRRRKDVKNATFNLGVLGIFCNVICLAWT LLAIPLFSMPTFSAVTLEDMNYASVVFVGFVAVSAVWYWIWGHRNYAGPPTETVEMAA ECLDDVNAMDKPTELP ACHE_20094A MTGTLFLYQGQEIGRVSAPPSYPVEEYKCIRSINHYNKVWQHTG GDPVALNQALHALQKVARDHARVPMQWEDSANAGFCAASVTPWMRVLDAYREINVTSQ LGRKGSVLELWKAVIRFRKQYKDLAIYGQFQAIEQHEDLLIFLKEAAGGRTSLTVANL SDQPREWAFPQSFPGLKPSNLALSTSDPKGFHQSTLAAFEGRVYVSDNGG ACHE_20095A MACLGITSDPRTKNQAHILRSSTSALKNHATPTTSRQIPPTYME KMVSLPAEVIQLIVTLIRDAPPPKKPRSPFGNRAKPAKLAQYTIVSRQWQEIVERMIW QQINISKKGSLEQLKELTSGDSYRRARAGYIRHILWSPEINTQELHAKTQGNDDVRVQ LLPDWYLQQCQSSIIDLFELLDAWKDHQTNMELSLWLSDDNYLDVGDDEEIEQEEWES LNLDQLWRKGKVPNLLHLTADAIQNVPTLPYITCFRLHEVRDSNVRPSAFFRFLSHFP HVQHVSSGEGRVVPRGALQALADQRQEVVDHLSLVPESVDTFTYSISAQRELSINPAH NAANYISSEGLDDFSIAFRTLSTRLRELHLEGVRISSALFWPVAEEKVDAKSLYWPNL EVVKVLEAPPYTADGKWIIDNDPEKDWEGDLEEDSFEPWQYDSEYYAQRGVIKSYEVD RLYESMGLAVQRMPRLRELKFSFRGEIGERGSHEYLEFGRDMTTDKTTLKISTEWEYK MGEKVISAWGLKDEKANEFRDTWSVSLDQWP ACHE_20096A MGPTSTLAVLAILASRTALTAAQMQLYTPEEFTSLSSECATALS GNLSCSFIETGDTMYKLTVNLTSDILDSMCTDECKTSIASYRKAVQKACASDEYDDRG NSTSYVGSSGVYNPIVLPDFYFTNYDQRCLKDSQGNYCYLHLKSTDSQDECDECGLQM FQAEISNGYFYNDDLAEQFSSLTSNCGVSTLSVPTATSVVLSSAIAIPTTTVCSERSA TIQPGDTCDTFAAANNVSTWKVLIENGLESGCANFPSNGTLCVSGNCQTHSASLNDTC MSLSSQYNITITQLRTWNPVLNPLCSNFDTLVGHEICVSYPGNATSEVNTYAMSPIGA TATTAAPIPTNVVAGTNVYCGKYYSTHDNDYCQAIAMAQGINLDDFLFLNPELNKNCS NLYLNYSYCIQPVGNIETYSGYSTATATGSVTAISRYTGQITGTPTAWDDLPDATDIT SWTPIAIPTTAHLANGTRLDCEEYEDNTYGSIPCNWLASGASTLDFANWNPSVEFYNC MLTNNTRYCTLLGDGYDLGQVTVNETKPYADTPSNAAPNATTACYSWYDTGNGTACNT ILAYADITIDAFYAWNPSVGSRCSNLWLNTSYCIEGTGYDDSRYISSSSSTPAATATS TSALTCVSATVTAPGETQTGIPCDCNKYFLHNTDGVYCQDIATENGITLDELYKWNPA LNGDCSGLWLNYAYCVGVASSTTVPTTVSATTTISASSMTTTSAASSCATVTAPGPTQ TGIPCTCNKYLMQADGVYCYDMAAEEGITLNQLYEWNPALDGDCSGLWPGYAYCVGVL ACHE_20097S MRISNFLGLSLWIAEATAAHGNLRHAYLHDKHHSSSSTTSVTTR PTLPAPAKHVLTRRAPENDDYTCGPNKPCKNKACCGKNNVCGFGDLYCGDGCQSHCDA KAECGRDAAIPGQTCPLNICCSEFGFCGTTSEFCGSGCQSNCKDPNRPTGGSSSDVRN KIIGYYESWRAVGQKCGMMTPEEIPVEYLDQVNMAFVYIDPDDYHIIAMDDEPFATDL YKRVANIKTRNPSAEVWVSVGGWTFNDPGTYQSVFSTIASDKDKTNKFAGHLMEFMDK YGFDGVDLDWEYPGADDRGGKDEDVKNYVSMMKILKKNLKSGNDYSKKWGISITVPTS YWYLRWFDIEGLESQIDTFNLMAYDLHGTWDSDDPIGPYVYAHTNLTEIEMALDLFWR SNINPSKIVLGLAFYGRTYELSTPLCATSGCEWKNPGPKGKCTGTAGILSYSEIKDIM SDSNINPTYDEDAGVYYMTYGKGGANWASFDDAVSFQAKIELANSFGLGGVLVWAIDQ DDKFYNALRGVTGKDVEPAIDPQEGYGAFTLDQCYITNCGESCTKGDVLMTKLNEDES GRGCSGKDHNARSFCCPAVNAPDSSDCYWTGGPVNCHGQCAAGEVTMVLDDWGNSKKR CTNGGKKAWCCPATNGQKAIQECELATPNKDCPSDKPQEMTSVYWSADVGLGYELSYE QKFCCPEKPAYDSNKCGWHGENHYCNDSECPVGQVELFRWHGSHSGPPKSAKGCNKGR QQAFCCPPPLSDGSAFLPVPLENLFPTGDSFSDSVTTTFSEAFDENSDETSFGVSGTD PNKKAFAWTIIVGEEEDVQSFVKRDGSHLELFECPDTHEDDFDVQKAKAVCVGGSEEN NNCEDILKGGVEGTVVRLPAHCGPDDWVRAVRFERSMNQTLPGHLQKRHPSARNVYDF HYDYNFQQLRRDGGEVYFRTDFSTHPGYWDEVVAASHDSSLTKRSAENWRELDRRFWA ENDKESWLKRFNDLLTQGDTGLKKHYEFNQCLFHSEAKCANAQADTSAFLYGELNTTM DFGTTLIGKLRNFQFTEAFSFFNQEGFSMRAGAGIRAQARLYFDSGWHSIGEFDAFGM DLNLKGIFSIKPYFAVDARVEADAYLSTQATVEMKISHDRFRYYLPDSLGSNPTQITG SYNLDTTTGPISGFGNIDARAGGGIVFGFKPKIAMDIDLQFRGSQYVNTSVELSTPGS IRIDAALASGCSNGMQFDVTGQMDVDFAVKNGLPGWSSKSYTFKDNPAKKIYSGCVPF SVLAKRELEAGNGPELTSRSTTGSDLTLPKQSNNLCAFSTNGIYCADPEENDDPSPNC DLNDLSYLNDSDDDGDATLTRRGHLEKRSKKELDYCYGKTEAEGLGYQGFGTGAASYS TIHFSDYPSSTELVEDYDSNAPTYDNADPQDCNNFELVKLDKTPQKPYPSKEEKKKGA REYHSEHVLEAQTVQRFFNALGMAWSNLKKGPQNPNAKLYQTPKKGDTETIPWCAYMK LWWNLRRNDNANNMLGSVYPGYDGHYTDEFVLYESALNSKVKQGWFSGNQLTGASKID KQIDKGEWSEVAKTLKLHIVAWKYYYVDEIKNTLVKQADRVEDALRELEGTGEHSIHA KVGDNDGDYDKDYIPQNLAAHWRSWVQEEHERVQLEVRRFLNKYAKKAYDLNRPEPDD NDDAMIDPGQAIQKNADIVKIFETAWSEVQKLETWTIPWDGDSMDTSD ACHE_20098S MAFFRNIFGVATHPPARGVPSSRSHPRVMEDPFRCPRTETVREL ARILDDEQVVLVRGTPTSGKTTLAKLLDEYYERHDVPSVLIRSWPKDGYNLYTDILIQ RARRKGHTFVTENNIDNCNIVFIIDEAQMSYHDQTLWLEFIKPQISRLHGPRICLFCS YGSPTGGATNFDAGSPVGFIGIQKRVSLTVSNIRYAPSICLFYNRAEFDDVIHRLCAD IRRPLPLDDEARDYIFDLTNGHPGAVEAVIDILKRVYHSQIKHEGITVGVDHIASLLD DEESSFDLLALTGFMRCFPPRNVDTATANVLRAVLANQNVPLDRDDKGTEICYKNGWL HAEPLDIDAQTIVCIFPTKLHLKFVEYYLTGSSAPFPYQKFPSIESLAETVLRGFSLR NLASAARLGTGVAVRPVEASYQDEFYRSLQQVLGFSAKVTSEWTGDKDNRIDFRIDDP RWGIKLLRDGNRLGEHCDRFVGNGRYTPWIQSGLLQDWLVIDCRTSFPREYRVPGTKL WRAVFASDYTSVRILDASNQIVVDEFSLMS ACHE_20099S MARWLEENTCIGDNTIFYTTPANERDAEQFSNQVGGTYYGVLID QRMKKVNGATEDGIFWKWVDACGGTPEEENKVAHHVSQALAMKATGPTYLMLPKGATP KPSSFWLVDEWPMLKKRGIKVTQVQPQTFDQTPYNGP ACHE_20100A MKAKDEQLFQAASTGNLSTISSILAEYSPPPETLQQTIVKAIYP NANLSVVTELLHRQFPDLPLSEDMIRWSCYTGSIPIAEAILAKDPQAYTGSFDDESGT PMVLAVQSRKSYEFLSYLLSHGADPFLHHGRYAPLFPAAVLRYHGGLDAVAAMMDHGV NLQHQNILLGAVSHGYLELARYLLAHGVTPENDQSMDNQSKVPVLHIAVSNGDIRMVQ LLLEYGARVDVKDDQGLTTVQVAEKMQHSKIIELLKASLC ACHE_20101A MTPPPLPPANPDEHQYWTDPILCEETRTRLEHFRNLGWLPPNYK PKTLEGLAVVERYWRRYCAHSNEDYVEYLLSEDKAIYMNFLDWMSRTSREKRLQTYDE YWRRLCQYFGLFARQPVNHHVHEQMRRYLEQVFPAERKISCPRKRKRGDSFKSDLPQR ISSDDLPNTICYRDIELFYLRDPDGGRDVLCAIIEFHNLKGRPEGADGTKFFMHGDYQ LAYCPIAQIVSLAFRDDAFENELTSELIWRIKVPKRTRALPLRWKKDKLNLPLLRRVV RTPYGYGVHPTLPMTYDSSRLALKDLGEDRGFEDNLGHYNF ACHE_20102A MSRRGSSRARSSSSQVSLQRVATANSHEQYQNTELQNLEEELKI NNLKAELLAKQIEIKKRERELRELELENGGQH ACHE_20103S MGVGIVSSMEHFNNRGFAEQHGPITKVVVWAWDRMDGFEIWYGG VGIRRLRVSLKSAKVSLWFAFLDISTNISIQFNSSSAVIPKVMYVYGWGSSEGIEQF ACHE_20104A MHQPSTSPTSELEMLLNSTTPRSQHSQTRKNNDELTQYLERGLV YTPPRLFWKEHELEFPALSSLARDILSIPASGAGVERLFNSARDICHYRRGNLKSDTI KDLMMYMCTSKFEIKQEELDLIKEYLSAGEITGIEEEKALSQPQEDLEPISDNEEDDI LYNSQPAAPSERALGKRCRSISSEPRDEIDHELDDDDGDHPLPNIPEEKSSMQARSRR VRKQPKMPAGFEIDRY ACHE_20105A MDTQRATELVKFFGIPTRQLNTPIGTKGYDGRAGSTITDAIVCH LLVGKRRFLNQPFLIADLGQHDMIIGRKWFDSHDVWLNVKHRKLVWPEQRSCLDDIQS KQYLEAPKQILQRPKPDPTHQADMERRDRRIEKEEQKEQYRVPRKEEADRRSDMAKMS RALQGQEISTITTNSKPQGRTTDRSAIQIDIAAIGAAPFQRHLKRKDTEVFIASLSEI DRIIEEKREKERQKEDHNEQELVQQLLPRQYQEYADVFSKAASDELPPQRTNDYRIEL EEGKTAESEVGYSPLYKQTAEELEAARDYIVDNLHKGFIGPSAAPFASPILMAQKPGG GLRFCVDYRKLNAITRKDRYPIPLVDELMERTSGAKIFTKLDIRQGFHRIRLDPKSED LTTFRTRYGTYKYHVVPFGLTNGPAAFQRFINDTLMDYLDDFVTAFVDDLLIYSKNAI EHELHVKKVLERLRAAGLQASIKKCEFHVTRTKYLGFILTTDGIEVDPEKTAVICNWA VPTTVRGVQSFLGFCNFYRRFIKNYSRIAKPLNHLTRKDVPFTWTNVCQEAFEELKKY LTDAPILRHYHPELETKLETDASDGVVAGVLSQKHGDLWHPVAYYSKNMSDAERNYEI HDKEMLAIIRALQEWRAELEGLQLRERFNIYTDHRALEYFMTTKKLTARQARWAEFLS RFYFLIRYRPGRENTLADALSRPVTDIQKKDEYRHQILLKPETVEAPIQVNDLEPALQ VVDQILKANRNSATAEGYHKKAQEGKDDWTLQDGLLLKGNRLFVPDDDPELRTRLLDE VHAQVSTAHPGRTKTQQLIRARYYWPTWRQDAERYVRNCSKCRRAENPRDHVPGLLQP LPIAERPWQHISMDFRSFPVDKNGYDAALVIVDRFSKRPISIPCKKTATSEDVARMFI KHVYRHRGPPSTIVSDRGPQFVSAFWDELCRILGVQLKLSTAYHAQTDGQTEIVNQHI VNRLRPFINRYQDNWSDLLPMIDFAAATLPSETTEASPFLVDCGYEPRTSFDWISIEG SPPRDEKISRQRAQGTAKKMKNIWTAVAEQIKHAQDQQRKQADRRRRPVDFDIGDKVW LSLRHYQTDRPNKKLDSQMAGPFPILERVGNSYRLELPDSMKIHPVFSPDKLRRAAND PLPGQMTEPPEPIVVADEQEWEVEEVLASRLCRRRLQYQVKWIGFDEDRTWYPATNFK GSPHRIRDYHQKYPDRPGPPCRLQEWLKAWEEGVDEIEDHPDDNMPTQSLGTDSA ACHE_20106S MHQPSTSPTSELEMLLNSTTPRSQHSQTRKNNDELTQYLERGLV YTPPRLFWKEHELEFPALSSLARDILSIPASGAGVERLFNSACDICHYRRGNLKSDTI KDLMMYMCTSKFEIKQEELDLIKEYLSAGEITGIEEEKALSQPQEDLEPISDNEEDDI LYNSQPAAPSERALGKRRRSISSEPRDEIDHELDDDDGDHPLPNIPEEKSSMQARSRR VRKQPKMPAGFEIDRY ACHE_20107S MPHPHNTLGNDEWLLTGDSLWSENGVYEFRMQDDGKVVVYENGN PRWQNTKQQRSDVKGVQMQADGNLVIYTHDGEAVWHSNTAPGKDVILVIQNDGNLVLY KGNPVWASSTTPSA ACHE_20108A MDDWAEDRDPDFEDGQNALVHGGKLPSDVKTIRLFASLEPERVT RWKVVFKRFYGMPFERIDPVIHTLPDVLVDVMNKRASVHWLKKWRRPSNRDRQSTIIS IANDFIRCFCEKGATGVTDQSMAAKFEILKESWLIGMTQMR ACHE_20109S MPQRLPARFDSLPKLCKEILKKFSMMNLRHSAETEAQYRREFYT GFSHVAGQGVPITSEWSTSKDGRVDFYIPEREWAVKLLRDHDRVDQHISQFKEGGKDR PWLKEEMVKDWIIIDCATSLPTKKFSEPRLWHAVFINDHSELRLYDHQQALTMSVHLR N ACHE_20110A MPSPGPPLPPGILVDEETSPVYDSKYFYPAKPGEVLADRYQTLA KVGWGVSSTVWLAHDLQGHIEEPEGVVALKIANNNASSAGHEREVEEHISTADPSHRG RSLIRTLIDSFEVKVPEGSYSCLVYRPMREPLSMYQRRFDDRKMPLPVIKTYIRALLT GLVYLHEECRIVHTDLKLENIMVSFEDPTVLADFMDSQLEKPMAFKIDSAGRPVYQSR NDFGPLKGLRSIPQLVDFGLATRLDEDDDWGVWPIQPDHYRAPEVILGNGWQMPVDIW NLGVLLWDMIEGKELFRHIHNQQGRYDAKLHIAEMIALLGPPPPEVIQRYQYMREYSW LEPVRREDDRVCETAEEYFCGPFFDNNGCFLYEDLIPD ACHE_20111S MAPYGAETTATQLVNDYADLIKGKVVLTTGISPGSLGGYFVQAI AKAGPSCLILAARNPDKAQQTANEVSAAQPTVKTRILQLDLGLFKNVRRAADEVNSWD DVPVIDVLVNNAGIMAPEYKATDDGFESQLATNHLGPFLFTNLIMEKILASKSPRVVM VSSDGHRLNPFRFDDYNFDDGKSYNKWYAYGQSKTANMLMSISLAEKLGIKHNLLAFS LHPGVIWTNLANHLDMETDFDGLRVADRTLGNREGWGEFKVKTLDQGVATHVYAAFDL GLNAHNGAYLIDSRIGNPIADTIKSWATSSLEAKRLWSLSEKLVGQEFPY ACHE_20112S MRFPPTSVPTSLELIGNTPVVRLRHVVPDNCARVFLLMESFNPT GSYKDRMAKSMINETERQGHLRSGMTVVEATGGSTGSSLSFVCAVKRYPFHVVSSNAF SVEKLRTMTAFGANLDLIHTPTGKVTPDLIPSMIRRAEEVSEAKDYYFTNQFKNRAAL LGYETIGHELVQQFPEGIDLFCGAVGTAGMMMGVARALKEKWPETHVAVLEPASSPTI TESRPGTHHVEGIGIGYIPPHLDRQLYDEALRILEHDGRSMCCRLAKEEGLLVGTSTG LNVVAAIKLAKEIGPDRTVVTVAADTGLKYLNGSLFANG ACHE_20113S MRFRQTSSLVAAAIGVMSSAAAFENNAYDYIVVGGGPSGIIAAE RFVEAGHKVLLLERGPGSTVSTGANNTLRWDHNLTPIDVPGLSGDIATYDLWNEYLCT DTAGYASCALGGGVSINYMVFVHPPDHDFNDKWPQGWKWEDVAPAAERLYQRNPGSTL PSADGKYYDQGLYNVFSNFLDNLGWKSVDMIAQPNEKHQVYSHPAWNIKDQMRAGPVR TYLPLIQHNDRFTLSLGTKVIRLVRSGSQVTGVEVETASGQTEIITLACNGRVVLASG ALSTPRVLFNSGIGPKAQIETAQKSGVTVPSQEEWIDLPVGVGLKDHNIFSLTVKTNG TFGLLDSSSVLNGSDVANISQYKKHNGVLTQGKHRLIFHTSNEVDGQTRYYQGSCAPD DDSTISLSVYMTHGLTSSGILGINEKGKTVTEKSPYMQTAGDRKAARIFIQQLVDDLT APSTGFKLESYTNVSAVVEAHSPGGHYTGTARLGTDDGRNGGSAVVDTNAKVYGMDNL YIVDASIHPDVPTGNTMAITMVVAEAAVSKILAQN ACHE_20114S MADEIDVGDIFHDPEGYYPPEKEPTFAEHHMLSGQTVRVRLVGS HPLYGDLLWNAGRTSSHYLEQHRDLVDRKNVLEIGAAAGVPSIVSAILGAKTTVMTDY PDPDLVENMRYNAELAAPSIDSSKSSLYVEGYKWGNTVEPLVAHLPDNQTTFDTLIMA DVVYSHREHPNLIKTMQMTLKKSPEAVALVIFTPYEPWLLPKTEKFFPLAEENGFTVT KIFQKLMDDVLFEDDPGDETLRKTVFGYELRWAPERLN ACHE_20115S MPLIILSGYPSSGLTHRATQLASLLQKTQDDLFASGAIDPSRPR YKVTVVPTHDASHPRSVYDNARTEKMARGVAYARAKRALGKDTFVILDGMNYIKGYRY QLWCEAKAVGTTCCVVHVGTPIEQCRANNEAKLKRQAEKTPEQESSGDPSEDEGYPPE LLENLIFRYEEPSTHSRWDKPLFTVPYFDAEPPIADIWTALTGIPHPSATAPQTSSIT PAPTASIKTTSDTDSIATGATGAPGTGLLTRTRPKIKPHAATVLPTATDSSALYSLEK RTSAIISSIRTFTLSNPFATAILSNLPPNAPNGITIEIPDVSTPIFIPAHVAALGSTD ELAGAGGVLALPRLQRLKRQWIGLNRAYVGNYSGGKGGALREEEVGGAFVRFLNAEFA GEGS ACHE_20116A MHTSLGSIHVSNMTTGIRPYKYCLSLSFFTFYFSFVDALFSRSF DHFLCLINFTFTIVLSLFSSFDSNIEIDILDKNTPESYKARDTQKPGQPRPDKKKKKE KETTKKGGKQK ACHE_20117A MSHIQTDSRRLALSQTALRNMDQFSQPRHAPIGFTNLASLKVED DDLSSQDNYSLCSSTNAHGNPWSLSDADVEAGPVSKAESPEVQMLSFNFSQQLVPSTV GPSEVMYQPGSDFQVIQDNEMDFSQSRGDFNAYSAFLDFSAFDNDSSVHNGSQSCTDD SLSVGHSSHMDDGQMSANDAWNSMLHRTSLDGLPSSIFQTAPVSPPLTEASNDFSVTS SCSQSGYPSFMTQDDAMLKDVTATPSLSSHGINLGDPLFPLTPPLNEQDPNRTIRPSK QARRPALQTPPQQMKQEEFFHPLPARRGSKDSTDMKNPRDHPYYSLPTNSDGKYYCPF GNGEKPCSHPPTTQKCAYHKYLDSHLKPYRCKVPACMDAQLQFSSNACLFRHEREAHG LHGHGDNPHLCLFEGCDRSVPGYGFPRRWNLYDHMRRVHDYTSSEHPSSPETSPTSST SSHASKKKENLGRKKRVLGPSGTTTMKRTRSTSQTSAIKAAHQATAHHGQRLQNAERN YYNCRSRLLEEISKIMPQDSGMHEKVNASLQELITLGLNYRHIEASQTAAKLASGLA ACHE_20118S MNWTGGRLQRHSKDPSTLQKQRFAKPRSRDDDAQVPEIFQSQFH VPKSQKRTLSGEPDSRDQPSRNLHGLSNPPACASNEPPQALSRLDRIKRQLLGTNDWA AIGVTRPVKMPFRSIEELERFGKRRKLTEADHNRLVPSGGRISHPRPRQGPRERASSE FGMIGKVDIRIHRPWDSPESSSNGRNKNSNESSQPMLLDREDSDLVDRSLSTDGGAYS PSKGRTISSQLLLSSQNLPRKSPSLAPSDIVLPIESVSQEQEQQYANLFGPHPYPQPS RSLMRLDSYTPSLHQPVPQFPRRFTIDDQIAAELEAQPSREMRDKAQLQLSDAGDSYA ASECSSKRMHDEYGLSAAAGTQFISSNQFSGWLPEPKHHVQQFVGQEDPSANTSHTVT VSETVDRGQPTYDAELGLRMNASGSYTSPIKIFDHSVGLNETMEDIQNSQQPVYNTNP RFNPPQSYMTPSPQHARPQEEFDPERYMLNGSIKRAMNQAFNDRPRKDFEPYRNTLFT PFRQRISRQPDVNNLNFVNDVVEQQTVYEQYLSTSTSSKQEATDSRQRFIATSSPNLL STERTYRKPF ACHE_20119S MFENVQQGPVDPMFVLKRDADSDASPDKVDLGVGIYRNTEGKYH EMGVLREAKRILEQNNPGHDYESTTGKPAFLKDAAAVMFGEECEALNDGRITSVQTIS GTGANHLAALFLARCAGSKQNTVYVGTPTWGNYEPLCSLVGLQVVKYRYYDPETATVD FTTLLNTVSSAPPNSIFILQGCCHNPTGADLTPSQWDALAEAMKKANVFPFLDIAYQG LGGSMDEDAYPIRLFTEMGFEMVVCQSFSKNLGLYGERCGVLHVVNSNAAIASRVYDQ LRCLIRWEFSSSPAYGSRLASIAMGPDLKGQWSDELVAMRSRLLDNRQKLYQALQNTP GNWDVILTTKGLFACLPLNPLQCQQLRTTHHIYLPDNGRINVSGLNPTNVNQVAAAID SVTRI ACHE_20121S MYSLPLLLPFLIAPSATLPHQQTNSHPETKSPDLTPLPLVIWHG LGDSYQSSGLSEIASLAASTNPGTYIHIIHLSDSSTGDRQASFLGNVTEQVDFVCEQL SSEPIVGTAPAINALGFSQGGQFLRAYVERCNDPPVRNLVTVGSQHNGISEFQECMAL DLICKGAEALLHAGVWSDFVQGRFVPAQYFRDPRDEESLGKYLESSNFLADINNEREG SRNEAYKKNLKKLNKFAMLMFEDDSIAHPKESAWFAEVNGTTGEVTPLRERDVYKEDW LGLKELDEQGKLDFLALPGDHLQMEDKQLVRLFQDYFGPVEVDLPDVMPAKGAKGAKH AEKVLVQQY ACHE_20120A MESMRQSCRPKHQVLILKCFPQYQKGVQEVKPNASELSYLLYYV STRRSKLTKVCSFLEKRAARDVWRRKLGNVQVTLQILTALMEKVPRDLPIYARYVLSV IDIVLRSEDISMVEDSIATFETFCQYQDMASLAAEQELSTQYQGVVRTYASYADTKSS SQKTASISPMSIRWRNAGLRAIRGVVGSDETLATDGGDSLKLILPVILENLYTGEEDV LVLLQLKSQEPEKTQPELAPRRRVSVATVQTVDAAEGDPALASQTTADADRKAEMDVR LLALRCLEQIIVSGSSRGQIRVTTITILRFILAKAPISNSSQEKAKGDTWATSLMELV AKWCPVQVRFIILNAAMEVLLETNPTEENLDRSATMVYMVDWLLKSSVNMIGLSVMDV LLGLMRYLSSVLSPGSEKVANGESEKHTNEAPLTERRKELLDLLVGSVGHLATHIYYG DQITDMVRTILSLLKPGANHEHSMTAPADTLDPSAKPATPPGEHAVAFSSLTAKIAAL KAVKNVLVVASGKKPAASAGVESRNRVGIHVWEDTQWLLRDQREVYYTYADALLCWLR LETNETDARVSEPIGRPANLLAKQLPEISERSGKLGSNSGNHRAKAVQVAQSNFLRLL HLTIYENALERSSEESETLLLHLILTNLIDRLGVNAVRYGLPMILKLQSDVSAASLHS AASKVNIGSLIFGYLWKLTEKFDLDAYRVGGAINNEIDKRRNFGVWLDSVRLPPADLD AITPIAGKETSPGGLESPELLNPFGDDVQELVDRIEEAYNGSIPTPVQSPPSSPERGG ANGTAPAIPEKEHLPASVKDEMLAGWDKEACLAAVQKDKARTLSINGSKAGTFIMRNH FHGNGFRDSSLSTASPVSALDGSGSITGGAAGLPDRRRPSVPEYTGTPVNSSSRGSAV RVNELRRVLSNKHDANARRLSPLRGRLDASNDSIISSSSESMVSGYSASEFDGDGVSS RPQSVVEGESTPPGDGVETPRASTFVLTDGQSKAGGIPPVPPIPPSLSIPSMPSLPGG FPSDSSQASTPLGERPVTAPAPRKQASVNGSAGAYHAYRPSNAGTLNRSKSRSSTGLA SAAHVDGGYTEHDHGDSQRQLNDFLSSRDAERPKGTRRTGGISSRRPAAIGGIGRPPY ACHE_20122A MEAQPPEQPQQQPSPTLTNPRFTLELEFVSSLANPYYLSHLAVT YPNLLGISRSSDDTGNEAIDSSADPDAQAFAAYLAYLYSYWKTPEYAQFLTHPGPTLR ALRLLQEEAFRRDVIRPQVIEGLAGLGLGLVGEQQQQQQQQEGAQEGGDEQGQESTEK QEGV ACHE_20123A MNAPQQPLPYAYPGGVTLGPSDGQNSPQLPVNLASNNPFRNRAL SPAVNAAPRPTSTNPFLDDSDAISPQSAPGVSMMSPPMQPLQPVKPVQPVPQEVMGNT SDLFASLSLNQAPQSNGHRPPPPRPDDRLKQRPTTSRRPKEQSSRREKDPLDIFADPP TLTKSNTTLGSRERERRPRRNSESSIMERPKAMDPEEERRRRERRRREREARHRDGKS SRSKKANYHMDIIDKLDVTSIYGTGMFHHDGPFDACNPNRNRKGVRAAPMQAFPQDST NMALGGAGPVNKNIDLDLFHGRTAEGWNDFATTGTAPDTVGRSAEGVSFDPKSKLEPV HGPSSMGLGTSTFLDGAPASRSAMQRRASENEQGAPGGGGLQRKKSLAQRLRGINRAP SSRVVSPDASYPGPAGLSGQPPRVPERNPSIQDYDEEWDKKGAKINTAEDSRPIPEAG RARSSSSPKQSTFSNERSNSGFDEGKPNNGGGGLLNRMKSLRKPRPERRVSDD ACHE_20124A MSSPLRPSQSAANRGLGNLNRRKRSREPDDETSSIAAPPSSPPP SSPPAPFGDDESDRDEEAEALGDVDDLEEMAEDEDGIDLFGDNFERDYRSTANDQYRG EYIDDDDQVQEDIDLGARRQLEARMFKRDREAERRRRMPAAFMPDDDDGDLDLTRQPR RRRNRYDEDREDVDMGEEAMEELTLDELQDVKASNLTDWILQPQVLRSIYREFKAFLT EYIDAAGSSVYGNKIKTLGEVNSASLEVSYNHLAEAKAALSYFVANEPTEVLKVFDQA ALDVTLFHYPQYHDIHNEIHVRMTDVPTTYTLRQLRQSHLNCLVRVGGVVTRRSGVFP QLKYVMFICQKCNITLGPFQQEASAEVKISYCQNCQSKGPFTVNSEKTVYRNYQKLTL QESPGSVPAGRLPRQREVILLADLIDTAKPGDEIEVTGIYRNSYDAQLNNKNGFPVFA TILEANHVVKSHDQLAGFRMTEEDERQIRTLSKDPDIVDKIVRSMAPSIYGHQDVKTA IALSLFGGVSKEAQGKMAIRGDINVLLLGDPGTAKSQFLKYTEKTAHRAVFATGQGAS AVGLTASVRRDPLTSEWTLEGGALVLADRGTCLIDEFDKMNDQDRTSIHEAMEQQTIS ISKAGIVTTLQARCAVVAAANPIGGRYNSTAPFSHNVELTEPILSRFDILCVVRDTVE PTEDERLASFVVESHSRANPARPRRNEQGRLIDDDGNLIDEEGYRVDKDGIRLPLRPD ERQRREEEQRKAEEEKEGEIPQELLRKYILYAREHCRPKLYQIDQDKIARLFADMRRE SLATGAYPITVRHLEAIMRIAEAFCRMRLSDFCSSQDIDRAIAVTVDSFIGSQKVSCK KALSRAFAKYTLSRPKPQSNRRAGFATQNPLLKRRQQQQQREQSVA ACHE_20125A MEALRPHGGGISHASPPGVGNVVSQFVETEDMPVTPTPTRWQSG NMMQPEFNEGSMESASMDENGGQEHWQTGGSSRAPSRASEARSGIRQRKILQKSLIGR PRHHTSLLDVSKQAQMLVGALETAQTQQQEIYHMVQEQVQAHLAEELSNWRAEQQTHE GIYLERITNLEQEVSKLRTELTEARHTIQQPAPVRQETPAIDTQPNRVNKHVNNQTPK VREMSQQLRQEPSFADLAALLSTRPGGQEWQEVTKKRPKNRQIQAVAVANQPDPTKLK PAKDSPKEARRCHNLASLVSYLGF ACHE_20126A MARQWKVSVLALHGASNTIYANGYMQARVYVEIKAVDSVDNLVY LTPEELKTIQLIDYHTGETLGPDLIYTDRENEFNHSVDPVAISATIANESTEHPASQE KLYWVSAKKVDRRDIGACIKQPDGTTISTQRGTDYDSHDTIIATNPYRYTMDSIATVE KRYTEEGIWKVNFQHALREDHKWKQENFFITSKRHPFKKAEIHECYSDDPETLHNCFC LRYQEKDALRLYYIWDYATEDTKHVGLHKREAKPFWFVDNDATAQIRISQKPEALCLT RMVFVTADLTDLHNIIKNEEPWQRNAWFRFFDVYGNWGDFYVKPSDLGDDFVVSDKN ACHE_20127A MKEEMHQEVMNLASSHSKAKAELDNIRDEVRLRCLNEADIIGLT TTGLAQNLNMLQRLQSKVVLCEEAGEVLESHLLTAFLPSIEHAILIGDHLQLRPQVQN YELSRENHRGGAKYSLDVSLFERLVETESTMSSGLPFSTLETQRRMHPSIAQLVRDTL YPQLIDAPSTLQYSEVAGMRKRLFWLDHRMFENDASSANAMSTSHWNDYEITMAAALV NHLVQQGKYKKRRYRSPNSVSRPAPSTSPEA ACHE_20128A MQLLDLPLEIFRVILGKTVSTLYCEELIRLQLVNKFFAREVIVA TCSTHHFRDSGCWMPFAPYYLQSKALTPGSMNDYFALTVRDTTNQMLGYLAGDENQRQ QLSLAISRAAIEFKAPGIYSSLTNAETLQPQISQRSDAQEHLLSAAADIGDLALVQHL AKSVNVNIGSYVFGKPLLNAAWKGHLKIVQFLLTEGADAEDGVQPQTEQDSRNGHDEN AMEKITVEFENPRPGTALEAAALGGHEQVVRLLFQPDAKVSRSSYSYFKAITYAAMSG NLDLLGLVSEEADFSTLSEITTQELWNHTLKGAAWHGRTKTIPFLLKAGAHINHEEPF ERGWTTALGFAALRGHNDTIRLLLEKGAAVDGGRRCEEGPISLAAHVHGFPRTVELLL DKGASVHPIKSRLLEQAVMYSPPSVVKVLLEKGVQRRREDGGEAALDIAVDEGLVAGN GGAKKKGVVGAASPWPDHSYNHKDHDQCAHAAAILTETAELKQIADRTQGLPPRDLVI RFMSSVEDLAAKVRDRTSNNGSEDHDGQKKVLEQIQTMFDKQTQEMKALQWQPPKVTS SPPASSPSGHAKSFRDAALASHLTSAKSSLVSGWMQGNTNGSKEARVVERANRAIKES NNNIIAHRSVSTGRILPSGDIILRAESLEDVEQLARAAKDWCLAFGDNATIRRRTYRV VMNGVNCQLDLAAAPACIKADNLGRLASAPTVITYTGWLLGPRHIAEHKPETSKLIVE FDNDRAANIAILLGLALNGRDHPCEYYDQTHRIQQCFNCQAYGHIA ACHE_20129A MILGLAWIDDQQVFIDPNGPKLRFTNGIVVSSMEDQPRMDIQPI GANAFALWNRQKKKDSSVQIFAASLKDIEKALRPKLPTDPRTKLPPHYHKFLSVFDRK EADKQPPHRGPNIDHKIELNKNADGTTPEPPWGPLYNMSRDELLVLRKTLTELLEKNF IRVSNSPAAAPVLLVKKPGGGLRFCVDYRALNAITKKDRYPLPLINETLERIGKAKWF TKLDVIAAFHKIRVAAGDEWLTAFRTRFGLFEWLVTPFGLANAPSTFQRYVNWVLRDF LDEFASAYLDDILIFTDGTLPEHQEHVRKVLGRLQEAGLQIDIDKCEFEVKSTKYLGF IIEAGKGVSMDPAKVEAIMNWAAPTTVKGVRSFLGFANFYRRFIRNYSELTTPLTALT QKDKPFVWDDKCEESFQQLKRMFTTAPILMQFDPDRETVVETDSSGWATGGVLSQYDD DGVLRPCAYFSKKNTPAECNYQIHDKELLAIINALKEWESELISVVNFQILTDHRNLR YFTTMRRLNERQMRWADLLSRYDFTLHYRPGKLAGRPDALSRREQDVPALGDERLKHR EQRLFDPEILKDGPVEGSSKRGLVEEPHPINVSRILLAPVGTEPYNSEPSTPQGYEQA NEPTNLNSEQPSLEELLDMTLDEHWARVEPLDEKYGRIREAVQVGAPQFPCELGIKAS ISECSIELNNRLCYRGRRWVPDIESLRTRLLQETHDSVLTGHPGRSAMYAILARRVYW PAISEDVRRFVRNCDKCSANNVWRDRRQGLLKPLPIPDRKWRYIAIDFIEKLPTSNGY ENIMVIVDRLGKGVIPVPCEKINTYTVAQKLIQSFIGYHGIPASIVSDRGRQFTNEMW KRFCELLGIKRQLSTAYHAETDGQTERMNATLELFLRSFCDHTQSNWASLLPMAQLAI CSRDAASTGVSPFFLDHGYHVDPFQLEEDVEINLSAPDLGTMRERGERIAAKLRGALD IATTELAVAQQKQEDYANRRRDVAPEYQVGQKVWLDLRNIQTERPSKKLGSRQAKFTV LEKIGSHAYRLNTPGTIHDVFHTALLRPAAMDPFPSQRKDDYQPPAEMINGNEEYMVE RILDERFRRWGRGERHEFLVKYIGWQEPEWNDARNMEDTIALDDWETYKTMNGIVIQS ALSIPNEPPHAGGRSRRRRGGG ACHE_20130S MDKSEGTRASRDQETPKATDSIAMRRDSLASHDSRTVTSEESHT AKEFIENQLQLEADAREVLPYSFDSCSQVLGPLRQSLFACITCNPATNPDESYTPAGV CYSCSISCHGEHTLVELFGKRDFVCDCGTTRLPPSAPCTLRYDPKTGQKGVHSQEPAA NNKYNQNFQNKFCGCSEPYDAEKEKGTMFQCLGLGTVETGGCGEDWWHPECIVGLPRD WHNAEQKEGEEHNEDEEPPLPPGFPAEDDFETFLCYKCIESNPWLKRYAGTPGFLPPI HHQGDQSKVPENSDAGKEDSNTTSQEHEGNAKKRKLEDEEDEPAAKKPKADQTTPADP EPKSEPKSKQSKPEQPQQTTNKHDTLPKTAPTGTFSLFLKEDFRDHLCRCPECYPHLS IHRQLREEEETYEPPLSEAGDEEPNGGGSTGTGSLLDRGEAAFSNMDRVRAIEGAMVY NHLRDKVKEFLKPFAESGTAVGAEDIKEYFAKLRGDDPAAAASGDGKSNDNESGNRHE QSGY ACHE_20131A MSLTNQQPSSSGPLTASSTRPAVATSTAVSQSLALKRSLQAAVD ETIDTRRPAGTGYTSKVRVRDKYHIVGFISSGTYGRVYKALAKDGRRGEFAIKKFKPE KEGEAIQYTGLSQSAIREMSLCSELDHPNVVQLAEIILEDKCIFMVFEYTDHDLLQII HHHTTPQRHAIPAPMVRSILFQLLNGLYYLHTNWVLHRDLKPANILVTSSGAIRIGDL GLARLFYKPLNSLFSGDKVVVTIWYRAPELLMGSRHYTPAVDLWAVGCIFAELLSLRP IFKGEEAKMDSKKTVPFQRNQMMRIIEIMGMPRKETWPGLVSMPEYSQLQSLALSRAS SGHHFSRPPSLESWYTNCLKNGGYSSTSSIGTPGAEGLDLLSRLLEYDPTKRITAQEA LEHPYFKEGGPISADCFQGLEGRYPHRRVTQDDGDMRSGSLPGTKRSGLPDDSLLGRA AKRLKE ACHE_20132S MAFSQYKVTPYLVYLVFITTLGPLQFGYHLAELNAPQSVITCER QSIHSSVANLSPQCIHMNPSQFGLVSSIYTLGGLLGALLAGPVATRYGRLIVLRATTI FFILGPLAMTMAGSIAVISVGRLVAGVGAGAAIVVGPIYISEIAPHSARGFFGAFTQI MTNVGILLTQTLGLFMSRGNKWRQILFIAGVIGFAELLGLFFVPESPIWLAEHQKTTQ ARQVLQRIRGKNADIDTEIEGWNVSTDPADPSAEEESLLEHPSGEASSKQPPVTILRA ITDPEYRQAIIAVIGVMVAQQFTGINSIVMYSVSLLQTILPTTAALLTVMISAINLVI TLACSPLPDKIGRRKCLLLSITGVGIDSVLLALGIYFNQKALSSIAALLFVAFFAVGL GPVPFILSSELVGPEAVGATQSLALGANWIATFVVAQFFPVVNDALGGRGRVYWIFAV LAAVMGSFIYRFVPETKDKANADEVWGRENRRRD ACHE_20133S MVRLPIPQRLSSHLSSKTPTPGQSRTPSPSRVSEKPLILKVAVL KGRDLAPKDRGGTSDPYLIVTLGDSRQSTPTIPKTLNPEWNVAFEMPVVGVPLLECIC WDHDRFGKDYMGEFDIPLEDIFQEGEIQREPKWYTLESKRRPARKKDSTVSGEILLQF SLVDTANPMASPQDTYRKFQNLVCFGEEEDYFPQETSQDLEDVDRDEETSDDADEPEV AEKRRKRLRLRRLRNKSLAARAYQFSGVGNGVQGIVFMEIVRVTDLPPEKNVTRTSFD MDPFVVTSLGRKTLRTPVIRHNLNPEYNEKMVFQVMRHEQSFTISFAVVDRDKFSGND FVASAGFPLQTLIQSAPESDPETGLYKFLDPTLDPTGTEDAASKSSFRVGTSPSSSTQ KLPMRPSLKSRNSGTSTSGRSISGHSQHDVPPSIPPIIVPEVGEEELAAPEEVEERDE ESKGAFKASANATSDGGIAPLDTDGLKVYKIPLILKHKERWEDKHSPELVIKAKYLPY RALRQQFWRLMLRQYDADDSGRIDKTELTTMLDTLGSTLKESTIESFFQRFQAENEPL ETMDLSFDQVVICLEDTLQNLQKDPRVAMRAHTHTPSSGSQDSEEPSSSDDLAAENST SFPSNMDTQGTSVPTLPSDEQPGPNEDDLQPDDLGDERGEEHVVEIRECPLCHQPRLA KRSDADIITHIATCASRDWRQVDNLVMGGFVTSSQAQRKWYTKVITKISYGGYKLGAN SANILVQDRITGQINEERMSVYVRLGIRLLYKGLKSREMEKKRIRRILKSMSIKQGKK YDDPDSASQIKDFINFHQLDMSEVLLPIEQFKNFNEFFYRQLKPDARPCSAPEEPRIA VSPADCRTVVFDRMSEATSIWVKGREFSLERLLGDAYPEDVNRYKNGALGIFRLAPQD YHRFHIPVDGVMGTPKTIEGEYYTVNPMAIRSALDVYGENVRILVPIDSVAHGRVMII CVGAMMVGSTVITRQAGEKVTRGEELGYFKFGGSTLLVLFEDDKINFDSDLVENSRGP LETLVRVGMSIGHSPEIPQFEPDFPKKENVTVEEMQAAKRRIEGSLAPPTDPSGIQ ACHE_20134S MTITVSATTTTTTTRTKRREPLGTIDMATTQAQARPAPSAGSAR GRPRRASARLSMTNQERSEENMNVNGSKAEKKRKNASFDEDVEGFQFTRLPSKKPRPS IEAIPEVPSSVPEDAPRQASPPRRGRPKKQAQPQPQAQSQEKFTDVVEERPKRSTRGT RASVEPEPQPEDTQRSRKRDQSDAAAAEKKRRKGRPSKSKPDERNGFVSPEQQGTKIT LPMADTPVMQRNKEMRTAAKSEKGNRRSSLGMRGRRASSLIDSGASNALPHKEVNTAD FYKHIGPDLPEPRRMRQLLIWCATRAMGDKPSGSRSEDESARLAARVIQEELLKDFST NSDLSNWFGREDSAPPAVVVKKPHPKNVQNAEKIKELEEQIQRLQRERHSMNALLRPP SIPRIKPPIPKPDEPSQPGSAQPPRPSETNTKIDISFLDPSQQKLLASLEPTTARAPE PEPSSSSTSNTTPTPLPPIPPSAVASRLSRITNNLAPTLDSLAAGIHDIELYRSMSDR VSSRVLRICAERLDERDARNAMYRLSIEGSSDGESEDGNDSKKEKVVLRERPREDLGV ILGALSRVERR ACHE_20135A MRCWNLAICVLGPVVETHLDIFTALALRKVKEWKPDYDEYTEDP TGSTEPFKILAFRNLIQKCQNKERKKVPQGNPRPTLPFSRPLYLPYEIRCLILDCLDY TDIPILKSAVQYHIGESYWRARMAFYLIGINDELSRIENEEIAWEHLCLETEKLDATT DIFKTCRRAIRILTVIKEKLFKMLHEGYIPALKDVINDVQGDDTGILGLG ACHE_20136S MVGGYFDLPVAGSSRRSSMTDGSGGVSRSSPWPGVSPLPTPNEE QPLDPTGTRSRNRNAGILKSTNRVKFSVSEYGLDQRPGRSASETATTLPQRPMPTASI PSTDKVSAGAQSLPLVDISTSSPTPVNGITIHSRASSPGDETSTRAIHSAQERAQRLA SLLGRSGKSPKPSPRSSVISSVASTPTEVALPSEDGDDIPMISLPEKQFKDYDDFSDN ERDAIDGGSSSSSQAHRLVQRMTRRDFGFMNRIRAPSPGLCSGQTTPSRERDPDGYVE RPSHYRGGILSALLKLSDQRDTNSQYQRGRYMHSQQGSTSELSARGLSPDPNWKPLPP RPRKWYEKSPNVSSTSLSGSTIKDSISSPIAMLKRSRSNSGKRMGRPRLEEEIRITVH IAELLARQHYLIRLCRALMKYGAPTHRLEEYMNMTSRVLEIEAQFLYLPGCMIISFDD TSTHTTEVKVVRSTQGVELGKLADVHVIYKEVIHDVIGVEEATQRLDEIMKRKDQHHV LFRVFIHGCAAAFVGPFAFNARPIDMPVAFALGCLLGVLQLVLAPRSELYANVFEVSA AILTSFLARAFGSIRYNGEPLFCFSALAQSSIALILPGYIVLCASLELQSRNMVAGSV RLVYAIIYSLFLGFGITIGTAIYGCLDSTASTDYTCPPSPIPNEYLQRFPFVILFSIC LALVNQARWRQLPIMLFVSFAGYVVNYFATKRFATNTQVANAVGAFVVGILANLYSRL RHGLAAAAMLPAIWVLVPSGLAASGSLISAIVAAEQMNYSPSPYAVVNNGTQGFMDAA KNMTSAQTRGVTFDVAYGMVQVAIGFTVGLFLAALVVYPFGKKRSALFAF ACHE_20137A MDTGGLAQMTYNSSFNGGSIGVPSSSFSSRGKGSHIKRLSVPPP HISTIDESQPSAPLQTPRTSRSHLLAGLRTAPKSATIPSAHQQHLGQDTRRYAARPDR VAERVPQTATGTGFPRHSFASNQNVDMNTGRPMYTLPEQVLAPPALDVGADLPMDDNL YAEMMSTNLFLAAQQQRLQQQLISVTTAAQQFQGLNLGMPVAAQQSLPSLSLPSMGFY QQQLQQGVQPIVQPVLGQPGLFSVFNPLTGQQNYIYDNSAEQQQDAGSSFYQEEQRDH FQEDLHVPTFRAEVSPPPESRQPQRSVSPPKSSPPPQEVTPLPPPSANAFRRGHKKSS SFAPRPLDTAKANNTVVNSAAPKTAALPPKTAALPQTPATGTFGPGQGRAGEHPIRQP RGPPSIEELVAKPTSKHEGSKNFATRQRRRAVNNLVRAGIERRGETRSFGYHSSGGTN TPASEKEFTFSDNDDATVRSGSLSSKPSLGSLRAAANGAIGSERKERSSRERKSDSPY SGTPTSEDGGSFFGPSGKFADIRADHVSSPTGTPSVAAVVAGQKTAAPGPERRKTPML VLSSAEKRKTPIV ACHE_20138A MGDVDFSKIYSATYSNVPVYEYKLETDSVMRRRSDDWINATHIL KAAGFDKPARTRILEREVQKGVHEKVQGGYGKYQGTWIPLTEGRLLAERNNIIDKLRP IIEFVPGDRSPPPAPKHSTASKPRAPKGAANARRIANEDVFSAVKQHHPMGPPSFPHE QYEMSTGLEEDESIEQATLESSSMVADEEMMPMSQHGGAYSRKRKRGMNEVAAMSLSE QEHILYGDQLLDYFMTVGDAPEATRIPPPEPPANFQVDRPIDDSGNTALHWACAMGDL EIVRDLLHRGADVKALSVHEETPLVRAVLFTNNYEKRTFPALLDLLFDTVSFRDWFGA TIFHHISETTRSKGKWKSSRYYCEILLEKLRQTCSQDELDLLLSCQDSNGDVAALVAA RNGAFRLVNLLLTYCPRASDLVNEKGETATSISQRAQTAERDIPPAPSSITMGNDHID GEVTGPVNTDAQVDAPPPDPSAATSDLLSKIGVIMAEASKKLATSYGNTKPNQQDSDD IANPETLYEQLEQDRQKIQRQSAALAAKEAKHDSVDAQLGRYDQLKRNYESLLEQVQQ SRLLERAPTSEDNKPPSTRDHNELMTTYYLSRQLCSAQKVRRTAVKDLAQQTADAGVS TKFDVHRKLVALATGLKEEELDPMAAELAETLEFDRMDGKGSGAQSPEPQRQQSSQSK EPASLPFAGPVPVDA ACHE_20139S MTVTETIKNTVGLGDSTAASRQEMSDARLPLQYRDSCANLLIPL NRCRQAEYYLPWKCEDERHSYEKCQYEEFKKRVAKMDELRAAKDGARSN ACHE_20140S MGLLALGTALEWPEAKQRAHQVREWGIEQLLAIWNRAKGKERDA LLWGDEVEYLVVAVDEHAKKARLSLAQADILKSLARDEALWKSDGGENQDGTGAGAEP PHFHPEFGRFMLEATPAKPWGIDFKDLLKVEGNMKRRREVAKAHMASNEYPITMTTFP RLGTKDDYIQPYYPPSGPALRSQFVPDEIANPHIRFPTLAANIRSRRGRKVELNVPVF KDTNTPSPFKDPTVNYDLHIWPEDDDVRNGAAKDDHVYMDAMAFGMGSCCLQITFQAK NINEGRKLYDQLSPLGPILMALTAATPIYKGFLVDTDSRWNQISRAVDDRTPEELGEL PLKNDRWRIPKSRYASNSTYISQDPRLRKEYLDPDLVVDEDIKKRLIEGGMDDLLATH FAHLFIRDPLVIFSEDLEELDLNKADHFENLQSTNWQHMRFKPPPPEKNDIGWRVEFR SMEVQMTDFENAAFSIFIVLVTRAILSFDLNFYIPIQRTTENMETAHARDGVLDSKFY FRKDPFPRRVRRQQHSSNGSNMSSATSSAVNTPPPSPPLAPVEMEYDLMTISEIINGS TDGSFPGLITLVESYLDSVNVDVETRCSLASYLDLIRKRADGTLWTGARWIREYVAKH PAYKQDSVVSERICYDLVKAVDEMAVKEGKNGSVGWEMLRGEKK ACHE_20141A MCFPNQKLTTYRTPTTFPPPSNPKSTRTTKPDTATAIATSLTQQ TETQAMLSVTTGFLSALSSKSREDFDRYCVRAGGMALFPPSPAIPRFCTIGSFVEQIS KLRDEIDERIWDPEVTVSGDGGMGFVWAPFRARVNGVLDHVGVELFVLHKIGGEWKVT GMADSCRVPTEEERVSLN ACHE_20142S MITRDSVEGPLPSFDLLELRWVLDRIARLAGTEEEFGHEDWEDK SEDFNSNTDVERKEADAAVTPLPRKIDNAA ACHE_20143A MGSLDAGPRHRDHLPAIGFLGKDAKHPAIAGTVSSPAPLISPPI MYSSQPPSYPYTTPTASNGSLPSGYISPPESRRALEEEKERQIQPQRQSLPSIHEALG NDNPLSYGGPPTSAPTQQVHPSYAPPPHSTSPGFTRSGTEAPTGPPGPPNPFSFSHQH HQQLQAEASRSSLTSINTQDSRTASLHSFSSGRSPTQSAKTGITANSTYEYSAPPSAG GIASPNGYAPPYSQSFSFQSQPTAPAYPSHYDARYGWKQGAPEPVRVEEIKNGYTRSP LPGHGDSMKRHLEIYDVEASLNEISEMSTRTLDFSRHYATRAHQTQRSGPVMGSLPSL HEVEEMINVQRQNQEALMRIRNAVLTQEHAMAEQMAQRKAYKTNGVDEEMAMYQEEYK GSGGFAGAEAKKRRGKAAPPGRCHSCNRAETPEWRRGPDGARTLCNACGLHYAKLTRK MGANKAPLGSNLKPKTPLGSASPN ACHE_20144A MIRSVVGLLALWAGVQAAPTRRDTSSPVVSVKNGSYAGVTNTKY NQDFFLGIPYAQQPVGDLRFTVPQSLNETWDGERDAKAYSDICVGYGTDSIWYPMSEA CLTLNVIRDSSVDENSKLPVGVWIHGGGFYQGSGADERYNMSAIVSNANKIGKPFIAV TINYRLSTWGFLSSHEVAESGNTNLGLRDQRLALHWIRENIASFGGDPDKVTIWGESA GAMSVGYHLTAYGGRDDRLFRGGIMESGGSISASALGDETSYQDEFDALVKEIGCEGS GKGALQCLREVPFEELNGALNGTGGSPAYGFSPVIDGDFIRKRGSVSLNDHEFVQVPV IAGTNSDEGTSFGPTGINTTDQFYDYLTGNQSDPPLPSPVAKNLLSLYPDDPSQGIPA YLGDARIASKGLQWRRTNAYAGDYMMHANRRRQCEVWAETSTPAYCYRFNVRSADMPY TSGSAHFEEVAFVFNNIAGLGYHYGKPFKAETPESWVRLSEMMASMWAGFIYELDPNG VGLYSGDFVRWDGYADGNEVDILFDADANATTSRMEGDTWRGDAIEYINSIADVYER ACHE_20145A MSMNYNSTPRINNPFKVDGEFDFRLAKASDLLDIQKISKQALRN TVTVLTRDIPSTLQTLQGNMPFPNEDDNTAAYPTYLSVPILIARNTTNASGENKPRVV GYAFLGPRRKSTSTTGDAWTMEVRKLAVGGGKWYIFPVEGMAGTYGVSINTAYLWFDG HGGNGDGEGSEAGSAESEGSAGSEGSAASYDSYGSERSQGSQTSVSSEKNSHESDDDK DEDSEDEEDDWDDDDDPYGEVEDDDIEYD ACHE_20146S MASLVPLSEIPTASLLYKLKRLAPASSQALSPSQTFNDKISLIR NDITKLQVDCIVNAANESLLGGGGVDGAIHRAAGPYLYNECRTLRGCETGDAKVTSAY NLPCKKIIHTVGPVYRLEEWPEYLLRSCYRRSLELAVEHNMRSIAFPAISTGVYGYPS EEAALVALDEARQFLEHPDNIGQLERIVFCNFERKDEKAYEKWFPKFFPPTEQDLPHS STQQNSRSKADGEFSPSPEILADKLPDPPTEDPSLDGQSEAKRQKLDSDDLGHSSITM IDERSEDEWEEVNRSEDEGDKFERLRRSEIERSEETDDEPVEVDRASSAADVHSVQSS GILGMDGSHEYRSVGNMLEKDW ACHE_20147S MLRPQPPKENTPSKPRVLAPEVAQSRSSKSPAPSPSPSEPAAAS SSAANQSHPHTSNTTYKRQSFFSRFRKTYTTLPSQVRTTARVLRFLVPAIPIGIFFSE HVFQVMWVRGPSMTPYLNEDYETMHTKSDMVLVNMWPWGGSGWPWERKRRLERGMVVT FRSPANPAHTAIKRVVGLPGDRITTRDPCMKTSQIVPFNHVWLEGDAEDPRKSLDSNT YGPVSVSLITGRVMAVLWPRWRLLNWADWERGVVEGDEGGRMGGDYRKGVRERVLKEA VKMERPMLE ACHE_20148A MAASEKFDEKGRLEAVEDLTRALDFETTSTEAWLARWLANINWS ESSPRRLVVTESKNSLLQTAQ ACHE_20149A MSTQQAPDRPDVVPGTVHHERRRGLEPGSYTSKKLQHASPHHLH MTNRRFFIGPIPEGWLQHHRKSWYKSGIKFKNYSSKTVSFSADPVVVHYEDDSPETDN DPLSSGPEQEHTQNGIWEDEETQDDQGDQDSESNGQGPSRRSEEVDITSRRTGKSMAT PTTETDAASSYMTAREIGGSVDDLGADTNANTSANAGTDKNLDRQEAGDIPPEQPVDQ PSSQMLRVPSTGETDQSSPIASASESESTTALLRPKSGPKDKGMQRIIQPTLEQQEPQ SEGTDLDESVPTGKFNGKGMIRGQKRMVKYSLDDNILDKQQRLLARLSRTHDSISANR PHRRKLQKGEIIKAERMLVRVEQTMQRDLPDDYTENDSMRMETRVVDKWREFLVVCRM ADEEYAPFTLQMYKTRVVPEVQKSGTKISPYYEVSLDNKRTRVNFYSSLDKTIVMWRP SKHGTKIFIVRPKSTSHAVEWYTFMCQALGRDRPSSLQITVPDLDVSLLFKDPFARIE AAIDAKNKNSQNGRNKAHPEECNVATAIIRGCMEMLENRTEWADVLREWSKSEKMGLA WRRYDRLEWVLGVNEERMYGSIGMQDTHELELRPRQHYSTTVKHEGEKDHEPPPLEGF LVRLTSQRGVHQRLNKMFFKRLYFFTQDHYLFFCRPAKSLPPPPPRLTSTNASNIPSS QQILNEAPLCYDIDPFPLHDGDIRWTFSGNEEYLKRHDEEAYAQLHRNLHNICHSDGY IDLCRVQEVRQVQRNSSPADPNIERGPDVEYNPDVRDTHQDDGATDQFDDDRTFEMLL DNNLVIRLQAYNKDTRDEWIRRVDALVKYWKARTAADGAELKVVRQKNLEALDIDEEV ESHIGQFALKWEVKKAVASPHLHNMCSLSHCRTIKMSGQLYRKPRRHSTFKRCNVILS GGKLLIFRSSLRSRNGVEIAHIHQELETSIDLSDCYIYSGLLTDNDLLYANQTFDNSN PGHHSLPRAYLSPDVFTTCDDDTAITFVIWQPLRKNYFRAKEYGKRGRTKQTLKHVST LGVHGRTIVFKTKSRVEKDRWVLSIASEIDRIQEEQHENIRIVSAG ACHE_20150S MSTWFDTIQKSFADVPIDAANDNGISTTEFLEAAESLTTLFDVL GSKAFTPVKNDLLGNIKKVKDRQQAAPAESATLQSLVVNELKEKKHTATEGLLWLVRG LDFTAQALRHNLDNASKELADSFREAYGNTLKPHHSFIVKPIFSAAMSATPYRKDFYV KLGEDSAKVQSSLETEVAALQQRVAILNEFLGRKEAKW ACHE_20151S MVEFAGRSESIFIVTIIFLVISLVAVCLRCFVRIRLVRAFGWDD GLMVAAMVVNILFALCGIVGPIYGIGQTFEQLDMVHNLKKVQKAMFWWWLGQMSYVIV VVLAKVSIALALLRLTVARVHAIILWVIIAFSIVIGLVFWFMLTLQCTPVSYFWERTG SGSCIKTDYLIDIAYLYSVVATVCDFTLALLPIVLVWNLQMTTKTKAALAGILSMGCV SSAAVIVRIPYLHYYKDPDFLYATTDISIWSNVEAGLGITAGSLVTLRPLFRWFRGDS YARTYGAKPTTGSIPLSSMNGNLTNRSRNDPNNNHYWRSDLVPDNAQAMAITTHGSKG SSQESLNPTQGQGHGHDPGYQGRGVSVQKSFYVSTEVV ACHE_20152S MATGMRSDEGLIAQSSFQNDGPVSRTPGSGLDQRLEYDDSENVA SQEDVPPDGGYGWVCTLCVFLINAHTWGVNSAWAVFLAHYLSNSTFPEATELQYALIG GLSISQALLVSPIVSLSNRKLETRPTLLIGSILVFASLLGASFSTKIWHLFLSQGLCF GYGMGFLYITATSILPKWFSRRRSLVLGMATSGAGIGGLAYNLGAGAGVESVGLPWTY RILAFCSLAMNLLCSALLKDRKSPTPARSEGKAFDFREYARIQVILVVSWGFLTELGY ITLLYSLPNYAISIGLSARQGSIIGAMLNLGLGVGRPLVGYYSDVLGRINMASVMTCI CGILCLALWVPAKTFPVLIVFALLSGSVTGTFWSCFAPVTAEIVGMQRMPATLGMICF SLVLPTTFAEPIALQIVGSFGYLSSQVFVGCMFLGGAVCTFALRAWKIYEIEMKARSE MELELVEGADFWLTPRRLFTTRRV ACHE_20153S MAKLVDDPQIKYASLHNPLPIQLHTYVWPFLIIWPAFFAFYLSP ERYDKYIQGQEWTFVWSGTIITLQSLLWLMTKWNINIETLFTATRANSLDTAQLIKVI PDANAGSAEICPLVHEVKDGKKTFSFLFQKRRFLYYAERRCFAPLTYVLDDEPKPAIK YFQQNRGFDTEAEINRIQEHYGDNKFDIPVPGFVELWKEHAVAPFFVFQIFCVGLWML DEYWYYSLFTLFMLVAFESTVVWQRQRTLTEFRGMNIKPYDVWVYRNKKWQETTSDKL LPGDLMSVNRTKEDSGVACDILLIEGSAIVNEAMLSGESTPLLKDSIQLRPADDLIEP EGLDKNAFVHGGTKVLQITHPNTSAEGTEQQKPSIPAPPDNGALGVVVKTGFETSQGN LVRTMIYSTERVSANNAEALLFILFLLFFALAASWYVWQEGVAKDRKRSKLLLDCVLI ITSVVPPELPMELSLAVNTSLAALSKFAIFCTEPFRIPFAGRVDVACFDKTGTLTGED LVVDGIAGLKLGQQGAKVEADGAHTELAKADSIDINTTLVLASAHALVKLDEGEVVGD PMEKATLEWLGWTLGRNDTLTRKAGPASGSPTSFVESVQVKRRFQFSSALKRQSTIAS ITSGDRKTSKRSKSTFVSVKGAPETIRTMLVETPPHYEETFKYFTRNGARVLALACKT LSPEAELSQGRINDYARDEIESNLTFAGFLVLQCPLKEDAIKAVRMLNESSHRVVMIT GDNPLTACHVARQVEIVDRDVLILDAPENDFSGTRLVWRSIDDKFNKDVDPTQDLDPK ILDTKDICITGYALAKFKGQKAFVNLLRHTWVYARVSPKQKEDILLGLKDGGYTTLMC GDGTNDVGALKQAHVGVALLNGSPEDLAKIAEHYRTTKMKEIYEKQVGMMQRFNQPSP PVPVQIAHLYPPGPSNPHYQKAMERETQRRGAAALAAVNNAQSDVVETVTSPQAQALQ QSMTPQQQRQQQASVAAAGFADKLTSSMMEQEMDENEPPTIKLGDASVAAPFTSKLAN VIAIPNILRQGRCTLVATIQMYKILALNCLISAYSLSVIYLDGIKFGDGQVTISGMLM SVCFLSISRAKSVEGLSKERPQPNIFNIYIIGSILGQFAIHIATLIYLSNYVYSIEPR SNDIDLEGEFEPSLLNSAIYLLQLIQQISTFSINYQGRPFRESIRENKAMYWGLVAAS GVAFSCATEFIPEVNEKLRLVPFSTEFKLTLTILMILDYAGCWVIEKVLKHFFSDFRP KDIASRRPEQLQRERTRKAMEELQALAEKERQRKV ACHE_20154S MTDYTDTNTYGTTGTAYGTAGNTYDNTGTAYGTTGYGTTARDYD TTGYGTTGYGTTGYGTTGYGSRYGSNAYDNTYGTTTYDNGYGTTAYDPSTGAYDQHMD YRTDGSQRHHRERVNPSGTYRHRDVDRRDDGSTRVHREYDNPNTGTSYHRDFER ACHE_20155S MAPPASDPTVYQAHHDAFAAEGLPTSPAGWVERAQKVAGILAPD AATRNIEQKVPHAEVSLLKSSGLTKILGDPKYGGGGQTWEVALRAIRELAVGDGSIGM LLGYHLQWSSLTRVIGTEEQKERWEKTILETNSFVGAAVNPRDNDSRITPNGDGLVFN GFKNFTTGGALSDLIVLEGVHMETGDHIFTIVPTKQPGFQFAYNWKNMGMRLTESGSC KIEEIPFEWKDALGFDVEARKPIKELLESPYETLLGPIFQLVFANFYNGIARGALQTA KGYTTTTTRPWPFTHNPQKSALDEHYILAKYGRYFASIRAADALCDVAGKEISDLFHG YAGNKLDLTARQRGEVAESVTACKITSSHMALEVTGGVFEVTGARSTSEKYSFDRFWK DIRVHTLHDPLAYKENELGRYYLTNEIPTPSWYT ACHE_20156S MPPRTLGGGRVLGNANAFTPAAASSSPQQQQQPKGARVLSPSAS SVSLGSQASASQFSSTESQDLISRISLENGDTSISAAPAAAGAQLACPICSEEMVTLL QLNRHLDDVHQNLEDDRQDEVKDWFKVQMEKAKRFQPLAVLNQKLKGLDVFESNENIQ PSLAGPSTRPLAPATSSSAQVPLPAPDNGPRPLDPDDVITKEHWQPRTFNDVCLEPTC GKRLNATNGCVHCRKCGKLFCEEHTMYQMKLSRSAQHEPVRGLWSRVCETCYKSREGY NDHNGLVRNQTDAFRAMRKPVVDKSTLEMSRLEKRLTRLTQLLANLPLEQIQSGGNKL WSISWQNDQRKALEQTIVSWQDDASVPRCPFCQQDFSAYTFRRHHCRTCGRVVCGDPV TGCSAEVPLSIAPMSRVPAEKGTTGLIDVDIRLCKECRATLFNRRDFEADILRKPPDL RAYENLTQFERGIRILLPKFQKLLAALQDSRRPPSAAQIADASKVRKRLIDSFSQYDV AARRIRDMPTDSITQKCLQKAVYQQASNFLHLHMLPLKSLPKILKHAIPNARLPSATS SPTPSSSPANGHRPHESALASIKYGNPAANGSASSVTSETSSAISAMEAEEKSLRDRL IVLEEQKFFVSEMIADANRRRKFDEVSSLAVNSEDLSREIDRVNGMLDGLDFEGVYTT TGQPQV ACHE_20157S MSLPFLSELISALQAKIDAACDPTVNHHIPGVVSIVVDSHGAEK FAYASGMRGIGSGIPMSLDNIFRIASCTKLITSIACLQLVEERLIDLDDVSFLEALLP ELKDVDNCPPHIR ACHE_20158A MSSSTNDPKLQDQKGFNESCPTTDDDHIHHSFDNNHEPYLKPKT PDDHDASSLRSRSSARETNTRLADDLAVMEAERVVSQSTHDGQSKKSRDHHSIRSRRS AVDEFDEATNPLHERAALYQPPEKPTTKVARFVKTLHESSFVVRYLTYILPLVVILLI PLLVGALAFPEANVGGVSLLWFSVWLEILWLTAWAGRVAAKCIPFPVGIFASIFTNNA KKWRDVAKQLELPGTFFFWFLAVEISFLPTMKNHHVDGNKETRGWENTVNKIIISIFV WTILNLIEKFLVQLIAMSYHSRTYSDRIDINKFQIGALAKMYAFSRTMLVDEKEDSGD QQGQGPNGSHFMKPLQYAGKAQKVAKGALNKVGDVAGAVAADFTGRKATNRNDPHQVV LTLLRTVPGSQGLARRLYRTYKRDGFDSIVANDLKTTFENDEEAETVFAMLDKDFNGD LSMDELEAVLVEIGRERKAITSSLKDLDSVVSRLGNVLDVFVFIITLMVFLSLISTSA AGVLTSAGSTILALSWLFSTTAVEFLQSVIFVFVKHPFDVGDRITVYGNTGAAGLGDD YFVKQISLLYTEFKKMEGHIVQAPNSYLSTLFILNHRRSGALAEAVPIVIKFGTTIDQ IETFRLRLQEFAASERRDFQSHVITEMREVTNNFSVTLNVVFFYKSSWQNEGLRLQRR NKFICMLMVVLQEVGIQGPHMNWPGARLDIPFHLAGLSSLLSGSAAGHSPPSDPPTEN DIPKKNTEDSGDGATHHPSILRKGMDTAAAQARGDSKPARKHVDFSLGMQNLSSADVM GDVFDERTDRTEHLLRRAAAVKEEQEERELSERQSQSQSRSSSVQLPSHSDAGQGRRS IESQHSRLSSASHRRFFRHRNNARSPNDPDVEQGGYDHNTQLTTAPTAPDHRDHL ACHE_20159S MTEPVAPSAVYSIRSEPVGLRIATGGSGQSGLLEALAKEFVKYC VEKGVEPFLVEWYKSDTTFSIENLRKQIVDVGITYHAVAEQTALDDGVIDRVEYAWRD HWMLVGPKDNPAGLENDQSTVYSLFTRLFSAMEESKDSPRPIKFLSRYDKSAGNIVES LLWATIGQVPWADPPTSWYHMFPGFPFQAIREAANKGEYTITDKGTFWAVEKETRDKL TIFAEGKDDENDPLLNPAHVLVGKHAKNKQRASEFADWIGWEHGGQQVIKDFQKNGKV LYSTIPVGVDPLGRVKGIIGFSSSVKAAVPVPSHDDIYFFNNKQYTRVNPYTDTIYTY VTDIFPMWPGLYQIGFAPVNAAFTTPEDPNEAYFFCETRCARVNLISGQLATGSAVFH FHAKWSGLKDAGFDTVDATVSFAFIGSGYENAVCFFRKGKYALIDVYQNRTFESGDIS SRFKALEKAKFKKIDAVVFKPGKQKREAYYFSGTQYVLVDLPGDYIASGPHDVGSSWK SLRAAGFY ACHE_20160S MPVSLQTADHQAKKWTQTKQSTTEDLFKASCPNNHCASKQIIQS AFSQPLDGNHISSSKHGFVYALYHAYSHHHHLTIRPDDVWVAILNQINFYVNAHAEEL RSFFVAHEGQKELTVVEAGTIRTVDLGALAVKMTNEIQKNVLDPELQKWIMPEFSTTT ANDKVVAAIQMMGTMQKYFSYTVCLLCGIPSVTLLGERDDWELLLKKLDKIPQLGKEP AQFAELLTPVLKRFVACFDDPASPDLRDFWGRCAHYYSGGSGPTYLSGWVTAFCFWDE DGKPLNHGRPGEWATGCELDGVAFHRVETEDIPAGYASVPVKLNDNGMEYDTMMLAGM IGIQASSSGQLLEGASETGLDSIQPVSGWWMYEKTKESGSSVQIEEVYVTEV ACHE_20161S MKTLDKETNDKLNTCVAKVFAGKFAPDATAEARGEVKTILAGHA DILRQVDA ACHE_20162A MDHRQPNDMDAWILGSGVQSLAAAVYLIEEAKIPPNRVHILETI GKASESTANAGDPVNGYEYRGGAVPVFSGDWIEDLLCKIPSQARSGKTALDDILELYE VGPSDRTSYTRFLAEKPGGISRISTKQVSPGFRDRMDLSRLSGRTEKSLERSRISDHF HGGFFKSEYWLMIATTAAEFRRYVRRFMHNSNGLNDFHPLYEGRVNIHQAVTVPIAAF LESRGVDFQCHTIVTDIIMDPRDECHRISTIEWRKANEPKKGAINLAQNDIAIVSLGN IMSGATTGTNTTPPDLELIEIHKDLDENWLLWLELCTKNPKFGNAYNFCTRMLQSRLE VFTVTLKSPEFFNRFVALTGDQPGSVPYVTIKDSAWLFNISVPQQPFFPDQPPEVQVF WGYAMHPEKTGDFIKKPMINCSGEEIMAELLHHLKFPLETITRDSITIPCILPRMTSM LLPRVTSDRPQTIPEAMTNMGVIGQFVEIPNEVVCSMDYGVKGAQMVVRQLMGLQEPV KPSKPKKSSVFGLSRFLML ACHE_20163S MSSISSSSEACGTAGNVVAGRLAENPKVSVLVIEAGRGDPENIA EITTPARAFECRNGPHDWKYKATMIDREDYTRVEKPNTRGKVLGGSSCLNYYTWVRGS KATFDDWEEFGGSGWNWEGVKEYFDKPVTYHDDHNNYPHVRNIGLGGPLHIEHANLVP ELQPFRDALLKAWQSKGLKVNDDIYSGYVSGLTHCATSIYKGVRSSSWSFLVGKPNIQ VLSSSYGKRLIINGNCVTGVEVRGPNDEDIIIKARKEVIVAGGVYESPKLLMLSGIGP GKELAKFSINVIVDSPHVGQNLIDHPILSHVFRLKNGLGLDDHLLRAGPQKEGAVTAY NRDHAGALASGLLELVGFPRIDDRLNKIEAYVKAKQENGGKDPFGPAGQPHFEIDFVP MFADAFQWHFPIPPEGTWLTTIVDLLRPMSKPGWVKLQSTNPLDHPHINVNFFENHLD VIALREGVRFVDDILMTGEGIRDIIGEDYPWPMPRNSDEAMDKMILERAQIGFHPCGT CRLSKDIKQGVVDERLKVYGLKNVRVIDASVFPVIPDCRIQNPVYMVGEKGADLIKAD HKDLY ACHE_20164A MVFFGCKSVPFDPKQDIPPLNGNVILVTGGNIGLGKQCILEYAR HRPELIWLAARNIEKGQTAAEKNRQQMRFLARKYPQFTCATIHPGVVRTNLMNSATGS PLVIRLLGKVTEWTVTPIEQGVHDQFWASVSKDVKSGEYYEPVGIWGPVSPLGEDDAL AQKIWDWTEKELAKYVV ACHE_20165S MARDKSNTAVIVRVPDGQSPKLSKENIQIPSPESNQVLVKISHV AQNPTDVQAFDSNAFGDGAVLGCDFVGEVVELGSGVTRYAKGDVIAGLVWGGETKGLG GYSQYCLADERISFKIPSALSREHASTVPLALATAWLALFSKDCLAIDWSSGSTVLVW GGSSSVGLYTIQLATIFGIKVVTTCSPKHANLVRSYGAKHVFDYKDPQVVEKIKQAAP GLRYVFDTIGKPNSSATASNALAGKGSLCTVRPGKANTENVVDGTKITDVLVWTAFLK DHAYGEFKWPASKADHELCSELFKKVSEWLEKDVVKPSNPKVFSGLDKVGYGFQEYRD GKVSAYKIVYKV ACHE_20166A MANKPQTTHHENSFPIKPPNLDPTSLVRIPLTEEDSKRIRRKTD RVILLILIWVYFLQILDKTVLGYGATFGMQEDAHLTGNQYSLIGSIAPIAQLAWQPFS SFLIVKVPHRILMPVLCFGWGVSQAAMAACHNFGGLMATRFLLGLFEAGCLPLFSIIT SQWYRRAEQPLRIAAWYSTNGAATVVAAALSYGLGHIKSNVLFPWQIIFLFVGLVTVV TAPFVYWKLDNDIPSARFLTEEEKPQAMERLRANQTGTGNREFRMKHVAEAGIELKTY LWIGMAMLLNIGASVTNVFGPLILSGLGFDNYKTTLLNMPFGALQLIVILVASYLAQK ARLKAAILAAFMLPVVAGLAILYAIPRNQSVQGALLAGYYLLAFLFGGNPLIVTWIVG NTAGTTKKSIVMSLFNAATSAGNIVGPLLFSEKDAPAYHPGLRACLGIFVALVAVVFI QWGNLVVLNRMQERRRVRNGKPAKMVDRSMMNQYQEEGAQEDGEDGEQQIGDNAFLDL TDRENDEFVYIY ACHE_20167A MERSVAYIRNSLRYGSPEMEKIRTCALENKINVVLGFSENYHGS LYIAQCIITDTGEIKAKRRKLKPTHMERTVFGDCTGDSLLNVVDTSVGRVGALSCWEH INPLLKYHTYHQRELFHVAAWPPVHHHSGGSEFFSMSLEGTRILAQTYAIESSTFVIH TTALIAEKGIKAMGTGEGTLMNIPGGGSSAIFGPDGRQLTEDLPEDEENLVIAEVSPD KVLETRCFVDSCGHYSRPDLLWLGVDLEAKKHVRGV ACHE_20168A MGHWRDIFSVNGERARHRLHIEQRRLLPAYTVDEASPPHPSKEI TKVALRLKYQIEQVVPCELQEDDLTNPNSRIITKDVIQTAKQAGTGELRGCVVIALLV CLRWFKYQATVELWDSDLLESRAVASEVIAKRIIETEENQDFLFKECLLKRYSYFADG EETTPANVIERAVDLHALRVIGSSGYQKCIKYLWNGWYCQEEGNPTNFVEYHKRDDPH FTTHFHPDRMRAPVYQNVCQIVFSLVYLTLYSAVINTVNPTGDLDFAEGVLYVMTLAF ICDELTKLWKVGRNYFDFWNAFNSTLYTLLAISFFLRIAALAHSSSADDEQRQELNRL SYNFIALAGPMFWMRMMLYLDSIRFFGAMFVVLRVMMKESIIFFALLFVVLVGFFQAF VGMAQVDADVPVTGSIIQGMANSIMQSPEFDTFQDFAFPFGIILYYLFNFIVMIVLLN ILIALYNSAYEDISGNAVDEYMSIFALKTMQFIRAPDENVFIPPFNLLEIIFLVLPFE WWLPRRYYAKINDFIMAVIYSPLLLIVASLETREARRIRWNRRRGEEDDDDVQEWEHV AEEVDFEIDDTWRQTVRESTPNVNTDTCTVEIIKLQQQVKELTETVRLLTNERKNETM SGVNGESSSSGSAHD ACHE_20169S MSFFFYIYLKFAAVVIRSLARLQGKIISNPDAVRYIPSRDHQRT IKAHFYRSPLHKELAPGPVLINFHGSGFVIPAHGSDDAFCRQISERTNYSVLDIQYRL GPEDPFPAAVHDVEDVVKWVLKQSEEFDPSRVSISGFSAGGNLALVACSSLFPPATFH SVLAFYPSVEAFVDPNTLAAPESGGTPLPAWLLRFFKQCYVPSNVDAKDPRISPYFAD PIHFPRNVLIIAAGYDSLALEAERLAARLGEDADRHVVYERMEKCDHAWDKIAREGTR EWELKSRAYELAIGMLEM ACHE_20170A MSLTNCRFYEEKYPEVDSYVMVNVKQIAEMGAYVKLLEYDNIDG MILLSELSRRRIRSIQKLIRIGRNEVVIVLRVDKEKGYIDLSKRRVSPEDVTKCEERY NKSKAVHSIMRHVAEATQTPLETLYSQIGWPLDRKYGHSHDAFKIAITNPDVWKEIEF PSEPVRRELMDYISKKLTPHPTKVRADIEVTCFGYDGIDAVKEALRTAEEQNTPENQI KVKLVAPPLYVLTSQCLDKQIGIKMLEEAIQRIENKIKEVGGGCITKMAPKAVTEHDD AALQELMDKRERENMQVSGDESQSESDEGVPE ACHE_20171S MADSDLPRRSIRDWLFDVVRTSYQTNASTTLEDRPATDSIPSDE ATRQPPISAPDARTRLLESYDRSDPICGERKCNHGTFSPRPEDQRGLSWDASSIPPPT STGDGYPGESSRRPELGPRSETVPSLESSASTLPLKNRRTLYMSYYIPFFNWITQYRL SWIRGDLIAAMTVASIYIPMALSLSENLAHAPPVNGLYAFVFHPFIYAILGSSPLLVV GPEAAGSLLVGQIVKNSVSQGHSGEDDPIANAMVVGVATAMAGVMILVAGLTRLGFLD NVLSRPFLRGFITAIGFVIFVDQLIPEVGLTDLSKDTGVSHGTTVEKLVFFFRNIRNC HGLTSAVSLGSFGIIMMFRTIKKMLERRFPQVIYFPDRLLVVILSAVLTWRFGWDQKG LDILGETKNDSGGIFDFEWPFDFGDMRRVRTALSTSFIIALLGFFESSVAAKGLGEGT SDGVKGMTVSANREMVALGVSNVVGGCFMALPAFGGYGRSKVNASTGARSPMSSVFLS IITLVSILILLPYLYYLPKGVLSAMISVVAFSLIEECPHDVAFFIRLRGWSELVLMLL IFVATMFYSLELGIALGIGLSILILIRHSTQPRIQILGKVAGTHDRFDNAELHPDKVE LIEGALIVKIPEPLTFANTGDLKNRLRRLEFYGSTHAHPSLPRMRPPEHNKNIIFDVH GVTSIDGSGTQVLFEIVKSYADVGTRVFFCRLANRDVFGRFERSGIVEECGGLSHFLP RVDDALRMAESEERILDS ACHE_20172A MSQIPHHILNTSWTLHRLSPLHHNEEFQTLLDNPTAFNTYAARL RDQLTGDVLSGLQTSLGASGVAEDDTLSKTGALRSCTWEGIPRFDDTFTSENEGQGQT GILIILSYENTTYKAALLASPNNQQNQIKTQNTRKGVTSLPLLLTRTPTPLRQTLLSF LSATFDTYPSPLRLPASFMCAGLDTYVNTFLSSGVRDAAGILQDAMRDVQLTLAFSGA VAPSLRSLGVAVPKGSVGGFLRGNGAGGDDGGSFLGRLEGYLERHLAMNLDFGNGGMS GNGGDLAKQHVRVSKIACGGFVIGSEGRMKLSADLRWQGDDIKDGDDGQSSQVLSEKE RLELRASYAFLLGVLRRAVAGEGQMK ACHE_20173A MKPIVHLLLHVKKARSALDRGRKADTKQNNSSRHTVIGESKSST SVVGKVNTLTSSPWDFLPAELQIKIFAYCGVGDLLPLRLVCRGFYQVLTSHEQLISRQ YLRQRRHGTLPSPIESERTYTRNPEDDVVLLSDLFPPAKSAKGGHLYTFRYVHSLRRR QKLCSRLCYYLADRVMDRFVQCENAFMKSMFPTRKEKNALVQRGIASLWFNLTPLMYY TLYFLESYSLARREHTNTLLREFEAGRLPVPIPPHLRRSMYRELQVKILRSPPFTDSS TLIATHHCMQLLLSYLRYTVPPEQGLQDDGWIGSLLTVSPFLRLVEYFSAEIGDGGNQ RMQRKDFMYNFDNDIKMHEKDDKKSLVFERAPNWHAHHSAADIWFDAATEELALRNAV PHDTEKIWIWDQIPLLLGCPHCRDESDGWYA ACHE_20174S MPYLAKKMSNNILILGATRGLGASLRKLYATNPSTKTVFATARG NSPPESNESHTTWLTNIDVSQPDVGQKLTSQLPSSTEISTAIISAGYFGFESFDNPDW EKQVKMYTTSAIGPVFVVQNLVKAGFLSEGSKVILVSSESGSIKLRHEKEGGGNYGHH ASKSALNMVGKLLSLDLKDKGIAVGLVHPGFMRTEMTKSVGFDKFWDAGGAVTPDQSA ESLASFIEDFDISKTGQYWAPRGPGDIGTADAVLGSDLPTPLQLPW ACHE_20175S MSASTDTGKHVAQNEGDRRTGGLVNVQPPRPDDLQPRYAHQIEE DAQNPAAHGWYASMIHGLGSLIGCMGAVPCCFCCPNPFKPIEQGEVGLVSKFGRFERA VDPGLVKVNPLSEDLKTVDVKVQIVEVPRQICMTKDNVSLTLTSVIYYQVTSPHKAAF GITNIKQALIERTQTTLRHVIGARVLQDVIERREEIAQSTSEIIEDVAATWGVLVESM LVKDIIFSDELQDSLSMAAQSKRIGESKVIAARAEVESAKLMRQAADILSSAPAMQIR YLEAMQSMAKTANSKVIFLPAINQTVQQQLSTADSVGEGPSKYQDDGFQRAMNARVVE DI ACHE_20176S MSSRISTPPIRTHSSPSPEKFTTTTTLPNFTSPTFDPAEYLNEA LPPLTLASTQPNASRAPGSVPLTELSTQVQGVVSSVNAQNVKYSGQLTTLVDEILRGG GRLAYEVEVLRGEVLGLVDGLVGEGDVVRFVPDRERQEKEKEKEEGERADNQTEHDLE KQDKKEEKQDPDFINKLRTLNAVRSRLDEVVHTFGSAMEWPLPPSELSLTSSFISVSA PDLGPESQSLEAKGQEMMKKFRTEVNELLDNEGVEAAERRVEEIGRLAGVWRGTAEEK ARGRFVEGLLKIVEDRRRALEAVPGQAVPGQQGQPEKRSDGRTGHRRQESEGPGGGIF RNLQRLREEIYLE ACHE_20177A MAISEQLQDNTAITILLLGDPGCGKSTFLSSLKTPLARPSNTNT PFPVSTTKPEILRDTDQPFLYDIRFSRKSFTLEFFDTASPNQHWTMVRPDVVVLAFDI SDRRSLEGLREWRNEITLHFQHGYGEKIPVMMLGLKRDLRVEGEGVIYPQETYRIAQE LRCDRYAECSAVTGELLAETFEDISRMGAMAKAGKSGEGVGGCTIL ACHE_20178S MWRLQRRLCLSPVLLNEFIATANKQNPHTYRSLSTKQDPTAMSR RAPPSCDAEEEGRPNYWAWDKDTLISRITSLERELHSRTSQHATNTTTTTTTRPAATT LPSDTKVTDSEATLPPNKKLKKRCLEDDITQTPAPTRPAKAHREMDPSKYHTRFIALK FAYLGQRYNGYEHANKNMTPLPTIEEELWKALRMTKLIFPANPSPAEQENVMKGNRVA LLDWEGCQYSKAGRTDRGVSAFGQVVGIRVRSARPKRDVPGPKEGEEASTTDIQDAPE DNWDDVADELPYINMLNRVLPEDIRVLAWCPHPPEDFDARFSCRERQYRYFFTQPAFS PTPGPLGLAPRAPNASGSKHREGWLDIEAMREAAKYFEGVRDFRNFCKLDTTKQIENF QRIIYRSEIELVDPKNVPLGFVNRPGFQPLADSDTQMDTDAPDTQVSEAAKVYVFKLD GSAFLWHQVRHMVAILFLVAQGFEPPTVVRDLLDTTKNPRKPQYEMASDAPLVLWDCI FPDQNSDNREDALEWIYAGDSKQGRSHSIKGDGKYGMGGVVDSLWSVWRRRKIDEILA GSLLELAVSQGDQSSVSRWGLGDAGWEKKHRGQKVFVGSEEPRLGGKYVPVLQKRKMD TVEDQNARWLAAKQRKNQATSQE ACHE_20179A MPGYLKVRRPSPTTASFTVSNASQCTSTPAKALSHLQFLLRAFI FVTVLAVCAARLRSSFFAEDGSWVRWTAVWTSPFGELACRIADSYSPLVVTVVSVVAM YGVFRKGYTEESLLVIRGLGIQTSTSSNTYLSKATTRFIPTAEIQDIVIHEAFKGFEV RFYLAVIVEGERNVVVVFPNLLPRRAILEEVWRGSRHCLYDAK ACHE_20180S MDDRRRSRSPDRERPRKSTGGFRWKEKRRDDGDSRGAGDDRRLE RGYRGGDRPRSPRRDRDRDSDRYGDRYRDGDRREDRGRERTERDEKEEKRERKREKRE KKAAAAAQSAEPMIVVNVNDRLGTKAAIPCLASDPIKLFKAQVAARIGREPHEILLKR QGERPFKDHLTLEDYGISNGVQLDLEVGTGD ACHE_20181S MVRNICVIGGTSHPHLTQQICSVLGIPPADVLLSKFAVGETRVE VKESVRGKDVYIIQSGGGKVNDMLLELLITISACKTASANRVTAVLPLFPYSRQSDIP YNKSGAPLAKAPSAGKPGSSNGFTFESTPPTPLPGGNGTNNVESLQKGLAKAQLDELN NGSPVKKRPANGLPRSDTLDSLKSESSRAAALNGVVMDDNASNTSSSKVHDFQPRPGY RQWVAQAGTLVADLLTCAGADHIITMDLHDPQYQGFFDIPVDNLYGRPLLKSYIAQNI PNYKQAVIVSPDAGGAKRATAIADSMGIDFALIHKERRPTKITDRQNATMMLVGDVRD RTAILIDDLADTSNTITRAAKLVKKEGAAQVIALITHGILSGDAIDRINASALDKVVV TNSVDQSEHLRRCPKLEVLEVGHVFAEAIRRVHHGESISVLFQYD ACHE_20182A MEGLFFNVNSGYIEGIVRGYRNSLLSNQHYNNLTQCESIDDVKL QLAPAYGDFLASLPPNPSTSALAGKMTDKLVAEFRYLLAQATGSTARFLQFLTYGYMI DNIALLITGTLHERDTRELLERCHPLGWFETLPVLCVATNIEELYNSVLVETPLAGYF KESLSHQDLDELNIEIVRNMLYKNYLEDFHDFVNTHPDFQGTPTQDVMSEILQFEADR RAINITLNSFGTELSKQERRKLYPEFGKLYPEGSLMLSRADDLEGVTLAVSVNADYKN FFDAVGMTGGGGGFAGSGSDGKSLEDLFYQKEMELSKVAFTRQFTPSIVYAWMRLKEQ EIRNVTWISECIAQNQKERIGNFISVF ACHE_20183S MTLFILTETSAGYALLKAKDKKILKKEDLATEASTPEGVSNLLK LKSFKKFDSAATALEEVASLVEGKVSPRLASLLDEIKDEKKVSLAVADPKLGNAIGKL PDLSIQLIADSSTTDIYRAIREHLPTLIPGLLPQDMSTMSLGLSHSLARHKLKFSPDK IDTMIVQAIALLDDLDKELNTYAMRVKEWYGWHFPELAKILNDNLAYARLVLKMGMRA NWESSDLAEILPEEIEGAVKNAADRSMGTEISQDDLENIQALAEQVVGFSEYRQQLAS YLTSRMNAIAPNLTALVGELVGARLIAHAGSLTNLSKSPASTLQILGAEKALFRALKT KHDTPKYGLIYHASLIGQATGKNKGKMARVLAAKASLGLRVDALAEWDDDATEEDKSA LGTEARYNLERKLAGMEGKPIKPRGVAIAPNGAAVQPKKFELNEARKYNADADALDSD EPASAKKSKGDKKLIEEVQDEEMADAGEEESDDSDDEDSGKKKSKKSKDPELEKAAEK AGLSVKRYQRKLERGEITFDADGNPTAVSKKDLKKAKKESKKSSKDDEKKRKRKDESE AADDGEKKKKKKRKSDA ACHE_20184A MRIIDPQSATLSNIEVLAHITSHPPRRPPNPPPNINPRTFVPSP DLRDHNTVIKEIHNYVSRLSPHLLGYPSYVHEEDMKRLEEVEAQIQAQAQSQGGGGQG TGVMPAPRKRDLGPTEMDERLRGLVKGLQPFGLTKGEVLMILNLGVGFQQASAEGHGE DGAGQEQMEVDQGQGEGKVEGEEEGQGEEGEGEGELPAEDYGALALLDTVIEEREERL SNEDVGQVLAVIREALGP ACHE_20185A MASLGAPGPSIDKQWSKLSTFTQQILPRRSRPRPTRTLLFAIAS FCLLLLWLLRSSGEPAINYWTQFPSLHPFRASPEDASILIPHNSTLTRDDTLPVNLVK NSPSFHVVVPARQKAPPLCRLITSAMILNYPPPTLINYGKSLPEGSTDYDAMVEKVSG IYDYLSASRHVHDQDFVLLLDETDFFFQLPPEVMIGRFQNLLRERNAKLRKKYGLVGI GSDASPEIVQKYSQRVLFGASKTCDLNKLNLSLDPGCVTVPQSALPPDVYGWKTDIDS DIALNRPRWLNPGMAMGQVADLKLVYGQVLQFVEKQKRIKNADHVALTQMYGRQEYIR ELERQRTSNGLMEWLYRQIGISDATNVTGAAIPYLKSGARYEYGIGVDSESRIFFDTT HSKRDMEWLHYNNITKTSLVQMEHGVPREHRLLLPEDLTLPSPFYHPNLTKDEVSNPP YNDTLDALPNPKNRSWRNLPLLTYIPSASVPALIHLDGNRVTRDGWWLKMWYQPWSRA LLRKYMRTPLGFDVAQSALLGGQDWWDLRGGKGGIWTGQGEWMDYGEVCKGFERDVFE DGFGAWGKEDGSEDGPVYNQFGNVVKGG ACHE_20186A MAPSQLPPIFNPTPQDIEMLLAAQCHLGSKNLQVHMEPYLWKLR PDGVNILNIGKTWEKIVLAARIIATIDNPADICVISARPYGQRAVLKFASHTGATAIA GRFTPGNFTNYITRSFKEPRLIIVTDPRTDAQAIKEASYVNIPVIALCDTDSPTDFVD VAIPTNNKGRHSIGLVWWMLAREVLRLRGTLASREAEWDTVVDLYFYRDPEAEENKEI ADETKVPGAEEIGPAAVESGFAGENWDTQAPGAGAPGTAFAAASAVGAASWDAEGGDW AASSAQPAAESWAETQPAENKW ACHE_20187S MPPRFIIQPSLKAFTGSSHVQTPFAALALNPSKTIVRAGSAETR ERKRHDPFAMAQTRQRKAANLSRQQALEAERDASLGDPIETEPTPFIQQIKSQLELQG TTGAEAKPNHFIKPEEIQETMEFSKDLTSPIADVNRNIADPQLEKEAMKGHAEEAQNT EEAIRRIVNLNNGNTKDRLRVNIQNCIETFGRHNTDTTLPPKPTAVSNQSGTERPEKT PRVGPDTGSPEVQVAVLTTKILNLSRHLQTSNKDKHNKRNLRLLVHKRQKLLRYLRRK ERGGPRWQNLMQTLGLTDAAWKGEISM ACHE_20188S MRTRSQPMSPGGFLALEKEKNAPRQTRSMRARSASHASAQSNSQ SETEEPQPQPTTRSRTNKKTTTTTGAKKDTTTKKTTTTRKTATTKRAAAARKTDTTAK PSSKPAKAPATRAMKTRAERKTTRRTAEQSPEEESQEINDASQSPEVKAEPDTTANES PKIPDENNEESQKPTEVTSSAVDVLSEPQASDLNRKRPRAESPDRASETPSVKRSRTL RPPGSTSLARRLAPLSRRLSTNGVPYAERLRRRQAERQGRIHTTVFRLPELVAQTEAD RRASESASPSPAPPAPQNSFDFPESSEPSRSMEESTPQEPRTPETPRGWNIRGLLSSV PRFSVSRFLPRLGRSFGRTEEGEEIDPETASRQSTPSAPSTPTKTTAAPTQPPATERV VTTEQWKAPGGSTPKSAPAKKPLPNLSYDLFPRPINRDLYLPRSPEPAAEAKDAEDSA ANKDQRQKQEKQAQVSPETAPAEERGREITDTTDKKRKRAPSPDVIPNPPGCSYGLDL DYFCYSDSDEEEEPSPSVSKPTPLAKSAVRSALRSETHPSKKVRFDASPEDTPSKKRG RATDPYQGHHFLGLGDVSPTPVTDSAKTTPATPTVTGSPVQRPPGFKFNTQGSFGFDY DDFSDDSSSSGASTPAILSPAGTLPETRATPRSQVQESLQSPRPAPHAAPSAPPSTPK VDAEALAKVRSQAEKYKPKTPSGLRTASRYSTSPMVQSPNVEPLKKPEPKESIPEELP EKENVPEKPIEKPAEKFGDDQFARDAEWLYERCPSGDFSKFTWPEKRSLVDSLEINPE AVKILDNVWDSSDIEVGHTAFCRGMEEFAATFV ACHE_20189S MHFYNPNNPYPSCREAEATLYSFPEPSLYKTDERDCVTCHEIED ITDITDMDMDASPASSEMEVASDSDNDSDESDDGGDIPFHTPHNYITPQQLQKQKQKQ DRKRNMSIPTNLSSNSIPGPTTSSANSHTPRVIKPRGFFRREALSAPVMRLREKWKEN VGVMAETALWSGHGDQFGYGFGGRFGFGDVIQTGIGFGIGSVGAGEGRGPGARRFYRG GRGEIGLGHGLSDWVPY ACHE_20190A MAPKPPSGTSARSWDGVNPPLSEWVLEAVSSMGFTRMTPVQASA IPLFMAHKDVVVEAVTGSGKTLSFLIPVVEKLLRLEEPIKKHHVGAIIISPTRELASQ IYKVLLSLLEFHPASAAAINPSDDDDAHPKKHSSTYKVVPQLLLGGATSPAEDLSNFL KRSPNLLVSTPGRLLELLSSPHVHCPQSSFEMLVLDEADRLLDLGFKDDLQNILRRLP KQRRTGLFSASVSEAVDQIVRVGLRNPVKVMVKVKGSSGALDKRTPASLQMTYLTIPA LHKFPALRKILSSVQPTPQKTIFFVSTCTGVDYLSAILPSILGDEYSLIPLHGKHPAT VRQKNFNAFVNSQTPVILLTTDVASRGLDIPAVDVVVQLDPPADPKTFIHRCGRAGRA GRRGLSVVLLHPGREEDYVSFLEVRKTPVTAFPYPIDITDEDAATATETARKIVLKDR AYHDRGQKAFVSWLRSYSKHQASSIFRVADLDWEGLGKAWGLLKLPKMPELRNFTGDR TLGVNIDWDKYAYKDKQREARRKEALAEAQNGTTEDNQQSNKRRASESVAWSNNIENR NKKAKRREQKKAKQDHNRWEKMTEEEKQKVRETEKMLEEIKQKNDEERRLRREAKKAG NGGGNAGDGAEEEEFEGFD ACHE_20191S MPRSQDVPETPRVISPTSTSPDGSRLRDDYFGPTTRSAARRQRQ TGVPEKKTDENDSTSKRTRTRSRNSTTPNGTARQRKPTPITPAKKEEVEANGKTNGYL SPLSKVQQDLHDVSSRSPSPLGIIPLHSRYRNFIHRHEIPRKLLHVSIGFITLHLYSR GVQPLQITPWLFSALVPIAATDVIRHRSETVNKLYIRCVGALMRETEVSGYNGVIWYL LGAYAVLRFLPKDVGVMSILLLSWCDTAASTFGRLYGRYTFQLRKGKSFAGSLAAWFV GVAAAAAFWGWFVPYIGAFPNDPEGSSMFTGSLNLIPDCVRNLLGWTTETSKAIITGP LALGVMSFVSGIVAAGSEFVDIFGWDDNITIPVLSGFGLWGFLKVFG ACHE_20192A MGIPGLINAIGPGERVSLSKLAITHLEQTARPIRIAVDISIWLF QVQAGRGGRNPEIRTLFYRLLKFLALPIHPLFVYDGKNKPPFKRGKAVSGQGNAPIIQ ISKRLIDLFRFPRHDAPGEAEAECANLQRAGIVDAVMSNDVDAMMFGSKMTIMNFSKE SGSTTSASHVTCYRMDSQAATSNVNLDRPGMVLFAMLSGGDYLPSGVPKCGSKLAAEI SKAGFGSDLLDAINAKGTKRTEQLSEWRERLQYELEANESGYFNTKHKAVRIPQSFPD QTILEYYASPAESNAEELALLQRRLINAWDQDIEPLEIRHFAARFFEWNYRSGARKVI RLLAEPLVSYKIRLRRQLNGSKLSNSDIPMLRKVYKSRASYSTDGLTELQVDVIPINV VGLDLFAEEPNPPLPSQASEEAAASGDEVDDDPEAPVESAPQSPVKKRVNKRYDPYAP EKMWVFEALARIGIPDVVEQWEKEQAAKLAAKKPTNRKTGPKKKGPLDPGMKHGSILK YGTLTKPRPEVSQFKQAQLFEAAIPSTPNSSQSPKMASRSHTRTASSNPHMPGPGTSW ATSLDNLVDIFSPSYAIPPELSAKRRSRGRPRLESRRAAICSDGIELVDSEASGDEFT LNKPSPPPIRPQRLKISVDYPVLPEVEEKPKPKAKTKITTPPSSPSPTKKSNRRALRV IQDVPEDRKTVEKLGEFLSKPSSKHNPVSDGQAEAHDCVESASPKRRSPRKKTPPTPS TDKPTPEHKKDNKTSSVMKYLLQELPDKKKEKKHQAPKQRAKENKEPSPSGSLEAKDS KEQTKPIEDRSSTTKGTSQHVDAVIWHDSSWTVEPALESENNNDRAKDGDDLGSQGRE TKAKKKRIPRVSILDLT ACHE_20193S MASASSISAGDSKRFSGSHVPYNLRHSQTARPSSPSTPQHQLRS NNSSFASTSSGSSFRGEEDAIIFELGSRWLRAGFEGESTPMCTVRFGPEESRRTGDYR GWLNYGPSTDSERFASPEQWASAYELWGMDLRKVDLGLVEDKIERVVRETYNKYLLTD AGTTRLVLVLPSLLPHPLMASVLSTLFNRWRFPSITLLPAAAMSATAAGVRSALVVDL GWAETTVTSVYEYREVVHKRSTRAMKHLLQETGRFLTHLTSPSTQDPTTDELSVNFEY CEEVVTRFAWCKPHAQTEPTDLPNTTVSIPSPSNPGSEYIDIPFPKLAEPVEKALFAL GIPECDLDDEEKPLPLLLYNTLLALPPDARGVCMSRIIFVGGGSHIPGIRKRILNEVN ALIKTHGWTQVRGKAIERQRTRLQNLSLTSNPNLNTTTNNNPSSTGPSTGTTTPIQEH AATASHTPSIDDEGDNEIDFVEQKLQRNRDRDFKPPVQGILREVESLGPWAGASLVTS LKIRGMVEIEREKYLQHGLAGASRDLEHGYVPDRRSGLRTGGDRSSWTLAGWG ACHE_20194A MLLDPPPSSRSRIPRLLGALLCPARFSPRVLLLYCFIFFTVTVL LGIRMHVGSYLSTVLYGLPVLAQAQSSVTLSAAPSSNTAESGSAVDPFKAYTIKAENI TATLIPYGARLTSVLVPDRDGNQQDIVLGYDDPRDYLKDTETNHTYFGAVVGRYANRI RNGTFALNGEEYEIPRNENGLNTLHGGYVGYDQRNWTVTTYSESTVTFTLLDRGFEGF PGDVVTHATFTVDNNRTPDNPDGLPLLTTKLVSLALTEKTPIMLSNHIYWNLNAFKEE NILDDTFLQLPLSKRFVGTDGLLIPNGTILDVHSAYNGSADFTTGKLVGEDIEDAEGL CGTDCTGYDNCFIIDRPPQSAAQDSLVSILHANSSTTGISLEVKSNQQAVQIYTCPSQ NGSIPIKPSQEKRNEGQGASSVNAYGCLVIEPEGWIDGINHPEWGQLPYEVYAPDTAP AINWATYKFGTIA ACHE_20195S MSSRSSSSSSDILGPAGDSEYLLSSPTKPPGGRQHFMSMSPAPN FKFLQTPGSVKGKRQRTSLSPSKSAHSIRFDDVLLPATPALAKLAGGQPMSLSPEKDQ GESGNPWRIRVTLEATQDEENEGNNQKSPTRRVLYPSTTMTTKVPLKDERDQPEPTPR RRRGRPRKTEIQALPDATPTQASPGHTPGAKGANGQSRPRGRPRKGTPVPVERHVEEQ PTPALPEQSSPLNLEQPTPVPVPGRQRSSQLNFGEEEQPTPASVPDQQRYDPFNLESE DQPTPGPEFEQRSSPLNFEQPTTSPDSRRERHSPLNLGVEEQPNPDSELHQERYSPLN LGADGDSEDDELPDAPLPAYDFQEEDETMRPDPTDWNRSSPRVTFAEPAYETPDVGAI DRDDDDGKLHSTPSKMPSSIRQGSIQEPLNSSPANTVNAGRTPRPPPRLYPTPTSSSL VDEENHEQDNLDEEVPSSDPHDTQGEATDHPSDDYGDPADEHRDPTDEHREYDSIVES EGFSMVSLDTLPSAKQHGISTNSDRIKGPLRPFLQRESIGREKTKRKASSMGSDHNEN AHANASSNPEPINEPPRKRQSRSPPKRQSRSPAHRRRPGHPATHDEAFEQRAPPSPPA EPTAPTPADNRLRPISRLAKIVRAGMVLEGAFRQNPEVEERPDRKIAIEEPKKRLESV FSDFDYDTQQQLRAGLGLGQEIAKKKIQAEIERERAEQEEASRNAAAHDTYDQDQYED ERPEEEEEDTSETPRQQGQRSVQDTPGPETAMRRRMAEWQQERESISREIDKANSSQV IVINSDDEASRSPERSRAYPGFDVGGPDSDSGSDFNQGPELEPGSEGNLPEDEAGHPD EEYEANKVGEEQEDAQVEEQEEYEGEELYPQQPHMQQPEEEEEQYAREQEDDDGYSDI WQQEAQEHSQISHQSSMHQGSMRQSSIRRDEDDARYRQESSPLKDDRSAAPSEYGAFS PAPWTHQREKVPYLGGQSRVRQLREQEVDLSMLLGASNTPNHSRYYYGRSSPLSTGSA RPSQRAAPQFSPRYGENGVHGQEQPLSELGLVSSPLKHSDDDAFQVDPTTRIEHERMQ HDRRRDQEEEDFEYSDAAVAEERFIVGNVEMTPQHPRPANPANPDVQGSTWFQRLASL TPGWLKAPISRSPARPSRVSEEYEDSDDDPVSDDGSAEDANAHPHGADGADVDEQDEI ERTFRSSRRFTEWRQYEEPQSSPESRAAQQPDRAFSALGEESPVRSRPLAISGHFSNE HYIILRRLYRLATQFPERFTYYPAPGRDQIIGDWIWTSDGRHGVPVTEGQFAVIDQFV QELATGDLDSGGTGQIGWTEADLHRRLISVIIGEKIRAERKAKISGGDM ACHE_20196S MRVGNRVKHIFSFSFTNRRPNRSMWKEKSPAVVTDDDTSSYSDD SDSDDAIHISEDEGSDPSDVDVDDDDEYATSPNKRVRIISWRLDNNNNKMVPGRCRWT LEQERRLQAAQQQLARCQKAWSSEQEVWLKHIEKLHEEKEAHEDFVHLRAKQQEDERV HFRKALKRREQEQGDSGTASEEDEGLRRNQSLSRLRRLRRFS ACHE_20197S MPRDGSRGRLRSYEACLNCRRKKTRCPAERPVCSSCARLNQTCL YTSARRASHQSEDRLASLEEKVDFIMKGSKPCDEDPSPAKSSSNSLPSESNYVLNSLL TPELGFEGPEDSSLHISSPTVAKTVDLYFQYCHRQPIWCFNYEDLEEMGFLPKELVYS ILTLTSRFYAHDHNQAQFYSNTARTLIMLRVASGTVDLETIESLCLLTYSLFMDGNVS LGQFHLGFAFQLCLTAMIDVEAAAPTKSPLTQRKKRVFWSLLSLERSYGHQNRLLRLS AEPLCPCCVPDKTEGDETMSCSDPEIDIWSLSSHFGWVWSRVRTYVSDCAQNNLKEPW RHDSMYTMILSDLTSAENKLSQNHRYDSVKFYERTASEVRLNKSYWGPWLKLQFTYHC ILTMLNHPFLYIVASQHNPNLAIPNAFWRRSSELALLHATWIVRIIDMVTEKEMRLTE AFFGHAAAVAATVHLYYCRAADPRLKFKSKTDLAKCRRFLEGFVEFSPACRALDQTLE KIIHMAFGSENVDYDWTPSRIYLSVSLMWDILRANCMPESQEPSTTGLLHPSLAPTIT RESSAQSSSTLEIIVAMSPEVTVNTADGGQAAHMPPRVRSMTATITTPAPSSPDIDLG GERLVVPNDNLMLNTPWLWTDPSQFGDVDGLNRQELENSMDGLFTWWDLGNL ACHE_20198A MAGDKNQKIVIVGAGIFGLGSALTLKQQGYQNVTVLDRALPPVP DGSSNDISRVIRFDYGDEVYARMAKEAYDLWLTPTFKEAFHQTPCLWVTQKESPGQPV QEMAEKYSRKTRDVLTKMGEPWHSVPSAEQAKREFPGFTGNLGSPGFDAFCNTSAGWA DAGLATQRLAARCVNAGVSFITGPNGNVTDFEKRSDGSIKAVRTSGGNSLAADMFIVA TGAWSASLIPSWNTMLAAAQIVGYMRLTPEEMVKLKDLPIYFNLSTGFFCFPPHDGTG FLKVACHGYGYTQSGENNISAPPNAPPSARANFIPEDGIKRLHAGMRDIFPDLEPRGF EKVGLCWYNDTPSGDFIMDYHPDHKNLFIATGGSGHAFKFLPNIGKYIVGCFDKSLPQ DLLEKWKFPTEFKNQLQDDIFRGDGSRGGPERRELSPQERDTFNTALKATSSRQSKI ACHE_20199S MLLQLVQSLQGLNAATVLYFGITAALLLSLGFAAIVQNGNTSKT RRSLRNLRRFGLSTGNSNMTDQYSPKYAIPEDIPTNGPIRIKSIYIHPVKSCGPIELN RALLTKTGFMYDRCFAIAAETDGKWRFISQRTKPGMALIETELWLPHEGSDSSDVLVK AAGCVVLRFRDPDVPDWTKRLEMFLHTGDFFATPEVSIVVPLQPVGELKPKTFNIHRR DTNGLDMGQIPSVAVALPKLKRFLEIPEKQPFTLLRCTPESLLRTDLNLAPLDYIGSP AVHGYTDQQPVNINSLSSVHAVSTLLPKENQPLNALRFRANLWVTGAPAYAEESWKRY RILPKSGNTEPRADVAPALSVVCRTSRCTMPNVNPDTGRFDADMPPPGKKKGKPQPST TLVEYRTIETGNKAALGYLGMHCVPEDRDFREAEEQGEGLYVEVGDEIEVLETGVHVF GSTGNDY ACHE_20200S MSLWKNYFGLGPVASSGATPTDKETPARSLPVSWYTSQELYELE RRAIFSRKWLLTTHVLRLPNTGDWIQYKIAGYPFVLVKDRQGNINAFHNVCRHRAFPV VTEESGTSRIFACQYHGWSYGLNGKLAKAPGYQDIDGFDKSKNGLLPIHVHVDKNGFI WVNMDAGEKPETAWEDDFKDVDLQPRFKDFDFADYEFDHTWEMEGEYNWKILADNYSE RYQCQTSQLDVPSLADSSAKPEQIEQGLKIASTYYFPNASMTASPHFFFMQRFVPTSP TSCAMKYEVYRNKSSSTEDFGVINQVYKRIMSEDKDLCINTQKDLNNGELRPEMEKEP SYFQTAVQGIVTEHREKEEKDGKEIWPARQRLPETAAAGVSKEDEEFCRELDFTGKRQ QRDVAVEGCGMEGCCSAGKGAPASGSLVY ACHE_20201S MSPKTVIIGAGIVGTNLADELISRGWNDITVIEQGPLNLPGGST SHAPGLVFQTNGSKTMTQLAQYTVQKLSSIEMDGQNCFNDVGGLEIATTLERVEELKR KYGYAKSWGIKARLLSAEECVEMYPYLNKEAVLGGLHTPNDGLALAARATQLLIERTR QAGVKYLELTPVTGIEQTNSHVTGVTTPNGTIPADIVISCAGFWGVEVGAMAGVSIPL LPLAHQYAKTTTVPALANREINTLPNGRNAILPILRHQDQDLYYREHGEQYGIGYYGH RPMPVRAADLGLTPKHVDEQNMPSRLKFTAEDFEPAWKASQELLPALRETEVADGFNG IFSFTPDGGPLIGQAPSLDGFYVAEAVWVTHSAGVARAIAEILTIGASQIDVAECELS RFEEIQLSREYVDETSQQNFVEIYDILHPLQPRESPRNLRLSPFHTRQRELGAFFREV GGWEQPSWYEANAELLKSLPNEWKPVERDAWSARFYSPIAAVETWKTRNAVAIYDMTT FHRFEVFGPGAVSLLQRLSTGDVTVRPGSITYTLLLNKDGRIRSDIFASRLDTDLFQI GANSATDLVYLSREARHQSQRSPSQWTQVRDITGSTCCIGLWGPRSFDVIKAITTDDF SNNALPYMHVKKATIAGIPVTALRKSYVGEFGWEIQTSAEYGQRLWDAIFHVGKPHGL TAAGRSAFNALRLEKGYRTFGTDITTEHDPFEAGVSFAIADKKEDYVGKAALERRSKK PIRRLQCLTINDGHSMVLGKEPVYYNNQAAGYVTNAAFGFTIRKPIAYAWLPGTVVEG ETVEIEYFGRKIQATVTSEPLYDAQMSRLHESTPILEPQKPLKSRL ACHE_20202S MSSTTSLWWPPDRVQATLTPEYIVSQLPPSCLPHLVTPLPWGEG LTNESYLDWIVTKAGRLFLILADIGIPERIFALVDASVDDVDLPFAAHSIDLLHLSPD GNNPALDAKFFHAQWRFTVRGIAEGEYVKFTANEGVPIEVQRTDSTLAKEGVEKVILA GAICRVYLRTQVTIGAAPHFFEEEEVLEEIRSLRRLAHDHVFSTYASYYSAIDKTVCI LFNGHYERTLLSFLTDPPPSFKRLEKARRRHTLVNWPHCLANGLAWLHAHGHPHRGIR PSNILIDAGFRIFLGQFEELDTLLPPLKVDDVEAYQYGAPERWQRSAAVQDTQPHQSL LLPSGGRTARRPSTKSATLNLPKIRAPPKPDTTTARSDSVGSQDTAIRITSPRARFSF ALSTSSTSSASSDGSNNNTNSHKRILPSIRQRPIFYTPSIASSSSSSGASTRPLSTIT TTTTTDQSLNNTNNHTIIKTWHAATATATASDIFSLAAITLDILTVLCKRKLSSFTHH RGAKNRTAGRGGGIADSSFHLDRNAEQVFSWVSLLEKDAEKKRKSDFAVFGVGVLGML KVSRGMLSRDPAGRPSAREVEGMFGELIKRAGIEVHCQPPAPDKVSRAQRVPKWEEKV DAYAAPESSSADTSGRDSPSPPDSMLEFVTTGFEDEYIHSSDSE ACHE_20203A MPQYRNGQAVHYKPVGGPDSRTSESIGTIQSILTEPGNQAGRNV DASEEEPRYEIENQNTGKTTTVYERNILGGA ACHE_20204A MPVKMRDSDPPAESTDLGDISQAYQNGTREIDYSGADYAHSEDE DNPLVQQEQRSPSSPPPAPVPRSRTRSVRFAAPEPTETPPDDSQNARPKKSLLQRLAS VKRPKPDIRATIDPRRDRYSVLELDEVHDVPPEEMPGVDISFFEGFQGQYQNEALLKD ENKGHAHKNSNESVTEPSGKLSLEIHPALRSKSIRQQGQDLANKTNAIVSVDQRTATV DLSVLEGLGTPSESDSPHLGKKTATNLSYFYPRDPEQPNWRPLSMRSAYIIILAVVSL VLAGVQEYLSQKSGILEKEGSGLIPYNNVAEIPVSQFFAWKYLPTLVTVAYGVLWQVT DYEVKRLEPYYQLSQPAGNTAEKSLALDYVTMWSYFVPFKAGKYRHWTVLVSAIGTIL ATTAVPSLQSPSIKPAGNPACGPGNDMPKPGDDKPCDADYKYFLRVEPVWSRLVTSCL ILVAVLAIVLLVQLRRKSGLLSDPLGVAGVAAMANRSHILAEFQGMDESLHDDIHKQL QHRRYILYKSTIWQGEYTGTDQSTATERPLKPPNPQPIILRPVWLMAFIIFMIICLPF VPAITYDPRLNHVIRNIPWLPVLVATLIKQLWATLEFNVKMMEPFHTLTKGNARPEQT LTLDYQGTPYAVILFKSLRNRHWLVALVGLGSILSDLLTITFSSLAVKTETRHSFYSS SILSMAIVVFMIFSAILIFIRRRRPFMPRQPSTIASILAFIHQSRMLEDFTGTELYNN REMTEMLVSKGKRYGLGWFRGRDGRMHCAVDEEPMVSRFVWGVSYRRARAPWEEDV ACHE_20205A MTTTSNTSIVEPHLLRNVNTHQLRAYSTQASGPTYHLRVLESIK EDHREISACGEQILQSNDADEQTRAQNMFTWELARHAVAEELVLYPAMEQYLEGGRGR ELAEKDRREHQTIKNQLHTFQNLKPSDPRFRPTLQSLLSDFKSHAHEEETTDVPALDS KLSQEESVGLSRALDRTKMFVPSRSHPGAPSKPPFESAVGLMMAPIDHLGDLFRKWPG R ACHE_20206A MSTTTTTTTTTTTTTTKATEDIPVPRGPVQATISFVVPWATTPL DKLYNYVEQPPAGEPKHNFDEVPFQVNLTDIRGTEDTYTLDTNAFAVLKDVHTDTNYQ TYFDDKEVERVYYPEVEKLLLENVPGAHKIVIFDHTIRRQGPGAARQPVNRAHVDQTA AAAAERVRIHVDDKDEAEELLKGRFRIINVWRPINGTVVSAPLALADVKSIVPERDLV NIQHRYPHRNGEIMGVRHSGEQRWLYVSGVEGGERLLLKCADSAEGTVLEDGGVVAGR APHSAFTDPRSVEGEGGKPRESIEVRALVFG ACHE_20207A MAYSPAPTSPTSGPGAMHPFPPIHPHRGAQSPSPPPTTTAPGPA HTAANANANPPISKRDKRRSALQERLQDLTASFSQNRDAQFRQQLHALQCDMTLINNA DPYAPGPLPDSAEEVARLVEGTVGGGVFGREMAGLSGMWYSRFVQEVNGVKEERDGEL AMLVHRHENNLERFRREYAFRNHFAAEEYNNLSSTLRERLVQTITGKKGRLMREKEQL DIADTNSLLLHPNQFSITNPASPGGVHGNRKTRHTRHRMDLDELGNGIGSEVLNKRKR KAPEDDVGSPVRDAGSAERAKASAAQHQPPPTYSLHSLFTDKELSSHANLAHIATIHF FSTSKRGDQGGVGTSNNTDADDASGTGDHTGQEDNGTPATDMVRTASQNFHATRSTRT HGNSALNALAELSDKQAIRPNLPYHLLTNHQPRVNASAPPPPPLMNEEMEDDWARLER LHSKPAGWVDRNLIEVLLEPGPEQVDGVPQDPHRFSMLHPDFPATMGVHWYPLRNDRD RAEMLGGSTTSNKRTKT ACHE_20208A MHHLQCHGPFPPFSMLPESPVVSSSPSSSCASVAQQSQPQPQPQ PQPQPQPQPQRQPPRRNLKRRASQGSILKKPSSVSRFLSRTSGPGSRTLSVDSQLRLS ATPSTPSSSPPSPNSSPVIWKGEYPSALPPTPPSEHDYHPEWNPKPGMLLLEPQINQV RGPGLDQPLDQGSNMDVNVNMNMNMENASRPPLTVDGLSSPSDQLSSAAASPGSTEMD VDYHTWLENGIDAAISELPFLNGRGEAVKIVSQTLPYPRASDKSVTLPTHDSVFSAMV QAVQNRMQQGQSPYINITHAVPEQFSLSNLPTSPPSTPLSAGEDYFNSTVFSNAAVVS TYHDFRGPVLGMQASHWPMPVVPPHTVQLSVLERYLPPSSSQEHKDLFSSSRPSYLVD RICELSPNGGSLLFIYPTKRGGSTFKSQYLGPILDPLLRQLVVVNELSADVSRSLGRL ASVSQMDDFETMKSNLSRLCEDLSSQSANFSVVDARKGNAHLDRNLWTEWYIHQEKAR MKEVLSLYWQNGRRLPVNKTSTSSNLFLADKEVTSAMLLGDILDGIRRRPYGEENEHQ DGVELGVFVIRRSQ ACHE_20209A MSTGIVSPFQIPRKHLADLANNNAFTAVREHLRRQELGMDAPSF CSHHRHACSHEDQESFRLHRDIIHTLLVPLFLLHTQASRIATGVLPSSRASEPERAFR GEARSAYAWLHCILSEEHDWYLTERCPACIVLHVMHSEPTIRFIAVACLLSGHLQGHD VPVGKRRLPSFDFWLETLETAVREDTFWGDDFWPEIEYRACSLTDGVKQLALQCLELQ STAEQQEFEQLQQQVHTVKQTSCAWKQVPVAAEDQKRLKINNCFMQSRRHQRFHVRSV DSRRRNMT ACHE_20210S MLSSLTSWSAASLLLAGLAPTAHAAVDKINAVGSKFFYENGTQY YMKGIAYQLVPDDPLIDTDQCKRDITRMSELGVNGIRVYHVDPTADHTGCMKAFADAG IYLFVDLDTFDTQIEQTHPEWTERQFDRFKSVLDEFQKFDNTAGVFVGNEVITTKEGS AAAPYILAATRDIKAYRDHKGYRPIPVGYSAADIAELRPMLQEYLACRKDSVDRLDFF GLNAYEWCGSSGYIQSGYNMLQKNASTYPIPIFFSETGCNTHRPRTFEDQAAIFGHEM GDTWSGSIVYEWIEETNDYGLISYGPPVKGAAPTNTLIQDGFTRKGTPTPVQPDFDNL KKQWATLHPTGVALTEYAKSTATITPPQCPSSTAGGWSVDPSAPLPTLGQTYSGNLST TSRTSGTARQTATAIVTVSATSSAAAATPTKENGGRAVGVDMSMLGLVGAVAWWL ACHE_20211A MGTHSGTFLHDNGLVDAFLREHLLHWIEALSLIKSMSSSVSAIA KLTNMVRNVSQGSKLFELIHDVHRFILFNRGVIENAPLQVYHSALIFSPSGSLTRGLF SDDEPKWATAKSAIDSTWGACLQTLEGHSDEVNSVVFSRDGTRIASGSVDNTVRIWDA GNGACLYTLQGHSDWVTSVVFSPDGTRIASGSFDNTVRIWDAGNGACLYTLEGHSDWV TSVVFSRDGTRIASGSVDTTVQIWDAGNGACLYTLQGHSGWVESVVFSRDGTHIASGS RDNTVRIWDAGNGACLYTLQGHSDSVRSVVFSPDGTRITSGSRDKTVRIWDAGNGACL YTLQGHSSWVSSVVFSPDGTRIASGSVDTTVRIWDAGNGACLYTLQGHSGWVESVVFS RDGTRIASGSDDKTVRIWDAGNGACLYTLKDVGSSMTSDAGTLGMIAWRGTSNTQKMW ETPQHLTYCLNNNGTWITSNSQNVIWLPSEYRPRSFALTSSTMAIGCQSGRVLILDFS NKASL ACHE_20212A MKQLVDKGLAKTEHEAKVKQEMGDPVDIVLKLKDMIGTAVKPCP EAALAWTGVVFALQMMINPIKETETNRKGIQHVIERMSWYWELSSHILKERDPNGTSY AGLRSGLKEQLIQLYKALLSYQMKSVCSYYQNRGFVFLKDLVQLHDWNGQLDNINKIE ATFQGSVTQYDMQQRTGLDQQKLGTLGDISGHLQKLPSRQRDLQEEEKNSGCLKDLRL TNPEDDMKRIEDSKDLLLHESFIWILSHPCFTEWMDDKGSQFLWIKGDPGKGKTMLLI GIIKELSRRPHKSSPLSFYFCQATDAKLNNATAVLRGLTYQMLVQQPSLISHLRKEYD TAGPKLFEGVNAFTSMS ACHE_20213S MLRGRSIHLAQVLLTVAPAFITYGYNQAGVAPLASLQSWVHTFP EIDTINTEGTIKSRNATRKGAVIAALQLGALVGSLSCTYFGNWLGRRKTIFMAAIIAV VGQLLQTASYGVIQFSLGRVILGIGVGQLSATVPVWQAECSSAKHRGQHVVVDGICMT MGFMLCNWIDFGLSKASGSIQWRVPLAISFCFPLAVIGSVFLLPESPRWLVMVGRPEK ATRSLAAYKGLPVDDETVQAEIASIESSLELSTQSSGVMILELLVGNDEERLLYRFAL CILTQFFQQMCGGNLISTYISTIFEENLKLGSDLSRILAASALTWKCACNFIPFFAID RFGRRKVFIVSGIGMCICMTVLAITTSLDTERQAVSITSVVFIWLFNLFYPIGFSGAN FLYCTEVAPMRLRVAMSAVSTGNKWLWNFIVVMISPIALDKLGYQYYIVYAVICACIP VSVYFFYPETMNRNLEALNHVFRDAPSAWHVVAMARKLPEGDVVDGEGGREEKASVEQ KENA ACHE_20214S MGSSRKSKSANQIDGVGGASSTTSKVAVVERSNRPDVDVEYTFV QVAPDQPRIDMTGNCGNIASGVGPFALDEGIVRAAPRQSEIDIRVFNTNTGQTIVETV QVAADGTFREEGNYSIAGVEGTSSPVRVAFLTPGGSMTGRIFPSGVQQEILTVPSRTI GTIHVRVSLVDAANPFVLVDAASMPLEEHPSWPDPNDARFLSLVEDVRREGAVRLGLA ANTQAAGQVRGTPKIAILSRAAGDDDMDVDLQVLSFTMGKPHPSLQLTGAVCLGAATV IHGTIAWELAHAREIDRPPKHGMLVGSHQIAAPVPVGIRHPAGVIHAETSLRMDRKGG VHVDRVAVFRTARRLFEGSVLYRVEETEAYM ACHE_20215A MINFENDSGMAFLSLDMMYRGKRRQGDRFPGFTNRPAAGKAAIP NRLQNQTQVADASVPVEPIRKQLMGEAVYQRQMEMLNFQQGKLDFDGVDPELGMHLLS LHWNRQHHSFLITYRPAFMRDMATEGPYFSKLLLNAIYFGASKFSNRPEVRQDPTDAR TAGWTFRRRVKELLGSALDRSEITTIQALLVMTSSLFALGDERSAAWLYAGTAFRMII DLGMHVDATMLPNMRRLSPEDLEIRRRVFWGAFVVDKIQSLYQGRPVSLQHCDTRVSL SFLDHYEELEPWQPFAYSDTQSYSGSPAYSVSTFVQLCKLSLMLNEILNKVYSERSSN RSPHDLIASLNTLDGQLKDWHNALPDHLRFNTHATRVVPPPHVLSLLALYNLQVILLH RPFVSEGHLHTADPSVALSSFTTCTAAAFSITQLLQAYDNTFSIQRAPYLISYATYVA ATIFVRVAAQREGASRAHASLQNCLDVFEKNQETNWAVRRAHNVILHLMNRMGVRLEN QPVTPTDGIGSLLEQPSGSLAIPPDSNLQGPAPVTSHENPPEIEASALDIDMIIQSFI RPPSKNAEGQAAGEQGESSFMSSAVVHPGWQGTLAPTLPLAEDLHDHMPFDDMLFGFN GSPQDGLFGS ACHE_20216S MGTTIVPAKEKQSMWVSLVAGGVAGGVEAAVTYPFEYAKTRVQL LQTSTVATPSNPLRLILHVAKQEGIGYLYTGCSTLILGTTAKAAVRFVSYDTIKNTLA DESGALSPGRGILAGVVAGGMESVLAVTPTERIKTALIDDAKNARQFRSSMHAGQVLV RTHGVSELYRGLVSTTLKQSATSAVRMGTYNILKEVVRAREIKPSVLTTFGMGALAGV VTVYATQPLDSIKTRAQSAQGASFAEAVWSVVRDHGLRGFWKGSTMRLGRLLLSGGIV FSVYEEMASILCALGSR ACHE_20217A MTYGEKAEEHSNRSPGVAAYQANFIRGGLVFTMHHHHYANDVMG WAGLNQLAENCYAIVHQTSFPLWKPACLDVSRLAKAEVPEELKVDGPVAW ACHE_20218S MGLLDLIKGRMIIVGQIQLLYHEADGAVLLLDKGMTTVSILLDG PAQMVFLAQRSLQTYLQHLDDVSLVTIIQPEKDDIVLVAADAEIVEGGEFEPFLRVIF PTEWMKVNMSC ACHE_20219A MDIFTEPSSHIHWYERMLPIGNGTIDTASVVNNHTYRTNAGKST THIINSMAGNIDSHSEFSSGKGLSNITAVLDKTHYGFNKMTFLYETTLKWDLVRGDD ACHE_20220S MSQMVKPRQQGLGTQSNKWYNKLEISVLEKLVRVNTAAHALDLT TGNGLVARWLAAQGTGVLVTDVSLKKIDITSSRL ACHE_20221A MTSNNHVEEIFELPGDPVAISPYSSSAAIGKNGIDLKDDGRVDI DLDSNLMRRFSMLHTSQFLRRPSTPPPEYSELPPQVDDATTRELGHLPKYPEPVTENG KAWNVKLNIVIQVVGSRGDVQPFIALGNELMRYGHRVRLATHGIFESFVRDSGLEFYP IGGDPAELMAYMVKNPGLIPTMKSLGAGEIHRKRLMIRQMLSGCWQSCIEPDMTTHEP FVADAIIANPPSFAHVHCAQALSIPVHLMFTMPWSSTKAFPHPLANLRCDNADQDLKN YISYDVVNWLTWQGVGDVINQWRKELDLDEVAMFEGPYLAEILRVPFTYCWSPALIPK PSDWPSYIDVCGFFFRDFCQYEPPADLQAFLASGPPPIYIGFGSIVLDDPEKITAIII SAVNAAGVRAIISKGWSNLGGTENDNIYSIGDCPHEWLFQQVAAVVHHGGAGTTACGL RNARPTIIVPFFGDQPFWGAMVAAAGAGPAPIPYKKLSVEALSQGIQYCLTEQVATAA SAIAIKMSSEAGVRAAVSSFHRNLPLERLQCDLYPGQPAVWSFAKGRRKIKISKIAAE MLVTERLIDRKSLVMHAIKPIVIENRRWDPITGGASAVVGTSTALTASILGTFYKPFK EYQDYHENRRDRPSSSSSHRPIQRPASRSSSGNTSSRMTNHGEELDNLGTMDTTIFET SSLSHFKEPSDHHKIRLAGRMAGASAKSLASFGPTALKGMMVDFPLAIAEGMRNVPRY YGEEPRDHGPVTDIKSGFAVAGKSFAWGMAEAVSDIVVQPYQGMQEDSARGAVKGIGK GIANMASKSGCAMFGVLAYPSAGIAKSLQSSIHSKTRKKIDKARHSEGVWLLKDGRCK EPNSVFTTFQGQFKGKKR ACHE_20222S MKTCLILALLAHSIACEAFYTTSSQPTYQPETYTLAASGPVPLN NATVYKLSSDGSSPAIFILDYGKNVEGYATFEVTQQSGNTSIFEMSYGETREALDVYM ADGPIPLSAAMDTYRINRYNISQPTVQVNRLIQGALRYQKLNLSSAGELEVTAVGFKP TVDNTPVSDLPGSFACSDPVLNRIWNAGARTVQLNEFPANSLPDSWVIADEGAFIDSL SPHHLHIYHHGLA ACHE_20223S MHMIDGYIGTSWWCWGDLESDLKDKPFHAWQKGINFLDAPFPAD PDNWALGEDPSELKFEDRSGWVEFEVVE ACHE_20224A MESAVFSLFPSLPAELRIQIWRDALPDKVGQALYFYKKGCWRPR HLTEADANYNPHDDELNLVFEFHHELLDDVQLVIPSFFVNREARGITLTWIHSQGIKI QFYKERQCLTFARSFNPKYDTLYVPLEKWDEFIIEPYDRLEEPDLFNRAVECPGPQIT RIAVPQALLQHKVNPLADFFEWYNHLDMLFIIIDTPPDLQPEDNDTGVQQQWELKSTQ GATFSWNHDRGVFVGGCDDISDQALYKLIQGASDGLGEKLADNHKRSFEVHPGFAVQK ACHE_20225S MYGLKGKGGAEKKAGGNIDDSKDELVLKNMKEWSHNKFKTSQHA ATKIITVQLVDNVKTKNEASDAITQAQQLVNQKTK ACHE_20226A MVTIQAVGAASAKGTRPYQQDTYTLLPPDQFLPESDPNLAFFAV YDGHGSEIVSNHASQNLPSLLSQSLTSTSTSTSTSLTPESYENAIKQAISSEEQLLVQ EFKNGEADYAFAGSTLALVLLDLKSGTLVVGDLGDSKVFLGTLGGNDGDQVEDVKCLT KSHKPSSPDEKHRIENAGGMVLPDQHEIPRIGALNMSRALGDLQYKTPFTNLSVTNPE TNEENPLTREQARAGVEPSEQQGDFLSSEPDITRVNLRQGKKYMLALVSDGVSDSPKV DDEGFIQMLANGFNSGIRGEAVVREVINEVVEGVESDNATCVGLLLQ ACHE_20227A MFASTPTPKPGSSLLSSRDTPSSADDTTLVTYESVTSVLVTQLM PSAEMFKTLVHDSASTLTLTSTSTICATCKTTDTATPTTLSTSTISLFSAYNPLTSSS PSQPFSPSSPSCPEIVPRCINTWLDSVPKCSSNSDVSCFCPKSSFTNHVIGCIQSWAG SEEEVSAGIGYFVGICAGYVSENPGIVTGVPGSVSIAPVTGTATGDVSATAVSYGTRT LTVPEVSFTTDAAASASGSQVQLVPGAPATTLSSSATSTTSSTTQTPWSPTWITSTTS DGSSSATPSSTHTGRASKVEVGRGLGVGVVGVVFAGLLF ACHE_20228S MTEISSPEDEDGDGGGDEVGVAEVEAAAALLLHAAQTVEVDVRV CVDNVVNKDVKELSPDNVVVASSVEPGGMMPIDEDAGYEEIGPDEIETEAPADEDGPA GAGTETAIEVGVAEEEAAATLLLHVVQTVEVDVRVCVDKVVNTDMKELSPEE ACHE_20229A MLVPFLSFAALAQASALFWDTDKSYTRPQTIKHTCTSAQSKGVE FKWTDISTGDVSTYEGFTFTGFKVSTDFHGYVGKYLEGKLTTNASDLQVAMEDGAEFS VSLLNFATSKETEIQVIYGMSDGTTCKNTASSGPNMTDIANQQCGGAISMGFQVPESE GDGDITLAFYSIDFYCPQLTSGSNSKRGLSARDKIGAEAPLDATVFSTNEVTITSCGP EKPDCPASSTAVPSTESVGAGMPSSAAAESSAPWTPGAPSTESVSVGVPSSAAPESSV APSTPVTPVETSSSAFTPSEVASPPVDSTVFSTNEVTITSCGPEVPDCPASSTALPSS TEAVSAGIPSSAAAESSIAPSTPVTPVHAETSSNSVFASSWSTSASPSHLDATVFSTN EVTVTSCGPEVTDCPASSTSLGVGFLSSFTPPAESSVPGGWGSSVSPVETTLSSFESS WVISSSAAVTSSAVIASTSTSIELGSWSWSASASASASASVETSSSIAVSSIGSVVPS DSTLTETVSGFVPAGSMGASSVPVASTPVSSTPVRPTKSTVYSSAAPLTSTRPAIPSR SSSIPVISSSRAVIPTPESSSAIPSVTPTEEGTTTLVTYETVTTCPITSTHYSGGSAV TSVYSTLSTLTLTSTSTVCTACKSGSAAAASSVMPTPTSTSVISSVPVSVPASSLSAS STPILSSWGMSSHASSDHVSTTEGTTTLVTLSGEQCGYSF ACHE_20230A MHHHKSKAPPRRAGKSLSVGPLSGDRKRRASSSVSSVSSVSSVE DLSDALDNSSEDDADDEEDPPAPAYHKDKGRKSAPKPAKKTINNRNKKQTTRKKKKAA RISDDELYDDDNESEGSSDDVYAAVDYISDGDGEDQDMEKLEEMMILESESDSRIGNL LSTADVGDDAETWAGPTNIFDDHMLLTGASFFDEDQLYSAMENFGETDLASEAVETPM QVPRHVHFETHSDSSSDSDSHTEDEIPGDFLQQDSLDPQLRRMIENDNENTRKQNRRR MSNDDIFGDSDYGHSNIYHVESDAVDDKSESSGYETDDGETTDEDLPPPATITHPRSI LRRDSSASLAPPADEKNESTTHRRGPVMGSFVPDPNKPVALVDCTGKHLVIIPAYASS RHDWLESANNSMPGTASNSPRATTMQIIDESDTDALASPNHADFSPMLASGANLMMTA LGNDITPGGQVMGPPEAFYPSQDITIDSSFEDDDDEDPESALNVNDFIDFGDGSSDDE MDEKPFDDELTSPAMTNPVPMVGAGSPTPTRTAESQQTNSAERFLNHLDRGIVTAFRR NHNRYQALLRLPQHREFMPANSPARPASVFRHARLADQRTPTRKRRNGNTGDAVRRKL MDAHRRNQLPF ACHE_20231A MQSNRETPVSTQISTNTSPTTHDPVLTSDDEAFFRQIMSRPDAA GLDTGNAVTADAPGPVAQDNQNAEEFGKKLGEQQRKATDLEEQEKSGDKDRNKEQAES TVEGKKKRWSLNWPWGKGKKKAEKSDDANETPDRGDSKDKDATKDQDQLQQSQQAEAA TETSAPADKPADDDMAEILEQLNLAAENNRIFSASDEMQELLRKFKLIFKDLINGVPT AYHDLEMLFKNGNNQLQDTYSKMPSFLQRLIEKLPEKWTDSLAPEVLAAASEKAAKNG VNTENVAKAAAAADKMGLKVPSLKELVGKPAAIVGMLRSIVAFLKARFPAVIGVNVLW SLALSILLFVLWYCHKRGREVRLENERLVTEEEIRKMNEQMASEERPIRATETLTTTA PPGAAADMIRDGVREVERTRETVRTSTANPEATEAEVAGHGTSGTEVAGDENAAPVPT RTKSRLSIFSRSGKKASKAEPYPGT ACHE_20232S MAPIPTSTFFKNHRASTLPPPAEIRALNIATDKPSATSFNCPPP VIIPSLGLVVKYGADVTWIEAETQIMICEKLQGRVPVPEVYGWEEDGNQGFLYMELVE GYTLMERWSGLSKDEMRAICEELNQMVKLMKGLEQDDGPYIGSLNKQPLRDLFLIDRP NLNGPYQGPNAIAQFQETCGLWITDDNPTPIVFTHNDFLPTNILISNGPNPKVTAIID WAQAGWYPAYWEYCKARYIRVNPRYFSDAAIADWRTKYLPSIVDPVEEEGCYHPWLYF ALSKC ACHE_20233A MPLLPPPPSVPKERPPNTFLVTLLIYPNHWAYYIPSPAHPSLGI LLHVTGDTRTGFKLAIQRSYDLSLPENQNPPTTYRIPLQWVDGWWLDEEKMLNNGAGV RDCEPACAFERVVGRVEMPGLGEGLDDEGDKDWVIKVAEELVSSGVFEENVVSYLYTI RMAEWL ACHE_20234S MQLSHLWQLALLGLAGLSAAHPGAHEESSMTPAAKKTFLYNAKR SLGQCADRLERRGVKARAAARRAAISETYRKRSLLVRDTDRVVNTSHHSPLQVTSNTP ETELFAQNPVCILSPEGEIGPFWVKGELVRSDIRDGEAGIPLIMDGQFVDIETCEPIQ NLYWDVWSCNSTGQYSGVQSDMNGNGNDASNLDKTFLRGIQQTDSDGVAQFKSIFPGH YSGRATHVHVVAHVGSHTLKNNTIAGGHVSHIGQLFFDQDLISKVEATYPYNTNTVEI TQNAEDHVVQDETEDSNSDPVFEYALLGDKLQDGIFAWITMGINVSATYDTSNAATLT SSGGVMNDDSSPGEAVDM ACHE_20235S MSANDTRPCYFPDRTEAKNNVPCSPDQHTHCCGMADICLTNGYC LSIDHQPWVLSRGACTNQNWDSGCPERCVGDADNKGGGCSIVNLQYENEDGKSTYCCG NPVSGSGTTATCPTESQFTLDDGHAVPGYALLSNLSNFYVSGSAPSSSATPSILPAPT ILPDSSGDTCHDKAIGAGVGVPLGVIALAALAWGFFERKRVRAMHKQMSTVALNNAVQ QPPAYGINQKNQVPAELEHTTPVPELMGREQ ACHE_20236S MSMFRRSFTVKDEPSKTGRWIRKRHGKHSRHDKLKAQSRMDSLT ERPISNPAVTFVVSSERRLFVGHEHVIARSPYFRSILRDLSMVGAYANKVVELPDEDP EIFSCVLEFLYKGDYYPRLTHNELENAHDSKTFDRIASKATILHTRTRDEVLRDTVVY CAAEKYGLTDLKQLALCKQGFHVGIPIPIILRSARYAYENTPDSDADLRAHYLVMVIR AREMFKTSGTMEMEMEKGHAWFFDLFVAMCNHIDDLEDVCKQQTFKSS ACHE_20237A MISTSKASQTSLFQVYLRLRPPISQQNNIQPDRCLIVDPPEHNI EHAEDDQDAPLPATTHITLQPPADSKKRAVERFGFTQVFDESASQIDVFQDTGMDDII RGVLLEGRDGLIATLGVTGSGKSHTILGSKSQRGLTQMSLDVIFKALEPTMKPPDNSI NPNLLASVAASDPGEAQIFTAQTFLEAVYGDPNTERGRNSRAQTPISSSRGNTPLVDP MPALIFPRRQMPQRPSMLPRVPDVSKFAPDLNPKSEYMVLVSMYEVYNDRIFDLLSPA ITPGQGSSRQAVNNQKDRRRPVMFKYTERSPDRKVVASLRKIACSTYEEALAILDVGL AERKVTGTGANSVSSRSHGFFCLEVKRRMRHKITGEETWVGNTLTVADLAGSERARTA KTAGSTLAEAGKINESLMYLGQCLQMQSEIKDGKAALVPFRQCKLTELLFSNSFPSSN SSHSRGPQKAVMIVTADPLGDYNATSQILRYSALAKDVAVARAPSPAESIFSATLHSP RVSDHGWNSPDPALNGELEDALAVISRLTSENESLSVRLTQEEIARAELEMHLKASDE RCLMIEQEVREECWAEMDEKMEEERKRWQSAWDDQTGRNDEHMDKKIELLSRGFQINE DPEPPADEKYQFLEFENDQLRAKITALERELNCRSPTKQPTRPRTRNALKSSRNSNLL GRESDIESALRHMDQLKLSENLFAPAVTAGPGGSPGKRTRKMATRKWDLAPEDEI ACHE_20238S MLSFLSSSMSSARQSLAQVLNFALVLSTAFMMWKGLSVATASSS PIVVVLSGSMEPAFQRGDLLFLWNRAPRAELGEVVVYNVRGKDIPIVHRVVRTFPEVE GKGKNVKEVEDASTVPNNMLLTKGDNNVADDTELYARGQDYLNREEDIVGSVRGYIPM VGYVTIMLSEHPWLKTVLLGIMGLTVMLQREQ ACHE_20239S MGSQKRIAKELAELVESPPEGIKVELANEADLYDWKVYLNGPEN SPFHNGTFLVKLKFPNEYPFKPPAVNFATKIYHPNVTNDEKGSMCLGMLRPDEWKPSS RVVAVLQFARQLLAEPMPDDAVEGRIAEQYKNDWARYEEVARDWTRRYANEKA ACHE_20240S MVTENGEFRYPPRVGEFDIPSRRRVPPPPRLDPLRFHPPAPPSR PATSHSQGAHSRSNSPRLPPAPFRARGPLPHGYWPPPPEELVSPCPSPQFGPDYNVYY DFDPPRATHRAPTFPAVPHEPVPTWRHMDGEGDRPSRRKMPPGRAGLRISMSASRMNH QKRAKGQHSRERRPTDTSHPQALGLPRATPSSSLDAYGGNDALRSSVNSAMTSRSSIE QNSGTERSSVLTKSSSITDLSPDTPDGPEKDGGMSVEDAISMYLDGFSDVTEEPSSPD LHAEDKSRPSSPRPSTTMTMDTFDEQSPDMNPMEGLPPVPPLPEMQPMGQNPPHQELL NDQSSIHETSNEHSHETYHHEPTSPAKDPGIARQESTIVVPGIVPPPLLPGTDSRDRY GFRKASNHVPIERYDAWSRSYSSFAANRKVKWAELLREHGLETKEPTRFPPKSSRVKR FVRKGIPSEYRGAAWFYYAGGFELLSRNPGLYDELVQKAMISPSNDDKEHIERDLHRT FPDNVHFKPEQGASSGSSNPQGTVVETQMIQSLRRVLYAYALHNPKVGYTQSLNFITG MLLLFLPEEKAFWMLHIVTSLYLPGTHEISLEGANIDLWILMVLLKESMPNVYSKIAA TGPGSNKARVPALTVHSRLPDITLGLTNWLMSIFIGTLPLETTLRVWDVFFYEGSKTF FRVSLAIFKACEKDILAVSDPMEVFQVVQTVPKKLLDANTLLDDCFVRRHRVGQGRID ELRAQRRAAVRQDKIRRSMALTQGQLQAATDEWPTQRSRTPIPGLERSIADSWRHMRR HHHAVR ACHE_20241S MSGQAASYYNPSQGFEEAPQQPPQPYQQPFYNLQQQQQQQQQQQ QQQQQQQQQQQYAPYPNQGVAESKPPENPPTYNQAVYGFDEAFKIEKPKLNDLWAGLL LIAVFLGYVAISGLTIHRYAKYKGFNGGGIYDAGNEVSLNTNTLVLFIFVLCVAIAFS WAYFMGARFFPKTFIWVTGILNIVLALATGIYYICRKQYGGGIAFLIFGIFALVCFIS WIPRIPFTAFMLQTSMDVTRKYGHVFVVSAIGGIVAVAFAAWFSVTLVAIYVAYEPTS SSSGRAGAVDGLSDTSTVSNPSCSNGGCSRARVIGLVVYVTFAMYWFSEWIKNTVHTT IAGIYGSWYYFCGEGPGGQGGKNMPKGSTRGAFKRATTYSFGSISLGSLFIAIINMMR QACSIAQRHEAAEGNIVGCVFAWILGSCISLLDWLVTLFNRYAFCHIALWGKAYIPAA KDTWAMMRDRGVDALVNDCLMGPVLTMGSVGVSYICALLAYLYLQFTNPGYNADGNFT AVIMAFAFVIGLQVAQILLTPVGSGIETIFAAMAWDPEVMMREHPGLYQELVKVYPRV QQAIHA ACHE_20242A MSQFRLFPPLTPTSTTKDNLPSRGGRGRKVLVGSSSPSTSTVLE DLRGSRKTDAVLRQIGENAKAIQPPKPPPKAHVTKPALKSDIFGGANGRDGERQEPER LALSSSSTLADNRSPGRFSALSIPIKSIFPRYNPNLPLSEQEYYPQISDSNSRSRQKP KGLVLSPEPEIDRALGPKTVPASVMEFLPGLLDSVEVHYSSAIDLQGLWEAANGQRLE NQTASFKLRLTRTDPTTFTFSDPQHQHPFYTLRLTTPAELTISRTNPTKPTNSIPIMT LALKDRTRREHPNDGLVSIVSSRLAAMLAVEQADEVARKQHLTPSEALDAQRKALKRA EEQESCRLAWNHDLRLYTLEHPSFSKQATPDLISAAGIPLSPARPKGPGAVHITVSTP SPSPTHTTKQPQSQPSTILATAPTPSNSTSTGSARLAPTPRTSMLPLTDTDDPLASLD LSTRTLCISSNAVIATIPSLYAIDSVVAGILAVAVVDEGSRGVLMGMEMGTGCQNQGT RQELFTTVAEREDVAMGCDLVERFESGPNTGAVNDDKQEPSNSDTKPPFWNRLRKPRP QKPKQKNKQIVIEEFDLEKYGRYGPSSSREGEKLPSIARGVLRVLFWGLDLVVKMLTA MVKMLAWILVNLTRCVTSERF ACHE_20243A MEPNGPPNAPGLSSSGDLIQQRKDAVQAAAQSPRDGSYLSQLTN NPFFTAGFGLAGLGAGLSFAQKGLRHGAALLRRRMLVDVEISIKDDSYPWFLHWMTLY QQSHNSARTTAAKSSFMDSLLQRLTPGMRHLSIQTQKVEHSNGAIHTHFALVPGPGKH VLRYKNAFIFVNRMREAKSVDLQTGRPWETITLTTLYSHRHVFEELFTEAHAYAAKAH EGKTTIYNSWGTEWRPFGQPRRKRPLESVVLDEGVKERIVTDVKDFLDSGSWYHDRGI PYRRGYLLYGPPGTGKSSFIQALAGELDYDIAILNLSERGLTDDRLNHLLTIVPNRTL VLLEDVDAAFANRRQTDVDGYRGANVTFSGLLNALDGVASAEERVLFLTTNHVDRLDP ALVRPGRVDMTVRLGAVTRYQVGCLWDRFYGELDTTGAYRHTFLTKLEELGLIEDENG QQADRSRTTSAAALQGLFLYNKDNMEGAISMAEALTYSVHEEAMEN ACHE_20244S MRSYQRWFFFFRKQTRSTPCSNLLLARRNMSSSTAAVLYALTVT TSPTSPGPEDATKKSHHLQEGFKNPWDSWYQHDFFGILGHMVHRRISGKGNVPDTKPP TVSVQKPEFLPSRETSNLRATWLGHACYYVEFPSGLRVLFDPVFEDRCSPCSFMGPKR YTKMPCEIKDIPVIDAVVISHNHYDHLSYPTVKEIFERHPNCHFFAPLGNKAWFSSCG INNMTELDWWDERDIILSPSQRPAPAAGVEMPDGASEAKNADIKARIGCLPCQHTSAR GLFDRSKTLWSSWSIESGGRKVYFAGDTGYRAVPELPDDVDDHAPEYNFPACPAFKQI GQYRGPFDLGLIPIGAYQPRYIMSPMHADPHDSVQIFKDTKCQRALGMHWGTWVLTEE DVLEPPRKLREYLRKEHIAEEGVFDICDIGESREF ACHE_20245S MSRPPDPDPDMIEISRWSTSSSEEEEEESGEGHEAGSRGSKTSQ GKNSAHDRNAGKRDDNPQNEEEVIYELDEDAMSQLPDHLQINMREIQQERMERIHCEN SNVQIRKISDQKEVRADNWFRNMLSRFPSRPNMGFHANRDTVRRRHSPSLDDLEAHER ARLAPGSPMPLTKDNLEYLTECTGGETNVMLAAATRRRVEKKASIKDRIYSRLSGKRR REEEAALRHLKNIESQVHVGIPQCYRDLVKEEEEQNRQLSAQIAEGRHSLRGRVKESM QKMSMAFKKD ACHE_20246S MQAPVVVMNTNAGDRQVGRKAQLSNIAAAKTVADIIRSCLGPKA MLKMLLDPMGGIVLTNDGHAILREIEVSHPAAKSMIELSRTQDEEVGDGTTTVIILAG EMLAQALPQLERNIHPVVIISAFKRALADALAIVEEVSTPVDIDNDDEMYKLIQSSIG TKFVSRWSKLMCDLALKAVRTVSFDAGGGKQEVDIKRYARIEKIPGGQIEDSEVIDGV MVNKDITHPKMRRRIENPRIVLLDCPLEYKKGESQTNIELTKEDDWNRILQIEEEQVK HMCDAVLALKPDVVITEKGVSDLAQHFFARANVTALRRVRKTDNNRIARATGATIVNR VDDLQESDVGTQCGLFEIEKIGDEYFTFMRKCQTPKACTILLRGPSKDILNEVERNLQ DAMSVARNVIFHPRLSPGGGALEMAVSVKLGQLAKSIEGVQQWPYKAVADAMEVIPRT LAQNAGASPIRVLTRMRAKHVEGQVNWGLDGDTGNLVDMQEYGVWEPEAVKMQSIKTA VESACLLLRVDDICSGKSAQQAGVGVGGGDD ACHE_20247A MSEPGPESIPTSADRRSNRPLKRRALNTPHSEQASQISSLFRDP SKELKLPEASRQKTASNLPPPPEIVANVQGSSAGAGSGEFHVYKASRRREYERLRLMQ SEVDREKGDEEWEKEREETRRRDEEKTEKNRKRREKRNATKKKSNGKKGGGAGAGANG NGNGDNGGERMAVDDTGVDAHAGAHGAGVVEETPGVIIHED ACHE_20248S MNTHTLTRYHRKAHRHQHCGIDFQRSAWVSISLSTSPHLSNSCL PSLQPLLSIPSSQKIEVQLIPSVIEEVSCDFQLHISFVPHCVSRREAELRCRVIRPRM GSYRLEEASTGRAGCQNKECKDSKTKIAKGELRFGTWVDTERIQAFMWRHWGCVTPKL IGNLNETIDEEGGGGDEKDYTAIDGYEDLPDELQEKVRGALEQGHVDDEDWKGDVEMN RPGKTGFRVRKKAGATAKKDDEEKEPPKTKKRGKAQDDEHEEGTEKPAKKTKKDARGT KKAAKEEPESENAEEGSENEEEKPARKSRSRATKTTSANRKKQDDAAEEDKDEQMADA EVPAEEKPKRGGRKKRAT ACHE_20249A MSSWVALNIEPDEAVEEEVDDTKEIQIEEALKLYQNALKLHSQG SQFYPQTAEAYDALFNSDIFKYPESFSDYKRIALQDSEPEPQPNDLLEYLATTDSADD IGESDINDSTSSTLLQTIYLSYKNHGQFLLDSLQSFLQGVSESPDAARESATERLERS RAALTSFAEALERDDTDLNLWRQSARLSSALQSYRLSRYCLESVLADDENRLEVRTEQ LGLEETIAEERLRNTLQSLNDRLAVAQVPVKRPKKALIKFLQRQADPYPYLPTLPENL EDADSSKNPLPLKATRHVLKPSSATWDAVGKEILQALLDEEKHTLNIGPGASVDVQLP TTSPEPQDTTPKEMQHADESPKMEDEQVQSIEQQDIAMGDNQPSTSNAQENEPNDIPA EPTEDQMISADQQPAKPSTEPQDDQAAQHPEDSIQEQPAADEPDSKSANGYRKRSSAS AVNDEQAENLRAKSRRTRARESNIDPSQADEIAFDQSKYYEDRLEMYVYADQWLFETT DSFLSKAGAENLGDLDYMRKRMSLVGDANGPVDSAGNFESLLSQDLGSIINNWDDKKA RAFSESDNFSNLHDIQSMSKSGLAIFLEHSKKSTRKSGINEVLSGGEKLPECLKPINE GWSRLGEVAFDWLKGLLMPEYGEYSDGVSKEENFPATESTYISLQWPETLKDTVVQIL QREDEYIYNRLSGQIANLERQVLDLSPDASFAYDLKHRSELEMIQAIFELHLDVYAQI NNPNSEVDQETRLLQWDRLCRWSMLAQNSLSYFVDHSISGQSGNEIILRHLWATTFHS NMAPDVQREHILLCLQDLKQILDRLGNPDITLVNNAVMPELSSEAIDREVSKLKSMGF FLKIFSPESEDPVDIIETIEPLLEPSSIECQNGVSESESDDNDNPASDFHEMGSFLDR GDATLRLFLWRRLQEAYKTIDYPPKVISCYLRGIETIVKELCSSAYAEELIEHRQHTL LRWLKSLDSILSKLVTQALQEQDKAFDCIDMDHLRSSMSALVRLLKLLHSFTLYEDSV RVGQILAPEFRSSLAKSLEGFRDRLREMEVRCWILQYILFKEVIAQNKELFDAPDADR IEYLRTVHYALGLRKMCRRSGKEFLRLMKSELHDVKINEDLDLEICQVFYDLHGYRLI NHDLITDHGCPTERIDRATAIMMIDFVLRQAMKVNIKDFAKSDLKSTIDKMQQAIGSA KSSPPLAYNKRIFSSYLKSAINPIELYRAARGVEDLPLIPVTTESATIAQKGWYFLLG YSALTKFRSQKRLAPTGTGELDDAIGFFRQDIENRSGRWETWYRLAQTYDSKLEEDIT WSAEKINNNRNELATLQRNAIHCYAMALATASRTAEPTPETRNTLSELYTDFAIRMYS SSREPLSMGPFSLSDYARHYSNEENQQMYKGEPFREMKPYSVWNFTSYLLKRAIVDKP KNWVNHYMLAKCLWKMYRSDDSVRGNFKRVSLDDVLDSLLDAIDTLPQRKDSRSEPIF EPHYKLVSIIHKLVHGDVLIPAEGSKTLLATPWARKVKAPEDKAGWQSYILEVLRNLK HADKSNWHHRMAMRAARIVYDDQEDASAAAVAAKNELTQQIFTKTMAIQVWRPENERP GRHFVYTTRYVYFFVHLLDQLDDRAGLDQLLRRVRKRQGDFINHPKLWEDICLTYGRV IRRAGKINEGHEEGIFKPIGWEEFVANTGRLENLPELVAPESASLLELLRDSIELKKL NNNLMKVSLLEDLIADLYSRLYELNLPHVIEQASEENKEKMKVDHLLMTMDGAADAHP TGPGGPTVHPPGTTATAISAPGQAQPTTTTSTEAPASVPRGRTKGIARRDIQKRAEAI VASKLTTRPPTKLTTTTGPSTTTTETETQNANETGATTTASTKAPKSEPGTKDKKPGS TRESGDESELSETDEEKMTKLDAERQHSRVFPNLKPSSPQEPGSEMSVPDGDAEGDGG ETANEGEGEGEGDTTVEESFISAAPGDETEVIDEEEGEGDEGVEEGGDVADGEDGDDE GEDDNENEGEGETEILKDTEDGAEGEGGQEQFQATGDEPEAMET ACHE_20250S MVAPAVPEIYEEDDIYAAVDARTETLQNLRELGPPDLVYLVKQP KASGSRQTEQTGVYHHVTGIDASSSASLAAYVNTLTFSPLDKTHKVVSGIYCCYNAFS HLDMRVEVKIPGSLESYCIDERGDKRVATDALWLETFLCGVLRAYAYADDGGGDAIRR IVGVRRFNPVTNTEMEHKFLDAAERLFFMGRQLSSDPETQVPNTVSNHLTTGLLKYIQ TTGRYTSGINLFEKLRTRDVEVSSLLSRVLLMADEEVRAVRLMYDALQDVPMDYSLLD CQASFCQKKGEGEMALECAKRAVTAAPSEFSTWAQLAEVYVNLEQWDLALLTLNSCPM FTYQDRDTPRMPQPSRIMLPILAESILDEIDEGQPRQGDPHDYVHPSLRKLHAAAYQG TFLKAYNLLTKIAAAIGWDQLLKTRSEVFVMEEEYRVERQHSTSKPPGSVKSNSGDVE MNGNGGHAENSANEENGEHEGNQIERPEHTMASEVVKSGNEDHDPSHSSYTQFKNKRL CERWLDNLFMVLYEDLRIYTIWRTEMAQYRQQSMEYKKSATEWEILGELAERLHHFEE GIEAYQHCLAIRFSPKAMRGALKLHEAKNNTRGMLNSLIRLIAWQYRWYSEFSPELLY LIRKLIEDEGAVKVRSIVQATNLPQPVLDLTHQYCQLCATFRSSGSDF ACHE_20251A MVTRKRKQEAVEEEELQALPSDESEEEEEYQSSDEEGSGGEEES EEEPSEAESEEYVEEGDGESEEKEGKGKGKDRAKPKEEKGPTPKKRKTSPPAAKKQKG DEDTGEKEAPTGEEEEEAEDEGEEKPEETAENSGPAASAAKAKGDKVPKESDLPEAEP EGEGEEKE ACHE_20252A MASTPRKRSSYDAGFESAQKDDKHASNLPDGRPLPRISKARACA ECKRHKIRCEIKPGASSCSKCLRSGIKCVVNDFSQKFVDDDGVWKSQAAASLQQLQAA VSHILRQNGLPELSAYPAGDSRNNPSPVASINGHPPSLHDDAQSNTSHNGPEPVMDVT REPSQEPDLQDPELVPAPMRSLYEVTKLRNFRNNPVEKPKVTMLEEDFISRGLISVHE AEELFAYFSRTMNQLLWGGIILVHRDLTSVRRASTLLSAAVLTVAALHIPNRTDTLNR CYGEYVSLVSNMSLSRAHTLDDIRALCVGAFWLSELSWKLSGHAVRIATELGLHQSYQ KFIRGHTDQYERAQLWYLLYVCDHHFSIAYGRPPVMHEDNAIKNYETFLQSPMVVPGD IRLIAQLALFMILTEAYRTFGSDTEQALTEEDFGQLRVFNVAVDQWRLLWQPRSCRYS QFYGGTPCELTSSLADSPYVRTYPSKGVVLHYHFAKFQLNSLSLRALSPSNTPVFSMD RKESANIAISSAMACLNMVLEEPDIRDAIVGVPIFTHTMVTFSAVFLLKVAVNWNSAY LSLDGRQVRNLVERVIELLNCVSAGEKHLTRHIARGLGKMLERFDSWEMAWQAGRIPN GHGSRHSVPVSTCGIVTSGTPVEVPGGANAMAQGFPPPDLIYDMVGTYGFGLDENLLD PSMASFEFLAQ ACHE_20253A MYREEVPLYGDLVKIVHNINAVTLEDAISKTSAADTVALRASAE WLTLERHGANRLGIPYELQIVKRIFAVLGMYPIGYYDLSASGLPIHATCFRPKHTASL DWNPFRVFTTLFRPELLVSKDAREQALALLEQRRIFTDTLLDLLNTAGEQDAQLDHEQ AEAFVPEALLSFSWRSVAAAAFDQYRLLREEHPILADIACLQSAHINHLRSLNQTPDP FPILQCRGHFGVICQMIQGMPIAFLGYH ACHE_20254A MVSNPLSSVVKSDDGEGRHMGHNLVHHINATSRIENQGPTNSHA ISQAVSDEEGLVQKAGASQEVSDIGWEHGPGEIGEQIVPGLANDDLWMLLRRFDKQLY YVKALPDTPMQRLDLVRAEDENFSPDKLRATLERFYTTVALRLISAAKHIARLRSWRE YERTLGFCIVYFAAWLLDLLAPTFFIVLITLVVHPPSRTLLFPPAPIALVDTATGGTK KPKAGHLGSDDSATGAPERYKGEAAEKEASNILASVAGLTVESAAGKHEQEMPEVEPE NAPQDAKIDKTPNPAEIATKAADARTAVHGEKPEESHDKTRQPIKETVVNLANQSMRV LSDITDTCERFENALSPTPPFPMVTPYLRFVGVLMFALFTSFIISSYAFVKISTFLSG FVFFGDPVVQRAVKFLDRRYSNWKRLLELRNTLLQGIPTNAQLTLTLLRIGETNLAPL PPPPGSRAKNPSYGNNTSAPTSESENSRPGSPSPQHIAEPHKQKQGILSYIVSFFRGT TATSIKSKLAVDRARAVAGSRHAKARVGILRSKGKRALPSGPVEFDARYKGKRGAAII DSSKDPPLLYFTTESALHFDNMEIESRQDGTVLFSLPVSDIREMRKTGGLGWKGKLVV GWAVGSKEVVDGLLLIGREPGQRYQLTAMTTRNQLFDRLIAIDGQVWQSY ACHE_20255S MSEETCANPLLLGWIKEWLDQARERNSKGFTVYKKAYESMKACP LVFQHPSEAQQLNGLGPKLCDRLTDKLKEYCAQNGLPEPEPPHKKSKRPSGEGLPEDQ PAKKLRKKKAYVPALRSGPYALLLGLGTLNENASQSMTKAQLIEAAQPYCDSSFTVPP DPTKFYTAWNSMKTLVQKDLVYEHGRPLRKYALTEEGWEVAKRVQKTLPGTGQSTLPF GGTDEQASANPRLQRTPSIFTDNDNDIQASTSQRPGAVPETLDEDQEDLNPYTLGTGA ADGSNITPIPLAPKSFTIQLILDTREVRSSKDRDYISNELTKRGVTPEVRALELGDAM WVARVHDQSILSRHGEENANDEIMLDWIVERKRLDDLVGSIKDGRFHEQKFRLRRSGI RNVIYLIEEFTVTQDSAMASKYHEMVASAIATTQVVNGYFVKKTRNLDDTIRYLTRMT FLLQKMYDDSTNTLSFLPTSQISSSQAYLSALERLRPQSPAMTYGVTFSTFSALSSKS DVLALRDVFLKMLMCIRGVTGDKALEIQRRWRTPRELVEAFQALDPRDREDMVAERMK GMVGRKKIMKALSKKIAEVWGS ACHE_20256A MEDKNNGVLGAFGPHFPVHEPRCLRSTFFVSNVHCSSCVAYIND ILSDIPAVRGVEVNILTHEVHVRHGVEVGASRLATALIQAAFEVHYVTTYDDIEGSVV SEIDTTLLLPRDSILFSSVRASYPKLPLKNKQHIANCDACRKENQDRLSWSPRPEKNG LFRRESSCISPLAASNRNSFCPDYKREGSDVESLVPGASPVAMEPVQPLDPASLSAPQ HMDEEFNARISIGGMSCASCVNTVTNEVKQLEFIKDVVVNLLTNSAMLVYTGPKSNID KVIDQIEDIGFEASLDEVNAVIQSSRAPNAFVAEIAITGMTCGSCVGTVTGAIEELPF VTHVSVNLLSHSGVVEFEGEDKANSIVEKVEDIGFDASLTSVHPLAAQKDDNDESKPR TVSIHVDGMFCHHCPERVLGSLDRLTDVVIEEPLSIRNPILKLTYTPSPSSFTVRELL STIDSAHDAFKASVHHPPSVEDRSRAIQLHERRRLLCRLLFVFTVTIPTFLIGVVFMS LVSSNNPTRMYLEQPIWAGSASRMEWSLFIMTTPVMFYGTDIFHVRAMKEIHALWRPG SRVPFLRRFCRFGSMNLLISAGTTVAYLASLAVLIVDAAVGTNKSSQTHSSTYFDTVV FLTLFILAGRFMEAYSKAKTGDAVASLGKLRPPEALLVERSVTPDDEKTEVIRHINVD LLDIGDIVSIPHGASPPADGTVANTTGTYQFDESSLTGESKPVKKAAGDQVYTGSVNV GQPVQIQVSAIGGSSMLDQIISVVREGQSRRAPLERVADLLTSHFVPIITLIAILTFL IWLSLGLSGALPDDYLDVSRGGWTFWSLEFAIAVFVVACPCGLALAAPTALFVGGGLA ARHGILVKGGGEAFQEASRLDAIVFDKTGTLTEGGSLKVSEHEVLSNTNDSAEMQVAW TLAKKLEESSNHPIARAIMEFCNGKPAVFVQSSEIQELSGKGMKGVFTVSTLETKDPI QYEAAIGNERLLHELASPVTDTYYISTLLSKYQSAGKSTAILSIRKTKPNSFEKTPFI PVLVFAVSDLIRPEAAQVISDLQKRRVNVYMCTGDNHTTATAVADMVGIPRSNVMANV LPAGKADFVRQIQESSPSDNQKKTRSLVAFIGDGVNDSPALAAADVSIALSTGSDVAL SSASFILLNSSLTTILHLVLLSRRVFNRVKMNFGWALVYNLCLIPIAAGVFYPIVSGH RDVMGSNGEVIGMVDTHWRLSPVWAALAMALSSVSVVCSSLALGVEDRVRRFLGKSDI ACHE_20257S MSARPGMRAPMPSRAGSRAPVGRLASLKPTNPTPIRRPQPIARP QPTKPTTHPKTSTCPNPGCPAPHIVEDDGQKVCSGCGTVISDANIVSEVTFGETSSGA AMVQGTFVGEDQSHVRSYGPGFQRGGNMESREITEQNGNRYINQLSRALIIPESAAKA AGQVFKLAVGLNFIQGRRTKTVAAVCLYIACRRQDGNTTMLIDFADVLMINVFKLGRT YKALLEELRIGGNVFLMNPIDPESLIYRFAKQLEFGSATMQVASEAIRIVQRMNRDWM TTGRRPAGVCGAALILAARMNNFRRTVREVVYVVKVTEITISQRLNEFSSTESGELTV DQFRSVQLENAHDPPSFTRAREGRKPGRRIKRKAPETAAEIEDDEYEEPPERRVDADG FAIPSLPIDPALRNAATGRRQSASSAVSDVSEAGEESLTSKPGQTKGSKPKSVPFQPS AEQVASENALENEMTAFLAKGSSMVETASHPAQGQDTNRRFISDSTEIDASEFESDPE VANCLLAPLEVEIKERIWVHENKDYLRTQQAKALKRALIEADSGPGVHKPRKRRRGRM GDVTYLEGEGEDGDGRSTRASTPAEATRRMLERRGFSKKINYRLLDSLYGEEGTETKP DGASRSQSVVSGRNVSVEPEPAPPRTGVFTPSATAKPTASPAPRPGPAPARATAPQAS KQPNEEVLGPASGPNNNEEVVGEAPGNEDDYDEEDDEEEDGDDGVDAAFAGNYGDYYD DNSDGYDSD ACHE_20258S MIKEHLSSGDAAILDQIWRPVPPLNEVEPISDNEEGGHEGDDLS DDLDGSDDDHLEELTLTQITTQRKQARCKQPRNKTSEPQDDGDDGLLLPEMATEESTQ VRSGRIQKKPKLPDGFEIDKL ACHE_20259A MSLNLVGYESSDGDGHQELSSSMSIAPSELHNQTPPVNETFPTR EVAMKFFNQRNKLYRDMEMDGVVRPTAAEEHSQGRLLGEPRATRALLQFLASTSVALP RAHLQRTAERARRDDEWGLEALEKAIRTGEG ACHE_20260A MSPMISDGPAMVLSPPQDHAFGQFPSALPFAANTTLPNPVPSKP SRKRSRDDAGFEEAMNAAAFEPPAPVPAPKPVEEPIYGEGMVLLNPRTGMAVSAESQT GTWYEEKVEQSAAAAPPVFSRFQTGMSSGVQGRKSQRLDPTAPGLDDIALSSMQKRLQ NTTDDSRSSPSHQEPLVDDATRLLGISWQRINVSDDDDMAPAVRGWKKYINNQYSNYL QNCQILMKSRALNAFLVAAQPVAPAFGTVTNSPAYFLFSDDLDQARLVGSTWEACVQN LQSSPILFEGAETLQAKDKRSDNGSMQAPLGTNTMEAGVPLLQSLSAQPQPAGNAGVG GLNGGVGTGMEIDS ACHE_20261A MSAEGEKARQSGEISRPEPTLPTVNPAVDKPEPPSPTFHPAVYV TVWITLSSSVILFNKHILDYAQFRFPIILTTWHLAFATFMTQLLARTTTLLDGRKTVK MTGRVYLRAIVPIGLFFSLSLICGNVTYLYLSVAFIQMLKATTPVAVLFATWGMGMAP VNLKVLMNVALIVLGVVIASFGEIKFVFIGFMFQIGGIIFEATRLVMVQRLLSSAEYK MDPLVSLYYFAPVCAVMNGITALFLEVPNLTMGHIYNVGVWTLLANAVVAFLLNVSVV FLIGKTSSLVMTLCGVLKDILLVAASMMIWQTPVTGTQFFGYSIALIGLVYYKLGGDK IREYTGQAGRAWAEYGATHPAQRKFVIIGAVALIFFLFMGTMGPSYAPESVDRVKGML GGASAGNA ACHE_20262S MLSLARKTLNRVPSFQDILQGRMTHPDVSVDVLVIGAGPTGLGA AKRLNQINGPSWLIVDSNETPGGLASTDVTPEGFLYDVGGHVIFSHYKYFDDCINEAL PNDDDWYSHQRISYVRCEGQWVPYPFQNNISMLSKEQQVKCIDGMIDAALEHRVANTK PQNFDEWIIRMMGTGVADVFMRPYNYKVWAVPTTKMQCAWLGERVAAPNVKAVTTNVI LNKTAGNWGPNATFRFPARGGTGGIWIAVANTIPKENTRFGEKGRVVKVNANNKTVQM ADGTTIGYERLVSTMSVDFLAGAMADQELISHTKELFYSSTHVIGVGIRGSRPERIGD KCWLYFPEDNCPFYRATIFSNYSPHNQPEASKKLPTIQLADGSKPQNTEAQEGPYWSI MLEVSESSMKPVNHDTLLAESIQGLVNTEMLRPGDEIVSTYHRRFDHGYPTPSLEREG ALTKILPKLQEKGIWSRGRFGSWRYEVGNQDHSFMLGVEAVDNIVNGAVELTLNYPDF VNGRQNNERRLVDGAQIFAKNKQ ACHE_20263A MTMIRLRPTRIVPNRDDIHYHIEQIFDRLYERLRAFEPECYDDD FGDDLGSLIDADISSFRSSPAADEDYDSEASSAFDLDYEYKRYPSSQLEDYGIDDGIP LSDSRVIEHPHPRQYPRSEISNTTDEGSYGNGSYETAIDSGVGMQEDGMGLDLPSSRM NYGELTRAPHSPEENQSPQPSDKETTSKLDRDLDSKLVSFNEAKTRLVQLAPSIHQAF PNPRVNKMPGSIQDERNMSQTDIHMSDAPEHDITESGSNNTSCVSSTVSQNRGKRTRT SAGSRMSAVSSDTKSKRHSSQVPSEGETSSSISNRGAHTTGAASIQTGRTVSQWTELS RPVTRRTSWHPVNAPQGQRGRRQPANKGRTNSIGQGTTRTAKTAQTDTSSLRPTSTQG SAPLLSDNVQRPATSPHATPATQARPSTAQPTLTATAPAPAGINMPPQTALSPEKWKH MMEASQVYREHVNHMITEMEKDDDNANGFGLGELRRRPLGDMLD ACHE_20264S MDGDLSLSQSLGGLRIANPDDSSLNSSEEPAVSAPEVAASIAPD EKTDDPEPPTSFVPELPPSSQSSIPPSIAEESSRYAPDAASLRSYSRRTENRTSIYSI SDPQSQPPQQPTQSQYAPYHPQQHSQSPTSSRPLSAFYSNGSSPALVAREGSYRIRTD SAASSASESQARVDSRGGSSALHAGVPARDNTHSDRSYRPAPHNGPMVMRQPSRAHAR PAAATPLSGTPYGMENGPSPSSEEWQHRGAAVAVRQEIDPNGKPVARYIKKGVRDFSF GHTLGEGSYSTVVLATDRQTLKEYAIKILDKRHIIKEKKVKYVNIEKDTLNRLTEHPG IVRLYYTFQDERSLYFVLDLCKGGELLGVLKRMTSFDEECTRFYGGQILDTIDYMHKR GVIHRDLKPENVLLDHQMYVKITDFGTAKILKGQRRPDPNSSGMPPLDSNEIPEEERA SSFVGTAEYVSPELLTDKNACKASDLWAFGCIIFQLLAGRPPFKAGNEYQTFQKIVAL DYEFPPGFPAVARDLVERLLVLDPARRLPIEHIKNHPFFEGTVWGPELWKRKAPRLKA YVPPPREPIKLNGGGEGDSFPPNITAAPSTTTNASSRVVPRLVTELPPPSQLDVEWSP VLTKTNERILKMGNQVVLSSPASHSPVSKNGGESETTKKFSRFFAGSTTKKRQRLVMV TSCARIIMAASGGDEKKAKMEISLLANGTQYRTTTDSKGLSSWVVDTRDKRFSFEDPK PSSSNLGVTALSAQEWLDALDRAKEMALTQHNNGAYSGDETFRDLSSGMSSHSNTLDR SSEIHAEAAPPPGRATLIKNQATDSESVKGRKRFSRRHSKNGLAAVF ACHE_20265A MVARGCYTCRRRRIICDNAQPTCQKCRDAGKECLGYQKPLVWVK GGVASRGKMMGRSFEEVTKKSPRRVGESHELSVPAPTENTNPPSTVEVLNQSVPNTSN QIAVPNGSDILPLGSSGAAQQRGKNNCQVSASRNTSTTDGTHILPGLVDPILKDINGL ARFYIYHFNKKTAGDLVLYSNTQNPYRDLISLVGESPLLANALSATGALHYALLADGD FSPMPWSTGEPTANGTLLTPKEVEREVTSSMSRRPSSKVYEHFLGLKQRALRQLSQDI CDPVKRNDDKTAAAIMVLALMDAIESGDEAWKYHLEGAKNLLNSRQHGFDASRSQEIL GWLDTLTVDGCLIIELMGSTLARPGVLSKPFYSPSMGPTALRRLEKTSWVGCPAYLLE VIFFVHARFCHADTTTHEQQDQEQQPTMLFSSSFLPSNSKPLQSPQALLKHIQAFDPI AWAIDLQSYLYLPDLTMRIALATTYKAAVYLYTSRVLSRPRTGSSFPPTNTDEWFGLP HDHTAVAHILIDQLAVIPPQDPHFKCLIWPTFIAGAECRDPSHRPFILDRLSALYYAI ATVNVRNAAWVLSLMWRKQDLRREQASASDGDDYDNDDFDWIQELDESRIDWLFI ACHE_20266S MTNRRSSASVSEMVSQAHVLDRPVPPRHATSSVVSRHSRRRSGH SHHGGTSRQPQNDFPIFTHTGDVEIVIRAGGQEKRYLLHRLILAQCSGFFAASTSEEW SRQPVSGPPKPDDSAMSRMTEDDTLSTGSTLAQSDVGGISRPIEKRRWRFELDWQNKA EDEEPILVQKPPSFSAAFANAVQPPPAVTKPSGSQAGFFRSMANLTGMQSTTNLPHNT TDEPPHPLIRDYDNLFRLFYNHFPTLNSVNIATAYAECKCLLGLADMYDALPVTGPRV DHHLLGFGTRLFKQIAKYPPSYLKLGYMARSRVVFSEALIHVVGQWPTGLSHLRNGYP SLPDSVLDIIEDKVEDLEDMKARVDSKLFRLTLTTSRGERVNPNNAYLDWLAVSLFRQ WLVESTTPPPAPILKNSPTPHQTHHRHTESSASSSHRPSSARPPSASHSSVSPSPAKV YRLIGSSSSQAYLVHDELKRFLKLHPTPSSDSLYTRDVLKRFERKMDEIKRLAREIVK PLMRNFLELDLKGIASGTASAEQGSRTVELPYLTCTKVEDADIPW ACHE_20267A MASLMASSTASKAPYVCLNCRVSRRFTRFTPSIRRNFTSSLRRL SDVVRPATAPKPTPDVKHIRQNAEIYAKNCLDRNYVTHSEYPLRIQQLSEESRQLDHD LQAPRSRIKQLEKMIAKVAREQQENMGQEDLTALRSEAQRLKDESHAMNTRKTSCADE IQRLALALPNLSSSETPVGRDPKLIGYINFDPQFPPEWATRSSPDPSRSHVVIGTSLG LIDFTSSATTTGWGWYFLTNEGALLEHALVQYSLTVARRHGWKIVSPPSLVYSYIAEA CGFQPRDQHNEQQIWTVEQSEKDKNSKPPRSLTGTAEIPLAAMYAGRDIEASQLPVKL VGSSRCYRAEAGSRGVDTKGLYRVHEFTKVELLGWADNNNPTQPEIDHPSTTLFNDLL TIQTEILTSLNLPCRILEMPTTDLGASASRKRDIEALFPSRLRNTSDLEPAWGEVTSA SICTDYQSRRLGTRVRGGSAKESRFPHTVNGTAMAVPRVLAAILENGWDEKRGVVVVP EVLRGYMGGLEVIGNQ ACHE_20268A MLFTGHPLLNTIALLLQVQGTLILQPTSTARQKLIGTRFHYIIQ GLSLLAFIAAFLVIEINKGDHERFTSPHGVLGLITYIVIILQASVGVVQYFLSVQVLG SVDTGKKIYKYHRASGYALLMLELGTVTAATQTTFNLNALHIPLWGIVVTSVLVVAGI GARIKKHKLGL ACHE_20269A MVVHQCIPVNEREAIIEAVRRQECYIYTGLKIFIERYEDVVKQL EQLEQLDQEDIERAREIQRQAIATYLTPPGQAQSPFPNCSYQGTDTDDFDENDYLLPE GLDPAYIWKFYSDSEDGDDDEYESDSEGEECVNDHLQERMCVRRDCHQFGFCREHELS AVCVCNGGQ ACHE_20270S MGRTEIEIGAILSTLAIIYWRYTDPSTGISNKPHGLDWICVERS IYRLLVLRGTIKLGDKFYENPWHLYLNEYAPAGMRRSISLGQGQSHIFQSSTLMASTT SVPTSTVSSGDQGRGRQPANCDGQQQQPQRRVCFLDTPSPARGRAPRRLPLSPAPNAR FH ACHE_20271S MPSMQIYEHGSFPEARGLIYDESEMALFRAKLSYQSTIDARMAS KDPNLAAIAETQARILKRWEMLKHMDKEATDNGTLDPTIKTQMAQHAWRYKQLEEIQQ PAKHTIMAHGV ACHE_20272S MESNAFPPSAAEPTTTATDFIPSNEIDTTFAHTTNLASAALNTK VLSRSDDYFASATNLLTPTPPINRPGVFVHTGAWYDGWETRRHNPEPYDWVVIKLGVA SAVIKGIEVDTGFFVGNFGEKAEVQATNAEGGGGVDDEEIAEAGYGKWETILPAKACG PSQRRAWRVNEPFASKPYTHVRLLMYPDGGFGRLRLYGHAIPPALPAQVIASTDRAVL PVEELSSALVGGLALGASDQHFTPCSNLLLPGRGENMGDGWETARSRAPGHVDWAIVK LGLPGSVARIVVDTKDFRGNFPRTVRVHGLVGKKDKEGQVPAHDDPEWIELLKGERAC KADTEHVFEGDDLAAEGEDTRVFSHVKLTLVPDGGVKRLRILGRRGVID ACHE_20273A MEDHILGEDLPLPPARLFERLSELPGYTWDQSSEPFHSTYSHWH VFGHRLSPESDASTPAATSSGPSSLARHSPRAEPRPAFRHHWRNSLSESSSEISLSRL DQEPAWIPVIARVSSHIVRLEREFHMIRSIVQTSDPDCNHTIRPLDLIRLPPDPGDVG PLLVATFESPGPNMLRELVAFGPAWFAVGVKSDSNDSTPGEQVSLATFLDFAIGACDC LELLHYGLKTVHGEIRGDAFHFNRDTASVKLTNTGNGARSFDNILSEGWSSLSRELGV KNKLQFIAPEQTGRMPTEPDSRTDIYALGVLFWTMLVGKPAFTGEDPVEVVQNVLGKR MPPVSAKRMDIPDAVSAVIQKMTQKTVTDRYHTISSVKRDLAQISQLLGDGNSEALKD FQIAQRDVSSFFTLPSRMFGRRQEYDKIIGVVEKVHRRQQSALARAAAQNSSGLGSGS SISDSRVDSFEITSASSDSGSFHLAAPKPNSNGGPNPLGRVSTHESFHSTESSLSTPK PGSKAKSPVDSTRSSWETTDRDSQPSTSTNTPSHGDNSLGIHNRHKTTHKLRRSARCE VITISGAAGIGKTDILNRVQPAIRKLGYIGIARLDRARRIPFEPFAKILASLLRQIFS ERDVTTDYHNSVRTALRPMWSTLHQVLELPEQLMSPGGKGNDISPKLSAAQYILKDAS TKGEPSKRVMLPRLDRGQSSVEFFLSNAALKNMRLMETFLEILKTLSQFKLICVCVDD LHYADDETLELIMNVMKAKIPCVLILTSRPAELESDAIKSLFEAENPNITRLALSPLG EQEIMELVAATMHQEPNTMLTPLAAVIQEKSLGNPFYVRLMLETCYIKTCIWYSWKNS VWEFDLDRIFTEFVAPTYGEGLGLGFMKRRLLEIPSSAQLILAWSALLGSPFSFSLVQ KLLTSEFLYSSDDDDGQDLTCPDNANLIRQSEADIVVGLQYLVQANLVIPGRTDDEFR FANDRLAQAAASLTEGRNTEKMHFIISQAMKKYYHDGRSRYAMARHVALASRIIGSRV VQRLDYRKILWDAAQTAAQSGARPTALWYFRHCMALLQDSPWDDNLTDVYYDETLRLH IATAEMSWSQGQNTDALALLDMVFLYGKTAVCKSRAWIVKAKIYAQMGNHLQSMDSLL TCLEELGVHIREPTTYEECDAAYNNLKAVVEKASLEAIVRKPVSKDINMITIGAVMAE AMAVTYWDDALTFYRTAIEMMNLHIFRGGFVQISIGCSHLAMISFSRFRDLDLATRLS DLALMHLDRCPEPWTQSRGSIVHNLYVSHLRVPMASTLPALEDSLEVSFSMGDPYITL ISLSSMAMTRLFLGHDMTQLEAFCNESPEDIPEWLNDTRGGASLVAVRQVARALQGKT ANQCPETIMEDENHNTALFMTYLDANASNADRPRDIYWGLAMIPLFVYGHHTKAIELG IQMMETMPRLWSARVAYVVYFYLALSLLTLHNDYPARGYLDGNLKVVLQYKAEVDFAR SACDANYGMWSLILEALIYEVRNDHTSAIQTFEAAIDHCQIHGWPLEEALALELHGEF LIRRGAKRAARSVMQDAIAAWAAISAAGKAAQLSEKHEWLLKTATSSRSIDVGCQTVD SLEIEHTAVGQDHMVMTQNMEDDRKHRWIEQNEVTTGERSLDSISAFGIDIIDLSSIL ESSQVMSSELQIDKLFTKMIEIVLESCNGSDFAVIATDFDDNGFAVAAAGDLERGQKS FVDGLPFSEVDDKMAQQISHYVMRTKERVLVHNVLEDERFSNVSEAYQTRFPFGRSVI ALPIVQAEHLLGVIHIEGKPNSFTQRNVMVLHLLCNQIGISLSNALLFREVRKVSATN ASMVEAQKRALAQAREAEQKAKAAEAEANHNVKLKEDAARAKSIFLANISHDLRTPMN GVIGLSELLKGTHLDKEQDEYVESIRVCADTLLTLINDILDFSKLEAGKMKISTVPLN IKETISEVVRALRYTHRDRGLETIEDLEKVPSELVVLGDPVRLHQIFMNLLSNSYKFT PKGSVAVRAKVSREGKGRVRLECSVTDTGIGIPDEQKSRLFRPFSQADSSTARSYGGS GLGLSICKAIIEDVLGGAIWLDSAPGQGTTVTFHLSFNKAPKETFAKTTYSQKNNHVE KKAPAKPIARDLSMVPREHIRVCIAEDNPINQKIAVKFVVGLNLQCEAYSDGRQAVEA LRTRSQEGNPFHVVLMDVQMPTLDGYNATREIRRDPDPNVNEVLVIAMTASAIEGDRE KCIEAGMNNYLPKPVRSTILSDMLDKYLAPVPTYTKTRLAIRDKNRASVSAAVTGSSS DVGVPSPNSFTSLGSENVVLSPDEEKQLEKSPSEAD ACHE_20274S MMGEVRSMRTPPLPSPAEAPSPVAASQSYPHPPHEPRGPTASVS TPSSFLPIDNDDGGSAMLDDTLRIANTHAASLQHQQTPDVSCISPNNIPSENKSSDSG KGSQEDHGRSLQTLRELRRQMEELLAYQQMQQQSQDQDATAAAAAPPSREVFASPALP PPAPPSATRDDPLPCSSSPHESSKKRRISNDESPQLPASSSSDAMPSAATYSDSTSSA GTIRPPDSSNNPMLAGQTPSYPFPRMYPSPSTAAARSAQESSPMLNHSHFKLTLPAEK LKFNKGPSSQPSDERPPPVMKTPTPHSVFLPPQHKPVMEDPIYPSPTLYDITLQLNAD PGLEAWWSNVVNILQTSYGAERASLAVPGDATDLENVPWGQKAVFDQSLYEDPDSIHH LYSETTRAGPSGADSQNSGTMANNTTTNNVNTANNTMESHSRSGSASALKRPSLLSRH SFAGFGKDRKHATDSDPSQLLHKMKAENAQSTIATNAMDVDFGLSRSIPTDNRDKANV TTPQAQSLDDTLITTPQHGYRQAVFPIPRPLEVESDPLIKRTGVVKLFGRTKPVVLTR EYSKGSTNVCSEQHGGTARSPADDKVQVTPTAEPIRPGVPQTPSRPGGSTLQAPALVP GLPPSMEVSDEYEQVPPSPWSQSPAPSPAPRAQAEQNPFFVSYSVDESAFAKNPPPHD YSNLEPLQAIGVDLAKSVVHIPLLHAGSSKQPSSSTLRFPVAVISILSPIIPYPSNLR QSLAYLMPHLTTSFCLAQQYSQLERQFVSRLETPRYGHLLGLGGTFSDESSELELVAG LSGHVNYRVAEDGTISAHASLSSPDEKSNSTKLSPPIPGGPGGTPGFELGTLGAATAN NTDQNDVSGASTKLSGDAADSYFNVPQQQHKSFREALMYQQRHRLSKTKHVTVPDPAS PGKSLVKASTEEDSSLQDPNAVAPSSQQGSQAAVSPTQPSNSRHPSANSLYAQLQREI PRPFSDTVAQLMLNSVPLHLFLAKPQSGEVIWTNSKFDAYRRSQPQEQKMRDPWQNIH SSEREHVSQEWANALRTGSQFTERVRVKRFNDEAAYRWFIFRANPLLSSTGEVLYWIG SFLDIHEQHIAELKAAQEREKFATDAKYRAFSNSIPQVVFEAAEYRGLIFANEQWHLY TGQKLEEALNFGFAKHIHSDDLEKCGLLSHHLIGKTDSKDPASSELPKGKNAPPERHF GHGFTPALDELVKRGVASVQQDENGRVFYSTEIRLRSKGGDFRWHLVRLVRVETSSFG NGEASWYGTCTDINDRKNLERELNKAMQQLNNQMESKTKFFSNMSHEIRTPLNGILGT IPFILDTQLDTDQRRMLDTIQNSSTNLRELVDNILDVSRVEAGKMSLVNSWFHVRSVI EDVIDTVASRAIDKSLEINYLMDVDVPPTVIGDRFRIRQVLINLVGNAVKFTSQGEIH ICCSIHHDSSALAKDTELLLNFDVVDTGKGFSARDAERLMQRFSQLGQNGSQQHAGSG LGLFLSKQLVEMHGGKLTPSSKEGQGAKFSFYVKVDAPPPTTPEEQSKARQQKESDTQ TKPSQESAKGPEAPASEIPASHPSTSTESSAQPVPPIPSENPSVATPDASVAKTDAPK QTGPDQPAPAPVTESGPRASKAAPAAEPKPSPQQANPCSILILCPLENTRKAIQQHIQ QVVPHEVPFTIKAYPDIDDWRDMVNEGTGSSMTHLVLNLPNVDDVMDVIQYVSECEPT AAPTLVLISDLYQKRQVNPKIKELSASGRKIYTVPKPVKPSAFSAIFDPDNRRDLSKD RNQDMAREINNNFKTMSKMVKEVIGNKGYRVLLVEDDETNRMVMLKYLDKIKVMAETA SNGQECTEMVFSKEPGYYSLIICDIQMPVKNGYDTCREVRGWELKNHYPQIPIMALSA NAMTDQIKDAARAGFNDYVTKPIKHNELGKMMMGLLDPNRPLLLLRDRLREDNDNDDS HREE ACHE_20275A MGTGTINAIATAHETAYESSRDELLCNKSLFALLREYMRLKIPE MACSALTHGCAVLPSPLLQSKNTRKFDRRNEQRYKRFRRHWMETKWTNAAHGIFLYPH PGCSCREHKTTEYQLRGKRALGPGKDMTRDCNAMPKTWGQNLIRSFLLSTNDKEPYHG ARSTD ACHE_20276S MAATQRYQPPTLPINVPSKAPAPAGLYPIGRVAGSPPDVENTSM TAGSRTSGGFGYSSGSDYESSNGSYSGVDVVDVLNDRMQETFDPTPLDKGLVKQAQTS GHLNAKQRELMELQALAQRRLKGARSNFSEGIKVARETKRDLEWTQKRVNALKTKAEA AHPEEYRRASKKYAFQDDDY ACHE_20277A MTQTNPNVQPLPSPLPSPGTMSEREFRALEEWVPAETLKTRASG YLRAQAQSQPQRHGQGQGLGRGQSRGQGTVNNTNAHGNLSSHANANFNYDNVPTGLRR AAQTTNKRRGRGKGRNRTSSIFEEWKAQASVDVGHKGDGDDGQKMVSDSTGAGATTVS GSATVTAASKPTSATVGPSATGTKGRSYRGRARNDSLVRQEPSTTEDGQKVASAKQGT VSGRESAVVSGSHPGASVAEAQHATSTANASSTEMANGSSPSEDSMSRQRSERQKNPI VSTNPASDSRPIDSIINFSEGQHNTTKGESSKVVIEDSTAVHVGPSADSSGATDTTAS AGQGTITTKSERSKCRSPSGKGGKDSHAHRESGPSSTKAEASKASKDSTTAPAEPSVN SSKAPASSVANDKFATISGSRSRRGGKKGSHRRGKSGSAPTESELKNISSSSAAPADS SLIGSIADGAAKQPVTADVSQPQTGKGKKSRHGRKKSASVSTRDSGKIVDNKESKGPS AKHSSAPVALTAGQNKTAVSYRAPKADAKSSQARGVSVSNSTGELKDKDSSAKSKELA ARSSSEKTASVAVASGGKTNHIGSHLRSAMGEESFRTRQASVYAFVEEWKESTAVYEG SPAKTPGVPVISTTGSLEGQSVIVGPLTQHETSEEDFHDCQASVDSAEESKEAVSFKA AATSSEESLVKSSSIPVTSIAGPSKIQPADASSHQQSEAATERPCACQEAGHASTGSE PKKTKSSNGGTSAEMLASHLSASVGSSASQPENKPTVTAGSGEAKNDALQGKKGKDIP RSSESASAGEPKAPIRQKEAQQPIIFYPAQAPENKPSQPIPKGPEADTNRRASTTVNT KLDKRAVSRQHEQEGYTAPNGECLNKNQLARYAEGMKSPHKDTVYFQPSFIEDPWAGM KPVLIPCSPRYW ACHE_20278A MFNRTNYSNPFSSSRSGGPPSREGYSVPGQGPPPSYGQPMPGAG GRPGQMPMPSRPPVGAKPPAGGGNWVLRPAKSPDNNYTFGNLVAVSPSDFPPTRDGLD LLLLVNGLFVFSARPYPNFPPGNISMSDPQRTWAGVAFTDSVNVQIYDPFSQGGQAYL GSTDIEVGFAGKKRVETPYDQDDLGNAVAKNFENQIFAPGQKILMDHRSIPLLLTVKT VQRVDLSSEKADLSGGAVETDPTARGILTRHTQFNFFKDARTGINMKPSARRPASNSI IQPDFKFENMGIGGLDAEFSTIFRRAFASRIFPPGLVDKLGIQHVKGMLLYGPPGTGK TLIARQIGKMLNAREPKIINGPEVLNKFVGQSEENIRKLFADAEKEYKEKGEESGLHI IIFDELDAVCKQRGSGGGGGTGVGDSVVNQLLSKLDGVDQLNNILLIGMTNRMDMIDE ALLRPGRLEVHMEISLPDEHGRGQILKIHTQKMRDNSVMDQDVDLEELALMTKNFSGA EIAGLVKSASSFAFSRHVKVGTMASIGDDVVNMKVNRSDFHHALDEVKPAFGVSEEEL SSRIQYGIIHYSPIINEILREGDLFVKQVGQSTPLFSVLLHGPTASGKTALAARIAID SGFPFIKLISPEDMVGYNEMAKVHHISKVFEDAYKSRTSVVVVDNIERIIDWVPIGPR FSNTVLQTLMVFLRKQPSKDRRLLVLATTTQRAVLKNLDVYNSFNADIMVPNVNTYNE LRYIMEQSEAFDAQEIAQALEEIGGIIDDGKIGVGVKKVLLGIETAKQDVDKVGRFVR VINRAIEEERIFE ACHE_20279S MPLHLLGKKSWNVYNPENVARVRRDEAQAKAREEEEERRMQEVD AEHRIQILRGERPSTPPLPPASPPSAAQHEKRSRRDGETGRYNKKRRRLAGEDDTDRE IRLAREDAQLADGKRAELTRSETSDAPLEDGAGHINLFPSETARAPVEKNAEAEAEAT EKKRRYEDQYTMRFSNAAGFSQSVGRTPWYSVSGREAAAPEFMSGKDVWGNEDPMRKQ RDMVRMDANDPLVAMRKGVKQLKTVEQERKRWNDERSRELEALKAEDREGSCRHRRGQ SPSRGSISENSLEGFKLDASSDRQRDDKGRERNSHRHHHRNRHQRNRSRDRSRRHHSH SHSRSRSHRHHRDHHESRHDNRRSSRRP ACHE_20280A MSTFPTSECPPKDEDTRSTTSAEDSDVTDEEGWEDLEPDQEEFE PIVGLFSDKIYPDVNAMLQESKDKHDFDLRKIQKEFDLDFIGLIKLVNYIRSEVKAGN TAPDVSSTDKFDDEAYMKPVLEDDALLYSLGDIAEEDEPEASGGNDAERRVVELQEDL ERLQTQFTEYRLAVQRSMEEQLTQEDNKLSSAGPSMRNKNKIEEADSDYFVSYQYNGI HESMLKDTVRTDSYRDFIYDNKRLFQDKVVLDVGCGTGILSMFCAKAGAKKVIAVDNS DIIDRAKEIVYENGFGDVITCIRGKIEEVTLPVQQVDIIVSEWMGYCLLFEAMFDSVI YARDRYLAPDGLMVPSDATLRIAPFADSDFIASHISFWHSVYGFNMKSMLTGIYDEAN VRSIQPSALPGDSSVFLKLPLHTITVEELSFLKEFQVTLKEDIDTLDGWSIWFDIFFM PSRNATVPDDAVPSEMQKKGYVAFTTGPYGTETHWQQGVLLIDHGRKGGVPLKKGQTI SGKVGYQKKEPNARTLNITVDWDAQEGGKGSQKWSL ACHE_20281S MAFLFNRGRRQPLEVVRSIKDLLEKLRETPNTPKIEEELARQLS QMKLMIQGTQEIDTSPEQVQALVGAMVHEDLLHELAHNIHILPFEARKDTQTIFSHVL RFRPAHAAHGDPPVISYIVHHRPEIIVELCRGYNHSQSAMPCGTILREALKFDVIAAI ILYDQSTGDEPAIRLTNVRPGNPQNGDGVFWQFFGWIDRGSFEVSADAFTTFRETLTR HKSVVTGYLAANFDLFFSRFNNILIQSESYVTKRQSIKLLGELLLDRANYNVMMAYVE SGENLKLCMKLLRDDRKMVQYEGFHVFKVFVANPNKSVAVQRILINNRDRLLKFLPKF LEDRTDDDQFTDEKSFLVRQIELLPKEPIEPAQSAYDGVRTTTAVA ACHE_20282S MDERPTSFPADVMPSTTTTATTASTGSTLHAIPYEDSTTRSDSL STPGASSVDSESRSRSISTTGSSSAGGATGPGGAGDQPRASPTSSHGNKFPETGLAGK DSRTIRSEGDNSGLSLRSIPSIIVNDAASLRPSSRPGSRPGSRPGSRWSERKWGGLRT RRSAELDRPTCSVCPPSPPPPVPQMDPQFSGISLDIPTGSLDGLGPQSMKFSKRGSLI KHPSQQKSGEAQSQSQPELQPQPQQQQQPPPKQPEQSQYEGQQGAKEPQQEPPQDDSQ SEQKLVEQPEEPKQPESQEQQDKQLEATPISPASSADDQSDVQQPQFHGAQPRFLKPS ASLRVRRQISIPSRAISADEDMLSRRVRLMYEKGEENVSDSEVADSMAMENGVLWEEA AETPASDAPSDAGTKRLSTVKPERKSMLKKETNELAGGIEYWQNIGAGDVDRYGFIRH PQTSSGDTTDQPNPIQRVATSLLLSSETPRRKHSIRPPSALASNRSFTGRSPTRKFSE PSIRPSSSQSTYSSPMRRSTSRFRHAANHLPHNRDRRFTDEAADMLTLPVNAVDSANG LDSAAARAMKRKEWEREDKWAKMARPSKKNKDGGGMKFEFDTQSSKLIERTWKGIPDR WRSTAWYSFLEASARKRSDSPSETELVEAYHEFQYVSSPDDVQIDIDVPRTITSHIMF RRRYRGGQRLLFRVLHAMSLYFPDTGYVQGMAALAATLLAYYDEEHTFIMLVRLWQLR GLERLYEHGFAGLMEALGDFEREWLEGGEVAAKLNENGIPPTAYGTRWYLTLFNYSIP FPAQLRVWDVFMLLGDADPTPSHSVLPLKGSKQLQTSGFGKGLDVLHATSAALIDGMR EIILESDFENSMKVLTSWVPIKDIELFMRVAKAEWKVHHRKKFS ACHE_20283A MLLTLKPPTPARMAQNGIKGPSHEYSVIRQSIPSFRDSSVPFRQ PSVPPSPNTHTNTTTNTTPSSANMDPSSRRSLPPPPSRTLPPPQLTAAHTPPQLPPPP PSSQWHCGDKDPSMHLWLQARAEEDRRKQEEEKARQETLRLEQRVVEHSMLRDALQAG VPPHMIPLIFAGISGGGLPQAAIDLAQQYVSHSVAQAQCQGPGPAVASMPPPPAPPAP PPPQHHPHHHYHQSSGSDMPSSTFPRPPAPFTHRHSHSGSIDLRHSHPVPPNALYNPQ PSVPTPGEILPSQPPNISRGSSPVRQSLGQSPFPPSGPPSSSSLPQPSIPPSNSTQQY TQTSSASTPQSAANNEYQYRPRQSTSIYFHHWVPPGQQPPSGKSQESTPGGKASQEPS AGKPSEREKEPTPASSGAHSRSVSEDHSSPSRKRKARGTHQPAPVPSSRSRQSSIARD SVQ ACHE_20284A MCPPSCITPTTTGVDTATAYPLPTMDNETRAASSSLDFGRDENN LNTDDDNNDDLGVPNKRHTLTTTLPDDLPKSLDDRRSVPVFPQETEIYDAWMGQSQFL TTPVAAKPLTFNLALDDHSHDDEHNLQAQYGRGMLDVDKDVEDTARLEDSDARLMEML AAQAAHREVDSLGADEDSIADDEKLKDSEKKDILQRGLNMAASNGDVERVRKLLNGKA REFVDVNMPDEEGTVPLIYASCFGHQDVVSELLDAGAHVDQQDRNQWSALMWAMTNRH KTIAKILLDHGASPDIKSSSGGTAFDFAQPGSEISEYLNENGYHFGSAVDDDFYDSGF GHSRFEEEIAEIGMKRRMMMEESAINLEVDLSSLGLDEKLDSADDADLEEDQQEFVWD KCLHDQMFVFQDNELEWILDIIITNMTPQRSPSQKPVPANLLFLSARYAHYHASEELL EQLLVSATEKINDVVERHQWDMTILAFWMSNATLLLHYLKKDTGLMEATVDFQQHLAE LINEIFILIIRDAERRMNKVLEPAMLDHETIPGLEDVHFQNEWKLFRGKSKAKPPEPA EKRFRPPSPRRRAQISPRNITSLLSSTLFVLDLYDVHSVITTQILSQLLYWVSAEIFN RIMTTKRYLARTKAMQIRMNISALEDWARANNRQPEHYENGSTTCTGESTMDAARRHL APVIQLLQWLQCFSSLGDDFESLVTTLLQLQQLTPAQLLHTVKSYRPEVGEKGLTKPA MRFLIDLQRDYDLLFREQEKIQENKAKAAEGAATQSAPTTTDGQSRPQTPPPKDTPQG HGSPAARSMASPGSIRSGTAARFDDRSTTELFLDPSMTLPFSLPTSTDMLISYGAGWG GTNRERARKYIPTVPPEVLSRFDREA ACHE_20285A MEERQVRDPPTDTHASSSAQPAGFRSSVKPFGSATESTFATSNL RRANTVAQGGGNISKNNLESSAVDKEKPAEVSQLRTRDSHELLQKPLSLKRSLTQRGP RDALVNGRGGSHFTVGSVGQNGKIFLRPIRNLSIKEPRAQQQQPLSSSTDPSQSERLH PNTAHSHGQGANDPRWSNSQLSELQPDVTREENVVDDGESVTTESARSEYRQQNRQRP HSFSTISEHQSTSSIRPRGEYQRIVIDRSDDRRRSDIAEEPSAFPTLEVSIPHYRLGI PQFNKTESPALQSSRGSTQGYFRTSPLLGENLNSHPLSNVLSDSVIPERPSFVSSILS DTGVELGPDPTATEVPIFYEMKEPIEPSVFETLVSDMDDESVVRYIPGTKELSAATPA RIVAQISSESFMDYELVSDFFLTYRSYLSPSHLLRLLLARLQWAINRWQDDGRIIRIR TFAALRHWILNYFTDDFAEDYELRVYFCDIINLLYNDVKSRENGGTSDLKILIDLKRC WHGKCAMIWDAPELSSAYYHQDSPVVPGGLIETIDGDQGERAQHFVQPGLPLSIGDDS VNVDAGQEPTLAQHYRTHSGATNQSIPVTVQSDDHCLAASCSFPPKSHRRPSNPPPKT APHPVPLSPAKYAHVPPPPKSSTAASTKRRPFYTHAHKRSGSFSDSVRDDRAPLPLLK LDQWGISSQEILDPASLIRGTLYPPAESYMTMMAPLSPPLPLGSVPGLERQSTADGTS KPTTSGSGVKTIIGSIRRAINGAKHGNQNISRHTRGYSGSPFRGKTSTLPNNVAFGSD YYRDRKTTARSKKPTRIDTLCDEVLRQYRQVIADREETKQDPPQQEASMPRLQVPPSR IGSQVRSQSGLTMGSESIVIVDDTGLDIPMVSGANGEPIVDFERSPGFLNAGNGTATP KTDSLRVPSTRGDDKYSLRIFYDDSAPAGSSHQPSKTFYNNSDSSASRRSISIGHALP FRKRTSPSLRLRKYASFQSIISRRRPATSANGEPVPLVADLNVLQDEPETPTGPILRR RPGGDLRKMQNGKNRLSYLRSRSTISVAESELTASTRDVQSRRQTSLIPPNPRYSLIQ TNSSQDVRRSFEAAIAKFAQIPDDDDGGVESTLLKLEGKWDGPETPRADGEGSSSAPH HRNMPVVLRQNCNDDGFQRRPTFLGDPLTYSQVRERMRPVRPYSDSVAESEESFSSIP LLERGLSDESMKKPPALSRVATNPAATPRASRTDTSDWDSSRPSTHVINKTESISRIP RGSTVPVPRPLAPRDRTRLSELSLDVIDRREILDGRPSTDSARLSRSSYRIPPHPLAQ PPSPPMTIQNPRSITSCATPLNPVIVQAQPLTPDPSPSRRNAQPDPSRFIDMQQDVLS RSENGQQQPSPVAAPDVDHVPFILACESQLLAQQLTLVEMAALSEIDWRDLVEMRWSS GSPTTLSWVQFLTSEDHRGIDLVVGRFNLMVKWVLSEIVLTQDIHERVQTISKFIHVA VHAKRMCNYATMLQIAIALSSINCARLEKTWSLVSPEDKRLLKDMEALIQPVRNFHDL RVEMETANLQEGCIPFVGLYIHDLNYNSQKPAQVTTQAGEPLINFERHRTTARIVKNL LRLIDASTKYAFEPVQGVIERCLWIASLDEEGIQTRSRDLD ACHE_20286A MSNSKSSDEFEAAHLIEEGSHTVDEEHPHPHGSARVGQFTVDDF FRHPIRAIPDKHRKRLSTLLRLSGVLLLVFGGYFFWNYFEIERSCESSSRPDAPMLCE SPECVHAASEILYNLDPNVANVDPCTDFDQYVCGGWRARHDMRPDQGSIFAGTAMAEN AQMRLRHILEAPDAPSSEDKENFGKLKAAYQACLDESTINKRGSKPLEHVLAQLEDIY SMKGVSNRDVQKNLTDAVLQLIKFDVEALVVPSVSPDDRDPDSVAIFVVPVDEIGLPA REYYNNTDTVAEYTKVAEKVLGSFVSSKDSIKDVMTFEAKLANATPDTQTREDITKSY NPRTIKETESLLPEISLSDIISALAPSGYKNDRLIVGSPSYIESLSSILSETSRETVQ LFFKWKIIQSFADSIEDPKIRPLREFNNKLSGKDPQATEERWRKCINVLDGGLPWSLS RFYILDSFSRASKELGDQVISDIKERFVFTLDQTSWMSPEVKKLGIQKVGNIVQKIGY PTKSPDVMDPSDVEEYYRDLKLSDETFFENQLAIAEFLIQRLWSKLGKPTDRNEWDMS APTVNAYYNPPGNEIAFPAGIMQSPVFYGPSAPLYLTYGAFGAVSGHELSHGKCDHYF RPKMRSLTDPLSAFDSSGRHYDETGNYTDWWDEKTVAGFEERAQCFVDQYSEFTVTGP DSKPLHVNGRLTLGENIADAGGLAAAFHAWKKRDESKPDPLLPGLSTFSKEQLFFVSY ANWWCSKTTREAAQSAIYNDPHAPKPARIIGTMANSREFKEAFQCASHDPVCKLW ACHE_20287S MGCMSSKTADPVEKEAVQRNARIERVLKGDKKTMDRTIKILLLG AGESGKSTIIKQMRIIHSGGFPDDERHQTRAVIYANLIIAFKVLLDIMDAEHISFEHD STKPLAQLVDNTDPDVGSEEAFSDMAIRDAMKSMWDDAGVQKAVARGHEFALHDNLHY YFDSIDRLFTPGWLPDNQDMLQARLRSTGITETLFELGQMNFRMMDVGGQRSERKKWI HCFEGVQCLLFMVALSGYDQCLVEDQSANQMHEAMMLFESLANGEWFKRKPIILFLNK IDLFKGKLEMSPVAKHFPDFTGSNSDFDAAARYFADRFRGINRIPDREIYIHYTNATD TTLLKATMDSVQDMIIQKNLHTLIL ACHE_20288S MLSSSLRRAAWTPIVPISGIARTAAGAAGAAAGASAAASTTSNN MTTNNSNGGLMPQYVRQRRYSSSSSKPSDGFDASGPSSGQTPAKGVNGGTGESKREGR RSRRAGKEQRSAQQQQHDAAFSKLPSVPSTQYQQPHDVHVASFFSIHRPISVSTTVPP SSNQEAFDAIFSSKKSAKAEQEDVMLTLSSAVQSMENTAAEHDDLGRHLEVDVQPYDS MNMSDIKLSVDELAKRLRAFHPPPPPMPFDEAKEVANARQLESSQENSYSTVLTIHES TDATGRKTYEAHTTPFVRTQDMDAPGATEHEEFIDVPQSKGTTYIERLRNNRTMHAIS TKRRRKAKMKKHKYKKLLRNTRTLRRKLDKA ACHE_20289A MATELCPVYAPFFGALGCSSAIIFTCFGAAYGTAKAGVGVCGMA VLRPDLIVKNIVPIVMAGIIGIYGLVVSVLIANDLGQKIPLYTGFIQLGAGLAVGLAG MAAGFAIGIVGDAGVRGTAQQPRLYVGMILILIFAEVLGLYGLIVALLMNSRSRIDAT C ACHE_20290A MSMIPGCVPISMPARLTFQSKPLRLSFRKTSWLCPRSPLPAQRW YTAQATSTREARSFAYTWLTKTPDEIVPDDVLATIPPIPASVAESGHIPILLVTPSFA PWIDPASPFLEQCVNKFLSNTPNVRSLNPLHAIAAIIDKLPDVRGQPGDALISSNGQA QSDSEGVSLLFVKAENVQGKAAESRRIRSSGTEEPALLFSVNTNAPDRPAHEVGLRLA NTIFINGNENTLFGMRWSYNATSSRFALDQYLDFSNCVITSPANSVHNAFRFPLYPVS RRRKVISGMGNILRQVAKSADDDQSNVPMPASSELERELPRYIEEHGIIDQRVSVWAL VETPDMNVPIETSFLQDRLSHSLRAGGKLHHVMSGGGGWGKKQGLLSLDPEISFLEAT IREELLTIDQLFEPRAESADPILGLPPFLSKGFGDDLSTLSQTAKPGDYVQFFVAVQP SDVHDKRPDIAKPQEGSISCQFGIVTGIPEVQTIDGQQKDLVVLPNYFGALSEKAITY QQPILDVQANEQVFESGTKLNVPGCRVELTLA ACHE_20291S MPFTASDICKIIFAIILPPLGVFLEKGCGADFLINICLTILGWI PGIIHAMYVLFPLRKSLLQHR ACHE_20292S MKTDNGYTLGEGILQCILSYCSDDVVAESGNDVYKICDGVKGAV SKTHASIIATLVPGVTSSAVSGDTTTPTISASGTTASGFETSVTSPVLTTFQSPVSTT GLEGVASATSGATESQSQSPSTTPEANGDEDQDSNSLSAGGVVGVSLASGLSGSFLIG IAIFFWWRKMRRKRKEADDAHYFEIGGRMTEPPDFDTPRPRLPTPGPNSYRPRPPPKS PDQIPTTETSRLMSPFLPGPRPANSNSNPAVVVTDVDDDYHHDGGGGLGEDPDRTAHA FPPFVFDEVDTPRNVPATQRTRSDQLLPEKPELLPEPLNWDRPRPSRANSDAITMFEE DVPRPKDHPFNGYPNFSGPLGPRPMNSKRPIAGLPANPRAMMYGFGSQDHLPPKRTQD SLLKPTYLQPEARPSSFIAATPGRCSQSSMSDDDYDDYYSNWQDYDTNQPRPPARIAN RRSYPSPSTESWSNTGFDFRSLESPRIFRHSGIFKPLTPVREESRTPARSLSNPSPQD RIGYSTGSPQQRFYHPSPQTPNLDPTCEVVSRPRIVRQHDIKRVEIRRGKSREVNTPV MSSPYSPDDYWNEPSRNSLTQVTTAGSSAMTTPTSLSVGTSMPPVEKTITRKPAKRQS LLERNLTPSREGADLILRVE ACHE_20293S MVGHMAQQYVENHENADRVSLVSDRPATVSDNFAFAFDIDGVLL RGGQAIPEAIDALRYINGDNPYSIKVL ACHE_20294S MREMADKYHTVLVVGGEGEKCRVVAEGYGFKDVITPGDIIKTKD DTTPFRRLTDDEYKNSRFRDLKNTRIEAVFVFADSRDWAGDQQIILDCLMSVNGHLGT RSETGNEGPPVFFSHTDVVWATSHEHCRLGMGALRASLEAVYKAITGRELSTIAFGKP QLGTYHFAMRLLQQWRKDTHDINKPPRTVYFVGDTPESDVRGTNEFNDVSEAEWVSLL VKTGVFQDGATPKYQPGHTCENVLEAVKYAVEREQARSKGEIDDVDSGIDSESACLRN ACHE_20295A MASTNASSADHLCVLVHGLWGNPMHLDYVAASLRERYGDRLHIL AAERNAGNFTYDGIELGGERLAHEVEDTLEALAGQGHTIKKLSVVGYSLGGLVARYAL GLLHARGWLDKLEPVNFTTFVTPHVGVRTPLKGIQNHIWNVLGARTVSMSGRQLFMID SFRETGKPLLSILADPESIFIKALARFKHRSVYANIVNDRSAVFYTTGISKFDPFPDV ETTNFNYAKGYEPVVVDSNVYTLPLEVKEPNPLDSHAWRKMMRIIANLPFWFFLLFFV PVGSFIFLLNAVVQTVRSRQRIRLHEQGKTGVFFGSYRVPLLVQDVQNAVEDVFENVN SSQDPEYLSPTNERDIELPKDERALPPSSSQAPEIVYNGLRYPVLALTSAQFAIIDSL NAVGFRRYPVYIHKHRHSHAAIIVRMPKKGFEEGRVVIKHWLDNEFVV ACHE_20296A MSTEREYDVILLGATGYTGKLTAQYIFKSLPLDLKWAIAGRNKQ KLQEVAESLGPLHLSRQAVDTLVVSLNEKELDSLAKRTRLVISTVGPFQLYGSDTFAA CARNSTHYLDCTGEAPWLKDMIEQYDIIAKTNGSIMIPCCGFDCVPSDLLTWVAASYN RRHFGAQTGRVDLCIHSVQGGISGGTLASVLQAFELHSLRHLYHVHAPFSLSPKRPTP KVSPKRTSLWTKLFGLLWIKQLGWMAYQPQAAVDRTIVHRSWGLLEPTTASYGHDFDW HTWFKIWGPVLAILWHFSGLLLAPWILLRPVRKLLPKLWYEPGSGAGEGTITNNWFEY RGVAETNTSVQPKSRALVRMRYDSDPYIFTAVALGEAARIVLWQQDTWAHKFGGGVLT PATLGDHYVSQLRAAGVVIEARNAVP ACHE_20297A MVSLQAVRTHNTSLKDLGSGLVAVFVGGTSGIGLYTAREFVRRT LSPTIYLVGRNETRAGDIIAGLKLLNEGAQIYFIKADASLLMEVDSACDVIQRQVSHV NVLFLSCGIFTLNRREETTEGLDRRFSLHYYTRMRFIHNLIPQLTCAGRNEHGLSRVV SVLGAGHETKLHLDDLDLRERYGVEACDVHATTMTSLMVKEFALRYPSTTFIHTYPGI VKSGIAREAGPVVSRVIRAAMFLGRLWMVSKQESGERHLFAATSRQFSPGTKAAVGGI TGGAYLLNWDVSEAGNRGLLDDYYTHGVSDQVWMHTQETFRKALGNKGRLRQ ACHE_20298S MAEGLADKGHLPGTRISRVYGEWAKGGWGALLTDERQSLELWKK YADTCQQHGTPAIAQICHPGRQSPRGAGERGLFGKAIAPSEVPLHIGNGLAPTIVRNI VFGMPKAMSHADIDHVIAQFVNCARVLAQCGFGGIEIHAAHGYLLSQFLSSRSNIRQD DYGGDAERRARIVLEIIHQIRKAVPAAFCVGIKLNSADHNASSFEDTMTQIQLFSDAG VDFLEISGGTYEDPTMMGRGLRGGTSNTKSQNPESGEAFFLDFATQARKRFPNLILLL TGGFRTRKGIEAALKDGVCDLVGIGRPAVLRPDFPRFIMDDIYSDQEARVVFPKVPIP FLARLLQIRMLGGGAETQYFRGQIHRIAAGLVAYAP ACHE_20299A MPRGRPRTIAEETTLSSQDTITPEQIDTDLQAIHNRIAKLEELR VARENLARLEAEVINPSEIGSVVNRDHPGNHPPESHTEELKIKNISTFTLNFNLQRRQ DWLLDLRYTFRGAPRKYRTDGKKILAALNFLDHTCRHRWYRHVEEKSIEERQNIEDSW AYFEEWTLSLIRNTTTLQADIMDQIERTCQLPNQDPREFHAYLDTLEQHFPRQAEKER ALSFFAKLQGNLKKYIREHHIKLPEGREEMVSLATHYWNLLKPSRKRNWTESTTTSGQ EQDDPKRRRYKYSAPYLKTKWKQPTGPDGKPYHCYICDATDHLASRCPKKTKIQSVLN NQNIQSEMGNGEESK ACHE_20300A MSSTAGRSSAASSKASAPCSQCPTAQVEFGGQKVDVPKGGYYDR YRMNPNLDEVARDPAVGPDIDFFWKIPKKLVNSRVGQVYAPNFYYRTRSIQLLFLAPL DRLQSKLPSPLEPIAAFPGYGLVALTFYSYLVCDNDPYNEVSIAVIVRQPGKDSYSTT QLLSSIWNRTFYGYVLALPVNTEIARVRGVLLLLLFNQVYCPYRALEL ACHE_20301S MAVPDWLQYASIVLGILAYTSLSAGAALHALLVPRAAALRKDHH VPQLLQTQSRMRQRKETEDEARIMELEQCRPKI ACHE_20302S MLTKAPASHGVRLSILTKLHSAMSTLNAKLAEDQENGNKYLVLS PNELVTMALDEEEKAAKDNPSVYSNIIKLRIVRLSKMSKEDWANEVKDHLNARYYKFE PIQEPPKPRVFTTGLSAKEEVAIVGKLVTPLEGLEGFGYVTRAPSKEEIESARKGVEG SKGWEQCARCAGRFQVWPGRRPDGSLTSGGQCTHHPGKPLYPPKSQTQHITGPKEAYY SCCNESLGASSGCTKGDTHVFKVSETKRLASILQFEKTPWQPDKRARPPVCFDCEMGY TTLGMELIRLTAVSWPDGKPLLDILVRPMGEVLDLNSRFSGVFPGHYANAIPYDPSTQ TPEFNETENQPLQVVDSPAAARSLLFTHLQPTTPIIGHAIDNDLNACRIIHPTVIDTV ILYPAPRGGLPNRMSLKTLCRKFLEREIQTGGERGHDSKEDAVATGELVRVKVGETWK VLKSKGWRIDVAGGKLVPPERMGEGDAAKERSLGVGAGVKRKGLS ACHE_20303A MAPVATARGRKAQKVTQKYVINASQPASDKIFDVSAFEKFLHDR IKVEGRVGNLGDKVVISQTGDGKVEVVAHIPFSGRYLKYLTKKFLKKQQLRDWLRVVS TSKGVYELRFYNVVNDEAEEDEE ACHE_20304S MIDHRIFEDLQTKIDEETHVRDELQEIVQTLARRGRSTQAIISR AHSTPSDQLKPVLDTATKEIIAQKAEVTRLKAVADQHPFYKYNGLWTRELQNLVTAIE LCAWLGGFEEYKSADSSEFLTIEEVGKFLDVPVNLKEEDAFHLTIEEYLLSLIAMAEE LARLAVNSVTLGDYTRPVQIGRFIKDLFAGFQLLNLKNDVLRKRGDGMKYSVKKVEDV VYDLSLRNLIPKGSAAA ACHE_20305A MRPASLATSSTFRFIAVPKTPLLSTSYTSPLRRAQIQPPNTRTM SSATTFYDFEPVDKTGKPFPLSSLKGKVILVVNTASKCGFTPQFASLETLYKSLTEKY PDTFTILGFPCNQFGSQDPGSNDDIQSFCQVNYGVSFPVLGKLDVNGEQAAPVYNWMK EEMPGIMGLRRVKWNFEKFLISADGKVVGRWASTTKPEALEGRIVEEIEKARKEGTLA GASGEQAKLS ACHE_20306A MLRHTSPYPEPPRLPLTTANEPSNKHFHLDPSKQNKHHITSATL YTLPTLEKTTMAANATEFPYSLTITLPLPSSHLSSSALRTLEVDTELSPFVQRDLELV APSASTPAPEAGKMEIEEGEKSVLKTTYRATTNRMLRVAVNGFMESLGVVLGVMQELD VDVLEMDGVKEDE ACHE_20307A MKFTGIAAMLAVVTAVTGAAIPNVNGALAEVHQVTGDVKGLLSN VLGTKDEAQVNKLVSQLENVQKALEGLTGSEQKRDLLNVGVGADVDVLKRDDVLGDLT KTLGLKRDEGLLGDLPLVGDLKRDEGLLGDLPLVGDLKRDDAISSLAEQITPQVTQVV QGLNVQQITGLLNILNVNGLLTNVESVLSNLLGGGLLKGLTGNLLGGILKRDDAISSL ASQLLPKLTQGGILPQDLSVEQLTGLLNILNVNNLLTGVESLVQNLLGGGLLKGLTGD LL ACHE_20308S MAGNTTGCGQIIEYIQDRLYLASYDSTPDSRTPFPFPLEQTKSP SKRRAQPSTPSSKRRSPVYFTVEDSLFYNAFHADFGPYHIGHLYRFAVHFHEILGDPA NSDRAVVFYSKTDSKSRANAACLVACYMVLIQSWPPHLALAPIAQADPPYMPFRDAGY SQADFILTIQDVVYGVWKAKEQSLCGLREFNLEEYEKYERVDMGDFNWVTPHFIAFAS PQHHPITPIPPTSKEYAALPSTVSEVLASKLPLSFKNVLAHFASRDVGLVVRLNSELY SPSYFTALGITHIDMIFEDGTCPPLPLVRKFIKMAHEMIAKKKGIAVHCKAGLGRTGC LIGAYLIYRYGFTATEIIAFMRFMRPGMVVGPQQHWLHLNQNAFREWWYEDSMKEKLA QMAAPVTPGRQSTKQRVHHVPVATPPSKRAALGEIDHNEVGSHQAEENLPAPTPGQPR KSHRKDSRHHPYARTASGGIAMDKDARRTGEHSSHRNQRLSNDSSESEEEIQLRRLAK RSSKSPVASPSHRSVSYSATITLADDIHEDQENWGETAYGAPKTPKTPKTPVGTKTTS APISVSKVRSSPRRVTDSKSESRGVRKPSGRIGSAGSPVRVK ACHE_20309A MNYILTSTTTTERSAPTITTTATTTSIMSQPIVPVDTTANKPPR KRNVITRGRTGCLTCRKRRLKCDENKPGCNNCRRIKAVCEGYNQKITFKDQTNLTVEK VKKAARKKAQASNTAKEDTSSDDTSTFSAEEVPSTAKVTQQQASFPPAQFVDCFVGLT SPETVLNDSFDSQDGLVFQDSLLATPPMSTLDDLLSSPAMISSNTGYMTPTSPASIDF LASPTQVVSNATLSLAGAFEFPEDYTYFQYSAGGSFSSISKILPLAELFQSEPMSSHV YDAAIALAALTLSNSKSQPANARSIRRHAFHHSVKAIQSMQNDLTQTGKLGTSTSSNV LRADAALSLFATTMLAANFELQRNSVLHWYSQMRGAALCLSATYTELMKKNTGMLLIR AFSRMALLLRLYNEEYSVTTPAFMPEHLSNWLNKLLRESSNLHDRILLFVEEVTALEI QKRQHPALETAWAVKSADLLHRLEQWRADLPPSEIPVDDHTGAFLTISSSTTANSSTL IRIPALYFPNSPDPCIAAVNYASYLCTRMRARTRYLHNPHHPPNFLDRILPPETEQTA LTICRIAASKSPSHFADSFTYSYGMLPSVVGAYRWSSNHGLRAWAKNWLMGFRTIREG IWDVSRTLRLIKMMDEQGNGQGRGNGQAFVAIRAIDEPVDPSPEAEEGDREGPFRVVL STRGVEGARSNVIMVN ACHE_20310A MQVIVASESVSSALTFLALLFCLLLYTIVGKMPLEPGVVFTVVV VFNIVNSMFSLSVLGAGQYAQATVSLDRLGKFLDQPEKVACSRYEEANTCETASQDTF ITVQPEPCKAPIQADLEFIAPGLNVVTGDVGSGKSLLLQSLLFDREKVHKTQFEPIAY APQNPWLFRGTIRDNILFGTSFNQERYRSVIKCCALEPDLATFKDHDLKDVGEGGSRL SGGQRQRVALARAVYSNAETVLLDDVLLGLDPKTFEWIVNNCILGPQMRNRTVILVSN NDKLLRRAGMIVYMRNGTVSRTARPKMSEIAVIDDGGVVHFSPVSAVGVVSESDCSEG NNKPCEDNNEKSNDFDNLRGRIGFKYISKYIRSFGCRTFIATMALFTISAQAMDIVLP TWLSVWSRAYSGGSSTHPGFFIGIFTGLGVARILLLTISLILLYSGAWRASRDKHMEM LVVVFGATYAWIWRTPAGQAINRFSSDMASLDDTLFKTLRPVLETYLSIAFRILTVSS LVPLFLLPSIVLTGLALYIGYRYRFASTAVKHMYAGSLTPLHHSISETASGLMTVHAY RAEKVLQDRFNAAVDHHVRAWNGVSDLQRWLAVRMDLCVGLISFSVAVLAVTQRHANA STVGLSLTLTTGLCTSLLYLVYLSSLLEVEMTSYYRIENYIKDLPQELGPCDGSNVTE PEEWPTQGVVHIRNLTAGYSWDENEVLKDVNFSALARERVAIVGRTGSGKSSLALSLL RLATKLRGSITIDGVEIDSLDVEKLRQRISLIPQDPTLFDGTIRFNLDPSSRLPDEHL QTILDDVAGAPNKWRLDDPVEGNGKNFSHGERQLIALARAMVSRNRIVILDEGTASLD KDSEARIHIVLRERFRDCTVIAITHQLHNIVDFDRVLVLDQGRVAEQGNPRDLLSAAG KGWFQSLYMQQHGPHPRPHPRTD ACHE_20311S MVGMLLELGPCHINNGGQGTHRNPYSWTRNSSMIFVDQPVGTGL SYTDPGVNIPTTSSIAAEDMYIFLQIFLKIFPERRRVPFHIAGESFAGHYIPTLSAEI IRQNNLHSDRLVIPLNTTLIGNGYISPLDTTYGYYETLCTTKPGVAEPVFNQTRCQII ADTLPRCLYVYEACYRYPDKDLCKATDQVCGTIKELFHNESHAGGRDPFDITRTCEVE HLCYAATLDIQKYINKPTTWRALQVPQEIGGFSIESMRVASAFASGNDLYSPVMDEVR FTLDSGVDVLIYNGNLDLACNTAGNLRWANSLRWNGQADFASKDLKPWYSVNNRGMRK AGSYKEVFAQTGSTERRFAFVTVDHSGHMVPLDQPEVALDLYTKWLFKEPF ACHE_20312S MAEKHGADILVMQEPTLSLRDEGIDLSGRSKELPESRSDTGDES IGEDDLHSPYPDVQASVSDTDEDLPVNTFRAWLLGVVGTIVLTALNQFFQLHNPPLFL SAYIAIFATLPCGKLMAATLPTKVWDVFGFEFTLNPGPFNQKEHSIVAIMASLVTAFD NGSLASDVYVAFDKFLNIPISAGYRFMFLLSTQALSFGIAGLFHRFLVEPAFCVWPAT LPICSLLYTFHEKRTQKQEINGWKISRMGFFSIIVVCGAAYQFVPGFLFAGLTTFAWI TWIVPKNVTVNQVFGATSGMDLLPLTLDWNQITGYLGSPLLVPSWALVNVFCGSVFFL WIVSPALHWSNVWQGLYMPFSSSGNFDNTGKLYNTTRVMNPDYSLNEAAYYDYSPVYL ATTSALSYGLGFAAVASIIVHTILYHRQAVWQGLLATFGLFSQPQKPDIHAKHMQKYK QVPMWWYLTIFLSIFGISIAFLYVYNTSLPWYGLILAIAVNVILLVPTGIMKAICNIQ LSTAVISAFIAGYIWPGQMMNNVVFKIFTLVSSAQGLGYIQDMKIGHYMKIPPRVTFA AQCTGILVSWLTQTAVNLWAMGNIEGICTPNASNNFTCPLAQGYATNAVFWGLIGPKR LFSEGSMYRPMLWFFLIGAILPIIFFFLDRYFPKLRLRKIHLPAVFASTASIPPATAA NYMAWGLVGLLFNGYIKRKYYAWWMRYNYILSAGLDAALAIGSFLIFFCLIYPGVNVE WFGNSIATQTADGAGTPLRRVSEGETFGVKTWK ACHE_20313A MFRFLFRNPKAAEDWHAHLRRNPNRQKMLKCLLSDISFSDKRTI LNVFLQACVENRQKWKLPQDPKKLAYKKQSKLLWLESKLDEAGHIKMAQFIRFALYNE EDNESDMDWCSRIIRADVKARWLVQREAYLIEEVQALHKSLSLLPLNADEAVCAAAAT QAEFKLKMYEKELRLLDNAYGPTRNTSGSLKPVSLYQQLDEPMKRAGRTSQIGIYPNG CAATVLAVGGAVDGVAGAVKRREAIRTGNGSMVIVRVPVGAVCVQRDLGKW ACHE_20314S MTPRELTPEEWRGRLHQNPKPPELLEPLHSLDAKDKNIAEFLEI SQDKTNNESTDKDNEAKEIADLEYLARALANTGDGVYAQEIRLLLFKRQLVAEIEQNL LWAQNIIEADIKGRYRVEGKFYKLGEFGFEVKDKEEKETQLAVYKAELQLLNDEYWQD NEHVWRLEANTTLGPMRRAYKACRRKPDWHLSEWLRRDCAGRGGCYGRKCRCCEKARE TRGKGILGTALVHAGAVLRVKGALLRGRRQWKRI ACHE_20315A MSSSSPTPQTDHNKNNNAGLNKQHLIIALAVGLSVVTLIIMCLL LSIFVNNRHSNSDNSEHRRFRPSRSKKPKKSPSERRLRKLDAESPICTLEEWWSRAKI PPLPSDDECDQFTCAICLDSVLRSHEIRDLKCLHVFHRECLDKWYLQDQFHCPLCHRA YFKQQFQPTNEFVWMV ACHE_20316S MSEPNATVTTCSSPPSVPPSDATAAALNYAFLVHSQKTLTQNLP PRVDNKLLARQKRRRTSPEDHAILEAEYQRNSKPDKTARADIVNRVSLGEKEVQIWFQ NRRQNDRRKSKPLQPHELLAPRAIADHLRNDDNVSAERSTVEQGDLDNAPSSDVLQSS FESDPVSGAEDKDEQERSIQSSQTSLDSETSEAPQQLNEEAAAAEPEPEPVENPEIPA DASQLNITKRKRSVTDLRGDASESQPAEKDASKDFKSPPSLRISLSFDGEAMVRKEGE MTPSPPKGRNALRISMSSDGKAVIRTDDEPSPSKNRISMFSTRKSRFAGLRRSNSAIL PATPRVASSEKERMFGRSRDPRNWESVFDTDARSALSTPGSAQSAPHSTPGLLRSQGQ RSLTRSLSSRQNAFANNSPSHPDTPVPLQTGDKRRKLSRTVSSLGRLESNRANALGQV SGNSLKMPKLFGNSKKNNEDFEFHNGDSDKENWIPGTRISNVRRRTMSSNMQRPALKD TNSKSRRSRLSQAHQQRKAAPEVDPEVSAFMTNGSSASQEEDLDCIQGLLSLSQGAWR ACHE_20317S MGKTPRVAIIGAGVSGLRCADILGQNGAQVTIFEARDRVGGRVA QSKVGDHLVDLGPNWIHGGQDNPISVIAESTKTELEDFDEYQIVFSKDGKPLDDKLAA KVSDFVWTTIGEAFEYSNTYKDSIPSGRSLFDFFQERVEKKGFNEEEKEACLESCRLW GSYVGDPVERQSLKFFCLEECIDSSNCFVSSTYQKILEFVSKAALKYANVRFKQPVVG IEAEPRGNKADHQVILSTADGQKYEFDEVVVTCPLGWLKRNKSAFAPDLPPRLIRAIN NISYGRLEKVYVTFPQAFWHTERADHKPPNFAMFLDPKYSNHPKDIIWNQECVSLATL GPQAHSTLLFYIHGPCASHVVSNISNLSPSSPEYYDYLNDFLHPFYSRLHGYSAASPD CKPLAFLATQWQTDPYAGNGSYSNFQVGLEQGDKDIEVLRDGAGIGEERGVWFAGEHT APFVALGTTTGAYWSGERVAGRVCQIYGLDGIGIGTERDDSLPSQIPIT ACHE_20318S MDNSTQNQPFFPIPRDQVPWLLFRVEHRLSSSYGTLSAQARIAR IVTPPSPDDFDRHLLNSKDFLTPFLSYFSNFRRALSLYRAFRLEGRHEVTITALWARD MANIYNAESIAQSLGFRDGDGANPRRMLRDHIGEFLIHGGIVDTCRILTVFRGMNAPQ TPTPTPVTPGPITIREVDVVFECDAYQAVATLPEGFLPSSLQDNALRALDEEIYRRTG VLNDFWRDMVVSAVMGRPVNWAGRFMGAEQMGFLFGDTGQRKGEGI ACHE_20319A MPPKAFKGDYIETDTGNKISRRAQIHGTQRIILGGKTVIQTDAV IRGDLYRSSSSHASTDDPASAAPSPSVAITVGRYSYISKQAILRPPSRLHRGVHSYYP LKIGDHVFVGERAVVEAASVGNHVHIGKEAVIGGMAILKDFAVVLDGTVVPAGMVVPS WCVVGGRPARIVGEVGEGYGVEGADGGLARERYRLVGR ACHE_20320S MDGEAEIVKELLLRGADPSLPLYEDLEPPLLKAILRGHNAVVDV LLADPRADHDVRFNDRIDIQGTQTALQEAAKKGNAHVVRCPLEKGACARPNVETTMHS AVITAARR ACHE_20321A MLRRFSTNFKKSKGDKEERREAKENGQVNGKRHSLVAPIRKSNS SPPSTEEEETPQGATRNGVQSSFEKFSQVIHASQTPLPRQTGDATFLEQDTTQGLFGD IKALGFRDVNTLKDLIKSKASGELVDDKTMLMERIIQLVSGLPAGSKNRVELTNLFLD ELWNSLPHPPLSYMGDDYQYRSADGSNNNPTLPWLGAANTAYSRSIPPLTVQPSGLPD AGLVFDSLMAREKFNPHPNKVSSLFFDWASLVIHDIFQTDYRNPNINKTSAYLDLAIL YGDVQEEQDLIRTHKDGKLKPDAFSEPRLQAFPAACCVLLVMLNRFHNYVVEQLALIN ENGRFTKPSPKLPEEEAKKAWAKYDNDLFQTGRLITCGLFINITLYDYLRTIVNLNRS NTTWCLDPRSHMEKEGSTPQGMGNQCSVEFNLAYRWHSAISANDEKYTEQIYRELMGK PAEEVSIPELLIGLGKYEANLDRDPAKRTFAHLERQEDGTFRDDDLVNILSDAVEDVA SSFGARNVPKALRAIEILGINQARRWNVGSLNEFRKFFGLKAYESFEEINSDPDVANS LRHLYEHPDFVELYPGIVSEEAKEPMIPGVGIAPTYTISRAVLSDAVALVRGDRFYTV DQNPRNLTNWGYSESRYDLSVNQGCVFYKLCLRAFPNHFKPDSIYAHYPMTIPSENRN IMKNLGRESHYSYDRPTYTPPKVNLSSYTNVKRVVEQPTDFRALWGEVPAFVFGKTGY EQLLAGDSSFGTQQKEAISQALSSEEWNKQVKTFYEDITLQLLREKSGRLAGRNQIDI TRDLGNLAHTHFAANVFSLPLKTADNPKGIFTEHELYMALAAIFTSIYFDVDPAKSFP LRHASHAVVQQLGQAVESSLKGSSGFLGGFLGGSRKATNALAEFGAKIIKQLLDSGVG ASEVTWAQIIPAAVGMVPNQGQAFTRIIDYFLSADGAQHLPEINRLANENTPGSEEKL RRYVLEAIRINGAFGAYREAQTSAILNDNGREISINPGDKVFVGFSGANHDAEAFPEP NQVRLDRPLEAYIPFGVGPHASLGKDTSLIALTTMLRVVGGLPNLRRAPGPQGQLKKV QAEEGYTAYMREDNSGYFPFPMTFKVHFDGEIPGPKHR ACHE_20322S METAPLGPAPIPKQFVLCFDGTGNKFAGDESDSNVLKIFRMLDR SKSHQFHYYQPGIGTYVTSKSFSHTGRFQRIKSAYLKAKDSAVGSSFAEHVMGGYKFL MRYYSPGDEIYFIGFSRGSYIARFLSEMLDCIGLLEAGNEELTRFAWKTFAKWQQRRG DTEEDRKEKEKLFLFMKAFRETFSRPVTRIKFMGLFDTVNSVPSFESAWMQRSKFPYT ARSSARVIRHAVGIDERRAKFRQDLISGAKPQAKKSKSRHAWGNLQHHLHLEKSHEDL PAIVVNDGTNGASQATPDQRNHNGSTSMRRSSYNSHAASSPNNNADHRYRAPATYLKP RPNLAIPTVASAEDLASVKSGQSGLSLQIPIEDDQEQDIQEVWFPGCHADIGGGWKLE KDEKWPLSHPPLVWMVQEAWRAGLQLDPRKVKQFECMEEFDGEFSPIRENGWNEDTEN GDAGVKLAGPCGDEKERSPSSRDFHAALHLGSTKGWIHDCLSFGNGLPAASVIIWRLM EYLPFRRMDLQPDGSWKPIRWPLPCGEVRDIPLDAQVHVSVIRRMEANPDYRPGNLIV GGGGRGVRKAPEEYGMGDWVVKDHEGDPVRQVYVRKSVSKCQENHQ ACHE_20323S MSSERFMSPPSPVESVDSFDSGPAATTANRDTATESTGKTKRSD DVMVTLSLPPQPAMTPRSRSPLARSHFRSRSLAEVPNMPPMTRAYSSPGLDSRGRYIF VNGRGAPISNPLENGKRHPFLQVATGDALESRMAPLKISEPIAEHAELDTAMNPSSQT DAESAHAVSPAISTHHTFPRMGRRRPSSPLHFHPGNASSPGPFPVYSSSPVMLGSKYN EAFPSYSSSSASSMPSTPTSLRSRSPSISSLETIPDIPDAEAAASEADQVAALKAAAD RIDDGEASGAGNRRRGTSDAMGPAGSLMGMRGGYGVRADKRKRWSVCGAERRQDLDLE TIWED ACHE_20324A MIEDDIYRTSSQFRIWSFTEDSLKQLRATTNTIASERVRAALRR AREARQSAAPSAVGTPTANQSGSEADGKGAEERIIECLTPEEEQELVRYYCEKTVELG ETYKPPMPTIVRATAIQYLRRFYLTNSPMTYHPKSIMACALFLATKTDNYYMSLRQFA AGIPGDTTQEDVIAPEFLIMQSLRFTFDVRHPFRGLEGGIMELQAISQGLGQPSPLQP QQTPEDLRRGLSSIPPAPNASSSQSLNDRLARAHHTTREILKSAAQMTDTYFLYTPSQ IWLSAFFLADKPLAEFYLDTKIGGPPATDPDSPLSTLRTKLLTILTNCSNLLSAYKPL STDPEQMKTLRRIAKKLYHCQNPEKANIAGQKRIPAAATSSGAATAAGTPAAGGGSGE SGASESEMERLAKKRKLEGGGSSGTKADDMFGGELVTQRNKQQNKQQNKQQGPTSHPE AG ACHE_20325A MASHRSRRITKELADIHADSQSQIGAEPVGGDEDITHLRGSFPG PPGTPYEGGTYYIDIRIPLEYPFKPPVMKFETKIWHPNVSSQTGAICLDTLSSAWSPV LTVKSSLLSLQSLLNTPEPKDPQDAEVAKMLLHNPKEFERVAQQWAVMYAGAPQNNAA EGSAGESLRGQEQGQQKDDLAKYDGYNKDLIDRFCSMGFDVERVVGAFKYVGIDRSDG EDYELGEEEMGDITARLLGES ACHE_20326S MGNQQSNIGGGPGGDGRDEKDKKKDKPRYEPPPPPTTRIGRKKR KAAGPSTAAKLPDIYPTSRCKLRYLRMQRVHDHLLLEEEYVENMERMRKAKAQATQGP VSQGDLDIMDRNADERSRVDDMRGSPMGVGNLEELIDDDHAIVSSATGPEYYVSIMSF VDKDLLEPGASILLHHKTVSVVGVLTEESDPLVSVMKLDKAPTESYADIGGLESQVQE VRESVELPLLHPELYEEMGIKPPKGVILYGAPGTGKTLLAKAVANQTSATFLRIVGSE LIQKYLGDGPRLVRQIFSVAAEHSPSIVFIDEIDAIGTKRYDSSSGGEREIQRTMLEL LNQLDGFDDRGDVKVVMATNKIETLDPALIRPGRIDRKILFENPDQNTKKKIFTLHTS KMSLGDDVDLDEFINQKDDLSGADIRAICTEAGLMALRERRMRVQMDDFRAAKERIMK TKQDGGPIEGLYL ACHE_20327S MTMDGFDEETFKQFFPTSFGKQTRTTNINAQIDRTKRSEVSVKP DGDDTKKELTDSAGADTRGEFETRPEEQEARDDDDDDSDDNDSDEDDEDEFPISHELL IKTHERAVTTMTVDPSGSRLITGSNDCSIKLHDFASMTPTTIRAFKSVDPSVKKQSAA QETHAVHYAAFNPITPSHVMVVPATPQPRILDRDGETLVEFVKGDMYLRDLHNTKGHI SEVTSGAWNPTDYNLCVTAGTDSTVRIWDANVGRSQKEVIVHKSRVAGSAGRSKMTAV AWGSPKQGGSNILVAAALDGSLLMWGGNGPFNRPSGEIRDAHTRDTWTSGLDVSSDGR LVISKGGDDTIKLWDTRKFKQPVTTAHHPSSSTRYPTSNIIFSPTSANVLTGSETGHL HILNPATLKPELVTPVTPGSPLITVLWHEKLNQILTGSANAETHVLYNPKTSTKGAAM VMSKAPKRRHVDDDPNLTMDLSQGLSGENVVVGSNGIPHYSSSTWSARHPTIGLTASG RPKDPRRPHLPLQTPFAKSQPDERHIRENIPLSSMRDEDPREALLKYAEKAEKDPIFT KAWKETQPKTIYREVSDDEEEQQPDKKKARR ACHE_20328S MPPSSSLSWRKWPTKSSHPASSAQDMRTETFFYNSARRQGKITG PPVLQIAGVNTTFSYGGLAKLGKEGLQGLAFRDGQFHSMVEIIHGEDLKTRGNEHFGF KDGIAQPQLEGLNDPRANTRKKSFRSTPPGVIITGRGRGHNEPDWAKDGSYMASRKLR HFFLEFKDFLQRETSRLGYAPEQLGTRLVGRWESGAEKDDFHFTKNDQSECPFAAHIR KARP ACHE_20329S MRRGIPYGAEATDEELAQKKTIMDRGLLFACYQISLRAGFEFIT NGMFNNDYFPPRTPVQPGADSIMTNSVKNATNEDKKLFMNIVDSKQQAKKIEFDPFVE SHGGAYLFMPSLDLLREMAH ACHE_20330S MGHTQEPLAAGLLAGFVSTIVPSSVPSNQTLSDGHGSGGAGRMH EWSSLIGIITALAGNVLISLALNIQRYAHIRIDKEWMRDRIQRESNWKRSHPGRDAAG ANGTAEEYHDEPRGRHHEPTFEPYRDESPDLQHAREGSSRARNQQGEQSASHGGLQES IFSDQTIQPEDKTHRKSYLRSPYWWVGIVLMVLGETGNFMAYGFAPASIVSPLGVVAL ISNCVIAPFMLKEQFRKRDLLGVFVSIAGAVVVVISAKSSEEKIGPHDIWVMITQWEF ELYLGLTAGLIVALMWTSAKHGSQTILIDVGLVALFGGYTALSTKGVSSLLSYTLWHV ITFPITYLLVFVLVFSALMQIRYINRALQRFDSTQVIPTQFVLFTLSVIIGSAILYRD FESFGAQRAGKFVGGCLMTFLGVYFITSGRVRADDESTYSADDEEQAIGLLAGERYHD NVDVSPPGPESRIHKSLQRVPEAHDEEPSSPRGSLLSQGIDGFEDDHPTPRGILSAAP SLEDSLTTGSLRAPSPEPPHSLITNPWADSQERLVDSPASEPQIRPVTPPEQTPDQTM GSTVLLRFPPAPGAESNAPQNDDSEAPEADPAQPAAPETPPARRMRNSISMRFSPGPL LPALSGGFSAVVAESLRRGESSPVKERKGRRRTDRRKQLSTTVLDGALRRAGESREAE YDSDGAVDENQDSPFNVSTGRLNSTGGIPTGPSTPAPPRGINRGHQDNNEDVSSLNRL RSLSDSWSGGPTWLGGLLRRGSKQHQQPPINESAIYGDESEQQSTASGNTHQQ ACHE_20331A MASQLGFTISFLVFSLFKHSCIPSLICDLNISLAVAMETAPLTL AHTHARNAVLETRKANPVAASEEHDLAAGEFSTAANTSSDREALRTLQLLERHHKKLA EILRFQHENPSSASAAETTSSPATTPGLNAQQPVAQQPPRLSGNKRLSTREASSSIAS NLASARGIPSHPRRASPASPTLSSQQAGAKMTDSPPRARTGESKLRGTQYYPTKDRTG RVSAPKQPWSPPSISPTDITSQQVVPVDAVDSPRQKRITEEPFQRFYSTFEGLISKIS APLAFAGLPLGTDANQAESTKGKSSAETRLDRQQAISDRPSTSTEPDVSKIFSKAALR AVRDGTNGAPNTTAESFYVVPTTGGTVSYAGILSRAEKEARRNSFEEVDEDFVDARET PSPEMRHSMTGAKSRVARGADKLTSLQNPKTLEELQMENQALKHLSDTLSKRLHMWEV NAQSSSMALQQSIRAMHHLPSPEHHPLPAPSGGSAATSPIAPLTAGPSTTDHEQRIKE LEELVRTSEKELGQAGRENEKLRNVLGRYRERWEKLKEGAKTRRETQAQAAQQSRDEN AAAAPTTSTPAAAVAPVDDDKTTHLGAQEDHETSSRPNENADDSAEQEHQSKDVSED ACHE_20332S MENPPTAKELPPAPLLSEPLNISKISRTRTTPVDLDTMDSSGRS NGRSSIDSTSERPKSQSGEAADTARAGPSGFSKLLRRKKNKKNQKQTDEQSTDNDRDI PGSRDGDYAASLFANDNNPLPENEAGTLLADDSELDRSLQNSSSNNGINTTSSPLIQT TSIDATDTEGVQADVESAVSGPSATASDSNPDADPSKSATQNPSTLEIPESRTGGKRR GTSPGRRFKNAFSSSAQDKKDTEGGRERSSSTSSKRSMTLFGGNGRRGSLSAKRAQTS HGIVAEPPPPLPPIRTDLTEDKPSELSERPRTPPHTALPVPAPHTTVTPPTPSEHRLE FPKLIDSPEVTSSPESITPGEGIVVSPSGNMISHRRVRSASSAHRPSKLSNSISVSPT IEEVKSSSRNPSAAQQAGFFSSMFSAAQNAASTLSSSLNNQPRNPSQLDSTTNASQTN SGAEDAKGDSNNSNGEEKRSLAIETLGSGDLDFSHLEVNVPPGGSVSTPDGIVITKPD LPPEKRKNMAVYQRDEEAAMLEVPPTDEPLELGSTTSLPKDFGGDQPPPSGDILDADL GTRSRRSPSLRGRLIGRHRGSSAATTSSTVGALAGAGAVALAAPGATPSVPRSTGFAV AGKKRNRDFHQLFRSVPEDDYLIEDYSCALQREIILAGRIYVSEGHICFSSNILGWVT TLVISFDEVVAIEKESTAMVFPNAIAIQTLHARHTFRSLLSRESTYDLMVNIWKINHP ALKSSINGTRVSHGTGDKTEKAGESDVESDEDNEEDEIYDEDEDGDTVDSFVEAASVN GSEPTNPRKALSRQASGNIPKASANGPDAGDNGNSASGDTDFPGPATHAPTEYTDPNG QYDKVVKDEVIPAPLGKVYSLVFGPASGAFVPKFLVDNQKSGELQFSSEKQGLTNDSR NREYSYIKPLNGSIGPKQTKCISTEYLDFLDLEKAVLVTLTTQTPDVPSGNVFSVKTK YLFTWAAGNQTRFLMTCTIEWTGKSWLKGPIEKGAIDGQSGFGGDLIQAIKGAIAPRA RPGTAKPGKGKGKRKKGDAAGQEPAPAIAATDATASKADSWGILEPLQGVLEPVMEIM KPLVSGNVAIAIIGILLFLLFFRTPFRSTVPSSHDIGCPGYTLPQRLAAYEEMWRREE SELWSWLEDRVGLEGALFPMVRPSVSPLRQKAQQLRAERELSAKMSDDKMTDREMDAA IRTTRERLDALEDILNKRKAHSIVEEVQSRHEL ACHE_20333A MDRIEPVGGPDDRPNGAPFPAFDNNSLTPTAHTPRPRALSRSRR PSIRLSRLSSVSSLGSSGDDPNQNPQNSNRRTAADDSATAFLTRNRSPGPGREHTQIE EEDESWQGSRRRSSSEPRPGRWSSPSPVALSRIATPMLPLAEVRTNQSRGPRSPSERQ DNNLESNPENPQNDNHEDSEKLAVRPPPVVRPRADSRLRRTSQAALNRFSRNRASTVN GPVPKVIDWNHYRDEDYDRSHEYDPDIVNVLDVIDPEVSALSTLTNVQNSLFVPDLFG FVNREPTYTLSPPHHEAVTEEEGTTTDDAGDNLQELRPDMKNLRHLSSISSVLDAGET RFAVLPDETNLDGWTKEDIEELNDHVRHMLHSRRNKFKRAMKGFGQYVSKPLGFLVTL YATLITLFGLAWVLFLIGWINVGGKQLYVINIIDNILVALFAIMGDGLVPFRAIDTYH MIFIARYTFLTWKIRKQRALPSLKNKNDLPVRREIDIDVEWGDTPKDEAYEFSVLDRI QQARLIHHQKKFAKSHTFYKPHETVTHYAFPLRLLIAIVVVLDCHSMLQIALGTCTWS IDYHVRPFALTTVILCCSIACNISGGVMIMIGDRMTRKKDVVERMFRQKLTSEAMKIM QKRRRKEEKRNASLSHTSSGPSNSVLAPQVSPYEGT ACHE_20334S MSTAVENVADKVASNTTNEAPNGTAPAQEQQPQPTDAAAASADE GRRLYIGNLAYATTEGELKEFFKNYKIESTSIPVNPRTNRPVGYAFVDLATAHEASAA IQELSGQEILQRKVSVQLARKPEPAEAKEGAASGGEGEGRKRTGGRGRGRGRGRGRGG RVGRGGRSDQAQKTEAPTNVPAQADPLTDNQANNAATTEQGKPRGRVQQKQRGPPEDG IPSKTKVMVANLPYDLTEDKLKELFSAYQPVSAKIALRPIPRFMIKKLQARNERRKGR GFGFVTLSSEEQQEKAVKEMNGKEIEGREIAVKVAIDSPGKEDDAPEAEKSEEVVPAA GQENAPAAA ACHE_20335S MSRMWEVDPETRAKLLQISKTNGNDRCCDCNAPSPQWASPKFGI FICLNCAGTHRGLGVHISFVRSITMDAFKTGEIHRMEQGGNEPWKSFFDNHAITQSEG RTFEDSTIKERYEGEVGEEWKERLAAKVEGREYVPGQREVKKKETPAASQGPSSISNT GPRGSSPALSEGGGVGGGKKERNEAYFAKLGTANATRSESLPPSQGGKFTGFGGGLPP SSPAGSRSSFMGGAGGAPALDDFQKDPMGTLTKGFGWFTSAVGKSAKTVHDSYIQPTA KQLAESDFAAQARLQAVHLGQNIQVGARGAADQFNRFVEGQDEHTASLARRRGEPERK DFWDDFAALGAEGQGQHRRSASRPNAIGTAAMKPGATGAGTGTGATGSTGAAGSGSGS GATGTAKGQGQQHEEGWDEDW ACHE_20336A MKLLSALSLLSLIPHALSVPTGNSHHLRSSVQDGQPHLLNLKPQ RTTSRDLVSLDGLWNFALASDDNSTAQPWTGPLPKGLECPVPASYNDIFVSHEIHDHV GWVYYQRSVIVPRGWSGEQYLVRAEAATHHGRIYVNDCLVAEHVGGYTPFEADITDLV AAGEEFRLTIAVNNELTYQTIPPGKVQVLENTGRKVQDYQHDFFNYAGLARSVWLYSV PKQHIQDITVVTDVDGETGLINYAIKVSGNATTGSNIKISVLDEEGKRISEASGPKGT VRIDSVKLWQPGAAYLYQFRADLVDSSGKVLDTYSVATGVRTIKVSGAQFLINDKPFY FTGFGKHEDTAVRGKGHDQAYMIHDFQLLNWIGANSFRTSHYPYAEEVMDFADRHGIV VIDETPAVGLAFSLDAGLDGGDAPATFTPDGINNKTREAHAQAIRELIARDKNHASVV MWSIANEPASNEDGAREYFEPLAKLTRSLDSTRPITYANLGSATYKVDTISDLFDVLC LNRYFGWYSETGQIDEAEAELEKELYGWQEKFPEKPIVMTEYGADTIAGLHSVLDVPW SEEFQVTMLDMYHRVFDKMESVVGEHVWNFADFQTALGITRVDGNKKGVFTRDRKPKT AAHRLRERWTKMFA ACHE_20337A MVATPLLRISPQAMALKPGSIAARRLGTLKSLPVSNRKLFSTSS AKWAEALERTRNIGIIAHIDAGKTTTTERMLYYSGFTRRIGDVDEGSTVTDFLPAERA RGITIQSAAITFHWPPGSPGDKNTVTLQESQPRSAVPHTINLIDTPGHADFTFEVMRS LRILDGAVCILDGVAGVEAQTEQVWHQASTYRIPRLVYVNKLDRDGAAFGRTVKEVGS RLGGWPAVCQIPWFEGGDGRFVGVADVVNIHGLRWSEGDGKSVKMLDLQQLDQEESQL AAELRRARAALVELLSEHDDELVEKFFECDEDHLAVPPQDILNSLRRCLIENKSSRVI PVFAGASFRNIGVQPLLDSVINLLPSPLETADPEVSIGNVKGGLRRLLSGDLLVEQNE KQAASGGKKQKSKKNLQAESQSSIERLQGCGLAFKVVNDAKRGVLVYVRVYSGSLDRN STLFNTNLNISERVPRLLKMYANDAVEVDSIPAGHIGVVVGLKHARTGDTLVSYAGHK STPPEPLNTLQLRPIGVPPPVFFAGVEPHSLSEEKKLEESLALLLREDPSLHVTVDED SGQTLLSGMGELHLEIARDRLLNDLKAKASMGRIEIGYREAPLGASTPVTRIFDKEIA GRKGKAGCTAVVEPWDPYMDSTNSEGNDILSIITHENNQIIITTPNLQVETNKKGIEE SPLLPAGLDIPTFRAALQNGVFAALARGPQFTFPMHSTRVTLTFNATEHLYGTDTTAS AVSSAARLSTTAALRTLLPPPSSPQSAPGTSPTPGTALMEPLMNVIISVDEASLGAVV HDISSSRGGQIISLDEDLTLPTTDLASSPTSSYSPPQQPPEDLPPIDTSKVYAPRDPF ETTSIGGGSLGNTDPVSGSANRPRTIAAKVPLKEMVGYLKHLRSLTAGRGTFVMSVDR FERMSAPRQKAVLIELRGGL ACHE_20338S MDYSAISDHPTGSSPWASPAPERTTFSESNDSDIPPSALPPQQQ SPYDADRDLSQAAGAGPWSASREEDPDADLSERLQSAQLGDPDYAVEQPPYATQQPPL PPQQPQYAPQYAPQQQVQPAAQPHFAPAGQENKRPAPLYKIQARITGLERTGKKDPIL RFDVHTNIPKFRTTQYRDVRRTHAEFVRLAEHLMSANPEALVPAVPPPLTPAGAGTEE DEVRVKAGMQRWLNIVMSNEILTQDDEVVLFVESDFGYSPVVRMKQPATGMRRKVLKQ FAPPPDDTPELQSARPAVKMFYLGAMDASHKVDRVVKGRRGLGLAETDFGIKLGQMHV QETHPGLANAYKKLGKVISNVGDYHAVQATAEATTLGDPLNYHSSDAFVVKETLTNRH ILLRDLLQAQQTARSKRAAADRLRVSSSVRPDKVDEALSALEEAESHEAYLSKRTHRV TSNLVQEKRRWFDQTSNDVISHLREYTLRQIEAERRTLATLESVRPDIRAIDSSGGLS RLGREAHPTARRPNLGSSQGPKGDAWSGVPRRGDSLGRSLSGSYMAPNLENDEETEAG PGRVRSSSGVGSIAEEDDDDRLDARNAASRLAASTF ACHE_20339S MAPKVFLTGTTGYIGGDGFYSVHQAHPDWQLSVLIRNKDKAAKL ASEYPQVRIVQGDLDSADIIEEEVKNADIVYHFADCDHVASAEAIAKGASHHTPQNPV WWIHTSGTGILTVEDFRTNTWGIERSKEYNDWDGVSELLNLPDDALHRNVDKIVIEAS KNNPESIKTAIVCPPTIYGPGRGPGNQKSVQAYWLAAAVLQRKKGLLVGQGTNIWHQV HVQDLSKVYLSLGEAAAAGGAPATWNDGGYYFAENGSFVWGDIQREVAKVAHEKGLIS SPDVEPIPDAQVTELNQFGLYAWGSTSRGHAIRARKLLGWTPEKPKLIELIPHIVDVE AKGLGLR ACHE_20340S MDPQEYYGKVEEWFKQGHFSRDALLHTLSSRTKNFGILEDDEEQ RLHETFDSLCADNNGSRYLSQPAFVSFLQRLGFLPSSMNEAGAVLYRSLINISQAPFD EHSARQLTLNDLLRSLVLTDYDRSRRVYEESEDSRTRTPADTRRIIFQSLATARNDKK ALS ACHE_20341S MDELKEEKLKEKAERERAARPGGGVNALMMAGALADDTVPMPRI RESEARATPSKEQEADKAAAKAEENELPEPSDQKVLLLKSLLAIGALPEALLILSKFP WLMDVYTELPEFIHRILHHSLSKVYASLRPLPSVDGLRDQQQIPSLDQSSVPKGQVRL NQAPPRRVLRWAQLDVEDEHDGTDYRFYWDDWADNVPICQSVDDVFALCSSFLNLSGH KIGQDAALLSKLARIGRDSLSKDGSQENRARWQDLCKRLLVPAISLTKANPGVVNEVF DLISFFSREVRYNMYAEWYFGQTSRLPDIKSAFDQARAETKDTLKRLSKTNIRPMARA LAKIAYANPGIVINVAISQIESYENLIEVVVECARYFTYLGYDILTWSLINSLGQKGR SRVQEGGLLTSRWLNALATFAGRTFKRYSVMDPTPVLQYVVEQLRHNNSTDLIVLEQM ISSMAGIITDSSFNESQIQAMAGGDTLQSQTILQLLDKRHESKTTSKRLMKSLTVSKL AGQLLVAIAQERWTCIFQDESSSELKLSGNIFDEIHRILTQYLDLLRSNMSVEEFDSF VPDLPTLIKGFGVQPELAFWIQRPSIGKKIAEVERAAQEDEAAAKTRESEEASSATKA EDGQEMAVDGEPTAKAEEATAEGAMDVDKPQPQSLNESDGTLAPEQTAANDNGNSEPP ASNAVIQDLEGQIQSVLPVETYGIVGLRFYVIFWQLSLYDVHVPQKAYEDEIDRQKRK VVTINNDRSDISMAGTQRKEREKKQLTQLQERILEENKTHLKAYGQTRIRLQKEKDRW FAGMRGNYDTLNVSLLEQCFVPRLLLSPIEAFYCFKMLKFLHTSGTPNFRTVGLLDQL FREQRVTALIFQCTSKEADNLGHFLNETLRDLGRWHADKAVYEKEAFGTKKDLTGFAT SVGSDGKPTMFLDYEDFRRLLYKWHRILASALKICLNGGEYMHIRNAISVLKAIVQQF PAVNWIGRDILKSVNNLSQNDERDDVKTPAASLIGDLNRREKKWMLPQAFNEIKGPIP AQQQQQKTEQALSDKATAEKAGASGTVTPTPLNAAAPSFKPPGGQEAEGAPKPEPSKQ EVEDGEIEDAKMTDVAMKGTEGAKQEPSGKPETTPQQAEQPVTGGPEVAPTDKPAEPA AKQPRASSASAVSQPEPQALERQADIPKRPEIERSSSSASNIRGLPNRPIRHGDGRLP PRPERQRHPGRYDGEHDPHSHGLLERDLPTRLPPDEPFRGPAYRDGRLPREPEWLDRS GRLRPAPDGFDGRSDGARLPLEAPNGPRPGPQTHPDRAGLIHERPDRDRRGPPPRGLS PPRSSDLPGRLERFPGDDRRFANYPPGSRLDDLPTGPRSERPGREIHDPRETPSGPDM SHGRLRQPEPAEIPSGPRSRNRGGRGASGPQPPPPPPAGGNERQPPMGPKQQPPGAPA SPAGDRLDNSGIHPDRLKALQQQGNEYGGGRSQQPPSPGIAPPSGPRSSFAPPSGPAP MPRGAQPSGSGGGGGGGGDRGRGDKRFAGINNMLQQSAGPADRSGPGTSIRGRGANRS AAAMNAPSPQSTPSGGTPDDGSRTVSSSSQQGRPDLLADRITSASRGDEGHSRRSLGG RGDLIDEPGSESRRSSQRYSERDRDRDRERERDRERDRDRERDRGDRERGERSDRDRE RERDRERERERERERRAGDEEGTRSSSRREERERAREYERERSRRSDAGAAASRDDAR YEPPREVLRRGGGNRDRRERRERDEGAAGDLASHEHEGRLRPPSSMGVPPPPPPPPPP LPGSAEDERRWGGGGRERDRERNRDRARDRDYGGGSSGGGGGHRKRGRGGDDGHGEGG GRGGMRMGNENKRPRRGM ACHE_20342S MVRLDVEQTISELTLGEKIALTAGVDFWHTAAVHRLNIPSLRLS DGPNGVRGTRFFNGIPAACFPCATALGASWDTKLLNDVGRLMADEAIAKGCHVVLGPT INIQRSPLGGRGFESFSEDGILSGTLAGYYSKGMQEKGVGATLKHFVCNDQEHERLAV DSIVTQRALREIYLLPFQVALRICQSACIMTAYNKVNGTHVSENKKIIGDILRKEWGW DGLVMSDWFGTYSTSEAINAGLDLEMPGKTRWRGDVLAHAVSSNKVAEFVLDERVRNV LNLINYLDPLGITEGAPEKGLNREQDHALLRRAAAESVVLLKNQDSILPLKKDKPILV IGPNAKIAAYCGGGSASLLPYYTVTPFQGVSAKSQASVEFSQGVYSHKDLPLLGPLLK TVDGKQGFAFRVFNEPPTEANREVVDELHLVASTGFLMDYVNPKIKSMTFYVDMEGYF TPEEDGFYDFGVTVVGTGKLLVDDEVVVDNTKNQQRGSAFFGNGTIEEKGAKHLQAGR TYKVVLQFGTAPTSDLDNRGIVAFGPGGFRFGAARRVNQEDLISHAVEQACRAEQVVL FAGLTMEWETEGYDRDHMDLPPGSDELITRVLAANPNTVIVNQSGTPVTMPWAHNAKA ILQAWFGGNECGNGIADVLYGDVNPSAKLPLTFPVRLQDNPSYVNFRSERGRVLYGED VYVGYRFYEKIERDPLFSFGYGLSYTTFTRSNLSINTVPENPTLPHQPEGTITEPITA TLTVTNTGPVAGSEVAQLWIRPPPTSSVNRPVRELKGFNKVFLQPGKSKEVQIVVDKK VATSWWDEQRGAWASEKGIYRVEVTGTGEGVLQGEFEVEKTRYWVGL ACHE_20343A MEFKASLRDRLRRTRSSLISFSQPITLFSVKPNTNSNAKRPSSD ITEPPCIPRDVERELRYACHRLQRRIERGIPSYDNYGVPEISGERRQTMTVPQINTAP RAQSLPATLRSPRNRYYSGLEFEVQQSEQKKDDISELYDRTAHIARAESTYTARSDFN TSRIPSSATHDAAHADGEQPHSSGETLVGANDSRLSHDQRYSMDIANGPYPQVPLDLI RDLDSMPHIVPSLSRPPGPATMPARLVRKKRVENLQEESESQSQTNTDTETETETVTS DKATGPRPKYRYSWLPQTATATARPVSAMELGLGVSPPVIIDSKGQRQVMSPEAEQQR QKDLQQAVREKMNTGTIRPRPVSDIHTQPCANCSNTTKSAGITNVNETGEQLSRRRSI LQKFSFFSFVKLKNGMPRTREAVGFSRIVDVV ACHE_20344A MAERNAHTGQFRQYSPISSMNPRDADGQLLNPVERIDSQPENPY SHLITDQTTVIVPSFTLESGVTLHNVPIAYTARGELSPNRDNVLVICHALSGSADVAD WWGPLLGGPGQAFDVSRFYVVCLNSLGSPYGSASAVTYKDGDPEKGIYGPEFPLTTVR DDVRIHKMVLDDMGVKQIAAVIGGSMGGMLALEYAYFGKDYVRAVVPIATSARHSAWC ISWGEAQRQSIYSDPKYDDGYYSFDDPPVTGLGAARMSALLTYRSRNSFESRFGRNVP DPSKQQNIKDTQKLPTPPNEHWAVHNDGHKGGQSRSSPTPQLQAESPAVEYMDPQFSG TKTFSAPVKPSVEGRKRPPTYFSAQSYLRYQGEKFVKRFDPNCYIAITRKLDTHDVSR YRASPTSEDPVKEALSQIEQPALVLGIESDGLFTFEEQKEIAAGIPDSRLKRIESPEG HDAFLLQFEQVNHYILEFFREVMPEIMSKQTTEGGTIVDGVNKLTKSSTFGEAEVEDI TAW ACHE_20345A MSDQKWWIWLIPVDLLFDQVPEHVKASEVKQATTMTENIFDTYN VTLSDCDVLVGYQNLHSWIQQSVRKFEGGGPQYVAVDGVTISVLEQIDKNRSRLPNMR LYHDASSNRLLIKFVKTDHNVAASQFLAELMEGCQAERCQAVGITRKDFYSLLGSI ACHE_20346A MLGWMTGQNQNEQFAYSADNSKVLDPPETPAPVFALRAFKSALF GTPAPEEEDRDRVSRTKEQQPASRTREQVDSRKDHSTGSLKNDNIPTLKPDTAPTGNT MASPTKSILVTPGTISNRRKTVSFGDGVVDNERKQESPSKTPSLTTPTNLSTSPSSQW ASGSSDGKPRSKLTQALLDSREKRSSKDFQLTQTSKPSENRSGGITSKMAPPSAGNDD NDETLNMNEPRSQSGKYWKTEFDKYRTKTDWEIKRLVQYRSVAKAYARKKDEEAVRLA GKLKQEEEKVAEMERHVSQLASTMVTEGDKHDKEKLIQDLTKQTALALQYKHRVTMLR KALEQHGVVSNEMEDIKEQPDGNDKTSEELRKTQQALEQANAKIKSMQNQRSDLSKLH DLSESSEQKASDLEKENTTLKHTLARFKSEMTKYEGRRKEKEAKLKQREAKLELRIRE YRERLRTVTQRHRESQESLKESFEEERYSLLEQIERLKLTLGTLDRLPDFESGRLTLS PRKDYTGVNVYDFGQRSPQRGHENDETEEFGDPPSPSPRSKERRYRQLQSATDDPLDL RRAAQAMGIDIDGDDNSPSIYAEEEEGSQRQSRRFNHVPDDEGDVVIPPSSPPDLSSI KPSYNRKSSTRLDHGLDDQRTMSRGRYLADLEEEKQARAQQRRRRSPTKYGLEALSGN TKQQRLGLSESQRDAMPIDRKIAAQARLKRKEASRKAKEEAIGAF ACHE_20347S MPHKQKKASNHALRIGSPRAEKKQQQHQSVPNTPPPIVAPTNYR EIHQNEVEALRSIYGDDFEEVENRRSAWHQSSDVCFRLHLRASSNPEVRIQLLVELPA TYPKTYPNLSLENLAEFREGAQSRILDIVENKPKLLVGTEMIYELGVSIQDVLEDVAQ AKEQDKDLPSLEEERMKQEAAAMHQAELERQEEIRKQEAATAEEERALQQLIENKIRE RSRARLSRRKSRTAGMDSNGFTDDIEHVPGAIAFDPPLVMNDSDEQLLKFRAVFGKTL LKSIHGKETFTVRPVVSENRPHAPLLVLKEYSLEKGLGTLAFRERMRTSEDKLESIKK LRHPNLIDFVGFKINSPDIYDGSHDNAWKVYALVEYANKGSLVEFLDLVGTVPVEMLR SWMLQLLEGLEFYHRSGFVHGEIHSGRVMLFRSPTGGTTVKLQPSIEGALPSPAGGRS RSMATSKSPFWTPPELTQEDVPLSMKTDVWDLGIVLLQMGFGKDVMLRYTSANALMGA LDLSSPLQDLLEEFFRPDPKKRPTAFQLQPSEFFRVDTPLIMQTSTANSMSLPRRPRM DSFGGISALSRYNQDFDEAGRLGKGGFGQVVKARNKLDGRFYAVKKISQKSAAALKDT LSEIMLLSRLNHPYVVRYYTAWLEEDFDLGDEDAVSSTDGDPFVSRDPGSVGFSTGGL DFISSSGYSGIQFGLDSDDEDAGSVSEQDRKETLDGYDDSESESGTEPSRMKTSSQGR PVFTTLYIQMEYCEKHTLRDLIRNGLYDDVDRSWRLFRQILDGLSHIHGHGIIHRDLK PDNIFIDVANNPRIGDFGLATSGQFMTAVRSSATADLGGNLTRSLGTTYYVAPEMKSG FTGNYNEKVDMYSLGVIFFEMCHPLATGMERDQTLREIREKNHTLPATFQHSDKVVQG KIIESLLNHTPNERPSAEELLHNGNIPLQVEEETFRRAIVHLLSDPNSPDYKKILSAI FSQSPKRFEDIAWDMDSRVSPAANELLVQGLVKDKLISIFRRHGAVESARQMLFPRSQ HYNHGAVRLLGSSGNLLQLPFDLTLPNARAIPRQDPSLEKTFAFGTVYRETPHGGEPR THKEVDFDIVSHNTLDLALKEAEVIKVLDEIIEEFPPLRSAQMCFMVNHSDLLQLIME FCRITPSQIPLVKEIISKLNVGKWSMQKIRSELRSPAVGVASTSLDNLARFDFRDSPK QAHKKLRAIMEGTPFAERLTPIFARLNLIVNYLQEFDVKRKVYVNPLSSLNDKFFRGS ILFQCVFDNKRRDVFAAGGRYDRLVQEFSPKASSRIQTHAVGFNLSWDRLSSSMLGHL KEPTKPSLKHSEAEAGAGTFWKTRRCDVLVASFDATVLRTVGIKVVQDLWSSDISAEL AVDASSLEELLSKYRDHNHSWIVIAKQDSKERGFKVRSLAPREEYDLRASELVPWLRN EIRARNLREGTTDHSKQHRLPSQPDVSFPGNERTNDIRILVSQHRSKKSNRRNIVDSA LQRSREVVEQALNGPIAAIDTRDDVLESIRDTRLSDPDSWRTVIQNAPPSERKYLSQV HELLCDLANESIMGDGTESYTNAFIYNYRTGSCLYYDLGRMSER ACHE_20348A MADTEVVDPPQTTIPSPPPSGTEPTPNDGNALTTQPTETAITTT TEADGTQKKKKIIRRKRRPARPQVDPATIKSEPPPQTGTVFNIWYNKWSGGDREDKYL SKQAASSRCNVAKDSGYTRADKVAGSYFCLFFARGLCPKGHECEYLHRLPTLHDLFNP NVDCFGRDKFSDYRDDMGGVGSFMRQNRTLYVGRIHVTDDIEEVVARHFAEWGQVERT RVLTNRGVAFVTYTNEANAQFAKEAMAHQSLDHSEILNVRWATVDPNPLAQKREARRL EEQAAEAVRRALPAEFVAEIEGRDPEARKRKKIEGSFGLDGYEPPDEVWYTRTKELED AGTAPQLEAPEQHLMIESAPPAAAAPFQQEQQQSGGIFSNSTVAALQGLAGGNVTTQK AAPSSGPLVAYGSDDESD ACHE_20349S MVNITEKIKEIEDEMRRTQKNKATEYHLGLLKGKLARLRAQLLE PTGGSGGGGSGFDVSKSGDARVALVGFPSVGKSTFLSKITKTKSEAAAYSFTTLTAIP GVLEYGGAEIQILDLPGIIEGAAEGKGRGRQVISAAKTSDLILMVLDATKRAEQRALL EAELDAVGIRLNRDPPNIYLKQKNAGGMKITFQTPPKSLDEKMIYNVLRDYKILNCEV LVRDENATIDDFIDVIMKDHRKYIRCLYVYNKIDGVSLDFLNELAHEPHTAVMSCELD LGVQDVVDRIWKELRLIRLYTKRKGEEPDFSEALIVRHNSSIEDVCDQIHRTLKETFK YALVWGASARHIPQRVGLGHVVADEDVVSIVAK ACHE_20350A MFARIFKAMPARAPAFPSVNAGIQSRFMATVRQKPTSERATFTI RDGPIFHGKSFGARSNISGEAVFTTSLVGYPESLTDPSYRGQILVFTQPLIGNYGVPS FQKDNHGLLKYFESPNLQAAGVVVADVAERYSHWTAVESLGEWCAREGVPAISGVDTR EIVTYLREQGSSLARITVGEEYDADQDEAFTDPEQIHLVRQVSTKAPFHVSAADPQCH VAVIDCGVKENILRSLVSRGASVTVFPYDFPIHKVAHHFDGVFISNGPGDPTHCQDTI YHLGRLMESSQVPIFGICLGHQLLALATGARTVKLKYGNRAHNIPALDMSTGRCHITS QNHGYAVDPTTLPSDWKPYFTNLNDSSNEGMIHKSRPIFSTQFHPEAKGGPLDSSYLF DIYLDSVLSYKNNQAQFHPQRDSRPNPLLVDILAKERVGVQPTIGMQNVAAASAAAAG SA ACHE_20351S MVAIRYLLQALVASILDTTALSRAIKPHHHGQLSEIQHPLGQYP QDKPGCDGSGIIAPGNGDCNGDGLIDNPNPRHRDREGFHFENPSTDCAYVTQMHIWDS FKDLEKDMNKLFTLIHKDVNFTVVGHHPIAGHYNDLMHFYVNALRRVSVLFMDHADKF EIHPQAIHGGCNERWSVQEVQFKGIMNSGDPFDIVNVWVTRWHKGQMVEIRTYIDAAR IMEALHKNEIWWNGTTFRDNVNYMPGPAGMPDIKALEDLMHYPDGSPYED ACHE_20353S MSDSAIRKRSRVACTSCQSRKRKCSGGQPCTICAQFGTECHYDY FSRKKKDVKPNPYATVFHTAPTAPTAATSTTNSSDLTSTADEKRSRSPVEPDHIHPTS LEVNSGAAFVRRLGLKLETAHAPRLHLFAWNVGARTPSAESMVSSSAGSTIVDIISQD EMRSFIAVWFEKIDPCYGFIDRDYLLRQVSRRWLPPSSESALGPGPYDAVLCGLAAMG MLFSRRKGSVAEARVADCGRQILEKHVTSDSPSAAIITGWVLRVSYLRMTASPHAAWM ASCTTMHLVEAAGMHLENPSDNGFLRPDDESYCSRELRRRLFCMARHLNVWVSFELGR SRVVLHGANSLAPARRVDVNTTQFYTEIFELLPVSESLDPVRSSDSTDLEAALSDVLD GEYVPYILILVQCNLVLCIYRRLRALNHSISAKLLDRVVALAAKALHATRELTASVCP WQYIAIVPFQVVCTLLAMDNRKSLALLGDAMHTLGEVAAAYDTDVMREAYSTAYLLIL LHQRRKEEDTRVIGNVLQVNSVASLLTPHNASSAPARIHQQAVAVPDDATVNSNNAIP PDTASTSTAADSNHAGHAHAHAYGVSPPTHSEFSWLGDLMIDMPSLQNFDLDQFLTTD VPWPLPEMGI ACHE_20352A MRRRITFIQPPNAPFSPDQAVLTPDALTITNLDAVREERLTVSY DELPDDVRAVLDQYQAVHIRWATEDPYTAAAPFASRISPGLHVFVSGKKRTEGEDVLC PLLKRVFWEEVECLSTEKSFIEPPILSKRFSSTADSYYWSLLPFSSPLVRYIEDNICA KASDKASDNCLKLARSLYADSIDISYDSISHALTVSAVSSRTHGGQGWTERITKPDEN SNDGREGIDQVEVGLLTTEKAADEEDIKMGGLLSVVGRDEKMKPTMFSFPSRHHALPD DATYLTSFPHPRGLHPTMTLSLSPSALKAPSAPADATCALHTYLTLPSSIFGDKYQLS TTDPLFLKSHNLAALRAVFGETDLEAPDWGVDRWGSNWLLELATPENPEEVTGEWNVT IPLHLRYLPPSKSGYRTIQVPWPVVFWACTAEGGTKMGINPFDRVNLGWEGLFGSRTM FYQVHPGDNDDARLVEKITVPVLQLDEKQGLFRSKTIELSTVVVIVLGFVWVLWKLGV VVSSSSSSGKKGKQGKTE ACHE_20354A MVAETKLYDALSIKPDASQDDIKKAYRKAALKYHPDKNKDDAGA ADKFKEVSQAYEVLSDPEKRKVYDQFGLEYLLRGGPAPSPGGGAGASPFEGGMPGGFG FGGGMPGGGARSFHFSSGPGGGSGFRFSSADDIFRNFAKASGGGMGGMDDDDIFSMLG GGFGGGGGAGRGFRSGTSGRGPGFQQSRRAPTPEPTVVEKELPLTLEELFRGTTKKVT TKSKAFDPSGKRTVQDVTLEANIKAGLRTGSKIKYKGVGDQEEGGRQDVHLIVTEKEH PNFKRHNDHLVTTVEISLKEALTGWERIVRTIDGKSIRVSKPGPTQPGHEERFPGLGM TISKKPTERGDLVVRVNVRFPTSLTPSQKDVLRDVLPS ACHE_20355A MPSLHSLAITAVAGLASVAAAGQDRSYFPPSPEGLTVVESKHQE GVKISYREPGICETTPNVKSYAGYIHLPPGALADVNVQQKYPINTFFWFFESRKDPKN APLSIWMNGGPGSSSMIGLLQENGPCRVNKDSNSTVLNPWSWNNEVNMLYIDQPNQVG FSYDVPTNGTWDQVSGNWDVSPFPHGVVPEQNNTFYVGTFPSQKNYTTANSTENSAKA LWHFAQTWFTEFPEYKPHDDRVSIWTESYGGRYGPSFAAFFQVQNEKIVKNKADYHYI HMDTLGILNGCVDLLTQAPHYPQMAYNNTYGIEAINKTQYENAMRAWSRPGGCKDGIL KCRRLAAEGDPKMTGRNATVNKFCSKASDFCSNEVEGPYINTSGRGYYDISHFDPDPF PPPYHNGFLSQHWVQGALGVPVNFTESVNSVYSAFGATGDYARSDVHGYLDDIAYLLD TGVKVALVYGDRDYACPWNGGEAVSLRVDHAEAHQFRSAGYAPLHTNASYVGGLVRQH GNFSFSRVFEAGHEVPAYQPQTAYEIFHRAMFNRDISTGKVPTANNDTYSTQGPSSSW SVKNEVPDSPAPTCYILSLASSCTKEQAKAVLNGSALVRDYIVVEGAEAEHEHASKRF ELV ACHE_20356A MGRRTEASASIEGLQIQYLIQPQPITNGTNLLGLVPGERDVVMA VLTAAYKDSADDDAVMKQIKPIVDKQESVLQQEGSLLRFEYLNCAYKSEDSIGCYGQE NKKRLQDVGKSTTRMACFRSVFQEDSSYFEQMRRGKSVMAELAENW ACHE_20357A MAPRTFKKAIVAVSGTFPGYKQADLKALVEGQGATFSSSVSQDC THLVTTEKDVEKGSAKNRTACGISTCEVVNLDWLLESVEAKKPLPVKSYLFTNDLSSP APLANGDAKADKKENKEVKKEKGGAKKRTHEDAMGANGAVNGDEEESKKTKHSQKASS KKLVVPLDEGCTLGGYSVYIDDSGLIWDATLNQTNAGHNNNKFYRIQLLVSRSSDYRT WTRWGRVGESGQSTVLGNGAFDQAMVEFEKKFKDKTGLTWENRLDTPRNKKYTFLERN YEDSDDEEDDEDQVVKREEREETPVESALPESIQNLMTFIFNQQHFLSAMEALSYDVK KMPLGKLSKRTLHEGYRILKDLSDLLANPGLANARYGTTVPAAAEELSNRYFTTIPHV FGRNRPPVLFHDKLIKKEVELLEALTDMGVTNAIMKESKDVERERVHQLDLQFNSLGM EEMTPVEPNTTEFIELEQYLNHSRGATHNLSYKVINIFRIERPGETDRFSKSYGAIKN SNRRLLWHGSRSTNFGGILSQGLRIAPPEAPVNGYMFGKGVYFADMSSKSANYCCPYN SGNMGLLMLCDVELGDPMYEQDYANCNAAEDSKKEGKLATLGRGSSIPAGWKDAKCVH ENLKGVTMPDVSVGSMNEQNRCSLYYNEYIVYDVAQIRQRYLFHVKMR ACHE_20358A MPRTSSWWEKTKGTSKKGFDKTWSTLDKLGDPVNRLSYRLGAEA FWPMSLDKECDKAARILRSFCKDGFYAEDETPDTTFDGKIDKPKGKQRVIQRIPTQVI QRAQGLAIFTTMRSGLWMSGSGGAGVLLGRIPETGEWSPPSGIMLHTAGVGFLAGVDI YDCVVVINTPEALEAFKTFRCTLGGEISASAGPVGVGGILESEVHKRQTPIYNYMKSR GFYAGVQVDGTVIIERTDENERFYGERLSVTEILAGKVRHPPWEINGLIKTIQAAQGD ITDESVLPEGETPGDAVLQPGGMFGVPAIDDPDPFGVKALEEQGLFIREAGTKNRPSQ DVFEFKPNPNSPVYSTFAAATRGSLESSPRNSWRASVQSYASVDRGVQTDDLPTAPTS ASRASSRSRFSPVDKDAIIPEHRQLTISTDRDIDRASVIEDDLEVHEVSSATVSKRGS TMEDYFNQPPLASPTGSRRHSPTFTRARLVTIPKRIPPPLPPRHPQRSTSSSTPVSPV SPIADDNRSVASAGLLNGFSELPLHYMSSNSSSISQTLQEKDEFHSISSESSDRESDN QSHESNTTNDFHEEPHAITHDSKIEMSSYNNVVHAQTT ACHE_20359A MLIKENVQIKPRINKAQTGVETAGVKHSLNPFDELSIEEAVRLR ERKGPLSVDNILALSAGGPKCADTLRTAMAMGADRAFHIDVAEGNDGPEPLTVAKMLK GVVEKENINLVLLGKQAIDGDQGQTGQMLAGLLGWPQATQASKVDVKDGEGTVEVVHE VDGGTETLKAKLPMVITTDLRLNEPRYASLPNIMKAKKKPLEKKTLADFGVEDQRRLK TLKVTEPPPRQGGGKVEDVGGLVSKLKELGAL ACHE_20360A MSRFGAKKGRKLPGAEFSWETDAGGEPDTAPTPLYPKYNVTRAM LLSPREQLQVDYYRDLRERFHEGPYYSVLDAASSSAKRGSAARANFDPFHGMPSYSGK YQKKKRTLPKLQGRPYIMKFFPRELWQTIQPSFKPETSMDGYVPQVARTGAKRGFEDD EEEEEDMKRRKAGEDDEEGGEGEGDILDPDEEQEEEIVDDDFEDDDDDMGGDYNAEQY FDGGDDEYGDDGFGDGGGGGGEEDTF ACHE_20361A MVVPSSFPAVKAHLDQVLSDPSIGLDTTLLDKLKIEFTENVDPT VPATLLTQIPQLLPVLQEDPTPLTVLGTRATAYFSFADLRSVEPPVNFVAGFKAPSPP INLLALSLLEKAGQVPSDAAMVAGDSELVASLVELWLSTSSTEVAQAALDTIWALLEI DNENSRENGADVKVGGQGLVWRRMFTDKDVYGTLFSICSLNDDRPGSLPKRDKTVAQG RLMGLLVRAGRLRWDIISTSQVPEVESKYQSNSLLHFAACQMVDKSDVLMHMTLLNFF RDLLEIDAPGLVARSYVQSASTFSSPALDFLITHNVHPTVLECYLDESKLDPVDFSFL HGPIMTYVAQYTELYPNHFLQNQQSLLDRVLERVTESLRISSTQWAHGRIPSGQLNVL SSLPRVLLVEASKQGLNPVLALPTNPPSKEALNALARILHGPQRSSTPNSTELNSSGQ TPTDWQKEAAAARYLYFVYLNDHPNLWKDIVASADILAMKDVCLASMSFMQAIVTANW QTLTDQVIAPVPGMGSRFQLPSEQSLGSVSPSKQGSLPTSGSWAVLTPPALLTLLPYL FKPPRSYAEFVGGGAGDAENAVWKIATAKYDVLVTLHDRMKELDNKTPEFEDIMRTLQ QRVRDGPLGPITRTVQNVGTIGL ACHE_20362S MADQAKSLVSQKSSDSGLHIQLHPLILLSISDHITRHAARQQRG PIIGALLGQQDGREITLEHTFECLVAPGENGEVQLPHDWFVERVKQFKDVHKVPALDL VGWWSTAPPSGPNTSHLPIHRQILQNYNESAVFLTFHPSQIQIGASNGSKLPLTVYES VYEGDNAAEGDKAMQVDNEEQSLNIRFRELPYSIETGEAEMIGVDTVARSARNAASDT SAAPQQEMQTKGKKEQKDAMLSPEEEELVASLNTRLNAVRTLESRVSLIKSYISALST TEETPDQNQKASKPSSELLSHPHLRNINSLLSNLSLLTPPEQSAFSAESLAQTNDVLL VSLLGQLGQSVKDMRELGRKAAIVNNVRQSMGMASRKGGKAARLESEFLSGREGPAGM FA ACHE_20363A MGWPVCNSTTEEQSINEVPLWDGGITFHQMALIVGGAFAIVAGI VSFYIIMGHATHYSKPLEQRHIIRILLMVPIYSLVAWLSIYFHKQAVYYSVIGDCYEA FTISAFFALMCHYLAPDLHSQKNYFRGIKPKQWVWPLNWMQKCCGGEHGIWRVPRSGL TWFNVIWVGIFQYCVLRVLMTIVAVVTQHFNLYCESSLSPAFSHIWVLVIESAAVTIA MYCLIQFYVQIKDDIKQHRPFLKILSIKLVIFLCFWQSTLISFLYSAGVIKDSEKIEA TDWKVGLPNLLITIEMAIFSVLHLWAFAWKEYSLDHAQVHDDEVTDFYGNGKVSYQGG PWGMKALLDALNPLDLLKAIGRSFRWLFVGRKKRTLDPSYQVPSEQIGLDQADNVPTT IVTAYEGAGPFMAGGRGNSPPGEEGEDLLSHAQPNPTLNRLSSGLSPSPYEDPHSSRF YSTSQDRLSDSSMLDPMAPAHQPYSPYEHDSFNNPYMVPSDSELDAHRHYRHPSSNSS GQESGVTTRLYHPNDNLHQHDPIPMPDAYQPPPLHDDYERGRSRY ACHE_20364A MTDYSKWKVTDLKAELKRRGIAQTGLRVKQQFIDKLLEAEAGDQ AGESTEGASAGPETTNAQGTAEQQPAEAEQPAEQPTPDVPQDVKPEEQKTEEPKLEQA QEPLAQNGDVEKRDEPKPAEPTAEKTPRDEAEVDQPMTDTVEQEQQEEKPQEEEQTDA GKETEKPPEDAPTLQAQPDEAPAQPVEQAPGDAVAQEAPSEQREPTGTEPAAPTDKDA PSAKAPEADNELPPALPTEEVAEDRLKRKRRSQSPIATPEALASKKAKAQDETPRVVL PEDREGLATEQQLGKEQVQQEEAREEHGAPAPTPEEPRPRKEAPPKQDARFKGLFAGA EREQVRPASPPADTEMRDAEVEPALHVATAALYIGGLMRPLQPGMLRNHLVNLASAPG SSPSEDVIVDFHLDHIKTHCFVSFANVSAASRVRSALHGNIWPNERNRKNLFVDFIPE QKLQQWIDMEEESRGRGGPQPRWEVRYDRTEDGVEAVLEEIDPKSAAGNPPPRSRQES MTDGLRVPPSGPRAERERFPSGPSRAPPPAEPRPSRPGQGFKPLDELFKSTTVKPKLY YLPVSRETADRRLDRFDDLLRKGDYPRRGGDETRRISFEDGDLFVDNGPEFAGGGRNN RRRRGRGHGGGFRDSWRG ACHE_20365S MGSTDSLPAGLPVGNPTTPFWRTELHPLDDLRATPELPQQSDIV IIGAGYAGVSIAYHLLKQQDQKGQPHPSITILEARQICSGATGRNGGHIRPDLYGHIP TYIERHGTEAGVELANFELSHVKALKDVIVKEEIDCDLNITRNMNVYLNEAHGEKAKR TYEALASQAHSFVEDIHYTPQKHAEGISGVKGAKACMSYTAGTLWPYKFVLGLLSKIV DYSALNVQANTPVTSVSSESDSSHLVNTPRGSIHASKVIYATNAYTPGLLPEYSSHIV PVRGICCHITIPEGKNAPFLPYSYILNTENGDGCSYLITRPDGSIITGGAQYTFKEAK EQWYGVVDDSTLIEPAKDYYNDYMQRTFQGWEDSGAYVKEIWTGIMGYSYDSNPHVGE IPDKPGQYICAGFNGHGMPVIFLTAKGLAEIIQTGKSFEEAKLPRIFKSTMKRLQAAQ EASDGGDVLA ACHE_20366A MSLIIAGPIAIITAFFLWHYCYQLFFSPLARIQGPLIYALTGWR LAYEDYKGDRSRTLHKLHSKYGPVIRVGPDEVSFNSTSALRVIYGAGSRFERTGFYRM FDVAGRPNLFSFASGKAHGDRKRILAHAYAKSRMLKGDTAALIEKKVRIYQELIEREG PTSNIFNSLHYFSLDVITEFLYGRFGRTACLQGVKADRALTRAMGNPERRKLTWFSVH FLRFTEWLYTRDGALGCLARQFYPMQAPTTYMGIRNHALKACQSFSAVTNDEKNMEGS SALIARLWQHHRSRKEGGMDDLDIASECADHLMAGIETTSDTLMFAVWSLSRPEHRHF QKEVIEEVCALSENGINADGIPRVEASDKLPYVNAVIKETLRLFAPLPGSEPRSLPTN TTIDGYAIPPRTVVSMSPYILHRNPEIFPEPSRFNPDRWLDESQDQAEMKKLFWAFSS GGRMCIGIQ ACHE_20367S MKRTTAAELLAVSWAGVAAALDYNAPPGNLSNLPNSTLFETWRP KIHVLPPTGQIGDPCAHYSDPETGLFHVGYLHNSSGIAAVQTNDLVHYYDVNKNGNYS ITSGGANDPVAVFDGSVIPSGINGKPTLIYTSVSSLPIHWTLPYTRGSESQSLAVTSD GGNFTKLDIPPVIPEPPAGLDVTAFRDPFVFQNSELDRTLNSAKGTWYVTVSGGVHNV GPGIFLYRSKSSNFEQWEYLGEWFNAPSNSTWGNGDWAKVYGFNWETANVFGLDRQGY NYNGESFMTFGVEGSYIPIQKAVSSLHAQLWAAGNVSTVNGDVTFNPSMVGVLDWGLS AYAAAGKVLPSTSKASSSSGAPDRFISYVWLTGDLFEAVKDFPKAQQGWQSTLLLPRE LNVHTIPNVVNNGLIHDTASWRVASNFSAGNCVELETLGVNIARETYNAMTAAPSFSE PNRKLSKTGVVPFDRSPNSKFFVLNAQISFPQSARNSGLKSGFQILSSELESTTIYYQ FSNESIVIDRYSTSAASQTTPGINADPESGRLRLFDINESCRSNGKGKNEHMETLDLT IVVDNSVLEVYANSRFALSTWARSWYANSTEIRFFHDGEGEASFSKIQVSDGLYDAYP DRSQYTV ACHE_20368S MSTWALDLTGFVRNINDRDIQIEEQLTETTVNEFAQRHVLSLVR CLDANEPIVLEVCYDLNAKDFGIEDPDDREIPIYQAYATGGGTLYEQHPNFLRYDIEN DKLLNYLVDFMFFNVLGPGEDYIITEDYIYVLSFNIWPEDLHETSELWMERSAEMW ACHE_20369S MINVFILLAAFYSVYFLWGAIWNLYYHPLHRIPGPKLWIAFPIF RHISLVRGQLEADIKTFHGKYGKVVRFSTDEVSFITAQAWREIYNDFPKAELSTNVPL GINNANDTNHRRYRKALAHSFSTKALTTQEPVLIEYTEKLINKMKGFAESQRPADMVK WYNSTTFDLIGDLAFGVSFGNLDTDGYHFWVSNVFQAVRGIVMGTIKDAYPVLFKLFS FAFGLNRFSEARARQLEYSSSTMQKRLAREEPRDLVDFMDGFLQSKDNITVEEMEANA NLLIIAGSDTTATLLSGTTYYLLRTLRALEKATQEVRSAFKSESEINFLNTTARLPYM VACLNEGMRMYPPVPSVLQRVAVSSKPIEISGYYISNGTKVGVHQFAANTSPINFYEP DNFIPERWLPETKKDTSSPFYNDDRDVCQVFSYGPRDCIGKNLAYAEMRVILARMLWN FDMEICEESNNWNKQRTFTLWEKSPLMVRLRLREGIEKH ACHE_20370A MEFDPKTPQFHTSDSSSFGYVSAHERWPVILTGAIDDLHRTVGD VTDEEKRKEGKAIIENLAKLKYELQHDRQLTQIPDDGQEPTAAEYNKELEQRGNLSWC NGPWLYLECYMYRRLSTYFAQSKHWKGYDVFARQKMSTFKSSRPAVLELAARYKELAL EAEKGEGKDGRTIEQIEQARRVLFSEMCEICLWGNATDLSLLTTLTYEDLQKLQGSQA RKAAEKNILVNDMDAAFDVLQKAQKEKEDQERRVDIVLDNSGFELFVDLILAGYLLSA GIATKVVLHPKSIPWFVSDVTPRDFPDLLNALKDAQNFYTAPDDSGREHQPLSEKELD EVNFLYNQWSQLHQEGKLVIRPDPFWTGAGSYWRMPHTAPELFEDLKNSELVLLKGDL NYRKLTNDAAWDPTTPFTTAIGPLGPKSGLRVLAFRTCKADVVVGLPAGVDEELRQIP GGGGDKKREWAWTGKWAVVSFCDGKA ACHE_20371A MSSDIEAANALKVQGNKAFAQHEWPTAVDFYTKAIEKYDKEPSF FSNRAQAHIKLEAHGFAIVDATKALELDPGYIKAYWRRALANTAILNHREALKDFKAV VKREPNNRDAKLKLADCEKLVRRMEFEKAIEVGDPPSAFEDLDIDSMAVDDGYDGARL EKEMTQEFIDDMIERFKNGKKIHRKYVFQIIKAVRDLVYAEPTMVEIGVEEGNKLTVC GDTHGQFFDLLEIFRRNGYPSEKHAYLFNGDFVDRGSWSTEIALLLYAYKMLRPNGIF LNRGNHETDDMNKVYGFEGECKAKYNERVFKVFSESFSALPLATLIGSKYLVLHGGLF SDDNTSLDDIRKLNRHNQRQPGQQGLMMEMLWTDPQTAPGRGPSKRGVGLQFGPDITK RFCEKNGLEAIIRSHEVRMEGYEVEHDGRCITVFSAPKYCDTTENKGAYINLGPELKL DYQVFEAVPHPPIKPMAYAQNSLLSMM ACHE_20372S MTYYVPPGQQRTLRACMVCSLVQLHNKFMREGCPNCDNVLGLRG NNDAIQECTSQVFEGLITLNDPNTSWVARWQRLDSYVPGTYAVKVTGSLPDDVIANLE DAGVKYIPRDGSTGEEEP ACHE_20373A MSALRPGLKLRSFARLPAARTISTTPLRAAQPYFSNEPSGPTVS TAIPGPNNKKAAAELNEVFDVRSLNMLTDYTKSIGNYISDLDGNTLLDVYAQIASIPV GYNNPHLEKVATSPEMITSLINRPALGNFPSAEWANILKTGVLKVAPKGLNQVYTAMA GSDANEIAYKAAFMYRRQLERGGPEADFSEQELESTMVNQQPGSPQLSILSFKSAFHG RLFGSLSTTRSKAIHKLDIPAFDWPQATFPSLKYPLEEHAQENAQEEQRCLQEVERLI KEYHNPVAAVMVEPIQSEGGDNHASPAFFQGLRDITKRTNVLFIVDEVQTGVGATGKF WAHDHWNLDTPPDMVTFSKKAQTAGYYYGNPALRPNKPYRQFNTWMGDPSRALIFRGI IEEIERLGLVENTAATGAYLYAGLERLSKQYPQHFQNLRGKGQGTFIAWDSPKRDEIL AKAKNVGVNIGGSGQSAVRLRPMLIFQNHHADILLERLEQVIKML ACHE_20374A MADEFGDEAHLNALLQAEQSAPPKPRNTLSSSTAPRQPTPKVQQ PKPQALPPKHAPSAILVSKRQKGNPILSYIKILPWEYADIPADYVLGNTTCALFLSLK YHRLHPEYIYSRIRGIAGKYRLRILLIIVDIPNHEDNLKELSKTSIINNLTLMLCWSA TEAAHYLELFKASENAQPTAIRTQQAQSYKDSLTDFVTMPRSINKSDAASLISTFGSL QNAINAQPEQISAVPGWGERKVRQWCNAVREDFRIETAKGTKAPERDAGAMRALEDRT VTRDEEEVMRAVNAGEMRADMPGEAENARDARPRDAGEDEGVSEGITAALAKLREGGS ACHE_20375S MEPQTNQEPATDGRVSRQPRQTLFVRSLPASATTDSLAEYFSQS YVIKHALVVLDPQTQQPKGFGFVTFADLEDAQRALEEFNGTVFDGKKIQVDYAQPRHR EVDENIGKSVPAAAAVEFKKKREEERAAQQPPRLIVRNLPWSIKEPEDLAVHFRSFGK VKQAYLPKKGNTLAGFGFVVLRGKKNAEKALEAVNGKEVDGRTLAVDWAVEKNVWENL QKQEEGKQDEEEKQDDGEKSGDADMAEAEEGSGDEDVSEGDEDEDEEEDDEDDEEDKF DEFDEDEDDDEEEEEEEEDKEDERNASTIFIRNLPFTCTDETLYEHFTQFGNVRYARI VVDPETDRPRGTGFVCFWRPGDALTCIREGPKPQDTITADKDKSKKTSAIKHSVLQSE TSDPTGRYTLDGRVLQVAQAVSRNQASKLEEEGVSRRLVRDTDKRRLFLLSEGTIPTN SPLYKKLSPSEIKMREDSFKQRQSFIKKNPTLHLSLTRLSVRNIPRQVTSKDLKALAR QAVVGFAEEVKKNLRQPLSPEELHRASDEMKEADKLRKQKGKGIVKQATIVFEGRDGS KIGENTGAGRSRGYGFIEYYTHRHALMGLRWLNGHPIDAPNSDAEVKDKKKRVIVEFA IENAQVVKRRTEQEAKSRSYAQAAAQRRKEEGEEKSQKPNGKFNGKPNGKPNGKPNGK FSGNKERPSPNANMAGQKRKRSESRGSDKREGEDSEEANKIAKRNRVIAKKRMQRKGR KGK ACHE_20376A MVEHEEEPKRFSPKVPVELAPPKYDPIDAEELAKCDGSDPNRPT LVAIKGIVFDVSRNQAYSPSGQYRVFAGKDPSRALASSSLKPEDCRPDWYDLDDKEKT VLDEWFTFFSKRYNIVGKVKDATNY ACHE_20377S MSSNPDIVIGPVSTAIEHGESDKTTSLKTHLKNRIGKEKDTVVE DGEESINSEPHKPVCDHTHRKLKPRHVELIGIGGTIGTVLYVQIGQTLLEGGPASLFL AFTIWCSVILCLTVSIAEMVTFLPLSSPTIRLAGRFVDEAFGVAAGYNFFVSQVAQIP FEIVACNLIITYWSDAVPVGAIVAIVLVLYIILNVFAVQWYGESEFWLSLGKVLLSVG LIIFTFIVMLGGNPLNDRFGFRYWQNPGSFAEHYKKGDLGRWLGFLYCVIKASFTIAG PDYVSMAAGEAINPRTVLPKAYNGVFYRLTVFFVLGTLCVGVLVPYNDSTMAAAFDQD KPGAAASPYVIAMDRLHIPILPHIVNAMVLGASFSAGNSYVYCASRSLYGLALDGKAP RFFTKCTRTGVPIYCVSVVLLIALLAFLQVSNSASVVINWFVNLVTASQLINFSVCTF TYIRFFKALKTQGFSRETLPYTSRFQPYLAYISLVCTTTMAFVGGYEVFLPGKWDVAS FFFSYTMIGVFPILYVGWKLVHRTKFRRPEEVDLVSGVEEIDDYTRNYVEVKPTNIFT KTSRVLFG ACHE_20378A MRMSSTFSRTLLPLTLSLNLNPSIAARAATHLSAHSRTLAFSPP IFHLQCPTSLHSYSTMSEKSNAKTQPQQQTQGQEQLQEQQQQQQQPYLALPESSSSGT NQLDLSQPSSTVTLDHLGPMVVNTDGTLSRIANWEGMTEIERKTTLRVLGKRNKQRLE ALKAAGVGVDGQAS ACHE_20379A MKLQKWLLTCHNPRVAPVRAFMAFNHRRSTSSATPSTHRYRPLE PLQNGRIDRFREQYFVPELPAILPRQFFRDIPAVERWFHPATQNDDTPRLRLNTEYLE QHGSSAFVPLELTQPSTSGPTNSELSFRQFHAPLSLFLQWMQTAETNPQQSTRLYLAQ CQLSDLPQILRGDFPVPDLVARAGRGDVYDANVWIGHPPTYTPLHRDPNPNLFVQMAG EKVVRLVSPDEGLGLFATVRRQLGKSGNREAAAIRGEEMMQGPERALLEKVVWGDADT WSSEKEGYEARLGPRDGLFIPKGWWHSIKGVGEGVTASVSLLSH ACHE_20380S MPSPAKIHKRHAYGKDAHTYIPVVIIGAGESGIAMGCRLKEALG FDQFRLFDRQAGIGGSWWINRYPGVACDVPALLYSFSFSPKQDWSTLHPTGPELAQYF ADVCEKYGLVDKIQLNTEVDEIKWLEDVEEWEVTLTSLVPGTGDLAKSERDAMVAREG PHSVYVQTEKVRAKVVVSGAGGLVEPKQWPQGIPGIESFEGQVIHTAKWDSNVDLQGK DVVVIGSGCSAAQVVPSLAEYQPKSVTQVMRTPPWIQPDLFSDKGLELWERWTPFLFS YVPGLSYTLRGVMFAMFEMDFLGWFKNNRYAEWKRRSTEQKFLAYMRSATPSKYHEIL TPNYDLGCKRRVVRGEWFKSLNNPNYELTTMRLTSVQSRSVTLGPGKHYPPDSAETDE VRQVPADVIILGNGFETNNWLHPLRVVGRDGKNLNEVWDERGGAQAYLGLAMDRFPNF FFIFGPNTATGHTSVIFASENAVNYSLNFIKPILNGDVSSYEVTEEAERAWANKVQKG LQGTVFQAGNCTSWYKTESGWNSSTYPFTQIDYYLRCTFPVWRHWTAKYTSKGKWAHR IRAAFNNLTLTAALSGIVWFSLHPGDFRRWAGLIPTARGSIVSAVREGLMRASQMLG ACHE_20381S MASESPQMDTTASQTGASQTAVGQDETGAKRKAEQSNGTSTRTK RNRYISIACNECKRRKIKCNGQVPCQRCGHLNLECRYAPNCCNNNFKDSEEFRTMKDQ ITNLQDQVNSLFVNLSDLRTQQRTSLDPPSLDALSRDGSQVFTPLQHGSARPRVRHPR FQGPTSSAFNFDVARSSLQEMGIAAPAEDGMVDDLTTAHATPAVSPPLHPPPPSQLVP APNIVHPTKDPIWSIKREEALRLCRVYEEEIGIMYPLVDIGKITQQVNLLYTFMEAAM RTGFAQRGLPGSDGLQDDNTNILKMILATTLVVEGSGQSDLGQRLYLSMKPVVDSKLW EPLDIRTIQLYGLVATYHFHTDDDAMAYRVIGLAARMCLELGLHRRDALKKTFPNEDQ WPDVSKIFWSIYSLDRRWSLGTGLPFVIQDEDIDPNLPEPDSSLPYLKCMVSYNRIGS KIWYSGLGSEGSTDLRRDEIGYLDYQILQWYKNVPDALKFYPFDSPNHGESASRGLRR LRVLLYLRMNQLRILIYRPVLHSPASIAEDHSHAQTVIDVAKDTVRVLTRLNQTSDIY RTQQICFNYFLVAALAVLFLAVCHAPNEFNRQVRDEFYMALDLLSGFSTKSYVSKRLW KTIKGLRTIGEKLGVLARPFASDANDPHSTAAVAMAGLAGHPIEDLSVYGSMNGVHEL GNSPLNGLQMSHELTNLFEAVGGFGNFMGPSGTGAMNGFGHNGEIPNTGEGLSGVLGD EGEFARVIRDLF ACHE_20382S MSSSEGAPESWISSFCSLMGHEFFAEVSEDFIEDDFNLTGLQSQ VPMYKEALEMILDVEPEEDEEEDEEEEDEEEEEDEILGDEKPPGYRGGQRRHARVASD LSVIESSAELLYGLIHQRYITSRPGIQQMLEKYEMQHFGVCPRANCNGCKVLPVGRSD TPGQETVKLFCPSCQDLYTPPNSRFHSVDGAFFGTTFGCLFFMTFPDLDIGPRLDDPI TPALQQRYPGLTASAASRESDDQQFEINGVRTANFCPGLGLGKIYESRIYGFRVSERS RVGPRMKWLRMKPTDIRELDEMAFVESLRRRNEQVAEEEGEEVDSDTEMQAAPASNTI EGRKKAPIRRRRKVLGE ACHE_20383A MPTMHRSSNYYSSRRSSNHGTSSAFSPNANPNEDWTKISDLAER RRIQNRIAQRNYRKKLKRRLEDLEKRAASSSASPEQSHAEPALPKSGRSRTVRNRSKS SISSINNSIDNDHLSISNNGSNRGSSPLIPTVTRTLYGDASYSDDQRGGMFSLQCTRQ LSASPPPVFSYSSYSHLNPYGSPAYGQSPPLYHSPSFQSSNTPSFHGLPSFSGDYVEY PSTGHIKRSYADDEIMSPFSQSYASMAGIDLGSNTLQLPSGSSNIPMSPPPPLAQSHV DDRSTSTSSTPAEMSLACPLTPESDPCSPRSFPLF ACHE_20384A MKSLHRLACRKASNGFPVSRALPLQTTAATRLWQRSYSAAASQL AALDASKLSVTKTSTPKEIISPKDLVFGKTFTDHMLSIEWNAGSGWDTPRIVPYQNLS LDPSACVLHYAFECFEGMKAYKDSNGKIRLFRPDKNMERLNKSSSRIALPTVDGGALT KLIGELVKLDSRFIPNSRGYSLYLRPTMIGTQNTLGVGPPGSALLFVIASPVGPYYAT GFKAISLEATDYAVRAWPGGVGDKKLGANYAPCVVPQLNAASRGFQQNLWLFGEEEYV TEVGTMNLFMALKDKETGQKELVTAPLDGTILEGVTRDSVLGLARERLTPKGWKVSER KIKMSELAEASSEGRLLEVFGSGTAAIVSPVRSISYRGQLVDCGLNAEEEAGEIALNM KNWIEGIQYGDEAHPWSYVL ACHE_20385S MFSSFKSNISSNYQISPHPVVISGPWRVHDGKKKSTGTAASVFI FDKKFLEPRAGAFGKSSSSSTKKLQEEVIERLKREASSLARLRHPSVLQVLEPVEETR NGGLMFATEHVTASLGGLLRQKDEQERVGSRTSRYMVERPDGSRGRRELEIDELEIQK GLLQVAKGLEFLHESAGLVHGNLNPEAIFINAKSDWKISGLGFAGPPDSTETRSSLPP LALSEVLYHDPRLPASVQLNLDYTSPDFAMDSNVSSAADLFSLGLIIVAIYNSPHVSP IESHSNLSTYKKLLSSPSSTPSQTNNFLASGAIPRDLASNLLPKLITRRPAQRLNARE FQQSQYFDNILVSTIRFLESLPAKNPSEKSQFMRGLQRVLPDFPVSVLERKILGALLE ELKDRDLLQLILQNVFAILQRIPSARRIFPERVIPRLKEIFPTGSGKGQERDSKRDAG LMVVLENMKVISENCSGMEFKNEILPLIRLGMDSPTHSLADVAIKCLPIVLPVLDFST VKNEVFPPIATTFSRTSSLAIKVRCLDAFTVLCGGSADTESEPEDDLSGVAPTKQSKP IKSSILDKYTIQEKLVPSLKVIKTKEPAVMMAALNVFRQVGIVGDTDFLALEVLPILW SFSLGPLLDLRQFEQFMTQIKNISAKIEREQKKKLQELSSGDTSGFRNGTKSPSVVAT LDQGDMNDNFERLVLGKGATPSDADIDAWDTMDSGPTPQTSAPAAPPSFSWSTSNAPG PVSRGSTPAQSNPNFRSVTPDYNISSFPSLEPAPRQVSPMAQGFPALRPSPSGSWSSS TSQRNVQGNTAGPSLAALASMKSASSTASTSISFGQSNYSAFSIPPPPASGSIPPQPS AFGGVSNAAKPPPLGANVMQNPLSNTNTFEPVNTEKQGLDKYESLI ACHE_20386S MRLSRGLATRCWPSEHRFLHRPSVSILPLNRHHSPHSYHRPLPA LFPVLPSRSNISTQTSLRTLVTMMDENIKQHYLADSPPTVVRLEVKQHFDKLQDPKLR KYAHYISRAAFEGTRVTLRQVSPESEPIYDLIISLYRACNGDWTSLAQKTNVSTEDLR FFLEYAVQFLGNCGNYKGFGDSKFIPRLPPSSLKALASATPETKAAFEKASKTGGGIY ETKEQSLMHLGYPGAGHMTTYYPESPNISQDEITAIGDLMEQKGLPLENTRLKKTASG DFELLIASGVSSPPPRDRDLGEVETFDLDGKLKGKKVNLVFGDHKEEMAKIAHSIKQA SLHAANDTQKKMLDAYALSFGAGSIEAFKESQRIWVKDQKPALETNIGFVETYRDPHG VRGEWEGFVALVNLERTRAFGKLVDNAESMIPKLPWGVDFEKDKFLSPDFTSLEVLSF QSSGIPAGINLPNYDDIRQNLGFKNVSLGNVLSAKAPNEPVPFIAEKDLDVYRRCRDP AFEVQVGIHELLGHGTGKLLQETAPGEYNFDISNPPVSPVDGKPISTWYKPGQTWSSV FGAIASSYEECRAECVAMALGCDFGILKIFGFGDGKEDLSNEAGDVLFAAYLQMARAG LVALEFWDPKTEKWGQAHMQARYSIMRTFLDAGDDFVKLVYNKEDLSDLEIHLDRSKI LTHGRPAVEKYLQKLHVYKSTADVQAGKKLYDDITSVNEWWGTKVRDVVLKNKVPRKV FVQGNTVLNGDEVTLKEYEPTLEGMIQSYVERDI ACHE_20387S MAAAGGASSIITQVQQAGGPPINTLGDIGGNENITLELRGTRFT LSRDELLTLPEFVLLSLFPNGLLPDGHMGTFHEGDIYPVDYDPASLQYMLDFFRSVAQ SIPSSSPSESTSPDVDVPSDSMLGSARDALQDRAGIIVLREDLDFYVIPPRADIDHTE MIEVKRAAAQTLLKQDGIFSGLKKSDEVGSTEQHLIEMLTAGGFNRDDHWGHRAPEPH KAVICSLALAKLRTDIRGDLSNNSTIGMAQKLLLFWRKPARRCWWEGIELDEVEGVEG KVKVWIRRVWTLEMSVIGLR ACHE_20388S MSPLRGYITSYPPRVRHYGNALLTPVIPQSQVGPTPRTTKRGTT AINYAEDGFDDDFEEEEGRRPTGLRSLRREESMTEKLPISDKLGKEVHAPVEVQGVFR DWMIKRMLRPACADQLQIQAQLPLTLIPIRIDLEVPSHQPLEPFPLPRGIVDPAINPT LPGYRRPDPLPAFRIKDTFLWNLHEALATPEEFAVGFVRDLDLPSPQAMTLAISNQIR QQLEEYAGVALHPLFQSTQIQPPAPQIGQSRDVSTTPAPTNLPATPEVTVTKEPLVND SILNPDDAYRCMINLNINLQNKLYTDKFEWSLLHPPGMAEDFAKVTCADLGLNGEWVG AIAHGIYEAVLKLKKEVCESGGLISGYGNEIDNQAANGAEAGWRYDPEGLGDEWEPKV ETLSKEEIERREGDRERQIRRLRRETARFSSTAGITPDALRQGSGYFDVDGETPLGRG ERNKRKKRFRSLSPSGRGGTPGGRGTPDTGSGAGYGGGGGTLSDWERQNWRCSNCMVW GTAVWAVRDGPAGSRTLCHNCGLLYERDKVVPEWSKDLHRHDIPVGR ACHE_20389A MLPPLDSFLQQHLKLREITANMQNQQPLVSPPPPYTTTRQYNLV SEDIYPDNEIDTNTDDEDIWDNTIPTPTTINIDASISIKGDNNTIIITSGSSSVPGPS MTSSPPSTSTLQAAQKHRQNRVTEMATSIIEALKESRTSGKGPIEVNISTGMKVEGSR NVISAGAGGGACRILPRAKRDGSSHDGDEAGLSLKRKRRAQSEPVENMPKMKKECSPS LRAK ACHE_20390A MSDALCGPSNALQNFQKHASVDRTLQQDRLISRQPQSQGFRSQN TNEGSLDPEFAAFESNLAGPSAPQLHHAGPFAAPGPYHPMPGHMETPNWASDFQRLQI SGPSHPIHQQPGPSMAAQQGWQNEFMAQQRPHQPQQQQAPGPQHHQPYGYGFRPSFAQ NYSLSSAQMDSAQMDTFQPQETVESQQPQANAFDESAFEAAFEQAKATMELEETNTAQ THAEIVNESAQFDPTVQPETHEEIRIGSDTIAPKEDTQGRPNDADELARTAGQLLNSV SHETNEKFQQSNFLALMRRIRDREVQIEGDEFRETAQSLHPGGKYYPQDNKQQQTPRP ASRNGNAHIISNGTSEFDQAGSLNILS ACHE_20391S MAAAQVISNSGHDDMIHDAGLDYYGRRLATCSSDKTIKIFEIEG ETHRLIETLKGHEGAVWCVAWAHPKFGTILASSSYDGKVLIWREQHQNTTSPVGSSAW TKVFDFSLHTASVNMVSWAPHESGCLLACASSDGHVSVLEFRDNSWTHQIFHAHGMGV NSISWAPAASAGSLISSNPGPGQQRRFVTGGSDNLLKIWDYNHETKTYNPTQTLEGHT DWVRDVAWSPSILSKSYIASASQDKTVRIWTSDASNPGQWTSQQLEFDSVLWRVSWSP SGNILAVSGGDNKVSLWKENLKGQWEKVKDIEE ACHE_20392S MSKAADTKIAVITGGTSGIGYAVAVALSQRPDWQVYILGSNEER GRKAVEGQSNIYFHKANVSQYQELALAFHEIHGKNGRLDFVFANAGATESCNILQAEG ADANTPPPEPDLTVVDVNVKGVLYTSYLATHYFRLSPHKGKDASLVITGSCGSLYPFK VSPTYCGTKHNVVGFTRSIALRCKEEGIRVNALCPGIVRTGFVSDEFWDTYFKPEQFC PMELMVKVTLLLVDGSEIVDSNGTKVSAGEMYGQTLETSGSNFYLREHLEFCDDTAKD VMVGAN ACHE_20393A MSDHQSGPNAPLLASQAETDRDYDDHDLDHEQQPIDLEPGYSGG WFIWALTFSAGISGLLFGYDTGVISSTLVSVGSDLSNRELTTVDKSLITSSTSLFALI ASPLAGILADKLGRKRVILVVDGFFTLGAVLQAITSQVWWMIVGRSIVGLAVGSASLV TPLYISELAPSHARGRLVTILSLFITGGQVVAYIVGWLFSSVSGGWRWIVGLGAAPAI LQFIILFSLPETPRWLVQVGRGTEAMNVLTRIYQAHPGNNALANRVMRGIQQEVAEEE EELSTNKPTDNNMQWAHDVSQRAHELFRVGGNRRALTIAAMLQGLQQLCGFNSLMYFS ATIFSMLSFSSPTLTSLSVAMTNFVFTLFAFAFIDRIGRRRILLYSIPVMIVSLIVCA LSFSSFKISPMSSGPGPAARGEANDPSETSSFLPIAILLCLTIYTASYAFGLGNVPWQ QSELFPLNVRSLGSALATATNWGSNFIVGLTFLPMMEWLSPGWTFAAYAIVCAVGWVG VWAIYPEMSGLSLEEVKDLLADGWGVDRT ACHE_20394S MDVALELLDPLILDKAYAWALPYPGVSNSSDPILSAGDEAPTSL WSRDNIYRQIISILVLTQIGATSLYLIFSALSYYFVFDRRLEYHPRFLKNQVRQEIKS SLSAVPFINILTLPFFLAEVRGKSLLYRNVSDYGWSWMLISSILYMAFNDIGIYWIHR LEHHPSVYKYIHKPHHKWIVPTPWAALAFHPLDGYVQSLPYHVFVFICPMQRHLYMAL FGAVQIWTILIHDGDMITGHWTEKFINSPAHHTLHHMYFTVNYGQYFTWADNYFGSHR APEPALDPLHDALKVMRVKGLVDEQGNLIKKPKGE ACHE_20395S MQGTTLIQLYACLQQALCPLDVSVEPHCYTKRRKHMTPSTVVKI DSFGKEFQQRLVMMTESTDERSAAGPQIIIHIPAVVQGYL ACHE_20396A MEAELQTQIPGLDRVISEYSVGYLTHASNAYVEDANAPSPLAEA ADSVTELLVSASGDFSDQNAEAIRNLVEKFITGLSARDGVDPERRQMPFTAKKLDQAI NVGSQRNMSSTLGLTGGNVDLESVGRKVESRVDRKKLEKAERKIRAKQEKKQMKTVQY ESSRLLTQPDEAMSYEEFFMAVNPLQLGADNQSKSKDIRVDGIDVAVGGHRILTDASL TLAYGHRYGLVGQNGIGKSTLLRGLSRREVAVPHHISILHVEQEITGDDTPALQAVLD ADVWRKHLLQEQEKISKELAAIEAERSSMADTSQDAAKLDHEREGLDITLTDIHNKLS EMESDKAESRAASILAGLGFSPERQQYATKTFSGGWRMRLALARALFCEPDLLLLDEP SNMLDVPSITFLSNYLQGYPSTVLVVSHDRAFLNEVATDIVHQHSERLDYYKGANFES FYATKEERRKNAKREYERQMAERAHLQAFIDKFRYNAAKSSEAQSRIKKLEKMPILEA PEAEYSVHFKFPEVEKLSPPIVQMSEVAFGYTPDKPLLKNVDLDVQLDSRIGIVGPNG AGKTTVLKLLTGQLQPTKGLISQNSRLRVGFFAQHHVDALDLTTSAVSFMAKTYPGKT DEEYRRHLGAFGITGMTGLQRMELLSGGQKSRVAFACLSLTNPHILILDEPSNHLDIE GMDALSQALQNFEGGVVMVSHDVTMLQNVCRSLWVCDKGTVQQFDGNVEAYKKMISAQ ANEAGVAIAH ACHE_20397A MIDPLVRVVNLPGRSNFGKILVQRSQTTLISRFQGTHTIINHSS FHYVQVLREKGNVVIVGPRQWVILISLARIHNNAEDTMDGSRLRHAMHMYDVRPNNTH TTRARVRVSVVSIKLQRDAKMIVRVSVRLSKVPRNKGPLTSGCIEYSIIPTS ACHE_20398S MEHVSTLCALSTIGVAATGLAIGRVDQTSSPSTKFGRFRRKTLS LTDPPVAKTNAIDSPVSDVYLEASTLSGTTPAESPARPGPRDAEKSAALNGLQSTEEN PTPRRKLPLFAGVRQHCRSQTLSSPTAPVAKKEPQTAHVRRSSSWLKRLSIVPSQIDS RVTSPSPLSPSFNRSASPALSRSQSQRRAPNKLVKRPALELSHNAHSSSGRSSLASPT FRRPATSYQRFEKSRHKPTHSLNFEPGSFTNAPPVQSPTANKAPELVNNEATVRPYLA STVDTVDRLPERLVCKLSTAPTPRDHRLRRIIAPGTVAAPVLVRATSIRNRRSPSEEI PASPITPATPATPASAPVQFRNPFQSVSGTSAERPETAYVPEEKADRPSVSFDDASTK RDAQNAAPIDAEQRARGGSLTYRKKRALSTPLPQLPKSEREVSASFLPNGPRNITDPA PAGIEGRQNFLPSSPVPRDFQSTMGSLQGRARRPSTSDSVARSALRSGSSPRQFDSNS IVTTARQRPRRHSVAASDPASTIFGSDDTRVFTSGDEDETDFLSDAAFDSIRTQITTS SKTASRRPRVDTIFDNAPTAGSPGLAKLEDLIPRGTFVPRSTVTDPFAPGIDKAPVPS SLSFVSQERTDTRGGESSRLSLLSDLSDDDDDDDDDRSMLAALPGEMSGPLFPPKQRV SRWPRHEYDLPGLDALDVFEDHNGARVSSNPYEFRNSSKETLESAPKINIFDWSEKPR SDREMSGSESRPRTVHGKQALECRGSRAPGRKAPTTLHLRSQSVPVSRDPSISSESRQ NSGKFGTWGLGSKGVSEDWDDDFEFDDDDEEDDFFGEKIAEPRQNTTRHGMAVPKAIM DRQASLHGQFGHVQELTLLVEELKRLRHQASALDLVHGPSSELWKEADGIVNLATVDE DDNTPSPPRSPSSLTFSFGDSEEESTFLRRVSGESWPASISGVSISSNINNNNNHKNH NDNNNASRDRTCEESSSAKAKSVLDLIYQQRASAYNDADTPRPKKLPFDTQSLRDLVI RAGVVTRSLKEVIRKAEGVRTTPEKGMVPADPPFSRIFERSSNDEDHAFCGL ACHE_20399A MDPLSRDLEKAEIEASHPSQSLNHHHENRSSASVASSGLSASDS SSISSSRSGFHDDDDYISRIQTAQTQRSTALERHPTAISRIATQRSQHSATVGALKSR PSKKPLPEFGAGKPYPPPLPSKEEYVVEFSGPDDPLHPQNWSTKKKIATAVMLAWTTF NATFTSSIYSTANSVVSSKFGVSTEVGTLALSLYVLGFACGPTLFSPLSELQGRKLPI LIGMFGFTVFQFAVATAENLQTVIICRFFGGFFGSCPIAVVAAVFSDIFDNRNRGLAI TVFTMTVFTAPLFAPFIGGFIVESYLGWRWTEYLTGIMGASAFVLDLFFLEETYPPVV LVKKASDLRRRTKNWGIHAKQEEIEVDLLELIQKNFSRPVRILFTEPILLLLSIYMAF LYGLLYLFMTAYPIVFQQIHGFNKGVGGLTYFGMIIGEFLGGFFIILLQPWYNKKLDS NNGIPIPEWRLPPAILGGVSFSGGLFWFGWSGYRADIHWAIPAVSGLLTGFGLLCIFL QCMNYIIDAYLVFAASALAANSILRSTAGAGFPLFATYMFNGLGVNWTGTLLGCVAAV LMPIPLLFYLYGHKIRAKSTFSTDYIAAVQAHNDEAAD ACHE_20400S MHHHHQHSARYSRIYPRRPDAVPRDRIPLLEVPEVPEVPASGPT LVPRAPVPVPVPSSGSSESSTSGEKPTSTTTTTTLPVVLGAVVPIVCAIVILVYLHRR NVRRLRNEDANDKHKSLDFGMDIVDPPYKGMPMQEAEKGARVNHTKGLSLDVGHPYLM PPGLHGSQDSFNIGGEDDRYRHAVSILAPDSASTRSHPRGPPSMLSNAAPSTNGRDNA QKSMLNDPSQAGLHFDFPPSKSPSPGPFDDHKRHSAASSSDGTAADIRKSNSHLASAI HRNSLPSDPFKHPDEAEISDDDSLHHHDVPVQEPAPVHLEQSPAVPTPRISLPVSDAG SDYSNHRKTAASIPAVNISGAEDTQEPSHDASPLNPPPIPEEPQSKNSGLDPRRDTRR MTFGLRPLPPEDPTDNPEQRANRIRSFYKEYFEDSKTGRETTYYEDFGPEFYGYPDDM AHDPSYDDYYGPPPAPFAEPVTRRAMTPPPRAPPPFQPGGRHMPSGSVSGFSGFSSGF GDPGPRAMSSASNRMPMPGPPRAPRRPAPPPAPLNILPTPHMLKDDAIMGAIDFAPGN TFKDQREGRAETPLGGRRPFSPMTRAATPLASAFDELSVMPSPHALRKSGAYSNLDFA PPPRFKTAETASDAGSIRSNGTGLSNAQLHNIRTGAYRVSRLPTSTVGTKDDMFSELR PKWEMRT ACHE_20401S MSSSAAAAQASSNNAAASPTESTTAEASTTPTSTSSSTETTPTE TSTTSSESSTTSDAVTSSTPDASSTTPTTTSTTPTSTSETPTTTSTSSDQPTTSSTPD VVTTIVTQSSSSGNTAGPTTVIITSTEASPSQTAGSTADGSSSTSGGSAAIESSSNGD SGLSAGGTIAVAVVVPVVSVAIIVLAALWFWRKWKGKKAAEEERRKEVEEYGFNPNND PTLPPVAAGGAYEPSNDNVSGYRGWGTTSAGRKASTNLSSGAGVGLAMSEASSAPGYH HATSPSDGTIQYSDGQGQPASGEDESIGVLGAAPVAANNRVSDIRRGPSNASSAYSAA NRSEASDESHMSASHPTAPFYEDNPYYNEVHAPYGAYGDGPYGSPPQPVIRDVQARRN TRIENPAVFPRQGNAGIAQNF ACHE_20402S MKFGKQIQRRQLDLPEYAASFVNYKALKKLIKQLSATPTIPAQS TTDVRHDVPDAQSALRANKEVFFFRLEREIEKVNAFYLQKEAEFSLRLKTLVDKKRVV QSRTVTNSKAPANFVALFEGFQQFDGDLNKLQQFVEINETAMSKILKKWDKTSKSRMK ELYLHRAVEVQPCFNRDVLRDLSDRATTARLELEAWAEGENIQFDAARPAAAAAQSLG SEEEDLDAQVLQSTTAGNLQTLREWTTKLQSSPDGGERATRMFLAAINEFSDEVLALL LESNLVDMQAEDDINERNCLHEASISGRDFVLKAGLAAGVDVSRLDVYGRIPLHYSCM HGRVDMVRELLAAGPATVDLMDHDNFTPLIHSIVKDQLACAEQLLYSNARIDPASESD HIPLNLACQHGSLPIVKMLLERNAQLLPDAEGLYPQHMVARASQSPQLLLLLQQHGAD MNQRDKLYQWTPLFHAASEGCVGCLRTLLELGVDADAVDEKNLAAMYYAAWEGHLECM LLLWSHRSDENAPTQTPLNILHSIRLQEPEGAMSDQFDSGDMETADGIPDLSLPPPII PLRRYGHNFLDKKVFVQILFDQGNSGSIYFDQAGRHPAARLTISSKLSDLIPRTIMLP IQDDSRTISFHVDNLDTFAVDFEIFPTFGSKVIAKSVALPVVFQAKDSSTGSCTLPLF DPRLRSIGQLRFRFQVIKPYHGDPLEITHFATYWKATSALDSEHNGLVTGSSLSGDHV QLFVQLTKDCVPVLHPQFTINHHGIEIPVCHLMYSQFQTIGAERGANRSEIVHFLQTQ GMNDLAQVHRVLAASFLPLQDVLEQLPIGININISTLYPSPTEEHTLGMTSLPDVNTF ADAILTNVFDHARVSRERHPDFMRSVVFTSYNPNICTALNWKQPNYPVLLCNDLGQIR DLARDIGSLPDVHSSGRASMSIKESARIAQSNNFMGLICRSSLLNVVPALVETIKELG LVLVADTSDDAGQPSQSEEMITTNPMGVAEWAYRMPDGVNGVMKANGILRFNDTIDM ACHE_20403A MSLRHPLLSRWIASPTQFLQSASVLKSPPSVSQSLFMRHRPMGL SGSPSLPSTSLSRSFSLGRPFQLQGRSLGRMNGRPRIESTRPRQQRQQQTRRGFSSSR QAPESLSQKLRKLSREYGWSALGVYLLLSAIDFPFCFAAVRLLGADRIGHYEDVILQT VADGIHTVWPSKQDKESDSEEAVEAKAEKTEEQTKQAAAKKKADQASLWTQLALAYAI HKSLIFIRVPLTAAITPKVVKVLRAWGVDITRRRP ACHE_20404S MSPRLPPGLAQFPLALWAPQSIPTTTACHYMPARTFGIKSVTTP KPSRFNVGPDLPILKSTPAAALERKANTLPLRSGAVAIKKGMTGIYDAQTGTRIPCTV LQLDRVEVVSHKTREKHGYYAVQVGAGWKHPSNMTKSLLGHFSAQGLSPKRHVYEFRV KDESGLPPVGQMISADWFQEGQYVDARSNTKGKGFTGVMKRHGFGGQDRSHGVSLTHR SLGSAGPGQGGGSRVYPGKKMAGNMGNEQNTVQNLKILKVDAENGIVVVTGAVSGPKG CMVRIQDAIKKPWPEVPAAAATEKSA ACHE_20405A MSLQAAQWRIGARRLQTFPVRILTPRRNLIPAPSANSGPLMERR ADRELPSVKAERRWLRTLPIFAVVVGAAMLGIFNYQKSSSSVVNSTLYALRTSPRARE ILGDEIYFSQQMPWISGEMNQLHGRIDISFWVKGTKAQGKMRFRSIRPDRMSFFRTEE WSLQLENGTLVQLLEGDSDPFNKTE ACHE_20406S MATTRLRRAFRYPEDSGDEGHEREELDEEEQERVIEQLQRQNDQ RNAQYSTVFTALPLLSTLVFVPSVLYRSSTPTVRLFSFLSIMSLLVTTYIMKLFMSRL LDRKSKRPAAEVERTTLVRQSLLFTNTGICGILVLVYLFANPSPSSIWPGLYIVPGAM FGVILLVREAMVSVDLSHLQNLRYEYKGA ACHE_20407A MPAQRPRAAFEPISPDLNIPALVDATPNFEFATKIHCNAIDRMG LGAFEKLVQLCVVQGGTPLVVEGFNESLDSSIFSEKWLRTHHGKKTEFARNLTTKSDL PLTIGHYLENMPLLTNQWTEFNYKDANLQRIYLKDIDCPPLWHDHLKRQIPPTVFYFN ETPEAYDGPGSASAFIPDKSAAGVQIAKAGDLMSCLPPDMRAENLMCYIGHEGTYTPS HQEMCASIGHNIMVEASDGSMEYGKHTKPGSSLWFMTENKDRHVVSEYWMSTLGHDIE IEDHFAQMNAWKAAPFKTYIVEQSPGDLILIPPLAAHQVWNRGTRTMKVAWNRTTVET LKMALTEALPHARMVCRDEQYKNKAIVFYSLERYSNLLCHVDDYNIDNPEVRQLINDF QHLLTLYTHILLSESFSPRLPAEKDIEFTAFDSNITCSYCRGNIFNRFLTCPSCIGTP TLEGEDTYDICMECYAMGRSCACISNLKWVEQFPWEELTNKYEVWRQQILGLVRDTNK PYQQFSAEREGMGKKSLAEVCQEQLKVRPWVDITKPAVKKIEKVSDSEATSPARKKRK AGRRNTPQGTGHCHICKYAEPLWKLVSCSFCKLNYCYGSLYRAFSIQPQDAMEKYHWM CPRCQKICICAACRRDNTMNPFEPTSTVLGHDTKKIADPRSVESLVNFRHSNFKWLEK TGVDDETRLSKRQKEADEERNQSSIDHAIRLEEVPQASNFDDNIPVDPSLEQLFLTPP ESNAQERLQTSCECR ACHE_20408S MLQIIGTAGLFFTGALIMRGAGCAINDLWDRNLDPHVERTKFRP IARGALSPQKAILFTGTQLLAGLGVLLQFPTQCLWYGIPSLLLVTTYPLAKRFTNYPQ VILGLTFSWGAMMGFPALGVDLLANPDALKSAAALYSSCVAWTVLYDMIYAHMDIKDD VAAGIKSIALRHEHNTKTVLSGLAATQVALLAAAGVTAGAGPMFFVGSCGSAILTLGA MIWKVQLKNVQNCWWWFNNGCLFTGGGITLGLLLEYLAQTFGLFEKEELKTEAFPSPQ ACHE_20409S MFSRTIVASAFRPLSRPASFVQPRTAAFQLPSALHARLLSTETK AAIDKAVATAPVVLFMKGTPETPQCGFSRASIQILGLQGVDPKKFVAFNVLEDLELRQ GIKEYSDWPTIPQLYLNKEFVGGCDILMSMHQNGELAKLLEEKSVLVAAD ACHE_20410A MSASFEEPAPLPGNWPVDPQEDTPISENRVWVDGCFDFSHHGHA GAMLQARKLGKELFVGVHSDEAILENKGPTVMTLEERVAAVEACRWASRCIPCAPYVT SLPWVSHYGCQYVVHGDDITSDSNGDDCYRFVKAAGRFKVVKRTPGISTTDLVGRMLL CTKSHFIKSVEATLAGEEGSRNWEERKEFAADLMQRIKDYATDETGLQPGPQVSTWSG SSLAKLDGNVEEAGTFESLVHGKPPKPGQRIVYVDGGFDLFSSGHIEFLRQVLAQEET DGHKRDWYNPEQKKSRLEESGEDYPPAYIIAGIHDDDVINKWKGLNYPIMNIFERGLC VLQCRYIHAVVFSAPFSPSQPYLENMPFGVPDAVYHGPTTFIPLTYDPYTAPKKMGIF RETESHAFQHVNAGEIVDRILKSREAYEERQRAKLQKGAIEDLAKAKEETA ACHE_20411S MISSTFGPSLTRRDTAKSSVGQESTHGTIPPPLTSGGGTLGPQS AGAIYQHIHDMATKRISTLDYLRKAHEGRVYWFNTVHFSRADLGRMSYFDPRKLSRRA VNYLLLGLSLPPILDISSTPSEFLRALNALLLEFEAFQQVHPPDGSSSSTLARARIPQ MFKRAAHAGTKVRRTSSATEIGLPMQSSDPSDLKAMAGNITPSATTAAAVSSFPQAES SELLPGEEYSYLLTPSLPFEPDFFETFATLCDVLIDCYTRLISLVSTPSVCTVSLGEM FSKADTKLRKIMVAGVVREFEDASRSSARNEVTGVSRVVLGGLLG ACHE_20412A MKVFSSNCTFDYSWNEVSTANWRKYCPWNDKSTHVVGVDTLSRS VDPSTGILRTERLITCDQSVPQWILSLFGGNATSHVYEISYVDPIAKKVTMCSTNLTW SNVLNVQETVIYRPSPSKANSTDFNQEAKITALCGGWQKIKNKVEEASVERFSQNAKR GREGFEAVLEMSRRVFGEQREHERKQLQS ACHE_20413S MRKQVLSYFPPVSMRYTLSTGLQTMTQGCRTTLQPLAHWSINAG RRWDCQASPDLTKILHDQVEEHFHNYKNKFFDKNTIPLYPFFSGAGTGTSRNATELDK TIYKCFDGTYFEKNELLTSQVHILFVFHSSFESGTSFGQLKTIHGEP ACHE_20414S MVKIRKIKSYVFKVSEWVTVEDIQGGAFMQAKRIRFVNHHLNDG VANHHIQTKQTSIRTFRVVERRNSGEINLRNHKYIVLNAAGASAGDAVLSLDFDIPRT ESQQCKNIQRGFPYLNKEHEKAASPDDVFTLFCTSSIPRQRDGATYNVPPGNVLPTVD NWGNYFDPCAGRSYVYAREIRGN ACHE_20415S MVELRKRKASAQPNITETNKRGKKEEIPASDRQTGKTNDTTNAD SLAGVPNVGDTIALDGFGSEIQTNDGTKTNLKELVATSKSGVVLFTYPRASTPGCTKQ ACLFRAKYSHLTSTGLSIYGLSTDSPKANTTFRSKQNLPYPLLCDASAVLISAIGFKK VPKGTIRGVFSVDKQGKVLLRQAGGPDATVDAVQKLVQVEGTPQTDVEAQAKNEI ACHE_20416S MSAVSHCKLLNLLWRRLKKVNVLPIMARDILKAAKSASNAIAVH KKYTVQSYGIWERIRRLLSIAPERSTGVPLNAHYRLPTPGGLSPLTYDDPVTAPAGDI ADNPYWKRDVRRNYPRLSTVNQADAVGLLTVGSQATPKDDVLQIGEAGQKQLVSIKQE GEQRGLAAHFEKDKNGIQGVLGPNGLPPTPCNLNASATKYQLDHDNGYPEVYPCRTFV ACHE_20417A MTTLHYLPPVKPSAIALGTVFTHTASLGILAPVFGDTYHRAQAA NSKEEFIKSKEAAGAAAAWGSSFVGSAVQAYGVAALINATGTLSYKGAAYLGSLIFMA SSAPSFISQVFTEKRPLDTVAVGAVSRAFETVGLSLFLTWWGTRTNPFD ACHE_20419S MEGHTPSPAASDSTDPFDVSESLVPIRKPQEAGNSSVSFDGLLN DSLLLKEDLSEGCGGKLWPAGMVLAKYLLRQHRSNLANRTIIELGAGGGLVGLAVARG CVVGPSPIYLTDQEPMLPLMETNIKLNNLSPLVTPMVLNWGEPLPDDIPPHPAVILAA DCVYFEPAFPLLITTLQELIGPGSICYFCFKRRRRADLRFMKLAKKIFDISEIQDDPD AESYKRENIFLYKIRARNSKAGGR ACHE_20418A MMSRSLPNIIEGSTPIEPLHSTLSTPWSFLHPTTELHDAIAGST KYFFDTIALSVNNSQTSRLQNTRKRKRSEARQDQADRVLQLKQLYVEGFTSDQIWEQA TRILDSTSKEMERDSIHAGKSARAPSANLAGSVSESERPGHDSIEDSEKESVIDHDDD IDMDPGSEHNDPPNGELDAGDEIDEESDEDAASARSDDESEHDTYMEDRFGLNDGFFS IDDFNAQAEAFERKDLRGGPTEEVDSNDEEIDWHANPLVSGNAMPVSKGSSRGNGTED KMSDNSDEEGPTFGNANLQGDSDSEEDGDGVGADGGDSAGWIDTSDIKYADFFAPPPR KVTTKKARPLPKTQPDTANLDNDVDRAMADVRRDLFEDEPSDDEVSADDNGDPKTQRS THEKQRAHIADEIRRLEAANVAKKEWMLSGEARAAERPVNSLIEEDLDFERIGKPVPV VTTEVSESIEDLVKRRILAKEFDEIIRRRPGTSDAQDAQKPRFELEDTKPQQSLAEMY EADHLRATDPNYVDPKNQKLVKEHAEITNLWKEISSQLDTLSNWHYKPKAPQANINVI TDVSTVMMEEARPTVSGAVNDLAALAPQEIYAPGSDGKATGEVVLKTGASVAKDEMTR EDKAKLRRQQKKQKKAGAGHTNQRSSKAAEKQQLVSDLKQGGVKVIGKQGEITNIHGS KVDGAGTRNSGDTLKL ACHE_20420S MASQFQPGHRVPIQHLEKPGLQADLKEAPVSTHLPTEDGGYQLY KAAGKLDGKKAIITGGDSGIGRAIALLFAMEGASSLIIYLPEEEKDAQETKKQVQEIG RECHCLAIDLRRKENCKKVIDVALQSLGSIDILVNNAAFQHMLSDISELSEDQWERTF DTNIHPFFYLSKYALPHMKRGSTIINCSSVNHYIGRPDLLDYTSTKGAIVAFTRGLSN QQVGKGIRVNCVCPGPIWTPLIPSTMHTSAMEQFHTVPIGRPGQPSEVATCFVFLASQ DSSFMSGQCLHPNGGVVVNG ACHE_20421A MLHLPKRAIPGAFSVRTCLTPGFHIQSTASLSTSSSKSATALER QGHSGQPLTASGKVRREVPLPSQEKKEGAMQYVLTTLDQVTNWARQSSLWPMTFGLAC CALEMMHLSTPRYDQDRLGIIFRASPRQSDVMIVAGTLTNKMAPALRQVYDQMPDPRW VISMGSCANGGGYYHYSYSVVRGCDRIVPVDVYVPGCPPTSEALMYGIFQLQKKMRHT RITRMWYRR ACHE_20422S MFVPKATASLRSSRRRQRTSSGESIKQHKAKRQRSAQENVESEL ENDRIERDYQRESVGLHVSESDKAKPETTENIGAQKQIAIRGPKKPDKRDDDNDIDGA VVLSRTNLYTVSQLPALPDQIRESQSGHFACSFGTGHGYALVLTQSHAVIWPYSSSAS SPSPAEVFTLSVPESCRNTTAEAPLGVLLSTATAGPPGLMVIIPSTGKVVYWETVSSA TSLGLSRHKQNGLQGSISGLLYGEHVTEVVNGEPSGVIATLSSGRVAQITVRSSQGKP TIVSNFLRNSTNGSMGLLGGIRNALAGGFWRKEVAAARPGISRQRGQRDILVSTTNGL VEVWDTHWSNGSILRAQFDIRQHLAESLGPKLTDESGNHTLKILDLASGAGNDSNVRG SQAPETEKWPLYFVVELPQGPNSTGLWVIRIILSDDGRVLSTRLIDLHHTRSGSNESK LRLFVSKPGDTGFIVIGQSIIVLSLAQFDDTIAPQDSHPLPSLFCDRIDFRTGHEYEI LGSGFEEAVHHNPLPACFVMVRGFGVVRVSAVPRRCGVGGEHVTTAKHKLEQAVFYGT MLKNPLNLASRGGLDFPVDELEQAALEICRELLQSSSKYIPSSGIPLDQNLRSRAKAL HDLASLLTQRGLPLNNLTQWELLWGAEKLAAQRAMWKIEGDLRKNADEPTFLSRVIDQ MSEKFKAKFDSRHSDNDYVRHWFLHDTHRMEHIIPWIFNAIKLQKGQSKQGRKTAEQI FQASEFSLAVLETAFRFRDEHASLYGLNEEFLEDGVLVTGYENLPEPWTSHNMAYVET GHLLDLELGSCMAWIQQTVSTVDAPPNDIVEDIAKNCARQLRVLSQMHGERIRWLSSQ GDPKSMDEVASAEQSHVKQRRWQLFKLAGIGQLDDAISLAEKFRDMSALVELIIELQD QTKCQLFSEINSDDPDAVILDKKSGELGRKISHYFEKFGESWANAFFSRQISMGQSGV LFAMRKFQPFITRFLRKNPAYQRLSWINDVVGENDYGTAAQCLKNLAIEQESDLWSHR VELSLVKLSSLAAWESTDSAHDSIHQSDIKRFEDYAELDAVQEVIHAHITPALQDAID QKAEIDLAVEQFGRHIAEYRPSLHEVLANALTRVVAREVVGIDQLVDLLTLLDTTRAP DYEQTELSGKEFYLALRAIHLGCCGQRDQSYTSALQKLVWRRCMIRDSWEGMGATEGL SNEAHDTALCHTLVLCLKDQHEHGDDSSLYVPISPADVMLSEPELDVLCLQFRSEQRA RVARDLVKESETFSRYMELGNLEFWFKDLLSFARIVAASPGRADD ACHE_20423S MWPVTGQAGRPSSEPFSHDRWLQQLVTTITTLRLKFDIEEKELA FIRDYAKTDGIAALQEAKVQQSSIDSADLISDDEEDEIDDVSQPTVLLGEDSTASKRQ SSVLSEDSASEDESLLPQVLAKAS ACHE_20424A MPRGRPRTIAEETTLSSQDTITPEQIDTDLQAIHNRIAKLEELR VARENLARLEAEVINPSEIGSVVNRDHPGNHPPESHTEELKIKNISTFTLNFNLQRRQ DWLLDLRYTFRGAPRKYRTDGKKILAALNFLDHTCRHRWYRHVEEKSIEERQNIEDSW AYFEEWTLSLIRNTTTLQADIMDQIERTCQLPNQDPREFHAYLDTLEQHFPRQAEKER ALSFSLNSRATSRNIFENITLSCLKDERRWSPLPPTIGTS ACHE_20425A MTLQAIRYVDGDLTIIDQLKLPFVEEYIPIRTAEEGWHAIKEMR VRGAPAIAIVAALALASELHQLTTNKKLSAVAEEVRVFIVEKLHYLVTSRPTAVNLSD AAYKLEALVTERANTAGSAGHDIATVFIRAAENMMVKDVEDNKRIGQNGAQWILANAL KSGASKTSILTHCNTGSLATSGYGTALGVIRSLASNNGLRHAYCTETRPYNQGSRLTA FELVHDGLPATLITDSMAAALLARTDADVNAIVVGADRVAANGDTANKIGTYGLAVLA RYHGVKFLVAAPLTTIDLATKSGNEVIIEERPASEVTRIKGPSQKDDATGGLSLETIN IAAKGINVWNPAFDITPATLIDGIITEVGVAEKDPSGHFHLDRLFP ACHE_20426A MENGAPSDGKDPSAFLSEIIGAPVTVKLNSGVVYKGELQSVDGY MNIALEKTEEYVNGALRKSFGDAFVRGNNVLYISAQ ACHE_20427S MRSFFRRPSWAKRGIEDSTPDFYRRSEHTYADIIAATKEARERS TIEFANNDQVADGKASKNRPTQNQVGVGEGTPSDKLSGVISDQNCSIGLGPGSHCVLQ EEKELSSHGAQRMRSADKSDTVHNHNTSAPDRGPVADIQSIGRHCSHSSGLAPCMHEG TNNASDDESASEGIPRDNNQTKYKATGHDKSIQDDVVVQILITSEIENTKPLIVHRKA SQSLREVRLAWCKRQGLPEDMQLSVFLTWKGRRLFDVTTCKSLGINNVKDNFDGFSDF HYSSVDDGRHIHMEAVTDDSFTFKQRQQRSPALSATESQAGPNPRAFGSHGRDVLMKI ILRCPELDDFGAKVSQKSPVSQIISAFKDARRIPAQKCVHLLFDGDQLDPNACLLDYD IADQDMVDVLIR ACHE_20428S MKVVTVNFMTCAVKGCKASPASFPLHFHDAELELQELDFQAEFI QNIVPRLDWNGLQVTANELGFPKIPDTKPDGDALNDEQTLRDLHRLLLETQVMEGKLK CGNCGHEYMIKEGIANFLLPSHLV ACHE_20429A MSRSTAGFADFFPTAPSVLQQKRSRAAQELPRPKLQNEHESSEE RPACFAESNTVKDVMHSDTFAHQCSGSHDESGKISFETTGIGSLSFMSTGPSAAGSSL SQSGVPHLNEMHPDTLTPLTNVESSPPCKSSPRPTKIMNGVADANQVKARGEEQRTAI TPLHTPPTPQPQTHAIGNRVSGCKLVYDPDSDRRSSSLSKDRRRKPEYVEIVSNEQNG CPPDPRLGIPNYTRGAGCKQKPKFRPSPYTLKPWPYDSATTIGPGPPTQIAVTGFDPL TPIAPINALFSSFGEIAEINNRTDPITGRFLGICSIRYKDTSSFRGGSPILAASAAKR AYLECKKEQRIGTRRIRVELDRDGVLAEKIVSKATDPRRLGQKKAEETKPDPLPKRNE PPPTAPKGPSGRSSMRPVPAIPEGPRAVFSKPAVPSLVEETPVLNQIKRDPYIFIAHC YVPVLSTTVPHLKKRLKLFNWKDIRCDKTGYYIIFENSRRGEEETERCYKICHMKPLF TYIMNMESQPYGNPNYERSPSPERLEAENRERAEAERLEKEAEIDVEEEKKLRVIDLD PCREVLTMIIRDLKDKLLEDVKSRIAAPALYDYLDPDRHAPKRKKLGIPDPEGTRRPT FRLDFDTSSETPDSRSELSNVQRPFGTSNLNILALPRIRKAHRLNREDAFLDERRKQP VRRREVRPLYHRLQQLHDHDDSDDDQRTPFTRDTDEQESRPLSRMSSSDSGSDNDEQS MPGTLQTSVTELPVRQYYNETEGSDLVTQNAPNPESNEINGLSPTSRKRKRINEVHER RKRQKENKESFDISSVGKTEDEQSKSPASLATEGDTTVTNCPSDIVLDSAILEEDQNS KESSERCDLGASICEKDHAEKVHDSADGIDGAGHPEESKTEVEWRVSNDEPRPTVLDD EAIILDLDGWQNLIKDEEDLHFLRDVLAGHPKASLGNLAAWAWRQKEIKALNRPGDIG PVHDETIIHGYYVANATGAARTEGRKRILESEKSKYLPHRIKVQKAREEREAKAKSDP QATAAEAARIAAAKTISKSTSRSTRVNNRRLIADINAQKQALPMQSGDGDVLRFNQLK KRKKPVRFARSAIHNWGLYAEENISANDMIIEYVGEKVRQQVADMRERQYLKSGIGSS YLFRIDENTVIDATKRGGIARFINHSCTPNCTAKIIKVDGSKRIVIYALRDIERDEEL TYDYKFEREWDSDDRIPCLCGSTGCKGFLN ACHE_20430S MCGIFGYINYLVERDRKFILDTLLNGLSRLEYRGYDSAGLAIDG DKKKEVAAYKEVGKVAKLKELINECKPDLTKTFESHAGIAHTRWATHGTPSRQNCHPH RSDLNWEFSIVHNGIITNYKELRALLESKGFRFETETDTECIAKLTKYLFDQQPDIDF TVLAKAVVKELEGAFGLLIKSVHFPHEVIAARKGSPLVIGVRTSKKMKVDFVDVEYSE DKPLPAEQASQNVAIKKSATGLLAPPDKSLLHRSQSRAFLSDDGVPQPAEFFLSSDPS AIVEHTKKVLYLEDDDIAHVHEGQLNIHRLTKDDGTSNVRAIQTIELELQEIMKGAFD HFMQKEIFEQPESIVNTMRGRLDVANKQVTLGGLRQYISTIRRCRRIIFVACGTSYHS CMAVRGVFEELTEIPISVELASDFLDRQAPVFRDDTCVFVSQSGETADSLMALRYCLE RGALTVGIVNVVGSSISLLTHCGVHINAGPEIGVASTKAYTSQFVAMIMFALSLSEDR ASKQKRREEIIECLAKVSEQFKEILKLNEPIKQMCAKFFKHQKSLLLLGRGSQFPTAL EGALKIKEISYLHCEAVMSGELKHGVLALVDENLPIIMILTRDNLFSKSLNAYQQVIA RSGRPIVICNHDDPEFSSAQTEKIEVPKTVDCLQGLLNVIPLQLVAYWLAVGEGLNVD FPRNLAKSVTVE ACHE_20431S MASLKGPGAAPTYDGSGLRIAIVHARWNMAIISPLLEGAKKQLF SAGVREENITVETVPGSYELPFAAQRMYAASQIQAAKGSPSAEGVSATDLLSSSTTDL SKASTTTSQSSAASRPFDAVIAIGVLIKGSTMHFEYICDAVTHGLMRIQIESGVPVIF GVLTLLNEEQGLERAGLGKSGMHNHGEDWGNAAVELGVKRANWAEGRV ACHE_20432A MTSIGTGYDLSNSVFSPDGRNFQVEYAAKAVENGGTAIGIRCKD GVVLAVEKIITSKLLKPGANKKIATVDRHVGIVSAGLNPDGRHFVARARDEASSWRNV YKAPVPTSALANRLGSYVQAFTLYSSVRPFGVTSIVGGWDSEGELAVDGQVGTGPKSG AGGKVEGAKAGGPGLYMIEPSGLYWGYYGAATGKGRQAAKAELEKLDLVSGNLSLVDA VKEAARIIYVAHEDSKDKDFELEMTWISSLDGPTKGRHEEVPKELFEEAENAAKKALE GEDDDEDEGAKGTANEGERMEE ACHE_20433S MSSSILHRCPSCGQITDISTSLAAFIENPHCSQCGLSASESSLK LQEDLAALFDRQMSMGTVEVPETPEPSLPASPTSSSQPSPITYSVSQHYHHSSHVARQ TQVVGTEEQQSFGTYSAPTATDTSNILRSYDIDPFTLSPRQIELFEGAIPEQKSRLIQ MWQICPDTAERGPATGACFLNYQYQYHVNTFVEDHGMDGMAQDEDEDEDGQQYAEPYM ASGYEALAQRDYELSGRKSYQTSLPSEPTTGAPYRLASDPIYESKRWWEHTNPQPMER QHGAFEQPQHSMV ACHE_20434A MKFTLTALAAMIAATVVHAAAIPGDGDWCGLPGQHCGQMKRAVS GASEVKRSADALAEAIKEMPKLHIPGWCALPGQICSKFKRAVEAADDVKRSADALAEA AAALESH ACHE_20435S MFSLRTAQPAQSFLRRATASTSFVRSSIPARSFASVQSDIFKPT KYGGKYTVTLIPGDGIGAEVSESVKTIFKADNVPIEWEQVDVSGVDTGNKHSEELFKE SIASLRRNKLGLKGILFTPVERSGHQSFNVALRQELDIYASIVLIKNIPGYETRHKDV DLCIIRENTEGEYSGLEHQSVQGVVESLKIITRAKSERIAKFAFGFALANNRKKVTCI HKANIMKLADGLFRSTFHKTAENYPTLEVNDMIVDNASMQAVSRPQQFDVMVMPNLYG GILSNVGAALVGGPGLVPGANMGRDVAVFEPGCRHVGLDIKGKDQANPSAMILSGSML LRHLGLDDHANRISKAVYDVIGEGKTRTHDMGGQATTHEFTRAVLDKMETL ACHE_20436A MSRPEDVLPPDLFYNDNESRKYTTSSRIRNIQSDMTHRALELLD LKSPSLILDLGCGSGLSGEILSSLPRQEGGPHTWIGMDISPSMLDVALQRDVEGDLLL ADIGQGIPFRPGSFDAAISISAIQWLCNAETSDVSPEGRLRRFFEGLYACLRRGGRAV CQFYPKNDAQRSMISAAAMHAGFGAGILEDDPGTKNSKLYLVLTVGGGGLKGDITGVV QGMNEVDVLDARKSAMQNRTSSSKKGDRAWIMRKKDQMERKGKVVKATSRYTGRKRRP AF ACHE_20437S MWILPLLGYLGVIVGFAFLTLAIASGLYYLSELVEEHTVLARRL LTRLIFSIIVIQILLFLFDRFPFFLSALSIVSHLVYASNLRRFPIVKLSDPLFVLSCI LVGLNHWLWFRHFSKPLPASRSAGNWRQPYQANVEDMPTFTEVASYFGICVWLVPFAL FVSLSAGENVLPSMGSEYATGEHVSTAGLARNALSIDGKVKNKGMAKALVDGARDWVM ENGEVMGFWRGDRTRRF ACHE_20438A MSQVRRHGQGTDHHHHHHYQYQPYNLPIQPPPTSPLPPPPLSPP TTARNLHSTSPHSPSSSSPSPSSSSFPLNGNLIPRDYLGPRSDQQQTSRSAAALGAPR RTTPSPSPLQTGAHSHLPRPDELGASQSPLSPRTSAGTSTFQSRSPVGRLSHAAFPTP PSSAGHSFYSSQIETADKKPLSGHHQHDRDMTEEKANQATPRKPSDTTDSNQSATSSP ISGINSLHSMAGDRSGQRFMPRTSSIDSAISSLSSASQSHKSTFDANSVTQADIGNLI STAGSAEAVIIHLLKEKHHAASQNSQLWRLVDKQRTLILGLNKDLERALKEKEKYKKK AKESQVPLPSNSNDYTTQPRDTEGDAVGLPDQERQAQNQPDLLRNTGGYSQGNLNNQN AITTNDSLVESHGKEDQGGISHISQAPIYLRRELSAASPSSLASSNDSSLSERPIQER VPHPIRKPPPAPLKLGQIGGTIMEHPESDSGSEYEDIDAMDDMDRGRRKTRDDDDRER EAALAKEDVQPSKPAHPSGSQPIAVGLPSSPRPMVTQSPSPIERSGSLASILSPRMAH AGSLKEQRSFLSSAPKSPGLPVSPRPEDRPVGAPLPRMPRELPNGLTPVSIPSSNNSI SGLALSPRTTRNPNPFAAPGNFISSPANIAPPIPIIDAAVKIDSPRSPDSSVNGIYQG LVSDEYPGLLLSPNALPLIEVKVASSRLRPSRNSYMASRSSEEEPVFTLSIFSRADSM ELWRVEKVIAALPQLDQQVRQLCPFTGKLPDRSIFTGHSPAKIDTRRAALTSYFDTLL DTPMDEKAALVVCQFLTSDAIEARDDETSLLKSSNQMKADILRGPDGKPRKEGYLTKR GKNFGGWKARYFVLHGPELRYFEAPGGAHLGTVKIPNAQIGKQSQNTSAQSASPPDDD SDNQYRHAFLILEPKKKDSSALVRHVLCAESDEDRDAWVEALLEYVEGPAAEYESANA TNTKSQPQTPTARTSDAGPKSTKMFPSGSKKNTKGSDGPDSDGPDTVQGFSFDDAVPA EPPVLGSNFDKPTPKSPLFPSSTWGPSADSNQTVNTTLENGQLSSKIISAPTNGTVIQ DAGAWGNKASNATAIKEKKRSIWGFGKTRSSMDIASQLQQVDRESPTSQAQGNASLDK KDFVRPVFGIPLAEAVQYCAPQGVDVELPAVVYRCIEYLQAKGASSEEGIFRLSGSNV VIKALKERFNTEGDIDFLAGDEYYDVHAVASLFKQYLRELPTTVLTRELHLEFLRVLE LDERERKIAAFNFLVHRLPKPNLSLLRALSQFLIEIVNNSAVNKMTVRNVGIVFAPTL NIPAPVFSMFLTDYDSIFDQSPEFGGSAMESAVDDFKAPDILRSPRRQVFSDMPTPSY NQTTFRHDQLEDPRGAYDTGLTYAQSSHEHRAHLSENYNYMPASMNQMLNPNMENARS AKANRRESSMLFMEPGNQNPSIPTSRAD ACHE_20439A MAGNFGRRLYSNLWEAANPLIGRALRTSRSPFFSDTPNPTQFSC EFLFKRQTRYASSLPPRRTTQITRRFASGGFFALGVSPSSPAVETGATCAINPDKLLP HRRYNFDRKLRNLAGSVWKRGLHTNKDDRLKPETPKDPSFKPDSNKSDSANLDRTGDE IQKNPQTEKSTYGHQLGSLNRHLIDRLPHMPHIPHLQRPTKEELLAAATGFWSRLKVR FKWFSIRSVRPFNLDEIAALFSWVFLGHVVWVVLGTTTFFSLLIIAINTVFAQETLAR WIGNYLTKSSGVKVVFESAIVPKWKDGVITFKNVFVSRRPGQGTGDVSKGSSKTAAAV AAAAALSEQPTADASDQRTMSDEEDTNYTQFDISIESVNVTLSFTKWINGKGLLRDVD VKGIRGVVDRRHVHWSDVNLDPKSYRHEHHPGDFEIDRFKMDDVLVTIYQPDNFRPFS VSIFSCDLPQLRKQWLFYDFLSANMMSGSFDNSLFTIHPRQTHGFTGAQLGNGLEEDG KPSPWKKHSRIRIDGLNIDHLNRGVQGPFSWIHEGTVDIVADIMFPAENDESLTKVMT DLYDRLEATVTSNRYDPASPDADVFKEDAAKEDRRFLVTDLRIHLNNARAVVPIFTRD LSYINNALIRPIVAYINSRRTFIPVNCRLVKRVGDFDGSWTTFDSGLMDDLSAAAYDG FARDVVDDQARKRRFKKVGFWSLQLAAQAIFMGMAGNIA ACHE_20440S MPAPTALLRRPEEMASTEASTAAPPVPNQDDEVLIDAQDSAEND FSNNPPAEPAQDSEMRIDEEGRPVFTPERNTNSVYRVETRKVPVPPHRMTPLKANWPK IYPPLVEHLKLQVRMNIKNKTVELRTSKFTTDTGALQKGEDFVKAFTLGFDVDDAIAL LRLDDLYIQSFELKDVKGSLNGEHIGRAIGRIAGKDGKTKFAIENASRTRVVLADQKI HILGGFKNIHIAREAIVSLILGSPPGKVYGNLRTVASRMKERF ACHE_20441A MTSLMGYQPQNEGVGYSFSQPTSAPIKEHSFYPYTDNGGSTLGI SGSDFAILAGDTRSVAGYNINSRYVPKVFRIGGDDETGEGAHILLAVVGFAADGQALK ERLDAVVKMYRYQHGKPMSVSACAQRLSTILYQKRFFPYYVQAILAGLDEEGKGALYS YDPVGSYEREQCRAAGSAASLIMPFLDNQVNCKNQYIPGSGEGHALEPKMPEPLPRDT VEQLVRDAFTSAVERHIEVGDGLQMLLVTRNGIEEIYHPLKKD ACHE_20442S MLGLGAYQSSSEDEGENELPLTAPKHETKEQPVQESQVTNVNAS KKMEMTPEITTNAEPVGPVFGPSQPQGPHIASGSSSEGPSSPFSTSLALIHDLTLPPV PNLDIPPSPPGSPDPKANVKFAHFLSLKKQGTHFNEKLAGSSSLKNPSLLKKMMSHAG INDEAQYSTSLPPDLWDMSNLPKWGYKEELLQTQQEIRRKMEEKRLPGQRDSIEFVSG ASGGTGRMDFPRSKTKANVASRPGQL ACHE_20443S MNHFPESWGRPRNDIYGPYNPSFLQTTGPKAHTQSPAVTGTSVV AVKFNGGVAIAADNLASYGSLARFTDVKRLRTFGDSAVVGFGGDVSDMQYIDRLLGSI DIKENYSAHGNLLNAKNLHTYLSKVFYKRRSEFNPLWNHVLVAGFDGNGQPFLSSADL LGTTYSAPHLATGFGAHLAVPILRRLFPEEKPIEEVSKEQAVAALKECIKVLWYRDAR SLDSYSIAVISKDGIEVKEDEKLEAQSWAFAESIRGYGAQTV ACHE_20444A MPAFSHLIRFLAKDGQVYYGDAILPPGVTDIAKATQARVIKGDI FGQHQVTHQTAEVKLLLAPLAREDIGTVRGLGLNYEQHAKESNLPIPKYPVLFYKPVT SIGGPTDDIPVPYLVQGDEGLDYECELVIVIGKLAKDVPENRALDYVLGYAVGNDVSH RDWQIKRGGGQWALGKSFDGWAPFGPGIVTTDVIRDPNALMISTKLNGQTVQRSSTKD MIFHVAQTVSFLSKGTTLLPGDLIFTGTPQGVGMGRKPQLWLKDGDQVEVSLDNVGSC VNKVVYEKPSPKL ACHE_20445S MARLSGLQRDVLSLYRKCLREIRRKPVESRDNFRTYARAEFQKN ILVGKKDFTAIEYLLRKGHRQLEMYSSPGIRNIR ACHE_20446S MAFFPHYTTNLSPLFYLLDDDDYDVHRSTYPKHNYNHKQHHNRR QLSPVRYFSPNFDVREVNDAYYLDGELPGVDQNNVDIEFSDPQTLVIKGRVERNYNNL NSMDEENQQKEEQSSETISNKSYQATVENEDEDEANYSSPVATPTYSEKFVAEETQKP AYKYRNSERAVGEFRRVFNLPTRVDQDAVRAILRNGILSLVLPKEPAPKMKKIRIE ACHE_20447A MGSFHSIPSRSGRRRTNRLSKPLTKKVPFLSPISRKSPPKNSNV TSPVLPRSSPRKDPLSSASVPVSPPASNHHDSLPQSLRSAPSQSRSLRRVSGWSESIA EENPEEDRHGLPSPKSSAAASMSRRSSLRPTRRASFQPESLRDVFQPRNSPAQPKRSY SLQSLPQSPRGTIYEDALEEATSSNTYFMVDNQRFSLTRRRSLLTRPGVATRKPSRQS VRRLSPLINQESESPPDGFAEKQTLLQPPFPETEDATMKTISASNSTRPDTPSDFEYT HLGALKLGSLRVVNGSTSPSSSDRTRLNIPGSTSPENSSDDVYTKESMVPNHANSRKL HGHRSSSEDKWKMTSSDTSMFQLSASPDKDDAPGSPFSFERSPTMATFPRHASFLTQE EDEGISLPNETSPIEDGASLLWKAHMRSHNRKRHSQSLAKADSGYSSATSARSSQDKP TRRSTDSQRPGRQSKGSRKVSASCGFEGHGDHVENSLGLNYLAPIRRHSNHQVSRYDR LQQLQPDTPLRSPRFADSTALRHAMSFSRPFGPLSSLEASIKDATEAPIPQRRRAGSI GGRASHSYQNFHALNSPRLSRHQSISFAGSEQRIPGITSVYKTRDYTRFNDADAASCY DRFDYRPQNQPIHRSSTTRAKQTRADMVNEHCHQSNNNMGGKAVLPRHSTDFALRKSN SFLERMEPVQETDYGTLAGGSCGRARNPNIDEQQIKYARPVKRRSLNMPTSPFIFK ACHE_20448S MASLMPSRPSQESLLGFPFLTPDEFDYGCRVLCDRFHAWQISSP NTGLSIRFVHQQTSDSILKISRHIENIGVHDEENALMHEPEDLQEPQLEDDSEHDPEA LIRATKPNASLQVDYDIALSPTYQVPVLYFTLRWTNHKGPVFGIDAVYQYLVPDEYRK QLKNVGIIGGISFDYHPITGAPMFFVHPCNTADAIRHIAGGQGVTPETYLIIWLGLVG HCVGLNMPSELFATEAGARTPERLGQR ACHE_20449A MATKPIPTRNNTQNKAPGAGLPNQTLYCTNLPDKLRKHDLRLSL YTLFSTYGVVLDVVAMKTEKMRGQAHIVFKDIQASTQAMRALQGFEFFGKEMKIVYAK GSSDVLARLRGTYNLPAPTAPIGGASTDLQKSIFSGPPGSAALPPKPTGGANGEAQAA QGVKRQREEESDEEEAPMDEDSDVPMEASSDED ACHE_20450S MATPQAHHLFHNPIADHSFSSDKQTLAVARDSNVELYQTAGNKF TLTDELKGHEKTVTSVDIAPNSGKIVTCSQDRNAYVWEQTPSGWKPTLVLLRINRAAT FVRWSPSERKFAVGSGARVISVCYFEEENDWWISKHLKKPIRSTITTLAWHPNSVLLA AGSTDSHARVLSGFIKGVDTRPEPSAWGERLPFNTICGEFLNDSAGWIHGVGFSPSGD ALAFTGHDSSITVVYPSAPEQPPRAMLNIPTRLLPLNCLIWNGENEIIAAGHDCEPYR FQGDESGWNLTGPIESKSGGGAGSIREDTALNMFRQMDLKGQTQADTQLKTTHQNTIS TIRAYEDADGAVNKFSTSGVDGRVVIWSI ACHE_20451A MSPTGSRQVPEGELFLSSQPAPVESPIVEPLRINKHDTRTPSPA SKHSVTPPYPDDRPRPYQTSSSSSAAGSDRMGSPTMHIQSSTPAGSGSLNPLDYPPAL RPRDGREPRPATLAERRGNAPKPLPESPGPDVPDKEGLFRKYTRVPAAAPAPNGNAYA NYRQQYYPPPQPSSTPKPASAAATTSHLQIPNGNNAISRISSTASSSTTRAQRGSPPP PETPVVGPGQQPGSDIEARYAASGIAGTSTLAGLQAQNSAAQRRAEPYAHQPARRPWT PTEQPGTQPHGPPTVYQGDSIVSADNPPASPIPIQCTVPPSQSAPPRQQPSRIPPNPL EQDLERMRITSSPPPAYSTVSGPASLQGYPVEKQRPAASSAPPGHPAMTAPTNGATMP ANGAAIASPMVTAQNHPAFANDPRPSTQSPQNGMQNGQQVVYQQQQQQQQQQQPPPQP LVSASPAPSVMPPASPPPLPEGWIAHLDSNSGQYYYIHLPTQSTQWEFPKGPTPLNLN DTPLSPVGSIYSSHPLASPALSTFKPMVSPGLPLTPGFESLQSPVMGGFTGPPPSCGV DLYRVAPTNGVYFGPYLRYTNMDIEHGIWLGSILLVTDTAQPPTIHLHQSLDLSPNPR QLKAVGIATHQRWTFYRYDISLQMDETGPAKWTYAITSHLGCTRYEFLVAGRYETNWR FITTSGNDFSLNVNANDRARLGGVGLMWKDIMQKHNEIGGFHAQLCLGGQIYADRMWK EIASLKQWLAISGKDARKNMPWTAAHQEDVSHAYFHYYTSHFDQPYLRESFAQIPYIC QLDDHDIFDGFGSYPDHMQFSNMFKNIGRVGIEMYLLFQHHTTLDILRNTRSDMDLFT VTGTGWHFVKYLGPAVVVVGPDCRSERNPHQVMAGPTYQGIFPRVAMLPPSVQHCLWM LSVPIIYPRLETAEHIAHTVATGKRAVTGAYNVLGKVTSSVAGVVGAKDMVGSGFDSV KRAVGKSGLMGGILSPFGEFDLMDELRDQWTHESKELERTYLIRTLQTIAQSKNIRMT FLSGAVNVCGAGLVHDPTRPSDHKTMYQLISSSVVNGPPPSYILKLLHSSNKPLYVPA NGHRSNSQPTDTKEDMMEIFQTDVTGQSREYRKLMGRRNYAAVVAYDPEAVSAAYGQQ TNGTGPGAGKLNLAVDFMVQGEGLYPTVAKYGPVIVPSLGPEK ACHE_20452A MASDPASASFEARELSLISKVELRIALAETDTKLQSLLQTYLAP LLLKLGSESLAVRNKVITVCTHINTRVQTPSIQLPVTALLKQFKEQKSQLIRHFDLIF LQQGLDRLGADARIEILLPLLQGISEIGTSVNQGATVFNLVLRLLPLLKVPPKGSEED VQLKNRLGLSDQDTKFLSFWLEKLLLLSPADENSTTCPGLSPKEYTFLNKDAPIKETW NPSASGGLNLTETKANALKFLASGAFTDSERFLPALVATADANSRLADLGEEILKRFI PSLEDPDVVQQLYNLYFGTEEADGTPSVRPSLQIKLLVYLGKSIKATKHTEKVIRLIE EGLLSDAARSSRGLQASKLRTQIFTFTTWVVRMGSPSKLKGMAPKVVQGLRDFIQSQG WPSPGASGQRLPATDISLRGLAYESIGIMVPKVDFQAQNDDEEFSGFGLIKWLFTSLS CDDSSPEIFVSIEQALGSILNSSLDSWDKKYQEQLRPFLVDQMRNEPGEDDLLTGFSI VRGPQYAAVRFANRFLPYHDVVARWIDIIAIARGSERRHEVVEEGKKGLHPYWYRLFN PGNKSKGPDGSSQEQSYGPWYDFPSFSSATRFLFRPLDHPGNTNIGTLPASDILPGGY RKAFAPTITFLRNILLWESFSKFGIVTDIEQDWDTKLDVLLTSDERAREALRQYIASS DKESVLLFLQNALNGLLNEDREGLGLCGEHFVEICSAASNDIVGAIVPRVLSLKAPIF SNDQDKQNTAARAIGILASHPAFTEDDLTGFVTELSSAFTTWKSAIGELVLVVRGSIL ALSYLLSRLAFRGKLDKIPQAQVKSFIQTIFEIIESANDTLLRRAAQIAIGQLSLSGI LSPTLLSGDGWKKMKESLTRDAKAETEVPILAMGRLSLAFSNEYIVDPQFSWLLDALY GLHEIRSPEIHFSVGEALSDAAIGWKSKALIREFDVDEQYPQSLIPNTVLADMCDKII ADCGASKPALRKASAIWLLCLVKNCGHMQEMQDRLRECQASFSSLLGDRDEIVQESGA QGLGLVYEMGDQELKDDLVRDLVNSFTANNSNLKGGKVHENTQLFEPGALPTGQGQSV NTYKDIMNLASEAGDPTLVYRFMSLASNNAIWTNRAAFSKLGISNIFTDSSVNGYLAK NPKIYPKLFRYRFDPNPNVQRSMNTIWHTLVKEPSTVINTHFDGIMDDLLKSMMTGRE WRVRQASCTAISDMIQGRQPEQYSKYMDDIFAKAFKLLDDIKESVRASALKLCQTITN AVIRTLETSDADTKRANAMLGKAIPFLLSDKGMESGVQEVQGFAIGSLIQIIKKSPAK SLRPFVPPILEQFLNSLSSLEPQAVNYVHLNADKYGLTSEEIDKMRLSSIRTSPMMEV IERYLIDTLDETSVKDFAAKLEGVLRAAVGLPTKVGCSRVLVLLSMKTILFRPYADRF IQLLSKYVVDRNDTVSASYCSSIGYLMRLASDDRVLKTIEYAKTLYLTAEDATQRVIS GEILHSTSKLSNDRFMAFAASALPFVFVFKHDTDEHVREVFEKTWQDNVGGTRTVSLY VNEITTLVSDNLESPRWAIKHTAALGIASAITSLDPELDLVTSDRLWPVLEKALAGKT WEGKEAVLKAFVKFAGQAKKLWQEKKQFSDSMKAIAIREAKRNNAAYRPHGLSALGGV AQARSDLNLMPDALAIASKVLEDVLDDDEDRMDIDSGRGQKSSIENTLAACIKCVLRC VNSAAYASGAIQTYLTDLIPVVDKALRNGGRTVQVPLYEELSQLLTRLEEWTSPTDGR GVDVHSIQGPLSMLAGELLTREIDVSVETIRTERAQAAIAYIVLSQQRGFEINEALCQ SIQSWRARERSGPVQQILDQALAKLIR ACHE_20453A MVVQQVRLSFEDPVPDSLAAVIERTDAPDTKDPPKKRRKLTSKA PRSLLELNGVSETGVPNGFIPLARVNLHLNYAEANPGLNSSDTCIDLPHRFPVQFHAR NTNVSNKGGMTPTIVGREDTDHDCFRLELKSVIDGEVIFSEKSTDPALLNIGKLLQLI SKSLCADFCRRDDPVACYQATLHCLPDRKSFRLELALLWIDSLEVRGLSQFRDDQLEI YSRYVIREPQDDFEEGTSHIFRCSWQEKELNQQAKRWSPRDFYKNVHVPEDTPKTSAD IKCGMVESQLYPFQRRAVRWLLQRERMELHPNGKVVPVQKASGNHLPASFQEFADADG RPYFASRLFMTAATGFPDWYDAEENLKGGILAEEMGLGKTVEMITLMCLNRRKVAAEQ SPSDVDGKNLKPSGATLIITPPAILEQWKQEIQQHAPALRVLEYTGIQRHEDHSDDTL AGMLASYDVVLTTYNTLSREIHYTGGVPERNLRHQKKFEPRKTPLLRIDWWRVCLDEA QMVEHGVSNAATVARLIPRHNVWAVTGTPIRKDMSDLYGLLLFLHYEPFCDQTATWNR LCGRFQSVLADIVNTITLRHSKDHVRSELDLPPQKRFVITIPFTAVEEQHYGQLFEQM CEDCGLDLFGAPLNNNWDSEDPATVEKMRGWLTRLRQTCLHPEIAGRNRRALGTGNGP LRTVGEVLEVMIDQNDMAIRTEQRSMLLSQIRRGQLLENAGRRQEALDLWNGALELAS AIVKDCRAQLKSELARSRSLGDTSSKVNVNQANGECESEDDQMEKNSRIGTYRQRLRA ALEVEHICIFFTANAYYQIKSDPKLTAPDSEDFKSLEKQEAEAYEAAKLIRKEMLSEV SQKVDRYMRSIKDKKKDEKFIHISELDPHVFSTGIESRRVLEKLEDFCDAMNEHAEQY KKWRDVMVNLLLQSLIDQEEDTELEGNEYETSTKHQDEMYVYMEALRAMFADRHDALT GQNNTLVAHEVKGGIIQAQGGEGPSPQLFLSVMDKRSLVKPDPELGSLRGIIGELRSL VTSLEWQENEGSARAAAELQLVKAVLENASKMNAEQTKVSSALEKEVEMFRDTMNNRL EYYRQLQQISDTVAPYDEESVGKPMNDTLFAEKLRQEEAIDEKTSSLKAKRRYLLHIK EEPDSDGSSRICIICQTGFEHGVLTVCGHKYCKDCLQLWWKQHRTCPICKKRLKASDF HQITYRPEDFVVREEKTPTKMVPVPERSSKNSSIYTDISAGTLREIKNIDVNGYFGTK IDTLARHILWLRMYDPGSKSIVFSQYRGFLDVLSVALSHYKVGYSSVDSKGGIEKFKN EPAIECFLLHAKAHSSGLNLVNATHVFLCEPLINTAIELQAIARVHRIGQHRATTVWM YLVSGTVEEAIYDLSVSRRLSHITAKKEEEARASSKTHLSGNYTEGIDETTIDSANSM ELQNTALSKIMASGASGGEIVKKDDLWQCLFGDPSKDKGSGHHSMSADSEVGKFLRAE AAERRAAKN ACHE_20454A MYLGDTEVFRTSTAEPTAAGIVWSYIKDMSPYNALWNEPQKLIF DLGNQITDIYNGSFTATLTATFSHSGNVKTADVIMPISGQKSDSNSPSAFTVPSDNTT VQYKIPSSASRAVVSISACGQSTEEFWWSNVFSSDTKTFSGTLSGYSPFREVQLYIDG VLAGVAWPFPIIFTGGVTPVFWRPVVGIDAFDLRQPEIDISPFLPLITDGQDHSFEIK VVGLDLSADGSATLSKSVGSNWVVTGNIFIYLDGNDVSSSSRAIRDSSRVPVLDAAAP KISVSRNLNQNSAKKNESLSYSILVERTLTIKSSDISWSQNLSYSNHNLLNQQGLDQV THQSTSGVNTVTQNGQSSHVSFEYPLTVITTTHSAADESTIDAQMKRGLTIETTNVTG ISTYTMSAGPSFLRNRQWGNAHYISKADGNSTSFGDTTTTLECEAEGKPYSRNVRAVN GSIAHDIPGVPSQPSQAVL ACHE_20455A MTPPKSFREVPRSILPRLTWNGTSARATVTPLSAGYQSQQQRHI QGWNSVARQVHTLTLSPYSSRFLTTAASRDLASSSAVTRHPSTSSTRRPSVGPINNPV RYNGVYVAVFKAARRAFHATASRQRDHHFDTLKFVQRLKAEGFSEEQAVAMMRVLNDV IQESIQNLTRTMVLREDSERSTYTQKVDFAKLRSELLNADSTEAQLTRSSHEKIAADL AKLNSRLRDEIGRTQASVRLDLNLEKGRIREEANGQEMRIKETETRIEQEVAGLRERV EAVKFSTLQWLMGVCTGTAALILGAWRLFM ACHE_20456A MSTEAASVYPALQDRPVQGTICLFDVDGTLTPARRSVAPEMLEL LSQLRHKCAIGFVGGSDLVKQQEQLGTPSINVTSLFDFCFAENGLTAIRLGKTLSSNS FIQWLGEDKYQNLVNFCLKYIADVKLPKKRGTFVEFRNGMVNISPVGRSASVDERNEF EAYDKEHNIRKTLVEALKKEFPDYGLTYSIGGQISFDVFPTGWDKTYCLQHVEAEKEI SGVKYKTIHFFGDKSFAGGNDYEIYSDPRTIGHAVTGPEDTMKQLREIFQL ACHE_20457S MYTSDQFMNPGPAPRPPAERPKLNIPTDHSSTATSFGQMSLSSP TTPGSGNLSLFPNTSSPALSHTKTNQSGQGGVAIIKEGYVRSKEDKFLATWNQRYLIL REFRLEFLKNETGKIVLSIPLNTVTGVSRSEDAKMAFEVVRLANPKDATSKSAMLSRE VPTKSITCEVKSDDEIYDWIDKIYERCPGMGGVSNPTNFSHRVHVGFDPQTGAFVGLP PEWEKLLTASAITKEDYKKNPQAVIEVLEFYSDIKMREQNPQYFAGLASPPSPVGQQP KPYSNNSVGSSIAPPRPPPPPPSQRLDTGASPSSSHPSNFSPQNDRAYEHQQQADRVR EASDQERRRLEEETRRARDDQERRDQEAYNASLPKTRVPMAKQELGGYGPSDPSMNDR YKPSRPAPPAPGSANRLPGGPGQLTAQRPAPPAPSSTNGYGASRAPGSRPDDRQTSPS SRYPPHDPWNQAKGSQHAQGPPPTKLPAPVQPVKPLNIANKQTANKPDGVRQAEAALT KKAEPRKEVRMSAMTENEVMDRLRAVVSKDNPNESYSKQRKIGQGASGSVYVARVKEH AMSPVARELYRQYGPRCQVAIKQMDLRSQPRKELIVNEIIVMKDSQHANIVNFLDSFL QEQSSELWVVMEFMEGGALTDVIDNNPVIQEDQIATICAETCRGLAHLHSQNIIHRDI KSDNVLLDRAGHVKITDFGFCAKLTESKNKRATMVGTPYWMAPEVVKQKEYGPKVDCW SLGIMAIEMIESEPPYLNEEPLKALYLIATNGTPRLKKPEKLSKELKSFLSVCLCVDV RSRATADELLAHEFLKQGCSLASLAELLRWKKNNGQ ACHE_20458A MAPAIPSAKLTLSCPLFAADFDPRNSDYLLVGGGGGEGRSGVGN RIALLNTSKRDEITESTEIELSRDEDSVMSMAVAQASEDSMISLAGINSSTAEQKRDN NQHLRSFKIDLPKKQGEKKMLEKTTIPVDSVEKSTLLSRTSLFRTKGAKAGSDTYQRI VRISPWRGEKLSRVGAITTGLAQSGEIVFFQAISMPKESDVIGRIRLSDGEEAGDIDI IDSGKEDGKFRVAYTNGVDVFTCQISSSTRSSTAPDVSRVYTIPLLEKGKRPKFRALR FLSPTSLLLLQNAPDRSGSELVVLSLPASNEKNKAVTIVRRKKLRKTIKMGLGLDVCN LGTGAENDQTQQIIIAVTGSDQSIELLTLEYNPEKGYGKLWPYASLHDVHPFSVTRIC FSTFTPPSHPVTPEVGPQYIKLASVSMGNTVVVHTIPLSPFPVSSRTPRYVLAIPGES ETWATLLSGFTALFTVLIVCVLLQGYMEIKGGAPSYLGVSEWLPPQVQSVLGHPTPSL SVERIDTSTSSIPTATTTISVIPNTNTGNQRQSLRDILRPRQEQEAATDAVTAGVNNN IIVRCTTTDDGTSNEILIETIPSEQQIQDETRPWEELGEEQQSLWKQRLADAGHWAVE EGETILKGVLFAEYCGFVRELVGEELL ACHE_20459A MATVNTKTGQAVDRSVLDSLLRRRLFYTPSFEIYGGVSGLYDYG PPGCAVLNNMIELWRKHFVLEEDMLEVDCTMLTPEEILKTSGHVEKFADWMCKDPKTG EIFRADHLVEEVLEARLKGDKEARGQTVVVDEAKEAKKKKKVSTKAVQLPDAVVQEYE EILAQIDNFDGVELEGIIAKHDIRNPTTGGNLLPPVAFNLMFQTAIGPSSNMPGYLRP ETAQGQFLNFQKLLDFNQNSMPFASASVGKSFRNEISPRAGLLRVREFLMAEIEHYVD PEGGKKHTRFDEVKDVQLSLLNRDVQSSGKTDIQKMTVGKAVETGLVDNETLGYFIAR IQLFLLKLGVDPNKLRFRQHMANEMAHYASDCWDAELQTSYGWIECVGCADRSAYDLT VHKNKTGAPLVVREPRAEPLKVEEWQIDLDKKKFGPRFKKDGKTVEAAINALSQDFRE KLSLELEQNDKVEIDVEGVASGKVELEKDIIKIEKRTRVENTREYTPNVIEPSFGIGR ILYSMIEHVYWSREGDEARGVLSFPPVIAPTKVLIVPLSSNQAFAPLSQRLMTKLRRM GVSNRVDDSSASIGKRYARNDELGTPFGITVDFQSVKDNTFTLRDRDSTKQVRASEDE IVHALKSLVDGDETWEDIRQRLPEFTGQDVE ACHE_20460S MARKGNRPPPGVTDEYKPKNKLARQDLHVKRKRAKDSARRAERF ARKKEEAKDPNLKEDRLKRNIPLTIDRKRVWDDVDSDVEDPLGLSVDVERIKRQKQEE EDELNRPLKDSEQSSEDEEDEGDDSGDVDSMLASSDEDDKDEDEDKQKDEDRGRRKSS LPSATERATSPSQSTKSTNLNLAPEALAAKFPSLFSTELQQRPPKILITTVLYSTLHN EAEQLVNFFPNSVYIRRNAHRYSHKFSIREIAKFATNRNFTALVVVNEDQKRISGLTI IHLPGGPTFHFSISNWIDSKKIPGHGKATEHWPELILNNFRTPLGLLTAHLFRNLFPS KPDFEGRNVVTIHNQRDYLFVRRHRYVFREKRETEKPVVGADGKEMQGAEGIRAGLQE LGPRFTLKLRRVDKGIHKISGQEWQWKGGMEKERTKFQL ACHE_20461A MDGKGIWPSSKRTSQGMAVGERKVAYSLQGLDINDYQEKKEEED DDDASDSGSMKSTKSFEAQNIHVTIEHGRRYCDDFYFMPNDETESTRLNIIHQIYLIL LDGKLTTVPLAKDEPRILDVGTGTGDWAVEMSSLFPKATIVATDIGIFDTGLVHIDLP NVFFQLDDAREEWTYHEPFDLIHLRGLSGAFNDWPAIYRQAFEHLNPGGYIEIADTDP AGDSVTFPNSESSYFHIYTGAMRSAAEALKYPRDLCHLKPNMLSAAGFVDVRVFERTV PIGLWPQDLHEKTLGKMTLIAVLEGLEAYGLRSLTATGWTADAVRDLCEKAKMEIMSA DRMTARVKFMTGRKPISRVQMKEMRQRELLARALKKVEE ACHE_20462S MSNIQQHQPPNTPKTTMPDLTKPAKRILFIASIGNPAPYRTTRH SAGHILFESLVPLLSSRFSPTPNCTLSEAEQSVLYKTWKSPAYMNESGGKLVRRLHKW ISTLDIQQRQPTLVILHDELESPLGKLRVKRGGAEAASLRGHRGLISIMEVLRGKGLY PPRAPAENTGLSIMRVGVGIGRPESRERGSVADYVLTKMSPEELTVVRAAADPVVELL LEELYREQEQS ACHE_20463A MSWAGFKKNVNRATTQVMMKTGHVERTNDRDYEIEERRFRTMEA ASTRLQKEAKGYLDSLRAMTASQMRIAETIDAFYGDAGTRDGVSRSYKQAVEDLDAET IKALDGPYRSTVLDPISRWCAYFPDINECIKKRNHKLLDYDAMRAKVKKLVEKPDKDA TKLPRTERETEMAKQAYEQLNEQLFTELPQLIDLRVPYLDPSFEALVKIQLRFCAEAY SRMAQVQQYLDAETRDQYARGDLDNRVEEVLQEIRDLSIAGTV ACHE_20464A MTPQSTATSPVASAVSSATNSQIFSDPRDGDAAQVTRAFAGMNL SAMNGDSLPAPPPPAATKPARPTMANRISRMFSSTKTATSKDHPSDSNRDFSDSSSDG AKAPSANGSQKPGSKPSSKPPSRAPSRQTSTKGDDRDREKKPKSSSGKEQKDGTVNVS KRFELQTDGTHAHHLRSARRQEKLTDLLRDMLGGSRKKDDHADDQQQLSLMSTWIDQF KNERDKLAADKKGGPNATASLVDKYGKCQEIVGRGAFGIVRISHKVDPKDHKMEQLYA VKEFRRRPQETAKKYQKRLTSEFCISSSLRHPNVIHTLDLLQDAKGDYCEVMEYCAGG DLYTLVLAAGKLEVAEADCLFKQLMRGVEYMHEMGVAHRDLKPENLLLTTHGALKITD FGNGECFRMAWEEEAHMTAGLCGSAPYIAPEEYIEKEFDPRAVDIWATGVIYMAMRTG RHLWRVARKDEDEFYQRYLEGRKHEDGYAPIETLHRARCRNVIYSILDPNPTRRINAS QVLKSEWLREIKLCKPGEEGF ACHE_20465A MVVYSFYIFDRHAECIYKRRWLPRPVSITSKSSRPTSDLSIQNN GGAPAVFGQTARTTDDDAKLIFGTVFSLRNMVRKLGGEDDNFVSYRTNQYKLHYFETP TNIKFVMLTDLKSPSMRLALEQIYINLYVEYVVKNPLSPVEHPGGVGVNNELFEESLE QFVTRVLT ACHE_20466S MATENVDATLPDARSESPLVGNLNDRSAFQRSTPARYASTPSSH EGLPRHHRRNPMVRRPVKETLNARSEYTTSQDDGTAEHRINQYLIRQEIGRGSFGSVH LAADQYGNEYAVKEFSKSRLRRRAQSHLLRRPRGPQRSGSGFNSSAHRPLPGSGDESA GKAIDLIKAEIAIMKKLNHNNLVSLIEVLDDPKEDSLYMVMEMCKKGVVMRVSLEERA DPYDDERCRCWFRDLILGIEYLHAQGIVHRDIKPDNCLVTTDDILKVVDFGVSEMFEK DSDMFTAKSAGSPAFLPPELCIAKHGDVSGKATDIWSMGVTLYCLRYGKLPFEKGSIF DLYEAIRNDEVVCEGETDDNLKDLMHRILEKDPAKRITMSELREHPWVTKNGLDPLLS ESENTAEIIEPPTEEEMNSAITKTVGHILAVIKAVKKFKRLVDPNKEEPPMQSILGQE YEAHFVEPPMEMEPDESFELSNSMSITSKSQSLDTYDRDASERDNVLQGYHQQRGGPA ARFFPRRIDSGSVHSSGFRADFMDDLQGAWSPRSGSPSIPPLSRTDSVATKRSIEGTR GHARDPLEEEFPYLFIGPSTYTGSSHNDTDGSQPATIFEEPESANLEDTPMTEVEDVP IVSESPGAAEFDIYENAYREEIERICKRSVPRRGTAPKMYLTRRVDGKDDVMRLVQDH EGSFEPNQRDQQSHSQTVPIGGKVAVTPSSSFSSAVGMIRTQLEGQRQQQQPQCESEP EPRSQVQEVPEPPTSETNDKKTPSSPPENPRAKLRCLLGRVRGS ACHE_20467A MPPGRSLNSCQLAFGHMCQQHVQQPVLPPSAMIPATSQPQPPHP ELTAPAVVRKRLCPGMERPIAPRPSTVPYDQGSSASITSPDVNEPPKKRGRPTKAEAE RKRTEEERKRAAAEARGERYPLPKRRGSGKSKASTSTVPNSPAGSLGTIGTSFSPRTN ARGLEESKPEPQVHQGPSAGQPSDRPTGFRRNEQRPRAMSDQILKPVSSATSRELPRP LEARQTLPSPQELQLGHPEPIQRFNPGHPPLEHFNPDRIPRVFDAPRPMLADSASNRR PEYRIIAPTPTLDPATEKASR ACHE_20468S MSSHDNADSQSETVANAVTAMKLEHDDGDTASSVVNGSGGAVKK EEEEKILVNGSALEVKSRSQSHSPTKKEEREEREVKDADEEEKVGGDITVQLEPGEPP KLARSSSQKVVPRPPQLFLDLPDSTEEARSTFEVMEMCTYANKYMGYTEHAMECDCAE EWDSTVGKNHACGEDSDCINRATKIECVNDCSCGPECQNQRFQRREYANVAVIKTDKK GFGLRAESDLRPNQFIFEYVGEVINEGNFRRRMRQYDEEGIKHFYFMSLNKGEFVDAT KKGNLGRFCNHSCNPNCYVDKWVVGEKLRMGIFAERFIQAGEELVFNYNVDRYGADPQ PCYCGELNCTGFIGGRTQTERATKLSNATIEALGIDDADGWDTAVAKRPRKKKMGEAD EEYVDSMQPKSLEENGVTKVMAALMQCQEKWIAVKLLGRIQRCEDERVRNRVVKMHGY QILNSQLAMWKDDHNVALQIMDILDKFPRLTRNKIIDSKIESTIQPLATCGDERVEKN AAALLQAWSTLEVGYRIPRMKRDPNSSSRAVNQFGRRENTRDERRRSRSRSRSRSRSL DAPRGPRGDRGPRNHHRPRPFRRQPNPLPPGWFAAESNGRTYYYSARGDTTWTRPTTP APQPPPPPKESKDKALQDIIDGIMNAKENTPKEKTTTPGTPQASKLTPEGKEGSKWKG YSEEKQKKLYENTLFPHIKYVVDKFKHKLPKDDLKRHAKDVAKKLVNSDFKNSRVEDP TAISDKQQKKVKNYCKEYFEKAVVKHREHEKKKAGKDGKETGDTANGESDEGVDVKMS DDEGGKDTPGDGEETETPGSSLKRKRDDDPKREDGKRSPSPSKRQRSSTPPPPPPPPM SPGQAESTPTDKQAMQYAPTPPPPPPIPTEQS ACHE_20469A MAVRAQFENSNEVGVFSRLTNSYALVAIGASENFYSVFEAELQD VIPICHATIAGTRIIGRLTAGNRNGLLVPTTTTDQELQHLRNTLPDHVKIQRIEERLS ALGNVICCNDHVALVHPDIERETEEIIADVLGVEVFRQTIADNVLTGSYMALSNQGGI VHPKTSIRDQDELSSLLQVPLVAGSVNRGSPVVGAGMVVNDWLAVTGLDTTATELSVV ESVFRLGEMGPRGLGMGEANKESIVESFY ACHE_20470A MAGAKRKLDTAHAPTASEGASQSANNAQNVRVTRRSAASQANRD PRGSDKSHNNSTTTSSTSSNNSSNIPARRSRIVKLNTRGALANNANTNPINARETRTS RGRATALSSSQQSEPIASAPSQVPETPRLKRMKRGSVAEETPRTTRQSARIRALGPNP HASFDEAVEGKPLEASPTKASSIRANVEDKDDTTAAITKSPSPDEVNISEEPKVGDTD DPEDPKTEWNPAEGTADANLNNDGASTNAHRETPTQSLSPPQKERNSPEAEVNTVTES ADVFPTASEKKPKFEETDLDRALEQQLQNGTAEKHDSPSPADATEESRVDDESRQITD VEESVVPNGVRSTARPTTVKGRQAARIARGRAKGKGRPKGKGPAARRAAAAAAAGRGR QMESPELRSERSPSPFAAARKLLDRKLELDRAFKKVAAAQRLALHVMAVRTENQLARD KNAHQKVPEFDKLEAVLTAYRQKRQDILRHEYEFRVEQENLLFTSEQDRLERKFRASA RHIQEEHFLAAQGDYMAFVEGRRAAEDDEHTETDGSETEQYKRPRAPPIKEFIRGFNS NHVREPAGAAAYERADTGWEQFVQRVRLGEDFDPQMKEMREIHPSGATNQPVFGTLEM LLEATNAVANQPTSEIPAPAQTAPEMPAVALSALADVASSEGPIRTSRPSMLRTILPQ PMTDPRPYMLPRPGPHPAPPQPGPARQQTRPLLPAGQQIPSINEQLGLPDPFVPGGGP PQLPPPPGSNFQRPPPPGFLQSSPLYFPPPHHPSGPRPPY ACHE_20471A MHITVAYSLGGTALALTVITTILDGVCYAWSRSSLELAVLSLNA VGCVVLALLLVLQTRYPKTKTKAGLWGTWQHTVHILVVAYLLLAAGITAGIIAKSLTM APAQAQFISRNIFWVLSIIAQALFCGFLLIPRTRQQDEENTHSWPRPLSHELEMLDER GSGDRIQERSDSPSLSITVESNRPSLSPHPKTSTDGLAPVRPYVSNVATRVSSRYSGK TLFQQDSKHNSIDLHAGVMSSPSKPDISEGRDTCSVADQENQSTTTITGSTSNPAPKR SDSDVKSMDSLLILPSPPSPTETSTNLESIATTSMRPPLLKLNPLPNEKNIHPLFRSD SPSPPPTPMPGTMVKASPVAGTTISAKTLSRVRSTNSLRVSNNGRSRSPLLSERMSTA EEGELSSSCPPSLTSSKSIPMPGIIMAGDLRKSMLQYEKKYDLNESPLEG ACHE_20472S MKLTFKDLKQQKFTIDAEPSETVGQVKEKISTEKGWEVPQLKLI YSGKILQDDKTVESYNIEEKGFIVCMVSKPKPAPSSAASSQAPSTPTRAPTSTPAAPA APAPSAATSTLAVPATPSPAGAPPASTDTPALNDPSALLSGSQSEPVIAQMESMGFAR EDITRAMRAAFFNPDRAIEYLLNGIPEDIQQEQQQSAAAARAAQEPAGETPSAPAAPS GEDEPVNLFEAAAQAGDGARGARPGGGAGGEALPNLDFLRNNPHFQQLRQLVQQQPQM LEPILQQVAAGNPQIAQLIGQNEEQFLQLLSEDGEDVLPPGTQTISVTEDERDAIERL CRLGFSRDLVIQAYFACDKNEELAANYLFENPDDPDDL ACHE_20473A MWRRTYLLLLVIRVYFALSPSYLHPDENFQGPEIFAGRNFFYPS IRPWEFTSDHPIRSVFPLWPVYDVPMSLLKWFYSEMGTGNPPPELVYNVIRGVMFLLT FVLEDWAIYEFVPSPRHRRATIVLVASSYVTWTYQTHTFSNSIETLLVVWGLVLIRRI IENKRRSSHFSCAVLAFIAVAGVFNRITFPAFLVLPGLLLLPHFQRKPLSIFSFTLSG LFFAFVAILGDTIFYKPSASFLEALRSPVITPLNNFLYNSDASNLAHHGLHPHYQHFL ANLPQLLGPAYIMMIASLSTGNLRRPLTIPSWVKNMRAASAISATILLSVFPHQEPRF LIPCVPLLLSCFHLQSSRRQFMLLQGTWVIFNVALGVLMGIYHQGGVIPTQLAIPGII ADHRAGQGDGAATVFWWKTYSPPRWLVGDSLDITTNDLMGIPGGELISHLNHALPTCP VDANNTEKDKGTYVVAPKSATFLDRYIPSPSNEKKAADIELQELWYYENHLNLDDLHF GDDGVIPTLSRVVSRRGLGVWAVKRRCLSEDKGPE ACHE_20474S MATSTITLTSSDGVEINVERDVAERSILIKNMLEDLGESDEAIP IPNVNEAVLRKVIEWCTHHKSDPPSTGDDDDSRRKTTDIDEWDQKFMQVDQEMLFEII LAANYLDIKALLDVGCKTVANMIKGKSPEEIRKTFNIQNDFTPEEEDQIRRENEWAED R ACHE_20475A MPSHKSFRTKQKLAKAQRQNRPIPQWIRLRTGNTINYNAKRRHW RKTRLGL ACHE_20476S MAQPGDGSKALEKAVDDGPVVSTQQQTPSRASSTTIGLHAGKEK EAKSTPVANSSDSDALFAHLPEHERRILKDQLDGPEVKASFVTLFRYASKWDILIMIV SAICAIAAGAALPLFTILFGSLATAFQGISLGTMPYDQFYDKLTTNVLYFVYLGIGEF VTVYICTVGFIYTGEHVTQKIREHYLEAILRQNMAYFDCLGAGEVTTRITADTNLVQE GISEKVGLTLTAIATFVTGFIVAYIKGPKLAGICTCTIVAIVLIMGGSSQFIIRFSKQ ALGNYGAGGTVAEEVISSVRNATAFSTQDKLAKQYEAHLAEGEKWGIKVKIIVGIMIG AMFGIMFMNYGLGFWMGSRFLVAQEMNVGQVLTILMAILIGSFSLGNVGPHGQAFTNA LAAAAKIYNTIDRHSPLDPFSDEGKTLEHFEGNIEFQDVKHIYPSRPEVTIMDGVSLS MPAGKTTALVGPSGSGKSTVVGLVERFYLPVGGTVLLDGHDIQTLNLRWLRQQISLVS QEPVLFGTTIYQNIRYGLIGTKFEQESEEKVRERVENAARMANAHDFVTALPEGYETN VGQRGFLLSGGQKQRIAIARAIVSDPKILLLDEATSALDTKSEGVVQAALDRAAEGRT TIVIAHRLSTIKSAHNIVVFVNGQIAEQGTHDMLVDREGPYRSLVEAQRINEEKDADA MEVEEEIDEKQVAAEEVARIKTATSASGSMNEKTDQPLGRTDTKQSISSVVLSQMPPE TQKKYSLWALIKFIAGFNKPELFYMFIGLVFSVLSGGGQPTQAVLYAKAISSLSQPLS MSDKIRHDANFWSLMFFVVGIAQFINFGIQGTAFAICSERLICRARSKAFRAMLRQDI TFFDREENSTGALTSFLSTETNHLSGISGATLGTILMTTTTLGAAIIISLSLGWKLAL VCISVVPVLLSCGFLRFYMLARFQNRSKKAYESSANYACEATSAIRTVASLTRENDVW EQYHGQLEEQGRKSLVSILKSSLLYAASQALVFFCVALGFWYGGTLLGHKEYDIFHFF VCFAEILFGAQSAGTIFSFAPDMGKAKNAAAEFRKLFDRKPAIDTWSEEGEKIESMKG EVEFRDVHFRYPTRGEQPVLRGLNLSVKPGQYIALVGPSGCGKSTTIALLERFYDALA GNVLVDGKDITELNVNSYRSFLSLVSQEPTLYQGTIKENILLGSSKEEVSEEDLVKTC KDANIYDFIMSLPEGFNTVVGNKGGMLSGGQKQRVAIARALLRNPKILLLDEATSALD SESEKVVQAALDAAARGRTTIAVAHRLSTIQKADIIYVFDQGKIVESGSHQELIRLKG RYFELVNMQSLGKAQ ACHE_20477A MPSSPDPSQSQPSQHDSPHLTSSENGQNLCPEQLQREKKVKTLQ ASINDLQSQTQQLEGEIAEVKAKLKNDPSITVKRHIRLLHDYNEIKDVGQGLMGLIAE ARGMRQIEVQREFGIGEKD ACHE_20478S MTIPNPLHLRCGGVLAALFFLAPSVLAHGGHEQVPEGSAVSEDP IDSTLWIHMILMGLAFGIIFPLGMVLGITRSRWHVPLQVVGTTIAVLAYFLGHAHKGR QFAKNVHAPFANILMLQLIAQVVLGVYLKLHLSKGIHGRIRRFIVILHGILGKAMPVV SWAQMLFGGITAMGFCRDDHMGQCLAHFIMGSAFIAYGILLTLLLLVGQYWLRRTGRS QEFFDSLVIAAWGCVNTFTEHRWGGPWVHNDLQHTTMGIVWWCAGLLGVWLSRKRNGG PKRNIIPGIVIMLTGYAMSGHPQHSMISTMIHSFFGYTLMAAGATRIIEIAFVLRDRS TLSVDGTNPNSFQYLPPFLLYASGFLFMGATEEQMQLLEDAGITHVSYILILFSIAFI LFLFVNILLHIYAVHAWPESTKAQPNDDDIDAEDGARPKPSRANGHARSPSEAQHIHD AEAFELQGLISDEEEEARAGRHQKNEDEEPQT ACHE_20479A MASQENTTQSISADEIALYDRQIRLWGVKAQEKLRSANILLITI KALANEIAKNLVLAGIGGLTILDHEAVTEEDLGAQFFVREEHVGQNRAQAASAEIRVM NPRVQLRVDTENVRIKQPDYFGQFDIIIATELDFSTYTTINASCRLANRPFYAAGLHG FYGYVFSDLISHDFVIERSKSNVPSQSQETPTRSVLNINTKVESDKVIEMVTKREVYS PLILANTSPLPENFTRLPRRRRQVTPLLTCLRALWEFQKLPGNHTPLPTLSSRQDLET FTKLARDRHHELKLDISTLDSTFLRTFLENLGSELSPVAAFVGGSLAQDVINVLSARE QPLQNLLLFDGDKSVAPVYPLHPFFPPEVENALPAVTPAAVNAIPVHSGPAAANNNAV TDLTQ ACHE_20480A MLPPEMGRAASQSSSAASQSTQIVPPHSRPGTADPMRARSEHVI SRNSRRPRSRGSTASIHSQQTQDQNVTDGFAQFLPTQQATGHNVFGNNPEDIIMRFGP NLSHPVHGTSLDPTLPDAHHPVMPRAEDFSHHALQTHAISQQAMPPELAGHGLSGVSM SQYQSLYDNGIENHVPEHMLEDNDNSEAGGGKKKKGSSSSLANDNELRKLLRQYEGYS LKQMAAEVLKHEGAGGKAEKVKQVFAMIWLRENCRKSSGSVRRDRVYCCYAEKCGTER VSVLNPASFGKLVRIIFPNVQTRRLGVRGESKYHYVDLTVIEEKQQKPQSLGSQLSSN ASVPPENRAEDALSKGYVGKHDKHNDHYRRSDKGNSAGAVPPPPADTAVFPSPTASFA PRMSASLPTVGCDCHSASRGDLEPPMTLENVGSHAGKMIHHMLRFPSADTYCVDNDSL QLPDIHPYLPPNADLKVAAALAALYRSHCISVIDSFRYCKERNLLRYFSAFHGTLTVP VQKLLTHLDIAPWIKECDWLMYQKMIAFVAPLTTQVVPKLVLDAFSSISQRLTAHITE TFKSQPAHVSLARLIPANIFCSLLRHMLDVNQSANAAAAWLCHPDNRNQMWFDFKTLI DPKEMISKANIPRCAERVTEQILKHDIRALLTPVSDPSVAPCQPFFGTPDTEDDIQAH KYPVQSSTGDDYNFPDKWVSFILNLALMFPNHRTQCVIEKADALWDCVLRRLTLGGAQ SFSAWWMTKVFFHEMMVWQTEQGGFMRSSPSTLQNATLRTESSEMNNFAMRQPSFAIS DKNDTVMATESQQDRQSVSRAPSTAATSEAPQNQEQCLNGRRMETESNQSNLDNPKPT TVAENIANFHAGNNDDSAIDLDDDSMLMSVGKYGDMMASDPADAEGDVVVI ACHE_20481S MDPFQELRNEFSSTIRALQNEIESVKNEPKPLQRPKPCLPDPEK FNGQSLKFDTWLASMKAKLRIDAPAIGDAVAQFYYVYLNLESKVQALVLPQLSYAEDT NTWDYNTILDQLSLVYDNPNKVQEAEDYLLVLKQDSGESVAAYIAKFERILYEAKGKD WPDVTKISAFRKGLNPTLRGRLNQQLNLPKSYTDFLRVVQQLGSHSFSSNSTNVSHSQ SHQSGSHTKSDPMDLSVININSLSAASTSLDERNRRRQQGSCVRCGSSDHWVKDCSMK AHKESNKIWNQQMIARLEANRLDDLNDLDD ACHE_20482A MQAFRRGTLSALQNVAAVQRRGYASASAIYSETVPNLRINGDTK VIFQGFTGKQGTFHAEQAIAYGTKVVGGTNPKKAGSTHLDRPVFANVSDAVKETGATA SAIFVPPPLAAKGIEEAIEAEVPLAVCITEGIPQHDMVRITDILKTQNKTRLVGPNCP GIIAPGQCKIGIMPGFIHKRGRVGIVSRSGTLTYEAVNQTTQAGLGQSLVVGIGGDPF SGTNFIDCLRIFLEDPETEGIIMIGEIGGSAEEDAAEFFKANNKYNKPAVSFIAGISA PPGRRMGHAGAIVSGGKGGADSKISALQDAGVVVERSPAALGKTLLAEFVKRDLV ACHE_20483S MATMTMTVPPPSNKPKKTAKLPPENERYMRACSDIANVLIQDYE SQIDPIKPKKDINLNKLRGQISRKHCLSSQPPLTAIIAAVPEHYKKYILPKLIAKPIR TSSGIAVVAVMCKPHRCPHIAYTGNICVYCPGGPDSDFEYSTQSYTGYEPTSMRAIRA RYDPFEQARGRVDQIKSLGHSVDKVEYIIMGGTFMSLPEDYRESFISQLHNALSGYQT DNVDEAVQAAEMSNVKCVGITIETRPDYCLDTHLSSMLRYGCTRLEVGVQSLYEDVAR DTNRGHTVAAVAETFKLSKDAGFKVVSHMMPDLPNVGMERDLYQFHEYFENPAFRTDG LKIYPTLVIRGTGLYELWRTGRYKNYTPNALVDLVARILALVPPWTRIYRVQRDIPMP LVTSGVENGNLRELALARMKDFGTSCRDVRTREVGINEVKNKIRPSQVELVRRDYTAN GGWETFLAYEDPKQDILIGLLRLRKCSATHTFRPEFTGQQTSIVRELHVYGTAVPVHG RDSRKFQHRGFGTLLMEEAERIAREEHGSRKISVISGVGVRSYYGRLGYMLDGPYMSK MLDPIEDEE ACHE_20484A MKPIVSALNAWSCVVISFFAVIILSVLGTLYQHDHPGYTGSEGD PENGPAVAASIFTAVIVYAAFFVFCAFQAYLHIRASRGGAISLS ACHE_20485A MTKFRPCIDLHSGQVKQIVGGTLSDVSSDLRTNYVSKLPADHYA GLYQKHDLRGGHVVKLGPGNDDAAKEALSTWPGGLQVAGGITDQNAQYWIDQGAEKVI ITSFLFSEGKFSQERLERVLAALGGDRSKLVLDLSCRRKDDTWFVAMNRWQTITEMEI NQESISFLEPFCSEFLIHAADVEGLQQGVDEDLVAKLAKWCTIPVTYAGGARHLQDLE KVHVSSQGKVDLTIGSALDIFGGSGVTFDECIEWNKAH ACHE_20486S MIGKRKRDTSVVSRFTTKKEQEQDTSVPTTPTEVSHDLFRKFFE SQFEPLDIGPRVSRKEETKVEEGDDDDEEGSEAGSDWDGLSGDDYGDKVEVIEHQDSS VKTSGLLDKKARKAFMNAKPPSFDVDTSKSETKPTKDSGEDEEDKVTDATNLKNDLAL QRLLKESHLLDSATDLVPTGKNRHKALDMRMQSLGAKASLYDQKMPSAHRRGIKTKVA TKEDKRRREAKENGIILEKPAPKKQTSNKRRERGVGGPSVGKLSGGTLNLSQRDINSI TRRSKGSKGRR ACHE_20487S MYPHHGPPMPPPQKPETFMLSSEAQQSLPHDAQVALQQVDNLKY FLLSAPVDWQPDQFVRRFLLPTGEHISCVLWSNLFHISGTDIVRCLAFRFTAFGRPVK NSKKFEEGIFSDLRNLKAGTDATLEEPKSPFLDFLYKNNCIRTQKKQKVFYWYSVPHD RLFLDALERDLKREKMGQEATTVAVSEPALSFEFDSSQSLYEQLTKAQQANTSSFAAH ASTTFGQPTSPIVRSVDAMPPPQMAPQMAPPALPFLPDDSANTAIYNAIPLPPTLAQN FIKREQDFAPIQYDRNGMPIARMHQRHASMPTFVEYSPAPSFVSSHFEDYSNRGLSFE PVTPPQHHVPLGPEPAYIANEDTGLYTAIPDISSAPSFNPLMQLPPSNLASAHFPAPT RTFPYSVIEGSPTYKQRRRRSSVTPGAANAAMTTPQTTAAPAQTMAYAAHKPSDLRRS MSSSVAPAVTEGGNESQTQRATASYPAVLPQKDLLQEISRHGTPLSNLEETTSQNALS LNNVQDDLAAFPTDETVDAAVQNSATNKAERYVPGPVRRARSATMMELGPYPQKSHSC PIPSCGRLFKRLEHLKRHVRTHTQERPYPCPYCNKAFSRSDNLAQHRRIHEAQQDGQP PLSTHEEELENEENEFGSPDEDLSPQDTSIPTSMMNMTTMTSMPTTMATTMSMSPMHT MVAPNMIAPQLLQQQI ACHE_20488S MTCSEPDHTNMTEAANESSPLVGPDREDDADIRYEPNDNPVLHR EDTSETKSSLYLFLLTLSIGGLQVVWSVELSSGTPYLQSLGMSKALLAFVWIASPLAG TLVQPYIGIRSDNCRISWGKRKPFMFAGAAGTIIALLALAWVQEIMGGLLGLFGADPN SGGVRTTIIIVATALMCCLDFAINTVQAGIRCFIVDNAPTHQQESANAWASRMTGVGN ICGFIFGYIDLPNILPFLGKTRFQILCAIASLLLGITLLISCSYIKERDPRLDSPPAS SSLGLVSFFEQVFKAIRHLPPQIAKVCEVQLAAWIGWFPFLYYATTYIGQLYVNPIFE DHPNPSDKDIDQAWEDATRIGSLALLIYAIVSFVANITLPVLVVPSYKPIVASDRQHG EGLLEGEEESDTGARRMSASSIPYGAPLEQQPQGSKANKDGPAWLAYLQIPGFTLRRA WLISHVLFAACMFSTFFVYTHQAGSAVIGLLGISWAMTLWAPFALISAEVSRIDTAYR IRRVRAARTVAEGSRDAATTAADRHRYSHHDEDLENGHEVPPMKAPGKEDENPAQAGI VLGLHNVAVSAPQIISSLVCSAIFKTFQKPRGEPWDDSVGWVLRFGGVAALGAAYLTS RLTEGGR ACHE_20489S MAGQIVGDALHQVLRRAADSDTDPDDVPEAGTKEFFSSWALFIM IMLLMCALFTSYILQQKKIQAVHETVLSIFGGMFVGLIIRLSPESPIQDSVTFDYQFF FNLLLPPIILASGYELHQANFFRNIGTILTFAFVGTFISAIVLGLVLYVWTRIPLDGL NISFVEAISVGATLSATDPVTILAIFNLYKVEPKLYTVIFGESILNDAIAIVLFETAQ KYAESEAGSLTFLNLFEAIGLFLLVFFGSMIVGIIVGIMTALGLKYTHVRRMPKIESC LIVLIAYASYFFSNGVYLSGIVSLLFCGITMKHYAYYNMSRRTQLTTKYLFQVMAQLS ENFIFIYLGLDLFVESNLQFKPLFILVAVLGICLARYLAVFPLSKAINWFIRYRARRR GVEVADELPFAYQAMLFWAGLRGAVGVALAAGLKGVNAPALRATVLVVVVLTVIIFGG TTARMLEILGIRTGVVEELDSDDEFDIEVTHGGTYYKRDTALGYTPRRMDSTIPLDGM QREGLDRTHSYSTGNSRRPSPPPNRSSSRGHARMYSNAYGPKDTQTRRDRSSTATLLN SGTGGLSDDSAGSEDEFGLKTTKNTGKSRATAEPDPDEFEIDVEESEDDHGLPPSATP ASRLRRSHSQPQQESTSSRVSPSPSRHEAISAREALRDLFSGGPTGDHAAWFRQLDEG YIKPRLLLDQSNHKGPGAV ACHE_20490S MTGAPPYNPHSPTQQSRYPAYSPPNKTPHPFLPGNDQYQQHPPQ TPPAYPPHSALARSPHYAHATPSLPATLPPLSSDPSAQYQMHSAAPTPQFSLPRPYSG SMLPGSGASPYAQSTPSHAPPPPRLESHSQSPTKRETDSSYSMVGNNAPGYSMMREPP RPASPTQEAKPARAADPMSFASILSGPTEEERLPPKRPSPPPAQMAPVAPPPPPLYIA GQTPKESEQVPVYPMPRFEEKQPIRERRRNVEQEEHLVGSLPVASSANGDVPDIKKTS APIRVPAPRKLTERDMEIINKITADIDNGEKSDVEAPGFEAEYERYISKGKKRALSTE KAESMKRKRRRQDFLIRLGKTFEKQSTTGMDRFRYDHEEKVIFEVQDKEIQDEKERKK DMQRKRRRENTVRLEMQRKLEAERKANETDDAATKAKLMREVERAQKKIKSTKRALEG VTSPEEIGEVTPLAPNLEGGTTSSFHIGRSSPSRRKSNRGGTTARPKKSKEQKQAEKD AAEAAYAAMASDEPTSLAPMEDPRMESLKKETKGGRSKESTPIPMASYDSKGYNQIYE QIWRDIARKDIPKVYRIKATSLGTRQENLRKTAQLASKQSRKWQERTNKSMKDTQARA KRTMREMTTFWKRNEREERDLRRLAEKQEIESAKKAEAEREANRQRRKLNFLISQTEL YSHFIGRKIKGTEADASGDTAVDGSNEIVQSGKGQEHTVDMPPSVADPNTKVTTSFDE LDFDAEDETALRQAAMANAQNAVQQAQDRARAFNAEQDQMAAFDEGELNFQNPTSLGD IEISQPTMLTTKLKEYQLKGLNWLVNLYEQGINGILADEMGLGKTIQSISVMAYLAEY HNIWGPFLVIAPASTLHNWQQEITKFVPNIKVLPYWGSSKDRKILRKFWDRKHITYTK DSEFHVLVTSYQLVVIDAQYFQKIKWQYMILDEAQAIKSSQSSRWKNLLGFSCRNRLL LTGTPIQNNMQELWALLHFIMPTLFDSHDEFSEWFSKDIESHAQSNTKLNEDQLRRLH MILKPFMLRRVKKHVQQELGDKVEKDIFCDLTYRQRAYYANLRNRVSIMDLIERAAVG DEADSTTLMNLVMQFRKVCNHPDLFERAETKSPFSQAHFAETASFVREGHFVDFAYST RNIIEYDLPRLLCDSAGRIDMAGPDNKQAGFRNKYLAHMLNIWTPENIKKSAEEDSAF SFLRFVDTSAEEAYKTSHLGVFERAYRRRGKTNRLSRLNVVYDGDEDDGNSVLSHSML NIVDRNDRHALDEVATEGYMRDLMTVSSSTFERKGLSIIEPSASPAASAPPIQVSCSN QGAYKEIRDSFFNIPVRHALYGIPSRSIDEQILEHKADPRLFTAAPILPKPISDKGRY THIEVPSMHRFVTDSGKLAKLDELLRELKAGGHRVLLYFQMTRMIDLMEEYLTYRNYK YCRLDGSTKLEDRRDTVADFQQRPEIFVFLLSTRAGGLGINLTAADTVIFYDSDWNPT IDSQAMDRAHRLGQTRQVTVYRLITRNTIEERIRKRALQKEEVQRVVITGGAAGGVDF NTRNRESRTKDIAMWLADDEQAELIEQKEKEAAERGEVLGAAKASKKATQKKKKELSL DDMYHEGEGNFDDANKQQSGAATPDIGTPTSTPAPKRGRGRGGGSAKGTSKRAKTTNE RLRLIDGDGGLS ACHE_20491S MESLLQQSRAMCPFLKRTAPSALRSLATATRPSTSPGGGTMSNL QILARRCPVMSKALAVQSARMSGTKRFTSCAAGVAGLGSAGHGNGIHEKKHLRGRQAL HTTAGNGASIQSETYKKEHAPNLSQIEKTPIEVASAMAGSCPQAPENKRFDYNSFYNG ELEKKHKDKSYRYFNNINRLAHEFPRAHTASAEERVTVWCSNDYLGMGRNPEVLATMH ETLDTYGAGAGGTRNISGHNQHAVALENTLAKLHGKEAALVFSSCFVANDATLATLGS KMPDCVILSDSLNHASMIQGIRHSGAKKMVFKHNDLVDLETKLASLPLNIPKIIAFES VYSMCGSIAPIEKIQDLADKYGAITFLDEVHAVGMYGPHGAGVAEHLDYDIYASQDTP NPYSTKGTIMDRVDIITGTLGKAYGCVGGYIAGSAALVDTIRSLAPGFIFTTSLPPAT MAGANTAIQYQGRHNRDRVLQQLHTRAVKETLKELDIPVIPNPSHIIPLLVGDAEVAK QASDKLLEEHGIYVQAINYPTVPRGEERLRITPTPGHVKEHRDHLVAAIQSVWNDLGI KRTSDWKATGGFVGVGVEAAEAENTPMWSDAQLGLQPSENQDLEAAVEREFAAAAASV LRMQTPTPASARVQPAAAMGASPIGVAA ACHE_20492A MPSDKIDKKRKRHSDRHERPSKKPALELQNLPPLSASLIEDNSE LAPVIVTTPGVNQPRSINLQPYLKPRANTSSTSFSTRNKGIVSSEILLQSSEHPKLDF VGREANDDADSQLKHYIAVIDPEKKTWQFVEARKVTLRGAVRKMKPLEDEESSEDEEM VTSLPTLSLEMQMLIVQQTMRAQKTALTHAFGTKQSKKVVQSMAENAQLSNAPAGAAN AAESALLSSMPADSATDMASKTAAVQAQVQAAKPLPQANLAATHPADVYPVDVLVPNG SSTLRQLPGVKEWTETVGSGLAVTTTSRYVSRRVEAVVKSGNTTQIQILRFILLLLEF ARSLRPGGRDAGPGSKRLPPRDDLRRVLSSSSGSISAKNTLASAPTSTENTLPDPVID AIRRRFAPQGSHLSKNDITLLHTTICALSLHIPPQPAKDGGSSTQGGNSANELATDPS DLRDDLRLDNTVVTQYFRELGCRVDKPRETEFAKWGIKGGKAEAAARRVARLKVPVEF PKVSRGGRK ACHE_20493A MAHTKSSAAAFPGSFLQPSSFILAEVFYEDESQVEKEARSSFKE KAKKDESEIEVNDSPLSTSVSCDRSTLNAEHDNFDA ACHE_20494A MGATGSCSSATIFPFPLVPILPMPRMFSLTCGRRVSIIDPEDKG ESQIQMSSSDLPPLTTTTERKLMAKVDWHVIPCLCVMYLLAFLDRVNISNAAVLGLKE DLGILHGTKYNTALTIFFVPYIIFEIPSNLLLKKLKPHVWLSLCMFGFGIVMLCQGFV KNWGGLMATRWFLGMFETGLFPGCFYLMGMWYKRSEAQKRFSFFFSSTTLAGAFGGVL AAGIGEMDGIRGIAGWRWVFIIEGILTAVVGLICFFVVPGFPEEVKWLNEEEREFLRA KLAQDVGKANHDAKLGWRDVLDVFKDYKIIIAGWMYFGQVVTAYGYAYFAPTIIQTYG YSSIKTNLYSIPPWAVAFVFSMMVAYLSDKVKHRYAFTIVPMLIAIAGYGILLNVHGK DQKNTQYGALFLVTSGCYSAMPVIVCWFTMNLGGHRRRGVGSAWQIGFGNIGGIISTY SFLEKDAPLYRPGYIISLSFLCFSAALCTCYFAACWWDNRRRDRALANGTAPVPTEDE LAYMGDMAPNYRYLY ACHE_20495A MIGATRRWFRRNRKGLAIGAGVIGAGYLAGQYVLTKISEARERM SSDRIARENLRRRFEQNQNDCTYTVLALLPTATEDILEALPVEELTKELQRKRAERLA RLNAGEATGSDLSSVSPSLPDDDRRSLASEGFAHASQAGEAPGEGDGQPQQQPEQQEQ QQQSPPRVKRNKTQLWNDVKILSITRAFTLIYTLSLLTIFTRIQLNLLGRRNYLSSVI SLATPPANTSTIRLEDHDDDDHTQTLGNDYETNRRYLAFSWWLLHKGWKQLMERVQAA VMETFGPLNPREDISVSKLSELTVQIRMKIEGSTEEERRSQKWLSYLLPPREEEDCLL VESGVLGVTAAASPQTASTLRHLLDETADLIESPTFGHVLTLLNNEGFATLIERKCAN DAFKSSLAPETSTQPLHSTTTIAERKTKLANVLAVLARQAHVIGNGANPPNEYLTAMD QGARELEAFAAVIYSSNFGLESPESEQPKIKTESLMTDQEEEDTTSLTPPTADAGSTV VVGETDPGSAFEKAWGKAVEEDEKPLS ACHE_20496S MSSAQETVSLSEKHIQEEPAEYKILFNDPLWNTNPDLTKPASDT KAAEVTKEPPSQPKWSLSHGERIKELRSLLKDSNLKYDKENIQAAIKYHKTFKPEEQC GSKKAFFKHGSQVDEEEFKHPQACNCIGGWAEPPYENPWGQIIDERVYNANQRVREGT EAKAEKEREKELCELNKGTLHGLASSMLEPFRRLNKAFRN ACHE_20497S MEPRRTPPEYFLEIFADSTTVRDVLKGILNLIFFHRYFPSIRPT TFDVLDLTLPAINDVDLETLIDTRVSSLVRQHLSSAAGSNNVLDGGSGGVRGRIAVEF YERKRRRPGMWFGGLAGMTGKGEEEVCWEIWTLDVTIATPRTESERAKVRKAMENMLQ KAAFKILAVVNRDKDHIPPITTSESNPFPYRIVLNPRSDSWQNRIGIGLY ACHE_20498A MATFQDRAQHMVAQLDKELSKYPILNNLERQTNVPKVYAILGLV GVYFFLVFFNIAGEFLVNFAGFLIPGYYSINALFTPGTRDDTQWLTYWVVYALLTVVE SAISAAYWFPFYYIFKFVLVLWMSLPQTNGAQIVFHSFLQPVLGRFFNNGSTSANLRA QAEAAAKSQ ACHE_20499A MASLQSTPNMATGNMGLPPNLTQATVQETIKKFKQMQEQGVRPD DPEYLKAHNFLSAVQRQQVFHRQRYAQQQQQQQQQQQQLQAQQRQQQLNGATPDAMTN GHGRNGSVSSTGASQDAPTPTGALSQPSGQASAAQNAPVTSGSFSAEQLATLRNQILA FKMLSKNLAIPPRVQQQLFASKKPQTPAPADNVSAAESILDGVVQDKTSQPPESAEPT PPAREYYDSFQSPYDSLAKSITYGDHASRANRARVPALFPAGLDLEQVREDRETVLYN KINARKAELAELPANLGVWDTSRSDAPTGDDSLKLKALIEYKMLNLLPKQRLFRKQIQ NEMFHYDNLGMTANRSSHRRMKKQSLREARITEKLEKQQRDARETREKKKQYDHLQAI LSHGIELQNAAQQQRNRMHKLGRMMLQHHQYMEREEQKRVERTAKQRLQALKANDEET YMKLLGQAKDSRISHLLRQTDNFLKQLADSVREQQRSQAERYGEDEQQFYEEEEEEMI TGEDDDEGGGKKKIDYYAVAHRIREEVTDQPKILIGGTLKEYQMRGLQWMISLYNNNL NGILADEMGLGKTIQTISLITYVIEKKRNNGPFLVIVPLSTLTNWNLEFEKWAPAVSR VVYKGPPNARKQQQQQIRYGNFQVLLTTYEYIIKDRPILSKIKWTHMIVDEGHRMKNT QSKLSSTLSTYYSCRYRLILTGTPLQNNLPELWALLNFVLPNIFKSVKSFDEWFNTPF ANTGSQDRMELSEEEQLLVIRRLHKVLRPFLLRRLKKDVEKDLPEKQERVIKCRFSAL QAKLYRQLVTHNKMVVSDGKGGKTGMRGLSNMLMQLRKLCNHPFVFEPVEDQMNPSRI SNDLLWRTAGKFELLDRILPKFRATGHRVLMFFQMTQIMNIMEDFLRLRSLKYLRLDG ATKSDDRSDLLKLFNDPNSEYFCFLLSTRAGGLGLNLQAADTVIIFDSDWNPHQDLQA QDRAHRIGQKNEVRILRLISSNSVEEKILERAQFKLDMDGKVIQAGKFDNKSTNEERD ALLRTLLETAEAADQIGDHDEMDDDDLNAIMSRSEEELAAFQAIDRERAKNEPYGPGK IPRLMAEDELPEIYMAEDNPVTEEVEAEVAGRGARERKTTRYDDGLTEEQWLMAVDAD DDSIEDAIARKEARVERRRVNKEKRTRKGLPVESSPEPSRDGSETPQPKKRGRRGPAP KRKAEEQVEETPQPKRKRGRQAKPVETLSSTDRAALQDITNNVYQSLMEMEQELPAEE SESEDGPVTRAVIDPFMKPPPKSQYPDYYMIIQNPIAMEMIRKKINREEYQSAKAFFD DIRLMCQNARTYNEDGSILFQDANDIEARCVSELKKQTENYPQFANFDDPGASESAGN LPDGPIAAAPPGQPKLKLTFNNIGANGAAEFGEE ACHE_20500A MNRKFDRFRQWAGERMGNEVRTNLSDDFKAMETEMNVRHEGLDR IHKALASYLKSISKRSEGDDKEKTLPIGHLGTSMVTHGEDFDAYSEYGRCLTMFGRTE ERIARVQESYIAQANATWLESLDRSMTQMKDYQNARKKLDNRRLAYDTSLSKMQKAKK EDYRVEEELRTQKVKYEESNDDVCRRMQDVKETEGEGIIDMAAFLDAQLKYHEECTEA LLQLKNEWPGGKHGSPRTPNNGRRIGRTRSNTAHSYHERFEPLHEENANTLQPTPIVR SSKPALPDSPVRDPYAADIDTQRPVVSRTSTFEGPTQLRQEPVYNNTYSSRTTSENLS ARISQLRPVSRVASDPYTDHSDNYSTGTSLDGYYGERSSPTPSYGNDFYQGGSSMNGS GTFKKAPPPPPPSRAKKPPPPPPPMKRPVFAGGAY ACHE_20501A MSLLIKHLDEKLLGYEVDPETAASALDGYVEDVMLPIVNRFNET PAVTCLQIPPMSDDCVDVFYEDAFQRFM ACHE_20502A MGRVIRNQRKGRGSIFTAHTRLNKAPAQFRTLDYAERHGYTRGV VKEIVHDAGRGAPLAKVQFRHPYKFKQVTETFIANEGMYTGQFIYAGKNATLTVGNVL PLASVPEGTVITNVEEKSGDRGALGRTSGNYVTVIGQNPEDGKTRIKLPSGAKKVVKN TSRGMVGIVAGGGRTDKPLLKASRAKHKFAVKRNSWPKTRGVAMNPVDHPHGGGNHQH IGKASTMSRYAARGQKAGLIAARRTGLLRGTQKTKE ACHE_20503S MSSLSDSDLSSLSSAPPTDDENAPMAVDEPVGITKYFKKESETP PPKREPSPPHEYVLADNPDIAFIVMFRARFHEVFPRSTPHCGPQDIERGAVESPPGDY IERLLCALLGLVLNRKKDVERNHYQRPLEEAVQTHASQWPKAWQGKNPLHGGRNFASM EPNERLLLLKSLILWALSSSEAVQAKIKESYKQARHDDDLNQPLSVQPWGRDGLKRRY WLIEGMDDTHFRLYREGNPALKNVTWWSVAGSIPELQGFADKLGEDKSTHSKKLSERI KNSIPRFEGSEEKRKRRDYRIARKAAFSRPEPGFSLYEGRTRGKKLKYTYSEDEEIFS DDQPTTRRSTRNASGTATPAEAAGPRFTASGRQIRSRAGGLYGEALLANQRDDAADEE DTGRPQRTRTTRTNGYAGYDIDIGFEGGQSSENEWQGGDDEEEEDNDFEGDDEEESSG DESVANGETPSLVVQLRYGKGRASNGSEAADHSGDHSGKQPSTSTQQESGVNGNEPTD TAQDTNTQMQLDDIPAAAGQSLKSQKEQPSGFNQGPHVTSVSQPAAVGSETG ACHE_20504A MAEPTIEIAETIQTASVNPDPCPAHDINPTTSVSEKKSHVPESA ITDDTDSIPSDVVDPSRMVRTVVRQKHLPPLPDLRFEQSYLASLKDADTWGRVAWITI RDQVILPLVQGTLWTLALSGWRYWNRNASLSGRTLGSRIRRWWYEVNNWKLPPLKFTK DSRFAGQVEDFLQFYKTQFANAGSD ACHE_20505S MAGYPLLCLENPLLDIQAVGDAALLEKYGLKDNDAILAEDKHMG LYDELMKLDAKLIAGGAAQNTARGAQYILPDNSVVYIGCVGKDKYADLLKDACTKAGV HTEYRVDDVQPTGKCGVIITGHNRSMCTHLAAANEYKPEHLKQPQIWDLVEKAQVYYV GGYHLTVSVPAILALAEEAAAKNKIFMLSLSAPFIPQFFKDQLDTVLPYTDYTFCNET EARSYSESHGWGTDDIVEITKKLAQLPKKNTSRPRIAIVTQGTLPTVAASVKPDGQVE VKEFAVREISKDAITDTNGAGDAFAGGFAAGIVQGKSLEESIDMGQWLASLSIQELGP SFPATKRTYTKQ ACHE_20506A MASEFQNTWQTALEAERELFKSLAEKEPTFVGTSHYLSTLRAAC QNAILQDFESARSIDVEGRLWDAHLKINSRFRKLLSRYREENGKKKKPVEKRKLEKHY LEFIKSSQRFYRGYIQQLSSHFGGIPELEKVARKFNHENLSAQSAVQTAEESLRTRIL QSCHATVIRLGDLSRYRETELVTKDRNWGPAIGYYDLAMVIYPASGASHNQLAVIALA DGNHLRATYHLYRALSAHEPHPSARGNLEIEFRKVMSAWAKRELIRPEDAGIPGRALA PWFVYLHAQCYKGVDFPEHDELESEVLSQLAVDLKERSLEGTLQKFCLINIAAEDFAR KRSDEDSSSNARLFFQRINVKTFFTLLQILLAELERFAVEDQDPSNKDGKPSPEKVTV VARRVLPALRNYSSWLLTVSSLLVAYNKEDTPLSVQIAEFWKIYANTLTLLALTFEVV NLPDIDYLLEEDEETLGFAPLDQKAASRRYLDANGQRKPRVHDEGVERSHPSIEMLYR IREFVIDGLDLVVGNKIPIALVDDNDKKSFIYKEEGLPSQFFASPSNHHHTLSSTSIG RDDIQRAVQDSNHIADSRSVYDGSQSASASMSEMHRIVEDVERLVESDTYENAPNVSE QPGFLNNSQLPTPSVNLFDSDRGSSAFRKESMIPRSTPMAPPGLGPPMTNPAAPPSSQ SFTPLPSILPSIWNTGLSPSGEASSLGTPRPPGLDQQPNSMYQPSMNITTPGFRPSQS SPSSVVPGLMFYQQQQLLRNQYPNRLKASAPLSSPWTPSSPPSSMPMHARSSGLGAGW DILASSPAPAPFGPSAPASSNPMPSSSARALANASWPNDAFIASNSHFPPPSMGYPGG HGYSPGFENSRRSGTQLGAIGETPPCGQGG ACHE_20507S MSKRFRSLSNSPPIDQSPLEIRARSPSPATPQGRSKQLHLQDTV NPTVEVMRCSLPPHRETISFASYADYEVHYRQAHVNRCVECAKNFPTDLFLNLHIEEN HDSLMIVRRERGEKTYGCFIEGCERKCSTPRKRRMHLIDKHMFPKSYNFSIVNDGIDK QTSLLQPMNSSNRRRLSSAPTSPKEGRLRNRQTSISQQGSQPVPISPTGGASQTQNKK DLDNMDIADLEKSMSALRFVPTSVTRNRTKPGSRA ACHE_20508A MDEEKNIPGKAKKKAVPANRKSLTCEYCSRPFARLEHLQRHLRT HTKEKPFSCDLCSKSFARSDLLVRHERLVHPSEAAESREQRSQNNNNSNSNNGHNTTN NNNSHHHHHDVPPTPTSLIPPPAAAPQDSRMLELADAVPVQPQPIPQQPEPEVHVQHQ PIVDTTHFNPSWGYDLNLLSHAASHVALEGQQELESLRKPPHTQSVSQPLPPAPDRAI TDNYGVEPSILDLTDLGDPVQDFTVFLESVGLSSDWDSGVFSSVEDPMLPTSVPMDTK TPIREAVPARLGPDVMSDPRTADDAPSFSNFGSRLPSLQPEPHEVDDRLGFTDESPRP AWDISNSDRLAFISKLEEFAHVLPKGFVQPTRHALSRFFAGYINGLNEHLPFIHVPTL SVAKCSPELTLALAAAGSHYRFENSRGIELFHAAKAILLERLRRRDSKQVACPTWNFY SPNAGGFYNSRDSSIISNHAGSPFQHHQHMAGHPMDYAQYIPDDSDAHMEVIRTFLLL TVFASWERQPELLREILSLQSTLARLVREHGLSEPTPSPDPSSWEEWIRGEGNRRTKL IVYCFFNLHSIMYNIPPLLLNAELHLNMPCSPDVWKANNAVQWRRVHRARSGTKISFQ EAFAKLFLKSGISSSSAPISPLGNYILIHALIQQIFFARQLCLSAPTMHGTSLRQEDL NILDHSLSSWKALWKRTPESSIDPQNPAGPIAFTSTALLGLAYIRLHVDMGPCRRLIT QDPIQIARALNDSPPIARSPRLIMALLHSAHALSIPVRLGIDFVARTHSFFWSIQHSL CSLECAFLLSRWLLSIPVTQAEQRLTDHERKLLLWIKSMMDETDMAVDPPGAPDMDFL ANPYKARQLSVAIVRVWARTFKGNTSWAIVDLVGSSLDAYADLLETQP ACHE_20509S MLASKPYSGSLGMQASQTPKPSYSVGSSQKSAGLRPQEGAMFTS PTDSDFLDGQGGLESVRSWDEKQVIAWLHSINCGQYESLFKANNFNGDNLIECDQKIL QEMGIKKIGDRVRIFVAIKQLRNRSVANRKQKNMIQLAALEASTLGSSPSFSSSRQPG KPSSRPVSPLRAQRYGSPAESGRRDYFGYQVSSGSNSARNPGTPGEQTPGVKGSSHLR RNPSMDGLTMGPLPRSPVIRVIYTGGQTKVLDIKHCKTPDEVILCVLKKLQLPEHQYR NYCFYVLDGLDPEPANCRRLSDSELIEVCEAYNRSERGRLILRMTHAGKPDLDELRRA SQLAMDESQITHSNALHNSNTRNQMKIKQITGEDLQRIMRPMSPSSKNSRLSNSDRNP ASKLQSFFGARPPSEMIIHEIQSYFPSHHQKNIEKTMRMSVRRSQRLSRAASRLSVVS NASYASSLREASLRDAPPIPSIADTWLTGAGQPNSQNNAQKTNNHLSRPLSVSSRFNL PQTSYRDSIASSSLQPLQEESPVEPNRKSYVSFDSASEDAATSRQSLIDDENASVAAT DGGSLSERLSVIVAEDEEEEDDELKDFLAGNNFAPKNWMKGSLIGEGSFGSVFLALHA ITGELMAVKQVEIPSATQGTEFDKRKNNMVTALKHEIDLLQGLHHSNIVQYLGTIADE QYLNIFLEYVPGGSIASMLKQYNTFQEPLIKHFVRQILTGLQYLHDRDIIHRDIKGAN ILVDNKGGVKISDFGISKRVEASTVLGSRASGSSHLHRPSLQGSVYWMAPEVVKQTTH TKKADIWSLGCLVVEMFIGAHPFPDCSQLQAIFAIGHNKARPPAPEHASKEAAAFLDM TFQADHHKRPDADELLKSQFLSSPLA ACHE_20510A MATREPSRYLTRSLSRAILPSARPQSVCFRRNTSDDAASKSPAG DLNELESSSLGTAVPADVVKSFDPIVRAKSRKGQLPRSRYQFRSPKYDRGPLHPHRPP RPSDPSSRLFVPGPFSLPRTEQTWQSTIAPDILTLCYVHNPPGFKPPPKAPRLREWDD SSPYHKNRQLRGPRGGDVLRLLRKPIRFNNIPEVERVTIHSYVKQAATENSSWLHVAG MAMQAISNVRVDTFKSKTSVSPWGIAPGRDSVAVKAELRGENMQHFLGKLIDVVMPRI KEWNGIKGTSGDSSGNITFGLEPENVALFPEIEVNYDMYPPKMIPGCHITIHTSARTD KDARLLLSAMGIPFHGKLVD ACHE_20511S MSSFQKLLPRRERHSRYSKHLKEETSNILTRPLFRGFFSSQSAS ENGDNQNQRKIKQLERRITQLGITDLKEEHIGYALQSAHAQGDVDKAFDLLLLLEDSI EGIIRGYTPSTKLLGAENRQGVTCYLDALLFAMFARLDCFEPILHKSFEDEPRRKLAV LLRFWVNMLRSGKLITKDMTKYLQDALAECGWRDASTLRQQDASEAFTFITEKLELPL LTLKMDIYHTGKEDASGDHKFINERLLEVAIPEPTDGKTVTLEDCLESYFNNKIEVKR HLERRNTTRSVDSMSKGFTAHVEAIEVGSTGSSPIRGSSPRLEDMTPLTSVTESIDES ATTSSTLDRRDSIVQERFVPDSSDEGKSDEGKSESGSGRNHARKGSYRKEVMMPAWQF FSLIPWYTDNSPKTDAQVAEHISVKRPILGMCLKRYSMLSNGRAVRRNTFVDIPTEIG LPHFIQDDNMDDDAPIYGNFKLALQSLVCHRGNSVDSGHYIAIVRGTSAGAVPAVSNG NGTEQTVSDDSRYWMRFDDLAAERVTLVDIKQALKNESPYLLFYQILPIDEDAAKANL QHKASSESSDDTLESDAAGIARRLNGLSMGSSNAEECTTGRPSFEITAPIVTETEVVD QHGAPQGSILSNTDPSTQSGGLHVHTTSSTSPRIAPKDKDDDATSSNPFSFSRRGSRV KSNPGSRAGSQNSENRISATFSRFTGRLSRDKIGSDDQSTEGDGDEYAVDNELVGSNS EKFTPSSNESKEKDKDKNARGRNKDRDKWRGKAKDKTKEKTGRRLERECVIM ACHE_20512A MEQGVDLGELAVDITSLIEEHLADSELRTWIMLAFSTTTDTDRV VAATLMMGTFRSTSHIRWCSNAGSQQ ACHE_20513S MALDASSGYVAPSNLAETADSLPMFDVQRVQLQFPIAADFVAAQ VANNVLILALSTGRILRIDLDTPEDIDDIDLPKKSSEIGLIRRMFLDPSASHLIITTT LGENYYLHTQSRQPKPLTRFKGVSIESIAWNPSIPTASTREILLGTTDGSVYEAYIEP STEFYRREEKYVTPVYKLPEAPAVTGVWADNVPSKPDQKRVLVATHGKLMHFLGRAGR QGREGGGSIYADFFQREAPVVHEIQKPSNSAPSVLAVSPGGSDAHHADGSSEKEFAWL SSQGVYHGQLPYVQEKAHQPFEESRMLPRTKFPASESARGGRKLIQDPITAMTLTQWH ILTLVEGKIVAVNRMNEELVYEQSVLEPGQSALGLLTDSMQNTFWLFTGRDIYEIAVD DEDRDVWKVFLQRQMFNEALKYARGSAQKDTVATASGDYLASKGRYLEAAKEWGKSSK GFEEVCLTLINRGEHDALRKYLLSQLSTYKRSSLMQRIMVTSWLVEVFMSKLNSLDDN VATRAELAEGASAEEIREQLNSVRTEFQDFVSKYKVDMDQKTVYDIISSHGREEELLF FAAAVNDHNYVLSYWIQREKWNDALNVLQRQSDPDVFYKHSSVLMTHTATGLVDILMR QTNLDPEKLIPALLNYNKTVSAPLSQNQAVRYLNFIIVNYPKPSAAVHNTLISIHASS TSSSEAGLLTYLQSQPSTPPPYDADFALRLCIQNQRVQSCIHIYSAMGQYLQAVELAL KHDDIDLAAIVADRPEGNNKLRKRLWLLVAEKKIRQPGTSIKDAIEFLRRCELLRIED LIPFFPDFVVIDDFKDEICTALEDYSRHIDALRQEMDNSAHTARQIRSEIAALDTRYA IVEPGEKCWICSLPVLSRQFFVFPCQHAFHSDCLGKEVLEGAGGKKKYIRDLQAQLAM VSGTKREEIVRELDGIVAEACILCGDHAIKQIDKPFIVESEADEWVL ACHE_20514A MSKRPYEESSRPAQHTDYTYQHHDGLPLPIHDLLAPQEDSSSGP SKRPRNFIASVACENCRLKKTRCDESRPRCGLCKSLGLDCVYNERKTSKRDQSLSLIM STLHRLETKLEHLPSTVCNDLQPVLRGQVQALRGTKETKSAAHGRSKSNSSNGNKQAF SQCLTPDMGPPDDFEFDEHPTTDSNGHVSISFSQHGVILWPGAREILPERLLEAHEQL GKNYVIDLEMKRPSLPMYICPFPPQAADNWLETLPLSTVKGLADAFFATFNPFTPIMD KNFFFAFTLGTAIESSFGYTMESCLLLNVLALGCLAVQTHQEGNYPLPGTRSGGFEPP DWMGVIDEEPPGLRFFNEARRRIGFLMCDNDIQSCQFYLLSSVYYSQILRPMDAWAMI HRAATCCLSMLTNHDVSFDEWEGDMKSRVYWNCLMNETILVQELHLPPSGLARLEEFV PIPKFIPFQTAGFVSASLFSPTGEIDDAFFQYHFLAQVAHRIILTRIRHSLYFYSDSG TFPLPAVNAELLHQLEQWRINLPPALQFSNEALSTPAPAPTSPAQPATSTSIDPLTDP PPSPAIAVTDAMLRGRYKIAKFHIGRPYLYKALRIPASLTEHDLEQVRTGLQNAMDWP VMGGVFREMKSCIPIKFAFCSQFFGQILLFYCISHSSSQSLRDTLPDGWERWNSEMWR FLEDCAQFSPAVAQDLELLRLL ACHE_20515S MASMKNKFVAGQVLDGRFRTVAPLNHGSFGMVIVANDNQTGQEV AIKCIAKASSTDTMGPLSVDDRFEELECHRRLPHHPNLVNLIHSFETDTHVYLVLEYC ANGDLYEAIRLNRGPLETEHVRDFMLQLVSAVEFLHANGMYHRDIKPENIFLTQDGSM KLGDLGLATRNSWCHEACVGSDRYMAPEQYDPGTTGYSPAQADLWAVGICLLNVLFAR NPFAMPAELDVLYADYVRDRQSLFDIFPNMSQDTFEILGHSLALDPEKRSLSAIREGI LRTLSFTTDDEPLDEFCTDDREVVPASANREPLRTPSIQSPHVNQGDSFPWAKALQAS PPQPVRQLSAIPDNDSYTEDLFPASETAGTSWYSLHQGTPSVESVLDSTLGESYKSTT LHKPNTTQHYPPPSDPVPITGSLPSHANKRLPTLSMVFGRNKNDQISKSWCDLWDEEE EEESENEDWMVFQQQQEHNPRSWSHESRDVDSGVDIQTDLQESASSETIHSSQDDNKG AAAPLGDCTKFGVVTVEPVNIRRESTPLKETATSPPKKSLLDKWAALGDKRRGAKARE ESFGQKKSTSNCTSWRRDWGLGSSGFDYKSWTRKANTTCHERRRPFLQKDWRRDAPDT SKPRPPSGYDGSVDEDLDLVGGWHELHL ACHE_20516S MLAPGWVYWTICALSIGVRNVVGDEGLSKSICPARGETDVQLAT VQWPICVEDRWTERETGDSVTSTTTTTVASTATDSPSPGGVDEQAGHEDLDTESPLDN ANFLSFEDWKKQNLAKVGQSVENVGGSRRSGAAGKEFRGRPTGINNALDSLGEDTEIE LDFGGFGTTQEATAATAKPTPLGTAVQPGSGLGGVADRDRHIEEEHALAHSVPRTGMS RRKDAGTTCKERFNYASFDCAATVLKTNREGMGSSSVLIENKDSYMLNECRAENKFLI LELCDDILVDTVVLANYEFFSSIFHTFRVSVSDRYPAKLDQWKELGVYEARNTREVQA FAVENPLIWARYLKIEFLTHYGHEFYCPLSLIRVHGTTMLEEYKHDGDVGRVEDEMDE TLEAPAMVEGRVNHAHDFPATSTELDSTASAEAWFNRGKEIETLLLKGPFGMTDMCGV HATSADVAGFEELTQTDDSTTPGINDATSVSSVEDTATTSGTDSASKEAMVDVQKSSG SVNSTSTTLTMVSETTQQNATETDPQKEDTGSSSPEVIRPTTTTTSTTQPPSPNPTTQ ESFFKSVNKRLQMLESNSTLSLLYIEEQSRILRDAFNKVEKRQLAKTSTFLENLNRTV LDELKQFREQYDQIWKTVLLEFEHQRIHHHQELYAMSGQLGVLADELVFQKRVAVVQS IMVLVCFGLILFSPRGVVGSYIDFPSVQNMVSRSYSMRSSSPTFGSPTMSPSSTRPAS SYRHNHSHSHHRRNISEDSENGGLSSPTIAYSPPTPTSPTSDEEREERRDDGGGDGDA DTLAMSPVESHVRSRSSPPVLNGCVNDGHADYETVESPASPTSPT ACHE_20517S MDAQQPLADRTNTHTTVSHEKIDDLKSAPANLNSLEYHRQVLQG KIEGDKGQGSYVSPSDDIMSPCSKKLSDLKGKRFKNVGKPQSLFAKLGKKNFESSQQQ QAGDTGEGQ ACHE_20518A MDYADQFLRQFITYVLTHPAVVRSPPSVQRTLRRELKVFILAHL THAEDYARFHSESLTVDRTTEFATPRSSYCSGVRSTSADHTSCPYSSHFLSCLIANPG EPAFKEARQRYLAEDMCRHLATMCRQ ACHE_20519A MGVEQVNTLQIHFPDPETPLKEQAETFDSLHKAGKFKNPELLQE FIDICEANGYIKPTVYQGDYSAVNQGMEKKLLLILKKYGIAYNAFRHTLRRRWSHEQI HAEPLQPGSLHDAVKQLDKTTRALGTTTIDAALRWAYYHSLLEESDGIILGASSVKQI KSNIESVSRGPLPQECLGTFERIWEILWGPVKGDFL ACHE_20520A MPISPMCRDPEQYPDPETFDGYRFYKLCQVKEQARCQFAASDRD GPGWDFGKFACVNLSNTDQTGDDGIATAL ACHE_20521S MSASARIPPIAQPFVSDRARKTLDLVEEFVEKDCIPADPLFQAQ LDAAPSRWQAHPPVIEELKTKARRLGLWNMFLPKSHFSQGAGFTNLEYGLMAEYLGKS SVASEATNNAAPDTGNMEVLAKYGNEAQKGEWLGPLLDGKIRSAFLMTEPEVASSDAT NIQLNIRREGNEYVLNGSKWWSSGAGDPRCQVYLVMGKSDPTNPDTYKQQSVILVPAN TPGITVHRMLTVYGYDDAPHGHGHITFENVRVPISNMVLGEGRGFEIIQGRLGPGRIH HAMRTIGAAEKAIEWLIARINDDRKKTFGQSLSSHGVILEWVAKSRIEIDAARLIVLN AAIKIDQGNAKAALKEIAQAKVLVPQTALTIIDRAVQAYGAAGVCQDTPLAYMWALIR TLRIADGPDEVHLQQLGKRENRSRKDAIVSKLNWQRAETDRLLTANGFGKIKSHL ACHE_20522A MVLAKSKNSVGLGNSLMKDRFGKGKASAQKKVSHNAAVARVDMN GETYVTPGAKEAAWVKMRSVTEQGALDEFLSTAELAGTDFTAEKMSNVKIIHADQKNP YLLSASEEKSAVKKHQKNKARLTVPRRPKWDKTTTPMQLEAMERESLLDWRRGLADLQ ENHDLLMTPFERNLEVWRQLWRVIERSDLVVQIVDARNPLLFRCEDLELYVKQIDPKK KNLLLVNKADMLTDKQREMWADYFERNNIPFRFFSAHLAKERNEARLLENESGSEAND LADAAKDLNIEEQKKQEQEGENSRRTDILDVDELEELFLSNTPDTLPLNQEAGEQQKH KTVIGLVGYPNVGKSSTINALLGAKKVSVSATPGKTKHFQTLYLSPEIMLCDCPGLVF PNFATTKAELVVNGVLPIDQQREFTGPAGLVAHRIPKEFVENVYGVKINTRPIEEGGT GIPTASELLRTYARARGFSTTGQGQPDESRAARYVLKDYVSGKLLFCHPPPPAEGQEQ IDPLEFNKELYDMTHLPARRQAVLAKAQQADNLDDVESELASLPSSKPNQSEEGQRAR NLDTGFFAGSSSSAGRMTLPFNSQYTEQGQQMRKQLTGRKERMMVAMERGVDVSEVKG NSKKHFKGNKKRAKGKRAAGNTEEEYY ACHE_20523S MPPRKWIDKKNAATYQLFHRSQHDPLIHDPQADDRVLHQVGGPS LAASDASKRTKNLHDLQDEFGTDAVRKNEGEAANYGIFYDDTKYDYMQHLRELGTGGG EAHFVEASSKDNNKGKNKGMKLEDALRQVSLDDGRSTAGGHSVYGSQYGDMLSTTSSY VRKPTYQDQQNIPDSIAGFKPDMDPRLREALEALEDEEFVDDEDEDVFGELTKSAEEM DPGEWEDTLFDVEEEDEDDGWESDATEKAPVQTGKTDIAETDDTDAASGKLPDLNEPI PDQHPEDQAWMREFAKFKKDAKSKPAAGPAAPPSIVPSEQRSTLASTVFTAGGTPVRR KKRKGAMTNPSAYSMSSSALARTEGHRLLDDRFEKVEALYALDEEDEEGFDDSMSMAS GMTGMTGMTGMSTASSQAPSLVQANGTEVPPAHSFNNIMDDFLAGWDNNTSAQVKRKG VKMKRGKHGNEAIGMRMLDEVRQGLGPAKFNGKVPGRA ACHE_20524A MMFRRIGGHALRCAGRRPAARRKLPDRRFSAYTTSSPMASTASS ASPLGTVAVELDRIAPRFEIPASQITILDSPSSFYSTLKDKIRKARRRVYLSTLYIGK AEHELLETLDQALRDNPDLKVSILTDALRGTRETPKASCASLLASLVANHGSERVEIR MFHTPNLTGLRKKWIPRRINEGWGLQHMKLYGIDDEIILSGANLSNDYFTNRVDRYHV FSSKELADYYGAIHHAVCNLSFLILPDPHSISGYLMDWPAANGAPSPLENPDEFTEYA STVLNPLIQPREKPELPSTTESSSQTYVYPVAQFTPLLKPDTSTEYPAVTAILRLLSS SPAFSGAQWLFTAGYFNIHPVLSSLLIHSTSACHTASTTRGTVLTASPWANGFYGSPG VSGMLPAAYTHLSARFLDRVADVQRTNSIQLKEWRRGTVGQPGGWTYHAKGLWLTLPR EENPSLTFVGSSNYTKRSYSLDLEVGALVVTDDQKLKKRMGEEIEWLQKDAEGILRED LRRTERKVGWNVRLAMWIVEKVGGAL ACHE_20525S MSTITRTLRNLRRVGIKDAAHQMQYIGDTKAGTFIGTDRYGNKY FENMAEELPLRTRWVDYKQAEYDPSQIEPGWHAWMSYLVDQPPTVDKFMQTGIRPWEL PEHRPMLTLSRGAYKTYSTTRPKVSAWTPVAAPR ACHE_20526A MTGDSEKAQRYISALDTARCQDKWDEVPELIRKVTKHAPHKTYY LEVARAESKIAAYDKERPPTAQACSSNLHELVPSLLSIVEKPDASPQEILQTQACLGW IHYTLNEVGIAASRLPDDFGETANDISSDDQEPSAWTRVCLVKGCYFKSAGLADSKPN DALEAISSLTPWLSSHSQTFSSTPQFSYWTEKLLGKGAQLTGDQICANTVIVDDKLMG TALTSFRLWSAHPAAKQSSSSKGLKLATWKSYYDVLTAIIQHGLPYVPATSEPERPQL ASELRRVESVYEANLLQSVMFPTANCTNSQVEKWVEQVIANWEVLCGGHWRDEDLGEG GQSAVSRNVLDILYRAATKTYHSHLILRRLFHVHSAVAEFDLALKALDSYLEIVIGAK ERAEKAAESGELEDDGTLLRTLSEGISMLCCFGSKKEAEKARDLVTLIQKVVDKHAQG NSSVQQNDQQPDSQPTSPPYSGDIPPAVFAMAYRAVGIGLANWANWTPVNEARDDIRA EAIEYLEKSIAPELEDELNYSSLYTLALVLAEDRDLDGAIDYVKSALTSNTHPTNQAD LARERDLVSLWHLLALLLSAKHDFAMAERSCETAFEQFPAAVSSHGKRSHKQQLDTQL DASGLKHALVDQFRGREKERIIETRVTQLAFVEIMEGPEAAVNHSHQLLSLFATLFQN LELEGEDNSKNTKIDQTDHLVPPKSSAGTVKTFRGSIFSRHRASRLPERRVESGVDHN TNGAREQPPSAEGAPSIQITDEDTHSPGSSGQGVGKSDSVKQRFRRRASSVKKPDNLP KHDYMANGEGIPEAPDTGTAQPTEEGANNQHTVGIAVSEAVPTSDQSAKQPLWPIAHN MKHTDQPYPTGHPKQPPEQDVRLPTSYRFDSPTNAVTRFPISQAQKHAIGILVKIWLL IAGLYRRASLFDDAQEACEEASKQLNRIEALVAAQNASAKSFSSRGWGVTRSSEELWA DLQAEQGYLSIAQSRPHEALEQFETALMRDPDHPRATIGLARLLLDIWDQKMPAETPQ SEVTHDASTLSLLPSSKQKQQSDSARLLNVTEPHKPQDIKSASLSPDEEPRFLNRLAA RDRAYGLLSALTKRGSSWDNSEAWYALSRAYEAGGQIDKLKEVLWWCIELEDTRPIRH WSNIGSGLYVL ACHE_20527S MATTSLRAVTHRLTTTPVQQLPLIASFLATSLSDCGELLSAPQN QKTGKSDSDNAVQIHKLKTRLGSLLQDRTFEGRWTGVVLVKATVEAGQWEVLRGCEPL VRSLIAILAKPDPVTTKKMCIITLTRIFHLTYQYPTLVREITTPSLPGFITSILNLVS VKPSSEPIRKLRPSTPFLEIVLHAILELIARHPTIFRPFSAQIHSLLQAIIGSTSPTY PEPVVELAQRLFISLHNCAPKNSSGDEWRNATMFTISSIHRASDYVFRGIIEQWESVD PNLRQAAAPQDYTQEAGDDGPDPLGLSEWRGIHSGVDRILALLRLLSTFFSTPTASAV SIPMGQILDLTSRLTSVVVPTESGDVQANPQISREERESLWTELPRIHTACMKLLESA VNALETGTLSVAQTILEQGAWVFRAEKFSRKVRVSMYGLVRSFLPIVGPSMTKQTVSS LTELFRTCCVDLLPPVDDSSSSAGLSTDAKGKSKASQVTVNADSFLNSGKQGRQAKQG PSFPELKRAASELLPVILTYVPTELLAPSLRAEIDRTIILTADKNAMLSSVLNPLPAV RGRGAGSSIIPFLVRSYTDEMEVESLVRPRMPVLMSTPDVNGTVVVDDDEDEDEYMSR PGYQLTSQSTGFLRTSPPPAAAISNNLAASVETSQTYTPAPAPIPSLNKRVYEEPTLQ APVAQPAEKKEDAQVKRARFEKDISAASAPAQPSLAKESPATFTGAPAIPVEQSSVTN ASAPQPVQTATSFPKPVPATNPDVKTPATGEEESDDEMPTLNLESDTDDEDEEMDG ACHE_20528A MKYTSAISHNALAQRYQSRLDKLIEHGDAKPFFLWHMLVLFGLP LSALLVLHHDGSRFIRRSIFAIILGVAYDLIENRRSQLGANGYMLGALTTYWVIWCAA LLIFNDVEKQFKRIERRAAPANVGPEDSGFIDMDKDGNLDGCDAPTSASDGGSTPTVD QKPGDNLTKRQGSEEPRSQREVLVWQAYPRSFLHRLNWAFDLLSNMRGPQWNWRVSTM GPLPASVNAQLNPGKRDTSRELSDSELLDASTRLKVAFGSFVKSYLLLDLVKVLMMRD PYFIGMASPDIPPPFPFDYLSAIPNGVTLYRHMLTGYGVYIALCFGTSFGPLIFLGLS VAFPTFARAITSVPLDAPWLYADTFGPFFKSALEHGLAGCWGRWWHQLFRVGFTNAGR WVLSLLPQRLATNNYIRRAVITFAAFSLSGLLHAFGSHTQLGDTKPFGPFMFFILQAV GITIEGTFKSVILPTLLPYKLPRWLRWTGNALFVYFWLTTTGGYAADDFAKGGMWTTE PIPVSPIRGLDLGIKGEGWWCWKEPWFSRIRRERWWESGLQVL ACHE_20529S MAPTMGKRDIDHVDLTGDDDRYARAQKASRIAPVVSLDEEVQVV PSFQPSQSFAQIAQGEEDDEAADLVQGSQDIDYINTYMLYGRMPTKIVGVRFYNGQAT IGERVLLVREPNNPYDRNAIQVKNVMGNQIGHIGRNVAAKLAPYIDSRDLLVEGMLTG FKGVYDCPMSLSLFGTSDTSKIQELQQRMERDKLPVNEVKKTEREKDRQRRKQLQQEL KSKGRPGESMDTLASQSTIFNPRDVNKVVEEFGLNESDLANMLMADSPAALATELLHY QRQGLAWMISKESPTPPPSGSDAVEQLWKRKGNCFMNIATNYTTSTAPPLASGGILAD DMGLGKTIQIISLILANSQPKTPESSASTLIISPVGVMSNWKNQIELHTKKEHAPRVL VYHGTGKKEAANLSDYDVVITSYGALAMEYNEKSKVPPKRGIFSVHWRRVVLDEGHTI RNPRSKGALAACHLRSGSRWTLTGTPIINSLKDLYSQVRFLRLSGGLEDLEVFNSTLI RPLSREDPDARLLLQALMATICLRRRKDMNFINLRLPPLTSRVFRVKFHAHEKEKYDM FLSEARGALSNFKAKEQTKESTYSHVLEVILRLRQVCNHWTLCKNRVDKLASLLDKHK VVPLTPENIKALQDMLQVRIESQETCAICLEILEQPVITACGHAFDRGCIEQVIERQH KCPMCRAEIKDTTTLVSPAAELGESAEFAVGDPDDPSSKIEALIKILTAQGQTPDTKT VVFSQWTSFLDIIEPHLRANGIEFARIDGKMNSIKRDQSTHTFSNDPNCKVLLASLSV CSVGLNLVAANQAVLADSWWAPAIEDQAVDRVYRLGQTRETSVWRLIMEDSIEDRVLN RQEEKRKLMLDAFRETAKKKKGEDRAARIAELEQLLA ACHE_20530A MATDDGDPLDWTLDEVVDFLCHNPQTPWSNSVVRNRPDPVAFEA ALRDNFITGEVLLHDVDLKVLQEELGIKAFGHRSSVARAIQYLRRRSIKYQSTQEKPN IRSEDSHDVPPAPSYLDQMSISHVGTPQREFPPNSRMMTPPVSELGASRGKHAEHSLA SSRESFDSRKRNEMTTETDSENRVQESAIAVDGERRKRRRIQPLLQGQEVTHKKDTSK LEDGWVAKEWYMGPHKVQSAQLFYPFSSEDNDQTFVMLGPKFPTAQRLFVNKSLNYFH KQHPIKLPCEKGKEQWAVIPYRGRNEETRRYFTLYTSRNGKVIVSQENMDKWPLLCKS QDQREGLSPSDPFAYLLQKYPIEKDVPDEACPVYGESGSEGDYDEDTWQEIDDEQRDT ERGKPTKLSPSELETVIKECAAEYENKWHEIKKQKEEQGAWKLWSRARRSRSTNQQIK FLTKEIELQERRLKKVQETIRGNEYYAISELKLLCQSMEHSVFNITKQRWRISVLEQD KCPPKVETPQKQRPKPKPKFWIDDEESLSSEDSDVHHNEPLDDFIDDSEMQNVQGEEF DRTDTDMQDLEDERPGRNPLRTQSPIRLSSSSSDDNIISPSGKRRKSKARKRNPLSLR SSSSPDVPAKEQKPEPKTDLIDLTMDTPSPADDLTIETPPLNPMVPNKSHPISANVAI KSERSSISPGPTLPQRISVEIPTFKQSFTKPANNDLPDVNDFPALVKLSWTRIEERSD RGRLLAKLIGGLPDGERLTMANHIPAYGVKRLKHHIHDALNALTESEKQIPGFAPFKN QLVMRTASLYISYVNCVHLSQEGISRRQIVEAQMSLSGFTSFFEVLCKHLAAYYDWKL NEETPKKNSPHKKRKREVKESQHTKLNQVSAQERLQNQEKQREKLQKTWENMGISNDD PRHQVVSFGDPAIYLPSHIGSHVKPHQLRGVQFMWRELIEDENQQGCLLAHTMGLGKT MQVISLLSTVAAAAASDDIRIRKQIPEHLHRSQTLVLCPSSLIDNWLEEFQMWTPMKP NLLGPMRKITATLKLEKRLKKVEDWNKEGGILIMSYDIFRTWILNKETKARGRLFLDQ ESDQDAVQGQAKGWKCLSDEQHERVKKWLLDGPSIIVADEAHKMKNVNTGIAQAAVQF RSKSRIALTGSPLANNLTDYYTMVSWIAEGYLGEYKEFNANYVEPIEEGLYADSTHSE RRKSLVKLQVLKEILEPKVNRADISVLAGDLPPKVEFVITIPLTKLQKEAYNLYVNAV YGEVGDVGNPKLWSWLAILGLCCNHPKCFLDKLNNPTDEPPKQGQAALPGEESIDQVG LPDSESLVSRQRPLFAAVPDIKALDLSYRTLMLNKIAAESVKTGDKVLIFSHSLPTLD YIEDVLKRSNLKYCRLDGRTSMGSRQTATKAFNKPGPEQIYIISTRAGGLGLNIPGAN RVIIFDFQFNPVWEEQAVGRVYRLGQRKHVFVYRFISGGTFEEKIFGKAIFKSHLANR VVDKKNPVRWANKSVGEYLKPAGSVPQKDLTEFIGKDPLVLDKIIQSDNGPEKIIRKI TLTETLQREDNDNLTEEEKQGVQMHLSEERLRRTDPRAYQALMMERAAAAQAKQAASW AVSAQVQANQPNPYYIDQRGTTHSSLSHHPHPPQLSHNIGPRPLPPDTSISRPVPASP VNNSGHPFPVPSSHAHPPISDNTTTSIAGSAPQKRPSLPSKPSVNTASVTAPAPPQRP PPSNADTSQGLNPTSAASNQPGRNKEAASTGSDTMSIDGDDSGDEQAFESPPEERPAA GNNAKSTKAKTNCNTQ ACHE_20531S MDNTVYSSYPPQLDPAQEAYLVTTIKDWAIQNGLAVRPHPSFVP RETDPKGVLATNAPVTLFPSPFPRSCFEEARALQTVYNRLYAAITCDEAWLGKIMEDL IDVDDFISHLWKVHLAVQKEGYSQTLSLGLYRSDYMANVSSNSSASLKQVEFNTISSS FGGLSSRVTSLHTELLGTPGYPSHHLLDSSVPPENTAVETLSAGLAAAHKSYGPSKSA PALPMCILFLVQEDERNLFDQLALLQQLTRVHKVPVFRLKSTEILNQTSIPSSNPARP LVYHPPQFPGAQYEVTTAYLRCFYAPSEYKSEHDWEARTHLERSAAIKCPTVLNQLCG SKIVQQVLAETTGPDHLAKFLADTDPVKISRLRATFAPQYDLSSSGRGRELALNPETA ANHVLKPQREGGGNNIYKSDIPDFLRSIPESDWKRWILMELIHPPASAKNIALRSDGE VLGGDVVSELGIYGTILWDQKGGNVLHNEQGGYLLRTKAKEVNEGGVASGFSSLDSIV LF ACHE_20532A MDPSLIRSCIPCPHITIPDQYHTCEHSHHSSYSATDLPPILFAL EQKQIGYLSEIEDLIENGNKVLDWEGKPIRDFSFLPRYISIDVPGWLLEYWSRTDSRL TYKDIRARMTAPVLKERTSENTLNMRREREARGPLTLSCWNRRRNPLTRMEVGRVEHR SVDEISYNTTMKVLYNSLSRPVALRKRGLVRTENGLVYTTRNQPIYALNTFLKPGEKS HTLGPRLRETFSLYNRLAAKARELNCRSWQLLPADDLPKQWSESKELKEVKSVAKHTF AWYKEGGRAVEDSPAAEATLVRQIELGQIQVNRG ACHE_20533S MTESSSFRVIVVGASMAGLTLAHCLDKAGIDYIVLEKHENVDHV SLGGFLSVQPNGAQVLSQLGLHDTVAAAGETITVCHTGFPDGFGFSDYWPDRLHKRFG VPMTVIRRQELLHILYSSLQDHSKILMKRKVIRIGHTDTGISVWTADGSIYHGDTVVG TDGVHSVTRSEVWRIANSEKPGFIPPADKTSLVTEYAAVLGISTGDTGIKRGEQIFRF IDDSVIFLFGGKDGSVGWLLVQKLDKKYIWPDRPRFTQDDAARFCEALLDVPIWRDTK FSDLWNRREQFAIISLEEGIVQQWNYGRIICIGDSVNKMTPNLAQGANTAMEQAAGLA NALYKIVKQGQQTKKPSESEVSNALGEVAKKHFAHINTINQGSYFLTRMHSRQGWAKT FYGRYIYPRTAWGVVPYLAWLFSDSVAIDYLPLPANPVGKAAIARAAAEAVANGKQST WNWAQRSTVVVGSVLMALGASFWFKPF ACHE_20534A MPENHPQPDRPRTPDRTDSDITSPHPDQSHWSIDNLPSVLYALR PGTQYIKSRARVRETPHKIFNKHVRDFPVLPSRISSKVEGWRLEAWFRLDRRIEAQDI LDRVNPRFRSEVSSLEIELRREEFRRLFHVADWKSQASINEVARVVHKRGVDLGLNTT RGVTPGLVDPERGEKGGRIPVPAGQKREKTGVVASWPFFVMQGVRKQSMKSEACFWPS GSKGYPLELGARTANGGSGEAQVSHSQGFGGQPSRSVSSVPSARKAWVEDYVE ACHE_20535S MLRLLPQSLPKSASKLTPLYTLYLSCNTKPGARRPLPANASGTI TAMRDDKVDVSVSAPPKDGEANTAVRRVFAKVFNTAPSNVEVIRGEKSREKVLRIEDL VLDFGRRELSGEERIEEGLRIVKGKLREHCL ACHE_20536S MSTQDTQPATQAQPPLEQKASKRKPSDMQPPIHFTARDPPWTYL KLQLISQAQANFQAKNDTPLDPLTARTYLTAALAQFLGLVGTSISIDILKIASSSTSK SIFSATPTSENVVWIRVPRPDAAAVVAALSSWIGGTTGNGGVAWRVCAKGNFLGALVA GDGRDLFVP ACHE_20537A MPTLGDASDVVSNETPSPSPSPTPGAGPTRSQPHIHVELSNEPP PPRRITPRPSFLENLADSRERQFMLDRRNSTDVDKYFHGPRDLDKHSKWPIFMRLHGS VMPRLVLPLLCVALWSTLITCISRFIQNLGIDNILLTVLGFVVGLALSFRSSTAYERW ADGRKYWSLLMQVSRNLARTIWIDAAEREGEEGKQDLLGKLTVMNLILAFAVALKHKL RFEPDVGYDDLKGLIGHLDTFAKEAHDRNNLKPPRKSSWKAAGEYLGISFAESNPRKL IKRSKKPLGHLPLEILNHLAAYIDRCIGNGTLSCSQHQSQAISGLATLNEVLTGTERV LDTPLPIAYTIAISQIAWIYVLVLPFQLYTTLQWVTIPGSIIAAYIILGLDTIGSEIE NPFGHDVNDLPLDTYCRQIALELDIITAMPPPKVDDFTTRDENLVLYPLSTTGFPEWK DRSVEEIRSALRTKVVANIPASASTTTIYGSSKSARSKQSVSV ACHE_20538S MQLPPMEVLLSWPLPNYVDPVSGGPAVLIVNIVTMSLAFLLTLL RLYTRLRITCTPGLDDIFIVVALVFAIGMCVVTSLAAQVWGWDRHIWDIPMSWLPTVQ KLNLAFQVQFSVSSSFTKLSLLWFCKRLIGTGAKGLYRTYYWVLIGSMVFVGICCILF ELVSIFQCRPIHAFWDLEPQYPHTCLNDGAAVFSASLINILTDFMCTVLPMPLIWNLK LPTRQRLAVMSIFGLGIVVNVAGSIRTAYVYESMLGTYDATWMGWPILLSAAVEINLG LICASAPALRPLMASFLPRLLQTTRQYAYSSSKRASKLWSTGPSKFSNALASNANGDL EAQDARLEVLRTVEMESWSEAMNKTITVPNMYNVTSSHTRAPSAFDKVDLKTGEICHA SVPSSSSGPSSPPPSQPRSPLEKEF ACHE_20539A MPVMAKDVSPSPSVADLNACVSTSTGGHHHHPRPVAKETTFREW MVANQIGISLTILAMLLACHNLYPSLRPYTTPFFQLSYYQPLQDVYVQGWDDLYFVLS SAIAFTAIRAITIEWVFQPLARKAGLKRKASVRFAEQGWLWVYYGFFWTFGMYIWSNS DYWLDYTAIWRQWPARGVSGTLKWYLLVQLSFWVQQILVINIEERRKDHYQMLTHHII TSSLLGSAYIYGFYNVSNVVLCLMDIVDLLLPTAKILKYFKYETSCNIAFGVFMFTWL IARHVLYLQLCWSIYKDVPAEMNYGCYSGTTAEMLTTDGYPDRWQYLFYPFLDIDGPI CMNRTIKWIFLSYLMSLQVLSIIWFGMIVRVAVGVLRTGNAEDTRSDEEDEGVESPGT AQVSSKDGTAGTTIAADTASADWRRANSSSNMRPRGRGRLPLEQSDRKALLGRIGCDK PT ACHE_20540A MTELGISWGTIKSLLIFFAPVLIPRAINLYRDLRGTIASQQSPR PLPAFANRALNVLFFAITFFLLLSLPFNPRAPSPNIFTQTGSRITTPTDIIFTRLARF RPENTLTPADEALKAKFTTIVARKVYLRFGPEALAQCQFCSLDHVGTYILYYLPFNTL LPHLLNMLIVGLVTSAPFAGRDAAGWRNKFTLAGLALAALDIYIVTTYDPIQYAPVIV RAGMATPSDLYHQIGLLRPLLLTIFDSVCAGLIYLSATNRLFFEPPSQAEQVGQLVDM SLPAIAQASSKLHALSVTRNAVVRDKVLKDHDDAYWRAVVSMNGENAGAGVVGNGDAS IWEEEEVVKAMSQAMAGQGNVDLAKLGVNANEYVNEVTAALDE ACHE_20541A MKASWKARLAGPGYVILNGIRVINIITFLDIIAASAVMLVKISL TSGFFFFEAVTHAVTAGVSIFLLISELPWLRSYFDRDWPLLGHNSGFITLGVAMFMLG AGVLGNLNRKDMGQDHLGITFWRIVLSAGVLGLVMSAINLVSSFVFADGGKGVTARQI RIYGAVAGQKGEIPRTGSHRIFRLSMKREDTLPIYSPQAGRRSPTDRNSTRFPLKIEK ISSPPPNDAASSKYSRDESGIAPPDLAHHPALKAGYI ACHE_20542S MFFQINNDGLKTFLRTLVPGEKPNIDIVAIHGLNPKNKENHAEK TWESGGKLWLRDFLPKQLPQARIFLYGYNSNVAIQSSAAGVRDQARNLLSRLWLERKG CETRPILFIAHSLGGIVVKEALVQAKLGDIYSSIFIATFGIVFFGTPHRGSSLARIGD VFAKVARAILNTPSNTFLNALKKDDLYATELSSNFQQIQEHYQYLNFYETLPLKSFNL IVEGSSAVLGLPDTREKKIALNANHEEICRFASEDDENYRHVSALLVDLVKRAMDSFE EQFFNSFHSTLVDGLVEERKPSFFMVPYMRNEFFVNREPITQKLRDRILPIGESHSRV ALFGLGGAGKSQVAIEIAYQIHTELPHVSVFWIHANSIERFREGCHIVDECNIPGRNE ENCDKMVLLKNWLEKEHKDWLMIIDNADEASLFSSKAELSRDKTGTNQSILEYLPESP HGSILITTRNRAAGVKLTRNRLSELIEVNTMTEDESSRLIRSTLSDNIPTDEEIREIS VLLDHLPLALTQATAFMQENVLTISEYIELYKDSDETQMDLLSEPFETLGRDSQVPNA VANTLIVSINQIKKQDPKAVEILSLVAFVDQHDIPKSLVQAKVKRALDLTKALGTLKA FSLITANERGNFSLHRLVQLVLRKWLIIEDKFEDQAIQTMDIIAELFPNATCGQWTAC KAYFAHAQSVLTFLPGLHGKLFRRKLYLQEGIAYYLWSQGYYDEAEKIDILVMEENKR EFGMGHPETLESMIALASTFEKQAKWAEATSLDQHVLEVRERTLGPTHDLTLTIKLHI AENHSNQGQYEEAERLTSEVLATSKSVFGPEHANTIDAMTSLGTIYVDMCKVDEAEDL TTHVWEWRKRVHGDEHDTTLDVATTLGIIYHNQDRFQEAERLTLQTIEAKERQLGLKH PSTLTSKGNLINIYQSMDKWDDAEELALSVIKDHSERVGPTHFDTMIEKQKLASIYFN RGLVEEGDRLDDELLRDSIDNFGRDHPFALGCMDRTAETRKRQKKDVEAARLLAELVS RRERTLGPYHNDTLIPFKTLCDWCGKDEAIEMLLEAEKELP ACHE_20543S MARGNQRDNAREKNQKKMAQVKSKNTMTGSEQQRAREDVAAKMR AKQKAADERKAAEALAGKK ACHE_20544S MLSLVLTFFLIHVAIHLINTVGATTIDNLLWILYLKLPTSTSRQ AREAARLKREALELKRDMNNTSSQDEFAKWAKLRRRHDKTMEEYEASSTSFLIEGGRG NGEEKLTSTDKALSSQKSSFDWAIKIARWVSTSGLKLFLQFRYNSTPVFVLPPGWFPY YVEWVLSFPKAPMGSVSVQVWSSVCGVTVRVLAEILSTVMLWVGSRIQQRRAVPVKAK KTQ ACHE_20545A MAPDKKANKRKAAAAGASDSPAKKTKKVESQPSEAPKAVPKSAL KNKQNAPAAKETKSDAAPKTNGEKEQPKRQIKPRKRAADFLSSDEEDEFEVKETEPEK KKPTNKNTKKEEKEDAPAKKEAPKVGKASTKSSKKRKEPSPEKSEEDEADDNASASEG SDDEGEDDQTAALIRGFESSGDEDASDDEGFDPKQPVPNIPDSKKAKRKILKKQKKAA EEGENQTPGTVYIGRIPHGFYEYQMRAYFSQFGEITRLRLSRNRITGRSKHYAFVEFA SSAVAKIAAETMDNYLMFGHILKCKYIPSEQLHPDIWKGANRRFKKTPWNKIEKKRLD KGKTREQWTDRIEKEQKKRLAKAEKLKAVGYEFELPELMDVDHVPVQEEPKAIEDSTP AEEPVKAIEESKETETETKENGTQKKSKKEKKAAQPAAEKETPKKTKEMKESKKAEPA AAKTGAKAKKADKKKAKPKA ACHE_20546S MGKKKNATKPLTQEEIWDDSALVRTWDEAVEEYKLYHSIHAKGE NVEDILRQAEAGESGQRIGPVEEEQPEEQEQQMETNDVEAPEIVPDEAGRDMPEETTE SYQPPEANPIAEAGTGTAPAAAPTMPQPVLSSVQDEGLKNLMMSWYYAGYYTGLYEGQ QKVNASRNANS ACHE_20547A MARFSLSVLALCLFLAVFATALPAAQNNRPDPNAWAFHMAEEMA DSTSPTPAHGPATSSHARLHAPASSSATPSKASPSASPTPSSSSSPAPPQETINGGET KSAFDSIPILGPLLGSLLGGK ACHE_20548A MGRKEAGPAYVLGVGMTKFIKPRGKVDYHELGFEAGVKAMLDAH INYDDVDQGVACYVYGDSTCGQRVFYQFGLTSIPIYNVNNNCSTGSTGLAMGRTLVSH GAADCVLVVGFEKMNPGSLQSMYQDRANPTGLLGRMMAETRGISNAPGAAQMFGNAGR EYMEKYGAKLEDFAEIARVNHEHSKRNPYSQFQTEYSLEQVVKSPMIHEPLTKLQCCP TSDGGAAAVVVSQAFLDARPHLKDQAVLIAGQQLATDTPSLYNRSSIDLMGFSMARNA CRAATAEAGVNVKDIKVCELHDCFSANEMITIDALELCEPGKAHEMVSKGDITYNGKM VINPSGGLISKGHPLGATGLAQCAELVWHLRGWANNRLISGTDSALQHNLGLGGAVVV TVYKRADGKVAAPVSSAEVGKINGLGYNPAVEAKGFTAEQAKSVLSKKHSDQWALADT QDKVLARF ACHE_20549S MQTHNFPNIFQCIRYLWRTEGPRGYVAGALPPLASVTVVRVINF STYSNAKHSISGLFERITGESPLAHYNRPGSGPTASSILTFTLSGFCAGAITSPLACP FELTKNVVQTSVLVSNRSMAAPDAVRDPNLRNKPRLNTIEAVKQIVQRYGFRGLYTGF HLHALRDSVGSALYFGVYETVKQITAKKLGPDTSPFGGTIVAGAVCSTVPWFCTYPLD TRKTRAQSVLLGKTKQVGEASAAVSKSSMYKGISIILFRTGINNMILLSLFEYIKARI NQLEI ACHE_20550S MAANILQLPFRRSHSVSLSNAITQYISSKYDQRPDMFAEDLLII DRLRTEAINVQEPHVTGISRLVTYAAQLKWLSGKFPIDVGVEFPWYPAFGLNTSRPTS QNNLRFELANILFNLAALYSQLAFNVNRTTSEGLKQACNYFCQSAGVLTHLRTDILPD IRTSPPEDMDDMTVQSLEQLLLAQAQECFWQKAVKDGLKDASIARLAAKVSDFYADAG DFAVKSNAISPEWIHHTTAKHHHFAAAAQYRQSLDCLEKRKYGEEVARLRDSVTCVNE ALKESRWINRVVLGDLNGLKNRVTEDLKRAEKDNDVIYLNPVPPKSELKAIDRASMVA AKAPSQVTDAIAMLGDNGPLGQPLFSKLVPYAVHIAASIYSDRRDRLINDNIIVELES MTDKIRDLLSSLNLPGSLQALEKPLGLPPTLVSHAEEMRQQGGLHELRKSLEDTSKVK TNDKAVFQEAVELLQAEKAEDEASRRKYGTDRWSREPSEKAAPKIYATSNDINGYFAS AQNSDNLVERKVKDSEAIFRVLTGTNRDLEHYVPSSRRAAIPPELEQETIRLRGCLSE LSRLENRRKRRILTLKDKARSDDISQSLVRETARLEREFPMQTIQASQFEDLLEEKLH LYDSDKQMVEQEQHDQDQIAAQVREANRAFTNAQHGDASSKAREKALQELENGYLKYK EIISNIEVGRKFYNDLAKHVGRFRDDCKSFVQQRRMEASQIEAEISSVAAMASLNISQ SHFRQQPANHPSAYSKPQSQTPVQPKPQPQPQLQPQSKPVVRPQAPAPSPPQPQPQPV QVQPVQTQPRPSVTGGPLQAPQPTRAVPPPSVPAPGIWSPEMGIRFGGSPAGRGQGQP GPWNPNQGIRFS ACHE_20551A MATLDELSQHRNWFRGDPDLPFTPLVEAYVADEIDLQTTVEKIV KPVNEAYSSGDANKTPSTESLLWDLWYTILWTAKKTPRTDPPSQKSQSAILDPSTPSK GHTKLLTLLEILKTKPDPPYPSNIDQSTTRNWIFNDGKLWSVLSLFGPATREILNDSP GAGNGYEDVEVAGWVNLNAFLAHVTKREIAGMEQIGIFELRHALEQRHKDDTKGKVPV KEARKVQTFVAAAGVWVIIMGEELWARKGEKKGKEGEAESGVRAKGDVITKERWKLWV EKLRFLSCRDDLDIDTRELAAQGAAILARVHT ACHE_20552A MLPFTLFPILLFLSVIRPTFSTNTTEKLRIFILSDILNEPDDSQ SLVRYLLYSNEFQTEGIVATTSTWLPNETHPEAIREIIRAYGSVVDNLNQHVPGDKQY SSAKELLSVVFSGPTVYGKQALQNNSTLSPGASHLVKSLKSSNATLHLPVWGGTNTLA QALQHISRTHSSTEAKTLRSRLRIYTISDQDDTGPWLRATYPDLFYIASRHAWKAYPL AAWTGMSTMALSPAANDSIVQNAWLGRYIQLGKLGDVYPDIEYTMEGDSPSLLYFIPN GLGHIEKPDWGSWGGRYSPLSDDHRETQFGDTLDTVYYTSVNNTETEKSNHATIFRWR SAFQTDFAARMQWTLSSNYTSARHPPAIRVNGHEGLEPLILHARLNQSFVFDASETLD TDQHDDLQFEWYQYQEPSYLPNPGPQSDKRLNIQPLSDGQLVKLNEQGFEDAVRAKKV RITLPAMQGTEMVDGYHLILQVSTGGEVPITRYKRIVLVV ACHE_20553A MRFSSTSASSSSTSEGSATPLADYFWIAGVDGTEILDTFRRLGD EYRAHSATSPGPALADTIEEDADAEEAHDPRLDGLSRPGSASGIRGSVQRLSFRSGDS DPHPNGSNSNRSSMTIKGNGNGGNGDSTGDNGNTTPAALSPRNSALVDESGQLGHFDF DKALLKFASERESFLSDLSLSAGAITPNNRPRSRLRTQKIVSEENNSSGGNLLRSGIG SVRRHMAFRDMNSMKRQPSVARQASIRTSRRLSNYNSVIPTPQPLEISPTMHPLKRRF EPVLLDRYPTKDMTDELKQRCNFPDYVPMFAFPNDINIVSSDQRPRSTWHGFAMTTDN GSRLHAICVIIWIPLNPQAAEELEKRCEEWRKDNMTDEERELAASLGERLASERAKLS RLLAELPTVPSGSEQREQLEDDISAVEEKIGLMTDLLRPVRHGAASKIEGLTDGDTGF WIPRAYGILGREANMTSLWKEWLKAVIVPMTEGSVQRVPPSSPRMGIWQPLERYVMNL CTEAFSPNGSTTQVELSVRELRLFARKEASNELPGSRNTDLYALFRALSVPNIVILFE YALTESRIIFLSSHTSMLYLATKALVDLLFPIQWTGVLIPILPARLIQALEAPCPYIV GIERRYEKVELPSDDFVLVDLDSDMIESTIRPTPLPRHQRRKLLSLLQLAAPHHNRCG VPTGPPAYAVETYPFDSFMSENPFIFNPKAQSTQLAKYVSLNSSSFGQNSNPQNSYQA PIFNAYLQARQESVSRGYSSKGDRPGTSSTSKTGSPPSPRMDSSPTSGHFPPPGSRTD SGLALQASLREKRSGHFDAASRRSSSFGMDMKTGIPRRPSAPFLGHTPNLSVTTLNTD YNPGSTYAPSVYAQSTVAASTIVPTASSQPIHNSEGTCWVEGHCLQVQPWDDKAICAI CNERAEEGMYKCSACKTVVHNQCALQICIVCPAAFHPEQVRAAFVRCFASLFYTYKKF LQPSTGDKKKSGLFYSFNADAFMKSLPGEHAEYIAVLSQTQGFNEFISEREYCNPKSK TKDPRMTLFDEIVLSKRNRGRSSIFSGRSTTDFLSDTSNHLWRTASAASVAPSSRNQQ SISEDFSRMAVKAPAKLDTRLMKDPRMIHGVPRPSRAANRATRKPLPNGLAITPP ACHE_20554A MARPRAQSSAEGPKEPHSVSLKVLRLSRPSLSYQYPLPVANTKI SSKASLSYPSENADDQFIFSPNLTLPPTFGSAYVGETFACTLSANNELPENETSRVIT SVRIVAEMQTPSQVASLELESADDETASTDGLDKGQSLQKIVRFDLKEEGNHILAVSV SYTETLMGTDYQASSGRVRTFRKLYQFVAQPCLSVRTKASELPSVEVENKSLGPYGRT RLLRYALEAQLENVGDNAVVLKQTRLNPKPPFKATSLNWDYERPDKATCPLPTLNPRD VLQVAFLVEQEEGQQDGLENLQKDMKRDGRAILGQLSIEWRGAMGDKGFLTTGNLLTR RRA ACHE_20555S MTNSTSKFLVNMPTRSGSSSLGYPQPPISSLKTLESQVNANIQS TFSTKRKRTAASEIETPVAPVKGPRKANNTQRAPAKVVGRTDDAPEPKSKKPRTKKPE QEKRLRVFRKHAPQAYLDRLARATSQRMFAVSSSVNWTDSYPIMEFGMAGSTGNLYTV TIGKVPSCSCPDNQKGNQCKHICYVLSKALKAPAHLQYQLAFLSTELIEIYNGSYLSR ETKPEENAAGKRKPIEGDCPVCFMEFEPDKENIVWCRGSCGNNIHKVCFDKWAATQRA HGVRCVYCRAPWEFETENLNMDELKKTGRVNDEGYVNVADQLGLSGERDSSTYYQPWD YRESYYYGRRRYRY ACHE_20556A MARPKSIRAPTTASLPSTLRIPSTSPSVSKSFNKLSRQALLDLV FQWLDDNNVNTFPPYLERDQIENTDPDDEETSPYPAEQTIDDIRNAYQDLQDRKGGKR EVIDRVLEGDWRHGITLRQLAMVDICYMEDHPASLRWAALELARFDEVEDTQTSDLSA CLPRVHASTFLKNLQQLIAPLVKAHYHLARSASLPLTFLRILVTNSPYQHPRQLPETL MDSSRVIYIAFPDSCPFIYTSISSTGSKTSTSATTAVGTDTRSLQRIVRDAIPKALSR PQERYTLKATSLSAKSLQALLSLRGPSRSNAANGAFSIFADAVVEGSPLDPRPANTVS PEEYMNRSDEKESKRTEDEDTKNTDTHAPKKRKLAVHSRFGTSGSRSSAPLDRFDIRL LDSPNGRDDQEPSEDSQPTLSLSFAGNDVISGIRKLAELGIVDAERMPSWMTGEEGVS VAVVHQGRRVAKDSG ACHE_20557S MSEFNGRRAPNFSQYLDDLNAIPSPYDQAVQQQQKQESFNLDAE LSLFTNAEFFDFDQFGELNLPIFDSVDNDKLKDNSNNAEQNPDLKFLDFLKDGLNNMN NDFPSANFNNASTNNSAGQSLSTQNVPFTHAPSVSNGLPNLNAAEFSSQPSPISPSQP SAPAQASTPAPTPKSTASKRKPSHKPTPMSIEEASRNAAEEDKRRRNTAASARFRVKK KMREQTLEKTVKDTTEKNAALEARVTALELENQWLKNLITEKNGRSPDEGKTSQNDIA SMFKKFLAAQKNLSESREVETTSS ACHE_20558A MATAEVPARTHDRHGLRRPFSSWMKRLANLKNSSSESNSIRWSN KLHTAPKGKKSSRSRQNNPYPLSGTTDGLRDYNSDTNDDSPGASVSEVNGHRSRSHSE PSLACSGYENQIPATSAKSTAPTISTNGDTSYSKAGTLATAGGGISSSGGGEGSTFSS PAPSVRSLTTTLTTVQSAAPSAHLYNAQNVYQGIPYVNSTHNNNNQQVQFTHQFPSSP ASAVPPHLAPHHGYYNTYHTATANGMLTDNASILTLASSSKRRRRNSLDTNASVRALA PSSVYGGSRESLPLSVLSSQVNEPSNASALNASSSVLNRPSMVGLASADRVSIYSSGV LSNTNERGSLYTTKQGDGASIRSAAYSHHGRNESNTASISGVTSASVSQHMATGRISR RSSGWGEINEDEVAGDESDYEKGVEKKESDEKIETKTQIST ACHE_20559S MSTRDANIKPLPPDVVAKIKSSTSITNLNGVVVELVKNALDANA HSIIVTVDFQRGGCIVEDDGEGIPPAEFEVSGGLGKAHHTSKFQLDREVYGRRGLFLA SLASLSLLTITSRHLRHRTTNSVIFHHSTPIARLIPAPVQQGLRFSGYGTCVTVNDLF GNMPVRVKSRALAHQKPDEVDREWEDLKQLLVALMLANDQLAKLVISDGSKDRKITVH PQSQGQQAGELDLKRISSILLQTGLINFQSPNDRWNVVSACVPDFSIHAAICLVPSPT KRVQFISLGMAPVFPRNSTNMLYKDLNRLFASSDFGTTQTSSSSIQNSLEDGHMNAKA LPKAVNKWPMFYVRINTNTPDRWYEDCQEIAPESDKSVQRILDVLAAMIGEFLAQLNL RPRVGKRKRKVSQVSAEAPQEDSKSTRQSRGAGKDRAMASDSTEEAFDGRLKLPLFTR PVPSTTSQHFGDWSRVKGAKDVVFDTKLNATKSVDIPSRVRSPGQSVQPVHLSGNQRE SATDTTLPWTDPYTGRTHVVNSRTGQSMDSTDAHSERPRSTGSIQPNQVLNRVRRPRS AIPIRTGGSWLDGVLRKWENPTFSRSERPISTINIGVREHESDRTTFNVHDCCGDLCG LNTVKFSKFRGKLWKQHLQGAEVVAQVDRKFILTKLPASSVGGTQDGTALALIDQHAA DERCRVERLFRELLTSESGDFGEVQTIPLEPIMFEAPISEKPLLKRYWKHFRPWGVDY RIEQAANKLRVFVHLLPNLIAERCRTEPSLVADLIRGEIWKCEESGSKPGSTTAHSDL DSGSTTSWVEKLTGCPQGIIDLLNSRACRTAIMFNDVLSIHECQKLVAQLAQCAFPFQ CAHSRPSMVPILDMEVQDSSLLVSELLGSLGLGLEDGDETSKVGFAEAFGMAYKSESI D ACHE_20560S MAATAGPVGQPDIAYTPRYENYKTRTTRRIHTEKLVQYLPEGFP AKLDSDLVWDGNNLEESYDWNYHLTPADLAEVDEALKHFNVGKALSEISQETFPLPNF HATLREISREIHNGHGLASRLFAEYLWTSIRERRISLSMLVSHLTLHPFGAGRTKPGK ASQPMSLLPTSKTWAFDNFSKDGNVFFSRPLPYH ACHE_20561A MNRLPRDMEPSRRPIPPLKIVKGDLNSVAVGPNQHTSRYYSSPT NSRFTPPMTPHTVKEEAEEEETDNSNNDTTMEERSEPPRAIFHNYLRAFYPFHPSGDV SPSTVTLPLDQGDIILVHSVHINGWADGTLLDTGARGWLPTNYCEAYDQIPMRPLLKA LTDFWEMIRGGCGSSLQDFGNQDLMRGLIAGVRFLLEKSECLTRESSLVKQHDGLRRT RKGLLSDLSSLVKTAKNFQDIANGTPMDEEVEYILDEMLLKAFRIVTRGVRFLDVWTE EVGLSRTIAELEHSNVHGADGQYDNPLTPPSDTFPDRASSAAETERNESRLLNRSRMD MSRASTRLDMGDSQPRPISVSTKRVSHRVSYSSPAAAARNPNIASERLNATYDAFLGV LGSFIGLHLQSRSSTELITTTEQSVQACRALLTVVEAICEHGIHGRDLLEQAKENMYE RLSELVHAARDAFRPAQSSDDDVVFMPDEGKRLVDAATDCVRAAGNCCAKARLVLEQV GDFEIESEAQTAPTPELNNNKSDHDTLNIAGPPPEEEVQTPQPQRTAQVDQQRSLRPP PPPLQIPSNYNFHHLSPSATATTASATASTPGLTDDTTPSSFHSRALNSPAQPIEISS SLPSATALSFSSYEAADSLRRGSNAKSDVSESFGRGVTSTGSSFMTYNSRLRDSEMSG VSQTSTRATSPDLSNQYQPSLKGSVSHSTLAEENEETEANILEKTFAHELIFKEGHVM GGSLRALIEKLTAHQSTPDAMFVSTFYLTFRLFATPLEFAQALADRFEYIGDTPHAAG PVRLRVYNVFKGWLESHWRHDRDNMALDFITQFAKTTLMILPSAGKRLVDLAEKVSKV HGPVVPRLVSSMGKTNTATAQYVHPDTPLPPPILGKKEHNLLKQWKNGETSLSILDFD PMELARQLTIKESRIFCSILPEELLDTEWMKKSGSLAVNVRAMSTLSTDLAHLVSDSI LQLEEPKKRAVVIKHWIKIANKSLELNNYDSLMAIICSLNSSMIVRLKRTWEIISQKT KLTLEYLRGIVDVSRNYSVLRHRIQNHVPPCLPFVGTYLTDLTFVDHGNQPLRHLPTD DGEMAVINFDKHMKTARIISELQRFQIPYRLTEVPELQAWMQNELVRVRSNGEKSLQT FYRRSLVLEPREVPPRNNAHTDSTPTPSILENAKDKFDFLSWTHPKSKSVATHG ACHE_20562A MTITTTAPSPSSAEQWRLFLDQCLKHRIDANEFKNLSTLLAARC QVAEPVLVDVLCDVRAVGAAAGIKWDPLIPVYIDSLCKTERVRISSVLGGLLKRSSIL PQSQPQSQSSDESEKSKQQTKNGYTLMTDIRVIQDVMLAVSTGNSTPGTAAEAMDIFS AAVDWIRTVIAWHQQYQQTGGLMGSPDVVSLFESLGILLAALSGTPKGLDVLSSDNEG FKIKLGQALSAYLPLCVEVSLPLRNRLDSLQKEFNLYGESASKSLDMTMMDGMNVNAL QFEASVMDGPVINSRAGLYVYINSMLVGRPLIDDNMLLSYLINRYGGHYKVLIEEMIT ASFDVLSNAMYRSESNRTMFIFRSFLVNKLPAFFATMLAASMVSVPMELCISNALGRL NPNTFPSFSQMFSMQGNTVLSDVRQEFLFACASHRLIPESSIERLLGENPMQAVPVGY VKDDLVSQIYANPERAEQLINDIESMEGNANAIVGAITEVIHQLCNQKESMTLKSICN SLSRRPQALDVILLFRSTKQILQPLCTLLDNWHWDGDQGENQPVYDEFGSILLLILNF KYRYDLKPYELGISSDDSFVLKLLDRGSCSQKLEDLTEKQNKDLGAWIGALFIAEGIS EETMSNCSPQEFYLLVTTLFSQSLGACEAGKLEFETLKGGFEYLLEPFLLPSLVVALG WLGNRIWESENEPTIALKTLHSLVSPTSISGEAQAIHQTVLSMTARTLEEQLKDVRAR HPSRTDIKPILDALEPHLSFQRTGSCHRTELESWTHSGNGGAGGLLGSIRNTFQSLVL WSTNPDISMAPPSYTHRQVVAGMRMLGASRVLRALEEELKLQTEAGSGDLALDVAAMI VSAPMLESFTADQMHYHPSDNKDQSQQSKQQQAANNLRIPVLTLRDALSIRHQDVPRT SEKDPLRAEMIVRLYRRVNAVTAPTAQVPSLDVSNILGNMPVTDQSAQQQAQSQSQTQ QQSQQQQQAQQQAQSQEQQQQNQMDLDANAGDSDFSHMLDTAAAAAGDGGGGMDGMGM GTGLDTSIDDVLNAADIAAVGNPEFLDLDMEGMF ACHE_20563A MSVNDPNPGRSLGLSIKNLFRPSSSTSSSPSPRRRVSLFRRRRS VFSQKTPDPNPDPEPINRPSSGTPMEPNNTQFDPATMFGISEVSQGSSGDSFDLCDWF SRYQNCQRYFLDHAQHSGPVQGLSAFLNIRLPFQRQPNPIFNSASSIPTLPPGQATPS SSSPLPPSVSLIPYIRRLVATGMDFPGVLQGFFGDDWGPGIGPLHEQERRNYLFAAKS GGWAGAKKDYDMPPQETIPFFRPLQGPLDPEIEAAERSWSEWLAMEDWMVGPRAPDLD SSSASHRRSSRG ACHE_20564S MSNLPHEPEFEQAYNELVSTLENSSLFEKNPEYRKALAVVSVPE RVIQFRVVWEDDNHQPQINRGYRVQFNSALGPYKGGLRFHPTVNLSILKFLGFEQIFK NALTGLSMGGGKGGSDFDPKGKSDNEIRRFCVAFMTELSKHIGADTDVPAGDIGVTGR EVGFMFGQYRKIRNQWEGVLTGKGGSWGGSLIRPEATGYGVVYYVEHMIKHVSGGKES FAGKRVALSGSGNVAQYAALKIIELGGSVVSLSDSQGSLILTEQEGSFTPEEINLIAD LKVERKQLSSIATSDKFSKKFKYIPGARPWTHVGKVDVALPSATQNEVSGEEAQALIA AGTKFIAEGSNMGCTQEAIDVFEAHRNANKGADAIWYAPGKAANAGGVAVSGLEMAQN SARLSWTSEEVDARLKDIMAACFQNGLETAKEYCTPAEGNLPSLVAGSNIAGFSKVAA AMHDQGDWW ACHE_20565A MDDRRPEVLVVSIIFFVIATVFVVFRFVSRIFVVRKVGIHDHFM LLAWFIDFGFSFSLFYATHKGLGLHDYDINPGDRGSLNQANYVFTVLYNPALMAVKTS ILVFYLTLTKGELVYRWANYVTLFVVNAAGLALTLVNVFQCNPVGAAVSYPLPPNAKC TDIVTLYLCSSPVNIITDLAILFLPMPILTKMRLPRKQKIILVVTFSFGFFVAVVDVI RIAYLQNAATSRQIALQELHLQDSDNGDDLSWYASLSFMWSVIEVNVSIMCACVPSLK PLVARIIPKLIRDTDEGSCNTADGRFPGSFDMPNGMPRVAQGTINTSQESNGNKTSQS ASQSGELDPQRNTGASPIDMVEFLTTPNIAAPQDAEANTTLTSTSYMGSIAFFDFVNM KKPTSMLKLNNKESIAPIALTTILFFLWGFAYGLLDTLNMQFQEIVQLDAWRSLALYA VYFGGYLIGPLFIGGTVLKRWGFKSTFITGLCIYACGTLIFWPSAILSSYAAFAVSNF IVGVGLAVLETAANPFIALCGPLENSEVRLNISQGVQAIGSVVSPLLAKKVLFKSVTD VSSLVDVQWTYLGIALFDVLLAVAFYYLPVPEASDEELEELANHRREDNMTKLFGVPV VWLTLGLGLFSMFFYVAGQEVLSKSFEAYVRHSYPDSPLSAFDSLTLGRALFAIGRFL AALLQLFLKPRWILLLSYLGMIAFTVLAMNIKHNGPASLAMGLLIYLFESGAFSIIFS IALRGTARHTKSAAVALTVAICAGGIFTFAQHAARLSSYDNDINGGFNSYGVLVALYA VGAVFPVYLNVFGAVKKQVDPVPGEYLRRPRRRSRALPPTPELGPVAGVEGVPAEVPV DKTR ACHE_20566A MAPIFKSLFLLGTLAATTLAAPLQTTTNQKRAASSCTKDAPCNG QVTFYDTATSPSAPSSCGYTNDGEAESVLALPHGIMTDEDCGKTVTVKYGGITKTGKA VDKCMGCDDTSIDLSRHFFTELAALLEGRLFGVEWYME ACHE_20567A MFVYKRDGRKERVQFDKITARVSRLCYGLDPEHVDAAAITQKVI SGVYQGVGTVELDNLAAETAAYMTVTHPDYAILAARIAVSNLHKQTKKQFSLVIQDLY HYVNPRNNVAAPMISKETYEIVMKHADELNSAIVYDRDFNYNFFGFKTLERSYLLRID GKVAERPQHLLMRVAVGIHGSDIERAIETYHLMSQKYFTHASPTLFNAGTPQPQLASC FLVDMKADSIEGIYDTLKTCAMISKTAGGIGLNVHRIRSTGSYIGGTNGTSNGLVPML RVYNNTARYVDQGGNKRPGAFAIYLEPWHSDVFEFLDLRKNHGKEEVRARDLFYALWT PDLFMKRVESNGDWTLFCPNEAPGLADVYGEEFEALYEKYEKEGRGRRTVKAQKLWYA ILEAQTETGNPFMLYKDACNRKSNQKNLGTIRSSNLCTEIIEYSAPDEVAVCNLASLA LPTFVDAARGEYDFGKLHEVVQVLVRNLNRIIDINYYPVPEAKKSNMRHRPIALGVNG LADAFLALRLPFDSAEAKQLNIQIFETIYHGALTASSDLAKEHGTYETYEGSPVSQGI LQYDMWDRTPTDLWDWDTLKGKIAQTGVRNSLLVAPMPTASTSQILGFNECFEPYTSN IYSRRVLAGEFQVVNPWLLKDLVDLGLWSDNMKNRIIAEGGSVQNIPNIPADIKALYK TVWEISQRSILQMAADRGAYIDQSQSLNIHMKEPTMGKITSMHFAGWKMGLKTGMYYL RTMAASAPIQFTVDQEALKVADTNVARETSAFKKRVGGGASSAYSAVPREENGHAGQD VTRATAEVPAAPNGGEAEQGSQEDGEQANGDIYSQQVLQCSIENKEACIMCQG ACHE_20568A MFFTKSAVLFSILALCNVVVAAQPPACLLSVVGNEPNPADLKSI CVKNGDKMQEQISKKCDDDDKKDALSHFADTCSSNGYKVDVSSSTTMSGSTTTATGSQ STKTGFTTATATSSSTSSSSGSSSSSSSSGSASSSPSADTTVSAGASDRHVSAAAFAA VVFVGFAATM ACHE_20569A MEQQVDRLLEKTWPKLRTTPDTCRLMIAISGIPGSGKTGLASMM ANRINQLYSAENPGSPLVATAIPMDGYHLTRAQLAQMPDPAFAAARRGAAFTFDGEKF LRLVQALRQPLTGASQNLYAPSFDHAVKDPVENDIVIPPTCRAIFFEGNYLSLDKEPW NQAAKLMDELWFVDVDYETARKRLIRRHVQAGIAKDEAEADKRARENDLVNGKEIVDC RMNVQEIIASHYDPRWDS ACHE_20570A MGIPMYREPSSTEASKKNAIKDPSAAARSAIRRQATIRRPSRHG TFRGASHRLDRPNFVNSVFYDMLSDWNAIDRTRPSRSIGEILAREARELEDLSATPPQ DESPDLLGGPTDANRREAGQRLLADAARHSQPGRRLRIPRDTMLTELINRPSPGGGPR NQQSSEHPPFTPRFAPAIAYHSAVASQPNARRSPFPRLDTPGDLGLPRVPQLRRAIEQ SITDLNRPFSEPSIDGLGDRQRSLSPDDDDYAHNPWETLLTTITPDANLPSNEPSFAS TASTTNASRNGTTATSTNSTQTLPSSLDSATANVHLALDPYPEFLNPCDYPTSSDSES DPELDLEGNRQARRRHAMPRPRFMDTLRQSHDINSTMSSQPPIPTISFSFSDPSTDPE FRQMRSIISRFANGDVPDHMWVAAGLPLPPSIGSRLGGGDEARDSDGVDGARRGNM ACHE_20571S MIELGLSRISRLLQQTPLSWKAIHIAGTNGKGSISAYVSHLLAS GGVRCGRFTSPHLIDRWDCITIDEKVVQESLFRQIEEEVKLRDQTLGFGASEFELLTA TAFEIFNHERVEVGVVEVGMGGRLDATNILSDVLVSVIAKIGLDHQALLGNSIEEIAR EKAGILKPHTPCVVDATNSTEVITTLESRIQELGLEGDFVHPEAVESQAPSLARIFER LDLLPHQRANMYCAVSALQLALPKVRPRLDVDTLLPHISEVKWPGRLQDIVLEPLVAR KEPVLLDGAHNAQSAQVLGEYVERKLRPQQKNVTWVIAASRGKDLTELFGAFIKPGDD VATTSFGPVDGMPWVKAVDPTELATCVQSFPEIQQVKAFDKDIPAAINWASNVANGGP LVIAGSLYLASDILRLLREAQTSAGPGRGTC ACHE_20572S MVSRTSELGEAPPPQRPSSRAAAVAAAAPPGTPTKPRLSRLGSS PTKREDKPRDDRGPKTSAKDVAELKDYQLGDCLGRGAFGSVYRALNWNTGETVAVKQI KLVDLPKSELRVIMLEIDLLKNLDHSNIVKYHGFVKSAETLNIILEYCENGSLHSIAK NFGRFPENLVGLYMSQVLHGLLYLHEQGVIHRDIKGANILTTKEGLVKLADFGVASRT TGLNEASVVGTPYWMAPEVIELTGATTASDIWSLGCTVIELLEGKPPYHNLQPMPALF RIVNDDHPPLPQGASPAVKDFLMQCFQKDPNLRVSAKKLLRHPWIVNARKSDSVVPNE EAVKSVQEWNEALRSPSAGTIRKHLRLDQHSTPSRYTPTKDILPTPVSSGNVADKFRS PISGEDDNWDNDFDNAISPSALHLPHLRPHDNFGGLLSSEKLKAFASLDGTMTRSGGS FEEFGEDLWRISNQSSEPDLETIRPSPQRQSTAQKLQRYQPSIHDVPILNPNPTPPAR PPRPASYYKENPDEDYSDLIMANEDVLDKKLGAFQEPDGNAMLVPSPSHEMVRYQWPT TIEEDEEPPQPQLKKRISVKRHRAIEITKFAENEDDEDFSDILGPNESTLDKADSDGS SDKSTLMLTSKLSNRSWLGDQDDEDDPFAQLEEGFDEMDLEANIARDKHARLRNQVEG LVSTLKTSQDEEVLADISDQLLTVFCDLPETKNIIMSAHGLLPILEILDTCRRRDVIA CLLRIVNAIIFNDYEIQENLCFVGGIPIINEFASKKYPREIRLEAAAFVQQMYQTSTL TLQMFVSAGGLNVLVEFLEDDYEDERELVLIGVNGIWSVFELQGSTPKNDFCRILSRN SVLDPLSLVLSRVLDEEGELAGIVEGRIANIFFIFSQAENHVKEMVAERTVLHRVLKE LRRMTPAHQITMLKFIKNLSMLSTTLDSLQNSNAIDVLADLLRSTFKRPHFREVSNQI LNTIYNMCRLNKSRQEDAALNGIVPLLQKIVKTDRPLKEFALPILCDMAHSGKVGRRE LWRNKGLTFYISLLSDPYWQVTALDAIFTWLQEETAKVEEHLLENRPDRPSFTDSIVK CLTISKANAFENILEPLQKLLRLSPPIASTLARPDLFTRLGQKLHHSKAAVRLNLLRI ISSICDSSEEQGGLLATYGLLGAISELENDSAILVRDMAGKLIRSSETYGLGKRKQGM RRQSTSTTSPGLLPNQSAPSTPRTSQSKGYYEGRESRHPRNALSGSALVLRPASREGG SPVLPPPGLNLNGASGAARNRLPRGMMPKRSHTDLLPEDEMRPPSSLSRRDSILYRRQ RPTQGEDWVS ACHE_20573S MSKPVLSPKVLLKEVHLYGWVCSSYRVTLKQETSPEEHNEIIKA CHKRCAERTLRVLEKNGSIFIKLGQHLSSMGYLLPLEWTTTFIPLQDKCPVSSIESIE EMFVADTGCPIDEIFSSFDSEPIGAASLAQVHIGTLRESGQKVAVKVQHPALAEWVPL DLALTRFTFAMLKRFFPEYDLEWLSREMDFSLPVELDFRCEAENATRASDYFKKHSDA PLIIPKVMWAQKRILVMDFISGRRPDDLPFLDANNIDRDEVSATLAHIFNEMIFGDNA PLHCDPHGGNIAIRPNPNPSGRQNFEIILYDHGLYREIPRDLRRNYAKLWLAVIEADE GRMREYARKVAGVTDEQFPLFASAITGRDYKVLTQRNVTSSRTADEKENISGALGEGM LQQLVELLGQVPRIILLILKTNDLTRNLDENLHTRQGPLRTFLILARYATRTVYEEQL ENIRESAGGLLRPLNFLRFLVAWVGFLRVELKLSVYETLLSVKSRFGLL ACHE_20574A MFKRISTVVPRSFGPVVTPRITPALSGVQHTQSIFRTQLPQTRS YHEKVLDHYNNPRNVGAMKKGDGDVGTGLVGAPACGDVMKLQIKVDKDSNKISDVKFK TFGCGSAIASSSYLTELVRGMTLDEAGKIRNTEIAKELCLPPVKLHCSMLAEDAIKSA ISDYYTKNPKAVKTDLGGTGAAMPDIQVEVEKTDGASATA ACHE_20575S MNNRKTLGRPAGSLNAPIAAFTMAVLLCSYCITSIRSARRESQS TNASASQRERAEAWQQQRQQLSWVHRTLEEQRQVEQGKKG ACHE_20576A MVENMLSEGHGSSGASNGSQEHEHQASHAHTSPSHDTFTSNLLT RIKMFSTHIPKSYVTPFCGASAGVASGIVTCPLDVIKTKLQAQGGFARRSGNTIEART LYRGMLGTGRVIWREDGIRGLYQGLGPMLLGYLPTWAVYLAVYDRSRDYFEETTGSWW LSRGYASVTAGACSTIVTNPIWVIKTRLMSQSPRSNSEGFRAPWQYKNTFDAARKMYL NEGILSFYSGLTPALLGLFHVAIQFPLYEYLKMAITGYGIGEHPEHGDSHWIGISLAT FLSKICASTMTYPHEVLRTRLQTQQRTMSPRSSAEELTFREGIEKLNGRGRPLGAASS DGMANRPRYTGIVRTCQTIFREEGWRAFYSGIGTNLFRAVPAAMTTMLTYEYLRKLIG TMQHDGEVEVRRAEEKDLSGGI ACHE_20577S MSAPSLTSYIVKRPWLKRWMMPIAEWYADAAGYRRLGLRFDDII PEENDIVQAAIKRLPAKESYDRVFRIRRAFQCSVSHTLLPPEEQTKPSEDVEYLSPII REIEKERKEREDLDTLAVRR ACHE_20578A MMAVRRSVRLSAAPSSNPLSAIQQPKINRSQHSGIRKAQKRTAK AKKSQKAAAGQVLSAEALQVAEPTATPQAASAATTATDPNDNIFDPSLSTDSTRRIHA NPPPLDRPVEPHRTNATLLTPHGYSLVAYPPGTEQASPSKTGRPRPTATTGTLLEKAV AHLIATDPRLKRVIEKHPCHLFSPEGLAEEVDPFRSLVSSIIGQQVSGAAAKSIRDKF VALFNSNETDVIARTKRFPTPEEIVQYDIVTLRTAGLSQRKAEYIQGLAQKFAAGELS AEMLLNASDQELMEKLTAVRGLGLWSVEMFACFALKRIDVFSTGDLGVQRGCAAFMGR DINKLKAKGGSGKFKYMPEKEMLELAAKFSPYRSLFMWYMWRVEGVDVAVLNA ACHE_20579S MAFLKYALPVLAAGQLAFGSSCGEDGKTIKISSQGDIDGYSSCK TLKGDVEISEQVADTLSINNIEKITGGLSCTGASNLTSLTAPQLGEIGDTFKLDGLTG LYTLNFGSLSSVGSIKFTALPQLQKLEFATGVSEAGNVAITNTGLSSLDGISLNKVGD FDITENTNLKSVNVNNLTEATGLINFAGNMDSLEIELPNLGSGTNMTFRNVSSVSVPS LHNLTGQMGFWGDSFKTFSAPNLTETGDIAFNGNEKLSNISLPQLETVNGGFQIIRND KLANISFPSLDTVTGAIDFSGAFDSVDIPKLSNVKGGFNMQSTGDFDCDGFDKKHKDK VIRGSYTCSAKKSNPKSKNGQSGTSSGTASAASGTSTSSEGAAPANIANVPAMGMAAV FGALLQLAM ACHE_20580S MFEDMGPMTGDCSRHCSLPSVRSLSSSNGAGAVDMSEDRRLPPL PRVEPLPRGPFNPFNARTLAPSPPTSHDAFPVKSSWPTSETMVAPPSPANSQDSTWQD SFASQKTMEWPSDLSQNEIVTLIAPRNINRKPPLQQLCGRKRKGSMISADPDDQREKH RIAEGNRRKNLSQLHRELDSRIHDFFLERAGWNPGKSLPQSKEHIVQGAIYLIDFMLL IIVHLIRQENEMPQHLSEKLQPQVRCMQLQQLVSSLQQQNQSVQAQLKTVKHEKQLLE ERNQALEYQLKAYENVFRVPKSETNSPQMLASHPDSTANINKPKNLLPGLRVFCDEIA AGSPEASRFDGIPISGPPSFGGHSFMSSTPPTTGPSSPVHSYSVPTSHRESMMPSP ACHE_20581S MLLPKGGVTWKSAKARLPPWRAILVLVTRTRFLVSVAIAGLLIL LWSGISKSASEMQSFYCYGSSKSPMEMSINEMVEWNGHAQTPVIFNHHEPYEVNSTSI SSMDLNPIRSTSKAAANGERVLILTPLRDAAPYIQKYFDLLYKLTYPHELIDLAFLVG DCKDDTLAVLSSELNRIQSQTEEKIAFRSATIVQKDFGADVEMSVEERHSFAAQGPRR KSIGRARNYLLYSALKADHSWVYWRDVDIVDSPDKIIEDFTAHDKDVLVPNIWFHRYR DGHDIEGRFDYNSWIESDKGRRLRATLDPNTVLAEGYREYDTGRTYLATMGDWRNNKD EEIELDGIGGVNIIVKADVHRTGINFPAYAFENQAETEGFARMAKRAGYQVIGLPNYV VWHIDTDEKPGNI ACHE_20582S MSTPTKKEFLCICPDKPGVVDKRLEVRGNHLEGATKLVSEGSLV LGGAMFNSHPKEGETPSFKGSAMMLLAENEEEARKLLENDVYTRNGVWDIENVQIIPF KSAVRLAL ACHE_20583A MTSQIPSPKPHQVLIRIKAAGFCHTDLLALNGEFGTKLPFIGSH EPAGVVVDVGADVRRFEKGDRVGCINFDSVCGKCPDCKAGLPIYCDAPLMKGITTNGA WAKYMVADARFMIKLPDDMDFKTAAPLMCAGISIYGGIVRAKVPKGGSIGIVGIGGLG HIGTQVAKCMGYKVAAIDIKQPALNCVASYAHKPDALILSSDPVETSLSKINTITSNE YGYEGLDATVLATDHSAAFEAAAALTRKHGTMVLLGQPEKGITMSYLTTIYKDIKLVG SLVADTQQAEELVSLVHENGLHVDVKEWKMEEAEEMRQAYLNGTTSGKNVIVID ACHE_20584A MATTLARSFSSENSRLAVLVPNKPNPLSISYQQLHSHVASFQAK LAKLGVSHGAAVSIALVNSYEFIVSFLAASWQRAIAAPLNPAYKQEEFEFYIDDLKST LVLIPRGAYEQYGPAVQAGRKYQAAIAECYWDGTEVVLDVKEEGKLAGTLGHQVEDAQ PDDIALVLHTSGTTGRPKAVPLTHKNLTTTMKNIKATYELTPEDRTYLVMPLFHVHGL LAAFLAPLYAGGSVIVPQKFSASEFWADFVNYQANWYTAVPTIHQILLKTPLPNPIPN IRFIRSCSSPLSPKTLQDLEKTFNAPVLEAYAMTEAAHQMTSNPLPPGRRQPGSVGIG MGVEVRILDGAGKEVPQGTPGEICVCGENVTKGYLNNPSANESSFTKDGFFRTGDQGI KDSAGYVIITGRIKELINKGGEKISPIEIDNTLLHHPKVAEAVSFAIPDEGHYGEDIG AAVVLKSEGVTDQDLKSWVGDKLAKFKVPRQIWIVSQIPKTATGKIQRRKVAEAMLKP KAKL ACHE_20585S MASDQTPSRPGLSLYANLLDPSAESSAPGTISRAPVVFKQSSED NAQSDEAAKKQQLNPAALRFQPTKRPQLAAQKPKPKPTLPKAVPAAAALSAPAPPVMT TLADWAADDDDVNGFYAGEKRQRGGRKKRKKNREAQELVQNWDDIYDPSRPNNYEEYK HSDEQIAEVREWKDRLYAHRMARSPSRDSFSDDERRPMNRQFAPPRSFAPPPNLNDIP PEPPASLPDDPSDEYSFAQRAQITAGTEDAQPLPPPAPVPDDPTGDDAYARRLQMSAR MHAPIETAPPPSPVRAMDTIQPSSATISRAPVRYTLPPPPEDIPASEAELEEVFAKEK PTEDEGDDDGERSLRPGQKGFAERLLAKYGWTKGSGLGATGSGIVNPLQVKVEKQKKR PDSEGGGFATPAGRGKIIGGKKRAPEDEGKFGRMSEVIVLKGMLNGLDLDAELEGGNL MQEIGEECSEKYGNVERVFISRDSHPPVPVFVKFTNQLSALRAVNALEGRIFNGNPIT ARFFDNAKFEQGIYDD ACHE_20586A MCHQITWYHAVCYHQDSAYNLRIPCRQALNVGYECYRPEYILIP AFGACLSCKHEKSLAKGKLSPTRACADESSRPRTLTTSSEILFNMKIELEPQYSVGDD SGIFLDGDGKDVVGFGGDDQMNELELFDLITF ACHE_20587S MSLCQNRLTEERKQWRRDHPFGFYARPYRNPNGVLDLKRWECGI PGKAQTLWEGGLFKLDVTFPDEYPTKPPKCKFVPPLFHPNVYPSGTVCLSILNEEEAW KPAITIKQILLGIQDLLDDPNPESPAQADAYNLFKKDRPAYERRVKQVVRENPAL ACHE_20588S MCGIFACHQHPDVQKFKPTALRMAKAVRHRGPDWSGNFIDGQTI LAHERLSIVGVDTGAQPLVNDDGSLALAVNGEIYNHRIVRKGLTVPYEFKTHSDCEVV IPLYMQYGLDAPKHLDGMFSWVLYDKKQNRVVAARDPIGITSFYIGWSSQTPGAVYFA SELKCLHPVCDKIEAFPPGHVYDSKTGSMTRYFEPTWWDPTSVPTTPIDYKNLRHTFE KAVRKRLMAEVPYGVLLSGGLDSSLVASIAQRETLRMHEATKAAAQQQTGSSDLVGID DSNELSTAPILQQLHSFSIGLPGAPDTEAALEVARFLGTKHHAFTFTVQDGIDALSDV IYHLETYDVTTIRASTPMYLLSRKIKAMGVKMVLSGEGSDEIFGGYLYFHAAPNKEEF HQETVRRVKNLHLADCLRANKSTSAWGLEARVPFLDKEFLETAMGIDPAEKMITGDRI EKYIMRKAFDTREEPDVAPYLPEKILWRQKEQFSDGVGYSWIDGLKDHAELHVTDEMM KNPKPEWGADIPDTKEAYWYRTMFDEHFPPYCASTVERWIPKWSKQTDPSGRAISTHV AKYDNAE ACHE_20589S MNPPPLYSTCKMSAPAAFSDISKAANDLLNKDFYHVSPASLEVK SKAPNGVTFNVKGKSAHEGPIAGSLEAKYVDKPTGLTLTQAWTTANALDTKLELDNNV AKGLKAEILTQYLPTKQSKGAKLNLYFQQPNLHARAFFDLLSGPTANFDAVLGHEGFV VGAEGGYDVQKAAITKYSAAIGYSVPQYTAAITAGNNLSVFSASYYHRVNAQVEAGAK ATWDSKAGNSVGLEVASKYRLDPSSFAKAKINDRGIAALAYNVLLRPGVTLGLGASFD TQNLNQAAHKVGANFTFES ACHE_20590A MIPSFPTQMPSPRLRSAASFLSHHQQHHKRRCPYARTANKRLFS TTTPYFAASREPTLYEILDVPPTASLSEIKKQFYSLSLRHHPDRNRADPTASSRFARI SSAYQTLGNAAKRASYDRDHGIHTSPSSTYSTANPGQHPMGSYSSHSANVSSKGASYA GSRPASGLSKRRGPFRGPPPSFYAHGEYGNQAGRRAPHGGAAGWASSSYAGTGAAEED PLSFLDKNPIHHFNARGHYKTQSAEDARRQERRSRAMGDELNEQYIGKPGDFAFRFFI VFGILMGAGAMTGILWKPKDQKSSKRAATG ACHE_20591S MAAQASEKLEKLDLNGQTAEGKADTPAASQAEPAEGEDDSDDDK EEGNAAPEAGTGGAAKKKKRKPKKKKKGGAKVQSAPPRVPLTTLFPNGQFPEGEICEY KDDNTYRTTSEEKRYLDRMNNDFLQEYRQGAEVHRQVRQYAQKNIKPGQTLTEIAEGI EDSVRALTGHPGLEEGDNIKGGMGFPCGLSINHCAAHYTPNAGNKMVLQQGDVMKVDF GAHINGRIVDSAFTMAFDPVYDPLLAAVKDATNTGIREAGIDVRVCDVGAAVQETMES YEVEINGTMHPVKCIRNLNGHNIDQHIIHGGKSVPIVKGGDQTKMEEGEVFAIETFGS TGKGYVRDDMECSHYALIPDAPKVPLRVSSAKNLLNVINKNFGTLPFCRRYLDRLGQE KYLLGLNNLVNSGIVQDYPPLCDIKGSYTAQYEHTVVLRPTVKEVISRGDDY ACHE_20592S MASATQTDSAPHLRASAAKNQEQKNGQGQQQESGRISPPESSLV EDHFFWTYTEEPHRSRRQAIIKAHPEVTRLCGPEPLTKYTVLFVVSLQVCCAYLLRDT SMLSWKFLLTAYAIGATSNQNLFLAIHEISHNLAFRSPMANRLLAIFANIPIGIPYSA AFRPYHLTHHKSLGVTGLDTDLPTAVEAFLLDSLLGKAFFCTFQLLFYAIRPAFVYSP PFTHIHLINIITQFSFDYGLTKVSGGSLQPLLYLLLSSFLAGSLHPCAGHFIAEHYIF EKVGDGKGTESIQQRKTREKSGNGNGMLKEVANLPPPETYSYYGPLNFFTYNVGLHNE HHDFPAIPWTRLYELHRIASEFYEPLPCHRSWVWVIWTFILDKNVGMWCRVKRAQGGR IVGGGTANAKAGRGGEGISGASNGPEEGDGWKESEIQN ACHE_20593A MSIVLNPPELGFKRPFNREVCQVLELYNENPEAIVFKVKTTAPK HYCVRPNSGRIEPGKHVGVQVLLQAMKDEPPLDAKCKDKFLVQTVAVTGDMEFANVTS IFEKSSKSSVQERKIRVNWLAQDLDASQSGEANGTAASDEEHPAQTSPVANYETPAVG LAKKSAQETSPIPPPDFNEKPKQEPSRTNENTSAKSSATDSLSVNELKAKLVEANSQI ERLKEKVSDNGLRQRKIGIDSKEPSSSMLQQQQAQPAVAGVPIQIVASLCLLSFLIAY IFF ACHE_20594S MASSDLDQLIEMGFDQERAQLAVSKGGGIQGALEWLEANQDKSI EEIKAAAAEQESEEGPELQPGEVPRSLVCNECGKKFRSQAQAEFHASKSEHVDFAEST EEIAPLTEEQKKQRLDELREKLAAKRAAQGEQDKADKKRNEEIKRKSTKESQDIKEEL ERKQRLKDAQKKKQERLDDIEAKKRVKAKIEADKEARRLKAEREKAERAGQALPPQPA PTAGPTVSGPVASKPASAYTETRMRFQTPKGNIMKTLPVTTTLFEVAAALKEQDDVDV TSFLQTFPRKLFNNEFFGESLKDLGLIPSASLVIQ ACHE_20595S MPAVGDQHRATPACVMMPNTTGTMSGNPFEEPQRRMNEYTAKEI ATLQARLDKKLGPEYISSRPGAAGQRVHYLSADKCINLANEVFGFNGWSSSIQNIQID FVEESQHTGKISLGLSVIVKVTLKDGTFHEDIGYGHIENCKGKAAAFEKAKKEGTTDA LKRALRNFGNVLGNCIYDKDYVSKVTKVKSAPARWNPDDLHRHPDFAPVKKEPAPPKP SPHEDDDLPPRPADAARPPAKVDTFDGDGEFGSDLFDEADFGVAESGNPDEITLEPEP QAKQQPFTPGMNGRNFQPRPPANLPGPPSGPNPAAVTPSKPERPWNPVPPQQASVPPP NGRPNPALNDPSLRRPTPAPAPQNFQNPSTAQPGQPRPNQGLDTNNTDPIKREPGQNA DMPPPGTPSASFFSARAVDMLRDNPNVPSGAPQFDPHAQSPSIRKTAGVDHSKSVPIS KPMVASASPAANNTRDFVNPSTDMNRRIGAPGGGGFGSPMNRGQSTSSYRPLTRPNID PRNATNPALANRGSVGPQNINGKRPPLNDVTNAAAATNDPDSVPGVVDPKRPKFNASD PNQQQQAQAQAQRLQQ ACHE_20596A MEPAKLDPAAAERLRKLQLADLGTARREHISTTDGRKVKEIHPA HIEATRMTNLVGSEAQKAAQVNKARLAGWANVYKEIGDTEDLEHLDNLLDGQSHRARL GALIFASGGQAYTKSATRKDNFLKVAESDYSRRNGKRAYSAGRGGGVMGTRDRKPTTP SPNTASVRTSKQGAVMKIGRHVVEAPGRSRLDPALDSNNEPNKKSRDELKARSQPGDG GEKTNIRTRRPIVGDYARILSPPESFLAIARSMINTETSDDTPAPGPQTPETRPAGPP KKPNPPTEDDRVTSERGPDTPKIAKRVVVQPKEAPKTPPFYSPAAKAQISATTTNTAV KATTSKANIPTAPVSTSTIPSKVKASTASNSAINTPVKATTLKANIPAASASPMSSPT KGMPSKANASAAPTSPISMRTIPKTSVTATSADTPTKGTAPKANVPAASARSARTPTA PKSNIHSVSSSPANLQSNVPAISVSSAKTPVQSTSSKANDAATPILSASTDAKGTAPK AYVSAASASPASEHRDPKPTSSVHPEKSSAPGLISKQIANAVSHTANKPIGQLQPSAS QDVTATKIEREPVKANVERTEELLLDFGTTPPDSSQLSGFMASPAIQDLEGIDFKHTS DSVAAHGSTTLNSTESPEQQSNGPSIADYQREISLLSALLESTTLGDLGVEFRERLKQ CKKELEELCQAQRSGSSIVNTPVKHERISSTSPLDSASVRLRQAVTAPPFYPRVSSFS GYRSPTNSISSDSTAPPSTPVPTRHVAMPIRAPPSAAEPESTFDHIFGDHLLPGRRSR TPSSLREVSSTSRTSSDEPNMAEVSFSIPPHETGLRNVNIPVFENNKRIELSTQPEAS NHFPLLQTIESKSPDPSASPASGTLEVPENRSTPHVGSSMQKTEPAVSSLTQSIHAVE PPAKTHARSASSQKTEPVIGCVTSAARESSVPSQHPPITTISKDVKQPEWKFTSITQS IHAAPKESTDQPARVSNSLGQSVHAHPQVVPATQPVPVGPKTVSKVSSTLDGLMSSRY AS ACHE_20597A MSRNDGRDPLLAGPQSLDELESQSLLTDTPDGEADLVIHPAPVS PSPISPSVPSPAIAQPAPDGQRRTPRTTNRVRFDIDDESEGDSRSDRHARVSEDPSWL DDEDYARAHHDQSERNGRGPMIPLLTDMEAPSVTLATSEDFFPEEHLESARPRSGMQM AFMNMANSIIGAGIIGQSYALRQSGMMMGIVLLTALTVAVDWTIRLIVVNSKLSGADS FQATMQHCFGRSGLIAISIAQWAFAYGGMIAFCIIVGDTIPHVLTALLPSLRDMAFLW LLTDRRAIIVLFVMGISYPLSMYRDIAKLGKASTLALISMIVIVVAVITQVFRVPQET RGDVKGLLWVNSGFFQAVGVISFAFVCHHNSLLIYGSLKKPTMDRFAMVTHYSTGVSL LMCLTMALTGFLAFGSNTQGNVLNNFPSDNIMVNIARLCFGLNMLTTLPLEAFVCRSV MLTYYFPDEPFNMNRHLLFTTALVLSSMLISLITCDLGAVFELIGATSAAALAYIFPP LCYVKLSTASRKEKLPAYLCIAFGIIVMGVSLLQAVVKMIRGESGSGTCST ACHE_20598S MSFEIDLGTTTLNFLGSNLGLTQCATALILPPNEASDSIDTTEI KKQLEKRELWVDQVPELKVHIYVGVGPDLARPASTFVSHIGEIFCHSKLWLDLGLNVL FKSCDHGDNSTRFQLSFGLRRTTKHQTTKRSRIPVKLRSTHDTIPPDGIPIAQISTIY ISLEFTRCNERVQRDMTSSTVSGGLFTELLEANIPLDSFANDEIGAWIFAEPFQGLEE LYQLSLPSPERYPQLPESPNNYSEEFHTLFDVGFRNIIAENQRADKGTRATKSEDSKS LSTVFPAVFSLGYRETMNERLQFIPCIASSIASILEISKNSKLREKRDKLKSSRHLHY QTQPNSSAAGDTSTVRTALKQSLWRIAQKQLHKPGASKNLSPLGRTSAADERYPTPDY TTLLDDEDDEDDDTDSNDSDYYLGTDIDELEFESLEEPQERNTKDEEESILSITEDYT NLSIDMLDSKADENEEMDFDEPKLEDIKRADQHTRFFIPSPASGNYSSHTIPQSDSEM LTSDCVEEYMDLAGQNYPPTIAVSVEPRQITEDFDDMLC ACHE_20599A MEGNITHYRILVNPNKETVERSPDTVIARVLEILVNKNNHPVLV HCNKGKHRTGCVIACLRKLQGWSLDTIIPEYLSFSHPKSRLLDEKFIEEFDVSKFIHL SHPLRAPPWSLPASPNLCLDNEEDCPSPTKWYMQPSVSRVNAF ACHE_20600A MRLEVAVGYNVSRIIGLTRVGAREGKNSTLPRLPLYSPAFAGRP FSPVLINKKSSFTPRALFTARMSESSKPSIYVDIGINLTDPVFRGEYHGRQVHDSDMD DIIQRARDVGCKKFMVTGSDLEESRRVMDLSRNYSGFCYGTVGVHPCQAKTFDEFAGG PSKMLEELKTLALESKQAGHAVAFGEIGLDYDRLFLSAKEPQLKYFEAQLDLAVDIQL PLFLHSRAASEDFEKLIAPRLAKLPKRGLVHSFTGTLEEMQRMVDLGLDIGVNGCSLK TEENLEVVKAIPLDRIQIETDGPWCEIRPSHASSKHLQGAPPLPKAVKKEKWQKGCMV KGRNEPVAIVQVAHVIASVKGISVEEVCEAAWKNSIKMFGLGVAAS ACHE_20601S MAERLLSVEQIAGHNTPEDCWIVVDKQIWDVTDFLEEHPGGPTI ILKYAGRDATKAYSEVHAPSVIKSNLPPEKYIGLLDESTITNDWIKEPPSANRQLLLE HEKPPLHTLINSHDFQVVASKTASPKAWAFYSSAATDLLTRDANKSYFDRIWFRPRVL RNVREVDTKTSILGVDSRLPLFVSPAAMAKLIHPDGERAIARACETRGIMQGISNNSS YTMDELRAAAPSGNFFFQLYVNRDRAKSAALLRQCSANSNIKAIFVTVDASWPGKREA DERVRADESLSVPMAPSKATNDKKGGGLGRVMAGFIDPGLTWEDLKWVRQHTHLPVCL KGVMSADDAILAMKAGLNGILLSNHGGRNLDTSPPSVITLLELHKRCPEIFDRMEIYV DSGIRRGTDILKAVCLGATAVGMGRSMLFATNYGQEGVEHLIDIMRDELETAMRNTGI TRLDDASPDLVHTADVDHLVPDSARHPYARAVIRRRAVKL ACHE_20602S MAAPRSSSLRALRILSQQNPATPSLRRNLHITGAQSAQPANVAD RTSLYSAQTVPDLKSECKKRSLTAGGSKNELVERLANHDFLQSRAFSIAMRRINGNAF ADSSSREFNTSRSQKAVNDSSTVDFAYMPSMEELHSSAPNPGPRIPILPDLYKSAEPA LTTPTAATGNYPPMKPQVYTVAGVTSDVAASPLSEVVDNHAVDIDPFSLTETVGRSRL GEMLQRQSGFSFRSSRQPGEKGVIGELMSSMMEDIFPKQLRK ACHE_20603A MAQNFWDNGRPPLGRVFAASGYRSRAATAYQGHVQVSWGLIQMP SNRTGRNTINTEGTRKFNLPDEIIQPAVGLDDDM ACHE_20604S MRLTASLLAAASLSTSVTAFYPYSLNAEVSISGPLLSNLRRRFL PWKLQQDDSEDTQSGSNNLLTLDLQKLPVRRDNDYKVVMSDPPSQSNSAAVNQDGNDF SYFAVVQVGSQKQEMRMLLDTGGSDSWVFSSDCSSTACKQHDSFGEGASNTLQMTNTA WTVQYGTGTVNGLVGWDTITIADLTVNITFGLASKASDDFLSYPMDGLLGLSRTNDTG FGTPTFMDFVQKGNMLESNIVGFSLSRGSDDKQGGTVTFGGVDESKLDGNITYTYTIS SSNRWEIPVDDVTVNGEACNFTGKGAILDTGTSYMMLPPDDAKTVHSLIPGATSSGQN FVLPCDSDAEIRITFSGVSYNISPKDYVGQKAGDSCISTIVGYQSFGDDEWLVGDVFL KNVYSVFDYDNNRIGLGGKKGETAPVVTSEEKMEEADSVEGSDAGSEAETASSSGSGS DDASSNTSSGASASSTEADSGAVAAVPRLGWPVLLVACMFWA ACHE_20605A MDANNPNIEKRSTPQWALYQRENFWKANDGQVPPFNTHPIKLEQ RARERLTESGWYYASSNAGMSNTHLANRQAFYRHRIIPNQLVDTNNRDTTTEIFGHKV AAPIGFAPIGINKIYNPLAELPVAKVAGELNIPYCLSTAGSTAIEKVGEANCNGPRFF QLYMPHDDELTLSLLNRAWKSGFDAVMLTTDTWQLGWRHDDVASSNYAFYRGIGADLG LSDPVFQKRCREDGIDPEKDVLAASTKWIDSIWHGRAWSWEKIPWLIEQWKKISGGRP FAIKGIQSVKDARKCVEYGVDGIVVSNHAGRQIDGAIASLDALENIANAVGDKLYIMF DSGVRGASDVVKALALGAKFVFVGRLWVWGLSIMGEEGVRHVMKSLLADLDILMSVGG FNKVEEFGKDILESYPKSYSLIPDKVL ACHE_20606A MPTERENIEEQIKNAIATYERDKSQKIRPLAEAFDVPYQRLLRR VKGLPGRNSTKPVNYALDKHQENALKHWIERLDQAGVPPTAKRIEKSANLILQRAHTD PTIPPKKVSKEWPYRFLERLGPEYTRLKQRPKDPKRLQSQDLGIIQNWYDRLEILLKQ YQIQPQDLYNFDEIGFMEGQGRGEVVITKYPSRAQHPGASFSRGLISVVECISADGSV LPPCIILPGKGHLEDWYTHSDMPGNWILGVSPNGYISDEIAFEWIKHFDKHTKQRCAG VYRLLLMDNHGSHLTYEFIEYCEKNRILLYSFPPHATHFLQPLDGKPFKQYKHYHGQA VTEAAILGWSDFEKREFLTVLPGIRKETFKTHTIQSAFRDCGIFPFDPSPVMDDLEKQ AEPIPDLQIWDGDSISSGSAQSSPKTIRQLRKEISKARASLDKIDGHLSALSPGLNRR LERIFSGGLTQAESSDQTAMELDRYLKAAAHQSKPKSRRQVPGLSHSGVLSVQDANRR IGARKKAEEKKEGRRLGQSIRTSLATTHRRYDRLELWMMGIDENADQETIDSILNKNR ACHE_20608S MLLGKRTAAALARPTTRIPAATAPTSLRTFTTTPPAHDVASSAT PQRPTRMPSRVKTPWIEALTKSREEARAAAAVAAGGGPKDANVTSTAAKVDTTPKKMS DSHYSVVLPLAQDKWLLDSYLNATGHIRLGSLLMDLDALAGIIAYRHTGDNVTTVTAA VDRITIEHPLMEICDLELSGQVTYATGRSSMEISLQVAKVPPQGQSVKPDDVLITCAF TMVSLDPVTKKPVNVAPLVLETEEEKGLFTKGEENYKAKKALRTRSLLEKAPDDEESN LIHSMWKQEVSYRDPQSPIQRPQNTSFMSSTVLTSAMIMQPQDRNRHSFMIFGGFLLK HTFELAFCCAASFSHTRPNFLALDPSTFENPVPVGSVLYLRATVSYTEPYDAPATGLR EEDGSGDGGKYTKVQVRVDSKVRDVEHGTKKSTGMFHYTFLVDRDVQVMPKEYGEFMI WTDARRRAQNAAAILPGSKYNTLRVIKDSVTE ACHE_20607A MGFPHLSPPAISIHDVNGGYDKPRVIDRFSQSLPTYPFSSSSPM PIPSKSMSNFSPPPPLPPPSRLADLEDGHDAGWVHANSQGSMSTRKLAPISPSSSLFS GYIHNRRPEATVHSDSMMLDDLEGRQGRVPVPRSPEAQIRIEPPPPMDDGFRNSVGPL PGPASILQGERDFSRRNMKDASDSYDQHLLSKIGKPLSPPQSINEGRMTSTLTIPPRT FGGLPSPGGSDGSMLDVKWQHSPISAGGISPGTKVGWNYLDCRSPSVESSAPSSGVEH DHAGYSYRRRGGRTTPDTLSLPSRSNRGSYDERAFSDVEGDYDDSLPPRLFQVREATP PYLDHMRSGTKRRASSPPREIIADHRGSLHVTTNNGDLSQRRTSGYPFGNNLSVSSTY TPSHGSHSAASSISYRTSGSYSSAGLSVGASSMTSVSPYDRPSPGGLSPGLSPNSDLD SLHDKSIMNPSSPGALPGPAMMRPATSDTLQPPSAAPPRKVSLQNTLTVPKLGGPKVS GLYICDCCPKKPKKFDNPDELRAHEMEKQYSCQFCNNRFKNKNEAERHQNSLHLRRHS WSCAALPNFQAAFHSSATTASSSSSSQSNNNSSSSSHDICGYCGEEFPNLPQPDWDRR FEHLTAVHKFGECNNAKKFFRADHFRQHLKHSHAGTSGKWTNILENACMKEEAPPEKN NASEDGKAGNSGSKTSPSSGC ACHE_20609S MAMNQIPGHNIFIGGVLSLKNKAALTRANITHLVSVLRLQQADK ILQDYQRYNIEVDDVDDENLLQHFPAVIKFIQNGLDTGGSVLVHCAMGKSRSATVCIA YLLHRQPKTQTPESALALLREARPLCEPNEGFMEQLRIYHEMGCPGDDVTGHPLYNRW LYRREVEESVACGRAPEMSSVLFEDEQPHKPQDVDRTTEIKCRKCRRMLATTQFIIPH EQQNNSKPSTECAHVFLHPLTWMRPCLFPNTTPSSDAVYGSHPDDAPLSGRLTCPNSV CGQNIGKFAWQGMQCSCGSWIVPAIGLAKARVDVVNRTNFAARSPAAALGIRLPPGMR PNANAVDETGRGNL ACHE_20610A MSLQNTWHHRKVAETAAKACFICYKPSSSVLITPDNKDFFYICP AHLKDRNFCSPVVDTAGPEAKGKEEALAREIEKVKMEYEEKQQRKKEKEKGKDGKEKK DADKDKDAKSDEKERDDKINSLRKQEKAQGQGQGSTSTDDSPRVFSLHKNFYQMRVDR LRNIEMAKRNRQRLQDPSLFPSVPSRGL ACHE_20611A MAAVRPRPYRRILTSALHRRFVHASALAVLVCYVVAFAIGDKSS FFWSWFPIGGCGIRTVLLFLSYLVVFVLRVGQMHIGSRTTTSSISTVKHLVPLHFIQT FGWYLFSAWWFSEIYKWSRPLSAELEWVKRGKPYERASLNERSLYLYSYHMVLAVVQS VFHLYHDYDRIPITIAKRSPTAEDQRTHPQESVSKKIQLALPGFVRDGVKRSAVVAAI CPVFYTLFLRRPAWSFTMYFAKLFWNFPRSAADPPGRIPPIGPGLIFRSLVSGLLLVL CWQTSNLFFSVFISKEPLKRGQPLTGDAKDPNGSLLDGLKAKKETVKTFAFWELCLIS QQFADRRKAILNDIDREGGSAWSQILQSATEVIKGISTRIEEKTNPSSGQSAARPAEQ TQPVIHSLPRLTEPPKEGNFFASSPEAASRREKFGEAFSTAAKSYGQSSDWTPVARAK ARNVFDRASTAVLSPERKQKLLASTQEIRLLTDGDSTAKPENVHPLVAQFLRSPLGQP FRQTYARRLSSIVLGSPYATVSPIIDAIESLNRLLVASLQEDNYGTVQGDVPGVVRLF TDTIKTLEAFINGGLDAHWTDVNFPPSGNPEAQAAARRVPNVDIVLDTLKRCLADLLS VFNPYLRDIGLVGKDLRLAKEAAGLFEDVEMSML ACHE_20612S MSFDRLNSLESQTTPLRRSDDPQYRDDPEFHRLTETLSNQLFNL TSNISRLSNQIALLGTKRDTDRVRERVHDLLEETRSGFKDVGDGMKKVKAWEDVNPAQ KWTQQKLSAEFKSTLEEFQTVQRRALEKQRASAVAARTAMDEGELPPADSEAQQQLQQ QQLEQQPRLANQNEVDFQESLIIERESEIRNIEQSVGELNELFRDVAHIVNEQGSQLD IIGENVQNVGSNTQGANSELRSASRYQKNARNKACCLLVILAVILVIIVLAATLG ACHE_20613A MGAGASTQSPPPADACPVDHKTREVWLQQHRASGGAPHPPPPSA DSEPRTKAQRPLSADREVSSIPRAFNDRADSSQTASVCPSPYAASHDTPSNAEDETGH DKTSGNWIYPSERQFFEALVKKGNTPTSTNSPTELATTVASIIPIHNAVNERAWQQIL DWEKKAPSSDPGSRKCGGPKLYSFRGLGVDPQFLSPRARVNSWMGYQLPFDRHDWVVE RCDGERVEYVIDFYQGKSSGGTSAAAGLTANAGPGKLSFYLDVRPKLNSFEGWRMRFN RYFG ACHE_20614S MAGELDNKVFRATTTAPVNIAVIKYWGKRDPSLNLPTNSSLSVT LSQKSLRTLTTASCAATYPADELILNGKPQEIQSSKRTLACLASLRAHRQAVEDADSS LPKLSTLSLRIVSENNFPTAAGLASSAAGFAALVRAVADLYQLPQSPRDLSRIARQGS GSACRSLMGGYVAWRAGELADGSDSLAEEVAPASHWPEMRALVLVVSADKKDVPSTEG MQTTVATSNLFETRAKTVVPERMAAIETAIQNRDFPAFAEITMRDSNGFHATCLDSWP PIFYMNDVSRAAVRLVHDINRAVGQTVCAYTFDAGPNAVIYYLEKDTELVAGTVKSIL GASAEGWDGPFNEPLKDVPAGVALDKLDSRAIEGIKDGVSRVILTGVGEGPISVDEHL VSETGDIISQ ACHE_20615A MRNLKNVRLAEVQLQNDLPLTSTAWDAALDAVVCTFGPAEGNPV IELRRKRQDAYFLDPVSADAFDSIASWDAPCPLPDLPCDRVLSLHYFADNLTACLVLE GGDIIVVREEPLPGEDKIEILGSVDVGITAAAWSPDEEMLTLTTRANTFLYMTREFEN VAAVTFTPEDLQASQHVSVGWGKRETQFQGKRAKALRDPTVPEKVDEGKLSSHDDGSA TITWRGDGAYVAVNTIEGGTRRVIRVYSREGTLDSVSEPVDRLEGALSWRPSGNLIAG VQRLEDKINVVFFERNGLRHGEFTLRLTEEERASWASNIHLSWNIDSTVLAVRFKDRI QFWTTGNYYYYLKQEIPVLVDPLFPLSYSFKWHQEKALRFVAGASASVLEVEFVFDVA NGSTLIPHDVGAVAVIDGKNFKLTPLRLSGVPPPMAHNELILDSNAIDVAFSKSGTRI AVLMNDRFSVFLWALKNRPVPIPILESSYPLSDAPDSRPRQIAFLNETEVYVLKNGGP NNAQIERTTLETRVTKIVHQTADSEQLFSIFPSLGHETLWLSHVSQHGQPVSYSNIEP LSGDEFQVVPWAQSPTVDTHWAKAVHISEDNDILVSMTRTGSLYANKQLLARNCTSFL VTTAHIIFTTSQHLLKFVHLNSFEELEVPGDTPETDERCRNIERGGKLVTVTPSNFAV TLQMPRGNLETIYPRALVLSGVRTFIDRKDYRSAFMACRSQMVDLNILHDYAPEQFME NVGLFVDQVKRVDFVDEFISRLSEDDVSQTLYQDTLKIAKTETATTAQSAPAPRKLPS KGSKVNRICDAFLVTLDKRIDTNLHNLITAHVCKSPPDLEAGLRLVARLREQDSEQAE DAIEHMCFLTDANLLYHHALGLYDLELTLLVAQQAQRDPREYLPFLRKLQQLPELRKH FEIDNYLGRWAKALKGLHALGADDELRAYAIKHDLYKEAIDAYKYQPEQLRDITHLYA DYLRDQSQHKDAGIAYESLSLYDDAYKCFNIAHLWRESLYCAMMVPLSEAELNEHALN LANTLVEESRDYVSAATIYTEHLHEIDTAARLLCRGSRFADATRLLTLTGKKNLIPDI VDSGLADAMGSMTDLLADFRSQLNAQVPRVQELRTRRAEDPLAYFGGDPTTGEGIEIP DNVSLAPTEATTLAGRSMFTRYTGNTASSRQTSRTRRREERKRARGKKGTVYEEEYLV NSVRRLIERANSTVSEVESLVDALLRRGMRERAAAIEKALQEVLKMCADAREEVFETT ALQEVDLGQGENVTGEEGIRPTGGQGVFWDSVVSTTATGKPRDAPAVKETKKSSLLA ACHE_20616A MNAAVALRARMATSAVARRGFSTTRAQFGSPYHYPEGPRSNIPF NPLTRFFFLRYWAFMSRLSPSIGVGDLRGLIFGLL ACHE_20617S MDELFDVFDQPQAIKPSDAPRRPKKDKSKKRQVNGDVKGNGTAK EDEDTIITDVPPTEDADDDVAEPAVIEDPTAETSKNEQPESKRPRLENEPEPVVLDSF ETAQERELEASAGLQATQDKAPVKLSHQVRHQVAIPPGYPYVPISQHKPPENPAKVWP FTLDPFQEVAVSSIQREESVLVSAHTSAGKTVVAEYAIAQCLKNNQRVIYTSPIKALS NQKYREFAAEFGDAGLMTGDVTINPTATCLVMTTEILRSMLYRGSEIMREVGWVIFDE IHYMRDATRGVVWEETIILLPDQVRYVFLSATIPNAMQFAEWVTKMHNQPCHVVYTDF RPTPLQHYFHPAGAEGIHLIVDEKGVFREENFQKAMSAISDKKGDDPANAMAKRKGKG KDKKLNKGGTQEQNDIQKIIRMIMFKNLNPVIVFSFSKRECENGALQLKKLSFNDDSE KEMVSKVFNSAIEMLSEDDRNLPQIQNILPLLRRGIGVHHSGLLPILKETIEILFQEG LIKVLFATETFSIGLNMPAKTVVFTSVRKFDGFSQRWLTPSEFVQMSGRAGRRGLDDR GIVIMMIGEEMDPAVAKEIVRGEQDRLNSAFHLGYNMVLNLMRVEGISPEFMLERCFF QFQNTANVSHLEKQLLELNEQHANMKISDEGTIREYYETRDHIDRLTDDVKYVMMHPN YCLPYFQPGRFIQIKHKNLDFGWGVLVNYKERKQFKKPEEIPDNQRYIVDVLLNVSDG TSVGTKTYEDLPSGVYPPKDGEKGKMEVVPVMLSCVNAISHIRVHLPKDLKSADSRSS VKKVIGEVQRRFPDGVALIDPLEDMQIKDESLKKNLRKIEVLESRLLSNPLHNSPRLP ELYEQYSKKVELGDQVKDTKNKIKDAMAIMQLEELKCRRRVLRRFGFLNEADVVQLKA RVACEISTGDELMLSELLFNGFFNNLTPEQIAAIISVFVFEEKSKETPALTRDELAKP LREIQNQARTVAKVSQESKLAVNEDEYVNSFHWELMEVVYEWANGKSFFEICKMTDVY EGSLIRVFRRLEECLRQMAQAAKVMGSEELEGKFEEALTKVRRDIVAAQSLYL ACHE_20618S MNSLVATPPVPPHFYEPPRLSPSRPMSTPIHYSSNRKRKADDDN DHDGRMSASPTNSPAFAPRPLPSGRISKRARPNVFGRPLSLPRLMETLDTDALRGILR SMCERHPDLMDEVVHTAPRPSVASALQVLRNYQSHLQSSFPLGGNPGSDYAYNRVRQP LGQLLDALSDFTPHFLPPNESQPSTSLNYLDEATDIVHALPRWTTPQNNIERDSAYDE ICKAWILVIREAAKRGGGIQLQYGGWDQKLQKHNQNSGGKLQAAVQELGSSLGWMHGP DPQTYGGSGGNELGSVREQLLSGTYGLGTPVKVGPW ACHE_20619A MEGSSSRPGFQSRRSYPSFNHLSLTPLTPRYPIDDDDLESESQG YYSARSASPDTERPPSANDYTSTPRPASYLSSMSVPGTPGVLSQTQSRSTSRARTRHS RSKSSSRMNHDGTRTPRRSSSSRPRRSADGDSEWMLRTGIMLASSTREEKGQSWLVKR ASSTSLASEVANNNSYFEDHAAAAAATASSSRPRSSTNSKAASQKSRSEVSTPSRPGS RSSRRGSRPDLATSGLEMTPSYTWVSTPAGGKSEFTPDALDERIRAEMVNMQQNADVD VNMDDGASSSSLSASDASSDSEDEIDEKEMRRLTRESGFGLGSWLDRMVEWTFFGVDE WPTLFSAGSDNRDNRDPPTPDGDRVVENRQVTSEAADDKHMENYSDIATIFSTDDENF TLMVDKPGDVGGWEDARWFLKTIKQALVL ACHE_20620A MSCGLRLAAAARTASYKSFPTRAVRQYSSTSPSSPAMPPTSPFA PRHLLSIADLTPAEFTTLVRNASSHKRAIKSGSMPQSLMGSLAGQTVAMIFSKRSTRT RISTEGAVVQMGGHPMFLGKDDIQLGVNESLYDTSVVVSSMVSCIVARVNKHSDVADL AKHSTSPVINALCDSYHPLQAIADFQTIYETFPSKGHNLSSLGLEGLKIAWVGDANNV LFDMAIGAAKLGVDIAVATPKGYEIPSHMLEIIKKAGEGIPNAGKLTQTNVPEEAVKD ADVLVTDTWVSMGQESEKIQKIKQFEGFQITADLAKRGGAKEHWKFMHCLPRHPEEVA DEVFYSNRSLVFPEAENRLWAAISALEGFVVNKGRIE ACHE_20621S MADEEDRPLLDGGRESSSHPQPPSVMDSSATLMEQEPRPYELAS ESTPLLARRDDDEALAYGTDTTQRRSSFSDNVGEKSRYRLQWPIIFALVLLATVLAVL IFAFAAPAAIKRYAEEATVFKPTHLSIDSATSDGVRARVQGDFVVDANRVQSGTVRNL GRMATWIGREVETSQSDLEVYLPEYGNVLVGTASLPSIKINVRNGHTNHVDFLADLAT GDIPGIRAVAMDWLEGRLGQLRVKGKATLHLKSGLLSLGKQTVFKSIAFEESDFPRIP DVKVDKLIVHDQNISAQKGAMVVDASITAMLGFPFAVRIPPLGFKVLVPNCSPGEPHI SVADVRTEEVQIDPSQLTRMDVGGLIKGLSEELTTTCPGQKDSPLDFLVRSYVNGRTT TIYVRGAESASLGTPLWMVDLLKSVTVPLQFTGHALDNIIQNFTMSNVHFSLPDPFAD PNSPEASPRVSALVKVLIELPKQLNLTVDIPRIRADADVLYHGKKLGVLELHKWQPAN STRTIDANGSPALFVDFAMKDVPLRVTDEDVMADILQALIFLGRSVQLHIAAAVDTEV ATGLGKVAVRRIPAEGDVDVKPPYGGSLNQLNPRIESLELGPTTEFSALIKTKINVTN PTEYSATVPLADFVLLYNTTPVAHVSTRDIAVVPGVNTGIPVDFFWNPMDASGPDGIS VGREMISRYASGDNTTVTIKTHQGTIPALPKLGEAMSKLAFDMQVPKVPVPRSHEDTD DDDKPHFVQSAMVLLSSFA ACHE_20622A MAFRRPLTAVAASTLSTAARTAPRASFALSRFTLFRSSSSSTSA LAYKALHRRSPLPLPVSDTSPQWDAPTAVSSILYETPVAPTNPPKRHVLNCLVQNEPG VLSRISGILAARGFNIDSLVVCNTEVEDLSRMTIVLQGQDGVVEQARRQLDDLVPVWA VLDYTDSALVQRELLLAKVSILGPEFFEELLQHHREITTPGETLEGHKEKKSLAEINE NKEYHPRHLPPSQALRHKHEHLDAITRLAHQFGGKVLDISTNNCIVEVSAKPNRIDSF MKLIAPFGILESTRTGLMALPRSPLSEPAEEAEKEAADVVDASTLPPG ACHE_20623S MADDDRRKRSRFDQTEPEPRRPSRFDRRSRSPSSRQSDTTRTRS PLSREPGSPGTDTGRKSTGDPAAAAAAAAAKINAQLQAKKGIQHVDVPPIRSTSSPAP QSATPSNTDASGKLNAEIYVADGDYIKDIEINDLRNRYTLTKGSTQKMIKDETGADVT TRGNYYPDKSMATAANPPLFLHVTSTNKDGLEKAVELINDLMQKELPNLVDERRFRRR EPPEPVERDEYGRRKWPEERIPVDLEPIPGFNLRAQVVGQGGAYVKHIQQRTRCKVQI KGRGSGFIEHNTGRESDEPMYLHVAGPDPNDVKTAKELCDDLLANVREQYQRFKENPP QHNYGGGYGQRGDRHHGGHGGYGGGGYGGYNNHHQQHYSPATESPSGQATPAASTAGA GAGAAASAADYSAQYAQYYGTDPYAAYGGYQNYVAYYQYYQQYAQQQQEQQQQQQSQS STPQPPPPSGEAPPPPPPGSGSPPPPPPGGSGYSAVPPPPGL ACHE_20624A MAPGALKSRTVDLDFYLHRVFRKTSFRPLQREVITAAVEGHDIF LQASTSFGKSLCFQLPAVVSHGVTVVVCPLLALMADQVNALQAIGVAVETINSTTPVF ERRRITEDLLSGHPRARLLYVTPELCQTQTFRRTLQTVHAQGQLMRVAIDEAHCISEW GHDFRPAYKELSWFRNTLKNPVVSITAVTATATPRVRADIISLLGLNAEQMKIFNTPS ARPNIHYEVRYLEDYAGDPLAAEPFQVNNLISWLISIDARRRKRLCPKTTDLPPISGI VYVCFRKAAEEVANALVHSSNGRISAIAYHAGLTAEERMNIQAMWTSPRPFSAPEPQE PDKPPPSFSIIVATTAFGMGIDNPCVRFVVHWTPPKSFESFVQESGRAGRDGRAAASI VYYNTQERERMYDRLKRTAQDAPNRGIKKAASQANLQAQLESFSKVVRYCETTHRCRH EMIMELFGDYELERLRANQGNSGCGTVKLEKSSPCDYACDICKEGPKAVAVRKSRMVT ESAPEDFVEPGMAQIMQLMFPRAFH ACHE_20625A MPHPNRSQSASNPRAPLSQGLERKLFTRSSSMPRPEDSSHSAPI RRFSSRRPRPTVASIADVFVSSLLIASLCREHSPRGKRISTICMDSTSSVPRWHGWSV AGKRQLSSSSSSSSRKYLDCLRSPVERLGVQCSRTQRMFSSGVAQSVKDWGHDEDSSR KRPVEQCPSPEESREEVELDREKDLNDSPPHQPPDRPDQDSTADTSTSSNKQTNTLPD DSITSLDPESDLSTSDLSIHPIKPRTRRLTPAADKFTATSELYHAAFGNSLNWEEAVD TVSRDNTVNPQKIRRLKILKRWRNMHRNTSKRSRVGIPSVRDLATALWQEEKSNQYIF RLYRDVPSPGVSYFSKRTRGELLRRFSRPPERRWVDARRYLALVEDMISSGLPMSRSL WTSAIHLAGRAAGKVKKHDLIRAIGVWQQMEHVAGIKSDHVVFNTLFDVSIKAGQFTV ADRLVAEMRKRGLGFDRCGKVTMIYYHGLRQDFEGIRRTYNEFVDSGEFVDTMVLNCL LASFIRAGQVKTAELLYLRMMQAQATTQKHLIDGKNHHSPTLSSEFNIYRKRAQKLTR LLKFSMLLKIKLPEHYDALQDALPMTPDTRTFHIFLKFHAHESGDLPGFMSVLADMEN TFAVPPRGMIYLLLFEGFAQHGRRRKAWSAERLQEAWRAFLRALHESKHRMEERFLPQ TRKIVWENPLASTAAAMALKPKRPADDGSSGLYTALPLATQSANVESEEGQTENSGLQ IEEKSDEEEDDELDTTNDSFEDIEVDVDELFDSPTRIEHEPQQDELEELERRIENGVF LGRRMNIAILRAFGTCCGPDEVMDVWTKLERIWQPEKRKMQDVIVVREELERQLNRIR GVR ACHE_20626S MGKLNLTALRVRQTAINQAAAGKIKRLPQWVDVVGDIPPAQVLV RNQAPQHQLFRQRIKTDRETGKQNVVFQAQEKRVKPKKASRLFQPVEMRFEEDQLRKE FYRDHPWELARPRVLVETSGKDFEKHNWRRIQQPGKKLDGESVVQRQLWLLNNVPDIT KTEAYDIARREFYRLRLQEDIERRVAAEEAEATGAEFQPRMLDLGMELENEEFERWKE WAQMEAQLLNQKAASFSGAPESADSEDAAVLDEGVEEGATVTAEPTPRRSAL ACHE_20627S MLSTMVRPEDTIRVPRLYHGNTEEDAGSRGTPESNVPHRPPTND GRPKSESEMSQGNGQMGECGNRISGSARPHPGPKLLSQEETTEIARHAVENGIQETKR SLAGNEAVSDVVKPKLTIDLGHSNIVRIPEPVVDIIKDEVERLSLSNNHIFHIPFRFS ECSHLRYLNIRANNFREFPKGVYKLPLLEILDISRNKISHLPEEIKKLTSLRVLSVMQ NRLEDLPLGLSEMSKLQILKVAGNPLKAPLRQALEDSESVIAPSMSDNEKEVAVTAEL KRFLKTRQLDTTPEVEGNGEPSDAVLETPKPVKRGLNSRFPVIPSTGDGASGPRSPSL SRPPPIPVKSHYRIASGQNAYQSGVLQRPGINPIPANERNRSNSEGIIQASIAARSKR MGVVNRKNTDLGTLDETRPYRNSHFRGLSHGSLLKTRPSGAAAGSNSSSPSSPKERRR LRDGFVNRMSSLPEHKGERETPDPVVEGAKGILFALFQVQSHVSALINVIKRDDSRRN SLEIVFYNASTHVDRLNEALENVENPEDMDVAKLSNETVKRECETCIMAYTHVAMQLR NSLDKIIANGDARYVRSLMLMVYGGVCELRNACMSLGVPLGTRKRVSSAKPPIPEINK ECASVASDRFPGPTMTPTRDRAPSVRRFRSDTTIQRPQFANGPLAGPGNFQSAVSSPG FAPPSFSFGSRSRSSSRSNLINTSVPSSLATPRSGESFPPIPSSVVPRINPMTGLDEI EEERIFEKIFHQLTAAYTAALQALPLARRQFMRCLEVAEHAREPQGIQMLWNNLIRRC RVCLEVSEALGLRLSNMKVKEPGGGMRNQREFWQLCKTFMHSFVDLVTDMREIRSMQL LPPDIVIILRPVQRASREAGRLIEASPWSYLADMASNNGPGNIYGPPLQGQHPHQGSV SNPSGFGMGSPPSLPLPATPLSAALGPAAQATVPSTPASAYSDKFFEGDVFQRADSLL SMPNQAPFFRR ACHE_20628A MNIITFLFGFHALWTIQASLAARVDQAPSSISPFEVHSLPGAPS LPPSWAGRLPVPDVEEGNSLFFWLVEAEDSAYDDNLISQLIKYTFQKCKLIRLVWLNG GPGCSSLLGLTGGNGPMSFVGNSTKLESNPYSWSKLGHVLYIDQPVGTGYSTASDPYP VHDMDRVTSDFHAWLQSFLTQFSHLQSKRVHLIGESYAGFYIPYFASAIIDNQDSFPL DLASLSMGDPTIGDPAAMTSVMIGQYLESQKSALQIPDDIISAFTEGSEECGFDGIAQ KLNTYPPKTGPIPIPGNPDNLYTLKGADALINGDDCYPHATDADGVLYSILNEADCLG SCATFNTAIDYLYTKNNCFDQYDISNCCDKISQFPILANYFGRADVQAALNIPPPSTD SPAHYSACSNNILAALTLSETATIPTSPTFSILPSILNNGSIAVHVYSGEYDFLLNHF GTELVLQNMTWNGAQGFVEKPSKAFYSDDAMPDDSEKGEDKNVAGTWGEERGLSYHFF HGAGHSVFAKKGREMFAFVRDVVVA ACHE_20629S MDLQRAKEARRETPGKQEESREGALEAYGSSGRSNEFAQNDADT HSKPGPATPTNQRSQPTTKLPHRNNPRRISSSAASRSIGVSPPSSKAMGIPTALGLSS RDQRLLLAAKRYPPVTKKTLSELDLPCIMSNINLRMDANFDRDLHFKPDLDGEKGKRK RKEAADYWDALASEISVYSFYAAVGGTEGKDGEQPEQQFEPRLPVMFETLQDILKTLV PERDHPDVMQNLEVSLLMQQIRKGVLDMVGMATWLSTLLKTHCAPMRDEWADRMVKQI STALQAQNSRGIVTGLQTLFAILEAMKLDVANHQIRAFRAFLIEDTVPFLQEYFQSKV QRGHFRVESSRRWYHGHRDLLLQTVDKQTSRDSYWPITVMFHGLADLLLQFQKPTEFP ETFLFDSDRLWQLRVGLQNLITLDISWYIFESYIHAQKRFLSAPAETYATFRTRIGSL MEENSNHEDWARGDSPRWLKIVRSIALEMARFASAACCGDASGISDDILAPIEAALEW HLSNESDLFLYFQSAMREKLLTATCTLAKKYLALSPLGICESQRSPCFVFPTTTMSQQ QYDIERIAMRLAHMGVLHWRVWAPLLYVRESLSPEDILDLQYARISDCTMN ACHE_20630A MTPDLSLPSHNPKLNTYGNTNWDNASFVLYTLIDLPHIELIRKA STFGERWMQASEYSDPHLIRTSPNPQLHQQNPQRHSLRPDHHGQGNDSPLRSQRRRGS GLDAYIMLSSLVFGDEYLEEIGEDGLAVLEREGVDYFSA ACHE_20631S MHLTRPLCQPQLWQKTLPTLKSAHLKSIAQATGIKFAGTKATVL ERIQGELSRHEAGKKQKSENDQNQDKGLSVLSIDMGIRNLAFAHLTVSPSSSPTSKSA KKSEVTLNAWHRIDISANQLSTSTSTSTSPGPIDTSIPKSERRVQEKEQEKEAHTMNE IYTPDFYAQNAYAFLITLMEKYQPTHILIERQRFRSASGVAVQEWSLRVGVFEGMLYA VLYTLARQRRLSLSSHEPAPFSGFFGSGNLVDFGPGPVVHGIEPSRVLRYWGERMGFK NVFLEGKEKKTAKDGKKVKIDLAGHWLDPEHPITGKVDEDGGLSTDLIVGDDVGLNET LHAYLAKWHKKRGKEKGKDIGKLDDLADCLVQGMTWLEWQVMRDRILREGVDFFLENG N ACHE_20632A MHFAGTVHLALLATFAALGEANNNLPRAYSQAAPSSSAVTSSPQ SSSVYEAVPASPSSSPAPVSPSSSSAVTSSPQSSSVYEAVPASPSSSPAPVSPSSSSS VASASQSSSVYEAVPSSSSSLPAPASPSSSSVVTASSSFSPAPASPSSPSVVVSSSSS QAIYPSSPAVFNPFSSSAAPSSAAAPSSSSAALFSAASSSALSSSVASSMASPSSSKP VSPSSSSIVTRPIPVQTETDVETKTSTHDVTLTYTLGTGTSTSLVTTTVQRTVTQVQQ VTETPFEEQTVTITPTPKVEVVTITPTAEGSAEEQTITATPTPEVSTITVPGTAQEMA KEEPTTTISSTSTITYTVWVVPSTSAVPGNGQTAGSEQAADNDTTGNGQTANNNMAVV TTEAAACSQATVTVHDTVTVHDTVTAQDTVTVTAIPTPSLTPTAAASYENSSSSSLAS SPILSSSTPILPAVTTPVIVSSSRTYGNGTWPMSSSFAKPSSFITSRAPQSSGFRRS ACHE_20633S MATPSLQALRLHYNTSWKNFLASSKNLEILSSVPADRPPSPSIL YVLDSSFNPPTLAHFQIATSALQEKPDSPVRLLLLLATQNADKPAKPASFEDRLVMME LFAHDLLSHLQSSQQKQQQLPQIDIGVTRKPYFVDKAAEIETADIYPASLEQVHLTGY DTLIRIFNPKYYPPEHILQPLAPFLSQHRLRVTMRPNDEWGSQEEQQSFLVNLAQGGR ASDGGKPEWAQRIQLVQGSRPEDPPVSSTKAREAVQQDHGLLGTLVAPRVLDFLLRET PYAGS ACHE_20634A MTDTDTPAEGTKQSLMQTARAWGENPFTPTLLATTIAAQHMRPF QAFPMLFPPVLLFTSYANLQGFTTDSAGISAAWSGLYLLLAGRRRQPFMKKWGARGIV RGVTMGLCFANLVGGGLAYTLGKREEEEDDE ACHE_20635S MSASTSGFDSGSAKSRQHNQGNQDRKYTPEQQAAVIRIRKCTPT AYYEILSLEKTATDGEIKKAYRKQSLLTHPDKNGYEGADEAFKLVSRAFQVLSDSDKK SRYDKFGGDPDSRFNPGAAGASAGASPFSSGGFSGFGGGFPRSRGPMFEEEISPEELF NRFFGGGFGGMGGGPQFVFNMGGGPGVRVHQFGGPRPRRRPREANEPEAVPSAWAMFQ QLLPLILLFVLPLLSSLFSSSSSAPSGPSYRFDAAVPPHTMHRMTPKLNIDYFVNPSD VNDYNARKFRQLDQRVEVDYVTKLRYECQNEVNVRERMIQDAQGWFFPDVEKMKAARS LELKSCQRLDSLKKKY ACHE_20636S MSDNMSDADKIRNKRLAKLGNPTPSSPGGEGSDSSAPTTPTQPS SSTPQFPVPSQPQTGTTSTSNSHAPSPRPEPSEGKRIKITPTAPSSAVQSQTVTPISN TPPPQPRAEESIEAFEDRTLSAVFKLSLREDRQKDIHGQRLYYLPALRSELEEQGREP RIDSAVLDQALLEAASNVKRPLDYLLPCWKRICRLHKGFRRPRDDDAKFNAISEARRL CISYCMFAITMPEMFGAESNGSPLKPFLLLDPEEDKGIDLDFIGEAVKRFDEDENIKP AFIAAVEVLSQELAELNINDEYKPYVMALQNLVRHAAVASAITESSLFNATRDPPSFE KRTLLGPWFRLSPLEPNVTMQYFSSPKSRDQSYILNSQRSLRMMQQMISADLLDIINH LIRASKDSRERVLDWFATAMNINHKRRAMQVDPNAVSSDGFMFNLTTCLDQLCEPFMD ASFTKIDRIDAAYLHRNPRVDMKDETKINADQHASDAFYSRKADGTSNFITEIFFLTV AAHHYGSESLTSKLDQLEKDLRHMETTIGRFETERHRWISNPMQLRVFEQALKKYKDK LDLGLALKYSLQGVLFDDQWQARSMLFMRYVIVWLLRLVSGVDFPRQTLQLPLPEQQP EVFKCLPEYFLDDIVSNFKFIMWCMPQIITATQGDEVVMLCITFLESTGYIKNPYLKA GLVSILFRGTWPRPGGGRGVLVDLLNSMPFANDYILHSLMKFYIEAEHTGTHTQFYDK FNIRYEIFQIIKCIWPNTLYQTKLLNQANQNHDFFVRYVNLLLNDVTFVLDESFGAFM TIHDTQTELKRSGNTMDPTARQQKEEHLASAQRTAKSYMQLTNETVGMLKLFTHALAE SFTMPEIVQRLADMLDYNLDAMVGPKSLNLRVDNLQEYGFNPRALLSELVDVYINLMN RESFIFAVARDGRSYKPVNFEKAADILRKWSLKSPEELKKWEQLQRKVKGAKEMDEQE EEDLGEVPDDFLDPLIYTLMEDPVILPASRMSIDRSTIRSHLLSDPHDPFNRVPLKME DVIPDTELKAKITAFKTERLAERRKARGQSAPEKMDTTE ACHE_20637S MGSMISSSLSSLPSFREFITLTPKTYAVLVNIFQYFPVVTIAQW LLSYHPAGKTSLRSSPLNLPGRLAWCLMEIVGPLNLLYTLHASLSGDFSVLPWQNQLV GLLYVVHYVNRAVISPWFVAPSMSPIHVFVMVSAMGFNWVNSSCLAGWVLGYGLDVEG FEGAVSGNGGAYGGAGFGLIPCVGLGLFVVGMVGNIYSERALFRLRREEGDKRAAKKG NASNDHDNKYHKVYVIPPKQGVFRSILYPHYVFEWIEWFGFALTGTAIFPAGSGSVNP IAATASAAAAVPRIRLAPWLVPAAVVAEKLRAPLPLSALVFAVNAVANMLPHARWGRK WYVEKFGEEAVGSRGAAVPWCPWL ACHE_20638A MVQSAVLGFPRMGRLRDLKKATEAYWGDKISRDELLAEGKRLRQ EHWKIQKNAGVDVIPSNDFAFYDQVLDHIQLFGVIPERYSKYNLHPVDEYFAMGRGLQ KPAKDGQDAIDVPSLEMVKWFDSNYHYVKPTLQDTQEYKLAENPKPVVEFLEAKEAGI ITRPVILGPVSFLTLAKADRGQTVDPISHLEKLLPLYVELFAKLKEAGAEDVQIDEPV LVFDLAPKSKAAFKPAYEKLGALGDKAPRVTLATYFGDIVHNIDVLSSLQSLHAIHVD LVRNPEQFDTVVAALGPNQTLSAGVVDGRNIWKTNFKAAIEKVELAIQKLGKDRVVVA TSSSLLHVPHTLASEKTLDPEVREWFSFAVEKTSEVVVIAKAVTEGPAAVREELEANA KSVQSRASSTRTNDPKVKERQAAVTEEQHNRKSPFPTRHAEQGKSINLPLFPTTTIGS FPQTKEIRIQRNKFTKGEITPEQYEAFIEKEIQEVIKIQEELDLDVFVHGEPERNDMV QYFGERLTGYVFTTHAWVQSYGSRCVRPPIIVGDVSRPAPMTVKESKYAASISKKPMK GMLTGPITCLRWSFPRDDVHQSVQAQQLALALRDEVVDLEAAGIQVIQVDEPALREGL PLRSGKEREDYLTWAVKAFRLATAGVSDGTQIHSHFCYSEFQDFFHAIAALDADVLSI ENSKSDAKLLKVFIDEAYPRHIGPGVYDIHSPRVPSEQEIKDRIEEMLSYLRVDQLWV NPDCGLKTRQWAETKAALTNMVNAAKAYRQKHAN ACHE_20639S MGFLLCGRFRKLRRFTSPSECSPSPSFMPAESSPLRHDCQQGPS STEHSQPPIQNEHSSHSSSGWPGKLRRRLSSRDSKRLHLIPKRTDRSTEISLLSLSRN LDVLADPVAADDVGSSLMSERGYDSDAQGISTPAHTAPITDRPPTAASLERAISSLEK PYQGAGTGYTSGQPQELRPEDERREISDTRSALARPAYVRHIPQQLHCSTDIAKGAAH RVPSPLANVTNLSIHDKEADTSRPLSISEQSAKSATTDHGVLSLPTSINGGRGRFYAT VAQHGLQAAQASYERSSESLAGKNSEIAVAKRHHRGSSAEARSIHLGDMNISKALAST STSPRILSQNPSVDENGQNNGYSIRSLSDHYHDCVVQRSANTPSLGQNGLNGFDFGLA HKRDASSFYSPKSSISSAGIPASYRYSSLTANTSSLLGSERGPSGGGYEYAPAAQPYT DMSVVIDSNAAGSESGAQTDALTSKFVEQFGISPPVGSPRSVSNEALPPRNISVGWMS GGRRVGYGYSLVAKDETENQKTPADSSPPEDSGNNGMANGGTEEENTENQDQDPDRQA SMVLSALDEPSVSNNDLPNSVNPQALRRWSGATALVRATDSSESINRSSTASSFWEWF TSRRINQDETGEKVSGKVGSDQGQSQDVEEGPKNSPVFRNRYSELFDEGSRMRFTLGS NANQCSATNDSNESVMQTPSNMSRFGSLPTKRPRVRFRVLNRSKKRDTSSSADFPSVF PVKRSLWRRNSETLGVHGRLVDPGPGRYRPKHSKRFSPDGAEDDHESLEMHSNPE ACHE_20640A MAAADVRDMLDLPAEGQQQPRPHKKQKVVEKRPEGITRELYALL GERAPPIAINENRYKGRPKWMSKLRVRPWRMAPFTNGARSDELVLRHWQRQQEPTNAP ALEGPEAEKPEEKKEPEEEEGVPKPAEQEYPFVKYNVKARVPKRYSDDEYNRHLKNDD WSRQETDYLMDLAEEYDLRWVLIADRYDFQPQPIDAETNSNALVPAKRYRTMEQMKAR YYYIAATMLAFEHPPSEMSETEFELHEKMLKFDPDRERVRKELAALQLNRTADEVREE GILLEELKRITANEQNFIAERRELYSRLEVPISVGNTAMYQSSQGLSQLLSTLLQADK SKKRRSILGPEGAAAPSPAGQTPTTSGPASARDSRAETPGQAPAPATKKAAAAAAAAA ANKEPQQQPLKTLTPAEEARYGVQHHERLTPSVQFRSDRPQKLTHAKSNVQSQKLAAA LAELEVPPRLVMPTERLCKEFEKLIVSVNALLDARKMGEKVESEIRVLEAAREERERK ERETKEKENPQVKTEFDHNDSSLASAAPAESTDGANDGKDPAVPAPSVEGPEAGAGAG NGTAGDTSHKRSASVLSNGSDKSAKRQKK ACHE_20641S MAPSRSSASWLSSTLAVLAIICFSAPANALYFYMGEKQTKCFFE ELPKDTLVVGHFKTEVANPQAGNTYSIDPNVKMLITVDETFDNDHRVVSKRDSHSGRF TFSAADPGQHKICLTPDGSHVSGGWLSGGASDAIRVTLDMAIGETSKIETEDKGKMQD IVQKVKDLNGRLHDIRREQVFQREREAEFRDQSEATNSRVVRWTIIQLVVLSAACAWQ LSHLRSFFIKQKLT ACHE_20642A MGGVVDNRLKVYGTTNVRVVDATIQPMQLSGNLMANLYAIAEWV SDTTKETEN ACHE_20643A MVLELHIWGPAFSLPSIDPQCSAAVAYFSLAVPRDDWVLVPSSD SSVSPTNELPALRNGSTWVSRFRNIVDYLRQYSDGSWDLDRGLGEVQRADNIAFSSFL ESRGQPLLDLSLYVTSQNYYNHTSPAYASILQWPNQWILPPKLHSAAKTRTEHLGVSS LDLEALEEQRKREHSAAVAAGQVPQNFIQRPRDTVSGLLGKTSQQNQFKLEGLTAELF EPLEEILGDKEYLLSDSAPCSLDCLALGYLALAVVPEMSYTWLRDAMQSKGPGVTSYT GRMLKRCVGSKVDVAQALKGVQSPSSPLPWQAPERANVVKVGGTLLNTLIDATPIVRD IRNNNRLREAAESSDLSGIEKQALSQYVAGQKKDVFLSMAMVAGGVAALIGYVFHVGL FGVVMEEEAEAQEEETGEVDLSNLDLSASDFLAV ACHE_20644A MPPIRRYLRISKYSVLECRIYLDNPSDTRWLLDSRDPVLPRIFS AIRPLVLPKLREENERLLARKRGHPVKDVIAEDDFEVALFLRESRTRHSLLTRQKTFE EPDDARKRKPIDQATGSADTGILVESDDDEAQPSLHNIPVATDDDTSTKRPAETDSPE VSPERKRTSKRQKDKQPASDEEDQDEKKLRFRTNYESFNIYGWVLCLLVTRKGVAAKQ AADPAEPKRQVLMEEWMSTQAQGDMDEE ACHE_20645S MNRFTTVPKVPAEKSESLTSPTSPKVLTIPPTSEKRLPHTASCR STSSSIVRASALAAVIVSVIIILVSDLDSPFRPISKYYLIHLSLSVPTQSILNPTDYP EPLFV ACHE_20646S MASQLIHSLAPRAEACDTGNKYDGRMGVRISSVFVIWFASTFGA VFPVMARHLSSSGVPKWAFFIAKYFGSGVIIATAFIHLLAPAEEALTNECLTGPITEY SWVEGIILMTVVVLFFVELMVMRYARFGASHAHEAVGDHNPTVVEAPMHDSKDHIPGQ DHLGHSREHVDEEAGLKERVEEYMAQLTSVFILEFGVIFHSVFIGLTLAVSGDEFVTL YIVLVFHQMFEGLGLGSRLAMIPWPRSKRWTPYLLGVAYGLSTPIAIAIGLGVRNSYP PEGYTTLIVNGVFDSISAGILIYTALVELMAHEFMFSTSMRQAPIHEVLAAFFLLCLG GLLMALLGKWA ACHE_20648S MNLLKYLSLTLLAPVALSATLDIKTTHSVSCTRKTQPGDTVHMH YRGTLSDGSEFDSSYKRHAPLVFPVGKGVVIKGWDQGLLDMCVGEKRTLTIPPEYGYG SRGVGPIPGGATLVFETELVGIDGVDKDEL ACHE_20647A MGWVGVATLIATACYVLYRFPPHTWSSEPASAPPESIPEQAPTQ NNARTTDTSKPPAIPKIEPVPAKESEEENAEEQSTPKASASAPMLEVPVLDLHDSAAE SGSAKSPASLNHNNASPSSLYTTSASTVARQAATMPPRPLPPTLSPSSTLSPQPSQAD SSSLMPPPPLPRNRATGQQQQQPQTQPSQPQFLSPGPVPGRYPPRLNNSSLVPPPSAA ASQRGPQRLAPPTNSLAPPTNSLAPPARPSSNSSKRAVLEPGFSPLDWAALTSNPKAN LRGENLPAQLIRVTPSMLKIQNGRKGRDAWTSYQGKVYNIQPYVPFHPGGKGELLRGA GKDSAKLFVEVHPWVNWDAILGECLVGILVSESEVGEGNALDAMD ACHE_20649S MFRNVLMANPDSLLLFDAGVGQAQGGRPHQEDRCTFILPDQFPA RTEHRLALFAVYDGHGSELVAEHASWNLHSLLAKRPEFQKGDYEAAIKAALSDEDAIL LERYRNETREPAVSGSTVALCFLNLTTGELVVANLGDSHAVLAERDPKYDTPFRIRRL TVSHKPDVPAERSRIEDAGGTVNRNTGTARVGSLNMSRAIGDLQYKNPINNMDDNEST SSSSSASSTPSVSSAFSKLRRASSASSAPENRGNFLSNEPYMTRVKLSSERRYILVIE SDGISDHLDDNTLMQYVTKLSSRGYRAGKIAQEMAASTTSRKGSDNGSCIVIFLDGQN A ACHE_20650S MNPNVSDAPDPQVILNDLNPAQRTAVSSPSPILQVLAPPGSGKT KTLTARVAYLLAHHGYRPQDVICCTFTIKASREMRERIARLIGGRVEARLVLGTFHSI CRRYLVSYGYLIGLQRGFGIADSSDSLAIIRRIVQRGKLGIQPNTARARISHQKAHGV DPEQAAAKYGNQKIVEQQEFVRVYYEYEKELATCNLLDYDDLLLRCVELLRHHPHCVS NVQAVLVDEFQDTNHIQYELMNLFASANRRITVVGDPDQSIYGFRSAEIKNLARMQKL YRDTSVVLLEDNYRSSGSILNSAQDVIEQDTSRPAKKLQPTHSVGTLPVLRKLPTAVA EAQWIVLEIQRCIAMTGNLLKYSDFAILLRSAALSRQIESEMGKVGMPYRMVGGLRFF DRVEIKILLDYMRVVSSPGNSEALMRIINVPPRRIGDETTRMLVNGAQKANLSLWDFI RGVAQGHKSTEKALSKLTDQGLSSFVGIIESSRQKLQEYADGTAPRKLLDFIIKKISF RDYLTATHGQNEENRWANVEELLNQASDIPSPNEEEEEGDLPPIEGLEQQQAHPGEDA LSHFLANVALSTEVLPHNNENEQEGEQQPDEKVTISTIHAAKGLEWPVVFVPAVYNGI IPHSRAEDQDEERRLLYVAMTRAQALLYLSVPLRISRSGEESETSPTSFIPPKIIETR FRPTGPSLQEKAVYMIADILRRPQPASADMLKAQTEISSTLDDRWTADGKRHADLVTK WDGSIAGEEPCPKRRRYDQNSGQSTTTYMTGFTMGNPSNFSVPTTMSSGFSTAREYIA TSTKAEQESKSEATDKAKANSAASRKPGLSQGTISSFFWQPSKPKAPQPSQMSPRNQA GQQAASNPAFKSVLPPQIPPRRLPQAQTLQPPRPALEPSDPNNYTWLSTPSMPAGKPI RRKATSEEENEAQADQGTNEVQSNPGTVNSVRPATTFHTTTMSMVQQPRKTLGIRRTM MNGWQERMKRATNGNGNSGL ACHE_20651S MPTKPNNDSNTTLASNGSTDQVVVMQGPNGGRKAPVVIHQGGQT YDETRPSDWDKQRWK ACHE_20652A MQLKNSLVWFTALTAATASARLHGHERRHHHDKRAAGDMVYATI NGVLQSWVNEFSGGHEATTTSSATEEATQAPTTTTASAVASVSAIPTSESSSSSSDWF SHPSDGQYTREGFGGKTSSSGGGGTIFYAGNVGDPWGSNIIEVTEEKAPQYKHVVQFK GSNSDAWTVVFWNKIGPDGKLTGWYGHSALKFTLEPGEVRYVAFDDDTQGGWAAAQGE DIPKDQFGGWSSTWGEMDFSSSANNGWSGWDVSCIQAQAAKQAVQGMKICTHNNQKCS TITGNAATVDNAYTEALKAVNGIGGNEQSGAVRLVAELDFN ACHE_20653A MDFHFEGPIYRVLRSLLLHAPERPQDGSHSPSGHAEHCISRMQL DANHFETEKVTIKKVASVLLDLHVWNLCAVLFTNSVCLFGLAYFSPSIVEALGYSSTT TQLMTVPPYACGLLVTMLIALIGAALLLVGRSLATRYAALVIFVTGIYSCSPCLISWA PNNSAGYNRRATAIAMGFISTSSRGS ACHE_20654S MMQSKRKTSPSSERPCKKVRFTIDDEIVGVFDRQPSQLDASTIK SVNELSESEKNQWRRYSNPEDFLNYTGLDQDSMEEFKRFHYFDTATGRVSFQDWLLRK AQGELSVVYHRLELFGNEKINWIFIQPLYGSMKSIIENNMMYTWIQLKRINHSLHPTQ DWKYADLLSRLLQREKVQYGLPEGAFHIEERLTADGHRQSDFVCERLLQYYNSYDPNQ HVAPYASIVGVTGKSFMIQQLAVQHGIYVAYASLAHKISNAYPRRSEIADRFPKDGIR RELEKFWECYIVTSLADIEACKTASITPAGFYNLQTKRPYYGYQKEFADRVMSLFNMY PRLRHTEVVKKQRTKVQAILSSRVDHAQILLRRWRLELESNEDNCKIPSSQAGAAKPK ALVCVDEAHELFDHNSFKFHAFRDAIRQRDLSRDLSNFVPQDGAFGALIGTDYSMEER AMAAIGVGKKMFPPITIPTI ACHE_20655S MTFMSLATKAFSVIAPTLRTSPSHSFTRTMTTAVFKYIDPASYD PNATEPFKKPWGKVDGPGSSYRLLDKTRSVENLRGQEAKFSIDNSGFAVYKSPAKEKQ FTDSSRVTTDYYAEVEELLREKLPGIKKVVIFDHTIRRREKTSPRAPVQLVHVDQTPY AAELRVRRHLPPNEVDDLLKGRYQIINVWRPIQNPASDFPLAVIDWRSTAPSDFVKVD LLYPKDAKAGEERTQAPNSEHLTDGYEVRGETYAIAPNEKHRLYYQKDMTPEEVMFIK CFDSRSESMTGTTGIAHGAGHTAFCDPQTSKDAPARQSIEVRCLVFYES ACHE_20656A MISSKEWQKMVNGELYWAWDDDLQANRERCRAACQRFNEAGQVP RRRRVGLWRDIVCDTRPVPPVHPDSKEDEKLFAQTDPVVDPQISVDHGQNFKVGKGSF LNFNLLVLDTCLVTVGERVLFGPNVCLYSATHPLDPAVRQGLEGPEAGKEIHIEDDVW IGGSVIILGGVRVGRGCTIGAGAVVTKDIPPLHFAAGNPARIIRRIETSMALSDLNTG PIAC ACHE_20657S MTPSIGPGTVKDLGPDEDEDDAILRANGHDQVMPRQFNWISALG LGFSITNSWVGYLVSK ACHE_20658A MALLLNKGACPRTKRQILKPETVNQMLTNQIPSYPIYHNTPSKS AKPELANDCPVLPKAGNPSNGWGLTFALSHQENPETGRAAGSASWEGLANLFWFADRT NGVAIIVGTQILPYGGEYRHISSDDSFGRFD ACHE_20659S MEESASPYCAPHLIRTTNSAAKKGSIPAGTQGSNSGRQPIRGRT SATKLFTFSRYLDA ACHE_20660S MTVRATLTELAVEYRLKAIRSLGETLQNGISAGFNEDERDGIFA TIQILLLQDKIFESGVSAHGVHITGALSICNQLRLSDTLRREDKRTIFFLGNLAWLDV IRSLADPERLCFSSQLRETIIKLSDIKFEQVNGCPRALFLIMGGVLEHAKAHAAGQME DAQFEVLLEAARQNLFSWRSTSFSYPSDDSRWFAVAEAFRHACILHTSRLLDATQPAE APIIQQSVTAILDAAAEIPPDCYLLELLVMPLFIAGTDTLSAHARHYVLLRLEHIKFR AGFGNPLPNSLLQSVWDARANQPKKEHENIPWMRFVNVVKRESPLYPANLYRQGKRV ACHE_20661A MVSDPASSHAVIIDTVLDYDRATQTISTKSADGIRKMVKDQGYN VVMILETHIHADHMSAASYLQTKLAQDQGFQPPIGIGKRIEQVQNLFGQRYGVPEAEY KGVFGKYFDDDEIFNIGELSASVLHLPGHTPDHVGYKIGENVFCGDSAFHADIGTARC DFPGGSARAMFQSGRKLLSLADGVKIWTGHDYPPQGREDLRPWMSVREHRRHNKHLMD GTTLEAYVAMREERDAKMSEPKLLHQSLQVNIRAGRLPRPTPSGYRMLHLPLKFEDEE W ACHE_20662S MISRIRHWFLPRPVPNNGSQLRDHLANERTFLSWTRMGLAFAAM ALALGRLGIIDHVFNTEWKRREAAKSKEQQTTGPEPKIPLTGANDILAGKLCWVISAW SFGYGIFRYVSIRQTLLSGRFVPAIWGPVLMTCGSVGSLGVLLQSGTGLALSESSGSE HAD ACHE_20663A MPRLPALDHIVILVSHDTLMGLSDHIQHLFIVAPGGNHADGLTS NKLVLLEDGVYIEFIAFFDDIDPDRRRKHRWGNLKENTIIDWAFTLPPDDDFGPIKQR VRDTKTRFSYEEPAPGGRKKNDGTILEWTISVPTDALTNTLPPGRLPFWCLDKTPREL RVPYTVEPELTQHPSGVRGVSSLSLSVPMREISDLAEVYEAIHGRREPVDLVNQVWPY EVPAGSTAGRHTITLLGSAEGTNITLTLSGTSPGRIELLPGIAIYIE ACHE_20664S MQHRLVNGSGEQQKEPVMDDVRSQGELDTQDAANGQPKETRPTP PSSTPEVDGRENREKLSPQSTSEVDTAGGEADTMWKEKREVG ACHE_20665A MAEVVGVASSAITFATVVAQVTESIITIKDYWSQFRDAPNDLKY LMRELELFGLILAEIEEDSSQEAVAFALKGSKHAVQSLEFCREAATNLQALSNELVRD INSSSRLRKSYAAAKVVMQRGKLERHMVRLRNAIQLLSLSQQCYTRALPRVQPGLIAE KLVQDKKNTAKFKPKAYRHAECHLKSGPPEFMNDTTERASQGEISRHAHASKYLWRLS LPSWLSSKALEVYSERLQHGWQWVFRTYNVIPSTSKVVSLTVAGKIEDLQNLFAMKQA SPFDRTDRFGYTLLHYAMLGPRKEEVLKFLLDQGVESSIAGTHPNLETPLDMLVFCGT LGLGKSQPLFPCLRLLLRYTKETSYEDTPEETINGVLSRFRGSSEEFKFLQQHYCPSY YEMPQETRIAVASKAAFGVWDAYHMPEMIRTMLGPNHLTAEDLQLEGPWRFDSKNTTL VHCVVRKIGASQAALQGSHPWKRQVRHQSRSSGPTPYINMKEGYYYLYKSWHKLFMEF LQAGVDLNHVVDQQTLFLAFLEGYLDWLDVSKCQILSPGEALRKWLTDLKSAGIDLQK FGEIEDSIWKRELIRRDFGPGDGENLCFHRLIGFSYGSCIDDWSVWLSPKWDNFIRDF WSLIERPAETMAGGWPSE ACHE_20666A MATESRTHIDYTVGWVCALPKELIAAAAMLDETHQDLPRQPNDH NSYTLGRVGVHNVIVACLPKGEIGNNNAATVAARMTSTFPSIKFGLMVGIGGGVPKSV RLGDVVVSTPTDEFGGVVQWDFGKVQQEGTFKRTGALNCPPTELLSALTKIEKEHTMQ GSKILQYLKDLETNWPDLRRNCKHIESINTEEIQEQYNDEEDEEDEEEEEEEEGNYCI HCDQTKIVRRKPRDMRVHYGLIASGNWVIKDALFRDKINKTLGGKVLCLEMEAAGLMN DFPCLVIRGICDYADAHKNKNWQEHAAAVAAAFAKELLLLGRSQSGGEKFEEGTVGVS ACHE_20667S MDTQRATELVKFFGIPTRRLNTPIGTKGYDGRAGSTITDAIVCH LLVGGRRFLNQPFLIADLGQHDMIIGRKWFDSHDVWLNVKHRKLVWPEQRSCLDDIQS KQYLEAPKQILQRPKPDPTHQADMERRDRRIEKEEQKEQYRVPRKEEADRRSDMAKMS RALQGQEISTITTNSKPQGRTTDRSAIQIDIAAIGAAPFQRHLKRKDTEVFIASLSEI DRIIEEKREKERQKEDHNEQELVQQLLPRQYQEYADVFSKAASDELPPQRTNDYRIEL EEGKTAESEVGYSPLYKQTAEELEAARDYIVDNLNKGFIGPSAAPFASPILMARKPGG GLRFCVDYRKLNAITRKDRYPIPLVDELMERTSGAKIFTKLDIRQGFHRIRLDPKSED LTTFRTRYGTYKYHVVPFGLTNGPAAFQRFINDTLMDYLDDFVTAFVDDLLIYSKNAM EHELHVKKVLERLRAAGLQASIKKCEFHVTRTKYLGFILTTDGIEVDPEKTAVICNWA VPTTVRGVQSFLGFCNFYRRFIKNYSRIAKPLNHLTRKDVPFTWTNVCQEAFEELKKY LTDAPILRHYHPELETKLETDASDGVVAGVLSQKHGDLWHPVAYYSKNMSDAERNYEI HDKEMLAIIRALQEWRAELEGLQLRERFNIYTDHRALEYFMTTKKLTARQARWAEFLS QFYFLIRYRPGRENTLADALSRPVTDIQKKDEYRHQILLKPETVEAPIQVNDLEPALQ VVDQILKANRNSATAEGYHKKAQEGKDDWTLQDGLLLKGNRLFVPDDDPELRTRLLDE VHAQVSTAHPGRTKTQQLIRARYYWPTWRQDAERYVRNCSKCRRAENPRNHVPGLLQP LPIAERPWQHISMDFRSFPVDKNGYDAALVIVDRFSKRPISIPCKKTATSEDVARMFI KHVYRHRGPPSTIVSDRGPQFVSAFWDELCRILGVQLKLSTAYHAQTDGQTEIVNQHI VNRLRPFINRYQDNWSDLLPMIDFAAATLPSETTEASPFLVDCGYEPRTSFDWISIEG SPPRDEKISRQRAQGTAKKMKNIWTAVAEQIKHAQDQQRKQADRRRRPVDFDIGDKVW LSLRHYQTDRPNKKLDSQMAGPFPILERVGNSYRLELPDSMKIHPVFSPDKLRRAAND PLPGQMTEPPEPIVVADEQEWEVEEVLASRLCRRRLQYQVKWIGFDEDRTWYPATNFK GSPHRIRDYHQKYPDRPGPPCRLQEWLKAWEEGVDEIEDHPDDNMPTQSLGTDSA ACHE_20668A MAAAITSWVLNPIQSLTMSRPCTRKLWCAVPSNLREPFSVVCFP DQDDIETLKKKIWDHAPAHVKKNTADYGGFTLYNPVVQLNHEEQFNIDDGEFLHPRRM ITSNPLFPESKDPDVDIVVVVSGDTTTRKRKRSESQSANVPRTQPITKDPHVCPRERT VSRLAAILDEVNVVHVRGTPASGKTYLSELLRDHYYKAGRKAFLIKKWEDLDSEDPWG SLIELVKKRNKVLKDDPTTTFNVTSSQWKQDLSWILTSNTVILVDEAQGTYHDDVLWN TILKKRQSSFLGYNFRLCLFCSYGSPEAGPDQTFFTPVRLSNQQCISLTPQSQQDSPP IGLFYDKEEFKDAVARSIPNEHQEKFTYDEGAQDYIFALSNGHPGAVESILSALFQVC TKYEFG ACHE_20669A MATVKPDAHPDAQPTSDYHNSAFTLPNGCPIDNPFNSEKVRQYN GKSVKISQLLQEVFLLDQLSHFAHERIPERLGHAKGTGAYGTFEVTSDEIKNYTCADF LQKKANPEDNKTPFFLRLSTTSGFRGSADTVRDTRGFAFKLYTNEGNLDWVFFWPEIF SIWDTGKIISSNHVTKKCPASGLSDSNMYFHFINNNLEAYNQFMRTHSDYGTPRQYSD MVITGINTYTFVKDDDNYSYVRITMLPEAPSTPFTLAEATKKAGEDPDFHNRSLFNLI AETNKKEAALQQGLKRVDDKLSSASSAQKAELEQEKTKLKQQIEKLPYPRWTAYAQII KPEEVENASLNIFDASKTLPKDLGRTIEFGKLILNRNPRNQFTETEQAAFSIANVVPG WDISPDPILQARLFTYPEANHYRLGINCDQIPVNRPKRVWDPTRRDGPNALFNYEDHP TYIAEFNKTQALPGTNTNKSYVGTKRWFANKPIEVVRDVNEWDSPAGQLKQPWEFYSI ELNGAPPAEDQDPVYRQKATEHGIAYKQWAFVHNVAKRLSACTEDNRRQTYETFKTMD TENWQDGKPTSKNLGVLIENETKGIIKESQAEKELELRN ACHE_20670A MNKTTPDIITLRTIAEIEAATEELSLTGKYDKTVRVKKHFIVKY GHSVLLFETESIKFLTTNSNVPVPKVHAAFVDEQTSQTFIIMECIPGDNMKKLLPSLN LRSLPLRRK ACHE_20671S MKRTNRTKGVKRRRTGCTRCRASHHKCDERQPRCGRCQRLNLEC ELSDFIVPSNWCSSVQGTAAVDEEERPSPASTWEVFNNSITPLTQATSPKQPRAASPP SLDGDKVALIQEFQNGVGKWVDLFDDELHFQRTVVKRALESPLLMNAICALTARQVSM VDRADLWKSATVHYYAESLHHLITTLGLPTCCPEDTLPATILLSSYELLVLPGLDHRR HVSGALTLIKTYECKASSQGLVGAAFWVYARQDLAMALLHECPTMLPPEEWGISWSER ETREDRLGNKLVWLLAKIVAHTFGATDRLSIESLRDTRTSLIRELDAWFKSLPVSFSG VTYGPPSPEGFIKHWFAIPSTAAAMCMYHLAYLLLLAEGCNISLSAQNSLQFDIDNHA RSIASIALSPISDGALVQAVQPLFYAAKHISSVGGKVKIWTLLDRIESQLGFHTSDRI RQLQQQVMVC ACHE_20672A MAFALLFTTLLACLCIKRLLSYLHSTNHLRKYPSLTPLSGLTSL SYLYYRSHPFRTRHLYLKHKKHPIIRLGPKNLSFASVQAIKDIYGHGTPCRKDDVYHL TMGSHANVLNVVDPREHARKRRMLAHAFAARNLEGWEGKIGEKVGRLVMQLDRRCTCC LGQGGLVEMEDVTVDFRLWANLFTVDTIVDLALSEKLGMLDSGRDMVAIEGVDGAKYI DSLHCGGRFVSTFVGATDWFRFLKTASIWLSPYLRSQWERGQNFGRIVCTLVDRRLRR QQCGDQLDDFFDCLMTDKSGKARCLERGEIEAETGILLDAGSDTTAIALTHILYYLIK NPCCLTKLKKEVASAISEGTIPPYAGVKSLPYLKACINESLRLSPPVSRGVERRTPSG GMQIAGETIPGDVAVSVPTYVVQRDTTVFPEPDEYRPERWLEGGEKAKQMRDAFIPFS TGARGCIGRNLSMMEQQIVVATLVHRYDFALPSDDWELEWEEAFLLWPAKMPLKIWRR DVSVC ACHE_20673A MSLSTLVGSLAVIFILKLLYDRIRSPLAHIPGPEISKWTGIVTI FYWFAGKKPNYVHSLHQKYGPVVRVSPEEVDICDVDAVKVIHRARGGYLKLARFYRTL SAVETVFSTTDPAFHSAHRRLLANPISDSSLTRFEPLISDRVHLAVNRMSNELESRGV MDVFKWWFYMTTDVIGELSFGESFRMLDSGEKNQYITDLQSLGAISPIRTTFPSLVLL AYRLPLPVFRKVSDMSKRLKEYAQQSIDRYKRVIEESDDPKPTLFTKLYNAGKDGMSD VEIRNDAQAYIVAGSDTTAITLTYLVYSVCKDVNVREKLVAEVASLPEGYTDRALRDL PYLNQVIDETLRLHTVVSMGLPRVVPQEGANLSGYQLPGGVAVATQAYSLHRKEDIFP EPYKFNPGRWETPSKQMKDASMPFGGGSRICIGIHLARMELRLATALFFRTFPQAHVS AKEGMSAEDMEMKSYFLMSPKGHRCLIEG ACHE_20674A MPIITAATTLRRALQDPESFISAPGVYDGVSARIALSVGFDALY MTGAGTAASVHGQADLGICTLNDMRTNAETLANISPSTPLIADADTGYGGPIMVARTT EQYSRSGVAGFHIEDQVQTKRCGHLGGKILVDTDTYVTRIRAAVQARRRMGSDIVVIA RTDALQKHGYEESLNRLKAAREAGADVGFLEGITSREMARQVVKDLEGWPLVLNMVEH GATPSISAQEAKEMGFKLIIFPFAALGPALTAIRSGMEKLKRDGLPGLSEEMTPQTLF RVCGLDESIKIDADAGGGAFEEGVDLKKTS ACHE_20675S MARSAIVQEYSSSTPTLTLDERTALERQNNGIPRPQGYRVSWHA NPAVEPHHFGQSHPMKPWRLTLTKQLVMAYGMHHAMDLYLARAATYEEMADFHKTDYL DFLKQVVPGDIENADQSDNVARFNFGDDCPIFDGLYNYCSLYAGGTIDAARKLCNNQS EIAVNWSGGLHHAKKAEASGFCYVNDIVLGILQLLRHHPRVMYIDIDVHHGDGVEQAF WSTDRVLTVSFHKYDKDNFFPGTGALDSTGPNHPLNPGAHHALNVPLHDGIDDDSYIQ LFQEVIGASVETYRPGAIVLQCGADSLGCDRLGCFNLNVRAHGACVAFVKTFNLPLLV CGGGGYTPRNVSRAWAHETSILIDAQDIIDPHIPNSVSFRNHFGPDFSLFPPLSEMRR LENKNSRPYLASLVQAVREQLRYIQGAPSVQMSFIPPDILGLREETEQEIDEETALIE EEREEREGGGNGVIRARNSRRRELERGAGYRGELYS ACHE_20676A MSSDWGSWFYSSGTRSSIDESNRSDVHSGDDETVEPDQGNVLSH IISQLRPGADLSRVVLPTFILEPRSMLERITNFMAHPETLLPMTTIDDPLERFVSVVR FYLSGWHIKPPGVKKPLNPILGETFTGYWEYPDGTRGYYIAEQTSHHPPKSSYFFMAP EHRIRIDGTLKPRSKFLGNSAASLMEGVAVLRLLNKGQNQEKGERYILTQPNMYARGI LFGKMKYELGDHSYVRCPEHDLVADIEFKTKGYFSGTYNAIGGTIKNEKTGEVYYELS GMWNGEMTIKNVATHKKETLFDAVHAKHTPPLHRPIEEQGDRESQKLWHDTVKAIIAR DHDAATDSKTAIEDRQREEAAKRTEQGIEWQPKLFRHVQGGPGGPDEGEEDLDWIIDA EVDSHNPEVAVKQILSIAPILPGQKDEASAASH ACHE_20677A MPHSETPSTPAATFTAIDEKLTTAEPAALSLDQSSTSNEPGSLP YWLMNIPRNEWPAECPDFLRNLPEKNIQILSTPDERYRRQDWELVKEFIRTNRIERFQ RLPSDLRKYLEYTTQIKARYGSVMRFVVKERLRWGDGDDLDALKPKGRPFKYAEDIKT LYNDWPYGVEEGIIHLVVWVKFELEDDPATDDLTPRARKEIDDYVKETFCSRVPPERV VWFKNWKSLKSVHAIEHFHVMLYQPDMDFVREITGGDVPLIATV ACHE_20678A MTINSRAALVVVDMQEDFCPPNGALAVEEGRSITPVINSLLAHP GFTIRIATQDYHPPDHISFAANHPAPNNIPFESHISMTNPAPGKENDAKLQRLWPVHC VAGTEGASLIPELDSKHFDVHVKKGMNANVEMYSAFSDAFGNMHASLPASGDGDGRAV DVDLEAVLKEKGIQDVFIVGLAGDYCVKYTAIDAAKAGFRSFVVEEGTKCVVHSWWEE TKKELRDAGVSVIGVDGPEIAMIGRSTE ACHE_20679S MAHDPRLAHMGTATPPPPPPPPPENNNACAETANDNAPESQPAE VTPAASDSYKLKFCTVCASNQNRSMEAHLRLSTAASPFPVVSFGTGSLVRLPGPSITQ PNVYNFNSTSYSQMYEELFSKDERLYRSNGLLNMLERNRNLKWGPERFQDWVPGVPRV DHVSKGDKGAIGTEGGVVDVIITCEERCWDAVVDDLMNKGSVLNRPVHVFNVDIKDNH EEALVGGKAILELANRLNEAAALERKANGAQGWENGAGEARRSFDEKVPEILAAWQEK WPNLPALWTLAWL ACHE_20680S MALSQALPTAINLPPRALALTLPYHPTRTYCRDETLYGPTQSTG TAAWSHWQTGHAGMLNSRSTRLRTEARCSSYLRVPRVSRPPPYKPPSISQAQHITQSK LTAGVSPPVNLVSDTKHCLMSRHGMTRRITPTSPISRPARPWCHCQNTAQHQQQQHKQ PDHSNVSHSPPSLLRRDI ACHE_20681A MGNWELLDSDASTVDCGEDDDDDLEAVRPNPSRPAVTRDRAIKH EYTTPPRHAPVKNELHSSPSVQEIQPPAVVHLSDTQSGSDDDDDPDVNEGEIAYQNFK NRRSAKRKRASTTAHKSKHPRTNSTGQLSRNDDKRKARRHGYREYKENPVSSEDDGME YTLPDYLHKRKTQFDRKTKLLEQSGLKLPPDFEDVDFSDDERLVHLRERPKLTTKPCR PYEDITLPYSLGLIPAPIAQWLRQYQVDGAAFLHELFVYQKGGILGDDMGLGKTVQVI AFLTAAYGKTGDERDAKRMRKMRRSGQEKWYPRTLIVCPGTLIHNWRSELNRWGWWHV DAYHGENKELALQAARSGRVEILITTYGTYLQNKDAVNMVDWDCVIADECHVIKERRS ETAKAMNMVNALCRIGLTGTAIQNKYEELWTLLNWTNPGKLGPVTTWKKTISEPLKIG QSHDATLYQLSKARKTAKKLVENLLPQFFLRRMKTLIADQLPKKSDRVVFCPLTDTQA DAYENILTSDIVSYIKNSSDFCDCGSRKKAGWCCYQTLPSGQRWQSYVFPAIAVLQKL SNHLAILIPQGADAKEKQDKDKEMLEIAVPDQWETLVRTRESIVNYANPEFCGKWKVL RKLLKWWHANGDKVLVFSHSVRLLKMLQMLFHHTSYNVSYLDGSMTYDDRTKVVDEFN SDPQQFVFLISTRSGGVGLNITSANKVVVVDPNWNPSHDLQAQDRAYRIGQSRDVEVF RLISAGTIEEIVYARQIYKQQQANIGYNASSERRYFKGVQEKKDQKGEIFGLNNLFEY QNNNVVLRDIVNKTNVAESRAGVSVMGVELDEDNEEEKPFPNPSKQADDDDQVMSQLA AAMIRGDTDAPLTKKENDNDEKQFMITPKKKHDPIEAILTGAGVEYTHMNNEVIGSSK VEKHISRRAQLAEESTANTNVHAFLSGLDSQDQNTPTILDPNTSTNTNGRTPTNSRNS EPLRFKYHPPPDVMKRQFCSMARRFGFPNATEFALVVESMTQAQRRACLERWYGERRE MLLGR ACHE_20682S MPLDTSTTYPLTKLRLDGRRWNELRLLQAQISTNPASSGSSYLC MGNTAIMCSVHGPAEGKRGDGSAGGAAGSAEAIVEVDVNIAGFAGVDRKRRVGGSDRQ SSRIATTLRAAFQSHLHTYLYPHSTISIHVSVLSSDGSLLAAAINACTLALVDAGIPM PGLLCGCTAGMSGSASTPRDPSEDSLDPLLDLSLPEEQELPFLTVGTTTAVPVGEMAL DEADAEEEEMKVSMLNMDSKVHCTYIETMLAVGIDGCKQIREVLDGVIKGR ACHE_20683S MDMDMSMTMSSTATASSAMSSSTSMSGDMGGMDMGGMDMDMGTG SCKVSMLWNWNTIDACFLAKSWHITSRGMFAGSCIGVICLVLILEFLRRVGREYDAFI VKRARLRSKFLSPSTAGAAGGGKSSPSSSNSNLTSALHQQQQSQNQQDTKTTTPNPPV AVSAPVPSRDAGIIRPTLIEQLIRALLHMLQFAVAYFIMLLAMYFNGYIIICIFIGAF LGAFIFSWEPLGLAENENDATAVTGCCG ACHE_20684A MFSRGLRSITTITPPCSSSSLRPLSSILNCNQPSFLSLRKFSNS TAAMSADTKAFFDVQYAPVGSSTPKTGRIVFNLFDSVVPKTARNFRELCQAPQGQGYK GSSFHRIIPQFMLQGGDFTRGNGTGGKSIYGEKFADENFQLKHTKPGILSMANAGPNT NGSQFFITTVVTSWLDGKHVVFGEVADEESYKIVKEIEAVGSSTGSVRSAVKPTIVDA GAL ACHE_20685A MSPVSVDGSDWSGINGYQKSEPPFSPTFSNRSNLATPPTSGVPS GSLGPNGMNGNSSALSNGGSSGRLSDSGNPSPPSSVAGARSSDGFGDQRGRRYKQMEE VLGQHYSVLKRFLQGPYRDDRSSKSNKARDKLLRLSPTQFHELSTDVYDELLRRQQAM PSPGRPPRSDIPPYLLPREDFHEKRNQARQKLASLQHTRFRDLATDVFCELERRFPQF PERESRRASPAPSFRGRPSNGYGTPNGYPPPPGARRSQSRGPSRMGRGYSSGGPGSPM SGGFPPRQGSLGGPPPGPNGEPAPLPKAFQSNTIVPNKSTMVEDDDDAIGTEDDFDAR SDAFGLEGVLSRRGTTTTMGDGERRLLADTQTHASTLQEKVEALEELVRIKDEQLAKY QNGQDKSQATDTERQEWDGLKSELESKISKAEDLNSSLQLELEKVRAEQDTVEKDLRS QLDASRQGSGDSDLQSRFADLETRHKKLQTELQEQQQVTEEVRREASTFLMEMRTLSE QSHANWEREEQLSNNVHRLEEEVKEWKTRYAKAKTQLRHLRASSVGISDSRPDVSSTV AKDHELMQEHGLIKDVHVTKFQISIDELLRIARYDEHRLVMQQVKMVVISVRYILQDV QAAPEDKLRTKATRKVSATANNLITASKNFANSSGLSPVSLLDAAASHLSTAVIEIIH LVKIRASPADELEDVDVEEDISMSQLKSPGYFSVAPSQSRFSNNESIYSAIRPPSEQS RGLSNSNIALSDNETNGVSNGVIAEPRFNYATTNDHELQEVKLYVEDQAEGLVQSIQS LVASIRAEADFSTINTHITSISSIVTNVNSSTEHLIHKPDVNPALRERSGPIIQNLDY HRGRLMDTAAEGEHVPSFEQFREVTNKLPPIAFEIARETKELVQRLNPMQYEEEDDFR ACHE_20686A MATSFLTRSFRPAQEDRDAEPGWLKRQVTGGLQAISRRACLHPI HTIVVIALLASTTYVGLLEGSFFDTVRNPRDLAGQVDVDLLLQGSRSLRLGESTSWKW QVEDASSPNEQKVAQHLALTTFIFPDSTSKSAPPAPVAHDVPVPSNATAQSVPFTPNL FSPFSHDSSLAFTVPFEQVSDFLKAVQEIPDPSADTSEVEQKKWIMRAARGPAGSYKA IGLWLVDAWGSFVDLIKHAETIDIVIMALGYLSMHLSFVSLFVSMRRLGSKFWLGATV LFSGAFAFLFGLLVTTKLGVPINVLLLSEGLPFLVVTIGFEKPIILTKAVLNASADGR RQSSRANGAASQPNGSGQTTSSTPRSIQDSIQTAIKEQGFEIVRDYGIEIAILAAGAA SGVQGGLRQFCFLAAWILFFDCLLLFTFFTTILCIKLEITRIKRHVALRKALEEDGIT HRVAENVASNNDWPQAGSGASDAGDGVFGRKIESSSVRRFKILMVGGFVLVNLVNLSA IPFRNADNSTGLPVMSRVSNFLAGPTPIDPFKVAENGLDSIYVTAKSQMMETVVTVIS PIKYKLEYPSVHYAAEETRGLDIEYTDQLLDAVGGRVIESLLKSLEDPIFSKWIIAAL TLSIILNGYLFNAARWSIKEPETAPAAPKIVEEPKVYPKFDTVQEGSKRSPEECEAML KDKQAPLMSDEELVELSLRGKIPGYALEKTMEDENLMSRVNAFTRAVKIRRSVVSRTP ATSSVTSSLETSKLPYEHYNYTLVHGACCENVIGYLPLPLGVAGPLKIDGQSYFIPMA TTEGVLVASTSRGAKAINAGGGAVTVLTGDGMTRGPCVGFPTLARAAAAKVWIDSEEG SNIIKAAFNSTSRFARLQHLKTALAGTYLYIRFKTTTGDAMGMNMISKGVEKALNVMS TECGFSDMAIISVSGNFCTDKKSAAINWIDGRGKSIVAEAIIPGEVVRSVLKSDVDAL VELNTSKNLIGSAMAGSLGGFNAHASNILTAIFLATGQDPAQNVESSSCITTMKNLDG NLQIAVSMPSIEVGTIGGGTILEGQSAMLDLLGVRGSHPTNPGDNARQLARIVGAAVL AGELSLCSALAAGHLVRAHMAHNRGSGAPSAAPTRSATPVSAAVGAARGLTMTNSK ACHE_20687S MHIPASWKVLTTLLATTANAHSWIEQLMVINPNNGSFVGSPGYP RGYVPRTSPDFSDDAMTYRLPSNGINLTKADKICMDTQTSPDDQKPDFPRLQAQQGSA IALRYQENGHVTEPENQKGKPPNRGTVYVYGTTEPSDDDRIVGIHKVWNEDGTGGDKR GRLLATRNYDDGRCYQVNGGTISTDRQAKFKHTADQLMGTNLWCQTDIKLPEDAEGGK QYTLYWVWDWPTLPGKDDSLPNGKPELYTTCMDVDVTGKADTNTKAQAKYDDTQSLND ASIPGQFAKLFSPGSGSDSGSGSASQGAASASFAVVASSAAAPSAQPSSSSPVLPASS AAVPSAQPSTDLPVPQAAASSAAALSVNLFNSPGHPTTSSAAISAARSSSPAMFAARP SSDPAIFAAPSASSSVLSKYQQTGPSFVTRTKTVHQTHCPDA ACHE_20688S MTTTTTMTPSSPPPTEQQQSPTHLTTTLQPIVTERPSNQRSSAS YAKDRLSTFSNVSYSSQNRSRPGSHVFPVFHSSLSYALVRDFAYPPIHPLHYGPLPPR ASAVSTPVSEQRRLSDPPAPWDSSRGQWSTQPWTTENSYGNQQLPAMSFGDGPPYSED EDLHSPVFRHRKNKSTGTVLIGRRGRSPGRSIPSRYVAEDPERGTLVSMNADGSETYY VNGGDPSDDGPGGEYVTYPADESHYSHLMDGGTYGNPQSYEGDAGFDSEDDDPYTRDF QFAVGCPDEEMHGKAVALFDFTREHENELPLVEGQVILVSYRHGQGWLVAEDPKTGES GLVPEEFVRLLRDIEGGLTSLNDEFEPESTESQDTTPTQQTPGETDKIATTNGEESKE DNGKQPAVVSVSDKSEQGTGSAPADDNNGSPEKKPEDENHASLSTKT ACHE_20689A MPLLSLPNELLFRIAYLQTCRLLYSLANPLLFHHVQNRQVEAMM HAMGSGDHVHLKRLIEAGIPTELSGD ACHE_20690S MASKTIVIIGASFAGIPTAHALLKDVPSTKVILINPSKKFFFNI AAPRIIARPKAFRPEQYLLSIEKQFSHYSQDAFEFVLGLATSINIDTKTVTVNNERVI TFDYLVIATGSTTHSMTDTNSIPIPFKQPASDDTQVLIEKAQDHISRANRVIIGGAGP IGVEVAGEIAEAAEERGAHVTVTLISATDRVLSMLKPRASEVAEQQLRHKNVEIIRSA RVTSVSQSVDSSTWTVTLHNGETLQADAYIPTTGVVPNNSFIPQELLDMQGWVKVDAE LRVQGRNGKKLPIFAAGDITHNSMRLSFKAAEQAAIVAANLKAEVCQKGQKRMYDQGS NMMMIVPIGASGGSGQIFGWTPWNMLVKFIKSKDFFIARAESMIAAK ACHE_20691S MSVQSYKAFRRTTDGSSVEQVEEKLPSSLHPDEVLIRIHAVSLN YRDVAMMNGKYPVKVIDRGIPASDCAAEVVAVGSEVTDFKQGDHVAPIFDLNNLTGTE DETATLGGDIDGVLRQFAIFDQKVLIHLPNHLSWEEAACITCAGTTAWTALEMPRSNG TALLQGTGGVSMIALLICLAAGIRPIITSSSDEKLEIARSLGGPGAVDTINYRTYPEW DEQARHLTGGRGVDVVVENVGPTTMAQSLRSLARRGTVSLVGFLGGFKTDQVLDTIGP VLVKSAVIRGIATGSKTDYQNLCNFLAAKKVSLKSLLDDATFTFEESRAALDHLYAAK HMGKVVIRM ACHE_20692A MLVSIPLTVAALVTSAFADTHTQGVCIDTPGSGVQVYNKAATEK ACDAYKSRNTGSKQWDQCPDCTLKSERDLLYYCESDSEHIGGDELNYYCTQNGAGDSV AW ACHE_20693S MKVHLFFLISLCINSSLVGALPSDKTMSPKNIGHDKAVLLLHDG TTKTIDKKDLRLNLGSVALAPPTDSFPKFFETNYSNSTSSRRLSKRAGAQFIIPLPDA EFLGWDIPMSTIVHANEADATAAMAQGQSIANSISVSTSLTATVEKFLQIGTTINYQW TETATLTGTVTMTIPKNRWGAIVSNPLTFRKSGYIFNGQPGSAQYEYFQADSFTQNTY TYGQNSLSWVKGVVTTCLGDSYPLKRCLGEGEMK ACHE_20694A MSSFSGQDTTKHPDSNISEESKYSGGMGVATMTRYHDSQHECRG LLFENETVACRTRFGENDNRTDAESSCTSFEDYNHNEKADKICFGLKDTEIDNSSKVF NGVTKDDFEHSKIRKHHLDGGSIKNNSKFVNGDLDREAFIAFFCRD ACHE_20695A MAAAITSWVLNPIQSLTMSRPRTRKLWCAVSGNLRRPFSIECVA DQDDIETLMKKIWEEIKEDIKRTTPHYSRLSLYSPVVQLNDEEEFRIDDGEFLHPRRM ITSLFPKSEDPDVDIVVVSGDITTRKRKRSESQSVNLPPERPITEEPRICPREHTVSE LAAILDDVNIVHVRGTPASGKTYLSELLRDHYRKEGRRVFLITEWEKLNPKNPWGSFV ELVKNSNEELEDAPASFNTTSSQSEQGHSWVVTSDTVILVDEAQKTYSDSVLWNTIFK ERQKSVCAYNFRLCLFCSYGSPGTGPDQTFFTPVTLVNKQRISLTPQSQPGSPSIGLF YSKEEFRDVVSRLIKYLYKQKFSFDEGALDYIFVLSGGHPGAVESLVNVIFQNYRHEI KHRHLRTLTEDHVIWFLEDTATVFDKLSRESVNRSFPHIERCTSKISNILNKITEEGS VPFDLNDADIRFCYQNGWIHRVALDGDDIAVLPSRLHEKYIEYWIGTMSKPLPARFDS LLKLCKEILSKFSIMNLRHSAEGKKMSTASQPKPVEAQYQSEFYRGFVHTAGQGVPIS SEWSRTKDGRVDFYIPEKKWAIELLRNHIKVEEHISRFKEGGKYHPWLKENMVEDWII IDCATSLPTKEYSESRLWHAVFVNDYSELQLYNHQKVLMMSVHLRG ACHE_20696S MTAPGGEEVTQSCSGELELWWPFLYGYAKGLIPFRGLVCPVLCE MLPVHPLGCSLGSRY ACHE_20697S MPPKSHTKSKNSVEQEGRMLLAISALNNNEISNIREAARVYNVP RSTLQDRLRGKTYRDETRANNHKMTQNEEESLVQWILSLDRRGAAPRPAHIQEMANIL LSKRGHTTTTTVGDKWVYNFIKRHDMLKSRFSRRYNYQRAECEDPKIIKEWFDRVQIT IMQHGIALEDIYNFDETGYAMGLIATAKVVTRAEMTGRPFLVQPGNREWVTSIECINS TGWALPPCIIFKGKVHIEGWYQDEALPKDWRIEVSDNGWTTDQIGLQWLRNTFIPATN GRMTGKYRLLILDGHGSHLTPQFDEICNQNDIIPICMPAHSSHLLQPLDVGCFAPLKK AYGRLVENKARRNFNHIDKLDFLEAYPQARTEVFKTENIKNSFAAAGLVPFNPERVLE KLNIQLKTPTPPGSRSTDSAPKTPHNLKQLEKQASTIKKLLGQRTESPPSPVNDAINR LVKGCEIHMNTAILLTKEVQDLQAAHEKKRQQKKRSKRQIAHTGGLSIQEGIDLMQRR NEAQEAEDTIQMETVTG ACHE_20698S MDHSVSKRQFACQHPGCNATYRRKEHLSRHEAQHNQRQPFHCSI CGHDFGRSDTLRRHVRRNHKINEPIRRVRQACANCRGMKSRCEGGAPCSECLRRKIFC SLMNQDEVAGAESSDKWIHRTPTLPPNSSFSSQLHCNEKERQALQLYFELFHPHWPFI HQGSFNVLSETPLLLQAMVAIGLWVSGEQSAQSVAIDLHKMLNVAILQQKDRWDASVA ENACSSCTWRIPTYQAILLHIIFSMIHKGDVHVGLDLRPTLPSADTDLLESLVLSCKR LGMFYYPNMLARFQEDELPSYVWVSIEEVKRFDLALYKVWKTLGNRVNRKRGATGVAS QGLEAAELQFPLPKNDPLWNAITKHEWLAAITEDVEYNKLRDTREDEWISKSAEPLRA VEYQHFTE ACHE_20699A MAGNSNINPIIAIAGKGSQYVESLVYESKGDTGAEVLKQSATPG GQIDFVLPNDLEVSPAIKSITSIGSVHNQPEFEDNQELGFVFSRYFTRALNSGNLSGH PCEVRPRGLEGVEEVLKDLKAGRTSATKFIFRIADAQGVILMSIKFRL ACHE_20700A MKDLRLMQHFLRRTCNQMSFDHKRILVWQQVIPDLAAKEGFLMH LLLALAGMHLLLDRLPTGESNKEASVDEYPEADPIKLSDIVEHHQKGLQGLSAALTTL SPVTAEFICCGSTLLVGFAFASLRVRNLDGFGQPHEQTDNGCLQLDWLHLIRGLTSII TQQWPTLKTGRLRSLLYYRHANHDWKGIAPSSPTRFFPRLKHCSQRLSRFVYGASTAL AALRDFMDSLKSLYGTRSESSTSATPSSQLSPTDYLYPYDELFRAQDAAIDILEDMYM RILHILEFTISERGIPVHLDMQADLEDTAVASWPNLLSNTFLASLNVDGEMGIGSVEG GSYAILAHFYLIFTLYEDFWYLKGSFEREIAVIDGLIKRVGNGHLASLMRWPMEVLS ACHE_20701S MRWKYHAAWLLASIGLADSCTSAYRPVTYADSTGSGITVNENHV FKLSSDGTTPSVIILDYGKDVEGHPTFNVTRRSGNTSVFEMSYSETRTMLDSHMSDGP LSLAAAMDTYRVNRYDIANQSSYTNRLVQGGLRYQKLNLSSAGELELSGVGIKPSLSH TSLATAPGSFNCSDPVLNNIWNTGARTIQLNELPAQSVPNFWVITDQGAFVDSLAPQP FAADYATMLTSYDLEFSVKPISNGFGFTVLSDTLGEGIYIFVNVANSSISAHAGSSEL GTAPLAFAPLPPSVELSKWHTVRSMVNSTQVSIQIDGSSVLRFSQTSVFFGSFGLGAS WGHSALFTNVSLASSGKQMYSSSLTNKSALQDFLLGTNPLPVSVDGSRRDRIAYAGDL DIAASSAFASTGGLEFINGSITLLGSFQMPPGFFVPNVKIQQAPRASGPQANITGLIG YSFSLVGAMAHYYEQTGDTEFLDRWTPSAIRMLDWAHSQTLSNGLFNISNPTMGGDWN YYDPSLSGVVSKFNLIYAYSLKQWLPLMADAGRNTTLYAQRLQHLQDAINEHLWSNDL QAYYLSDTYKDSLSQEANALAILSDTTSSHGASTILSTLARELYVPSGALPFSNASAA HGWARKISPYASGYHLKAAFHANDSNTARHLLHTVWGPMSDPNRANYTGCMWETLDID GTPGLGDSTSLCHAWSSGPTADLSRYVLGIQPVSPGFGEWKVQPQTLGLDWAKGEYPV PQGKIKAHWRFDGSDLLHMDVTAPEGTNGTVHLPSSLRKALSKYKASGHDFEQDGSFV MKGGRFTFEQVE ACHE_20702S MADSSSDGAVTFALYRYTPSIPAAVVMAVAFGFLAVFHAYRLVR ERAYFFIPFIIGLIFEAAGYIARIFSHFDTLALGPYIVQTMLILVAPPLFAASIYMTL GRLIREMGAESASIVRVKWLTKIFVAGDIISFVLQCGGGGYMAAGSAEAMQSGEYIVI AGLAIQLLFFGFFMFVAFLFHWRVTESASAYKISKAVESQSGRFSWQTLMWTLYGACV LILVRSVFRVIEFVQGNDGYIMKTESLLYVFDGALMVLMGAILGMVFPGSFLSGQQGA RWERTVSGSAASDTLPLEEGRYEWK ACHE_20703A MNMMDEDLGLLFPRQSSGTCSAGSIAEEATCVPESTCDGAIFPN QCGTGSECCFIRPCSVSEGSGMCKNDTRDACGGVFYTGDISKAPCPGDSTIRCCVTFE NMNNGTNGDGSSNSSDSSSSGLTSSQIGGIVGGVVGGVIVLAALFLVFSFGYWRKRQR DRQIPVEIPSGRDRKEEENSGQGRFMSEVEAREVGELDAGNERRELDEHGQAVYELEG VSPVDGRKR ACHE_20704A MDSLKEQVSNLTLYDIKAGVRKVQNAVMNYTEMESKVREATNNE PWGASTTLMQEIASGTHSYQLLNEIMPMIYKRFTDKTAEEWRQIYKGLQLLEFLVKNG SERVVDDARSHMSLLRMLRQFHYIDQNGKDQGINVRNRASELVKLLGDVDLIRSERKK ARANRNKFSGFEGGMGVGGGMSSARNSRYGGFGSDSMSFGGYSGGVYGDGGGFGGGAS DFQDYGRRGGNQFEEYDEYDEADAAPATRRAASPPRAKAKQAEPPKPKEPEPDLLGDD DTPATTSTAAGKQPATGGGLDILDSQPAGADDDEFDDFQSATPAPAPAAPASSNQFGI PPPSSTVSTTSTTQFAAPKPVSGSQGVNLNGIVGFTSMTPTPASSIASPTSSAGNATM QPLQQQKPLQPKPTGYQAATPNYFTSVSTNANQPPAGGLGHRPGMSSVSSLTSATSSS AAGPKPAAAASKPSGGDAFGSLWSSASAKAGVQKSNSTASKGPNLASMAKEKASAGIW GTPAASPSPSFGQSQPQQQTQQGSSKTGSSGLDDLLG ACHE_20705A MSSNSFSLKRTQTDLPSHAPGPARHGSDASTSSSIYSLSSNSFV PSRTSTVSSSASIGSHPSIGHRRGKSEVNNTVATGTMTASDGSYKASRANAGATYENI RRSLRPLPQVPNVSAVPKKDNVPRHARSYTVDDTKYWKENRPAAPDCGDINRQENVPP EGKELLAPQPPPHGDSSRPWSPQALVPHNRPPHLKPHHGHSLSNPAPITTTLTAPDLE TFQKSSTGHLRTLSKFAKTGESEELALGSSTASVVGLHGRRRLKRADSVAPTSSALAA RKKNTSAWTAGNWMDKQRQFLQAYEYLCHIGEAKEWIEEVIQKQIPPIVQLEEALRDG VTLAEIVQAMYPNRSLRIFRHPRLQYRHSDNIALFFRLLDEIELPELFRFELIDLYEK KNIPKVIHCIHALSWLLFKNGMLDFRMDNLVGQLEFEHHELEQTQKGLDKSGVSMPSF AGIAGNFGVEPEPKPEPEESEEERIQRELGENETAISDFQAQIRGAMCRLMLGNVMND LWDFEPLLVDLQARLRGDWARQIAHYRLDMRKFAISLQAVCRGFLVRSQQQDDKGLWE AKEQDILQLQSLIRAAKARAEVKHIQTSMQKAAPGIKQIQAALRGALQRKYVCDIYDD TRDEEPNVTLLQAAIRGALLRNHVSNEYEATRAAEKDITALQAIIRGALHRNHVSIEY EALREAESQVMSLQAIIRGVQQRKQVGAQHAAIESSQEDAVPLQAVIRGMLIRGSVAH TKAQLDQEIPSIVSIQASARALAVRNHKSQLAKALQKTEEQCVALQAMVRGAATRENL DSLRDALAQHTTSVVELQSFSRAVAVRQFLDSQREALKAENEPILELQSFIRGALLRK RLEDDADELREEEHMIVNLQALSRAALLRIEVGGILEQLEDSEHEIINLQALTRAMLV RLEVGQMLSDLEADEDIITDMQARLRGHIVRLRFEEKRRYYRANMEKVVKAQSVVRGR IQGQAYKSLTSGKNPPVGTVKGFVHLLNDSDFDFDEEIEFERLRKVVVQQVRQNELAE QYISQLDIKIALLVKNKITLDEVVKHQKHFGGHIGSLLPNTEISSTDPFDLKALNKAS RKKLEHYQVLFFLLQTQSQYLARLFRRLREVNTPEKEYERIRHLMMGLFGYSQKRREE YYLVKLLARSAREEIESFGSLHEYLRCNSFWNKLFASYMKSPRDRKFLREVLGSVVRE HIVENQTLDLESDPIQIYRSSINNEELQTGRRSRRRPDIPREEAIRDPETRATFIQHL QDLRDIADQFFAHFEEVLYRMPFGVRYIAKEMYESLLSRFSSEDPGFILQAVGYWVWK NYFQPAVLEPERYGVIDRGMTQEQKRNLSEIAKVVAQVASGRLFGAENVYLQPLNSYI GDSIQRLGLIWGDVISVQDAESYFDIDEFNDLYAKTKPTLYIKMSDIFSIHQLVASEV NHICSNPDDILKEVVRELGNVKTNENELMSVNSSEINLTLVPKLAQIEDPEGDVKALF METKRCILYIIRVQTGANLMDIMVKQPTVEDEERWMTLVRDELSTHNTPRSPYAEANS LVDIGSMTYSELKGTALENILRLERTGKIRRDNHYQDLLNAIAIDIRTKHRRRIQRER EIESARLTTARLNDQAHWLDQQLKTYNDYIEQAMITLQNKKGKRRFLMPFTKQWDHQR ELQKSGKVFKFGSYKYSARNLADRGVLVHWKGYTERQWDRVDLTISSNEVGVFTIDGS SGPMMVPGANAQVPLDDLLQAQFNNMQFLDFFDGHLRVNVNLFLHLIMRKFYNE ACHE_20706S MTDSEPLFLYKLVSSSTPVREPLLERLEVSEIDQKSGFIHLSTA FQVPGTLNTFFQDEPLVYVLRIPYGSVEQNIRWEPPEGKGGPRPDEGLFPHLFNGLKL GRDEVESVAIWTNQGGWETALTQARPWLLY ACHE_20707A MSEGGAFKAPQAAQSQPKPGLESRMEPPSESTKLESSGETIEYV GSGKLKDKKVLITGGDSGIGRSVAILMAREGADITIVHLPQEQQDAEDTKRMIEKEKR SCLLFSGNLVKRETCHKAVEEHVKKFGRINVLVNNASKQYTCKNFVDIDLDKVEDIFQ TNIFQMFALTKYALPHMTRGDSIINCTSVVTFRGSSSMVDYASSKGAIVGFTRSLALQ LVPKGIRVNAVAPGAIYTPIQVDTRSAEQMSGWGSKASLGRPGEPVEVATSFVFLASP DSSFYYGQVLHCYPLGD ACHE_20708S MTLGQGDNQGFAMKAEIQDYSKALEVLEKDYPTRDGLDVDTLLD SNEHGALTYNDFLILPGHIGFPASDVTLDTPVTKRIALKAPLVSSPMDTVTEHNMAIH MALLGGLGVIHHNCSAEDQAEMVRKVKRYENGFILDPVVLSPKATVGEAKDLKSKWGF GGFPVTENGTLRSKLIGMVTSRDIQFHPTLDDPVTAIMATDLVTAPAGTTLAEANDVL RSSKKGKLPIVDGEGNLVSLLSRSDLMKNLHYPLASKLPQSKQLICAAAIGTREEDKT RLKLLVEAGLDIVILDSSQGNSIFQIAMIKYIKENYPEIDVIGGNVVTREQAASLIAA GVDGLRIGMGSGSACITQEVMAVGRPQAISVRSVSHFAARFGVPCIADGGVQNVGHIV KGLAMGASTVMMGGLLAGTTESPGEYFVSNEGQLVKAYRGMGSIAAMEDKKAGAGSKD SKASNAGTARYFSEKDRVLVAQGVAGSVLDRGSVTKFIPYLVTGVQHSLQDIGVKSLE ALHDGVNDGTVRFEVRSASAQTEGNVHGLHSYDKKLYS ACHE_20709S MIPMSHFSVLRGSSVNCLQVLYKSSPLELSARALPHRISAKEFK TYSQLLNTKSRQLPGIYQGSLSRKMSTKNKRDSKLKPPSPPRPSSSVVLLSPQNEILL LHRVKTSTSFASAHVFPGGNLSPQDGPCPPIEDLKRHDDAPWYRRAALRELFEESGIL LAKDEKSGEMITVSEEERERGRTAIHGGVVEFGQWLVQQYGGAVPDIDKLIPFTRWIT PTNVPKRFTTQMYLYFLPLPVAAESEKRLLKELPEGGKPEQIHLPTSDGGIEVTEARF LPACEWLRLARAGEIILFPPQFLLLHLVSEILDKDPRPVGSSPASLAELERRRAELVK FVHSGTPPWTEKCISPKMLKMAGDGRVVLGLDHPGPELGASERKGESERVVIVRFWKG EAREIDVASRDSVSKL ACHE_20710S MENERGEIVDLYVPRKCSATNRIIKANDHGSVQISIAKVDENGR YTGENQTYALCGFVRARGESDDSLNRLTQRDGYLKNVWSASRQR ACHE_20711A MADLTNPILDALSNTDAPILSSDAFPSTPSLSVKSALDRLASRQ MVEYDTIEREVVGLTGEGEHIVTNGSHEAKVFEAVAAAMDGLKITELPGIVGKDNAKI GQGNAFKRGWIKKDQDKLRTNTESIVDETRELLLTVQKTQTLDNQKTLAELKKRKLVA LQKVINFKISKGPKYSREFVKEETDLTAEMLVNGSWKTASFKPYNFKAKGAPTPSGAF HPLNKVRQEFRNIFFEMGFEEMPTNRYVETGFWNFDALFVPQQHPARDLQDTFYISDP VQADPPREDPLNDPHRPKSAQPVSRAYKDNEKPLDYKAYWDNVREVHQNGKYGSVGYR YPWNADESLRLVLRTHTTSISTYVLHKLAANPRPARFFSIDRVFRNEAVDATHLAEFH QIEGVIADFGLTLGGLIGFMEVFFAKMGIHQLRFKPAYNPYTEPSMEIFGYHQGLGKW VEIGNSGMFRPEMLEPMGLPKDMRVYGWGLSLERPTMIKYGVSNIRELLGHKVDLGFI ESNPAVRLEKD ACHE_20712S MGLSRPPSADDSGSDSSGTLQQQQQQQQQQQQLSNNAHNPHHPH RTRSHPAGPDGRHAPKDIMEDDDDNDDDDDDETSDEEDDELDSGTRLVREEDISYLRN HVQKQAEEISFQKDVIARIRDELLQQEEQTRRALTKVENEDVTLLERELRKHQQANEA FQKALREIGGIITQVANGDLSMKVQIHPLEMDPEIATFKRTINTMMDQLQVFGSEVSR VAREVGTEGILGGQAQITGVHGIWKELTENVNIMAKNLTDQVREIAAVTTAVAHGDLS QKIESRAQGEILELQQTINTMVDQLRTFATEVTRVARDVGTEGVLGGQAQIEGVQGMW NELTVNVNAMANNLTTQVRDIATVTKAVAKGDLTQKVQANCKGEIAELKNIINSMVDQ LRQFAQEVTKIAKEVGTDGVLGGQATVNDVEGTWKDLTENVNRMANNLTTQVREIADV TTAVAKGDLTKKVTANVQGEILDLKSTINGMVDRLNTFAFEVSKVAREVGTDGTLGGQ AKVDNVEGKWKDLTDNVNTMAQNLTSQVRSISDVTQAIAKGDLSKKIEVHAQGEILTL KVTINHMVDRLAKFATELKKVARDVGVDGKMGGQANVEGIAGTWKEITEDVNTMAENL TSQVRAFGEITDAATDGDFTKLITVNASGEMDELKRKINKMVSNLRDSIQRNTAAREA AELANRTKSEFLANMSHEIRTPMNGIIGMTQLTLDTDDLKPYTREMLNVVHNLANSLL TIIDDILDISKIEANRMVIESIPFTVRGTVFNALKTLAVKANEKLLSLTYQVDNTVPD YVTGDPFRLRQIILNLVGNAIKFTEQGEVKLTIRKSDREQCAANEYAFEFSVSDTGIG IEEDKLDLIFDTFQQADGSTTRRFGGTGLGLSISKRLVNLMGGDVWVTSEYGHGSTFH FTCVVKLADQSLSVIASQLMPYKNHRVLFIDKGENGFQADNVLQMLKAIDLEPLVVRN DEHVPPPEIQDPSGKESGHAYDVIIVDSVATARLLRTFDDFKYVPIVLVCPLVCVSLK SALDLGISSYMTTPCQPIDLGNGMLPALEGRSAPITTDNTRSFDILLAEDNDVNQKLA VKILEKHKHNVSVVSNGLEAVEAVKQRRYDVILMDVQMPVMGGFEATGKIREYEREKG MYRTPIIALTAHAMLGDREKCIQAQMDEYLSKPLKQNQMMQTILKCATLGGSLLEKSK ESRISSSGEMHPVHGAINRRGRSGLDKEEEYALERAMR ACHE_20713A MRPVKNGLALVVTPLALVAAIPMPGGGTLPPNLVSILTRSGIHV PTGTADFPAFQSDLSTMLESIPTATHGAVPSPTHPGGGPTGTPEGPGTSPGGGGPGGP SETGGPGGGPGGGTPSIPGMGPTGSPIGPGGPGASPTHPGGGAGGPGGETPGAPGASP THPGGGAGAPGVPGASPTGPGGAPGASATHPGGGAGGPGGETPGAPGASPTHPGGGAG AGPSGPEGPGGPGAGASPTGPGGPGASPTGPGGPGASPTGPGGPGASPTGPGGPGASP TGPGGPGASPSGPGGPGGAGGGAPGASPTHPGGAGAGTPGAPGASPTSPGAGGPGAGG PGASPTGPGGAEHPTGGAGASPTGPSGPGASAPGGGQPGQPGGGAGGAGGGQPTPGGG AATVCATVTSTVTVTVSECPPAGGAGGAAPATQPGAGAGAATTPAAPAQTAPGAGVGA GTAPGAGAAPTTVPGAGAGAGVGTAPGAGAGAGASPSTTHPGAAGTTPVSSVTTTPGA GIGNVTTTQRPSNITSPTGPAGIPGAGAGAGASTTHSPGAGAGAGATGTGATGSPGAP GGAGATGSPGAGAGAGATGGAAGGAGAGATGTTPVTSPTSPAGGAGGAGVTSTTTVPV TPAGTSPAAGGGAGAGVGTGTTPVASPTSPAAGGAGAGAGTGTTPVASPTSPAAGGAG AGAGTGTTPVASPTSPAAGGAGAGAGTGTTPVASPTSPTAGGAGAAAGTGTTPVASPI SPAAGGAGAGAGTTTTTTTTHAIPPPAGGTATTPAASPAGTTPAVPPPAGGGTTPVTP SPSPSPSPSPSPAGTAGAVRRMVESREKHGRMGMVKGILADAVGA ACHE_20714A MQTNAGLPEQQRETSAVTTATPDPWFEKPSTGVAHDNADPNGRM VTDAEKRVEPTIQSHHDQSPANEAQALEDGLHRSSEELPSGHSTRSAALSRMTTDADG NTYPEGGLDAWLVVFGCFMGLFGSLGLVNSIGTFQAYIDEHQLKDYSSGTNGWIFSMF AFLCFFCGVQIGPVFDARGPRFLVFAGSILIIAMMVSIGFCTQYWHFMLSVGLAGGMG SSLIFTPAISAVGHFFNEKRGIATGLAATGGSVGGVIFPLVLQDLFPKIGFGWATRVV ALICLISLIVACLLIKSRLPKKPASKENVLPDFRIFKEPKFALTTAGVFFVEWGLFIP ISYISSYALTHGVSTELSYQMLAILNAGSFFGRGIPGFFADYFGRFNTLIVTVALCLI CCACLWLPAGDSLPLMIVYSAIFGFASGSNISLTPVCIGQLCKTEHYGRYYATSYTIV SFGTLTGIPIAGEILARCDGKYWGLIAFTTCCYAAGLACVTAAKLIHVGWHRPWVIY ACHE_20715S MPLINPFKRQERSNFPGVVVPLAPSSPERSPSPAQAFSTDPEKK PNPDEKSDGRSLDRSENGVGPVPVGGPLTIDALRAEVESDISASGHNSTYDRKAKVIN RAISDIGMGRYQWELFALCGCGWLADNLWLQGIALTLTQLSAEFGVSETRVRFSTCAL FLGLCLGASFWGIASDVIGRRPAFNLTLLISGAFGLAAGGGPNWVGTSALYACLGLGV GGNLPVDGALFLEFLPFASGNLLTMLSVWWPIGNLIASVVAWGLVPNYSCASDLKACS LVAPGEACCRKEDNMGWRYLVLTLGAITFVMFLGRFFLFHLYESPKFLLSRGRQNEAV AAVQGIAYRNKKTTWLTVDVLNEIGGHQEEVASPKLSYAEILKRTISKFSFQRIAPLF ATKRLGLCTILIWFCWVTIGMAYPLFNAFLPQYFSASSSTYITYRNYAITSIVGVPGS ILACYTVEIKYIGRKGTMAISTMITGVILFCFTAAPDSNIQLVCSCLEAFFQNISYGV LYAYTPEVFPAPVRGTGNGIASCLNRIAGLCAPIVAIYASGADPKVPIYVSGALMLAA FVAMCFFPIETRGKQTL ACHE_20716A MSTIQSLKNFIRHGKQARLVTPHAEPTTNVSPIHAQHQRQPQNT SAAGNLDAIESKLGNGQAAGQKSPDGQSQHKRAREAEIEKIVAEERQMSSQMPKYPGL ERWVLLEKMGDGAFSNVYRAKDATGPYDQVAIKVVRKYEMNSTQRANILKEVQIMRQI DHPNIVKLIDFSEARQYYYIVLELCPGGELFHQIVRLTYFSEELSRHVILQVAKAIEY LHETSGVVHRDIKPENLLFYPVPFIPSKHPKPVQPGDEDKVDEGEFVPGVGSGGIGTI KIADFGLSKVIWDNQTMTPCGTVGYTAPEIVKDERYSKSVDMWALGCVLYTLLCGFPP FYDESIQVLTEKVARGQYTFLSPWWDDISKSAQDLISHLLTVDPEKRYTIGEFLNHPW IRQSNEETQAAADAPPLATPRPQQTPMDPMAAETPAVERPLDFRSPGAINLREVFDVG YAVRREEEERKRRRDHKQAYKDPTARFQSALNPLNEASDDEEEEQVTYQPMENPPLKV HKSSQLAKDMASMEVKLRSTNLDAHANPPVAQKYPRNKGGAEKKPVSRGPREPFELSL NKATLLEKRGQRQQAA ACHE_20717S MLLLYSTNLSGHSCYCYLDHDIDMPGVRDSDSSLVASDIKQSRS KSSKAPRRREIVIQIFAPAARDSFSGHHDYAKSPTLALTHRDPSEDDVISDGERNNGR RSGLEYRVPEIRVSTVEEAPVRESRSTSRSRQTTPSVRAETTCGTVYSDGGSDTARRS PSSLRRRERRRIYAQKPPERGEHPLKTEVKYESDRSEKSGYSPQRSPYELTINPPKRQ RSRKYYCHESKDTEPLEPPAMIDTEAHDLGIPSKHESNVRDQVDDAHGPAHSLSLEQF RKEIGWPVDYPEDPDHQRLSGVSTASTVEAVIVDPVRSTKPILRHTEKRPSLRSASSP VTKLERASMTSGSDTQHRLVHKAARITDEDRRNIAPEMSIPAAPASEAPHPNVDVVPV VVIPERHSSLRASPSTSTSRNPSKASSQRSRRRATTAPGNRASSLGPPRKAGSVAQGC RPVIPPRRSSLSAPTSANNSRATSLTSESLRSHTLAMEDAERRKHQVEQPLLEPPRQT SRPNSHMSDTKTQSILIGIEDTSDLLSPSMPFSLGSMPSSPGWELNEAKAVSLYPHNN ESLLVIDQQKRREKEASQAQRRQKTAKPQAKPQQYISPPIQVSPPTPPKELEQDSRTS KKQEPTVRRFGSLRRAWTVRSRPRATDTLKRSFSMSATNCKAGKDRFWRLHNSTEPST KPPSPFQTGNKNEHVVKNSLGMPQPCVVINGPDVHPRHQARPSRSETRKRSRLYDPSL NRSTIALGNTLNSPGFLNRTTRASPLRRRSFHLVSMMGYRIRFAAMQKRLRRKMQLRE EQKHEARREKLKQSIGDVVQVQSSAGNAVLPRGL ACHE_20718A MPPTEGDTLPIHEPGELTNESGGQTDEAERPTDEQEGELPTDKA EGGHMPTDEQGEDWLIDEALVLDESAANGSPTSYNLDQFVGWFCLSSVRHLSIWLPGN ANLEGLKDKKLNLPQLQTLILARSTASAQAIASLLAQTQSLKSLHLGLAYDWYTETVL EGGEFITQALQSISKTIEDFSMGLEYYPRFNGSPHEDESKGHLLVPFHGILKKKSHAS K ACHE_20719S MDGYVARGYQLEMLEQSLKENIILVMDTGSGKTHVASMRITAEL ARCSSEKIVWFLAPTVALAAQQKEAIALHIPAINIRLLIGDDGVDRWSEQRIWDAALC DMSVVVSTHAVLADALAHGFVRMEKLALIVFDEAHHCVKNHPGNRIMRDFYHPTKKSR GPQAVPHILGLTASPIMKSKVADIRKIENNLDAVSRTPQLQRQELMKYIHRPNLSLLI YPTVSANAIPPEEVHSLQVLFQLLPDRNYGPSSDFTGALIGLIGAKAQDQMTRFFIKA MRIYYTLGAWAADFFITETTRRTVGQVESNVNSLWVDQAKVGLAERLQPVLHTSRIPL CASGAVSGKAERLLSFLQQEHHGNIAGIVFVKERTASYVLAELIAQHPATRDVFRCTS CMGSTNKGKKHDIFNVLDSDEVDETLLQFREGGKNLIVATDVLEEGIDLTACHLVVCF DQPSNLKSFIQRRGRARQKQSTFAIMVADDDTSDAIKRWQELEEEMIRLYKDHKRTLQ NLEQLEELPEGVGFQLSLRTGALLTADTAVSHLYHFCTTLQSKELVDLRPRFKIEKEP KTGRFRATVILPSSLDPTLRVAQGLLWWLTERAAKKDAAFQAYTAMHRAKLVNDNFLP LTQSRFLAQDAEGDEDMINRPKFANFDSYDPWKEMSRSCLGANVHRSRISIKQNGIPR PDLGVAMITPVEIPGAEPLTLYWDSETTFEISSEKSEAISVSPLDIQLMRETTQILLH SARTKQPEGDDKKHYAVLITPDVPAETASLAKWLGANKGTHNCLQWYNENYPAAPPGI IRSRTVYNRPHQFVRWIPPENGSELLVQVRPFKRNWDLLHRNSSLSAVQNPNGKESEA KDTQIQARICTSDRLPWETGRVSILLPPLMHELQRNLIAVGLCKTLLRDIPGISIRTV AEAITCPSVQWTNNYQRLEFLGDAVLKYAVCIQLFHDNPLWPEGYLTQRKSHVVSNTS LTDAAMRANLQKYIFTKAFMARKWTVPTLTAAKSLPAPPEGELSIKMAADALEALIGA AYVDGGIPLAWSMIHLFLPNVVRDMPPSIGSSPDHNLSPIPIAPLNLDHNIDQLVGYH FTDRSLIWEALTHPSWQRDLSTGSYQRLEFLGDALLDLIINRRIFAHTPAMPESTMTH VKAALVNSHFLAFLCMEHNLKTEVRSIQQSPQTGKFETTVTKGTLELWKFMRFDSPGI PIGQRALLQRHANLRDMIKHQLECGDTFPWGLLTQLRAEKCYSDIIESIIGAIFIDTH GDIDECERFLRRIGLMRHLDRVLVDNVIVKHPRTRLYTMVGSRKVEYINVQGEGDIED VGIGVRVTLDGEELATVLGCFSKDEAVARGAEMAVERLREILSMSNEG ACHE_20720A MGGVSHSAAVGPDGLGQARKRNVTMAGLDSSPGSVDVDDIEDSE LREEKKRQPVKRACNECRQQKLRCDVQQDPWSDCSRCRRLKLDCKIESNFKRVGKRSR NAEMEREIIELRKQVANSQANNIAQQQQQPLQSAHPTPKQESSHVSPAGAYQTPSAIS SDQYMGSQEAVASLLDLRSGFDGSNYMRNGNHQLKRLEDVMVVPETATELFNLFFLFY HPFLPFLDRERSPDDYYNTSPLLFWTIISVGARRYQGDSHLLNSLAGPVSRLVWSTLA DIPQSYHVVKALCLLCTWPFPTSSTSTDPTFMLCGMMMQVAMQLGLHRPSHTQDFSKF RVELIEEELRDKVRTWAICNIVAQRVATGYGQPPSTLYDWTLSWSELTDPNFKLPEGI KPRLEIERFCNKVTKALYTNQRDPVGLCSDQERSTLISFLSRDFDELEQKHKAQYDCI TDLYLRASNLHLHLSAFFDDPTITKNYRERLLTLYATTTSFLEAAMNLETEVGPVLSY TPYYIYQMMVAGGCTLQKLGKSFFSTHIDMDYTKNLFNRTIWAIRGVSVSSNDLPERL AEVLAQMWRLGSAPTPNSEVDDTLTLKVRCRMSMSLLYDSVWRWREDARTKGRNIEAY LKNPTNPDSNADSSANSSAAAGRPASSTPVVSGGDPSLAPAPILPQANLGVQGETHAL PSGFMEPNYEVFDPLNWLLDGLVDLPYPYSTVSGMEAQGIA ACHE_20721S MSPHALDVEDGKGHASADLPPAYDETTVPEYVTIPSLNLNKDAG SPRNSTVTPDQCAAHLKLLAVFADLRDNISTQDGLFGLHDAQVEGHRDGRNHMLAKIR EKRWAVYVSRAAERYSVWWRECVSSSEGRPTLKTLQNIHYGSITGCLSRIAWSQEYMP PLDVLMVWHSHVLNPRSFLEDCIRYGKMSFWATGFPWAVINECFNDRTLEYDAREIAK TNFERKTKLSWDNLQDPPRKDIKCPNCGTLMHVLWSAGHIPYQSAIPFEKFYGFADKS FNATCSKCRYIVTHDKLKVAKFRRDVQRLLERDSPMPGTLYNLNGIPEAAGGARFGLE SFFPNWLLQAVGKDMLMVTHPLISRCENMADIRDELESKLKIIDVLFKKAGKPPRRRI DLEEKVAFRRMMSSYWENTSAFSIDLVGAVIRQGTFIQKMDNIDWLHSPTAMETMTRL INKYKVFFHIMVTNPKRMAVPTLDVDLAWHTHQLSSSRYFQYSVLNSSFYSVTHDRVF IDHDDKVSEDKLSDGFEWTSKMYKKITNGEIYSECTCWYCEAIRAPDLRSGIFVSSAT ARARETAAALHDRDDISSDPERNPHISAHNAVKPTTLTSHYSVRRSLKAMQLQSNYER ARRRAEKRERRNSKDAKDDTAKDKGKDKKNSDEGPATTAFPIVWGVPVYMPYYAPYMC DPGVHSDAYAANPACMNLTPGGYGNCAAGTCGGAVAAGSCGGSSGGCAGGAVGGSCGG GDGGSGGGCGGGGGCGGGGGGGGGGGCGGGGGGC ACHE_20722A MEHNAQSPVIERSGAEDFRNGDAQQQQQQQQQMEGVTANTDSHS LKSTKQSSSPFTRLPRNVIERILYTVDPSAFASLSLLNRKWRRISDAAPLYAHHLAHC PSFSATRDSVPYSESLDSLKRRFFAEVRRNAFNVFLRPRQTLVKLISTSMSSSTAFPK GEAFRFSFSASGQMILCISSSRIVVLDVTTKLPVVRHELKTSRRPLDATILDDGSLLA VVSSRHQINIYSLSDDDSKLIQCLALNDTPQALALSPTGGVLAIAYDDRIEMQAVGEG VLTTDRRAVRCNRVDSISFSADGFMLLGSSARGRTSSIVSVTVPFYTGTEDDVSPGDA QVRMWTTQVLFPATLQGYTRACSLPLHEEGENDWVLGYDEQRGTFKVVRISNANAGTV YFPSPFSANIYQKASPVMSPAVDGRGELVALGYEGSGLWVHGIPNRLDVASSSPGFLQ QSGAISGHRMSDIPGITAACWVGYSHSSAHPASKGLRLVTVAPGGVSPPSIGEEDVPV DGGRVLLLDFDRSPRNGETIEISIELGEAEPKMLTEPNSSLDTEVELERRRTQLRRNN TAAPRRLRNAARETHPAAASSSNQKASYRHRRNSSYQSTSNTPRLNATLFGDAQLRTG DTLQRAATAAAVNPRRYSSPRQPQTQQYIPQIPHESDADNWVPPPPPYSREPDAPLPE DLRRTLLPVPPELRRAQSTAQNNASRNRPSLHRLNTITARMMRMGVRDPHTDEDDIGQ RGVLQRIREGALGQFNQGRDGPVLPVPPVPAIPQAHQLPAAEESTIVQSPQYINSAPA APQASQAPPAPLAPPTPSVPLTPSETPASSRSRRQSTRSNQTEHVVSQAVASNLMEPI PYIQEEDSPTTINQNQNQYPFSFSSPNLGDPGRRFTGAAEESPASPTARRTWYQRVPN GRSQSQDIREVMPPRPTPAMNRRASTDPTLSNRSPSTAAVNENWRRRIEEWNERTIYE TNKKNRKCVVM ACHE_20723S MMPPSTTLSGNSAPKLPSPSFNNAGARPYRSHKVRACDLCRKRK SRCTVDIPGQSCLLCRVQGAVCHYQEESGSETPIPSAADPIATREWAPDQGLDGMPPP PKRKRASDDISLPNPDRPREDTSSHFRRATSVGDRGSQFRRLGVDDPQNESVFIVGPV VADDAQVIEKHMPPERTSKSVEPKSHPYNVYSSDPRKPILYTTVSRRRQGMRVGIPPG ENQKEILEQILGPFKDDLVKLFLDRFNVAFPIFDGESFWAAYTSDCPGEPPASLLCQV YSMSLVYWKHTQKLATHPKPDVRYAVNMTVAALHEEFSAPGLSTISAALVDLTGRPIF SMTGNAISCGRTVSLSHCLGLNRDPTNWRLSQSEKNNRIRLWWGVVIHDRWGSFGHGV PPQIAKNQYDVPLPTVDVLLPQGSRTTERLRAAHCHIGLCRLSEILGELLPLVYGLQH RQPRETSKKVRQIRTDLDGWEDSLPDLLRSPTSNGEERIAGTSSLQLAFLSVKMLVSR VELNEVNNTDSENPEARRYFQTECRKSAEDIVRFISSLQRPNFKEFWLPYSAFHLTST ATLLVRCALETTDAEVARSCLANVETFRTILRRVREEEDWDLADMCLDHCERILNRLP EAWNKPEAWSNVDPTTNGTGAGDTRLVNPAAISLPETQTNNDIVDDMMSISGTFGTMD GFPFDMTGIWDVSVFQDVNLP ACHE_20724A MAPIFEDSVSNANANPSITKTSLCQENGPSCKYTPTKKLQEAQK QVANDFRSDVVTVPTEEVMQAIIDATFQDDMYNEAGDPSVNALQDHIAALTGKEAGLW VLSGTMGNQICLRTHLTQPPHSVLLDSRAHVHNWESGALPVLSQASATQVVPKNGVHL TVDDVRRNIIADGNIHFPPTRVVSLENTLSGTILPLEHARQISQFVRNFPVSEGVKPI AMHLDGARLLDGVTAEGVDLKEYCSHFDTVSICMSKGLGAPMGSVIVGSKAFIERAKF LRKMFGGGTRQPGMMAAAAKAAMEYTLPLLPRVHALTKKTADSLREIGYTISLPVQTN MIVLDLEVDDIPAAAFVNYGEKHGLIFFPSGRLVFHHQISEDAAGRAVEALRELYMDK KAGKTLDDYAVSGGYT ACHE_20725A MLSRAMLPLTRPNILASTTRVSALSTPRSRWYAKNNKPKTPYKV PDSVKPSKPEQSGNASQEQYSTEQAEFDTKADPQQNTANSTSSAPESDPTTTEKSAPR KPLPDLTQGIPSTLAAELEGRTKGQTPFNLTEDPSQAEDEYDDGGRGDIPKDGYVSST DRRRARMANLMWILFALGAVGGTAYLGRNWETEEEERAHPEQPSGWGVGLLYNRIKAR MNDITSYYKDPPFPKLLPDEDPNMRQPYTLVISLEDLMVHSEWSREHGWRVAKRPGID YFLRYLNQYYELVLFTSVPSMMADQVLRKLDPYRIIRWPLFREATRYKDGEYIKDLSY LNRDLSKVIMIDTKEEHARLQPENAIILNKWQGDPKDKKLVALIPFLEYIAGMGIDDV RSVLKSFEGTDIPIEFAKREKAMRERFQKEVAEEQKKKPRVSVGSLATALGLKSNRTL DGEQTPSEGLAQGKMLWDQIRERGQKNYEMIDREIRENGEKWLAEMAAEEEKFREEQM KSMKGSFTSMFGAGGGEEKKQ ACHE_20726S MLSITKTMEDLTLQDPYPDFDWGPSRGVGGEEEEEEEEIESREP RQPFRFLDLPSELRLRIYSFVLFATTRRRWLQQTRTTGSVGASSKNPPTAPLSERLPL FLVSKQVHLEASDYFYSIQTFRVFPIQDYSKMPTIRSLPPLYRPSISNIELILGSSWT KPPKSWTVNNGLGLEDMHRVRTLKVFIECDPSHPVFEGFRISKGFYTDFAGDLLRKIL ERLPNLKHVEFDGYPSVRRHGALMMRLLQETKVAKKQIVWGPERQWRHDKESESEGEE EQVVELS ACHE_20727A MDRLNRMLHAAQGMGMGMGGAPGGDTPNLIDNAETVHISSLALL KMLRHGRAGVPMEVMGLMLGEFVDEYTVQVVDVFAMPQSGTGVSVEAVDPVFQTKMME MLRQTGRPESVVGWYHSHPGFGCWLSSVDVNTQQSFEQLTPRAVAVVVDPIQSVKGKV VIDAFRLIQPQTVVMGQEPRQTTSNLGHLNKPSIQALIHGLNRHYYSIGINYRKTGLE ENMLMNLHKQVWTEALQMNDFHDECQHNVERMKQLVGLAEGYEKRVKEETELSKDQLK TRYVGKVDPKKHIEDVSQQLIEDNIVAVSRQMIDKEASVARPSEAKGAQNGAAMEVDD EL ACHE_20728S MSNLAPPLDPIINGVGDRNRKVAYFYDSDVGNYAYVSGHPMKPH RIRMAHSLVMNYGLYKKMEIYRAKPASKFEMTQFHTDEYIDFLSKVTPDNMDSFAKEQ SKYNVGDDCPVFDGLFEFCGISAGGSMEGAARLNRNKCDVAVNWAGGLHHAKKSEASG FCYVNDIVLGILELLRFKQRVLYVDIDVHHGDGVEEAFYTTDRVMTVSFHKYGEYFPG TGELRDIGVGQGKHYAVNFPLRDGIDDISYKSIFEPVIKSVMEWYRPEAVVLQCGGDS LSGDRLGCFNLSMRGHANCVNFVKSFNLPTLVVGGGGYTMRNVARTWAFETGILLGEN LGHQLPYNDYYEYFGPDYELDVRPSNMDNANTKEYLEKIRAQVVENLKRTAFAPSVQM TDVPRDPLVGGMDDEADAILDDEDEDENKDVRVTKRRFDQYVEKPGELSDSEDEEENA ANGIRRQPNTLKSKNHFSYKHLDTGDSGLDSGLATPRDESSIADEEADAMGDAKMTEA PKAETEGPRSPSAEPPSRVEETSAADQSEMEMAVDKQEEESAAPAAAPTSVPASHQPS PKVQDEDMMMEDAQPPAPEPEQPESTVPSERQEKKPTDEEAPSADKPAAEPSSPPKSQ SPAKEVSEPVGEKAERPGGAQTVEETETVPPTEATHTTTVPATTEAATPEKAPEAPKD EPASEKTGADEPKTTEEGSNANNTEELKEASSEQKPEEPAKSEE ACHE_20729S MSKRGRGGAAGNKLKMSLGLPVGAVLNCCDNSGARNLYIISVKG IGARLNRLPAAGVGDMVMATVKKGKPELRKKVMPAVVVRQSKPWRRPDGIYLYFEDNA GVIVNAKGEMKGSAITGPVGKEAAELWPRIASNSGVVM ACHE_20730A MSLFFLARRLLFVLFPLAIAATVYLYFYPIFHGCAFPLPRKTEE GDGNQGTNPLLATFRQHVGTASADEPAIFRLLVLADPQLEGDSSLPSPDNALSVRIQK HWLAVKSALQYRSDDDNDTSESDADESDTDDEDEDDTNSLEHYLQNAYATILTTWRTL ITKDVPRSLRAAQKRLDLLGNDYYLAHIYRTLHWWTRPSHVTVLGDLVGSQWVTDEEF DRRGNRYWDRVFKGGERVSDEITVTGQKGHENHKVDDKSGLEQLGANPAWDHRIINIA GNHDIGYSGDVSESRLERFERVFGRANWDIRFQHPISDHDNSTVIPTLHVINLNTLTL DSPALSEKIQADTYDYINDVISHRTWPVEDRTTFTLLLTHLPMHKEDGICMDGPYFTY HENDDERDIPRFLEGGLREQNHLSEHLSTNGILQGIFGMSGNEEAPTGGFGRNGLILT GHDHTGCDVVHFVNRTNEDAAEQHDSSESESGQQAWKWKAKRYSSHHDARKDTPSIRE ITLRSMMGEYGGNAGLLSLWFDADPTVNEWRYEITMCAAGVQHIWWAVHVIDLVTVIV FLVYILALAFESSIPWIFYLAKGQKERKDLQKRQNGGPQSNGTTKPQQTKELKS ACHE_20731S MSLEQENLYPRFFEPYQSEIKMPGEEDEEADGYFWESFPALDRG VPVNELDINYETAYHLQSHVYKITSLARLPQGGNTLQGSMANVLEIFAFGLPSNRADF RRNSKQSVECQIKISLTSNAYLHCWGPEMVAARRCCAGAHHDHQSHIAASSGQALPIS SRHTGPVILL ACHE_20732A MALANPPRGVQPGDLLVVIHDFDARGTDELTLRRGDKIELIELD DGFGDGWFLGKDSKTGTTGLFPGVYTTFSPRIKTRPQTDTSQHRDSGSQNGESDPNLG APVASKSGQSTPQASRHVSASGMQVPDIDPPTPPSPKQQLQPQQRSSSSPLPTRNMTL DVQQSFKHSLAHQMNGQDSPVMNETLSVIDEHITDLSTPRHSLAPPEPRVRNDSESEY SSHLGHRMSYINGHETDEEEESQPTEEQVRVWDQHETAKRLRALGIDDKHCDIFEEQE ITGDVLLDMDQDFVMMKEFDFGVMGRRLKTWHKVKAFQEEIKGFNSQPASYPRTSEER PEHTSTFLPRIPTFSEKTGGYHQSRGSSISSYQYPRFDGSMQSNTSPAPTYSIGVEKR PSAASIRNFNHSRRHSSIDTTNRSYELADSPPRASHQPKSSLDRAWSMAGGNQRVSPR PGTSLGTTTSESTYSQQGIRGADPNECDSAISVTDRYDDLDRGYFSGPEGDTKKGKRV LVKRGSAASGSISHSRKSSYNDDPFRIAVGRRHSRIGSIDSIRDAANHAFTSSKPSSS GPPAPPPKGRLRSLSTRIVDRSGHSSQSSNAASLEDKTASGAGFFSSLVGGSGSGKAG AESAARSSPLPFQQHIRNAGPKFRRAVGLRTTTDIVGKVDTSGVPSSPIKDSDPSSIR TGSTTPSATSKSSERVSTERQSTDGSGKAIDGAGGFPLPRPKPSVKSSVKTKKDTSAY IQGLEKKSPNEQMTQCDYSGWMKKRSSNLMTTWKPRLFVLRGRRLSYYYSDTDKEERG LIDITGHRVLRADNDPIITLHATLTGATASPTSPASAAQVPTDKLSKSESSGPFFFKL VPPKAGVARSVQFTKPAVHYFQVDSVKEGRLWMAALMKATIERDMEIPVETTYKHDTV SLKQARLMNQRPPGLLATPTPQEEDEDKAEERARGAGEEPLTTNDGAGLGLGLEKSPT DCDDQAPPSPLASNPLGNGSSTLVSETAEK ACHE_20733S MPPKVRPHLSNPRADHEVESCNSTASLFLGGRRKSWMLNSNTFT FTPIHSRSDSTTSLSPSTSQPLPPPPAPPPPPLPSRTRCPSSDNNATAAAITPSSSTP GHASSSPQGMRNPSSLLPSSSSRTPLISTPASAASSPPGNQLPAPVPTSSVSAPDKDG QSDSQVTATTAFAPDSSLMSPVTPGESLHQQQLQFLQLQRQSQTQHVISSSPPGNPSL STASTTAIPATTATSGGGQTSAPGTSQAFSLPSPDPSNPSYPSPSSAVDKTTGDQPCP VFPSPATRPAQRQTPQSLNSPQARDAGLPVAGNSTALNTVAVSPQHNRPHSSACPVPM TLSTSASQQMAGSNSTELPIDNAFWAQSHQFLDMFIKDYSKTVGLSDSVEFPRVRLLR DACNSQDLLYLALHQAFCLSTWDPSQLSSFPEFSGPAALGLKVVEQLLVENQRLSPGF LEWCSLFPHSSNIMATSPQYRAASHQVARCLASLHENWQAYDAQVRARAYPPLIDELV VRFGVTSSVMLSIVFLAMCRRVYGGKHEAQLKDLWLRNKQNYNRRFQNPQQISPEQMR LENERLIKAYLALHTAHVNSPRVGTPAVGSPQVNSPQLVTAPHSSSSHVRRQSMPSQP PTPSLPAASQQHSQPASPATISFPGSRSPVARAPTVHTGLQHHVNQSPRVPTTVANPS AQFSTNAHTRIPSSGTFPYSPLAPPVEGASPGAYYHPQWMITRQMFGPTIPPARETTP PSTNTNPAPSAPGPPSQQVASSRALQGRTTSTTANAPNTARARAGNGANRQPRHPTMS ASRGSAVVGPQRTWAPVAQTTLLPQPGWIPVNTVRPNSLRVALHQAHLRDPMLKMIRR TPTGEEETELFQYLRAFMVPPTPLGLVECGFHWNFTLGPAHCQNMTRSIFCGTGERSL RVLAEGCQTYRLRCIKVPPSASKLTGHDWSVAETVWPSVVYIFVNDAELHVRRKVHNG KDIPLDITDYLHEGINRVSIHLIRSAAEAKDVYYVAGVEVFDIAEYATVRSNARELPA SESRARIQQRLAARATDDELSIVNDDLTVNLVDPFMARIFNTPVRGSTCAHQECFDLD TFLMTRASKSGKGPMKENWKCPICGEDARPTSLIIDGFLAEVLAELKRTNRVEDARAI QIRANGSWELKTDREPQAAEERNGNRAGSAANGVAAKRKHDDATSASPLPQRLKSEVS STPSAGAGAGRSPQPEVIELD ACHE_20734A MSENQPNSHLEPPQKSVELEDPGAQESASNSDDEDHFSDASEGN PRSHSRTTSGHASPIPRTRVEKVDDNPSHGEVPGTAAYEKREKDSVPDEVEVIPEGSR SRSQSTAQSPANRASSVPRTVVERVDPERPSHGDIPGTDAYDKRRADAVPDLVTTAPE SGGTLELNPESKPDAVPAIAEVPETVVSQVETPTEEEPESRPHAHRRRPSDALPDSVE TVPDAPDPQPLSATSIEQGSSDITENDQQKMGDDGDIGNNSTTMPGALPEDEDDAAVD DFDDFAEQQGDMGDDDFGDFDDGFQEPEEVVEAEAMDQSTLATPQLPTPSVPSLIDFD AIRSLPDLHAALEAPLDRLFPTSKNISSLPPVQPIENASAIFHTDRSLSLWSQLVAPP PLQPQNWVKSRIRRLFLVSLGVPVDLDEILPASKQKKLILPGDTTTATVTSTTATTTT HSSITTATTTQQQQTKSTTSSSRRGPQPPPDFDLSSVGRLCSTTDAALSGLTDPELQS HVNELHGVTQTASAVLEYWLKRYDELVKEKEAFEGVIENLVSHARRVRK ACHE_20735S MSFSFNPPSSGGGSSGGLFGSASSSPFGSNPNSSNTNSSGGLFG NVGASSTPASSGASLFGNKSSTPQASSGPSLFGSGTSGASGSSTPSFSFGTQNNAGSG TQSSGLFGSGSTTPKATEAPASGQSSGGGLFGNAPKPAGGLFGNTATPAPAGAGLFGN TSTTPAGPPPQGGAGQGQSLFGQPAQKPAGGLFGNATSNTTSSTTPTAATTATAPSTT PAPFGGGLQQQSGGSLFGNTQQKPLFGSTPSAPTGGGLFGNKPAESTTPATTSADSGS KPSLFGGPTATPAAAGQSAQTSSLFKPPTATTTTSETSTTPTTSTTPAKPMFGLGSTT SSTTPSATPAAAPPAGGLFSGLGAAKSTATSAPGTAALSVPSTQPAPTGGLFGNKPAE TTPSTQPASTSAGAGTTTTAPATAAPTTATTGSSTTGTTAGTTAPPAGAGLGASTVGP APPAQSRLKNKTMDEIITRWATDLTKYQKDFREQAEKVAEWDRMLVENGTKVQKLYGS TVDAERATQEVERQLGSVEGQQEELSSWLDRYEREVDEMMSKQVGPGETLQGPDQERE RTYKLAEKLSERLDEMGKDLSSMIEEVNGASATLSKTSKADEPISQIVRILNSHLSQL QLIDQGTTELQDKVSAAQKAGQTMSSRFGYGFSSSIGSASAADDFYRSYMGRR ACHE_20736A MFRQSIARPVASANRLVGNRSFSAIAPRMGEGDTGAPKSGGAAS SDSFSRREAANEAVYIKQQEQEKLQALKKKLSEQRDQLNELEQHVDELSKGKQQ ACHE_20737S MGHEDAVYLAKLAEQAERYEEMVENMKVVASADVELTVEERNLL SVAYKNVIGARRASWRIVTSIEQKEESKGNESQVTLIKEYRQKIEAELAKICDDILEV LDQHLIPSSQSGESKVFYHKMKGDYHRYLAEFAIGDKRKGAADASLEAYKNATEVAQT ELAPTHPIRLGLALNFSVFYYEILNSPDQACHLAKLAFDDAIAELDTLSEESYKDSTL IMQLLRDNLVSDRNQTYPLFHILMTFRPSGPLRRLSLPATRTPPLLRRRKRPPPPRAR RSPLSK ACHE_20738A MATQTDSQGSAPVPTMPQPNDPSRTHNISVSSSSSVSDAETERR GRSERPRMASRKPSASILVPRDHPEIEIEEEEFPPDDARAMSPRRNSADLERLGKEAR HTLQEQAKVLQSSLQALAERIDAVKSDHDKLESENKFLQDYIGGLTRNMTKSDTPKSS KARKSQK ACHE_20739A MKLYNFSALSLYLVSTQLGATDALARRSQQFLAPPQQDKQPKLS GNTNAPSAPWNNGSSISDTFDDLVAALDVMQNDYFELWQGTWPSSIDWTAAVLGTHVS ATLSSLTSSKDDSLMSSVTTASDASDDSDKVKTSASVAKTTSIALEDLINRFFDHTSA FYFGENAFALRNQAYDDMLWVVLGWLEGIKFQTLHSDLRYTSNVTTGGWHGTQFQVPA AHRARLFYGLASDGWDVTLCEGGMIWSPYLTPYKNAITNELYIAASIGMYLYYPGDVI DSPFVAESTSASDGYPHNPIHLQAAMEGYKWLKNSNMTSENGLYGDGFHIRGWESVQQ PGTRQCDVLNTMAYTYNQGVILSGLRGLWLATGSEEYLRDGHELVQKVIQATGWPNRG NKEWSGLGRGGIMEDTCDSSGSCSQDGQTFKSIFFHHFTEFCREIRPQEERFLTQARR QTPSQGTRLYKWHQTQCRGYLPWVEHNAQAALKTRNERGQFGMWWGPAYGSQTTAVNI SPLPRGAIDYRNYNQQGEDSEALIGALRSRDATELPRNARTRAFDRGPHEGGAGGESA DMKSTSPPPDYNNRGRGRTVETQAGGVAVLRALYEWRQMA ACHE_20740A MSNEMEVDPPVQQEEAPAQSGNGAFEPRTESGAVAVRSIEGWIV IATNIHEEASEEDVTDLFAEYGEIKNFNLNLDRRTGYVKGYALIEYSTVSEADEAVKS LNGSKLLDQTINVDYAFVRPPPSGKGKGGRGGRGSSRSRERSRSPGADNGRD ACHE_20741S MWCNDSKCSCQPAPSNSSPEKMQAFLFGDKPGQVRQLHQPGAEL DIHCDVARHEMTLRETVGGDRRAISTATRYDVHLNPKNSYLLNLEGLPDDSILLTIGI RPEACRTSGHGLWLQTKVWSFRPAYTDSKLYNEFYLCDWSKMILRVHLPVSRFWGWET VAMLLVTFERLTWGGSRIVAGIKDMAVTGLNWRQIEQRMRNGSKRDALVMEVFREERM NKERAVEPGPYELWF ACHE_20742S MAFSVRPAQWLLALAALDWSSTALAADTAAWKGRSIYQTMTDRF ARSDGSTTHACNTTEGLYCGGTWRGMINHLDYIQGMGFDAVMISPIVENIEGRVEYGE AYHGYWSQDLYSLNAHFGTHQDLLDLSNELHSRDMYLMMDTVINNMAYITNGSDPADS VDYTSFTPFNSSSYFHDYCPIPNYNIYSVAQKCWTGDDIVPLPDLKTEDPTVQDMLNT WIQDMISTYSIDGLRLDAAKHITPQYLPVFEKATGSFTTGEVLEQSDDIICDYQNNYI SSVPNYPVYYAMMNAFTLGNTTSLADEIMNMKQKCRDVTALASFSENHDLARFASKTD DLALAKNVLTFTILFDGIPMIYQGQEQHLDGVGEPNNREAIWLTKYDTSAPLYKLISK LNAIRKHAYNLYNDYVNIESYPIYRGGSELVIRKGIDGRETIMVLSTQGTGSSEYTLT MPVSFLAGTVAIDVLNCRNYTVNQKGELSIDMNKGEPRVLFPMDMMEGSGLCGYEYLN VSYVTLETGGSVSTSGASTIEDFRSWLIVPVVAGLVVLFT ACHE_20743A MAFFMRRPFAVPSALGQIPKATNTARFIHNSSSRPLSKPVSSVF AKSRQTFHNAFKRTYMQPSASPVQGNMTQRLLYGAAIVGGTVMATNFIFNRETREDGG MPAYERSYLNETFMHTGLGVGIIGIAARALHMNGWSYRLMATNPWAVVGLGLVASMGT MFGTFYTPPENYVMKYGLWTGFNITQAALLSPLMFMHPALLARAGLYTVGMMGSLAFV GATAKQEKYLYLGGPLLAGVTIVALSGLAPLALPATATRALAWSDKIWLYGGLAVFGG FTLYDVQKVLAHARMSQRGLMKRDVVNESVSLELDFINIFVRMVQILGMRNNNRK ACHE_20744S MSALETINLSHLPASLPIHVGLYRDLQNAPFLRQQLISGNSDFE YALIDASMILSRTHALSAVFRAVNDYMNARLKSRNVHSEIVFSLSPANNIADSFRKFG ITDSTKDLLVVKVSVTPEITHESVATHLGASIEGSAVPFNDETLAAISDVAKIKKAYK LGSLAPTPAKTNDVENHERRRLETALLGAIALRGAL ACHE_20745S MTLLCLGNALTAPKPPGLLVTMPSAADVVLLSSTPDHKSTCTPQ QVSRHSENLTEMSLQFDSPASLPSPSELFWQLHSPANVSPQNTEKDATRKETGNRAAD KSASNEKAENRPKRARRRITDELQTVLTHVEPAALRSNENASKKAPKTRTKRPNTNAE RKREGSKNKTLTGKVSKAGSADPQQSDANHPSSSKSLSQGGATKGLNDWEASGLQLEE ATARRLDWTPAKDTGKQIFDLKGGGDTGGSQASGATQNFSNLLAGYGFTGNVSTQPST QGNEDGGGPTKRKRIDVRSSNNRISAIYTNILQIMDSRIQAGSKPSAKDRDSVDKESQ QKPKKQTKKFTTLTARVTARYAPDYTEDSGNGSLHTTDTRGRTDDENAGRRRKSRAKK KPQESEFIVLSPEVAVKSLEDQDLMFGTCSQLEREDSPTTLRDVQTAISESESYMAPM PKPSTNRTSSGSTISRFTSPRNLWSEASRDLHGALAQAEVLDLVEDSDLSKISPRVDR ERYDALQRKTPKVVGQPVNTTHEKEASILNGNSDVLENSSQVSGANADQQSTSVAVES CPQMPQYNAFTEAELSQQVASYGFKAVRGRQNMINLLQKCWESRHGTRATSYGNRQQD VSALTKPPETSKDSKDTDTSNKTSGSKSKSKARSTANPATANDASTKPPARRRQGSSR PPSNPSQKPREGSVSQQQKPPYQQKPSYAVVEEIQDSEDEAIPSPTQLLSRYFTHPKP SSQPLPVSPTPSSTRRAPSNPNAITAILGNPKENDEPDLATQITKAVRAQPNMSSSVG SHKSPSWHEKILMYDPIVLEDFTTWLNTEGLGFVSEDREVGAALVREWCESNGICCCY KNKSW ACHE_20746S MAFSKIEQARTIQLQHLQLNFLNPAGLLKLKISSWANKSRCSGL KRDGDIIDIDSIQDLLIQNGEKMPLKGLEGDAANGLWDWIQEFKDLKMWQLLDSSYKG H ACHE_20747A MAAAITSWVLNPIQSLTMSRPRTRELWCAVPGNLRQPFSIECIA DQDNIQTLKKKIWDHAPAHAKKDAADYGDLTLYSPVVQLNYEEEFKIDNGELLHPRRM VTFNPLFPESKDPDVDIIVVVAGGATTRKRKRSESQSANIRRTLSIAEHQLVCPRERT VSKLAAILDDMNIVHVRGTPASGKTRLSELLRDYYRKEGRKAFLIKKWEELDSEDPWG SLIELVKKKNKELEGVSTTSFTVTSSQSEHDLSWVLTSNTVIIVDEAQTTYSDDTLWN TIFKERLTPNVYKFKLCLFCSYGSPATGPDQTFFTPVRLSNRQCISFTPQGQQNSPPI GLFYDKEEFKDVVSRLLTFQYEERFNFDEGALEYIFAISNGHPGAVTSIVDVLYEAYR QDIKHEHIRTLTEDHIIWFLEDAATVFDKLSTRPVNRSFPDISRATNGISNTLCKITE EGSISFDINDASIKFCYQKGWIHRVALDGDDIAVLPSRLHEKYIEYSIGTMSLPLPAR FDSLPKLCKEILSKFSIMNLRHSVEGKKMSSASQPRPVEAQYQDEFYGGFTHVAGRGV PISSEWSRTKDGRVDFYIPEKKWAIELLRNHDKVDEHISRFKEGGKYHPWLKENMVED WIIIDCATSLPTKGFSEPRLWHAVFINDYSELQLYDYQKVLMMSVHLRN ACHE_20748S MDPFQELRNEFSSTIRALQNEIESVKNEPKPLQRPKPCLPDPEK FNGQSLKFDTWLASMKAKLRIDAPAIGDAVAQFYYVYLNLESKVQALVLPQLSYAEDT NTWDYNTILDQLSLVYDNPNKVQEAEDYLLVLKQDSGESVAAYIAKFERILYEAKGKD WPDVTKISAFRKGLNPTLRGRLNQQLNLPKSYTDFLRVVQQLGSHSFSSNSTNVSHSQ SHQSGSHTKSDPMDLSVININSLSAASTSLDERNRRRQQGSCVRCGSSDHWVKDCSMK AHKESNKIWNQQMIARLEANRLDDLNDLDD ACHE_20749A MAGNKRTSSQMDNGNDNPNPNTLDEYQALHYTDKFQDLFEIPNS PSEEVLAMSGAFVFQEAPIDDNNNSSSSSSSSNNNNNDNGTDIDPGNALALQDPTQGI PVENTVATDINEQATMEHVAEPPKAPRKRRRKGDPEPDHSALVREKTAKSNRCGQACD RCHMRRFKCDEVRGGCLMCLRSGYECKMTNRVTGETVVRGGREGVVINFDDIQRENDR IKEENEKLREEIEQFRSVVGKLQSQLQYYHSKFSMGAIPGPSHTQVSDPSRVLPNPKS QARAMQQANPNSLYGNQINSNNNGTTQPVASNYNNNAFSGNMSIGSSAPLPIPNSMLN AFSRSEWAQPRLPQWFPAVSRNNILTYLPRSQVLAPMQDNGRGMYQGMQMQNFQGLGM QSQGSRNLPITLDAQNDQVNPQENANTQPQHNHGQDTSVYVQGMSHSMKTLNQGFQAP PQYLTKGAQNQTSQHESKNVQHQDFPNTKVPYKTAHDYPFNFPQPAPQSYSQPVVQFQ GAGQQSAGNQIPSFLNDPLSGIKSEDDTDVVKFDLGSGCCWTPLLPAAFPSLDLDVAT KQGSTANPANTGTDLFTVNDSNKLCQAQDLSDPEILSLLESLEQQKQSQPLVQELKQE ACHE_20750A MRRHGRAAGGTKAVTPMPETVSLIHSFDSVSNPNRPVRPSPLAS SHIKALPLDLVDRLRSFPLFQSTPESFLIDIGQHLRPQLHAANDYILTEGDEAKAIYW LVRGAVAVTSRDGESIYAELKPGAFFGEIGVLMDRPRTATIIARTRCLLVVLTKEDFR KILPRFPDVEGAIRDEAQERLMILERKKKETSAPAVDLTNYQLSGNQFRRGSKRLRDS PSKDVSPADQDSHTIHPINGNKKRKSPSPVMKDKSSSALANGLVNVRLLLKELPLFSG LPADILHFLGLNAQPRSYPPFTDIIRQDSQGREIYFIVRGEVEILSGRTQPLDSLYRS KSNGIQHPEFEVKARLRQGQYFGEVVSLSLAPRRTATVRSVSSVECLMIGGDVLSQFW DKCPKNVRDQVEDTAKERLQAASDGDVVMTEDPAPEQVVNGFGIDDRFKAAASRRQSM PILTLTETELDSPHRQSNGVDDQTVLRPSDPDPYINFGLDNVRERSRRGSVAPPTPEE VSREQHRPSPSQSRRASSSFTYTDSAEVFKSQATTPRPSVSDNRGILPDNTLVHIFQY LELHHLLRLRAVSLHWSELLSKSAELLHHLDLSLYNRSLTDDVLVNTICPFAGERPRY IDISNCFHITDEGFKKLANTCGANVATWKMKSVWDVTAPAILEMAGSVTGLEEVDMSN CRKVGDTLLARILGWVVPGPHKPNQEQGKPGKGSTLKPTMQTAAGTVYGCPRLKRLTL QYCKHVTDRSMHHIAAHAAPRIEEMDLTRCTTITDHGFQFWGNAQFTRLRKLCLADCT YLTDNAIVYLTTAAKQLQELDLSFCCALSDTATQVLALQCSQLTYLNMSFCGSAISDS SLHSIGLHLLHMKRLSVRGCVRVTGAGVEAVADGCHQLQSLDVSQCKNLLPWLKKGGA QKYEDRIRIETVVQNAKFR ACHE_20751A MTNHPTDNMDSREPSGQRPHDSALLRVIHWHKLFDSDTPPRLGM EVKRRLPYTTMAAFASGMTIGSFHGSKKASYQFRAENAHRYPTTSAAWFQYHKSKNYA SIVGGVKDGMKMGFRLGAGAFAFCLFEETVDYARDDTRDFLSTVTAGLSFSGIYSLLA RHDVFTAARTTKLGLKLSLVYGLAQDALESLKGNRPPYIDFLLGNRRSRVREGETV ACHE_20752S MSNPDPLSWTLLFKKHKTTVLLMLLPQESITNTKTALLNALKAR GLTEINGDPLPEDASDIEFGVAVDKNDLEKGWTRLEVGGPDFAEEEESKKNAGKKASG SISLQGVDLRNGQSIAFRFKKLVDGEKAVKKDGEDIDIDLELEDPGWDVVVPKFDDEE EVEQ ACHE_20753S MPPESSDSSSISVAVRVRPFTIREAAQISKCEAGPLFLGDGSLA GAPTPKLQQKGLRSIIKVIDDRCLVFDPPEDNPVQKFSRSVVPNGKPRVKDQTFAFDR IFDQNASQGEVYEATSRSLLDNVLDGYNATVFAYGATGCGKTHTITGTTSQPGIIFLT MQELFERIEERSSEKVTEVSLSYLEIYNETIRDLLVPGGSKAGLMLREDSNKSVSVAG LSSHHPQSVQQVMDMIMQGNECRTMSPTEANATSSRSHAVLQINVAQKDRNADVNEPH TMATLSIIDLAGSERASATKNRGERLWEGANINKSLLALGSCINALCDPRKSNHVPYR NSKLTRLLKFSLGGNCKTVMIVCVSPSSQHFDETQNTLRYANRAKNIQTKVTRNVFNV NRHVKDFLVKIDEQMNLINELKAQQKNYEQVAFAKFKKQTEKKDGVLLEGVTRIRNAY EHSLPERQERTNSMVKLRQISRRIGLLSSWIAAFDNVCANYEDEEPLSNLQAIRKSAQ GILLELEGSRQHYHQRLAKNAWDRGLNSALENAIRQLREFNISDNSDISNLNREAELL KANTEREALTALAEQDKVGDAATMQLLLQAQFEIITSIETIMQLSEAEAIEEGKMILM KMLNSCSTVTANIVKPDGSLPPIPPPSPPKASSPKKKRVSLINVPNPKALSAPITFAP TVTSPTKASPRRPKMKVGRKSVSFSPKKSQAKAPKRSVRWKDDEEDGSLAEFQKTPQK APAAPAAPAALAPEDNTEHELPVQRTSPIPRGIPVPKRSPSLGSLGSSPIPAPPSEPT LNIPKSSRFQAGFLSKKNGSSPVLAPPSTSLPLSDNEKSPLRNIENSSFLNRPPAERP SRMPVRTSSGSVSSSPLSESKGSWKANKDDAIKINSAMRRISGGQFGSAVSANALRAH RRRSPTSASYGGGSSTENTMFTASQARRMAKNEETESKPRVLGPRTIPVMKSTAHRRS TFGGDLRASLTSRDAIRLSAMATPNLEKLSANNSGGAYW ACHE_20754S MTFAPAIGSTLFSRLFRPFSTSSPFFSLAPESASRNSNQMSEKA TVAAGCFWGVEHLFRKEFGNGKGLLDARVGYSGGNTSSPTYRAVCSGNTGHAEALQVT FDPSIVSYRQLLEFFYRMHDPTTLNRQGPDVGTQYRSAVFTHGDEQHKIAHDITDKVS KEWYKQPLSTQIIEAGEWWDAEDYHQLYLKKNPSGYECPAHFVRSFPPLSA ACHE_20755S MVTYSIDGAMAEALGHLNRIACHFRNHGQHKSANELGKLSIMIV NAFSEGVDNIPATEYVLEEVSSSSLNVKANTIQKQAAAALMNEVDKENQGEFNYQSHA VKKTQPHDSHFSQAGSWAQIAGSFSNNQASTHDRSQYMSPVSMTALSASKYSQNSDDE EGELPGLPAAGPASCLSPEIPETKAAVLRVSGRMNKDIIHYITTRIHEGPLQDIKIEA NGRARVTFQHASHGVMFLNSHKEMEALLGFGRLGPGVNVELLEIVEWNEDHRRMNQPI RERRRLSFARKRLFAENMSPEKWKQDVRTLAGPGNIDFLWVFNSGNATAVFTSTSVAR RVLDVFNKWKTGRNVYNGVSVTFSSDPCEKELVLVKETSRPSYAKNFVKRAMREAGR ACHE_20756S MVRLGSSILFASLLASTAWAQTCDTSNQCPEDKPCCSQYGECGT GAYCLGGCDPLSSFSLDSCVAEPICQNKTYTWDNLDNAALNTKYLGNATKYDWVYSGF PKIDDGNLVMTMPNGTVGTLFANNHYIWYGKIKGKIKSSRGAGVVTAFILLSDTKDEI DFEFVGADLDNVQTNYYFQGILDYNNGGKSEVGDDNTFEEWHEYEIDWTEDYINWSVN GEVKRTLEKSKTWNETAGRYQFPQTPSRMQLSLWPAGQASNAEGTIEWAGGEIDWNSE DIQDKGYYYASFSDITVECYSPPGGADIQGDKSYIFKDDKGLNTSVQVTNNDTVLASF GATGLDPDLGADKDDDKEGDSEESGDSGSVPKTNGGTGNEPGSDGSSTSDNHNQKNVT TNAGTNFQGEHVMKSSFFGVLVALVVLITL ACHE_20757A MARDEPLLAPRISSDHGSIRTTEEEEALLTGSRSRREIERRNKS FWREIGLLAWALIATIAVVILAVVYQHKSGRHVPNKPSWGPGGKPTGKRNLIFMVSDG MGPTSLTLTRSFRQHTEGLPIDDILVLDEHIIGTSRTRSSSSLVTDSAAGATAFSCGF KSYNGAISVLPDHSPCGTVLEAASLAGYKTGLVVTTRLTDATPACFASHVNQRGYEDR IAEQEIGEYPLGRVVDLMLGGGRCHFLPNSTEGSCRNDDRDLVELAKQKGFNYLDNRK SFDSLNGGAEAQLPLLGLLAEKDIPYEIDRRSQNEKYPSLEEMARTALKILSDATADS EQGFFLMIEGSRIDHAGHANDPAAQVHEVLSYDKAFAAVTEFLEKDSTPGVLVATSDH ETGGLATARQLKPEYPDYLWYPGVLASASHSGEFLSENLKAYISEESSYTKQQKYART LLEKGLGVHDATDDEVNALLDPNYPYVPEHVFTDIISRRAQIGWSTHGHSGVDVNIYA SSTKDAWPLQGNHENTEIGEFMANYLDLDLKSITDKLQSTKPWSSSSARAESKESYGW MGHPLEEDVRTEGLDTYHGEFRKRSADGCNCGQAH ACHE_20758A MHSSPSPQLLRALRTFITLATTNTTTTALRPSRIAPQCTAASTI FSASSCRYNSTEPPRPTRMIPRSHTHKPTSHERGPSVQENTSTDLNALNVLGNIPAPT TAVDATLDDGFHLDNGLKVRNGDGVMLVGGEAFAWRPWAAKGSKAEMVNKKGQFEVDE EVWGVLGLVWPRPDLLIIGMGETMFPLSPETKKHISSLGIRIEILSTRNAASQFNMLA TERGVTEIAAAMIPSGWKGK ACHE_20759A MGDTMDSGHTTQDVVVFLRISYPIILLITFIVAFITNSIITAKK ATQNDRKQQMGPGGRPLPKRARSTMAVAKSRRLSRGTSLLFRWLTVGVLATLVADAAI NMTHVLFARSQHWWCGQSVVIYIVGSFFVHAILLVSMLDTNPSPNASHFVPWLVAIPF ELAILGGSLSIYANIHREPVVGNPVGGPLRKSITMWESLEVASNSVRVLFLAILVSVY IAHLIRVNSIGRKAANQANGISESTGLLDGSNAETGTANGQAYGSTNHEDSKPTDAWV RPTTIPSTSWWEYLSGYSLFFPYLWPSKSRRLQIIVVACFGLIVLQRIVNVLVPYQVG VITDALSEEDGGGFRVPWFEICMYILYRWLQGNQGLIGSLRSFLWIPVSQYSYMELST AAFEHVHGLSLEFHLGKKTGEVLSALSKGSSINTFLEQVTFQVVPMLIDLGVAIGYFL VAFDAYYALAVGISTFTYLYVTVRMAQWRAGIRRQMVNYSRQEDAVKNDSMVSYETVK YFNAEDYEFGRYRNAVNDFQKSEYHVLLSLTFMNTCQNTVFMLGLLITCFVAAFQVST GQRPVGQFVSLLTYMAQLQGPLNFFGTFYRSIQSSMINSERMLELFREQPTVVDKPNA NPLPSCNGDITFDNVEFSYDSRKPALNGLTFNCEPGTTTALVGESGGGKSTVFRLLFR FYNTERGRILIDGNDVEDVTIDSLRQHIGVVPQDTVLFNESLMYNLKYANQDATDEDV YEACRAASIHDKILSFPDGYQTKVGERGLRLSGGEKQRVAIARTILKNPRIILLDEAT AALDTDTEEHIQQALSTLSHGRTVLVIAHRLSTITRADQIVVLHEGKVSESGSHDELL ALKGRYSSMWRKQIRAQRAAAEAQVLQDRAQGDDSSSQSDEDRNGHDHGTAAPSNMPG HGH ACHE_20760S MSDLSRLSTNVTSTSSNSSTTNLASPVPPPPPPPPAHQTSQKYS HRAASALARFAQPFLSASRPPSPQTSSAANSRTELSAGPRHTRSKSLPGVSQSVTHKT GIPISALDISPQRTHAVIGGKEILKTIRVSPDHSSEEYNLRNAIISFSSTHYGGSTLS ARHKDQLAVKDVKWSHGNFDQVIATAVANGRIVVYDLHRTGLEFCRFQGHSRQVHRLA FNPHFPAWLLSGSQDSSIRMWDLRMASSERGVSTCGSKELYNGNSDAIRDIRWSPSDG VMFATATDSGAVQLWDYRKTNAPLMRITAHDRSCFSVDWHPDGNHVITGGADRQVKVW DFSPSAERRQKPTFQFRTPQAVLNARWRPPSWIRDSSQGSSDWQSLQVVTSYDKEDPR IHLWDLQRPHIPFREFDRYDTQAADLLWHSKDLLWTVGDSGAFTQTDVRYAPQVVNRR PTGSIAWSPNGEVLAFMQKRPRRSPLGLTTSEFLGYEANESNASERGLGHSPADDILD EPSFTSAIRHRHTKSVGARPSKSLGSTPPGAPDFIPVAPLEQALSKSKATGPRQLGVM GSIPGATMDSTLFRYLTCQYCALMGSSDEKPVPSDLLRSLLESFDYNAESAEAASLFQ LAQTWRIVKFAALQALQIKAREQLRSTDKTASGVKKKMSKEVLLGEKSRPLDESRHDK MKNRLFKGVMETEGHRNALSDGESLSNLATPLARPLPDSPIGSDSSVTSNVGLADIQP LPPSVLSLNTGTLDSKDWSDMDARDSVQFQHRQSTSSDHISSTSSLSGQVQGSASSDF ADQRSAPRAITGRVDWHGSEEDEYAQRIEDKRAAIRDYKQFPKKVLSLESPIESKPAN FHHHESSDSFPMFSASTESSRPSKSIGASFSPTSKMYDIAEIGEHRKKAIDGEATERN QIRSRSGSLLEADPVLVQDKGAVRTNMSFEESLPDTDHVHLERPSSPPMLLKESNPLE SPNQEEDLQVDKDSIASHTAIPEATEDICGMALPIQSDMTENKPWSVEFLMREAIRHY HSNSTHVDIQTAAHLLQKLHILFQDCDNILPYEECEMIFKTYNEQLLRQSMYLEAAEL RLLCVPSYPSVYDYAQMDTFINVFCFKCKRPYENPKQDNRRCHRCDTPQDPCAICMSL DPPPEWVAEQSESLARTDDSEEDPETATQLLSPGSSLQTEPIPPSEMQQFKEPYLDSF TAPRPKGSSLWTWCQGCGHGGHMACITTWLNDVSMSEGGCATPGCMHDCGPGPRREQN RAAMLEESERRDPVGRRTGAGFARRDRWDMTESNAAEKARGMLGVGPGIPADGAMSSG TISPKKVRLVTPSEQGKRRAGTTRVSLGGAVEQGGRDRLT ACHE_20761A MSKWQRLRLPKREGLPPLLYNYSGTSKGYEIYITDLTLLWSEKL SNRQIRKRADEDDTTIDPSEDSEQFRVLLQKIEEALRSNPGSSMALTSGANTDSLELH LTTKLPAPLRPLKWNIYLSKEPLTAATNHLLLPLLREEKDRELRQRTLLDQLKQKDWV LGKLFDKIEAMGIELSTIFPGISGLRGARKQTSLAQAAKYIRGVAPFDEQAWLDETNK SSPESRLTSAILREVSGPDSASDQEKVHPPPDGWWESLDIHSTAVVSPEPEERNETSE PEPKATKDADQMDVDTASEDDDDEFERQERPPKSKQQQPTRKEPQLSKKPEPEPPKSP FPLPQKAQQKPSKGIGKIGGAKEKPKSPGAEPEPEPERKEPYSQPPSTRSSSSDLEEP PSRPTRSVKTPSATPSDRPTDSDASSDQDERRQPTPLPKPSPPKPKVKEPQQKKPRGG LGVIGGKKKPPKESIAPPPVPSSPTSEPSKSASPPPPSQPQQPKPERAGKLGVIGGKK SKPTTTAKSEPEPEPAPRPCLKRKSESRSPSPPGIGIRPKQESQEGKSKIKEPESEPD KEETGQEKADRKREELKKQLEVKSKAPAKKKRKF ACHE_20762S MSSQLPDRSSTKRSRSRSPSSWRQPQKVPRRYDEGDRRREWDNR PSQGQPRNMKDQVRLNQLQEDEQVREWVAQEDIFVLKQAKKKAEIRVKEGRAKPIDWL AVTLRVIDPTRNPLDDEIADSELDLVDPDGVFEGLSQGQLGDLEKDIDTFLHLENNSQ NKDFWKTMKIICRDRQKTTAPQGRALNSVAADINRLLSSKSYEQLQTLEVQVKKKLDS NEPIDTDYWEELLRSLGVWKARAKLKKVYQAVIEERVRGLRQQQSEEAESVRAKLAPL APIIETGSDEKVAEVIDEDEFKGLDPDPLLQIRPEDKVLEIVDESAFLNQVVCERQKI MKMGFVPLRQRQTEKPSAAAPVNQTSNAPVTGAISRFSAIPNEDFSQATKALYERELA KGVSENEEIFTGEEAVSTNTRPQWASKYRPRKPRYFNRVQMGYEWNKYNQTHYDHDNP PPKVVQGYKFNIFYPDLIDKTKAPTYKIEREHGRKRGQSFAQAGEEDTCLIRFMAGPP YEDIAFRIVDKEWDYSAKRERGFRTTFDKGILQLHFQFKRVYYRK ACHE_20763S MDCPSPIYNLQPGLSPVDQGTRQHQHQHQRSPYMAKRSESSPSV SSGIFEDDFFFCDPCPSSVENWTESSPDSVLSTWGLGSSHESTLSPLFSPQRVPLSGS LFDADHHQYRLPQNTANVSCSTTTTTGPTTDSIKVEQHSPLKTSLDPFFDWAYDGDFN ISYNFESYYPPSSSSEIPASPSPQYETLAPTKAGTKSAVSPPLSPPAFSNPSPITTVK AEPQPERADSRSSSSVSAPLDTADLPYSKLIYLALFSAKDRKLPLQGIYRWFELNTTK GKEGSKGWQNSIRHNLSMNASFMPSREESPNGKRAVNYWRLTEDAIKRGGIHPTTRYR KQANQRKGLGSEAPAPQRQRSGARGGKATKITARNRGHANADELSLASAAARYHSAAA TAAAQRQRQYYQKQAQQQQRPILPQLKLEQSQEQHQHGLQQRHQQQQQQPPNELYYYQ RYTPCPTTALPGYSEAAPAASTDSFDLSNLVGRAGPPRDTPVIGDMGGSESLPLELGA LCGMSYSTYNSPNRFFQDGQLPNGLPGGGWTS ACHE_20764A MANNRLQYRRRNPYNTRSNQVRIVKTPGGELRYLHIKKKGTAPK CGDCGIKLPGVPALRPREYSQISRPKKNVSRAYGGSRCAGCVKDRIVRAFLIEEQKIV KKVLKESQKAGKR ACHE_20765S MVRAQDVPLVKQWYLEHCPQGQPVKVRVSYQKLLKSYVLNELHK NKPKAQNKQNLLKTLKSTKFFQQTTIDWVEAGLQVCRQGFNMLNLLIHRKNLTYLHLD YNFNLKPVKTLTTKERKKSRFGNAFHLMREILRLTKLIVDAQVQYRLGNIDAFQLADG ILYAFNHVGQLTGMYRYKYKLMHQIRSCKDLKHLIYYRFNSGPVGKGPGCGFWAPAWR VWLFFMRGIIPLLERWLGNLLSRQFEGRHSKGVAKTVTKQRVESHFDLELRASVMADL MDMMPEGIKQNKVNTVLQHLSEAWRCWKSNIPWKVPGLPAPIENIILRYVKSKADWWI SVAHYNRERIRRGATVDKTVAKKNLGRLTRLWLKAEQERQHNYLKDGPYVSSEEAVAI YTTMVHWLESRKFSPIPFPSVSYKHDTKILILALERLREAYSVKGRLNQSQREELALI EQAYDSPGTTLARIKRFLLTQRAFKEVGIDMNDNYSDINPVYDIEPIEKITDAYLDQY LWYQAEQRHLFPAWIKPSDSEVPPLLTYKWAQGINNLSNVWETSEGETNVMVETELSK VYEKIDLTLLNRLLRLIMDHNLADYITSKNNVQLSYKDMNHTNSYGSIRGLQFSGFVF QYYGLMIDLLLLGLQRASEMAGPPQSPNDFLQFRDSATETRHPIRLYTRYVDKIWVFM RFSADDSRDLIQRFLTENPDPNFENVIGYKNKKCWPRDCRMRLMRHDVNLGRAAFWDL KNRLPRSITTIEWDDTFASVYSKDNPNLLFSMSGFEVRILPKNRNQNEEFSVKDSVWS LVNNSTKERTAHAFLQVTEEDIQKFNNRIRQILMSSGSTTFTKIANKWNTALIALFTY YREGAVATVNLLDTIVKCETKIQTRVKIGLNSKMPSRFPPAVFYTPKELGGLGMISGS HILIPASDKRWSKQTDTGITHFRAGMSHDEETLIPNIFRYIIPWEAEFIDSQRVWIEY SQKRMEAQQQNRRLTLEDLEDSWDRGLPRINTLFQKDRSTLSFDKGFRLRAEFKQYQL MKSNPFWWTSQRHDGKLWNLNAYRTDVIQALGGVETILEHTLFKATAFPSWEGLFWER ACLAKGTRLLRYDGSEVNVEDVREGDELLGPDGTSRRAFNIVNGQDRLYRIKVDAELE DLVVTPNHILVLRREDETVEITADEFAALDVAERSQYRAPRTSPELWAKAAGNVVAQA PSFSIKGISLEAETTEWAGFRVDKDQLYLRHDYLVLHNSGFEESMKFKKLTNAQRSGL NQIPNRRFTLWWSPTINRANVYVGFQVQLDLTGIFLHGKIPTLKISLIQIFRAHLWQK IHESVVMDLCQVFDQELEQLGIEAVQKETIHPRKSYKMNSSCADILLFATNKWNVTRP SILFDTKDVYEPTTTNKFWLDVQLRYGDYDSHDIERYVRAKYLDYTTDSMSIYPSATG LMIGVDLAYNLYSAYGQYFPGLKTLVQQAMAKIMKANPALYVLRERIRKGLQLYASES NQEFLNSQNYSELFSPQIQLFIDDTNVYRVTIHKTFEGNLTTKPINGAIFIFNPRTGQ LFLKIIHTSVWAGQKRLGQLAKWKTAEEVAALIRSLPVEEQPKQLIVTRKGLLDPLEV HLLDFPNISIRASELQLPFQAAMKVEKLADMILRATEPQMVLFNLYDEWLKSISPYTA FSRLILILRALHVNIDKAKIILRPDKSVITQEHHIWPSLSDEAWIDVEVQLRDLILND YGKKNNVNVQSLTSSEVRDIILGMEISAPSLQRQQAAEIEKQQEEQKQLTAVTTKTQN VRGEDIIVTTTSQYEQQSFASKTEWRTRAIATSNLRSRSNNIYVSSDDIQEEGYTYIM PKNVLKRFITIADLRVQVAGYLYGSSPPDNEQVKEVRTIVMIPQVGNTRDVQLPQQLP QHDYLNGLEPLGVIHTISGNEPPYMTAMDVTQHARLMNEHPSWDKKTVTMTVSFTPGS VSLAAWGLTPQGYKWGAENKDTTSDQPQGFSTNMGEKCQLLLSDRLRGYFLVPEDNVW NYSFMGSSFGSVEKRPIYVKIDTPLRFYDDQHRPLHFQNFAELEDIWVDRSDNFA ACHE_20766S MSATQANPYRDYAIDYSYPANCKPDDHGELLAYRHPQQQHSQQP QIHHHDKPPEVRFATAGSAAAGGSPHTAFEQHPHASAGVIPGPTSQAIVHGIPQPVLI APHQMLPRHHFSPQYPAASLEITPAQHGKKRPHAESDPDHDDGRRVRSHLSGFPVEGS TEASQTPDALFSTQPEQAASSNGFGPQASMALPQQHHHHHMPPQATMRSSHHSVNSRS SNYSSGQRSLVGMPDMPNPRPITPHKRKPFTQDDDDLVVDLKENKRLTWKQIEEFFPG RTSGTLQVRYCTRLKKKNVVWTEEMITRLQRAIQDYEKNKWRYIAGKIGNCVTAEACE EMASEL ACHE_20767S MFLQRTVSTLARRTPVRGLAAARPFSSSVSRFNKYEVKEAKLRS LDEIQTEEDLIPPGAKPGTIPSDIEQATGLERLELVGKMQGIDIFDLRPLDASRKGTL DNPIVVNGAGDEQYAGCTGYPVDSHQVNWLTVSRERPIERCNECGNVVKLNYVGPEED PHAHDHGHGHHPAPEEPKTFADYVKPEYWYR ACHE_20768A MAPENENPSLAERTEKLDSKVQSTEQPFEPKQYDDDGHGGDMAS GSPTPGRLGLYLRQFEQYLVEYNLEARGLERVHESERMKKLSWVSYLQAALLWVSINL AANNITLGMLGPASYGLGFLDASLCAVFGALVGASVASWMATWGPISGIRTMAFGRYS MGWWPSKIVVILNLIQMIGYCLIDCVVGGQILSAVSPHGSMSVAVGIVIIAVISWVIA TFGIHIFHYYERFAWVPQLIIICILFGVSSSKFDLSTPSTGDPRTVAGNRLSFFSLCM SAAITYAPLAADFFVYYPSTTSRRSIFFLTLTGLMCSFTMAFLIGIGLASGVNTSAAY SAAYNQGQGALIVEGFAPLNGFGKFCSVIIALGLIANTIAPTYCTGVDFQVLGRYAEK VPRVIWNTVGAIIYTVCALVGRSHLSEIFTNFLALMGYWVAIWFGILLEEQYIFRRRS GYIWGAWNDPSKLPIGIAGFVAFCVGWVGAVLCMAQVWYIGPLAGLVGEYGADMGNYV GCSWAAVVYPPLRYLELRFIGR ACHE_20769A MQSGSNPRKRRSSPHLSSTASMATPVPTGLAQDPNVASVAEAGP APKKKGRTNTPWTAEEEQRLKSMRDAGRSWSEIAKTFPARTEGSVKKHWYKDMHYAEF AEDEVRLIMTHLHHMFFLTLPANQSVALREAIKEYEANKWKVIGQKVGKPAKACEQYA KEHFKNV ACHE_20770S MNLNFFRLIGDLSHISSKGILIWAIHRNKSAEGVSLLTQMLYAA VFVTRYLDLFRAEGWNSFYLVSFKLFYIFSSFYIIFLMMKVYPRTREREKAWKLALWS LGGSLVLAPILPAFYKEGYPYHWFTEIFWTFSIILESVCVLPQLLLLRQTTVPTVIDS YYLVTLGSYRGFYILNWLWRGFGKEHYWDPIAVIFGIVQTAFYLDFAWVYYSRQRVKL RQGGVVDSEDFSKSWLVNKVLNFRSRRSTDEEQVLHDGNDVENQAGTDARPGSNRWGA RGISISADDRLDNQSRPEPHGSDDDGLEGILDDEDSGSDEQGPHKSSPVSGRD ACHE_20771S MAFHGQPVPGQGLEDLYQDIQAQWAGLDASPYVGANRPYCTTAF PATSILTPVSLPDSAFAPTRPSPVLSHHSQEYQHSLNDPAPAQHGLGIAAPFPGNYPR NISSAIGYPLDDVQYRPSDAALSPHPRPAKRARRSLKQPQPMRETPVSILPHPEGVQR LEQERQRGQVEARAHQRPRAPGRGRKDPQAEEEDAYVEGLRERNLAWKVIREMFRERF QKDASEARLQMRLLRRRKEHLARWDESDVNTVAYNSKRSLGA ACHE_20772A MCENGCCFSWQQTAAISITERVCSAVSVAASCIIILTFLGSRNF RRPINRLVFYATFGNFMTNIGTLISESGVGHGANSALCQFQAFIIQWFMPADSLWAFV MACNVYLAMFHRYRTDNLRPLEWKYFLFCYGLPFVPALVLLFIGTKSHGRVYGPAVQW CWISLEWDYLQIALFYGPVWLIIGLTFCIYARVGIYIFRQHKRLHKYIDTDTTLGSEM PVFLEAETNVSYGGHPSVQHQDLNSDHTHPSLEHPTRTGDPQGLVLFFLALLITWVPS SANRVYALSMSNQYLFGLTYASSFVLPLQGFWNTVIYITVSWRVFKLVPSQLSRLETR SLPTLLAGKWRRRVWNTTFINNVKEKLGYT ACHE_20773A MRLIKNKVELNGSGTVTLCPEEPEDMWHAYNLIRPGDLLRASAI RKVTTTQDTGSTISSRVHLNLEIRVKNLDFDPQSSQLHVGGQIVNETPHTKIGQHHTL DLELNRNFTLEKEIGANGEGVGWDSIAVQMLKDAVDEGGNRRAEAVAVVMQEGIAHIC FIGQFQTILKQKVEMSVPRKRMGGGEHDKGMSKFYQTTLDTLLRQLDFNTSITSMTSS EGVRPVLLASPGFVATSFQKYIQTVATNTPGLKRLLPSIVVVHSASGYLHSLSEVLQS PAVKTILADTKYARETKLMDDFLEQLRKETNKATYGPREVESAVDQGAVGRGGGILII SNRLFRSQDVAERKRWVSLVDRVRDIEGGEVRVLSSDHESGTRLDGLGGIAALCTFPI PDEDFSDED ACHE_20774S MALSSLSSTVKLVTGYEIPVVGFGVYQTPPDVTEKTTLKALEVG YRHVDSAKYYQNEAECAEAIYKSGIDRSKIFYTSKIPVPALGTYESAKKALDESLAAA SNLGYIDLMLIHAPYGGKEGRLGAWKALVEAQNAGKVRSIGVSNYGIRHLEELEDYIK NGGGGQIAVGQYELHPWCGRIDITEWLRRRGVVVQAYSPLVQATRMDDPTLVGLAKKY NKTPAQVLLRWSLQKGFVPLPKSVTESRIIENGQVFDFELSKEDVDSLTTDVHAPVCW DPANNSKL ACHE_20775S MRIKGRKSKVDRRQPQRGGRFTSAQLAWMDRDQERLHRAREKER RRIANKKRQAEKEAKEREERRKQGIPDPHAMPVPSSQPLLSKFLAKPKTAPPLESPSV ETPEFGDDDFAGQSDGGDTEVCSLDSYEAEIEAFDQRVLVDEIAAEREGRQWMVELTP ALDRIRKHGPTVDGGCNNIEKEEQDGPKDDDEDAFSECSAFYDENFIREAETVATARD QGPQKASQSLEAQPPQGQPAQSQTQHTAARPQPQPIPRPPAEPAIEESFRDDTADFLE QFGCNLGADGDFAPDEDFERELVQLNAG ACHE_20776S MPFVEVNNHRLHCADSHPNGAPSNGLTFIFVHGLGSSQNFYHPV LPHLIPKHRCITLDTYGAARSEYTGQPISIESIAQDVVAVLDKFQVSQAVVVGHSMGG LVVTLLGAKYADRVKGIIAIGPTHPSETLVNVMTKRAETVSQSGMEPMANTIPSGATG SGASALSKSFIRELILNQNPKGYAALCHAIANAPSIDYSAINKPFLLIAGEEDKSASL DGCQYIFENVQGKEKRMEVLAGVGHWHCVEAPEEIGRVVKHFVENDDL ACHE_20777A MATNRFDPDFTDNVIKSMGPQTPERFREIMTSLIRHVHDFARET NLTVDEWMTGVRMMNWAGQMSDDKRNEGQLLCDVIGLESYVHSISKYTKGGTNGCLND SLVDEITYKLAQEAEDTPTATAILGPFFRPDTKWRQNGDNVVQGVKGGEMVFMHGRVV DFVTKKPLVNATVEMWEASTNGLYEQQDPEQVEHNLRGKFKTDEEGRYYYYCLRPTPY PVPDDGPAGKVLKLMDRHPFRPAHIHIIATYDGYKPLTTQIFDSKDPYLTNDSVFAVK DSLVVDFVPREGDPQAGLELEYDIKLVPNEIHASEA ACHE_20778S MVSLRPRIHLTASVATRMRCYSHNGYIFYLLPTQIYSFIFSGPC ARMMVEVMQSQHSRVLKSKAEFLKPFGLSAYGEPLTPPFLWVNASPRSLASGASISE ACHE_20779A MVRSRVALLLLIEIGVHIAILLISSVRFSHRARQPPILLRSLEL SELRERARYECHSKKTRSSNVLTSNRAPGPDADTENQTSSFAENSPAFKKPRLENSPA KDSSNSFRSLLQLDVNNNHTSTPSPSTAVDVALSCPRPSQSKADGAVLQCPLTANMYK DPNLLRKAHQGLLGFIRLVETLLKEMEVAEDRTHKDRRSNIVLV ACHE_20780A MSGKQERNRKSRDKPTQGREIAISKALSYILRHAAEREGLKMDA QGYANVADVLEWRKLKSQKATFPEIIHAVASSDKKRFALLHIPSEEAEKDAAPTKICT TEQEPATSTGTRSGAEGQENSTQKALTVNDPDPAHFLIRATQGHSIKSVDAAAFLEKL ALSEEDKLPSTVVHGTYHSAWPAILESGGLRCMGRNHVHFATGPTLDSVLPLHTDGTS HTVEGLKSLGLDDGRVISGMRRDAQVLIYINLRKALEAGCPFWRSENGVILSEGLASS KDDGNGNQLSFVPLDFFDVVVERKAGLGKIWENGKSVQELPVELMKKWNPKVKNNEKG KNKNDKKNEKGKNKDKGKNKGEGEKQADE ACHE_20781A MEAAIRWSPSSTTTEQRFLLADVTGKTFRLCKVTAFDGKNIEHE VLSTHTKVPSFRAFDWSPMDESLVAVGQSSGDATILRMGMGGESSSESQETFSFPIRS QRYCNAVAFSSHGLLAAGLDRVRNDFCLNIWDVNQRLAMRGTKGFAEPLRKLASSEPI TSIKFFRDQPDTLVAGVKGQFVRIYDLREGPGNPSLQFPTRCVHNLAINWLDENYIAS CSSGSDATVCVWDRRVGSHYTASAIGPGTALETGQNDHALEFKNVLAPKSSIWSLRFS RTKRGCLSVLSNTGHLKTYDIAKDYLPEEYRSSIDETLGQGSLKNYPEKVYTRHVRDV CSPFNHPSRGCKESERVVSFDSLNMSASNEPSAITLAGNGKVQIITLQPPAPPVRLSS QGVLVRGEPNGESDFKTIGPSSHSDLPISEVVQKIRERVLPNLEEDKDGLRSRRASAE DEFSGHLSTRESRERDLLAFGTLGEQLTAEEALTLLTVHRRRCKEGYLFDGGKNKQIL ADDLYLQDFWEWMERARLDSAGQSMILHGLDLNYLGINNVWDNDLGESHRSRQIGTTA QLPIREAINILVRDRLNLPETKICETNHPEHRRLCLRLCGASLSYRALEELVKTLSAE NQHTKAAALAIFQEEPKLAYLALRSHEPTQAHKLLAMAIAGASRNEADTDWEETCAEI AKELTDPYARAILALVSKGDWSSVIQETTLPLKYRIEVALRWLPDGDLTAYLERLTSS VIRRGNIEGIILTGLSHPALDLFQSYINKFNDVQTPVLAMSHTVPRFINNEPFKTRFE AWRETFRWQMNSWKLQLERARFDVGSRKFAVTWDGRRLVDPPRQQVSLTCNYCTKPLT QHDPSSQTSPSAGNEVVHPTIGNPLGTAAMTGMICPRCGRHMPRCGVCSFWLGAPDPM SKASIAADAAAAQERKPTEDELVRRFIVFCINCNHGFHAHHAREWFMKHKVCPVAECN CICDR ACHE_20782S MPKDRYHSRDLSPAHRERGVNKRKHREASEDAPVKKKIQLATQK REKNEYPSVNELKRRIRAVKRLLEKVDLSADARIVQERALSGYEKDLEDEMQRRERSQ MIKKYHFVRFLDRKSATKDLKRLQRREKETTDQKALESLREKIHATRVNLNYTIYYPL TDKYISLYAEQKQKKPSQSESGADDTHSGADEKDTVVTMALADTGKPPMWYTVEKCME EGTLDLLREGKLNSPRESGGNTKKTSTTTEQKKKDVVKKASKQSKKPTEKTSSGTESK PETQPVNRRIRRNAAREDAAMRKAQKDDGDDSDGGFFEI ACHE_20783A MAGTMPRAAHSPVSASPVSPASPTSSNGQIPLGQPPKLKGRRKL LQSLQRMSSSPSLIRRGRSQSTGYRRDGKASLSCVSLSQSAYNPCLGNGSSSQVYGGL NVRPVTPGVPGEEQDGSPRIRVIGTDSPNASQLRTVPLPNELRPTSRGSPPPCNEMVE DIVEESESVVQPVPTTPSISSKKAFDFWGDMPNEIKMRIFQHLTPKEIIRCSSVSKTW HDMCYDGQLWVHVDTTDYYHTISSDSLVKLIMAAGPFIRNLNLRGCVQLRDKWLTDGD RMSDVCQNLVNFCLEGSRIDKHTVNHFLQRNPRLEYLNVTGLTSVTDSSMKTIANCCP QLQILNVSWCINISTKGLKRVVASCPQLKDLRASEINGFDDEDFALGLFEKNTLERLV MSRTNLTDESLKIIMHGVDPEIDVLTDQPIVPPRRFRHLDLHQCPNVTDDGVSSMAHN VPDLEGLQLSQCPELSDDSIIDVIRTTPKLSHLELEDLEHLTNDTLIALARSPCAPCL EHLNISFCESLGDIGMLQVMKSCLGLRSVEMDNTRVSDLTLMEASYRVRRRGYDRNLP QVGLHLVVFDCANVTWAGVKEVLSSNAYIPRAYKNQQTIVTVAQTQDSEQPPSSTNPS TSAPPPPPVYPNEIIHLKCFYGWQMTVDEHKKRVLRGDLAAASRLDRKWADYMMATEE AGATGMGVWRRRRRAREAERLFNADDDEDVYGIGGISAFGGRRRRAQSGGACAVM ACHE_20784S MAESRPPGEEDTFYFADNLEQNVNFTLDPNLLDYPSIESQFLDL SALDSLRSVEKPDHSALPSVPAGYDSNISLPLPFDAADGNKTPGQSNQDFTDVSSHYD FFPGLLPDYVTADSTVNSEPTPCAQESERAGPGAEPAELRHATIPNNYQLPASDFTGL PRRRSRYFVRRLGPDPDPVFVPNSCALDPMDRWHESPPEDEPASMAAIMDAVRNAPSR DYSRLGDRGAISNAFRHYRRAPSTSSGESNGSSRVSGSSGVSNSSRGLWTGLSHHSQS RVNKPTRRPQRATDKPRIFCCTFCCDTFKSKYDWARHEKSLHLNPEAWYCAPHGSTVF SIVTGRKHCAFCSALDPSPEHLEFHSHNSCRDDSNKPRSFRRKDHLVQHLRLFHRLEA MPLLDDWKIGESAVSSRCGFCEHLMNNWDERVDHIAEHFRKGSTMRDWRGEHGFPPEI AERVTNALPPYLIGSESQSMIPFSATNVHVRDHFAQISSRANWNEEGNRSANCEKTQV AAPAETPNDSISRSELSSFTEILTLHLSHYAQRQMTSGVIPTDEMFQQEARRVLYDSE DSWNQTIADNPEWLSAFRNLHCG ACHE_20785S MLLFRQLSSLSPSVLRKPISRTMSSLSPENSRIHVLGLGSIGTF TAHSLREIPNHPPVTLLLHRESLLDGYHQAGHQILLDTREGTRVGHGGFDLEVFRQGN WTPVPTMADRQMGSDYGGDSIINHLIVSVKATQTVSALRPLRHRLSPGSTILFLQNGC GMIEDVNETLFPDSQCRPSYIVGVVSHGVTSDRTFHVHHRGPAAMSLGPVPRKPGPTS SGGKQECNYLLHSLPQAPRLNATAYSYIDVFQIQLEKLAVNAFCNPLCALNNAKNGFL FTLPDTRREILTEISAVVLALHELQSVPGVRARFAVERLEATVNRILTQTAETTCSMV WDLRAGRETEVRYINGYWVRRGREVGVRTPINESLMEQVLVRSSSSGHR ACHE_20786S MTTDDLPNEHLECMQWAISHGAEVRGVVPARFPGRGLGMVATRT IEKDETIITIPLSLMLTVDSIPSTFVKKFQPGTPVQAILAAYLTRGDRRSLKKWDPWR KVWPSRQVFEDSMPLLWPEFSQSNSGAGDIILPPSASGTPETAQANPLSEADKEIYQN ILSRQRTRLQRSWEDVVSVIPDMDWDSFLHNWCIVNTRSFYYVGPGKDDPEDWNDALG LVPFVDYFNHSDEWTCDVAFRRTDYIFKANRRIEKDEEVYISYGAHTNDFLLIEYGFF LDQNACDAVYLDDIIFQELSPADQAELKQRDYYGNYEITSTGPCPRVGAVACISYMNS EDWRNIVDSDSTEGVDPQKTAEIISGWINAYLKDCEASIESLERNIAAGQDERRNARV TVILNRWKQIKSLCESALDMVDRDSTDAE ACHE_20787A MLDNTSKQKATPDSLINRDASIKYWNDMPATAGGMLAMIGSYPW YTRIDLRGSKAFLAKARRLVPGCSTEGKIKQAVDCGAGVGRVTEGFLSHVCETVDAVE PVAKFTDVIHESQLKKDDAVGDIYTMGIEDWYPEKKYDLIWTQWCVGHLTDVQLVDYI VRCREALNETGILVLKENLSTDFGGEDMYDDEDSSVTRTDEKFRKIFKETGMNVIISE IQGGIPKTMNLLPVRMYALRPMS ACHE_20788S MSNMNRRLGTKLIGFLALLSQNPGLPPATRDQATYITASYSEHR NVYRLMAQISALSNGETVINTSHRTRSMAEDRHAPASRFGVCLQALMTDFRITPTVPD FEGHPIELYSILDPVIESWMSGEQEFEFHRALLSMERRANEHLAHLTKKYGYHFIFRI GLQQYYMTRTVAEKINFWRHDPRKTDDLVQAQKLCYDAFERQLRLNEAEKMILIQVTN SSSRDAKMFWRWLEDNRVAYFAMQTCITLLDKLGNEDTKAANKAI ACHE_20789A MVLHRPSRLFGLSLLLQLGQGKADTVVVPSCPKDTEPVANDFQS FSIEFSYFPDFTGNKSHPNEFSRTMLGNLKDITGVAPVIRVGGTTQDRSEYRPNQKET IRNIFEDPDDDQPIRTYFGPGFFESYEALGDFPYTHGLNFNASIAQEASSAIAACKTI KTSNLHLYELGNEINMEPSRYRPADYSMADYIRDWNHRSTSIATAYRKACGRPFPGML APSFIMPSFHVSESKPWTVEGIYANGYDKANLTREIGIHHYMQVNEPPQLPPATRLEQ VLMNHTNIVEALAPHIQRAKKLDYLDHPYILSEINSIAKQGRNGESNVFGDALWLVDF SLWAAEHNIRRLNFHQGTSYRYASWQPILNKGIAPTTKPPYYGQIMVATALGRSENMR ISNIPLSTHTDAAYALFDNTKLSKLVVLNMKAFNSTSGADSRSSQEYKFKVPEHLRSA KVQRLIAPGSDVEKDVTFGGVSYDYELEKGNAVVVDDMEESVGIEDGVLSITLPDSSA VLLTLN ACHE_20790A MKARIKEMVWTEMITWEDGLQVKVMLKDSLACYWLPKGKWIRAH GDYKAASGGAIQTNTMTTSTNIPALRVGIKFGGAFVVGPFEMPGCDRGPPRNEEVARA LKNFLHI ACHE_20791S MLPSREDSFTILHSQFMSAPLDDCLQFLSWLFEGALPRCISSFS PTACEERDALAISHSSTPHETEQNQRDCRKAARGGSRKKTPWSTEEAEFLLNLKKDKS RSWSEVARLFLEHYPGRTPGVIQVHWSTILNRKAD ACHE_20792S MSRETTSEAIRLPTVAEIEAATELISTPDTSAKVVRVNEHFAVK MGHGVPLIEAENMKFLAANSKVPVPKVHAAFRDPDTNKTYIIMEYLPGDTLQKLLPSL NPVEKATISNLIKDAITELRSIPPPDYFGMLNRQPYLDGVFWTEGLNPKISGPFANQG DMNLAILEKLRQTESDQYIQLLRNMVNRTLNSHRNVFTHGDLQPKNIMVERLGYRDGS PEYRITLLDWESAGWYPEFWDFCNATIACRFKPDWLEFVPDILDQYPVEFLMMQVVYS SVFY ACHE_20793A MGLRVSQVDINGLRNKIAAIMGPILLDAGDQVEEKRRQLSVSLE DGKLYKGCRLLLSFRYVLRSLYRRIHWSAKPCCHLHRNAAQHK ACHE_20794A MLMLRLQGNNLFHVDSSFNPRRAGYSLLLAHELPPPGTGGATAF TDTRTAFDDLDSETKQDLLAHNYIAAHSILHSKRLAAPEFFANIDPAGYPMGRHYLVQ RHEPSGRINLYLAAHIHHIEGLEAEESKSLFEKLFKHATQVKYVVEIEWQQPGDLIIW DNTCTMHRAVGGSFAKKYRRDMRRATVHDRSSQAWGLNEHSNAAEKGYFGGRFRSPAN PRLGIR ACHE_20795A MTQTADISLIELRPGFAAEITGVDFANGVTEDASCFLRDAVTKY GVVVIRSTNLTDDTHVELARTFGELDDVTPYIAAGRKNRLKYDELFDVSNVEADGSIV DSEGPKGQANKVTLPFLHIRF ACHE_20796A MGTTRTTHNHYLDGEPSPLSSPIQCSISTSPGSDPYGAGLDERR SLPDYDPLPRSPLLENEHGMGPSGLDRIRQQFPSRVMTLPNISASSLVPSNSTSQHRS PSPRSSSTSRAHSARAMSFESSATLENLHRFPCESLHSFSFAQQPEELLHTRQNILKR SIDFMRGRFGRSVSGAQTGTCRDTDGQSLGPMTGPADMCGNIFEKAFTDYGNSPEATQ SHSANHQMTPEVSHSLSPLPKDPVPHQKRDPKADEPLSRHVKRTYTDGSSAGPQSKLI ETLAQSTTDPMSASVGSSTLGLGFPVPALHTHSSKWNPTSQAVFRTEAQAPWTILAAN DLSCLVFGVTQAELRKLSILEVVQKDRRQWLESKLRDPSTDAAANLRSSSPTSSDRTR ISQVNSKFVGSGNGSTAKLFSKPPSSRGVRRSQTDNGFGSSTRIPRETYHPATKSRGV LLCGDVVPIRKRNGTQGSASIWVMEKRGGLIWVLEEITENTAYVDCDSSWNVTTAQGD TEKIWGPEVVKPGKRITELLPRLPQECLQAATDQAMTKISELKYFAARNAAGFCSPVT VDKTDNSAQRRLRISSFPHVAGMMVLSASTLKVISSNSVFSAALFGQERPEGLHVTDL LPGFDRLLDVLNEEDDGPLMDGMVIPEQSLRSARTLAALRDEKTNVASVFLEPAGLTA THRDGSTMAVDVQLRVVKSETIFSKDDQEKKVNQHDGGDDSDAESDDTVAATELLYAL WVTYSRQVHSTGPASNLRPSKEPSRNTTPVVVPGPTAATTTQPEPSGTSEKTSVETQL PPSTLSQQLHEAASEPLNDKPVQPMPEMKSNVSATKDIPEKRSIADYMILEEMGQGAY GEVKLTCLKKNPSKRVVLKYVTKKRILVDTWTRDRKLGTVPLEIHVLDFLRRDGLRHP NIVEMEGFFEDDINYYIEMKPHGLPGMDLFDYIELKANMDETECRNIFRQVVDAIHHL HIKARVVHRDIKDENVILDGEGRIKLIDFGSAAYIKNGPFDVFVGTIDYAAPEVLQGK SYGGKEQDVWALGILLYTIVYKENPFYNIDEILDHPLRIPYLPFSEDCIDLIRKMLDR DVDNRYTITEVMEHPWMATD ACHE_20797A MRSIDDTRKRWSALFCDNDTTFDLRNALKSEQENICSDGLRSIC WKAFLLFDDLGRTQWLPKIYETRSAYSALREHFLKYIEHPDDLQSTTDPLADDEESPW QTLRRDEQMRADVSQDVDRCLQENFFFREPTTKSKMTDILFIYAKLNPDLGYRQGMHE LLALILWVVDRDAVERAPLEERTGSADKDDDLMVNLLDASYVEHDSFTLFCSVMQTAR VYYEHGEQRSSSGTMDVIPIVNRCQYIHQELLMTADFELADHLQALEILPQIFLTRWM RLLFGREFPFEDVLVVWDHLFAEGLRPEIVDFVCVAMLLRIRWQLLAVDSSTALTLLL RYPSPHPYAPQSFVYDAIYLDQNPTTERGKFIISKYSGKPPEASRRLGARPVPNRRAQ LLGDWKDMNETNAFSKSPRSSSRGLETIFQDVSEGIQRRTESWGVAKAVRGAVNEARK NMQSIQSEGYPRMRYGDSSSFSARNSASWSQKPETAELRERIDHLEERNRILAKSLSQ SLNDLRSQMMNMNVGKVDPQATAAMKRTLTRVQSVQTCLEDPSAFLQSTTDMEAKEPR KQKTEQSSTAFGSTEQSSRSSSSTSDRSSRPGPRKPGGPTSMPLRQSPRPSLANSEFS WMLGGHGNRNLSGFVSSASEPPEQARQQNQTLFGTDEEKTKRSPAEPDGLAMRSLSGP ESLE ACHE_20798S MSADLFAEFGINATSTQPSNTSRQHTTHARTSSLIPDLDTSEDA EFGDFTSPAPSAPSMSQQTRQPQYDDNVLFDATLETASNAESDDWGEFETAEPSSAQQ QPTRPVHAPGRVQGQSKSSDLLSGDFDLLSIEDKKPPSKPQARKAVPKKSPLPQSKPS LKPPEPPEDDPFEDWGDFNDGPPAPSPVQPRKSGATPALNKQPPAQPERSASQAFNKI RQENVPISQVRPTNIPPPSVLLELFPQVLEQLRQEATQARNNSQQKEVLETAAAAIIC TLKTAARVIAGRTHRWKRDAILSQSMRIGPARSGKTGGMKLSSINKNEDIKEQQESVD VITIWRDRAALFNSVVQTSGKRPVPVIPENVRAITASAEQGATKASHACALCGLKRDE RLPKVDEGVEDSFGEWWTDHWGHTDCREFWQSNSRLLGQR ACHE_20799S MGLAYNVYLNSNKIFGCKHCKTHLADYHDIVSRDFRGQHGKAFL FNNVVNITQSEAVERSMTTGRHVVRDIACRQCKETVGWKYDKAYESGQKYKEGKFILE EELLCVVC ACHE_20800S MDTSEIHDTTPVIDEPMTDVNNMVDSSDIEITPKTEEEYAQAGL TLRAIVSSKEAGIIIGKAGKNVADLRDETGVKAGVSKVVPGVHDRVLTVTGPLQGTAR AYAIVAKSLVEGAPQMGMGGIVSNNGTHPVRLLISHNQMGTIIGRQGLKIKHIQDASG VRMVAQKEMLPQSTERVVEVQGTPEGIEKAIWEIGKCLIDDWQRGTGTVLYNPAVRSS VGPGPLSPAPAPANNGFSSRPYNRTGNGADFSDHSGGYGRRSNSDASRGYPLVTEDGE EIQTQNISIPADMVGCIIGRGGTKITEIRRSSGARISIAKAPHDETGERMFTIMGSAQ ANEKALYLLYENLEAEKTRRSQLPQDQE ACHE_20801A MAADPRDSGPAMEPPASTSSFSPALRHLHSSSLSTSSSPHRLPQ GQFSFEYHLPLRKRALTASAQQYSSPAATAANHPAPRRTISTSSTTGPVHVPTHRRSV PNFSLPHVAALSRQSSFSSPLSTLNQNSLHSPRPREVESPGDDALNPNASKRRRQSTV IFQDSAKTTMPASTQTYVPYRSSFAADKSRALNGVDKQAQDPDETITSNTSREDIFLN IARSDSDRRNSLARSEFRRSRLGLSSGSLRSPTSRVHSNDNTPSPEQLRSTNSHAPLR SPLHATYGSVSYPHSSASAHPLDDHSRTRYAAVGSSSRSSIGLPRSRLSRTSPDASPR TSSGADRRASWQDPPRIYPNPALSTIRSSRLPSSSETTERVRVEYPDKNRQDGTESTL STNAPSTVWDELEDLKSRIHKLELTGKLPPSSQAAISSASNSGGERPRTAATTATTLS SSPNHRRKESGPSAESDTAPANPVHPLLQSALGKAKTVLSNEVYRTLEATATDATILS TILGSGSVPSGSVSVINGHSPSDRQSRRKADSVCRGLTELCLALSDDYIKQHEQPGQD ETIRAPQQNGHANPEPSTPTLPFQRRESFEPEGIIRRRSSARVVSRLESRRASMVNGN SPSYHQDDPPPIPEKPIPQQQSPQTPAAPTAPTAPTAQPQPQPEPEKQTATVTPKSRL TRLSTSFRTRRTQPEEENAEKESSHNRTLSRAMTIATPATQSSRLAARQRLSQSFTPS QSIPNSPREPQTPQQPPPAHQLPTHTAEQHVPRTPSLSQSAIPLRRSFMAHTPATSRS NIQAGSRRYGLSSFSAAPGETDIPETPQQSTPGAPTPSQTRIVAPSTKIAASYTPIQQ NQSRSRANSLGTRRFGIRPRPMVNVDSAVNGYNNG ACHE_20802S MNMDADAFPSSLSKRSFAQVASATLSCLHRSSRCNNNASVVAPA FYHDGCDTERVLLSPFKRRTIRKSTSFALATPSTSTMPIQTSAPSHPDSFPSFMSVFG GISSRFTPEKDEGPAPLQPLRVPSSHGSTHPSRRPLPFRHRESVSSMTSGSTDSSPTT TISTFDSPSAPDTSPSSSPESPSSMPYPKFMQPTQFGEQKPAQPAQSQSQQQNESLKP SESLKPVQPESPNRRARNLKNLSLRMPPPLDSSRPPIATASVVETTSHHFSAPPSPVI PPKTGRRKPAGLTIRTPGFDKSFSSNITEVVPPTPSLRHAESSPSLHSVFSPSFGPKG GMQLPRPVTHHGVRRPSEGCFTPLQTVPDENSHAGGALHDLQEEEDHLDSRESLQRNE RGYPDGPIRIYDSGVYLYLEPTAQEASQFDVVVNVAKEVANPFTKSPESDTVMSAWRN SISAAIGEQHVQNDSRISSSKPEYIHVSWDHNSEILDDLYPLCELIESRISQGKKVLI HCQLGASRSASLVIAYGLFKNRELDFNSMYEMVKARSRWVGPNMSLIYQLTDFRSRLI RGTLSKKSPEEWSLASTPKSEVPAPSLAASPELPDNGQSMNHAPPVPPVPSLSVPSDQ KTLRPSSPGFSKTLSHKRSLPPRPLPLRQMYHTAEPADRPQRPRTSYVQPVKKGSFVR EQPELSSIFSPRTTEFMVAPLPRQMGGIGGVLSGDVADPRSPPPGNERLIMRSIDEFL ACHE_20803S MADQPTHLPPSELGTKEYWETFYARTLSHLTTKTPETDHPANPE SQDPSNEDTDPDSDADDDSDPGTSWFSEHNAPEKVLDFLTDDEFPLAPANTFPSSPST KWNGKVLDDQPKVLDLGTGNGSQLALLRKKGGYRGEMVGVDYSRQSVELARALQRGRG HSAYRSDSDSDSEEDEDEDGAEAQTEGGTQIRFEEYDILNPVPKDTLDWFPRANGGFD IVLDKGTFDAISLSEEMVAEKRVCERYPGVVVGLVREGGFLVVTSCNWTEEEIVRWFV DGTKGELKVWGRVEYPRFRFGGKEGQGVCTVCFQRS ACHE_20804S MTRSNEGLRHNLRQRFNRVRDKFRNFLKDTSTHLPPHAQADRSL ATNPAPASQHATATATSLPLNQASNAPGELSNTGNDEAQTQAGQDTFHGNSTEQNSTT DQLAVGHDIGNVIVSSDLWSAAYREAVENLRQEIDIATLEGKNAAQLFRELHETEQEA TRESAFSRGLRHLRLVQVPLERIKLALDLASPMTNLEPTAATVFGVLRSVTAIAISFA TADLDFAKQIGEMLEQIPYIDECDTLGQKAGREDIHKALVLVYEKILEFYKVALEILS KKGVKLMMKMVWETDRLPNIVQDFLRYSDTLRKLIDKATFEIVEDIKSMLYDQQIAGW LGEDKISRQSQYHESLQDLRADQACEFLLKHTNFTNWYEGSDSQQLTS ACHE_20805S MASFKELSLSRPLPQSSQRHHHSHSMSLGAVNPNHRVTRRKSVT TAAATSAAAAAVAAATVKDPSSGESAGIPMPASVNRRPSSRKPLMESSSVGVPSGFTA ATSSPSFFSRSMNSPGYQDPSVAGKVSVPNQPSLASNGSTATNTPPHPSSNLSAPSSS KNRNRRASEGAPPAKEGKRSLVELRCERCGKGYKHGSCLSKHMWEHDPAWAITSKLLI SKHQQVQLLEAASVLVTMNHDGPEESELSSASPDASSESPEGLSSADTTPPPMEQDME EDEESEDDEDDLEMSGVSTTADKRYDVPRASRNPSGLFSHSYQSVPSSSFNGSAPLHS PSFSNFQHSNIETRPSTANTKLHDDDEADLAAAIGLCNFGTPRTRPVAMSPSIPPVPS LPGRFLDPGNQSQSLDQSRVPGADTAFHGSIPGSIPNFLSVSYNPSLSYKVSDEREAR TGDSDRTSRQNRNADVDFGNRPTQDDDDDGVFGRMEE ACHE_20806A MFAWYQKAEICYAYLDDVVQEGCSGIIPDGVGNSEFRLHAELRG SKWFTRGWTLQELIAPRVLLMLNVDWVDIGYKRDLCYILHCITGIDEAMLRGTAKLES FSIAKRMSWAADRQTTWPEDIAYSLMGIFNVNLPLIYGEGDKAFLRLQEEIIRNSDDH SIFAWERDTVSINNPSGILSHAPADFKFSANIVPVKMSGLPFDVTNRGIRLQLNLSRG RAIILPCQDTSRNNWFVTVGVMRKSEESVASYLRQFVRVGPKLSHRQSYWSFSSGFRR GTGDVYVAKV ACHE_20807A MRLLDTSTSTLTLKEFIAYQIPPYAILSHRWGDEELAFQDLDRI DELIQQKSGYDKVKRFCERAAHDGYPYA ACHE_20808A MGIFLSKSVSFNPSTNIPSLEGKVILVRGGNSGLGKESVLELSK HKPKEIWLAARQVDKANESIEEIKNRGGDPARIKVVQLDLMSLESVAKAAESIRAQTN RLDMLLLNAGIMSVPPGLTCEGYEIQFGTNHMGHALLTKLLLPMLLRTREQLASDVRV IVLSSYAHNFAPTGGIVFDSLKSRAEEMGTVTRYGQSKLANLLYGQELARHYRELRVA AVHPGLVRTNLANTMGSESLIKRFLWWLTSGFAGVDPATGALNQLWAATADTVQSGVY YEPVGIANRESQYAKDSKLARELWDWTEQELSQYIVT ACHE_20809A MNITYYPVTTPFSAHASSFARLCQSAMFIGRASACRSSSQTALM HQIGAVTSLTEDLCTFSSILADEMTSSTLDRYLRLLAPQCLTWSALFLLLDNYCCPEK FSDEPGYMPSAGTKGPDELATQTQAMLVVRNISDQAHEKTKEVMDIISSQPSIDHVGS ISPFSLDALYCSMVTFQWIYRECGDEIAHVRLTAIEACMRRLSERWRLAFEYLALGEV YRNVGNI ACHE_20810A MESPPHKATQACGPCKKRKRKCDKLLPKCSLCVRISRACHYTDA PKPTPTAAEFAALQERLTELEDRLSRSSEHPGSLSAAVSVSGASSLATESSGTTWPSR GLASFPSALFLDIDCYKWSNMQLPRPAVSIPMEVLGVLNQPNAILETSALYFDTIHPW LPIVSKKRLDLGISLQNSGPDLPMLFLAMKLTTFSLPVENTGNNPLYLTAKGFLATLE ASGVVSLVYLQAIVLIAIFEYGHSIYPAAWMTVGACVRFAELLGLSPDDMDGSGGEKT GVVGRIRPGSGYFPGQSEKVLPP ACHE_20811S MRRSTFFPHKPVLTEKTLADQHGKVFLVTGGSGGLGKELINILY QQNAKIYVAARSEPKTKEIIREIQRAHPSSCGELIFLRLQLDDLSTIKQSAEEFLAKE NRLHVLWNNAGVMVPPQGSKTVQGYELQLGTNNLGHFLFTQFLRPVLAETAKTAPKNS VRVVWVSSSAADNAPHPAIDFSNMDYHVEEGIWSKYSRSKAGNVLHSTEFARRTAGEG IISVALNPGNFVTNLQQNMPKMQLAMFKMISHDPINGAYTELFAGLHPSITEKNNGGW VSPFGRIEPARKDLLDPHLGRKYWEWSESQVKPYM ACHE_20812A MRVETCHFCSRPTYPSKGITFVRNDSRQFRFCRSKCHKNFKMKR QPRKLKWTKTHRATRGKEMIVDSSLVLSQFAKKRNAPVKYDRNLVNATVKAMERVEEI RQRREQQFTKRRLAGKLARDRKREEDRKVVAEGEHLIRKELREREEGQPLAAESTKMA RLHGEERPRQQKKTRLLVDGTTQEEMDVD ACHE_20813S MASTLVMGLGVATAAFLGRAGLVAYRRSKGGVGAAGKAFYKGGF EPRMNRREASLILELPERTLNKDKVRKKHRQLMLLNHPDRGGSPYLATKINEAKEFLD KHT ACHE_20814S MEGMGDGTEGLGFDMPMLMNQQPHLFGAYGQDGSPVQSVLPTFQ DEPSMSTGEDQNDAKRRRIARACDMCRKKKIKCDGKMPKCSHCINYKTDCVFTQVEKK RNPPKGAKYIEGLENRLGRMESLLRLSGLLSQDDGGKTDLGTLEKRLADRSFTNGLNA ANASSSQSQNMGNTPNASGTQTQPNTAPQSHQPSPRIETHSMSPRTAATSPGSQKDET EVEALSDMMCSLVTNNCGETRYIGSSSGFSIFSPKGIQWVNEKTGDTSFQDMISSAYV DDNKWMYWKPEVFSDIFARRVFKPLPPKEEALSLFRDFFANFNCMFPLYHEPTFMHLV ERQYSRDPYEGSGWWASINVVLAIAHRLRVMSNLVPQDEDKKAWLYLKNAMGVLTELT MRNTDLLSVQALLGMSLFLQGTPNPQPSFFLVAAAIRLSHSIGLHKRGSGFGLNPVEV EQRKRVFWISYMLDKDICLRSGRPPVQDDDDMNVELPSEDPPDNIGNVPLADGKGKFN LFRTLCRFATIESRVYKRLYSAKASKQSDGELLNTIGDLDKELEEWKDNIPVDFRPEH EIKAAHTPLILHVVVLHFAYYNCLTTIHRMSVHHGYWTSRLSNYAIQGLNARPLNPRV FLSAVLCVTAARASINLIKYIPQGDFACVWLILYYPVSALVTLFANILQNPNDARARS DVKLMNVVVNFLSTLVSDESNGSIKRMLGLCGEFERIAKVVLDKAERESHSKKKRKTA PDDTGPSQPQQRNAPPPPSSRNPANAPLTTAPSSSPLFSNEAVPNGQTNGRSNGQTPF SPSTAMPTDTGLPGDLPSNVNTVPGLGHDFQEMLSPNNLGNVGFDQQPFGGAENNPMT SFQQPFVPQDLWQMPMTIEWDWADMSSNFPVFDSGMDSNGPPPPNES ACHE_20815S MATSILRRPGNLARYSRTAAECIPRARPILTYTRQSRLSALITA HRNTRCYTTGGPGGPPRNDQNGQNNPGRKPENGGDPNRDNKGPEEPPSKLSKEEQEGL DKLISNLKEGVPPSQHQLLDDIRTVLMSEGLPEEVRDYIAEHVRSGRVPSLMDYVKFT RYVSKNMKTYAAKMEELERKREQGLKPEEAEEQQKKEKEQEGEQQQQKQQKGKDQWNK PPNFRVLEFRFDPVTTLISTMLAYYVYQSFFPGEGRKEITWQEFRANFFDKGLVEKLT VINGARVRVDLHRDAVANVYPDSPASEQSFHYYFTIGSVESFERRLDEAQNELGIASI ERVPVAYQDEVSWLATVASFGPTLLLIGTFFWLSRRAGSGGGQSGIFGIGKSRAKRFN HETDIKTKFSDVAGMDEAKVEIMEFVSFLKQPERFQKLGAKIPRGAILSGPPGTGKTL LAKATAGESGVPFFSVSGSEFVEMFVGVGPSRVRDLFANARKNTPCIIFIDEIDAIGK SRAKQAFGGGNDERESTLNQILTEMDGFNTSDQVVVLAGTNRPDVLDKALMRPGRFDR HISIDRPTMDGRKQIFRVHLSKIVTSEDMEYLCGRLAALTPGFAGADIGNCVNEAALV AARENADKVVMKHFEQAIERVIGGLEKKSVVLSPEEKRTVAYHEAGHAICGWYFRHAD PLLKVSIIPRGQGALGYAQYLPAGGDTYLLNVNQLMDRMAMTLGGRVSEELHFDTVTS GASDDFNKVTRMATAMVTKFGMSPKLRYIHYEEDQQQFQKPFSEETAKDIDMEVRRIV EEAYKKCHNMLVEKKKEVGMVAEELLSKEVLSRDDLIRLLGPRPWPETGEFAKYFDGR TVQPPESPQMPTEELEGKDGRDQTPLPPS ACHE_20816A MSLPLPEVQSAVDCASFDQTVLPFLSQLTSLPTALQEAGRDVVA LKEIYLATNPFITALAFCLALSGAFLVWSEINRNYSQVDRCWSLLPAVYNVHYAVWAR MAGVRTLSLDTIAVVSMIWSFRLTFNYWRKGGYKIGSQDYRWEIIQSKINNRFLFFIF NIVFISLAQSLLLLFITTPTYNFVILSQLPDAQVFELPDLIFSRVAFSLIIIEYFADQ QQWNFHQAKHEYHKNARIPEPYKSQFTPEDLERGFVVSGLWSLSRHPNFAAEQAVWLT MYLWSAYRTESYLQWTGLGVLGYLLIFQGSTSLTESISASKYPEYSEYQARVGRFIPR FSVEPRYKGSSKKARNKKTEQASEGVAVDERKSK ACHE_20817S MSRILCVAEKPAIAKAVAQHLSGGSFQTVSIRGSPYVKNYLFDF NFGNPWGTCSVTMTSVIGHLTALDFESNYRGWTSCPPGALFEAPVQESVAHDKKAVAR NIAEQAARSKALFIWTDCDREGEHIGTEVRKEARRGNARILVKRAKFSNTERAHVLQA ARALIELDELQANAVAARIELDLRIGAAFTRLQTLQLQNLSPILAEQPISYGSCQFPT LGFVVDRYLRVKNFKREKFWGIKVMYTRDDVKVNFLWRRVHLFDRAAVTIMLERCLAV KKAKVVKVNQKPTSKWRPLPLTTVDLQMMGSRYLRMDSQKIMKIAEGLYTKGFISYPR TETDQFDKAIDLKKLVEKQFPDNNWGQYARDLINGKFRTPRSGRHNDRAHPPIHPVCW VAPSALPTADDRKVYEFVVRRFLACCSEDAKGQSTEIEIQYGDEFFHANGLTVLERNY LDVYVYDKWESSQQLPKFEPGEMFEPTEAKIFDGKTSPPNYLTEPELIGLMDANGIGT DATMAEHIAKIKDRQYVATRNRGSGRSSVQELIPTQLGVALVEGYDNVVTGLPDSPSL SKPFLRKEMELRMREICAGTKTRQQVVFESLDMYREVFIHTQRRINMLKDACRKYLIE GAMS ACHE_20818A MDLTILTVVFLTSNLWGMYHRVPFTNISPSRSFLERAVSSFQLT GAVYTLQEMHPIIFPEILQERHDTRINGHTLFPPEIDIDDIIKRVMDEYMVSRNVTNI SPCWAPTGAVGSDGEFDPHVIGIHLRKCLHPRLDSHNGVVKAVWEGLALAFLGFSFLF FIWKENNKTTVDTTPNNNSSDSLATIVQTLLQDRLSSPNSLSRDELVSILNGFMSNIT SNITDDIASLKANMGEIADVLNSIRERIQPGYEAIQDIIENVYNELSSQMEQVNASVA CSRLIDDYNKISTTHLYDLISSIDGIIEVQRDIASILVQQAEREEYGTVACHSGIKSV NESSSQVSSTFPLTHPNYADIERLIQGLTTDFDELQGSIQPVIASSNCTSQLLEDFVE RVLSIQLATSLVPFDVEATFRELNRFREKQEALEANINQVILSSSNEVSEMDIQALNA SFQSMDERVAALEQLIATNCTLDPTKEGSTNNVDGLVAIIHNLEEANQHLTSRLVIAE SNLQKVAHHDAEINRIRKRIVHDGKFFSYATDAKNISLEELAKTFKNHVERFKSETQW LAEQVYPMAEHTARLDRLERSNKNNYAHLLECMKKLGVQLRLDAGDDVEKMLASMGVK VPNNNVVGGIQGERKEEIKETQADTCTPVVSQERENNKKESPAPERKSDLESSRWAAT PSSEPALASPAPKNSGLESLRWAGEQSKPAPSTPRSQGLRSSRWASVSEASPASRNAK NNSRLGSSKWAPKSAPTSPGPRKSTGLQSSRWAS ACHE_20819S MFLRTLTFLALLTWALAASVLTLYQFPNKGAFIDNLVIRKNGHI LLTRIDFPQVWSVDPFTKIGTVVHDFSYGNSTITSCFGIAEVHPESDIFAVVTGSFDA TSFSSIPGSFEIWKLDVSGREPAVSPLVAIPEAKALSAVIKYGDLLLIADSPDGAIWR VNLRTNEYAKAIEHESMLPAPNAPPMGVNGIRVQNGFLYYASTTREEFRRVAINAHGE AIGPFEIIASGTALDNFDLDVDGTAYMATNAENSITKISPNGKVETIAGGKSSRELPG PTSCVLKGKKLYVGTNGGITAPVDGMFTEQGKVATIQLP ACHE_20820A MAKTQVEFHDFLDLQKVVFDWADSYDSKDWDRLRGIVAPNLTVD YTQIGLRKWDPMPAEEYLQMVSSPDFLGDPTIKTQHLLGATVWEKISDDFVIGHHQLR AAHLVYTDPGLSEVKLKGHSHATNEHYYRKVDGVWKFAGLKPTVRFNEDRFDDVFKAT Q ACHE_20821S MVALTPQTFTGKVALVTGGGRGIGAGIATELAHRGAQVAINYAH SRDSAQKVVDTIAATGAQAAAFQADLTKLEEIESLFKQVTAHFGRLDIVVSNSGRESF MPLPETTLEAFNDVFDLNTRAQFFVAKNAYDYISPGGRVILMSSIAAGVGVPGHALYA GSKAAVEGFTRCFAADFGKKKCTVNTIAPAGVKSDMWLQNSWRYAPGCDKGSSLDDIE TALANGSPLSRCGVPEDIGRVVCLLASPEGEWINGQIIPVNGGANI ACHE_20822S MGWILGEKFNTVYPHKGSIKVLWESRWKFACEKSIYPFHDGSIE DFEPIFQHLIGKNINDAATNEYTHTFLPVASNLEKKAEEAIRNNQTDKASDYLRRAAV VYRISRFPYIDYTNKNDKNDIKWHAFNRQKQVYLKAASFWKPTINEIIIPHTHRSSID GEHIPIYTRFPDEARAGNPVPVVLLLTGLDGYRPDNSQRTHEIISRGWAAVVCEIPGT ADCPADAADPDSPDRLLSSVLDYMASRPELDTNKVVVWGLSAGGFYAIRAAHTHRARL AGAIAHGPGSHYFLDPEWLSKVNDHEYPFLLTPAWAKKYGYDNDADFAKHAQKKFSLV ETGIVDQPSCRLLLLNGVNDGVTPIEDCLVLFNHGSSPKEGRFFEGLPHMGYPNSLPV SYQWLEEVLGKKDVKN ACHE_20823A MTIPNDHKQICSSYGAPTSGNANGNTGDSVTVPQLTPGNDGASY PSEDENSGVADTANPPAANPPAPSSQVSSAPAVAPQPTTVGTIVTKSDYITPDPTFEP TPESSSPVPDTPAPNSPAAPEPAPPATTNYIMPDLTQSTPESTEPSTPEPTHESPPTH TGPRPRPTHEWPGAAPFWQPKPHDHEGDWHSEGGPAPPKPENTLIAPAVQDDDFSLLD FLRKAEE ACHE_20824A MAKAAVLFGLSWLAQSALAKDVHLSWNITWVNAAPNGHSRPVIG INGEWPCPQVDVDLGDRLIVDVYNGLGNQTTGIHWHGFRQYMTGTMDGSSDVTQCPLA PGSKMTYDFMANQTGTYWYHSHNMGQYPDGLRGSLIVHDPVPPIGFDDEFTLTFTDWY NEQMPTLLNIYQSEANGDAYGGREPLPNATLINDASDAKIKVEPNKTYLVHIVCLGNW PGHTWFFEGHDMTVVEVDGVYTVPYPVSDKKLRITTGQRMSVLIRTKPDISRNYAIWD TTDVNMMFFYEHRDIPAGFNPNATAWLVYDEAKELPPAPPVHNLDPSLDFIDDVEFVP LDREPLLEPVDKQIILHTGSAEINGVSRFTINRQTYRAPEVPTLYTALTADDPMDEAI YGQVNPMVVNYGEVVEIVINNHHSNLHPWHLHGHRFQVLQRSAVDGGMFNGYFTNISS SPVQRDTIMVQNNGHSVIRFRADNPDKFPNTNRN ACHE_20825A MAFAGLLCMTAFAQAAQVHYTWDLTWAPGSPNGVQRDLIFINDR FPGPPLYADEGDDVIVEVTNHTPFNTTVHFHGIEQRGTPRSDGVPGLTQFPIEPEAKY VYKWHANNYGTYWYHSHDSSLLLDGLYGAIHIRPSPDRENPFSKISNDPGDLKAMREA EQNPILVVLSDWDHLTGPEYMQAMEDTGYDIFCSDSILVNGMGSVYCKDPEELTSYQP AQVQEVVNATLTDKGCVPFVTSLQGDWEHHPDNLPPGLNSGCEPSEGPQAGFSVDASQ KWASFNFISAAGVKALVASIDEHPLYVYEVDGRYIEPQLAHSIEIYNGERYSAMVKLD KEPASYTMRVASTGAQQVISGYGTVTYQGGESNQRESEAYINYGGAPVSDSVKPLDTS NLPPFPPIHPPQRADDFHLLTLGRVGTSWQWTLDGTELFPADLDALYPIIRDPDSLQL ADALKIKTINGTWVDLVYQLEIDEPTVVQPPHPMHKHSNKAFIIGRGTGKFQWSDVKE AMRQHPENFFLNNPLYRDTFVTSAAGESWLAIRYQVVNPGPFFLHCHTETHLHGGMGV VLLDGIDVWPGVPEEYLYQ ACHE_20826S MDFFGLTMFSILMAIFGYFQSIPLTGVDRLQDGLSKGYVLSHFG MMVDRFFPVAYANDSFENTELQYDTTFAVLALTSPEPKSDSVVDSALTNPNTPTDDMT GYETHIVPLVADWNGSDRWIFRDTQYLDLIADRTRYVGFSSQIWSAAQSFSRIVVLLG LPIILVSVLFVAFVYWRDVRTADAEIMSFTNEVRSRRASLQRKIDLASYAIDQTLDDI VRHISAQQERIHQDLASFRPDDVISQEMGAFREKLELLIQSEFDRQASWVKDYLEELE QVRQTLPGPAEIRGQCDEFQEILQQAKEVHNSLNGTLKHMDELLNSRPVASLSQGSVS LVDEFHTVRSISSNEGTGLSNMSERIRETTHLQPQSLSQWVMASDRHAMTAEEFDKAR EIRRERVKMRCANRVKANSASMNGQSGTAMTDRSSW ACHE_20827A MTDPRPYHILSYGTLLGVQVYQSFVSGVIAFRALPRPQFAQLQT ATFPIYFSLQSALPVVVALTASKGSQALGISGLLAPENRLNTLLPMATAVVTGLANQF ILRPLTVNVMRERKKQGMCCLNLSKMSVENISDWDSETRDGKKSYDPPPHSKQMQALN KKFGKVHGVSSLLNLVTLLATVYYGVVIGKQLS ACHE_20828S MAEKEATVYIVDVGQSMGERRQGRSVTDLQWSMRYVWDRITATV ATGRKTAMVGVVGLRTDETANELQDDEHFENLFVLQEINQMLMPDIKRLREEIQPSNT DSGDAISALILAIQMIIVQCKKLKYKRKIVLVTNGQGHMSTEGLDQITSKIKEDNIEL VVLGVDFDDPEYGFKEEDKDPRKAENEALLRGLVEECDGAYGTLEQAISEMEIPRVKQ TRSMATFKGYLQLGDPENYKNAIKIPVERYYRTYVAKPPTASSFVPRSDTSETQEGPG SVQSSVTVGATRDTQSGDGSDLTSVRFVRTYQIKDENAPGGKVEVERDELAKGYEYGR TAVHIDETDQNITTLEAPMAMDLVGFVQSDKYDRYMHMSTTNIIIPERTNDAAALGLS SFIHALFELECYAVARLVAKENKPPLMVLLCPSIEPDYECLLEVQLPFTEDVRTYRFP PLDKVITVSGKVVTEHRNLPNDDLLNAMSKYVDSMELVDEDEDGKPVETFPIEDAFSP ILHRIDSAIRFRATHPNEPLPPPADILTKFSQPPADAVQRAKKYLDRLADAADVKKVP PKAKGRKRTRETEKPLSGLDVDALLHQEKRAKISPTNAIPEFKQTLSHAENVDTISEA VKQMTAIVEDQVRNSLGDANYDRVVEGLGVMRDELISYEEPALYNDLLKHLKGKLLKE ELGGDRRELWWLIRRSKIGLIDRNQSDRSEVTEDEAKEVSI ACHE_20829A MPTLEISIPTTSLSPTSPPYTLYNITLRLPLRSFTLSKRYSDFS SFHTALTSQTTSPPPSPLPPKSWFANTVSNASLREERRIGLESYLRAINNDPDPQWRN SSAWRAFLNLPNTPSGATGTNGSHTTSARLHAAITDPAAASGPGGGGKAITDPTLWLD CFRDMKAHLHDARLQLTRRDQETTPQKQHESSAMAKSALVRAGSLIAALEEGLKNLGD MSSSKGKNGGDSRSQSRERKGNGWSDGVVLGDGELRRRKDLLVNARKEKDGLEDLLNA MAAKGRIDNAVASLEDKEALVGSARTRKPARSGRVLGKETERTRELDNQGVLQLQRET MQDQDLGLEELRKIVARQKELGIAINGELQVQNDLLRLADEDADRLQGKLDISKKRLG KIS ACHE_20830A MSHIPDKRKPSSSIPGDTPYKRSRVSYADDDNEEYASQSPAAMT HERPRNNPLYGQKSAFPGLDVDAEGELFYGPAEDGLEYLRMVRSEANSLPLLFTAPKT QTTETQTIQTEQTTTEEQVNDTCEESTHHEDHEGTYVDGVFVARSTPAALPTSPPSVY PEAQQSYYTLLHHRFLLLRSILKCTPPPTSIPLLDDSHPISLPRHSKIARKEWRSLLL SVDPQTVQLACMDMESVLGVLGIMARLMSENVRSGDIVRIRRIGAWAWGLLGKCREVG QLGTEEVGEIRDLGKRAVKILGKMREDERAVQEEDQESVDDEDQDEEHVGEQIEETET ADSEQAASAESEAPDHDMKDAGAENTTDELEAAKARLQAKIQGNVDEDCQVQTHSDTE ENPGEVAMQTRAMLDMIITVVGEYYGQRDLLEAREIWQSIQIPKQAYH ACHE_20831A MSLGIYFFGALALANALSGQDNSYDGRNGPGNDTTTRLNQAFET AVSKKRVPGIAAATFNRDGSITFKQSWGTTSIEDPSSTPKTHLQESPFGFDAGDSYAY GYNIDWLGWVAEAITGVLLASYCEEHILKPLGMQNTDIYPPDLADRQHLANGTITAKP CSASPTKPGDFVDAGGGYLTSTLDDYSTLTKARIRVPGY ACHE_20832A MADSELPTRPKPEETPAGEAPAEGESKSASKSAAKKAAKEKAKA EKAAQRAAQEQAQKAAQTTEDTAKHLYGPIPETEDVIPSTRFSELSEEHHDKEVTVVA RVDNARVQSAKLAFLMLRQQGQKVQAVIAAKEPISRQMVKYTGGLNVNSIVQVTGIVK KPDTPISSATIQNLELHIQKVYMISEAAQMLPMQVKDAERPPPDTTEEGPQVDAEGAP IVTLKTRLDNRTLDLQTETSQAITWISSGVSELFAEYMIKSGSRWIFTPKLVGAATEG GSNVFEVKYFKRNAYMAQSPQLYKQMCIAGDMENVFEIAPVFRAEDSNTHRHLTEFAG LDFEKTFRSHYHEVLEFAEDLLVFILSQLKVRYSKQIETIQKSYPKAGDFKLPKDGKA LRLTYMDGVNLLKEAGVDVSEQERFENDFSTAMEKQLGQIIRDKYDTDFYVLDKFPMA VRPFYTKADPHDPRFSNSYDFFMRGEEIMSGAQRINDIKELEASMVAKGVNPNQEGFE DYLNAFRQGCPPHAGGGLGLNRIVMFFLGLPNIRLASLFPRDPQRLRP ACHE_20833A MRPQYFLRSLGLLQRVPNPSFVPTGLGRRFLSSSPRVPDFAFAF DIDGVLLRSSKPIPGAADSLRYLKEQNIPFILLTNGGGKHETERVAELSEKLEVPLDP SVIIQSHSPFAELAGALENKRVLIVGGDSDRCRQVAERYGFKNVITPGDIFMANPGIW PVSKVFRSYYEQFARPIENPNRIDAQDPSRGLKIDAVFVYNDPRDWGLDAQVIMDVLL SSEGVLGTISEKNGQANLPNKGYQQDGQPPLYFSNPDLWWAAAYPLPRLGQGGFREAL EGVWAATTGGPSKGVELKKNIAGKPYQATYEFAEHQLMRNRSRAFGGAITQPLRNVYM IGDNPASDICGANTFRSIHGSEWHSILVRTGVYRGGEPAWVPSTIADDVQKAVEWGLK SSKW ACHE_20834S MHPTSPCQIHLPTEVILLIVSLAATNADNDAQRQRTMHTCCLVS RQWYSAAVAFLYEKPRLDRGRAFYKFTDTISPPVAARKSKMNLGSMVRKLDLSCLVHH SKNSLTARLLGKVKENLEVFIAPSVTFAVNCLPALSKCHNLRHLDLSLVGEPLPFANL KKALSSLTKLLTLRLPRSTSLDEFQAQASQLASWPPRLYRLQFSGSFSATAVLSFAWP PSLTSLTLKNCSDLSVNNLGSLISNPHLATSLSRLTISGSNRNLSPESINAIPAFLPG LNFLSIPGDLVEESFFDMLNYMVPPLTLEVLEFGNPFFEATLGFSTAALVESFATGLG NLRAVGFADTFLTEQRILEDEEIDNVLQRRIREKSKPALQAANGDGVVDDYDNDDDDP DVGVYYL ACHE_20835A MSYVKKDEDADQVMIKLDRTSVFQDARLFNSSPISPRTCRTLLT KIAVLLFTGEQFPTNEATTLFFGISKLFQNKDPSLRQMVYLILKELASTAEDTIMSTS IIMKDTAVGSDVLYRANAIRALCRIIDATTVQGIERLIKTAIVDKTPSVSSAALVSSY HLLPISRDVVRRWQSETQEAASSGKQSTGFLGFGGSQAHAISQSNFMTQYHAIGLLYQ MRSHDRMSLVKMVQQYGAAGVVKSPAALVLLVRLAAKLAEEDQGLRKPMVQMLDGWLR HKHEMVNFEAAKAICDMRDVSDAEASQAVHVLQLFLSSPRAITKFAAIRILHNFASFK PHVVNVCNPDIESLISNSNRSIATFAITTLLKTGNEASVDRLMKQISGFMADTTDEFK ITIVEAIRTLCLKFPSKQAGMLSFLSGILRDEGGYEFKRSVVESMFDLIKFVPESKED ALAHLCEFIEDCEFTKLSVRILHLLGVEGPKTSHPTKYIRYIYNRVVLENAIVRAAAV TALAKFGVGQKDPEVKSSVSVLLTRCLDDTDDEVRDRAALNLRLMGEEDEKAGDFIKN DSMYSLSTFEHQLVMYVTSSDKDTFAAAFDVSSIPVVTQEQALAEERTKKLTTATPTL KAPSAGPPKSKASGVAEAATAAATQKYAEQLMQIPELKAYGTLLKSSVPVELTESETE YVVSAVKHIFKEHVVLQYDVKNTLPDTVLEDVNVVVAPAEEDLLEEEFIVPAPKLATN EPGIVYVTFKKLAGENSVPITSFTNSLKFTSKEIDPTTGEPEDSGYEDEYQVEDLELT GSDYIIPTFAGSFDHVWEQTGANGEELSETLQLSNMKGITDATEQLIATLSLQPLEGT DVSLSTSTHTLKLFGKTVSGGRVASLIKMAYSTKTGVTTKITVRAEEEGVAAAVIASL S ACHE_20836S MQVEVNPNEDTEWNDILRQHGIIPEKPKDPEPLIQEALVEAEHK AHENRLEDKDLDELDALEDEEDEQFLEQYRQKRLAELTTLQTTAIHNQVYPLQKVDYG REVTEASNQYFVLVHLSSPSGGANPESRILSEIWRQAAAKFGDIKFCEIRADMCIEGY PERNTPTVLVYKDGEIRRQLVTLKEVGGVRTKLADIEQMLLDLGALKESDVRLKKRSD SDEEGSKAEEYNVEDYDDDWD ACHE_20837A MLAISYTETSEMDYSYMTDTSQPFSLYDLHSLPTPDASQAPPGA DDLIAAALNYRNNENPNSNNDNAPFDLGFGLDPNTPFAQHQQHYQHHQNPPPPHSPPE SLKQSSEGNLHSHGSTGVAESLGRSSSEEKDGGVVDPNKRKAQNRAAQRAFRERKERH VKDLEQKVNDLEGESSTLHADNERLKQELARFATENEILRATSQNHPQSNPSTHPYRH PSNEPTTTGPMVYKPLDFKPSSASTSTPAKQGTGTGAAATTAPHRIATCPLTGERLLD TGATWDFIQEHELFKQGLVDIANVHAQLKQIAQCNGQGPAFPEGAVRKAIERCAEGRD GL ACHE_20838A MTTMDLRVGNKYRIGRKIGSGSFGDIYLGTNIISGEEIAIKLES VKAKHPQLEYEARVYKSLAGGVGIPFVRWFGTECDYNAMVIDLLGPSLEDLFNFCNRK FTLKTVLLLADQLISRIEYIHAKSFIHRDIKPDNFLMGIGKRGNQVNVIDFGLAKKYR DPKTHFHIPYRENKNLTGTARYASINTHLGVEQSRRDDMESLGYVMLYFCRGSLPWQG LKAATKKQKYDRIMEKKMTTPTEVLCRGFPNEFAIYLNYTRSLRFDDKPDYSYLRKIF RDLFVRESFQYDYVFDWTVYKYQKNAAMIVDANKKDEEQRRQGVSGTPMGPAVKQPGG ITSQRRKVAEHTPETSRAVGGSDRMLRSSSKVAGGYGLSSRTRRDGAGEWY ACHE_20839A MQWFNDVWCYDPRANQWSQLDCVGFIPTPREGHAAALVSDVMYI FGGRTDEGMDLGDLAAFRISTRRWYSFQNMGPAPSPRSGHSMTAFGKQIIVVAGEPSS APRDPVELSMAYVLDTSKIRYPVEAQNTGTAAPGMRKMSADKNSPTGRTSREAQNPTP VEQQQQAQQQQRRGPVPSREIMASPNGRPAEQGPSPGPGSRLPRASIAQAPSGPPPPG QAPTPGPRGSAPQPVMNPRSKTPTKVDRGYASPPMEAMRTMGPERGRESPAARETSKE IKPVAHEQQGAVSAPGDRRTPSQQQSRMSARAMEAGEAAPLISAPARQRSLRQRQRSS LDSTDESILGRQASVDGSIDSRGLRNSKTADEPRSPKLTAHQEVLMKELEAAKSRNAW YASELALAKKSGYSPSPTGTSVNDRTPDMFSDEDRPLIEAFLAMRAELSKMQATVDRQ AAIASKRVAEVEHQRDLAVNEAAYSRAKLAAHGGSQRGTPQPDASAQDPDGTISERGT DISRRLAMVLASHAELSAKLETMTTELDQEKRTRELAEETSEATRKRLADLEVQTNSL EMESLRAELHQAEAAAREESLLRSQAETALKQMTFDKEELSRKFDESSTRLRDYGSNL GTLKEAVAASAGKTTLIEKQLDEEREIREGLERKLLQLRSEYDERSAELENSTRRLRD AEELAQSHAREAETHKNAFLSGLERASSFDLENTTRSLSDQRVEALEAHVARANDLAK ANQAAADQATDKLRRAEERIAGLEAYQEQASREGLQLRRQLQTVMKESQAAATENREL KSQLENKQRDAGALAIQHAALKDLLGERGTSASDNRRSPRLESPGSRYGTPEQGRLRE LEQQLSASLKSHDEMKAFFETREQETDRAYREKLEQLENDYQSAVHYVKGTEKMLKRM KDELARYKAQSVKMQSELDASRQEAERSRLNQSMSDLNQDTNASIANLERQVAQLKAD LASAEEVKDKSRSEYASMQQQLSALADRSRAELEQLKQENQLLETRASDAEQKVTMLL DQVENSVGHYRRQSQHGGQGTNGILRTHSNASSNTIGRTRADGNVSQDDPSFPDNRGS MALDSLANELEALRTHWESTNNYRLSTQSDIDRTPTKETHDGGGLSDSLAEWRRRLDD DTSSRAESPVAGTADRGKPSKSGDGMDTTNMI ACHE_20840A MTRRKRPYVPKTKGCYQCSQRRIHCDRMQPSCGKCVSKGFMCSG IGVRYRFRDESELKLAKKRDAPDGSESEAPGAVYTNEDTLTGGASSDHTNNRADDDAD HYQPRFTGLRPVLTWKNTDSWKRFLLRYFSDNIAPEMVVIDDSHNGWRHLILPLAWMD ELVMKAVLAVSAFHLSGKTNGYAQCQGLANSSELYSEAIHELQNRKNLDQYDHQTKRR VILSIIVLLVAVMVTGFSDFPILFHLLQSALDTIGGEDELGPDELSEFALRQIHKMRV YAAPFISQQAGVHTILSQAPHSFDCLYYSSRFHPEKQCTIDLIASLRQQAYDIYLQRV MLNQTPGDELSSADVVTKFRANLESFPEGFPGEHSLVWASFIAASESYSPDDQQFFEQ FLLRQYHRNGFENILKGLELLKRIWTRDVNWPALLPEPRVFIM ACHE_20841A MMTRIPLPTPPPIDVSYQPETTITTIPATHPIEYILSILERDGG VILKDLVSPHELAAIDEELGPWSSKPRRYLENKKVNGDAFPSIPAQTVLVPGLVGKSK TVAQICEHPMLEALRQRILREDFTLMREGFAEPNTLDPLLSLSVSMNIGYGAPRQLLH RDDGVHHIRHSRNPFQPWNFQKFSQFGCLIAGCEVTRENGATMFVPGSHKWDDDRWAK SDEVCFAEEMSPGSALIFLASAYHGGGHNSVPGSVRTMHGLFFCRGNLRTEENQFLAI PRSKVREMSPKMLELLGYKKPTTALGIVDNMSPDEDVDGIWERAMQ ACHE_20842S MKDPILDMDNQNNTMLKRQSPNVQAEPRRNPNKTVRVAFGDVDT HIPPRDKSPAPNHHRSFTTIDQKIPAASSSSGRPTSSGGDSAALVAEERPRLTSDRAS DSGRPTAALKRARSDYGPRVGFDKSIFGDDDEDDFAMRHGWQEEYTSSEYLKVLHSNF YMYFTEKRHETNGIPRDPVGSWPSQDWRMKDRLKTVSAALAICLNIGVDPPDVVKTNP TAKLECWVDPNPAATTGGGQNKIMEQIGKKLQEQYETLSLRTRYKQYLDPSVDETKKF CIHLRRNAKDERVLFHYNGHGVPLPTQSGEIWVFNKNYTQYIPVSLYDLQSWLAGPSL FVFDVSRAGNIVQNFHAFVDKHEKENIEAKKRDPSALVQNYGDCILLAACQKNESLPT DPDLPADLFTSCLTTPIEIALRYFILQNPLETNISIDEFGIPGRLQDRRSPLGELNWI FTAITDTIAWNTLPRPLFKKLFRQDLMVAALFRNFLLSERIMRTYKCHPISSPELPET HHHPLWKSWDLAVEMVLAQLPALIDHEEGRRHYEYQHSTFFAEQLTAFEVYLSSGPTE KNPPDQLPIVLQVLLSQAHRLRALILLSKFLDLGPWAVHLALSIGIFPYVVKLLQSAA QELKPVMVFIWARIMAVDHTVQNDLLKDNGIHYFINILNPSSPIPVGNASEHRAMCAF IVSIFCKNYPQGQNVCLSAELFDSCLRHLTDVENPLLRQWSCLCLSMLWCDFPEAKWA GIRCAAPARLCELNIDPVPEVRAAMLHALTTFLGIPDLTDQVAQIEESLAMTVLPMAS DGSVLVRKELLVYFSTFAKRYQNKFIVAAYEELQEEKQSLLDKLANETPRDFPFDDAQ NGSPDSSAKFHSLSRNTTFGTIWKQLLILSVDPHPDIAQDASAIVDYIHVMLLQSPMA SVTDRIRREIMDITSRVPQRLPIRERPESKKVAPPPTPPSQTQPKQEGYLSLGIRRTA SVAASLKNLAFGGASGSELVSQPPTSPSMSNRMPITPRGRAPPEWTRPPEVNDHVAPA TAYHQAPIPTSRGFEPRDTSVLPTIPLLSRFLDWSTEYFREPQMKPNEPDEPGSADYN ERLWRRSRNEKIITETQPLKPKAGSSRWDNSMALLSNSSQPMKMCFHQFEDHLAVADD RDTIAIWDWQSHKRLNRFSNGNPPGSKINEVRYINEDDQALLMTGSSDGVLKVFRHYE SAKDAEIVTAFRALPELLPSNRNAGLVLDWQQGQGKALVAGDVKVIRVWNAATEVCSN DIPARSGSCITSLTSDQVAGNIFVAGFGDGAVRVFDQRLKPTTSMVKVWREHKQWITN VHMQRGGLRELVSGSRNGEIRLWDLRMDDPISTTYATSDTLRTLSVHEHAPVFSVGTN RHEVKTFNVDGTHLSTYEPYTSFLHHNRSSPIANTAFHPHRTILACAALNDNHINLVS C ACHE_20843A MSNLVHKVKDAVTGHSSSSKGSSGQYDSAKQSSNYGSNDAYRTG GSGNNTSSYDSSNLGNKQNLGNDLKNQGGTYGQNAGNYGKDSSSYAKDSGNHGKDTSS YGKDTGNYSTGASDYGSPRGNYGSGAGSGVGNYGSSNTGGYGSGNKQSGSYGGYDNVA SPKTGNYGSHQTGNFGAATDNSGSSKTSGYGSQQSGNYGSGSDRYTTGTDNYASSKTG GYGSGADSYGSKGSNYSSGAGRYGSEAGGYGNQTDSAGAGTGGIGYGGGSGATDTGRH SSNLANKLDPRVDSDNDNRARHQAFGGGAQGGSSYNNPSSTAGPHSSNLGNKLDPRVD SDLDNRATLGTQR ACHE_20844S MRPPRLIFLLFCLIFLPIFYTFISALFSSPRVTNPSALAGRSSG LHALFSFNLPSSLFPPSAIISLTDDNSTFFLARPAAFGPSLPKVGLSGQLWVGSGFGQ RDLVAGVEGELGCSDIPGWGEGESQYKHGQLTSSGEKSYGNSGSSAGNGATPQNRRIL DSKQEYDSQDLPDDDVSSPPANDGTDDHLHHPLRESSMVDVGTERPMDSSRSTTHADV QSLQESAEIAGKVVLLSRGGCGFLEKVKWAQRRGGVGVIVGDDTRGGSLVTMYARGDT SNVTIPALFTSHTTAHLLSSLIPPQYANQANRAKESKASRTRPSTHTTSSTQVADSMT TPSAYPTPSSVGQSAAAPSTVPQKPKAGLFHGLLSLVGLGSSKGHSTEDSRRPPSSGN IDWVVMDSWDQLDPSDDHSGNERTKSNDWSSSNYADGDGFVIGVHDWRDPDQAPARHV LDPSTAAEKETTKTKALASTSTGLRGGHATPGSGEYSNPEKNGYSKHWSAENSGYESD AVNAKPGSRATSKGWFSRHFGQKDASESDWASSLNKRDLLSRSKDSNGAKSEDHEGLW VTLTPTSMSTSPFFDTLFVLVVSPLLTLSVVYALLLIRSRIRRRRWRAPKSIVDRLPV RTYHTISTPSSVSSSPRPSSRDMDSPAAPLLSPEFRPESPPPPRPRPGPEKPRSSPSR LWRRKYTGRQVECVVCLEEYIDGQSRVMSLPCGHEFHAECITPWLTTRRRTCPICKGD VVRSMSQSSANDESHELDDAGRAHDSEPQTASTPVIISNASEDEMSDTERHAGDEIGL LREHSSAPASGWRNFASLSLSALNGDSAWHRGGPDRNR ACHE_20845S MDTIKSVLVDETVSLFQSAGDVARSGAYIYPLKGIFHLSNTKPL WRPPLSKAYPTATLGLGVTSAMFFFTYIPQTAIMSITSGPLLAPISTAFLVLTESSTI TNYLARAFLLKDALMDTFDGTLMSEGHEDLVAKGRHLHASGDAVSRLGDVIDPEHVEK QEREKISVASLIKACAYLPLNFIPVVGTVLYVFVHGKKMGPELHERYFRLKGWDGRKV KEWVERHRGAYTGLGMAAFVLEMIPFASIACSFTNNVGAALWAADIERAIR ACHE_20846A MATEIDPRQGVDPLQTPKPLKRPLPNAAQIPLRTFHIPDFPPEA AHLKELTLTSDIKPSEYSDLLATTVSSDAISKSIPEGIESLTLELFSLGYPASFLANL GQALPNLKALTLYSHLIDGISDASRKDAGEFMFNALVGNGDNGGGLRELHLLDAFCRK GFYAGIGETLEDLYLDGDAASAMLLLEVSYTYRGHSDPDFLSRVHGDEIPLMLVPSLI AVSLRLSPQPQDGSAAGFSNGLPYDPADVDADGNPIPGQKPQGIIPFPSTYPGTALLV EKLTPTLETTEDETEDPPIPGPQALKMLDSTLYTLTLDELSRILGHQTQLAVLNASVL IGTDEHAKKTILNTIRSASPALETVELVGVPEEFIEESLLSAFHEIFPTAADMAALSE SLPQLDSLSMNILCAPKFGAVSWNKQPGGEWVGGFVADDQI ACHE_20847S MERLGNKRLEAEITRIWDNIKASEAREVSALSTDSQAQQESTSS KGVPGRSEHDMAVTKKTISRRLGSKNAAKLWPYVTSFSMVREMRTVANTGISFEKVIQ TLNRVVLDRLVKPHPGYLLTTALSKVDFVAASKDTEREEVTAEEAQQQGYVFEVMSFF YTATCCKHDHEK ACHE_20848S MLTKELGTPVATPEKRVKSENRDIEMITLSPVAGHKRRFISTFG PAPYFIGDPQPAPETHDQVLHSHGIKSE ACHE_20849S MSGQPTSSFPGTPIGQLLIDDANAYKLNASLLYINSLPVEHRYD RYLQVLNHLLSISRRNNSEVARSHNRAQGLESRRPPASRSLSCMGRVKHS ACHE_20850A MENEIPLPPPPRRRKSHRPSRFDDRSSQPSSDPALFSSDDIPAS GLENYHAPAHGNAGRKRRYRGTWWGETVLDPKRKRKDFKDKRFVDSGVWMGSDESLVE SLLPSEDAPISEDFFKNVRNSNPGIPKKEPEEVDAAPFAPAAPGPESVLGMDRGPISR PQPQVVAQPRVAFRRVVESQEHQLARAIVNDCLEKGQDSVDLSIGNMKEIPSGLLRPL QHLTKLPAIREPPVTEDVYSSLEPFLRLFLAGNSLFKLSSELFDLSSLKVLSLRNNKL SEIPPAIRRLTSLQDVNLSVNRLQYLPWEFLWLIRKGDLKHLIVRPNPLMQIDETEIA YWHYPNTTTPESLEESLRLQEYESPVPEEAWAPIHIATGPIRHFNTDGYPIPEAQLNA TSFSELKSNVPSLREVSLVSLNKSNFFDLTPDSDIADLPELMLRLLRHAREVRAAGGR CCSICHRDFVLPRTEWIEWWDCSTYENGLKGPRASGEKLRPLPFKRLGCSWGCVPDMK SVEITHLSDGVASE ACHE_20851A MPVVAGPPQAGPSTFDKMKMGAMMGSTVGGIMGFIIGTVTIFQY GAGPNGVMRTLGKFMLGSGATFGLFMSIGSVIRTDGPHNDAWLRARGPPMMLPRQSAF RPVRQ ACHE_20852S MTAVASPPSVESGPRLGWYSSGNGGQGALSSMDTDEVSRVFMPR KNIQRSNSSSSLNSNSSTSTVATNSQDSDTGQNSNIEWSSKKKASRNIWPSTKSEPAS GITNARNQVVPAFSTGSTASSTMSAIHQPSSIVPSQHMPQTPQQNGARANGQPSGDPP VILSLLPLNGTFDKKQIHVPLLPDILKIGRQTNAKTVPTPMNGFFDSKVLSRSHAEIW ADKNGKIWIRDVKSSNGTFVNGNRLSPENRESEPHEIRENDTLELGIDIVSEDQKTVV HHKVSAKVEHAGILTSIPNILDLTLGDLDPASGNGMLPSPLSQPLSHFRGRSGSAMSN RSTQSSASTQLNALQQQRQMNYWNSPVSIEQVVKRLTSEMKQAKQQAQDLRQTDTFLG TLMKPGQPEKEKVKHSENHPPRQVNGRPKMPRVDSFSRFSDPPAPPPQQPLPEKPDAL PRNGTDAISPLKRSETEKTKLGAGNSPVTRDSSQILSLIEALTSTRRELDSQGARVKE LENLLLHERAARESAEERARRMETHIAAESEGSDSTAMIEPYLGETQNIANGLPVVLE KSLDKENGSVFDDKAKASEDGQAKQLQRRLEEVMNEMEEMRSQVVTLKDRAHKAECET AETRKSLAEMIEALRRERAENADRATTEPESLLPDPTESVPEIKGSPSISKVEEPETF KSPKIKEMQDGSAPFTSQQQKQAALEQSSPYASMLGVVLLGVGIMAYLNGWQRMDR ACHE_20853A MAKQPLTRDLQFEKKNQDMVRLPKYARIQKRPIPHAPIASPYAG SSTPKTVYISSNTPFMSAVKRVQKLLQQAEKRAMTSVHLSLGNKTKTDRQKLAQMAEG HGKLRKEAGQEEVFIKATGRAMEKAMRVGRWFEEREREYVTRVKTGSVLVVDDVVEDE EARERVIEEGKRLKKEREEKQEGGDAEESAVSKSAAKKRKRAAAAETEELPETRTRWV NVVEIAVTYK ACHE_20854A MADSTLISEDQTSYLVSRSSRDIQGFCENYPLRRHKFEGEASKG SLQCRRDWTEYIGPIERWASCNPWEGHFGVVVLPFCKPERLAIISYIFEYAFLYDNVV ESAAKCALNAHADNLALDETEYRTVRSITGTKQIQSKMLLELLSIDAPCAEVVIEAWK TMISTTTKQDKSRPFENLEDYVDYRIVDTGAPFVDMLMRFGMGILLTSEEDEIVSSIV KPCYAALGLANDYFSFDIEWEEFQQSEKATMTNAVWLFMQWHDIDVPGAKILVQQVTN RYEKEFQQNVASFVAGKGKDNIKLQTYLKAQSQQMPGNVAWSLRCPRYHPELCEEASK MIYSTVQGLSIPPKRVKTPFQGPASTVRPRGHTDASVGSVSDDSSVWSAENTPSSRSS VSSASSHIEDLRPLSLGLEHLLGPSDYISSLPSKGVREAFIDALNVWLILPDHHARQL KCIAQTLHNASLMLDDIEDSSPLRRGQPATHMVFGQGQTINSANFLLIKAVDQVRQLE DEQCMQIFLEEMQNLFAGQSFDLYWTRNGKCPSYEKYMEMIRQKTGGLFRLLARLMTQ KASALRHRNIALESLTVKLGEYFQIRDDYKNLTETYTGQKGFCEDIDEGKFSFPLIHA LTSQPGDSELRALLQQSRSPGSIDVPTKQCILEHLHQAGSMEYTVKSLQGLMGEITGQ IGLIENDTRCPNWILRLLVHRLAV ACHE_20855S MQGDMMEKLTVDDTVALATFSQMCAEQGLLQQRPGLKEGDVSDG INDKTTLLRFLQACKMNHTDALEQFQKATKFHTEKKAIPLYDLISVDDYEDTRKLVSR MNFENGITAPLDELINLV ACHE_20856S MTDRPDPSVPVTNSVYLVEASVISLKQAWDLKDFAQDVSWILAT CYPETIDRIFVCNVSSYITTIWGVLKKFVDPVTAEKIVFLKSNDVSPTLEKYIDPENI PSQLGGRFTFTNGMLPDLDTGIRNALHWTTPSDGSDTGSLPPGPIKWVQDEGGRREAV ATGSVGGLQRTERVAVLGS ACHE_20857A MSAETPERFAIGISFGNSSSSIARLTPEGKAEVIANEEGDRQIP TVLSYVDGEEFHGTQAKAQLVRNSQNTVAYFRDYLGKDFKSIDPRPCQQSAHPQQSDS TVAFSVRDTTSETPNTLTISEIATRHLRRLKKSASDYLGKEVNAAVITVPSDFTDAQR EALIASAKGAGLEVLQLIHEPVAAALAYDARPEAVVTDKLVVVADLGGTRSDASVLAC RGGMYTTLATAHDYELGGSLLDKIVIDHFAKEFIKKHKSDPREDARGLAKLKLEGEAT KRALSLGTNASLSIESLTEGIDFSSTVNRTRYELLSNKVFGQFTALIEQVVKKAELDV LDIDEVILSGGTSHTPKIAQLVRNVFPEKTRVLAPATTATAINPAELAPRGAAIQASL ISEFETEDIEQSIHPAVTVTPHLRNAIGVEFSSGDNVEFAPLLNTETALPARRVAQYN APKEGGDVFVRVCEGVREIKVTKPEPKPKEEKPAKTEEDEDDSDFSDEDEEEEEIREV IWKTEKPVAELAVKGVKANGKVELMVHVNADLGLQITAREVGGQSAARGVVEAPKA ACHE_20858S MTTPLILLPGDDVPSDYLPSNSSAPLRLGPGLRLLSAQVPTSSG LSGSHVITATQAGLILTDTKKNGVSIASFPNRRYIPTTNDLVIAQVHHSSADFFHCMI TPQAPHVLLPQLAFEGATKKTRPMLRGGDLVYARVSSVGVGAGAEVELTCVNPATGKA EPGGLGPLTGGMVFDISTGMAARLIRASSSKGDDEDGVEGLVVLDELGKKLESVGGFE IAVGRNGKVWVDGSNGGDSSVKATVAIGRCLTTIDEHELNTTDQRKLVTRILREMRVE ACHE_20859S MGQGQSQEVPNGPTELPEDNDRNLSLSPEAGKPPKDKTKSKRKR EVSPNGTKRASVPPPQSQHEYNSRPSKRKRIPDQAKKEKISRKLDGERPAAENGAAET RVPGQPMKSKSKSQKHDTKGSSEPRHKSIEPAKPTALPERPTTPRLLTPSSAKEPAKS TPALPDRNLTNRIIKPSRTKNAAKNEKLTGFFTSEEVQKLENFKLNFCNTHGLSADNF DQMVQHSDRDKGVEFPCDSDVITKPDFWKIIYETIPMRDQRSVYRFMRRHFQASAQKP HQWSHEQDDELVSMHTRYGPKWAYIAKLLGRSDDDVVQRWKNRLEHRATMLRGSWSAD EIRSLQDALQATWKANRRAGYDTGKDFYEMEERLIGWGQVSARMGHVRSRQQCADKWR RIRRKVLEQRNRGNPDATYEPTAELRSPKKKDPKPSPAAATPNENPKFKSSEYVNSDE EDEEGEWDAGTAAPSPSKQTPSNKSFVINMFVKEQANGSSEKSKAIPEHAPNSESESG SETDTSSESESDDNDNANNANQPATDKKSTKTPEPKAKPTEKPAPSPTDQASPSKDQN STSQKRTRLPTSSDSSDSESEGSDRKRQSTSSREDRSRKKAASPKKDKLVGESSFESE SESGSESDSTSESETEDKKKTAQTSKKPEAVKPKPSSSTKPTTQNGQQPNTTKKASTK DNSSDTSDSEDDSDASTSKSDENDEDEDDSEEDTKASAKLEDKNREKSSNKKAESSSD VDSNSDSDSSGTESESGSESAANSVLEKDRQGRKQQQNTPRLIKDEGKTTGDRTSASE SGDSSAESESGSESGSESESESDSVTKQDNKTNSNSTKPWTSSSSSKPNPKPKQTAPA KKKQESESGSETDSGSEESEPEEESDHKPRANTLNANVNANASRNQPQAINGKKEVNK KQDALSDSDGTDSGSDDSSEDEK ACHE_20860A MVSTKSLAASWRLYAIVATGLTGLVRASPSVNVALQASFDSAPY LLELLETAAEENTTAYFPLLDRIADGTFDDLSTDQDLYERFLKIVHDDGHLRTPESLS SFKLSLAVRSAAPRISAHYQFYNTSVQHSLMAAQDAACPMWVHYDGSQYCSSAMERAQ QDVEGESNLRVLPFDRVFGDKSLPPAVLYADIASPMFKEFHQSMTALAKEGQLSYRVR YRPQQHWTSRPLYVSGYGVELALKRTDYIVVDDRDAEHRGKQDTAPGTEDKEAAPDDL KPLSSSEVDRLGKNTVSYVMDSDAPLDSLVKLSQDFPKYSAHVASHNASAELLEDIRN KRGRMLPPGANLMWINGVQMHPSKIDAFSLLDHLRRERRLIEKFRDLGMPAQEAVDLL SHPLLGEALVQDTPQRFNYKDDIEGGHVIIWMNDIEKDGRYKQWPDEIHAYLQRSYPG QLPAVRRNLHHAVFSVDLTDPQDIQLVIEPIQMFVKRNIPVRFGLVPTASSPGAIAQA KVAHYLQDKFGLSSLLQYLEESLKKKKTASPDNSCFQSATKGRNVRPGRQPLSLEETL ASDEYEAIVSQTANYQSRLGINSGKRDFFVNGIPFTREESWTQELSMQVNRDLQMVQQ NIFEGTIDDDASFSKFLLSDAVDRRNPLITPQDPKDVRILDVGKIVDAHQDALNELPR LASSAENVLDSAHVIVIGDFDSESGAQLLTAALNFQKEHGQTEVLFLHNSDGQKTAKE SARLYKSLKEDKKADATQILVDIQGSVVSDAEAEKISQTWAALQPLTGELGFIPGTTG VVVNGRAVDIPDESALDSEDFGLLLTYERTRRITPVAKAVDDLGFSAKFSGPLEFAKL TSLAALSTISDVPEGMFESSSDIRLNLFGKWTASPSVLTVSNSEDPTINIVVSIDPTS ELAQKWLPILKVVSELAGVQLKIFLNPQDKINELPIKRFYRYVLDSKPSFAADGSLAR PTASFSGVPLEALLTLGMDVPSPWLVAPRDSVYDLDNIKLSAVKSGNVDATYALEHIL IEGHSRDLTAKSPPRGVQLNLGTEENLEYADTIIMANVGYFQFKTQPGLWKISLKPGR SQQIFNLDSVGSLGYSPQPGDDNTEVALLSFQGTTLFPRLSRKKGQEMEDVLETGPKP GSAMEYVSKGLDFASGVLSNMGVSTGARVNEHADINIFSVASGHLYERMLNIMMVSVM KNTSHSVKFWFIEQFLSPSFKSFLPHLAAEYGFTYEMVTFKWPHWLRAQREKQREIWG YKILFLDVLFPLSLDKVIFVDADQIVRTDMHDLVTLDLDNAPYAFTPMCDSRTEMEGF RFWKQGYWKTFLRGKPYHISALYVVDLNRFRALAAGDRLRGQYQMLSADPNSLSNLDQ DLPNHMQHNLPIKSLPQEWLWCETWCSDEALGIARTIDLCNNPQTKEPKLERARRQVP EWGVYDGEIAALGERVRKQQRVEEEKEQVDEEGTEDGSEWDKDEL ACHE_20861A MAHAEEILGAPDGSALTWILDHCLRYPGTYEIPLRTMYALNSNP TRHVNETSRSNRSSGSSNLSVSSAQNQNPTSWDAAAELRAQLSHQISRLPTQPCSLPP SFITSFLRRCFAPVLDEVDFPQALTALDYLKDLDSRRRKEVAATLRRLGIETDTPNSQ AELKETRPATLSWIESMNVKIRRAESLYSQIYIGLRRWTLLNEMLLSEPYNKANCIAL LNTLFPPVTEFTVTPTPQLTTKTLKSQRDGFFRYITAVDTNGKQILEKLVMQGAREGE ANNWTVVREALDKYLRLVNEIIDECTAVTGPASLGEDEFRKGRKVDSGISFVSAHKPM SSVSSGITAEELDKPLPPSPNSKRGGSTLERLARELRKLGDSGVSKNLRKMKSTAALS SRPGTPTASEQSSFDMDDHKRRRFLWEASNRKTHSKQPSSDFQ ACHE_20862A MLGRAGLGFAGLFWMASGLLLMWFTFLGGTKNSNPLNRIYFLEA ATSNIPGAPATSRWTLWNLCPVVDGKNDCGSNTPDFPFDPASPRNFGTDVNVPSRFVQ HHNHYFVTSRFIFPFMLIALFFGVLSFFTGFIAMCVRVVGHVSGVFAYIALTFQTIAT AMMTGVFVQGRNAFSRNGQPSKVGPMAFGFMWASVVLLFFSALCYFWGGSLRREDGGY SGREQRRRGFFSSQRSSSVRSNKETNV ACHE_20863A MENNAEHLDDLFTPFDYAQNALETPATEHFPTSDGIAWNDWTPG LEPTASWDNHNGLDPQVDSVGNSTFGFNELLAHQGPNGFEQITGYDFPVGEQLSELTE FDNNETPNDFTNVSLWLNGAYCPPVPCSHCRVNRLQCLIIQTTPANPNPVTSCSSCVA LFRECSLARGEKRQPAGFETVTPVLGHLHGVTEQTDEGQPDQIQAPGDHAKDNDGYSR SNTGLNRQNFSRKGAKILRDWYYQHQEYPYPSNEQKAELARETGFTRKQVSDWFTNAR RRQKQTMQFSRPVQVFRAGSPMPTSGTESLTPLERWQQSPPEDEPVPESAIQNAIAST SGDMSRSSWNNSHAGDDIFQHSADDVTSASSVGSRNSYASSDSAAWSYHSGESMPFPL ISRKSSNRSRKKRTRLQSSQKERRYQCTFCTDTFATKYDWNRHEKSVHLSLVSWICAP KLPETWRINERQAEPTCKFCNTPSPSMSHIEIHEFDVCATRPQSERTFSRKDHLWQHL RKFHHCTKLPNVDVCRTESNNVHSRCGFCGADFQTWSARADHLAEHFKSGARMDQWTG DWGLDPSVLAALKDAMLPGDRAGPG ACHE_20864A MSEARRPVFFNNHDSSVADYPRPSSHNDAIKLHQQLPGYSPTPL ISIPKLATELGVRAVLVKNESNRFGLPSFKVLGASWGLYRALTARLGLPPTISWTELI ERVKAASSPLAVIAATDGNHGRAVAYIAKLLSIKCEIYVPHTMDTHTQALISGEGATV HVVQADYDCAVQTAARTSQTVDGGILVQDTSFDGYEEIPAWIVDGYSTMMTEIYSQLA DIGLQSTTVVTPVGVGSLAHAVVKHFKARPEGPKVVTVEPDTAACLYQSLKAGRMTPV ETTPTIMDGLNCGTVTPVAWNDLRRHVDASVTVSCYESHCAVQYLASESIAAGPCGSA SLAALRRIAESKERSRILDSNSVVVLLSTEGSRPYPIPHDVSINDPISLTQALTRIDS SNVTLSAAHGAGEAGIVDYISAWLAHRGIETHRIETVSGRPSVVGVLRGSGGGKSLML NGHVDTVSLASYDHEPLSGHLGEKNGHPAVFGRGSLDMKGGLAAALSTLVAIKQSGHS LSGDVILAAVSDEEDASQGTRDLIEAGWKADGAIIPEPTMCAIVPAHKGFLWVEIDIL GVAGHGSNPVTGVDSILLAGHFLTALENYQRQLPVDDVLGPASLHCGLIKGGEEPSSY PAKCTITVEFRTIPGQTPESIVRDMQTILANIAKETPKFKYADPRVTISRPTQKIPSD HPLVQKTVDLATKSLGHLPSVEGAAIWCDAALLTQAGTPAIVFGPSGEGLHAKEEWVD AESIRQVTDVLTGLAKDFCQ ACHE_20865S MATWAYPPLPPEQLEHEADRALERELEWLLRSLQDSLASLREGL RDCAALLAPKEPGSTLVLSSLRSENVKGFVTRVGTKIVKGDIQLRLSSLPSSRGAAGT RLCLSTVPTAPELMLGQMVAVRNSVNQCLDIVDVSTWTGDPLNATFIYSQLHLLHETI TEARQMLKGEDDIKGKWWETSADEDMFDPPLPPYLSFHLSIADSALLLCLRTLETTAT AHAPTAFASDISLTGFNIRDRLFGARQRPHDEANDLFTWKGEEVRVKEKIRVESQDPS LMAVMAKLTALEHEVMKWMSALKVLMGNDDTESEV ACHE_20866S MFPRYPATRASRSCSSGFRFVANSPRQFRRGTASFTNNKLPTRQ SLSSNVSSNSSVPRFEQWQAGKRLASTAAAAAPGEPMETAHQGNRKDEIYGLIDKINE TDGELAELMEDLGISNEYFGALSVDGPGLDHAFSQTIGHRDEQALESRVRTARQEYGD ELPENHLNATELRLYIQLYGEPIGRAKELEVEEKVDEEPDRLYREDGEGGWEEVEVEP EEGSDEPEVVYDMEVGPPVKETISMQRTREVAEQLGGEIMLEEFQDEAFPDSTPRLHP LTIEGKFATHPKTVNMPRDSMTIPISAVLSNFSNRHIADIAHRTFGGRLLPHSTTTLP PRAQVPQQPIPLQASQRHMGEMEANAYIAALYPGMYASALSTLVEIRKRLGTNWIRCL MTQDGGPNVLDAGGGGAGILAWRDVLRAEWDAMYPDRPNTEPVLLGRSTVVAGSESLQ ARASLMLEDTTFLPRLPDYVHAREKPTLDDERTPRRKQYDIIIAPHTLMEIDEEYLRK QHVENLWALLNPNGGVLILLEKGRQKGFEAIAGAREMLLNRYISSPGSTEYDNTLDSP DDSTRVQKETGMIIAPCTNHEKCPMYNGPGHNKGRKDYCHFEQRYIRPPFLQRIIGAK DRNHEDVQFSYVAVQRGKDMRQSDGIVQGPQAVEAAFAGYEDLYDMTAEENLPEEDLE SAETEPEASAASSAPQDANATATEQTSPGPTEPFHTLSLPRVIYPPLKRRGHVVFDFC TPAGQIERWTVPRSFSRQAYKDARKARWGDLWALGAKTRIPRKVILGDKHGEGKKERL AKRAAAKEAMREEEEGFGEDEDSEQGPSKKLPDLPVPTKKKGVTVPSWKKHADKKTLR QASKKRSIVQLADDNWQ ACHE_20867A MTAPASLASSVSSLQSSLQLLDSSINTLDSGVNDFPRLCKVLQS TRHFELLPEPTLREAQQSLLDEITPSIAHLLSLASNHVEKLSRREQGLRAKCELQEGR LSDEPRQSSTSRSQSNALRSRQGNAAKAIELRRLIQKKERLQYAVERLELQGRQRERQ LRKSMAAPH ACHE_20868S MSLLGKKFPAPVAKPMAPFFAAGVVILYGINSLANTLSQSE ACHE_20869S MSQFRAKRLDLGGFINARVVRDHTKRKVYEQYEPERQALRYIIR NTTLPQRARAQAQLQLSQMHAYTRPTQIKNRCVAGGIARSVIRDFRIARYQFRQQALA GELPGVKKASW ACHE_20870S MFVFRPKDLPPDPVYPADLKKLGYFITDNDQIKKISDPEQDFQF KINRNPRWNEAQREAMNACIRTIVHSRLRDQGMTTLRLPPTASPKEPHVPIFISSNLS TAKRVVVVFGEPIQDVGIWAYRSVGMQGINAGSAVSLAQEILHLQKKDDQQQQKQVPE STDKPQHVTSDTALILANTGQLIWYCGGRRAITHASWMALPRRSAVDPPYRMTGRNSI PGNANWQEHVESVFEEVLAARGRLVREDAKIDIIGISEGGLGAIRYLASDWSSWSRYI NAICLASPLHFANIDLNPYDNDNEAETETETNNQNNPNSFASFLLARGRAYVVSPEPR GVPVPGIEDHGCNCFASGEELNIECVMGAAWKEMMQWFEKVHADPEYCEDQYDVRTVE AKGGVLPESGVQVVHTGVDNEDESGGVAIEV ACHE_20871A MTVQHVSTPASNSTPTSLDAAANKEISAIWAQVQARVIQLAGGD TKKIKTNLSIDGVLARLDQAQESREESSSSKAKVYNTIRATFNTTLQVIQTVGEIVSQ GASEVFGPTDLCFNVISYVISAWQGYQGIFESLADLLDRCAQYLSRLEYYVRGGMDAK LTKVACQHLQLFVEVCDRTIELSRSKRKKLRVFTKILFLDDNDIDDLLQKMASLVDQE GRLVTAQMFNFVSEAVNNTQKNLAISHEMDEKIDVLMEDRFDQRKEHEIKRRRDIVLK TLAFEENKLDPVKKEPDPYWHRNYHNYRKSVVPGTGQWIFDDPRYAAWESNRKGRSPI LAIKGVEGSGKSYLTSTIIRSLRNRSAAEDSGSRTLVAFYFLEGESKEEVRKANHFDI IAKSIIWQLVQSDASYLKSVASICEKTKDLDPDEILSQLLFDNNDLDRMDATFFIIID GLGDVIGDGFVRFLQKASELWKDRHIRILLNGHTRAFEQLATVKGVFFQSIPISARNR SDVELFIESRMNSIDALKDTARMGVPALRKKICDTLCQRTAGDFFRINTVLKRISSLD YVNDIDRVLADAGKERSQQILADIDKLNNTRTPKELSEINEIILWILHGREWFKPYQM ASVLYLKSGELSLLPLESKLSLKYHLFDIDTDGDIDFSSYEIPELIPEKSQVIQEDSS PDTSARVQPNEVSIVRHFLRTVCPPEVYGKFDFETFFEQKLSGKRCRIYRDDKDASEI KMALTCLRILTEERDERSEILRPYAISYVLQHLSSVDLAFADRDLKSAVGPRLLKLFT EDTATDALVWADDATKAVPLGTQIRTTWLDSDVGVEQVLRWFGDSAVISEISNLKDRE WISELMTSSDPKKVLLLPFAKRMAVHWLRCPSMRPFARNAFFFLFDFLDKLEGSNEYL AGGTSVDLMAPSLDRIHEVEEWSQRLLEIEEKDSLWEVQVAIILESFSFASESQARCR RALELDPQNWRASYYLAHATTSNSEAIQILEAIVKRFETDNDLIGKLRLQKSLTEILF DLGQRYWGVGQFDRAIHFHTRSVKAHYTGGDRALVIMEQYRSQQRWLDIVNLLNTIQK EPTGDPQNLADMMVKLAAHESLHEILLQTAIETKQFDFLERIYESAINTAVKRQVCTS LYYLRYYYANAVYQQPENEERAIGLWELALKDDLPRTVLEVENILPDLIRKLAPLYLR RARAAERDSDIARSYLERISSILPDETSENNIVFPAKLYLARYYHVQGDKLRAKQIAR SVVKMALEILSDGDYDNDYLAYWRLLLVFLPLEDDKNACAAVVMAGLGGDQEPEDSPE EKQTVFDSSKRTTSPASMPITREGDAQKPTKTTEPRKHRRSTRRDTEVLNRKYNTTGK SSIDNYSSTKKENQQQQKQLQKQLQELQEEQQKASHSHSRPIFAVCDANCGYGWTTAS EMWWCRDCINLTFDRDCYERLKNGTLQMNICDRGHDFLYIPKWDTEKMKKVPGLCVPY GDRIISLDEWKREIWREYVEIDVPVGRRMSRCFF ACHE_20872S MASTTTTTTAMDTIAVVTSSRAVISGRLTSATIVISRRTGKITA VFDSVIPANEFPEGTPYTDYSPHVLLPGLVDAHVHLNEPGRTEWEGFYTGTQAAAFGG VTTVIDMPLNAIPPTTTVPAFKEKLQAAEGKCWVDVGFYGGIIPGNASELKALVHEGI RGFKGFLCDSGVEEFPMVTSEDIKKAMIELADEPTTLMFHAEMQPHDKCQGTGTQHEE SGPVEAYSTFLASRPSSWETGAVEEILSLAHLAPKLNLHIVHLSAMEAIPLLRKARSQ GIPITAETCFHYLSLAAEEIRDGDTRHKCCPPIRSQLNQDALWTELERHGDDEGVIKT VVSDHSPCTPDLKHLPAHIPPGDADSAHNGSFFSAWGGISSVGLGLPILWTELSRRNG LTSAPDDANTKRALQDIVRLCCANTAAQVGLQSRKGDLVPGYDADICVFDDTAEWLVE PNTMLFRNKCSPYQGRVLRGMVRETWLRGEKIFSRDAGFSNKTPSGSLLLEKRV ACHE_20873A MVQLVITTRILSAIEAIPESRREDLDLPDSLKLNAPILHEQLIG LSRYLKSNSDGHDGDSNTPTSLNSLLHGTKVYVPPPPKKPEPSPEYLAQKSRLLAAVE ADAYNRMTSSSTYTTKGPSPIFTSTTPTLSAIHDQNTSDTDAKDPLTPSLVLNIFLSV LLTGFSTYWALSKFSTPDILTSTVAGAWRGKDMRGASEPVRVLLSLFVALLVGLAEVL IYAIYLGKSEDARVKEKKMRERKKVVGSEQVGGRSEETETDEQVHKVDGDEEKIWGRG ANGGIRRRVRERWEEQEKEQEWKG ACHE_20874S MADKSTSSTVTAQTNPRGIPVAPFVDNVADYVSSREDVEPTLRR FQEMISKYQFMEVNAQRRAVGLRDKIPDIKKTLEMVRFLKMRRESTESDLDTSFELND TLYARASISPADTEEVYLWLGANVMLAYPIGEAEEMLQEKLSAAQSSLSNCEEDQEFL REQITTLEVATARVYNWDVVQRRKDKADGKGDDNPEKPGG ACHE_20875A MMALRDDTPRRLEQRLSPGKKSRSTTRIYSHHLPERLKHDGDDA QVDFTAPPGVSGSKDGHLHYMQQSIFSMIAAVGSRSDFHTRFDESSESEGEGEERSQK DRSSSKGKEAGIPEEGPQSTLEERGRSHRRTISEKKLLSPLERLNLKHGGGNMSASQT VVSHRITPPRRPRSATPRAAPVLSRMVEAKARLDNKTPSTEYPQPEPKDNTQDDAQEP SASLLSTRLMEMFGFQKPEKVVVEYACALLQSMLLQGYMYVTEGHICFYAYLPKKSTV AIKSGYLHKSGKKSPKYNRYWFSLKGDVLSYYADPSNLYFPSGHVDLRYGISASLSDK DKGKETRDFQVTTDQRTYYFRADSPASAKEWVKALQKVIFQTHNDGDSVKVSFPIENV IDIEESPMAEFAETLKIRVLDNGDTYAIDEYFFTFFEFGQEVFEFLKGLVSEASSNRT SQLPSPQRGHVRNRLSITSGGSGLPDYDQTGRLRRSVSGSHLSSIGHGVLDRSPPVKQ QDSSGLLDNSIDQESESPFWHPNTAETASQILNRSDVFQAPTIHSLGRQPSDAGGVTG RRYSDDTARFTSPHASQSFSPRRDGHEAQHIRLDQAAQDPSKVQSSTASLNELVKVGV YPLQRAAGLAEYLRTRSKAMSSLLATESMGYIEKVSGMWAGGGRHYGESEGIISEDQA VDPEDKEDGCKYGDRFRAHFALPPSEKLQATYYAYLHRVLPLYGKIYISQKKLCFRSL IPGTRTKMILPLKDVENVEKEKGFRFGYQGLVVIIRGHEELFFEFNTSDSRDDCAVTL HQHLEAAKFMMESGILAEQERDESEAAQAEHLMLQEARLGGADALESRGSLNGSSELN PIFDDPRASIINFKPTESLRITCLTIGSRGDVQPYIALCKGLIAEGHRPKIATHAEFE PWVRKHGIDFAPVDGDPAELMRICVENGMFTYSFLKEASSKFRGWIDDLLSSAWTSCQ DSDLLIESPSAMAGIHIAEALQIPYFRAFTMPWSRTRAYPHAFAVPESKLGGAYNYIT YVMFDTVFWKAIAGQVNRWRNNELGLKATTLDKMQPNKVPFLYNYSPSVVVPPLDYPD WIRITGYWFLSEGSDWAPPAELTEFIQRARADGKKIVYIGFGSIVVSDPQALTRTVIE SVQKADVRCILSKGWSDRLGDPASVKVEVPLPPEIFQIQAAPHDWLFSQIDAAAHHGG AGTTGASLRAGVPTIIKPFFGDQFFFGTRVEDLGVGICMKKLNVSVFSRALWEATHSE RMIIKARDLGAQIRSEDGVGTAIQAIYRDLEYAKTLARQRSIASSTPFSPKPSPKNAD EPETSDDLDDIEEWTFVGDETDIDLSKRVRDRAISDIDMLPDRLHENIGIGES ACHE_20876A MAVVKQEPKSSSLLSESEESGSSRSSSPVNMNLEAEESSSGESE SGSGSDASSQSESGKSDSESESESEEKASAEEEEKSEEPTKKESNVSFIEPQAFKPPS GFKSAKKQSSSSNVSSLLSNLRGKQVFHITAPSFLPLSKVKEISLARVMQGEPVLQHD GVNYGIPADSISQGEADGKTLFLHDSKTQKYHSTPASNVQSYHVQELISIPESNGPTS IAAQDYEKPPRTQPKHLKMRFRPVGSGEGPAETIGTSSESEGEDEKPTFKVPKGSSEK EERKRKHHHTEGDSTQATAAPRKKSKKHSSGDKMDVDEKSEKKKSSKSKDEKKRKKAE KA ACHE_20877S MKHSRGGSLNSEEDTMKRKAEKQQSAAAPAAPVSAFAARKARQQ QQQQQQSQQEDVAITVNKALELESQGEPPSKKARRLSEKEKESTPQSAAGEDDSQKRL ALRKKKDSGKTKKSVDTPSKMREEARTVKKEVQAPSDIESGIEQTSVVEANPDDAVAS IDGDADGYESPTTQIPAEIQNFPLSKTRLNKNNIVYSDEHKLCVRMKEKMNLTLLGHY DLWVKRGVISLMGAKLHPSPRVYRVYAPSTHSLPVIKCVAGVGGEAEIEIKSCHSGIY RLRDISPLYQRIWNGNNTSADKLTLKNAPTSHRRTFSVLHTSAEDSLKRHLRPLHLEK QWSSSIKHLSERGGQLRALVCGPKASGKSTFSRYLLNHLLSPAPQTEGVRSSADGVAF LDLDPGQPEFSPMGQVYLAHLRSPFFGPPFTHPSLDNAADAYIVRAHHIGATSPKEDP DHYVLAAMDLMDRYRGLLASYPQCPLIINYPGWIFGLGLEVATWLVKSLGLSDVVYMS EKGPAEVVEPLGQAAYEARTPLITLPSQPTDFVSRSSAQLRTMQIQSYFHKTRPQAVQ NTLWFDTPTSRARPMLVDYAGPRQGIRGIMVMGSEIQPDLLHDALDGSIVGVVAVETP NAVMGETDTSLWNVNQANAPAEEDDSSDIEMDGSTHPALKQSDARTSMESYTTRTANE DLPYLFVGSGSCSPLDPKASRCLGLALVRSINTNTRKLELVTPIAGDHIRHALEQGHG IVLVRGQLDNPNWAISEEYYAARAAAQRHQRRVAQARKAKHDEEEKETSDSDGDGGAD DRAALAATDVKQQAQIRDKLQERIRRASQAPWMTVIEDDGRRQREVAQRERSLWKLRK KAYAGSGSEMD ACHE_20878S MDFILSLTHFCEVHGPTSIICSQVLPFSCSQCYPDKYDLSSDDT PATPHDTKPPSSSHPKQNEDSTDTSATPKIEDHPYFMKSNPNPALAEPQKERPNRAGP GTDGGDTCASCSLTLPEKLSKQLPPGAPGTTTDDGKGKNGSPVFRSREVVYSCGNNHG DYDDGARDTQTSFSDSMHSSSVASDASSSCHTHMLTYLSLRGPPNPADYALLRRSSIR TLSCELLPRGLSSGPICFGDSVAGYTIAYIFRLPDPMARGKRRSYALVALAGKDAGRA FRACPIIWRAFGRIAASIVSSAENYQEEEKRREEEQNGASRAGGRQYTPVSSFLTGRA LDPDGQPRRLGQIRARNLAEIAGNPYIFAELHANLTALLQQLGAMFGGAPISEEQFVC STVRDDENFAQRRVSLSGGKGQSQEKQDDDLGLSTLEISGPKPIPIAPRRTVIA ACHE_20879S MGVAFDKCETRPAHIDAILNGLDRYNPETTTVFQDYVAQQCEER TFDCYANLALLKLYQFNPHLLQPEVVTNVLAKALTVFPSPAFSLCLALLPAHTQPFAE AQATSQTSDFVESVQKLARLSTLLESAQYAQFWSTLNSDDLYADLVADVAGFEELVRI RIAIEVGKTFRQINADVLEAWLDLRSGEALEKFVTEVCNWVVDKSGPSVVVKVPTNKE NEARSEVKSERVGIEQFGRVLRRGFEQAA ACHE_20880A MDPYDSDSSLEDEDFTETATLLGYPSEEIIDDTISHLGGWPSWP DDATPPPGEFANCKVCNRPMALLLELHGDLPDDFPTDERRLYIFGCSRKACVRKPGSV RALRATKKVKLEEQAEEENKDAETDERKADEAQESTPAPPKQDLGASLFGAGALTGNI SANANPFSSQAGDNTNNDANPFATPAPSTAPPKPSSANNLSETFADKVRISNPNQLPS KPPSNFKPITPPEESGPLNPWPDQSAFPPPYPNYYLDADYETLDRTPPTIPENVTIDN TEDPASAGGKDAELKDTFESELDKAFLRFSTRLAHNPEQVLRYEFRGEPLLYSYVDEV GKRLHHSHHSQQGHVATAGGAGASSKIPRCEYCGSERVFELQLVPHTISVLEDGREKL GLDAKEDAGMEWGTIILGVCGKDCGPKEVGVTGWREEWAGVQWEELMK ACHE_20881S MALHRHATSLIFSHLFLIYHVILLLIPFGNADNQQPLHATAEAT PRRVAVIGAGAAGSSNAYFLRKYAESSQTPVDITVFERSSYIGGRSTTVDVFDNPAYP VELGASIFVKVNYNLVNASRDLGLVAQGADIARPRESEDSIGIWDGKEFVVTLQNTSN WWNIGKILWRYWLAPIKAQNLMKTTVKKFLQLYEEPIFPFPSLSDAAEKVGLLDATAS PGEDFLEKNGISADFGREVIQASTRVNYGQNIPLIHGLETMVCMAAEGAVSIEGGNWR IFDGMLKSSSANVKLNTTVTSIKRNEADGTLTIKSVSEDHTNRKQEHSNNVFDEVVIT GPLQYSGIYISPPFDHAPDEIPYVTLHVTLFSSPHKLSPEFFGLASNEQAPETILTTL PKGTNLGTEKPGVGPAGFWSISTLRTVTSANNERHYVYKVFSPERLTGGFVAQVLGFQ NSTTINDESTIADLPKTDISWFHEKIWHPYPFLYPRVTFEEPNVAPSVWYTGGIESFI STMETSSLMGKNVAALMVQSWKGQDTTSSEGQGRDDL ACHE_20882A MAAYALSSTHREQMENRLVDSDPEIAQIMEKEIQRQRESVVLIA SENFTSRAVFDALGSPMSNKYSEGYPGARYYGGNQHIDAIELTCQARALKAFNLDSEK WGVNVQCLSGSPANLQVYQALMRPHDRLMGLDLPHGGHLSHGYQTPSKKISAVSTYFE TFPYRVNLETGLIDYDMLEHNAELYRPKCIVAGTSAYCRLIDYARMRKIADKVGAYLI VDMAHISGLIAAGVIPSPFEHADVVTTTTHKSLRGPRGAMIFFRKGVRSTNPKTGQDV LYDLEGPINFSVFPGHQGGPHNHTITALAVALKQAASPEFKQYQEQVIKNAKAFENET RNLGYKLVADGTDSHMVLVDLREKSLDGARVEAVLEQINIACNKNSIPGDKSALTPCG IRVGAPAMTTRGMGEEEFKRIAQYIDQAIKICKSVQAELPKEANKLKDFKAKVASETV PEILALRKEVAEWASTFPLPV ACHE_20883A MASRSPTAAITPLPKLSSAPETPAARDSTATTTTPQAVPFPSPQ TFDIIPSLHGLLLRLLSPSNNATGPGAAGDATGAGGPSDAQSQQQQQQQQAVTGGGNS QHPAVGPASMAAEIAALSSNAPPPLDIKDLPTEASSIKIRIQKAQAVVEGLPDVQRSV EEQDQEIEELEDRIAKLQSVISDFGRRASQTSSQQSKMHQT ACHE_20884S MAKTDQKVVLIVIDGWGVPTENSKGDAITAAETPFMSGFAEANS KTAQGYTELDASSLAVGLPEGLMGNSEVGHLNIGAGRVVWQDSVRIDQTLKKDELKKV DNIVKSFTRAKEGNGRLHLVGLVSDGGVHSNITHLIGLLRVAKEMEIPEVFIHFFGDG RDTDPKSAAKYMEDLLEKTKEIGIGEIATVVGRYWAMDRDKRWDRIELALKGLVSGEG EESSDPVKTIKECYEKDETDEFLKPIIVGGKDRRIQDDDTLFFFNYRSDRVREITQLL GDYDRSPKADFPYPKNIHITTMTQYKTDYTFPVAFPPQHMGNVLAEWLGKKDVQQCHV AETEKYAHVTFFFNGGVEKQFPGEVRDMIPSPKVATYDQDPKMSAAGVGKKMAERIGE DKFEFIMNNFAPPDMVGHTGVYDAAIQGVAATDKAIGEIYEACKKNNYLLFITADHGN AEEMLNEKGTPKTSHTTNKVPFVLANAPEGWSLNKDAEGVLGDVAPTVLAALGVEQPE EMTGKSLLVKA ACHE_20885S MHLKSTAFLSPFAATTTAKLLSNPSASPSSPALNHDDYDCIYQN RGCDWTKSEYGYGSDYCGH ACHE_20886A MRFFLISGIALFAPATVLAQTFQRLGGCPTLGCVFPPDQADFLA GQYFDIRLEVHSPVNGSEARKGEPDPDFKFTIAKKGEESQAATEYFDVEEPELERWEF SWYEDLFAEDAGKASLVNVTSKAYRRIALNEPGEYEATLTYYGDQTTTTTWTVRDLPT KRRTKNVILFIGDGMTTNMITAARLIAHRSINGKYMTKMAMDKFPVLGHQMTHSMDSF ITDSANSATALYSGHKTTVNALGVYVDSSEDATDDPKFENIVEIFRRRYPDAGVGIVS TAFLADATPAGLSAHTADRGEYEHVINAFYEGLTNYEWTKWGGPDVLFGAGAENFLES QDASRDYYNLFAEQGYNVLWNNTALHAAPNDTRALGVFQTSNLATWLDRNVYQANLLN QSNYPDGSGRDAEDLPGLKDMTLKAIDVLNARHEKDGWFLMSEAASIDKQMHSLDYDR SLGELLELDDTVRATIEKLDALGELEDTLILVTADHGHGFDVTGSVDTEYLNAQTDDR SKRRAVGYYENSGLSQYTMSSGGTSLRYSEGVHFPSRWDPRYSLHAGVVAFPDHRENY QVHADGPRSPANGPVANYKDAVTGFLVNGTLPLDTDEGVHSLTDVPVFAQGPCQELFG GVYNSVDIFFYMAECLGLASKGPKGGR ACHE_20887S MACQEGHAAAVDALLVNPKTRVNPLNKSDYTPLYEAVRRMLVLR QHCWLMASLIDTNNSNFGTPFYPSRFCTISIRIYAPQTSAHIPKPAGPFTPSSTIKSI HTSPRSLHLPPRNNLRWIIAKGNADAACKVLESEFA ACHE_20888A MAEVQKQLQGLSEEFQKLQTDLEGFVDARQKLESQQQENQGVQD EFASLDEESNIYKLVGPVLLKQDKTEAVMAVNGRLEFIEKEIKRIETQIQETEEKADK KRTEIIQFQTNIQQQAAAASASA ACHE_20889S MSNTDFLGRAIDTVKKAIESDNEGEYEKAYQTYYSALELFMLAL KWEKNPKSKEMIRAKTGEYMDRAEKLKNHLAQSDGKQKPSAVGANGKVAQGSGKGGEK DDDNEDADSKKLRSALAGAILTDKPNVQWEDVAGLESAKEALKEAVILPIKFPHLFTG KRQPWKGILLYGPPGTGKSYLAKAVATEANSTFFSVSSSDLVSKWMGESERLVKQLFN MARENKPAIIFIDEVDALCGPRGEGESEASRRIKTELLVQMDGVGKDSKGVLILGATN IPWQLDAAIRRRFQRRVHISLPDINARMKMFALAVGSTPCEMTQADYRTLAEMSEGYS GSDISIAVQDALMQPIRKIQTATHYKKVILDGAEKLTPCSPGDQGAMEMSWVNVEADQ LLEPPLVLKDFVKSVRSSRPTISYEDLKRNAEWTEEFGSEGA ACHE_20890A MSTQTVLRLTARDTWEKLAEYQESVPSASKHEVLIKIRSVALNY RDVAISTGKYPFPVKDQVVPASDAAGDVVGVGEGVSGFSQGDKVVIAFDLATLYGPIK SWATGLGGPIDGVLREYISVPVNAVVKIPETSTLSYAQWASMVCTGATAWNSLYGVNP LKPGQTVLFQGTGGVSITGLVLAKAAGARTIITSSSDEKLKYVKEKYGVDHTINYKKT PDWAAEAQRITGGEGVDFILENGGSGTIKQSIEAIAYGGVISVIGFLSSAPQEEMPDV AILALGKGAIVRGIMVSSKQQLEEAVRFVGVHDLPVPVEKSFNFGRDSVVEAFNHLTG GQHIGKVCIEL ACHE_20891A MHLFSDRGTPASLRHMNAYSGHTYKFIKEDGSFKYIKVHIKTQQ GIKNFTCEEAKKMAGENPDFLLQDLFDAIESGNYPVWNVYVQVMDPKDAENYKWNIFD MTKVWPHQDYPLRQIGRLTMNRNPQNYFTDIEQAAFSPSNMVPGFAPSADPMLQARMF AYPDAARYRLGTNYQMLPTNAPHAPVYCPFQRDGFMNFSSNYGDDPNYVGSSLRPTKF ATSSATQTKPVASTITEHEKWVGEVCSFTSTIDDADFEQAAGLWKVLGRDPGHQDRFI KNAASTVEGVGSKELRSKVYALFGRVDPKLGARLEAVTEANIKSS ACHE_20892S MVKNGSYYDFVVVGGGTAGNTVAGRLAENPNVTVLIVEAGVGNP LEVEEITTPSSAMDLRNSKHDWAYKTTMVKRDDYERIEKPNTRGKALGGSSSLNYFTW VPGCKPTFDMWEEYGGKEWTWDPLVPYLRRSATYHDDRGLYETDLSKIGAGGPIHISH AELLDEMEPFRDAVTKAWTSRGHPLTENIYDGEMIGLTHCCDSIYKGVRSGSFLFVQD KPNITILPQVHSKKLIIDEADRVCKGVTVTDPSGNELKFYASREVILSQGVFETPKLL MLSGVGPSRELAKHGIDTVIDSRHVGQHLIDHPGVPFVLRVKDGYGMDDHLLRKGPQN DATIQAYKKNRTGPAASGLLELVGFPRIDDYLEKDEEYRKAKAANGGKDPFSPYGQPH FELDFVCMFGSAFQWHYPVPKQGNYLTVVVDLVRPISEPGEVTLNSADPLVQPNINLN FFANDLDIIAMREGIRFSYDVLTKGDGFKDLVVDEYPWEMPLDSDEEMKRAVLDRCQT AFHPCGTARLSKNIEQGVVDPKLKVHGIKNLRIADASVVPVIPDCRIQNSIYMIGEKC ADLLKADHKDLFK ACHE_20893S MPQETQPQHKEGFVERFFEHHHHHNKDNHSGDQSKEQDQKPQHQ KESEMDKLRDYYHRDEELEEQGQTYGGLM ACHE_20895S MGDRPNIQPQRVDAPLTQFATFLVLSVNDNPDAIKTVRSTIAGI EDLTKNITIRDLNSFFTCTVGIGSNIWDKLTGLPRPAELRPFQEVKGKVHTAISTPGD ILFHIRGERRDLCFEFERQLMDRLGDAVSVVDETVGFRYFDIRDLLGFVDGTANPVGP AVPEAVLVNQEDASGVGGSYVVVQKYVHDLTAWKSLKTEQQEAIIGRTKADNIELDDA DSGQQSHKTLTTIEDESGEHEILRDNMPFGSPGSGEFGTYFIGYTARLWVIERMMQRM FVGNPPGLHDRILDFSRPLTGTTFFAPSASVLASLEDD ACHE_20894A MAGSPSAENEGSRFTFVLDGHHAGTRNHAMRAHWTERQKARQEK RRQQTTRRVLPILAAKDGPVSSTPPGSQDTDAVPATVQESPTTEQAASIDTPGVSAQL LTGLNHALAATRLDPFDVFPIRLTSQHHKLIHHWLSTHATMMFENMPASNFNPMRDVW FPLDLSNPASFNAIMAHSAAHLAHYYGGMTPTRGTNSSEALKFKAGAIQILNHWLSDP EKALSNDAFAAVVRLLTFERYWGTEEEWKIHRNGLQGMIHARGGLHQLHSDWRLELVV GLVSFMSKPSWFESTNDLSEISEHSIRRSILGSSIDLHKMRCLWLISFIQDMRNLMGM SSQLYMGGLSVYPSLYDAVLFIRNDFLIEGEAYKTECHESDYDRLTCLFAITIMVQES ISLAYAAQSNELATLDMSLQTYRHVWEGSIHSLRSFIRNHFLNSYPNGELKIDYVMQM TDIVSHLSLEAHQGIEKCLLNMLCRTWDGRLPFFVDDGGTPDSLLSTVHGY ACHE_20896A MAYPYIDTPRTEVDGNATYLTNGYRSAGKTNLSALDSVENSFQT PSKDEDVLKVLDAGRRRSSGAFKLSTPRAGTGPKSNKSALSDRRNLPSAAPAKGEFTP MMRSATKNNYLKNMSATRGAGGPKTPAYLRASYRSNINTPGLPPLEMTGIDEEEEMDD PTPLPQVASSSPQSTPLPFLSGRDGGALNDGQNMTLKEQENIIDKLDKDNFGLKLKIH YLQEQLEKAGPSYNQAALRENTELKVSRMTMQRDISRYKKGLQQAERDLETYRLQFQE LREKYKKKSMDDTIQRDMDLMREEIETRDAQLRDLREELRTVKDDQSQDMDKLRDEIE DLEASLREKDRIIDERDEELEELRDKDSREHNAVSELESELQRAREQMEELQDTLDNA RSEAREAKEAESRALGERERAQKDLNELHEEMANKSISTKGFNRQLEEKANRLEDELQ ELRQENDALRGEVEQKSQNEAHLEDQLERIQHDMKSDKLDLRQELEQTRRELDLVQQE HEKTTAHLQDALDDLQRHSDERELLQTRHRALTDESGGLQRELAQAQSKIRELQQAVE NEKQRAVDSGHDARTQYEDDIEKLQEEIESLQHEIEDKEGHFALEQEQWESTKRTLQL QKDRAEDQAAAFKRTVERLEQMEHSLSGKEGKLQEIIDSEKSRHQTAEAVLNRQLKEL NDELTSKRQTIDGQRSDLLSAREELRASKREETTLKDKVQALEDEVVVLQSSLQEEQE FARGRLQKGSSDQEGQVQKLIAEKQRLRDQLANAHVELHDLRTALSELETERNDLQNQ LDQAQNPADDTTRFDREKHELRKGNLRVENELRRLKDDKAALLEAKETLEKQLNAEIE RATAEENHMSAEIDRLQDKLRLPSGGTDRELSLAKSKVQRLERRVHELEGLLEQQPPL LENEHSATNADLSVLRHSLDEARKRERTLIQREADQKSSVRTCKSRIAELERELHDAL MKKYDTNSPQSSPSDKLHLELRTLRRQLSDAHKSLKEAKIKNRDLERAAMREEDQRDI HELLKSSTLEVEALELKLSERDAKFNELKTQVRRIREERAFCVKKAEAATSQVEILQS RYNQAMEKLSTKGDSSKTRHDKEILGLGKEIMWLRARLKREEKFRRDLAWSKGLMELG ERVRVACNDADLRMIAEMGVKPRDRTQIRSPRQKLKTAVSTVRAAMRMQRMGRDWKMT KKLGEGLKRAKNEVLKRRDSSYKSITE ACHE_20897S MAPMSQEDIDWFKSTFRPIPKPELPDDSVEYSLYYLPSDPAPAT VDEAAETRSRLVEVQRSAAELTRELLKDYIWQRDGFRLEITKQDGITSLSGRTNYGDS IEDEWVIVYLLRELTKKHKDVWAQVVDSDGQFLLVEAAGVLPAWLEPEIADNRVWINQ GELVIIQPKNPSKKRVAEKISLSESKKIITEEPQRFMRSAVIQNEAFYRLRNYPKQIS ENLHSALVTIPRKVAFLLRQKPAYISAAVEAFYLRDPIALRPLRAKDTSDLLLKPEDF VTVSIRFTRVGYAQLKSQDFPAPKAWMGTLPSKDDLKNYDRAELGMKLSCGFEMLLSD PQNQDKPAVREIKLLLEDLDSGDESLPTDGEIEKWDKREDDEKWLDISFEDLDRELKG KNKGQGNEGKEEGSFGDANAQENLQRIVARFEEFLGDNSAGFDGADFIDDFGSDSDIA EDDDEEISSDEEKEASTDEEKLSQMMKEMMGKSSMPGLQSGPSSSKRVEELDTDSEED DTEAIQQLSRQMEAELKGTGVLDLNRPSQKVAGKKAVKESDKQENEQHVDEDEDLNIN LAKNILESLEGQGGAAGPAGNMLSMLNLPMPKGDRHE ACHE_20898S MATPAQCYFCFESLAASFGGYEPTSLPIIEDLWEQHEQAKKLAT LEDTDDDPNQQIAEDDCEDAEGDAVQGGVSNTKSQLSSNSSSASTPSSQSVSSGSTSI TTPSSDVAGPRQQRLAERHHPLFVTWNTVSKNGNKSLRGCIGTFEAQELSAGLRSYAL TSAFDDHRFNPIPESLLPSLSCSLTLLGSFEPCTNAMDWILGTHGIRISFIHRGRRYG ATYLPDVPVEQGWTKEETVESLMRKAGWDGSTGATSSVARRLLRTGGSRPSSSSGKPW EQVSDFRTVKYQGLKASAGYAEWQEWRNWVLSLDDGREKLLENRNS ACHE_20899A MAAQPQRPKVQPCRYKTGKTLGAGSYSVVKECVHIDTGRYYAAK VINKRLMSGREHMVRNEIAVLKRVSMGHTNILTLVDYFETMNNLYLVTDLALGGELFD RICRKGSYYESDAADLIRAVLSAVAYLHDHGIVHRDLKPENLLFRTPEDNADLLIADF GLSRIMDEEQFHVLTTTCGTPGYMAPEIFKKSGHGKPVDIWAIGVITYFLLCGYTPFD RDSNLEEMQAILAADYSFSPAEYWHGVSQAARDFIRRCLTIDPKGRMTAHEALQHPWI DPPHDTDATYVGSGQDLLPTVKKNFNARRTLHRAIDTVRAINKLREGGGLMVDNVMGV DPKPERVNGSEIVEEQQPPPPQQQQPPPHGTKQMDSRGNARGQTEEQIKEQERRVKEM VTGLWKGSARR ACHE_20900A MPSLRKPTKFGNKFRSSGASFNPKRTKTVEFASLRSTEATSQDE KFEAIRLASGIDEAMGFPRFESGEKREGWLINMHSTSIEDSNVPGGRAGVDYYFLEDD GGSFKATVEYDPYFIIAVKKGREAEVEEWCRRMFEGLIKKITRVEREDLNMPNHLLGH RREFMQLNFANVSHLLEVRKTLLPLAEKNKETVNVMDAYVEMTSASAGFDLFDDELIN ETRPNGNMNASDFIIDIREYDVPYHVRVAIDKDIRIGKWYSVEAKHGVVELSCIEERL QRADPVVLAFDIETTKLPLKFPDSVVDQIMMISYMIDGQGFLITNREIVSEDISDFEY TPKSEYPGPFMIFNEPDERTVLERFFEHIKEAKPTVIATYNGDFFDWPFVEARASVLG IDMYTEIGFRKNSEDIYQSDHCVHMDCFAWVNRDSYLPQGSRGLKAVTVAKLGYDPDE LDPELMTPYASERPQTLAEYSVSDAVATYYLYMKYIHPFIFSLCTIIPLNPDDTLRKG TGTLCEMLLMVQAYKGEIILPNKHKDPPESFWEGHLLESGTYVGGHVESIEAGVFRSD IPVTFKVDPTAFDELLRDLDAALKFSIEVEEKKSLDDIANYDEVKEQIAKLLVDLRDK PNRDEVPFIYHLDVASMYPNIMITNRLQPDSMIQESDCAACDFNRPGKTCDRRMPWAW RGEFLPAKRDEYNMIRHAVANERFPGKTKNSPMRAFGDMNAEEQAAIVKKRLQDYSKK IYHKIHDSKTMEREAIICQRENPFYVDTVRSFRDRRYDFKGKQKVWKNKTDSLKSSGA PTAEVDEAKKMIILYDSLQLAHKVILNSFYGYVMRKGSRWYSMEMAGVTCLTGARIIQ MARELVERIGRPLELDTDGIWCMLPGTFPENFSFTLKNGKKLGISYPCVMLNHLVHGK YTNHQYQTLVDPKTFRYETHSDNSIFFEVDGPYRAMILPTSKEENKNLKKRYAVFNPD GSLAELKGFEVKRRGELKLIKIFQTQIFRFFLEGSTLEETYAAVARVSDRWLDVLYDK GSTLADEELIDLISENRSMTKTLEEYGSQKSTSITTARRLAEFLGEQMVKDKGLNCKY IISSKPRNTPVTDRAIPVTIFSAEENVKRFFLRKWLKDDPGDMDPRSVIDWDYYLERL GSVVQKLVTIPAALQKIRNPVPRVSHPDWLQRRINVKDDKFKQKKMTDLFGKSEKNPL ADISTNILEHRVQHAGDMNEVILSTQKSKTSPNEKTSQKRKHPEGLAKTSLDPYASLP AKMPSMTEDYVGFLKYQKQKWKIQKQARVRRRQLFGEKPNLASDSLSNYFRNQAELLY ISTWQVVQLCETGRPGIVRAFVLIDQKIHTLTIKVPRQIFINLKCDSLPDVDVPECEV EKVNHTLPNGHPSVHLFKLTLSEDVFLQESERIDALLQHPSIEGVYEKNIPLNVRAVL KLGSVCTFDEEQRGVLGDGLDKGFDLSALCHAKSEQPYLLDSNMAYHYLYHVVSGDRQ IFALFSTTKSEAHIVILNRTRDVQGLPNVDKIYTELLGRRMQSMEGDPTQGAFPYQEK IHFRTTQVTTRRKAHLEVSDLIKKFRSDESMPAVLVIQSQQRTRLCHDIPVLKECPIL PVKPEISDMDLPPLGWQSFIARRLVTHYLYLSAWIEHLTLLARYGDVPLCNLESDDPR YLIDISYARRLQQNNVVLWWSAGPRPDHAGYEKDDILGPLERVNMPQVNMPGSYSTVC IELEVRNLSINTILTSSIISEMEGADTLLASSEPSAEANGSGVLYSEKAFASAGALVL REMVKHWWTEACEGNSMADIMVQHLIRWVESPNSCLYDRSLHHYVRMLSRKSFQRLMV EFRRVGSNVVFASSTRLLLQTTKTEVGNAYAYSQYVLKSIRANPSFHFIDLEIKEYWD YLVWYDEFNYGGKGCREVVGDDEQQLETVMHWQLSRFLPAPMQTIFHDWVVEYVELMH GVKRPDDDELSSTPRLTQIPRRFDEAENDELTSILTDRFSKPLKKQISGLIRRQRDEM LHPELASEYAFPVLPGVLVDPKDDKRNPALELVKLLMQVLSLSKMTTLESRLLRRELL ALFEVREFSKEGRFENPSASLKLPEITCNACCLIRDLDLCRDEDVLPDPESDTSKAAT KPWRCPFCQTEYDRLAQEEILIGQVHGMIAGWVTQDLKCGKCGGLKVSDYMEHCSCSG PWVETMDRKEIEKKLRVLESVAKFHGLKLLENVVEEVLAQI ACHE_20901S MAEDTRESIPPVAEVTQTNNTQEPPQRKPKYEAPKSQVGKLWDA FGNPEDQANVLPGAVSNSKKEDATITEAMKSLSAKDLTTFYKMPCARDALMLGIGAAF GVGGIRAILGGMRSLWTASNWAVGTFAITSLGSHEFCQRRRVQELDGMKQAVELMHQL KVKKQREKEQKLEEAARLAEEEKRRKSWTNPSNYKFW ACHE_20902A MPTTLPMAYSSPKRKRVTYEPNEDGLSASSPSPSVPELKLREEE SLGNHSPRTTVASRFGELTLRGDRFSGSALAEEPTAASVQAAYWDGSHSDSYGMSRAL SAGASDADLSEPSEPPTNIQDQSENEVAIATSSSTLQQSPRKKRAPSGKQSTRARRGS PPLTGDEDENPLTWHDSEITGHLLSDPNDDGYGINGVGFRPTTAIAWARSQKRQRQVA DWKSREAREARERRRERREGIEQDKMRTVQNGAIQKKVKFDV ACHE_20903A MADFLLFEGPMGYSLFKVAFQGDSVGNRLKEVQEGVNDLAKFGK MVDLASFMPFENNKQALSEINDVSEGVASETLVSFLELNLPKPNKNKKVVVGLADKAL AGSIKSAFSFVECETGDTSEVVQDMLRGLRLHATKLLKQLREGDMDTAQLGLGHAYSR AKVKFSVQRDDNHIIQAIAILDQLDKAVNTFSMRVREWYSWHFPELVKIVSDNQRYAQ LALFVKDKKNLTDEHLHDIAALVEDDEGVAQSMIDAAKHSMGQEISESDMENVIAFAH RVVSLSQYRKSLHTYLTSKMSVVAPNLAALIGEIVGARLISHAGSLTNLSKYPASTVQ ILGAEKALFRALKTKGNTPKYGLLYHSSFIGKAGPKNKGRISRFLANKCSIASRIDNF SEQPSTKFGEVLKKQVEERLDFYATGAAPTKNEVAMKNAMDSVLADMEDIDGDEDMED IADETPKKEEKKEKKEKKEKKDKKEKKEKKGEKEEKKKKRKSDTGEGESEKKKRKHDS EAEPSKKKKKV ACHE_20904S MSATQLLNPKAESRRRAEALKVNISAGEGLQDVLKSNLGPSGTL KMLVDGAGGIKLTKDGNVLLREMQIQNPTAVMIARAATAQDDITGDGTTSVVLLVGEL LKQADRSIQEGLHPRVITDGYEIAKTEALNFLDQFKLTRTADRELLLSVARTSLSTKL NSALAEKLTPDIVDAVLAIHRAPEKPDLHMVEIMTMQHRVSSDTQLIRGLALDHGARH PDMPKRVENAFILTLNVSLEYEKSEINSGFYYSNAEQRDKLVESERKFVDSKLQKIVE LKKEVCGNDPKKGFVVINQKGIDPLSLDVLVKNGIVALRRAKRRNMERLQLVCGGSAQ NSVEDLTPDVLGWAGLVYEHQLGEEKYTFVEEVKDPKSVTILIKGPNAHTIAQVKDAV RDGLRSVYNTIVDGCVIPGAGAFQIACADYLDSKVRKTVKGKAKDGVKAFADALLVIP KTLAANSGHDIQDSIAALQDERDEGNVVGLDLATGQPMDPVQEGVFDSFRVLRNCIAS STGIASNLLLCDELLKARQMGKSGGPGGMEE ACHE_20905A MAPNDSPSTHPNPPVELNVKQVVLGDLSFQTWYQSIYPEDLVSK DNDLLYVCRWCFRYSCDVDAYVKHTRTCEHRATPLGTQVYDHGGYSVWEIDGEEHKLF AQNISLFAKLFVDHKSVFFDVASFLFYILTFTDPDDPKNYHILGYFSKEKLSWDANNL ACILIFPPYQHKQLGKLLMGVSYKLSGWERDTGLIGGPEKPLSEMGQKSYVRFWEERL ARYFLTCSHEHDDSDESQPQQQKGKNSKSSRKKHPQERISVQELGQATGMLAEDVITT LKSMGAAEPDTKTPKSKQTQSYAETEEDNGQAVIIRKSKVLDWAKAHKLTLRDPVREE GFLGDYALTSMPEESTIEIPDEDE ACHE_20906S MLKPFQIRDLHGPRPQEDSTESTQNSSQTVIDHQARPSQPGKRH GTVQLSSSAYDEISSTHPRARLTYPDDDDGEVITVGSSLELSQRLDETVDAPTRPQLT QHSVSTSDGKPMHIFDIHRSNSITELWKKFELDAQSSVLQNPEVDGAGQEPENVVSLP RDGKNTVNTNRQRIPATTESSEPLLAAFETELAQLLHESYISNNEQHAQQASSASAGQ NQTTRSDGQQSPADSFAQALHHLVSGAWTLSSDVRSRIPGIEQQIRDVQRVIPGHVES TLQSALTAMESRVRTMSDALNNTAAAARSRGGHINTPGDVAASTVNGLRTMASELGEM GQTLFEAFEAELTCNTTTSHDHENARLPSEGQAQSAPTDGSNQAPGTEQLRQNAPFDD EKESLDSNQARKESANSRHPGGNEDADPSAQSQQPELPQAPPRPGPGPHNSPPVDLPH RVPPPPPPPPPRPPHSFSPRYPFHLPPPRPHNIFPYHHAPPPPPPPVPSFQTHPIHNS FWQPHHSHPWSRIPHHPLPPPPPRPWQTGWTPVPWPDSYNQAPSPGTPGTSQNGTGHT VKSLFIGNVGFNVTEKMIKDVFASQGFLVEVHLPLDWQTRKHAGFGYLFFASIHAAKA ALEGLQGIIIDGHSINLEFNDLTPITDVTASHDNDQSTSRLDSNNADAIPDTPELPGP RQLINDSTGPNQTSRATKKSAESSALLDRDSEDPEFSARYPSLIPESSRRSASGPLPH LSLESGMSRFPPVSQLDAHMVANQRREPSPRASNGESGSTVHRQSPFPNRLRSHRSPE HRRHDSRLLRRSNTVMPAHPASRLAGPFDPMAPTETPSATRELRRRATEIHSLSSKPQ NNQSAPSLERSYRNMPGSFPAGDERQHPVLDRNFRHLPTRVDDCVDTLISLGYGSAEE GGPQRLEVYATAADGKVADAIEMIEDERKVYSQRR ACHE_20907S MQTWRRTFATSVARLNHNPPTSATRPTFLRCVQLLRESKHGERS QHEDKQIEVRGLIRSVRKQKKFAFAEISDGSSLEAVQAILKPEQAAELSTGAAVQLSG YWTPCPPGKSQTHELQTTGVSYVGAAEPETYPIQKKYHSPDFLRQIPHLRLRTQFNSL LSRFRSECIHQLGNVFRAHPDGVFTQVQPPLITSSDCEGAGETFTILPREAMESKEKP FFGAPKYLTVSSQLHLEAYAAELGNVWTLSPTFRAEKSDTPRHLSEFYMLEAEMNFMY SMDELTDSVEHILRDLTRRLYDSPVGQEVLSTENESSLAKRWDGLMNGPKWQRLTYTE AIQALQEAVKEHGASFEYPPVWEEGLQLEHEKYIVDVLSKGRPVFVTDYPKTVKPFYM LPSSPEASDPSAPGDTVACFDLLLPEVSEVAGGSLREHRLENLISSMRSSGLIRPRPS FPDGESPEASRKSAKQKEKEKEPLYPYLSPEEDLGQLQWYADLRRWGTAPHAGFGLGF DRFLGYLTGVSSVRDVVAFPRHFKRADC ACHE_20908S METYYGHVRTPADAIILFEACRIGLLPRVQRRLSEKERQSIRSG SVFVWDEREAGMRRWTDGKSWSASRVSGSFLTYREMEGKRGGGSSSVAQSAVSRAGKT PESTRGSDDDRGEGPDEGPDGYRYKPDGLMKQSFSITTSAGQHLHLISYYSRSHPAAA NLQQPSTDPALRHVRPQKGLYPESTVNDQQNLPVVTRGPMPGAAYTISPHPMGGYPRH GASHPQSYTPPYAWPPTPMGTPPAMPVAYGPGPSYLPPVTTANGHVAYAQPHHSLPPP PSTQPGLPHQYERPVHHHPPPHHQIESTLPNVPVTGPPSMSAFPGRSPRLVDDAHQRS PPGYGAVTNVSPRLQSQHAPQHGLAPRPASPRLLKQPPTALPLASPNPPPRTAEASTG TTVPSIEALVNGAPPGPLPAITPASGSPHGAGLRAEGPRDIPSEKIGFGGEDMRALRQ LDRVFTA ACHE_20909S MRKKQLCGRRMKMQDCFQCKSKELQGRLPQQTSSEDALDVHDTY LHETGLRSPVSPTGADQGLSDQLST ACHE_20910A MPLLYVRAVAPFMSGHNATDVIINEIHFNRTTLDHYNYTLYTNG TLSNGTDCYLAFQHFRPYMFANNGSFINGTSCYAPIHDIGRHAGVGMAFALLFAISII FNLIGLRKHGRRYLPPPADDFERRLRLNLNLPIDKRWTPVGRRWKWYWLLALSVIGAV GAFMSIDVDRDHVQSSPLVIQSIFYTLLTPVMMSAVWEGVRHWATFQHRQITSRDPYA FTQKSTRETQELYLPLVFYVFAFINFFLAVPRSWDSIELQRSPSQTLNRAKPFATDSR FKAAGFMALVAVAVICYSLEHSIYRYIPKPISTSARRKTCGRQAMFYIQGAPSQYLLA VILLLVKIAYDIASAWDWNISPLRYGVHPGWIYGLGFAPAILLITLFNIVGLCEINED KAIISQHMEIETALASDTPLGKKSRMDKWIGRLHLPMSTHRREKEARAVEMENIKKDD GVEVTTAERGSRSSGTVTRNPFLDNSSAEDFVDEIFKDVEERQRV ACHE_20911S MSLLSVQSGFILSPQQHDTTTTTEDRRDSNTTSTTTDDAFSHRA SISTDDDSPRSWDGEASPPPNTTTAPPVGAPSQSVVEYTPTAAAKPFTFGLIAAHHHH QLNQQLHHQIQPHQSHLFDLDPTSSMDAVIPKIEEVDEVDVSQHTASTTNSSLTTQQP PGAPVHVPRKRGRPRKHPLPVPGGQVKITKGRSKTGCVTCRRRKKKCDETKPACLNCQ KNAVVCEGYPPKEIWKSGRQKLEDAARVHTNALVPRGLPILIDGVETEIDRRFLDHFV FGFSRVLTLINDDSNPFKEILLPMATQHRGLMHSLMCLAGSHLSVLDPEPMLKERKYY HFHRAIRDLKDNITASSKARASSSEGEADLLVEDPIIASTIALSLNTICEGETNGEYR PHMDAARYLLVTQRPRNEKFRQFIVEFFQYHDVSNAITSLDRRPAHLNGDLRLPDFVP HAQAGMFLGVFDGLFNYISEVTRLRDRIRQRHHEGYEPAVDYEILSDAVSIDSAIRVW ETSHVPDTANWYLAQLYRQSTWVYLYRTIRPSRPSDKIAQVVDDGLAYLDQLPQDAGA YSIVLMPLFLLGCSAFVPHQRERIKNGFDTLKAYSNLRNIDQALLVVQRVWEVMDTNI DESWDWEKIIKDMNMDFLIT ACHE_20912A MPQASDSDTLLLARIGYKQELRREFSKYSTISYAISILGVLGSV PATFGAPLASGGPATAVWCWFLGSCMAMCIGSSVAELVSAYPTAGGMYFVTKYVVPEE KVPVFSWVQGWCNLLGQTAGVSSVAYTVSQMVLAGVSMRSDLVNGRYSYEPTALQTVI LSIVLLCVLGVISSLTTKTLHRIILWFAPINISATIAICFILIYGTPDKQPASWVFTY FTDGSGWGSKICSFFLGFLSVAWTMTDYDGTTHMSEETHNAASLGPLAIQTAVLVSGA MGWMLTVCLCFCVTDLNDILNTPTGLPAAQIFLNVGGKTGGTIMWGLATLVQFFTGCS AMLADTRMAYAFARDDALPFSSHLSKVHPKTHTPLNAVWFVVFFSIALNTIAIGSTQT ATAIFSITAPALDLSYVSVIFAHQFYRDQVKFVAGPFSLGRWGKVVNGVSVGWVVGIS AVLFLPPRMPVTGANMNYGICVGAFIAAFALIWWRVSARGKYTGPRANDYSRVDGDEY GNIDDDLEQ ACHE_20913S MADNPMYQYYGQAAAPGEDPSNPNRQQYLSPYHPPSYAPGSVPP QPAYGSPAPNQWPPSAYGSPAPQPPQQQQYPFPQQPTSIAPTPQFAYAGSPAPMGAAP TDPGMAGLTSQMSGLGIMGDGAPRAHKKKHRHAHHDIGGPAAPAPVTGEPASQFLNTG LNQAPRAESPAVAPQAMAGASPGMIPQPGIPGTMGGGSVPTQGKVDPEQIPSVPRARD VYTQYYYNQVYPTMEWHNPPPAAVPFFAHDQGNSSPKYARLTLNNIPTTSNFLASTGL PLGMVLQPLARLSPGEQQIPVLDFGDVGPPRCRRCRAYINPFMTFRSGGNKFVCNMCT FPNDVPPEYFAPIDQTGARIDRMQRPELMMGTVEFLVPKEYWNKEPVGLQWLFLIDVS QDSINRGFVKGVCRGILEALYGEDNQENPEEESVRRIPEGSKIGIVTFDREVQFYNLS AQLDQAQMMVMTDLEEPFVPLVEGLFVDPYESKDVVSSLLQRIPTMFSHVKNPEPAVL PALNAALSALQATGGKVVCSVASLPTASPGKLVMREDPKLHGTDAEKKLFNTEHQDWK KTGSKLAEAGIGVDMFIAAPGGVYMDVATMGYVSGVTGGETFFYPNFHSPRDLLKLSK ELTHAVTRETGYQALMKVRCSNGLQVSNYHGNFLQHTFGADLEIGSIDADKALGVLFS YDGKLDPKLDAHFQAALLYTSADGQRRVRCINVVAAANEGGMDTMKSIDQDAVISLVA KEAASKTLDKSLKDIRASVLEKTVDILSGYRRIFSGSHPPGQLVLPENLKEYSMFMLS LVKSRAFKGGHESSDRRVHDMRMIRSIGCTELSLYLYPRIVPIHNMQPEDGFPNERGQ LQVPPSLRASFSKVEEGGAYLVDNGQVCLLWLHSQVSPNLLEDLFGPDHTSLQSLSAQ MSSLPVLETHLNAQVRNLLQYFSEIRGSKAVTIQLARQGMDGAEYEFARLLVEDRNNE AQSYVDWLVHLHRQINLELAGHRPKDEVAGEGTFSTLAAMRTPYW ACHE_20914S MTSFAALSAALPHAIKARNTTSTDTGSSGSGGVTIHNNLEGSVY AWSVSNSAGDMKTLSAGGGSYQESWRENSNGGGVSIKLSTEPNQDDVLQFEYTQSGDT IFWDMSCINMGTDSKFTKYGFTVEPSETSTNCPSVNCKAGDSQCAEAYLQPKDDHATH GCPINTQFTVNIGN ACHE_20915A MSVNMNRDMSEPTKIEKKDEYDPEGLGLHLHPSQIGEVQNTETP TYDAVFGEITEDGPNYRNVGFLGTVALMMKTQIGLGVLSIPAAFDALGLVPGVVCLCA IAGITTWSDYIIGTFKLRHREVYGIDDAGQLMFGRFGKEVFGGVFCLYWIFVSGSGML GISIALNAVSTHGTCTAVFLAIAFVLGFVLASIRTLGRISWIAWVGLACILTSIFIVT IAVGVQDRPAAAPSPEEALVWVSDYKIVNNPSFTSAIKAVSTLAFAFAGTPAFFAVVS EMREPRYYTQAMLICQGGVTAIYVTIGIVVYYYCGSYVASPVLGSAGVTVKKVSYGFA LPGLIATTTIVTHIPAKHIFLRLLRNTHHLTANTLTHKVVWVASTFTVAIIAYIIASA IPVFDSLISLIGALLGTFMMFQPMGCMWLYDNWSRKTMEHGWAWWRWAAMVCWSVFVV LAGFFLTIAGTYGSVVGIIEDYRGSGGSAAWSCADNSNSV ACHE_20916A MFTFTPLLGAQSSSSKASQSILELDGGIKILVDVGWDDTFDTLD LVELEKHVPTLSLILLTHATPAHLGAFAHCCKTFPLFTQIPVYATSPVISLGRTLLQD LYACSPLAATFLPKASISEPGASTSAASAAASVTEGEANPEPSSAGRILLQPPSAEEI AKYFSLINPLKYSQPHQPAASPFSPPLNGLTLTAYSAGHTVGGTIWHIQHGMESIVYA VDWNQARENVVAGAAWFGGSGASGTEVIEQLRKPTALICSSKGGDKFALPGGRKKRDD VLLDMIRSSLAKGGTVLIPTDTSARVLELAYALEHSWRDASANNESEDVLKSAGLYMA GRKANTTMRLARSMLEWMDENIVREFEAAEGVDATTGQSQNKTGNQRQGQDSGGAKGA GPFTFKHLKLVERKKKMEKILSDQTPKVILASDSSLDWGFAKDSLRLVAEGPNNLLLL TEPLHKENSPESLESRKMSLGNMIWQWYEERQDGVALEKASDGELLEQIHSGGRELSW TNVQRAPLDPGEQLLYQQYLATKIQLQDTSQGRGQENLDNTADALDDRSSSTTSEDSE TEQQGRVLNFSTSLAHANRNKLGLSDEDLGINVLLRRKNVYDYDVRGKKGRERIFPYV APRKKGDEFGEFIRPEEYLRAEEREEVDMQQRRSDSQTKLGQKRRWDETGPNGRRLSG SGNKRQQGLGDDEKRDTTDDLSFADEGEGADGAVSSEDESDEQVFEGPAKAVYEKAAI TINARLAFVDFTGIHDKRSLEMLIPLIQPRKLILVGGTKGETTALATECGKLLAAKAG VDVSAADASVIFTPTNGEVIDASVDTNAWMVKLGNNLVRRLNWQHVRSLGVVALTAQL RGPELPAPEEDSEESATKKQKLLKEEATSDAVSTLDGTKPSAEKSDVFPMLDVLPANM AAGTRSMARPLHVGDLRLADLRKIMQSAGHKAEFRGEGTLLIDGLVAVRKSGTGRIEI EAGAQAAAATNGTIGMRAGKGSFLAVKRKIYEGLAVVSGT ACHE_20917A MEWPVERLKSAAEHEDDVPAGDMAPMFAAAPAPAEPSDQGQQSI PDQIRAMCANAHRQKRLRLNAAAGAAVTAPATPPSIDPALNRAFHGSQGPQIFFAEGA SSGGDTEPLLPLDESNSRANLVGRIGPEIQFGECGRKPRWVVVPAPRDVVNTFDWRLE AGSPNLWNGLTHEQLVEYAYMYLEHALDDAVFRERFRREIETGSFSHCLPPYVEDVLP PLPGAPITDWFWDTDETYFYYKHQSPFVALRSGVQGYQVDGNSPIVGGRRSVESPNGQ LSENEQAPSQVVETAGPSEYYNVVQEQPPQLTCAWDHANGDPARHPFHENIFMGPVTP APRYMVNGPSNNPPNGLPRVNFSDAMRPARTKQTAKKTARTPPKEPNANNKPADETEF MRFEREAIESLQDKKACAETFPRRTFRRFRPWAGYEDATKYIKKEDLARLSRFPTAQR AFNTQVDVRAVPTSKKSRISKSAKSLKTTKVAKTAKTAKTAKTAKTATTATTTNTAGT PETPNDGNPRRNPPRNRRKPKRYVD ACHE_20918A MAPRLKDGDAVVTFNGKWVSWAHTFFAYAAFFSALIVGMCLHFR KIVQNEHYGYPDEWFPSVSATIGDRYPERSFFQVFIAITSGPRFALVFLWYILTARPN SSLPKIVAGVGIFRTFTCGGWTYVTSTDDHDWHDIFMISYLVATLPWTLGCLALSPNN RRAVKYRKVMASLFFGTLVPLIYYFIQHKVHKVPGAYTKYAFFEWSLILFDVGFDAVT ALDFDAFELVVRDVKGVSRGQLKTTADSVLEKEKDKPVGNTFGQGFFWTEIIDAAADT YIGFVHWSQVTGLGVLVWYFPLWHMGISGYELAIVGYCSPLLLAIPSLRALATKNPRL FQFLSLTGLLAFNVQDPANRLFMVIFAVVCSCIAVSATMFAERGQSIRLESRIFGWGM GLIMSSIAKFAFKTNNPIWPIMHAGNGGWNKLGFFLAVLAVLRANRRAPTSGGDYFPT SGKKGSSVLAGLGLGGLTFAMHSLLSDSSTMISWVWEGYPVRGPISVPHGALTIFAMG AGLVYGLYYPGIAGSWTAYGIASVGAALVTYFSHWTGFYGGLVLAFYLLAVAPVLISS AVRHSPVSTFGIGSLLYIFLILFHVWVVAYAFVPGGPLVREHNDWVMITTMLSIGAGV FSAAVSNSSGPKNKPISPNGRRQRSYYIYVLAALQLLSIAVAYLRFPTNDYVPYHKDD KVVTAGIWTVHFGLDNDMWSSEKRMQSVIEELEIDVIGFLESDNQRIIMGNRDITQNL AENMGMYADFGPGPNKHTWGSALLSKFPIVNSTHHLLPSPVGELAPAIHATLDMYGEL VDVVVFHSGQEEDPEDRRLQTEYLSNLMGKSPRPLILLSYLVTKPLEGNYNTYVSELS GMKDIDPTDWDRWCEYILYKKLKRTGYARVSRDSITDTEIQVGKFVIGEPEPENEMMI PEEMVPQGRRFPSLFRGQGVRGHRYHVFNEPKYWQ ACHE_20919S MAAAADKARFFLEQSVPELKEYEKKKIFGKDEITAIVKKRSDFE HKLNARGASPIDFVRYAQYEMNLDVLRRKRVKRLGVKGAGFNGQRRIFFILDRATRKF HGDIGLWVQYIEYARQQKAFKKLSSIFTDALRLHPTNADLWIYAAQYALDDHADMTEA RSHMQRGLRFCKSSKQLWVHYGKLELIYIAKLVARRRILGLDGRVEPSTQQEEAGFDD ADADMIALPKLTGEDVNPSEGDKNGVDNEALQNLASTPALSGAIPIAIFDTAMKHFND DDRFGEEYYNMVNEFGDLPCLRKVLEHIVEAMRETKPQSYRTHICYTKVPIAGIPVTS PEFPPALGVSLSRLREYQANRDFAQEVINWLQPLEKTEYLDPALQKVLAVTIRGAERT LQG ACHE_20920A MNVCTMALLRPQLLSSNLNNLFPLSHTLFLSRCFASRRAASLGD DQDEDLAAARTWLANLSPRTIPRHLCEVSFSRSGGPGGQHVNKVNSKVTLKVSLDNLL PLIPQVIHPQLQSSRYVAQRTNTLVIQSEESRKQAANVETCFDKLHQLLRATANEAIP GETSQGQREKVQKLYVLYPLCIIDGFVHV ACHE_20921A MLSRDIKYIQSSILQAARSMVGRQHSREGADFFSDLHNEISLFK GGRNYYSMLFSHWGHLIEKGDRDNIPSWSILVSETMRTKSPVKAPCNEVCDSHTCAAP GL ACHE_20922S MTPRSPDEALHFRGKTLTPESPRPLHVAEPANIPVLQNQMDPVF NDTSTYEKPEFALEGGQHVPHAAPRSQPQQRQQQRQQNGQPPLEQQHAAAGSDSAGVR GSAGQMQDLSQQQQLQYQHPGSYHTGSLSGDKMMSKNEASSSAAFPPNLSAPTTTNAI TSTATATTSGSRNEAERNDSLTAIPLSDPSVNSLPHQTSLNRPPPPSSSHAPQGFPAA NEVDHPMTDWIAPVAPEARLDTTRASSHDNAPSSGEGGVDFQNLLDNLPSSSSAVPSA PAVSETAPSSMQNYASALPQATNNADGASDEALQNPMGLPPRPPAQEDPATHPNYHPS DDVSAYHQLPSNHPTSYPSSQPQSNNNHQSNLGAPALAAAGAPGTSSDASSLPPPPGL SLEKAESSSSGTQDSSPLKNGRTDKPVARSSKNNDEDAPWGPEVQRKYDDFLHNERIY VTEGLWDRFPPGSRLFVGNLPTERVTKRDLFHIFHKYGKLAQVSIKQAYGFIQFLDSS ACKKALDAEQGAVVRGRKIHLEISKPQRNTRPGPVPPEPPRAPPPRRSRSPPEFRGGP SNSRGLRAPGDRYDRNYEPAGRLPFSDFRDELTHRRRDDYRPPPRSPSPRGFRGRDGY RSRDRTPERYDRRDRRRSRSPPYGRDRRYRTPSPRGRSYDDADLPVPRRAPRDIPDVQ ILVLEEVDRNFIFHVENSFRNRGLRVDVLVLGPRIPLNAAVQRQIIEGVLAVVRLARP NQFSRKIPLQVFDRSGGPDNLRFNDYPELEPNMAAEIVFHAQPQRGGPPVPFTSTTPF GIPPLPPPVQIPQPPLPPLSNQPNVANVMPSLDGSALQSILSALQQRPAVPAIQPPAF PPPNTPQAPADLASILNNATRPPVTAAPPQPMPPQPFPVQPPNAQMVTDPNLLSLLSK GLGGQQPQAHQGPMGPQVQNIINQLTKWKQ ACHE_20923A MGWFSWSSDSSKASDGGRIAPDRSSRERCWEGRDRFFACLDQNN ILDAVKDDKEARRKCGKEIEEFEGACAKAWVKYFKEKRVMEYNRDKTIERIKKEDAER VADMKSQGRAT ACHE_20924S MPRRRPPPAGARTDLPPLKIVRKIFLLQLGYYVTATALILFTTL VYGRPFSFDLLLNWDAIRGDTTIGWILGLVWMLNSLLSVILLLLLVSRSKLIPDFALT IHFVHLIATSLYTHTIPANWLWWGLQTASASLMVFLGMWACRYRELQPITFGIGGGSS SSSQQQTSDLSGQGSGDFASGSGGRRGHENEFEMGIMKGQAV ACHE_20925A MSTTWEHLAAEKRGRIDKSIPSEWKISKPTQASVMDVPANSGIL SAEELKITESTATDLVNKLAKGELKAVDVTLAFCKRAALAHQLVNCALEFFPEAALAQ AKELDEYFEKNQKPVGPLHGLPISLKDQARVRGIETSMGYIDWIGNYETSDSVIVTLL RKAGAVFYVKTSVPQTLMVCETVNNIIGRTVNPRNNNWSCGGSSGGEGAIIGIRGGVI GVGTDIGGSIRVPSAFNFLYGIRPSHGRLPYARLANSMEGQETVHSVVGPIAHSAADL RLFLTSVLREEPWSYDPKVIPIPWRSQEEEETKKKFKSGGLNLGFFNCDGNVLPHPPV LRAVDVVVSTLEKNGHNIIPWTPYKHDFAVNMINGIYAADGSTDVYRDINASGEPAIP NIRDLLNPNNPKIDLNELWDVHLQKWAYQSEYLSQWRLAEEQLGADKTLDAIIAPITP TAAIRHNRFKYYGYASVVNLLDFTSVVVPVTFADKKVDAKKRGYEPLNEIDKEMQEEY DPDAYHGAPVAVQVIGRRLSEEKTLAIAEEVGRLLGNVVTP ACHE_20926S MLTSTVTVTTNQQADRSIVVDPENDTKITTATEDEQFQATARGD RNGTLKLRGIPMFTDMHEKRKWMKEHMAAAFRFFGRQGYGEGISGHISMRDPVLKDHF WMNPYAKHFSIMKASDLVLVDGEGYVVEGGAQAPINEAGFMIHSEIHKARPDVVAAAH THGIYGKTWSAFGKPVEMLSQDACNFYGKLSVYDSHGGIALAQEEGQQIAKALGEKNI ACILQNHGLLTVGHTVDEAAFLFASLDHACHSQLMADAAAANGVPKKIIPHDVAKFTA DAVQNPHNFYTEFQPEFELTVEESNGRVLQ ACHE_20927A MEQDTTNISLIDNTLPPEFQQQDPDLFRRTSTTLSRSSSARRWS RPSVRGELQKRKYAKWQPHKLGLTDDAPGGNDNNGNGNGSGQDSRRMSLADARRCSTT DGLSTGESGPSRNASVDATDFGSDGIDNIITNGTNGNSSTNGSGNAHGQQPSIKPTSE LDILYENQRGWFLFGIPLYSHSSLLNFDPSAWVTRDLKDSPVNITNAQLPDPSWEWAW QTWYVDMSSDVDEQGWQYSFSFSSSAWHGSHPWFHSFVRRRRWVRLRVKRASMERYRG RTGFEEAHMLTADYFTIHSGRKKRVSSVADMSRVPSTYVSGQGPKDEVPPLDEIGNIP TLMYALKSAIVDREKIDALKRFIDEGGDEIYYLDEKIPEIMSIFVFQTSRWQFLTHLL TTITTLSQIIPQKTGKEAIELQRKQENLAKAAEAIRNNITGPELVADHHHMLDLTPIS KSKEGSLLSKRSSVKVKDLENVRPVGNGGVIKGIPKEAEVGREGHIYQYTS ACHE_20928S MHRKIELQAPADFTYLYANTVTLSRQKLDLHLPPSATNDTEDAP DPMRERVRELVDEYITKTFTTASNSVNINGLDDHDHTSLSLNPVTASQSLQAPAETVE YEPYDTALAARVTSLYAQLESLTTTVAQLRRDAPRKAARMYAEELNKVLEGDDEDGLE DEEFGEEEGLAKREDGGDVEMGGAGSSTRRRRHVKPEWKIEVPLGSEQESERWRNGEM AEVYDDALRTLLRLQGEDTDAVVDAGTDGNALATTLGKAERAERAAEVVEKM ACHE_20929A MAAPSKYDDYDFPTTAPEPQSGHPGHTTPEQNAAVDQLRSELEQ LGYTERLDTLTLLRFLRARKFDVQAAKTMFVDCEKWRKEFGTDDLARDFNYVEKPKVF EYYPQYYHKTDKDGRPVYIEKLGKIDLNAMYKITTAERMLQNLVCEYEKLSDPRLPAC SRKAGSLMETCCTIMDLKGVGVTNIPSVYGYVKQASNISQNYYPERLGKLYLINAPWG FGAAFNVVKGFLDPVTVNKIHVLGSGYKKELLAQVPAENLPAEFGGTCSCAGGCELSD MGPWQEAEWAKTPKWAAPKAEPAPQKEGEEQASQA ACHE_20930A MPKLMLAGLALLAAEATAKTCFNNTVEIPVTSRNGVFDNLSTPK TNFEAAAFAISATRQGANGTEQALTDYATISKTYKISTQYCMPNADNRNAPLQILTHG IGFDKTYWDLPYNNFNYSYIDNALSHGYSTLSYDRLGIGNSSHGDPKDEIQAFLEVAA LAQITQMARNGSFPGIRQKPQKVIHAGHSFGSGLTYALSAMYPSLTDGIVLTGFSFST TFNPYFVAGANFQQANQVLSSKDYPPGYLVSSNAVANEFLFFTPPYFDPQILVFAEQN KKPVAIGELLTMGSVPMQSPFTGPVLIITGSNDVPFCGGDCLNTGGAAKSIPAQGKLA FPSAKAFEAYIQPNTGHGLNLHYNATGGYEAIAGFLKNNGL ACHE_20931A MPVATMLQPASRSSASSSSSFQPITRQNTMSSHDTRSLRQSKRM SVTALYLSMSAKDRDLEISDDLAKAQRHLRDLKTKISSQSKKNFVLEKDVRYLDSRIA LLIQNRMALEEQNEVASHLDDAADPQEGFFPNDDKTQKYGNLLFLLQTEPRHIAHLCR LVSMAEIDSLLQTVMFTIYGNQYESREEHLLLTMFQSVLTYQFDNTPEFSSLLRQNTP VSRMMTTYTRRGPGQSYLKQVLADQINSLIELRDVDMEINPLKVYETMVKQIEEETGS LPDYLARSVTAETAAENKQVQAIIASRLEMLTKFANGFLTTIINSVDEAPYGIRWICK QIRSLTRRKYPEAQDQTICTLIGGFFFLRFINPAIVTPRSYMLIDATPTEKPRRTLTL IAKMLQNLANKPSYAKEPYMLALNPFIEQNKERVNKFMLDLCEVQDFYESLEMDNYVA LSKRDLELQITLNEMYATHNLLEKHSGALAAADQHSHLQELLQELGSAPPQVPRKENR TITVPLFSRWETALDDLTSALDITQEEVCFMEAKSTFVQILRSLPPNSLIARRPLRLD RIAEAAATLRNDAVMVRKGIRTMELLSQLQELGVIDRADDFGLLRDEVEQELVHLGSL KEKVLDETKKLEEVFSTIRDHNAYLVGQLETYKSYLHNVRSQSEGKSRKPQKHQELGP YKFTHQQLEKEGVIRKSNVPENRRANIYFNFKSPLPGTFVISLHYKGRARGLLELDLK LDDLLEMQKDNLEDLDLEYVQFNVTKVLALLNKRFARKKGW ACHE_20933S MNMTMKSLYLTLYNALSAALWARILVSTLTTSPSSLYPYIEPWA RDTQSLAIVEILHAALGITRAPVFTTFTQVFTRCVQVWAIDRGYPQLFSPSPASGVGV GQSEPGLGATIYAAMLFAWSLADVVRYSYFVILLAESVTVPGWLKWLRYSLFFVLYPI GIGGEWFLMYNAARVADTTPSLIYYFCLGLYVPGAIMMYSYMVKQRRKTLYGRGR ACHE_20932A MDSAADFSQFDGQLKSSLLQVTRTINQLSAGDLTFHRSANRELD ESFNEQSGRLISLTSSLLKAATTGTDLRPPKLRNDKESLEDNWNSVVDVIDALLERAD ACLDEFTGVIKKLSPSQEDQSVRAAATRKPTNKFPTVYDYGPSRIPKPQLLFERKTDA TDESPFRPLLKTKPHAVVPLEESMRSDELGGYKSPYETEIRAAKYPSSTYNVSPPIDY LPFNSTKATFVDTMEGVMEMLGELKAAKGIAIDLEHHDVHAYHGLVSLMQISTREKDW VVDTLKPWREELQVLNEVFADPSILKVLHGASMDIIWLQRDLGLYVVGLFDTFHAASA LSYPKRSLKYLLQKFVNFEADKRYQMADWRVRPLPEGMFDYARSDTHYLLHIFDHLRN ELVQQSTPGNNLIDYVLEQSKNEALQRYERPVYDAATGQGAGGWYDYLSRNPALLSKE QFSVFRAVHQWRDAVARAEDEGVQCVFPKHILFKVAHIMPLEMGTLLKVLSPVTPITK DRSYELLEVIKQAKIDGANGPEWRDFIKPAKGAQIAAEPDLLEAPATDNPIAERYEVS QFWGNALAAQPHEPFTPPEYSAVASAEALRLSLPLPPMPKTVTEVTTAKPPSPKPAAP APAPAPTTEEGQEDPNKPFTVKEMGGPRKRKAERTPATDATDTSDEPDILSLDVADGT EKPKLSKAEKRRERKKNKAPKLEQDQLEEAPFDYEAAASVLHAQPAQQGTLAQRTKKP FNPHSKLLDTPAGARKYQRDIPGKQATFR ACHE_20934S MAASIAPECNDIKEKYDTCFLKWYSEKYLRGKTSSNECEDLFSK YKTCLNRALKEKGIEGMVEEARRNSRESDTDFLRRE ACHE_20935S MCIVLSDGWLKTEIKLRQSTYTATCVLHLVGIKGPAFHFLSFSV LGCNIPSTIIVLYLMSYVMSDLSSSSPSSDEETTRWSPCLSADDVRVYYPRDVDWEEE HSKLCHAIRDATRRSTTVIFTTAKVIDTCKSPDKYIYFPDDFYPVVNGDINGSFHSKY IIDEEGKIASHISWSCFKIKNINIDKKSPKIEYNWLQVAVLVHFHAKTGRQVIFFIDL PSDHEDSVIKKSLFYSLQDSENPFIWHTILSRQAKSLYDNTVWSVRDLVRPVEKARNK PNPPAPNFPNLHDIARHVSHANEILHVAEHTLDRLVQAQISWKTEYYDSNTGSAKRNR MVCLQNKQDLLFLAKEIHCLKTRSASLSERLQNEINLAFNIVSQNLGTNAQGDNAMMK TIAIVSLLYVPGSFVSSVFGMNFFDMGSESEFTVSNKFWIYWVITIPLTAVTVLIWAI WHWSDKIRRPRWPHLVHWS ACHE_20936A MIMSDGLLRVFWPYDLPRSPVPGVIVGWRNSELDLFVLTVLEDV EPRNVDNALRAGILFRNSPHPIVQIFNLCGRSMMHVLGTTNPKNPPTTFKPSDLYVTT LPSCRVPQIYCPPEANLSVQVIMFHRPHPTRMEYMSLNPISLALGDKTSTAEGSGAMT TGDTEEAHEKVRSGKLVEKLKFHTVVKHFPSQKEQALPLIINQANCAYEMGKLMEKNS HLIGIRAKRSMSVGERVVESATTLWDLFVLGVSYVFWQWFWPVITRLFVIGLVFHRGV AEVVLQVLEWRARPDAAAVKDISATAQQVDIRLQQFCYWPIQYVKLRQRKDNWESVTT SHPDYIRFYNSLWLVANDVIIGIALGSYIIDNANWVAFQINNVLSGWTVEGLQGTISW LMDWPAGLKLNNELAAFLGDLFLWVIENWAACIANLQPYLPRVIYVVGCSSFAGASMP IALFSDLVSILTVHIYSFYIASARIFNWQLTIIISLFHLFRGKKRNVLRNRIDSCDYD LDQLLLGTILFTVLFFLLPTVVVFYLTFASARMLIISLKAALDTCLAFLNHFPLFALM LRVKDSRRLPGGIRFELREEHDKSNNNTPTTMSYIHLESIPLPLRAMFDQYFQLGHRL RKHYLSPRVIFCLVTGRFVPPIHRRNLYSMQYSMLPARRAGMAEVWAMLTQPKKGGSG GSGSSSGGGISTGGNGLLKVPAGFGQGDTRRRGHR ACHE_20937A MSSRPDLKVDDEVGFIRFYRSLPDNTNNETIRVFDRGDWYSAHG SNAEFIARTVYKTTAVLRNLGRSETGGLPSVTMSVTVFRNFLREALFRLNKRIEIWES GGTGKGQWKLGKQASPGNLQDVEEELGSVGGLALDATAPIILAVKISAKAEGRGVGVC FADASVRELGVSEFLDNDVYSNFESLVIQLGVKECLVQMDTGRKDVELGKIRTIADSC GIAISERPAADFGIKDIEQDLTRLLRDERSAGTLPQTELKLAMGSASSLIKYLGVMSD PTNFGQYQLYQHDLSQYMKLDSSALRALNLMPGPRDGSKSMSLFGLLNHCKTPVGSRL LAQWLKQPLMDIKEIEKRHTLVEAFVENTELRQTMQEEHLRSIPDLYRLAKRFQRKQA NLEDVVRVYQVAIRLPGFVSSLDNVMDEQYQTPLETEYTAKLRQHSDSLAKLEEMVET TVDLDALENHEFIIKPEFDDSLRIIRKKLDKLRNDMDSEHRRVARDLDQEADKKLFLE NHRVHGWCFRLTRTEAGCIRNKREYQECSTQKNGVYFTTSTMQSLRREHDQLSSNYNR TQTGLVHEVVAVAASYCPVLEQLAGVLAHLDVIVSFAHSSTHAPSSYVRPKMHPRGTG STILKEARHPCMEMQDDISFITNDVSLVRDESSFLIITGPNMGGKSTYIRQIGVIALM AQTGCFVPCSEAELTIFDCILARVGASDSQLKGVSTFMAEMLETSNILKSATSESLII VDELGRGTSTYDGFGLAWAISEHIVTEIRCFGLFATHFHELTALADRYPKSVKNLHVV AFIDGAEAKSEPTEDEKTKQQRQVTLLYRVEPGICDQSFGIHVAELVRFPEKVVNMAR QKAEELEDFSSAEQPDKKEQQSALDGYSQEEVEEGSALLKAMLVKWKGEVEAPGKEGL TAAEKRQIMREIVNGDEKLRASKMFQGLKAL ACHE_20938A MPRTSSRLAATLPRGQAGIQNFARATKPGATTAPSTKLDKPTVA HSLSHSPSKKRKLVEIENVASGGGVAASGAETRANDQQKQVENEAATPSKTLRFDELS VSSPQPRSGHHVTRSPSVRSQLRSTRGSVSVSEGIPQTPSKRSRSVTYNARSVPLSMS KSKSQPITKVVSRPPFFDELLKLHSAFLKALTLHSAHNGVIAPVDLREFLQAIGQVWR KRKVVVKDLQRLVWIWEQSQKQNGPKSPFRLANYGLGRVCLEREWAGRIDEAEWQEQF EQTLDLLWEKTLDSIPNLADDEEKKVAENFIETLGLSPIHECLTPLTSFRKGQQRLQD LKGGVIRMKTEKLRADSAKDDDSPALKTLLDATPNRRKSLLDRIKNKELRQSTLPPPP SKDMLLRRAAVERVEDVANVLASLRPVGYVGSGIKAMLAAQRKPFQIPTIVQYVQDSV RNPISSREVEICLEIMARADIAGNWVNFVTVKAAKMVVLKSCADVSPKELATKACSMK IGYYDESVAN ACHE_20939A MAQSRTPVYLGLVGLGAGAFYLYRAGGDPKAATQELKADASKAS GKAPSGARGVVAGENAGAEGTAVIDEAVNNARSSAKPDERIPEIAQQTTGKLEDARDK LNAGVDKLDRKVEEKATEAKSGLSGWFGK ACHE_20940A MSSFLPVNNQISSPQDRPMEDANTPTPRASASNGAQTKRTSSCD EDTPRNLKDRDYDDPSRPATSSQRATPTAPAEEATLHHESDGEHSDHEPENGNNSNAP PSKKKKGQRFYCTDFPPCNLSFTRSEHLARHIRKHTGERPFQCHCSRRFSRLDNLRQH AQTVHVNEEIPGDSLAAMGTRFQRQIRTDRVRPQGRARAGTGPSSTGHSRGHSRNLST SSIGSTASTFSQPPEIRRRPTSLIMPHDNNARTRLALDGMVEPPSTPPAQIRGSIPGP SVGGSPYTPSRMFTGAPEHLASPMSTASQASGFWDGKTAARRLSVPAGINPFVQQQPV HAYPPGYVGTPNQTTYAGPGGVYASPVSSNYSRDEAEADLRRRTWHPSTYSAYQRPAT SGLSSYQTPDGAQQQQPSFSSANKQAEQHPPRLPGIESFDKVISQQRPLTPPGRRPSP MQLDSGNQQRPAPSYGFGGGFNYNAPAVRPPPPVSGPGHRRGHVSWDLSLHANLTGLN IRDKPFQRDAAQWGQQTIAEIQNVASRPSTSYQPSEHRGNPGPGHGWHSSTASGSSQA TRTSPEDSSSSEGVHTPSTASLEYHPAIVHHNGSIEPHAPHHHSALASETSQSGYAPF SSQPNEGDHVNSKHESRSDLFNTTRDSGMGRLEALVAVATSEDKGAAKLFL ACHE_20941A MAEGEPSYIDYEVFLDPAFSPASFANSLVVATNNATDSPLDLST PLSRVLFDLQEIDTHIHTLTSKSALPLLSHTRDQTAAAGKILQQSEEQIAAVTQVYER LEREVLRKWETADEARLAAEKSLATVRLARAVGRCLNLGRQLESQLAEMNGRGGATAT TTSVEAPGREDFRALERAAYTILNLRRMFLATAEGEEGHGLDRVKVVRTLRSDLLNPA ESLVKSRAQQAINRFSMSSISAGSQAPSGYKQARDTRARVTSAITTLYILSPMPKTMI PATEYRPELLQSTLHGYMHTAIGTSLTALSRAFTMLPTLERTLSDLSARCQDIVALEY ILGNTKLPSHPMLLSGLEGENTEAQAPRKSANLLQLVLTSLDTPSLPSYFWRSLASSL AGRVQEILQRGGVSARALRSNRDRLRGDIKDCVLRGSQLPTASGMVDKGRGEEGLTVG NWEREAAVMVSSVAGAL ACHE_20942S MLSRGQPCLRRRGLSAVLDNAAVGPDEPLLFLYPRWVTSRRSIA TINRVTAARAASAFARCLRGSSNILPSRQNPSPRGSSWGCSPLRWMSSSATLRNDRSE QPTELSDKEYYSDAKASRTTSEDTDTTIGRSRVRAPIDQATAKTNSGRSFNVFADIES DRSPLIQWEEKGKKVLATGKTHTRPLVAARERRKRLTNRDQRKLLYRSYLKELGEETG KTQKWGLMDSTQKMLERIQENTPTLTLKGPKNKVMLIPEETVALLSGINEMAMKENIW YVPVHNGCRVHILSPSQSVGRHRKAIISGSPRVVDLVSERIVRAHRLQDSGDPLVDIR KPVVPVFPSIEAMRQKNVQVPLVRGVWDFYESKNSPMPIEAVLDTERPTTVREFLEHI EDLLASRTSGPYRRQENQTRRQTPHNLRILRTLSQLFLSDENQRILSTAALNSTLSFF CQHEYMKACRQVLLRCEHLATVDTFNILLRACARRQDFRIFRHLLISMSRLQIRPDAN TWVALLECHVSPNAKAGLFMYMMRKGHLSQIGPMRTALQTAVQDTLVLHLEGGHSIDS YIALFQNTHGANWFSPSLINKMFNATVELKNFPALNRLLEICDEHGVSIDSSTMLRIL PICRANIYAALLYVFRYITRQGFRMDKEAQIWERLFLIAYKGRCYNICRVIWRYACMN GAVTNKMKMSVLSSMTTNVSKKKTNLVDILWRSNAGKIIVGVDSHLSEYPSAGDILKL TPTKFHSNPVQFLMTGFQQGKERERQLMLATALVRRDIELGRRYRPTQPLSTMLDAAA LFDLEWKGVPRPPEWMVQHAIQVPVEWKGYLRSS ACHE_20943A MADTKLTYIRYDAALENTYVPAMRSLISQELSEPYSIYVYRYFL YQWGELCYLAMDKSRPENDQMVGVVVSKLEPHRGGPLRGYIAMLAVRAEYRGKGIATK LVRMSIDAMTERDADEIALETEITNTAAIKLYERLGFLRSKRLHRYYLNGNSAYRLVL YLKEGVGSVRTTAFDPYGVIPGDPLGPVPLLQGNGGGIV ACHE_20944S MLRRRLPKEDGNDHHRASTFPAPTTTHEDKTQTQDHYHGNQERQ IILRPRSKRRNGLIFALGGLFGIFIALFFANQQEVISLDSLMDLNLDALIDVIPQGIV KDAREFSQHEREAVSYDPFSVGLHLQSQGITAKYPIVMIPGVISTGLESWGTEATSRQ YFRRRLWGSWSMMRALVLDKAEWKNHIMLDKETGLDPPGIKLRAAQGFDATDFFITGY WIWNKILENLATIGYDPTNAFTAAYDWRLSYANLEIRDQYFSRLKSYIETAVEVRGDK VTLASHSMGSQVVLYFFKWVESDAYGKGGKDWVNRHIANWVNISGCMLGAVKGLTAVL SGEMRDTAQLNAFAVYGLEKFLSKEERAEIFRAMPGISSMLPKGGEAVWGNATWAPDD QPGQAMTFGTLLNFRQDNLTAATESSFTHKNLTTTESLQYLLDQSEDWYSKHIRNSYS HGVAHTRKQVEANENDPRTWLNPLEARLPLAPDMKIYCFYGVGKPTERSYFYQQEPDP LVNLNVSIDTTVTNTEHGVDHGVVMGEGDGTVNLLSTGYMCAKGWKIKRYNPAGVQVK VYEMPHEPDRFSPRGGPNTGDHVDILGRASLNELVLRVAGGQGDMIEETYVSKIREYA DRVQIYEE ACHE_20945A MNSSLPSWKDRTQNHFGKLQIQVPWRSMKLLVPHRMRRKLRSKL RSRITPTSSLSSLQTSFSPVDTLRSLQSHRWTPYDFQYLLLLIVCIFSLTIIESPGPL GKTAMFTGLLVSLLLPITRQFFLPFLPIAGWLLFFYACQFVPSDWRPAIWVRVLPALE NILYGANISNILSAHQNVVLDVLAWIPYGLCHYGAPFVTSLIMFIFGPPGFVPVFART FGYISMTAVAIQLLFPCSPPWYENLYGLAPADYSMQGNPAGLARIDKLLGIDLYTSGF KQSPVVFGAFPSLHAADSTLAALFMSQVFPRLKPLFVTYTLWMWWATMYLSHHYAVDL VGGGLLATIAFYFAKTRFLPRVQVDKLFRWDYDYVEVGDAAPGYGYGLSTLDSDEWTV GSSSSFSSGSLSPVDDHYAWESDSLVSPASDLESGRHHIVSP ACHE_20946A MSLATLKNIVATYTPLPGRLSDVDSEKEHRLPLHHGEDVESPTT GQKKEQKDSSWAIDGRTVSDAIIGLSDGMTVPFALTAGLSALGDTKVVVFGGLAELIA GAISMGLGGYLGAKSEEESYYATLKETKQQIVSDPPSTTETISDIFAPYELPSELVSA LTNHLSSSPMLPNFLMNFHHTLPEPSDSRALICAMTIAFGYFIGGFVPLLPYFFVGPL DAFLALKWSIATMAVALFVFGYSKTCFVSGWKGSRNCRRGLVGGLQMVLVGGVAAGSA MGLVKGFSMLAASSGEGQ ACHE_20947A MARASLLPLVILFVVIAVLVVVGFIVYSIVQEVTNTTREKMEKR NVMFTKDGMTVGVKELKEEDYVDRSQSILVNMWNHTSFPAYKSRLWNMAGKSEDQAVE KRRPYSQ ACHE_20948A MTRTIYLALFNNGPKPAHYAIWIPKLNPDILGKLIQVDGNPATG FHLQFVRNYNIASDTLSEYKLTPLAEVDDKYVADPAGTERSIDTTARDRLESVATVVK PPGRSAKPFDSEAPNCQNWLYKYVQKLIKEGFVESSAGLVVQDAPKVFEKA ACHE_20949S MAVPFIPIFPICFQCGTDQNPCRCKVVGPTIGFVVTIVAAVVCY PASLFCGCCMTKTGKDVLAYPVKLNAQVSDLIPI ACHE_20950A MGSFTFRWPYNGNEVFVTGTFDDWGKTVRLDRVGDVFEKTISLP ETDEKIQYKFVVDGNWITDSSTPQESVGGNINNVLYRDQIKDTSTITDIPQLAGAAVM SGVTPDSSTAALAANVPKESEKQASTLSSAAPESTTANLAKQVPSENRNNEGVPGSFP DTPATEAETFSVNPIPASSGASNPIKLKPGEKVPDPNTTHSNTVQSTVRTDPAGYEQD PSGSLAGLPTATNPAASHSNPTGGRRQSKIIPESGLPVDGESIGLTDTGVTIETATPS ATTVGLASAVPLESQKQNSTSGAGAVSEVPDRVKNSISEAHRDPEAAADKGAVEEKKE FEDELKRKVKPSEAGGTPAPTTTAATTETAPAATGGASQSGELSPRSPSPSEPTVTTG IASAKAPEASNATGVSSPLSAGPTVTTGPAESKAPEVSSPKDNSAAEGAGAATGAEDK DIGKAVSEGTRTDTREHSSLTGPPRKSGSTSGPAAGAGQAGTKSSEPKQDGFKLGESK QTGAGDTSATNGNGNGTVRKDYADQAQGKANDVAKEQKKKHRGSGLFQKLKEKFK ACHE_20951S MFPFPPSIDWILPCSNLASDFLPEPFPFLSSLPAIYVAPSQPLT PLVVRAAGVVSSPALFSSNMADNSSFPGTNPFLKVSSNSSKGDSSPVFQQISEEDEYE VTSPTDATFKSANVGASSGTAYGGNSRDEEAAARASDTGIRFGRSPFSQDGDDDNDTF GKAPEPTRPSGLDPGFPSNYALGRRTSVSAESLNPTSAGSDSWTPPHHPKSEEQLSRL RTAVSGNFLFAHLDDDQFKTVLDALVEKPIPAKDIKVITQGDAGDYFYVVEKGSFDFY IHPSGSVQPGPDGMGNKVSSTGPGGSFGELALMYNAPRAATVVSVEPKSTLWALDRIT FRRILMDSAFQRRRMYEAFLEEVPLLSSLKPYERSKIADALDAIKYSAGSTIITEGDP GDAFYLLESGEAEAFKEGEEGSVKDYKRGDYFGELALLDDKPRAASVVAKTDVKVARL GRDGFKRLLGPVEKILRRTEYGSRPNTATSTS ACHE_20952A MPPKNKSKKDTQPNLKPTANSNGNNTSNGRNLINWPPLRPLVPP SDLSLIPLLHDQIYLIPNYFTANLCKTYISFLASLPLTTTPGKPKKDEAVRVNDRFQI EDAVFAEMLWNSTALRELVLTGRMEDDDYDQDEGEKRRSVKEMWGGEPLGLNSNIRVY RYKPGQFFAQHYDDANTVTFENKQTAPIQARTTWTLLIYLSNCTGGETVFYPEPSRWN RNPEPISVDPGMGMALLHRHGDRCMLHEGKEVLNGEKWVLRSDLVVER ACHE_20953S MSSQTMTAPALGHRPPPPNSGPDMPFYDDYTHPDNRDISSPLSD SAIRAVNNSTTSDRPTSPPPSAPIHSHGLPSLSSPFSTPSGGIDGSLRDGGGMAGVKA EPDDETMNGSEGHQTDLRRQSAASALLAQLLGNQSTEQPLSADVDQSLYQFRGEQIQQ SVSAPPAEPFTPNAPGESHNNAHSAGGDTQMPDYPPLPSTEDIGRDHHSAPAEQASGH DQFSVPADLSQQDEQHVDADAQGPFTTNPDGTEANIFLQPPPKTSDSSAIESLTDPLL MAKTNLDQGDYFNGPFDIAADPKTPFGDLEQNEMLTAAYLSQGADLSALGLSGDPLQQ DGTGSVAGSEPRIQAFAKLEFDDGHFYCNTYSFILGRDVRAARAAHQREFQVRQAMRN SRPKSSSGGNASHTPIRVKHEGSGILGSVVSDRGGIMGFDPEVPSRRPMSRRSSNSSF GESGPPLLATPAQLQSTDYNALAMQSLNEESNDAKPVDALALLPSPDSCPTIPIHPPA TVDGSAAGHRGISRKHVKIAYNFDKSLFEMEVMGRNGAFIGADWLSPSQIRPLHSGDY IQIGGVRIRFLLPDVPIGETGAERTEEQQMEDDENAIASFDVEDTNADGTEKLGSDAS EKRDSSKAARLVLKTKDADSSQAIQSSIEGDGQQPVRRRGPGRPPKDGIMSKRERAEL AREQKLAAKREANGGVTPPPPNRPKAGKTPAGPRDSISAASGQGAVSASLPAVPTPGA PVAGGAESPGSKPEKRKYTKRKKADGTLMDTPLPSTEGGSQFPMEQRPEEFIKAPPLK KRKPSRSPSPNYPPESAYTPEDLAKPPYNYAVLIFDALTDAGTPMTLKQIYRALKLKY PYFRFKCETEGWTSSVRHNLNGNSHLFMHAERDGKGWSWQLRPGASVEKEKKRRPSPP PPSSHTPVPPTQPYMAPPGYTSAANGQANMVNQHFQFPPSMAPNPYAAPPPPAPAPGP APVHAPAPAPAPPPPPQAPVQHPPAPQQTTGPSPSPAPAPTQGPTAPGPAPGPPQTSA PASTPAPVPNSAPAPAPAPVPAPTTAPPSAPQPQLQHTSPYPPPAPPQPPQTPAPAPS PAPNPTPTPSAPFSIPSPIRNSLPRAFAQTVPTTYTSPYAFDPPPQLAQFQQSHQQSQ PLHAPPPPQQQQQPPPQPPQQPQYQQAPQPHPQTTYAPPQNSAPMPMMNHQPQGFAPN PGPPPMQQQQQQQPPPFDAGPAEPSTGPMEEDISFNERAGKAIDDFEAVLMEDYEDKN YIREVLRSARARVLGEAMESSFPGGEPKDEAVIYDALRNLVGSLEG ACHE_20954S MPTTVITLHDGHQRTKAGDSYKYKYTALHYLVTAVANAIARIRH ERLDTSTSTSTSTRYGHVEPFYYKVVQRTSICRMPSIRPSHLVPVHAEIENDNEHVGG VGGEGMLLGMY ACHE_20955A MFYQGYSHPPIPSSMLDRDRVLDKESFLAGGYVVSFNKKSTKWR TPEKAAVRTPREEYVSPQNGQLIGTHHPALANQPYVSVKRDSFGRELHIVPRFLAPKV AVGIRILISGFALLVYRNVHDIVHEVIADWDRGIPKSLGGLTVEYMIKKLNPSVANKQ SAGYYLAALTHVAMDAEKSLARFQLPEEFSALLDNVANAWAPYKDALDGEPVVTVSIE TDYALKKVQEAAVEGVKYH ACHE_20956S MPSFFVPGLQGLPPTPHILGGAGMENEPPFYVVGQSAFPPRYSQ NGCEFIEQYSQSTGYAKTGPMNLHAQSAHPRDLASATAAAHPALAPQFSQPMFGPMAT TNVLPPIQNNVQLPPMENAISQPYGRQDMMMPSDRQRKEEKPTGGVAAHLDYEMDQMS DFVAEMAQGMYDLYITKINLSDIDFARSVCPGTSVPPQFRKYVYQILSSTRLPSSTIL LGLYYLACRMRMLSAKKVYAAGSGQVYRMLTVALLLGSKFLDDNTFQNKSWAEVSNIP VAELNSLELDWLFAFEWKIHDRIHDKQDGFNSWLLHWKTWRAKAAVRAQESRTVLAPI DTNITRGPMISHSSSRSSNSNKQPLMSPEGPIPPQYQRCSPLENSWLNPTASEYSPPS APQSGPTTPDYYSVNPWAYTNAPYSRSWMPPQQSYLPSTSRSQVPSYHHTPSYALPFA QSVWTGHGSSCGCMYCAKQMDHYMFSNAFGAMQPIMA ACHE_20957S MLGPIVFTGSAVAALVYIFILPVILYFYDPKGLRKYANYSSLSG LTDLRHCYLSACGYRSKALYEAHKETKTPILRTGPNTLSFGDIRAIHDIYGHGTKCIK DLSYTLQGGSHTHLFDVVDKVDHSRKRKRFAAAFAIKNLERWEFKVAETTQRLLNGMD AHCTKPLKRGQIISEPEDVNFDFGEWVYFFTIEAINNIALSSKMDLMDKGSDVVTAQK KDGTLYKARYREAQNNMALAGSVFVWDYKHFPLISKLSKLSPKWRDVWKKGEPWGDIV YHQAATRLQRYMAGEKLDDFFGSLMEDKQGNPNNLEWGEIVAEVGAIINAGADTTSIA LTNVLELLLHNPQHLHTLRQEIDKALDEDEVLAPYDKVKNLPFLRACLDEGLRISPPT SAGLPRRTPPEGAQILGQWIPGNTSVSMTIYATHRDPEIFPDPEEYRPERWMDPEARK RMEPYFIPFSAGARACLGRNITYLEQMVLLASIVHRYEFALKSPGFKLIRREAANLLV GELPIKIWRREMEPEV ACHE_20958A MTFTNSLGYEMEVSANHGDLTRLQAQLSQWESQNTDNSIPLEER LGFKLSPPEIEEVHRALDLPFPPGPYREPEKIDPIYFMLNRLMIQAAKKDQVNVIQYL LEERRWAVSRIAVRRAMATYSFAVLELFQEFGWDINEPVSAYQCSILRKVVNSEPRVR WCLIHGADPNSQNIGKNIDVLSHAGEYAFIPVLELLAAHGGDFRRSNALHCAAHGRVG YSTEEERIEVLAWLLEKTGIDINQRKHEFSSMDSWRRDTMETALHCAVMSNALGCVRF LLEKGIDAGLRDFQGKTARDLAVENGYHEAVKILDGQ ACHE_20959S MDHLCDSLLSSLPRDTPSTATIDHARRDQEGTRQSVARGEFKEV RDMAFSNRTWVVTSRYCDIGDGVDSLEGHIHSLWYMYYELGRKISSESPEHEGIVLDI LRIQGMGPLTRPARGVYGIDIARTVDGTLWNDLPFLVGDMTDFWVNHGASMSGTHRLN FATFLAKLASTRVAKDRLCQVALLVFRTLFESPQALRTGEESDEEDLNRGTKQLEVFH LLPAAVAWLKIASHNLLLLSEVYWNDCPSHISKGGEEFLESELGQRSPAGFSPWRYMF WLKRLHEIQEEAKEANEKALEELATDGIQYMINTIKQRNAEVLRVYKNGGDALHQDKH LSCLKPLARVEEPES ACHE_20960S MQITSIAIVLFAAMGAVANPIATESDNLDARDVQLSKFGGECSL KHNTCTYLKGGKNHVVNCGSAANKKCKSDRHHCEYDEHHKTVDCQTPV ACHE_20961A MVEDWKVIWSPASEEQLSKWKSLLDCQNPVLGESQLSLEHILCR AIMSKDYTLVRACISHGARLNDWVYGAVSQAMSLELLQILVPAGLDVNHKEDRVGGYI AATASCNQMDLTRYLLQHGADPNRNPLADLNPALNMAVKGNFMEMAELLIQYGAKVNG LGALAMAAEYGRFEMMNLLFQHGADVNDDAKDRAEECIDYIEGVTALHQAAKVGRIDA VVFLLNHGANPDLKDEDGRTPLMVAQENGHPEVIEFLNKLQQ ACHE_20962A MGKPRMIILIRHAQSEGNKNREIHQTVPDHRVKLTPEGHRQAHE AGSKLRELLRPDDTLHFFTSPYRRTRETTEGLMSSLTSDDPSPSPFPRHTIKVYEEPR LREQDFGNFQPCSAEMERMWMERQDYGHFFYRIPNGESAADAYDRVSGFNESLWRLFG EDDFASVCVLVTHGLMTRVFLMKWYHWSVEYFEDLRNINHCEFVIMKLNPDNGKYVLQ NNLRTWSELKKEKELEIQQERASKGLDPAPISSEPPVPARRRWGGCPDGCNHGIRRRT SARNPRTNGADAMRHEHHDTHKKIPDTNEPAQVANAQVEQLRAAEHPPDATNPDFDND NDHDNHSRALTSNPKSTTTNLAKPANPRPSLAHFHQDSEDSLLDRHRHNLALLRLGGR DGGGSMSGFNSLAPSEDEREESAPLESLPRQISHQVRPSQDIGNDGDDEGSESKPALQ RLRRARSHNHHHHHHHHHHNHNHHFISNLNKRSLGVRIGDTLGDRDPETDDTDHLPND RETSLEPEPEPQTQNSTAEQEQENENGTFEAERRRDQSIRGSVY ACHE_20963S MEDSLYELLTPHLSSTSLTTTLSRPRALEQDATTSRYLNRLTTL SLESLSTTETQSLAQSSHSTLLSLQALSNRSHKTFITSADNLSNLRTSIPQLTRESRR LQDAIPKLDEEAVQFSTKYSRTAENVALTRRKKAMQLARNVDRLSDILELPTLLSTAV SSAAASGTAGASSSTTYSSALDLYTHIKRLQTLYPDSSLVKDVAAQAEDAMKEMATNL ITSLRAQNLRLAAAMRTVGWLRRVAPELENLHSEGGNGSSEGALGALFLICRLANLVS TLEALDPLRDLADQETQRRTRSADKQGGPEGQQTERFLKKYIEIFREQSFAIVSLYKN IFTPDQSEPDLATAGLRGLDARAKMASSRQTRPEDPLQCLPSALSTFPMHLVQLLTEI LRTYLPNVKSKSTRESLLTQVLYCAASLGRLGGDFSMILTELADVEDEDEEDSIVYEW EEVMRKHRALAGRLEQLTGGNSAAGTPGGTLRSASPVH ACHE_20964S MATSAIPTGGMIDPTKQAEYPVLLGDRLSQQDTFSQSRLINFNY NYKTKSATPQQRSTITRSSQSQDLYNLTITDKPQSTENNTLTYLYEGSVDPTQESEVQ DYVLVFDSDRKAFVLEPVATRLNFNLRSAPAKTEKQVLEQYEQLRTLQEDQQGSADEQ PPESVDGDDDGPADESNPYDFRHFLPKAGDEDDEKEKSSLDRITPEPQASTSKPDTLS IPVPAKRAPSPKPRPKTQTNPLRQKKAEPAKTKIEPAKKKAEPMKKKEPPPREPSPKP EAQIEVEEFEEPESKSTPSDTGTAALDSHKAIPSPGSNIIIDGDLIIDMGSPPPSRPV FNINPAHFSSNGTPANGAEDDDDEEMEDLRLPSPAGHTAPAQEAAPELAQEEDIEDDD ALAAEMEAAFEQSAMEEQNAHSYSQPASQQYHAHSDDESEVSEEE ACHE_20965S MALSGPNSNQRPVPCPSNPILLASQSQWFFTDEELTRTPSQLDG MKFETENQSRSKGVNFITQVGIMLKLPQPTLATAAVYMHRFFMRHSMVDTPRHPGAHP YNIAATSLFLAMKVEETVRRMKEIIIACCRVALKQPNMIVDEQSKDFWRWRDTILHHE DILLEALCFDLQLEQPYRLLYDFMCFFGVQDHKHLRNASWAFLNDSMFTMLAVQFSAR TIAAAALYAAARHCDLGFKDDGAGRPWWVQLEVDLEEVRRACMRMAQLYENNAIQRHS QYYPTIPVTAFEEGTEKTRILRPGTNPSNEESMGRKRSREPESDSRNDQGHSPAPTNG EQPPKRQRTVEPESDTQQSSSFAEPSSSQNRSTNTNGHLAPPSQNHKHPEEGETDPVQ QRIDHIVQQNLPSEGRHSDERYRRYSGSRDRSQDQSRDRGRDRDRDRDRDRQYPYRGH SRPPPPPPPPPPEDKEEGEAEGSEEGEL ACHE_20966S MTTQLNAQIPPHPAVVDSVSLRAIQLPLPAAPDPWHRPGKPQPC TASLKLSYSSAIAAAAADDVSLSLDYGKLYRRLEEDIRTGDGKEDVRLIAAIVANCGL GLLDETAAGIRRMAHTQTGLGAGGRRGSASSTAGSQVQARAALASDGPASSPIDGQFG QCEVWLHLPKAHLRAEEGVKYRSVTAWGYKPDSAPGAGGAEAVAADVGRYPVVLEEEF RIEGIKCYCILGVNSHERVEKQAVIISLDFRGRGQLAWGEKVIETWEGVTRGVAEQVE GTAFQTVEALATFIARIVTVDFGNERVTVKVEKPSALAFVERSGVEITRSQAFFQGS ACHE_20967S MCQSSPSFPSSALLLSAGCLANSSFPSSTATPEPIDSGLNDRTA VVSSPAAFALTETRISSTDAWLRGSSEVGESVWLGVLVGVLGPS ACHE_20968S MHSSSIPVHDDLSVGDVFTPQIDHQPAVNETSSQSKPTDNKETP NACPFPIPAINANPLAEKGGGRKKKKKAPCHAVPTALSTVRGFTPLGSGDEASDISAA SSRAPSLRSPSVARNGASPVLKPTAGCSGVSALKSQLDSLQISDNRSCLSAPSVCSET QSNASGFSDSDQTEILTSYEVPLEEDFVSPDAEAEERSQGDPAANMRDQLCRKMTSDD FEPMLCLGKGSFGTVLLVRHTLTGKLYAQKQFRKASITVHKKLVEQTKTERTILESVN RHPFVVKLYYAFQDHEMLYLILEYALGGELFTHLAMERMFDEDMAAFYMAEMVLALEH LHQNVGVIYRDLKPENCLLDREGHLLLTDFGLSKIVAADEDGRCNSSLGTIEYMAPEV IQGKSYGKACDWWSLGALGYDLLTGSPPFKANNNAKLQEKILKQKLSLPYFLGPDAKD LLTRLMRKEPSKRLGYHMPKDLHTIKRHRFFRKIDWKALESREVPAPISPVVTDPALA ENFSADFTGLPLNTGSGIDERGSMPTGTGGRAEGMESDPFGGFSFVASSSLLDHGLY ACHE_20969A MTEVSNTRLYLGNLPRNVTKQDIEEHFGSHGSGKITEIKLMSGF GFIEYEDALDARDVVPAFHGSDFKGERLTVQFARGPRRKENFPGGPMDRPGMPRPRRT IYRMLVSGLPETTSWQDLKDFARQSGLDVVYSEAGRDFGRGFVEFETANDLKTAVEKL DQREFKGARVNCVADIQPYPYREPYRARSPRRGYPPIDDYDRRFPPPPRGYSPRDHYR ERSPIPIRGEYYDRDGYGRRTPPRPRIDDFPPPRRPYDELYDARVPLPPPPRFDDPYM APPRPFGRPRSPPRGGYMPPYDRRPYW ACHE_20970A MASRGAVLPLLRRELQCSPRITRAFSSFSTIARPARAPKSNTLT SRRSIRHTALLQGQHQIRAFSQSISRKLTDEEGRFDPRQVERESDEVDVCIVGGGPAG LSAAIRLKQLANEAGNEEFRVILLEKAGELGAHIVSGNVLEPTAIDELLPDWLSEDNP SRFEGATPAGDDKMRFLTKNSSFPIPAPPQMNNHGNYIVSLSQLTKWLGERAEEIGVE VYPGFAASEIVYNHDGSVKGVATNDLGVGRDGKAKDSFERGMEFHARVTLLGEGCHGS LTKQVIKTFDLRRDSQPQTYGLGVKEVWEVQPEKFRPGEIVHSMGYPLPSDTYGGSWM YHFGDNMVSIGLVVGLDYPNPYLSPYGEFQKLKHHPLFKEVLEGGKCISYGARALNEG GFQSIPKCAFPGGALIGDSAGFLNVPKIKGTHTSMKSGMLAAEATYSALQGETPGTVF LFDYESALRNSWIWKELHAVRNMRPSFSTPLGLYGGIMYSGLEAYVLRGKGPWTLKHH STDAAATKEASQCQKIEYPKPDGVLSFDIMTSVSRTGTNHEEDQPVHLQVKDWDKHKD VAWPKYKGVENRFCPAGVYEYVEDPSKEHGVRFQINAQNCIHCKTCDIKVPTQDINWQ TPQGGEGPKYSLT ACHE_20971S MDSLTTHPSNAQQARAFTSPASLSFPGGAGDATTPPSSEKDGVM AMGSQGANGVMNGQQHGGQAANGNGVMPATPAATPGANAPGSGIVPTLQNIVATVNLD CRLDLKTIALHARNAEYNPKRFAAVIMRIREPKTTALIFASGKMVVTGAKSEDDSKLA SRKYARIIQKLGFNAKFTDFKIQNIVGSCDIKFPIRLEGLASRHHNFSSYEPELFPGL IYRMMKPKIVLLIFVSGKIVLTGAKVREEIYQAFEMIYPVLSDFRKV ACHE_20972A MALPKNILIVGGGVFGLSTALSLSSRHPASKVTLLESSPTIPNP EGSSVDTSRIVRADYSNPVYSKLGVAAIDRWRNTEWGADGRYTQNGLMLVYPNGQGNG RDYAMKSYKNVKELEGDKVELLPSKKDVLRAAPAYSEELDVEGGYVNWGSGWSDAGAT VAYAKQLLDKEGKVVFKTGEVERLLYDEKEKDKVTGVVLSDDTTLTADLVVLATGAWT AKLIDLRGRTLSTGQALAYMQITDEEQERLYNMPTVLNFATGMFIIPPRDNLLKIARH GYGYHNPVTVSVPGPDGKGTMQVSIPEKGVPIPLEGEQAFRDAMKQFLPDLADRPFVK TRVCWYTDTPEGDFIVTHHPTSKNLFIATGGSGHAYKFFPVLGDKVVDAMEGTLELEL RDLWKWPEAKDADVFEGTEDGSRSGQKGLLLMEELAKSKRESKL ACHE_20973S MTFMGIGMLTISTYCGFLYASYTRQVNQSQSLTVPQDVSDRYNH TAATFDADVDMSERLMRLGRKRHDLIQMAKGNVLEVSCGTGRNLAYYDLSQMQGQGQG VVERGQGPGQGLLKGCRSVTFIDLSPQMVEVTRQKFERMHPRSMQRVSFAVADAGAVN PSVAGAAAAAASAGVATPRYFDTIVQTMGLCSMPDPVGTLRHLGSITEPQHGRILLLE HGRSYYDWLNRMLDNLAPAHADRHGCWWNRDIGKIVRDSGLEVVEEKRWHFGTTWRYV LRPRPKSG ACHE_20974S MIQIDTTFLQDHTIAMQLPVQGEVYFTLQQHSESISSVIVANGT VIARGPSLQERPPENWISALREMSERLGRDKDDGIPQDYSTEASPTAFGSLPRSSLTS SEPFLTPTPSLAKSDLGLKRMKKLQDLLAMLFNHPISSVEDHNQQVEGLYSGPRIPAC PDVSIMQFAEVLGRLGNVSSTVGNVYGLLSWQIFKMEEDRLVHDEGLSPNFAAKQVTI VSSILILRYILLTLRQMNKKMAEVLKRRGKAKDWASDARRAVTMVFGPLRNASICVKS FALILLASELSLSFMLQIFCITD ACHE_20975S MAESTTQRTAGSAPKVQLSCEMCRQRKVKCDKLSPCTNCQRLGA ACIPVERARLPRGRFRKSKAADRVPERGSADATDELKGRMERLEGLICTLMQDNGAAA NTIVPVMADAGILPSPCDTESRSDLPSPVGNDVRGGGGLVAQKPSTYLGNSFWEGLMH VQNRSLRNLTDQGHDGATFAPYDILVHGLTGRALLSPLCSNTEPWATPQLQQHLCHVF LTQVDPVFKILHQPSLRALLIEGKPYLHYGDHHPAPEALRCAVYYIAACSITEDQWPP AIGASRASVIARYQRETEAALVRAEFVTSDDLTVLQAFVLSLIGARSQDRSRRAWTML SMAVRVAQALSLHVPDPPFLITPFEQEMRRRLWGAIGLLDVQASLDRASEPMILRRWL ELHAPLNVNDDDIRFGYEIVPAESDSFTDSTFTLMISKAQCAVRSLTEPGSQYMHIRQ ACVADFQRTASQLLRSCQPDALPFHWYALQVADYIAASMQLISLRPLQRTPGITPPHV PGSNVLRLSVDILQKKETLHSDPRGHPWRWFEGIFVPWHALAVAIAELCGCEDAALIE RYWDTVEGAFERFGGLVADEKEGMLWKPMERLMGRARAKRDTLRVVFTPESASAQPQS PFLPVMAPDQNQHQRGMGLAVTPCSSTSGSIGVEPWANVPTVWDGVDFGDVGIDQLSW TNYQDFMEDMQR ACHE_20976S MSAQLSSRTITTAAATTAVAVGIGAYSLQTALAETNQPPMVFGK TGFTSLRLHSTKTVNHNTKRLVFEFPDKDAKSGLALTSSLLTISRPQGSWLPVIRPYT PISDFDNPGYVEFLIKKYPSGKASTHLHSLQPGQSISFFGPIKAYPWAPNKHSHIYLL AGGAGITPMYQLIQGALKDPEDKTKITLVFGVNTEEDLVLREELEGFKKRYPERLETV YTISDGKTEGKTFEKGRITEELLKKVMKPKGSEEDVKVFVCGPPAMETALIGSNGWFG GGKGILGQLGYTKDQIFKF ACHE_20977A MPKLTPTERGIAIYNPLVLQIYDYWVLNIVNTWAWRCPTKTHLL PLFVSNIGSNHLDIGVGTGYYLANGTIPQTTRLTLCDLSPTAMAKAKARAGREDAREL LCDVLKPLPVRAGEKFDSVSMYFLLHCLPGPVGNKICVFEHVKGVLKEDGVVTGATVL GKGVKDNFFGGLIRRFCVYDGIMSNETDDVESFVEALKANFEVVEVDVIGVVLVFKAM KPKL ACHE_20978A MDTPSSSADVVNDHHYRLVYFSNELPSDEQIGAHLRRLQALSKD RRHPILKVFLDDATEVLREELRKLPSHLLSLIAPFESIIDFAYQFGLRTGPFGGSTEG VSTCLIQLGTYISYHECPTNEPLTSPHGTLVALGSGLLAAAAVSFASRLPDLVKLGSE AIRIAFRMGLRVDQASQRLETRRAEGPAESWAYVFSELSEDEVQKELATMNASLNFPA PSQIFVSAVSPGSVTISGPPSRLKTLVRSSELLRYAKWASLPIFNGLCHTSHAYTHAD AEDIVSAMDLPTNSSYIPHAPLLSTRNGQPFAAHTPAQLFEDVIFELLTGTIRWDTVT ATLHDRVALHFVPEVVVKALGAPNALKGFNEAVQAHADPVRVITEDVLAQSLATPLPV RAPRDPKDSKIAIVGMSCRLPGGAVSNQSFWDLLEKGLDVHRRIPADRFDVDTHWDPT GQNPNASKTPFGCFIDDPALFDAGFFNMSPREAEQTDPMHRLALVTAHEALEQAGFVP NRTPSTNIERVGVFYGQASDDYREVNAGQEIGTYYIPGGNRAFAPGRINYFYKFGGPS FSCDTACSSSLATVQIACTTLWSGDADMVIAGGVNVLTNSDGFAGLCNGHFLTQTGNC KTWDAGADGYCRADGIGSVVMKRLEDAIADNDNILATVLSAATNQSAKAVSITHPHAG AQASLYKRVMDRAGVDPLDVSYVELHGTGTQAGDATEMESVTQVFAPMGPRRRTEPLH IGAVKSNIGHGEAAAGIAALIKVLLMYKKRSIPPHTGIKTELSPVLKKFLDKKRNIHI AFEQTPWKPVYNDTRYAVVNNFSAAGGNTTMLLEEAPVKEIQDDGDDREKHVVAVSAK SKVSLKGNLEALLAYLEENPETSLSDLAYTTLARRMHYNHRIAFSASSIDHVKKQLRY HIDSEEQVRPVPSNPPAVAFAFTGQGAFYPGMGAQLYNSVPSFRDHITHLDSLCQQHG FPSILPVIENIGAETQEEPAPIVTQLTITCLEIALTKYWASLGITPSVVVGASLGEYP ALHAAGVLSASDTIFLVGQRAQQLEKHCQLRSHGMMAVRASVEQITECLGDQPYEVAC INAPQDTVIDGLQADIASAREKLQAQGYKCHQLDVPYAFHSSQMDPALDSYEQIASSV TFHAPQVPVISPLLSDVVFDAKSIHAQYMRRATREPVNFVGALQAAQEIGIIDHNTVW VEAGPHPVLVSFIRNCLPEVKRLVPTLRRNENNWNTLADSLAGLHSDGLTLQWNEVHR PFEASLRLLDLPSYSWNEKRYWIQYRGTWTLTKGKEVSQPVPSSAVSTLTTSSIHQVL QEDVTGSTATFVARSNLMHPDLFEAANGHRMNDCGVATSSIHGDIAFTIADHLYKKIT NTSAPGINITNLIVLQGLVAQKFSKADQLFELRATADLTTSPATTTIHWYNVTDGITS PEHFASAVVEYGDANVWLSQWRRLSHLVNSRIASLERMATEGKAAVLPRNMAYRLFNN LVDYADKYRGIQSAIMHEYEAMANVTLTTQGSGTWTVPPYFTDPVAHLAGLVMNGSDA SNTIDYFYVTPGWAGLRFAKPLVKGGKYQSYVQMTPIEGQAGFWNGDVYVLQDGEIIG LVEKITFRRFPRSLLPTFFSPPDVAKPLHAAAPAVPVKPAAPAPVPVSAPAEAFKSAA PKTAAPVPAPAPVPAKRAEPAPAAAQAAATQNPTITGALDLIAKESALELSQLTDDAA FATLGVDSLMSLVLAEKFTSQLGIEVKSSIFMECETVGELKTYIEETFC ACHE_20979A MSRKINDTFDACFWEEYLESQGSRLPPLPDVQRITPRVVRVLAG NPGGFQLQGTNTYLVGSGREKILIDTGQGLPVWIDHIAQVVAENDLKIVAALITHWHG DHTGGVPDLLVHFPHLKSAIYKNQPDRGQQDILDGQVFQVEGATIRALHTPGHAVDHM CFVLEEEQAIFTGDNVLGHGFTVVEDLGAYTNSLGIMNDQGCQIGYPAHGVVITDMPA KMAEYRDQRLRRERQVISALRESRQKNAGRGSITVQEVVQSVHGTVPEDVSKKALEPF MQEVLLKLAGDRKVAFELQGGVKRWFIVGNRV ACHE_20980A MFFNKLDDISKETGKRGWIDLPCLFQYYAFDTIGMLAYGKRYGF IEQNSDINGIIKSTRAILDYTSYVGNYPLLDKATIKNPLMLWLGRMGFVQMTTPLIPF AINSQAGRLAEMRENPERKDTNRDILDSYLALHRAKPDVVTHDIVLELGVMLGFAGSE STGVALSAFLYHVTRHSDIYRRVRNELASHIDANTSHVSYTKAQSMPYFDACVKESFR IHPPSGFVMERVAPPQGVEIAGYKVPGNVIVGCNPHAVHRDKAIFGEDADVYRPERWL EASDAQAREMWKGMLHFGSGSHTCLGRHISVMEVYKLGASLLHNYKITLDQPERDCEI TVGQFVRLNYRVRVKRLSKE ACHE_20981S MQYLDSLNKIYGPLVRISPNEVVLSDLQATKDIHRVGTSFRKSD WYSKLTTAREHNIFSLVDPKAHQERRRLLSHPLSEGPLRELEPVVLENVELAIRKIQE RMDTDGSADLLKWFFFMATDIIGQLSFGESFRMLEYGKETQYSIDLKNVTFYNALLVE IPLIMKVVSAIPCSFIKNITSAAARRTAYAENLLNRYRQYLKSNPDCEKPMLLSRELK AHDAGQISHDVIESDAEAYILGGSDTTAITLTYLVWVVSEHPAVQQRLIREVRSLPDG FKADDLKKLSYMGQVIEESLRLYGAAPGDLPRAVPAGGKRLCGHYIPGGLTVTTQAFD PSRWENPTQDMKDAFMPFGAGSRICLGLHLARLELRMTIAHFHRAFPKGVRLTDGMTD GDMEMENYFLIQPKARRCKVARA ACHE_20982S MALSMTKTILVTGVNGYLASNIATTVLNAGYRLRGTCRSKERAS RLLNGPWKPYADRIEIAEVPDLCEVSGFNEAVKGVSAIIHTAAPVIGPSITHASQLIK PTVKGTENLLNSALAYAGSQLESFVFTSSAAAVITPGAQPPHTYDDNSWNKIHPLLLK DGVEDISVYEAYPCAKIAAEKLVWAFRDTKKPPFAISVICGSIATGPPIILPETTDKL PLTLAPVYNGLIGKDVPGPQDAIVKIAGATPYVDVRNLATIYLWCAENTAKADGERYL VVAGHGPKQAILDILNEEYPERRGVILKGSLDQRYNKDWTFDEGGVGFNSTKVKNAVG LDWIPYDQSIIDTAKSLEPLLEAEKEGLLV ACHE_20983S MLKLPVLVSLPDALSSLVPPDAERKPYPSWFEPVFYLSCAAVLL QTSRLVRVSWYLGVMLPLATQMLQYTKGSHIENYIAGLTIPASLVRVLDLALCHEPQR EFWKIRGGTSKKEDKSMAWPQGVFARARWAFELLVSSRAIGWNIQAKNVPKVPKQGYG KFHFLCKRLTESIISFLIVDGVTYYRRTVVAPMVQSQTFWDIPLEYQILISWLSGYQV YNLLNMQYNLAATGTTVLGICELDDWPPLFGSFLPSNLWSVRRLWGSAWHQMMRRACN FSGGLACDLTRAKRGTLRRRYIDLYAAFGFSAVIHTVGSLCLRSEEHAFYQGVFYVMQ PFMITLEDFVIYLGKSVGMQQNRLTGYVGFAFVCVWISFCFRYMAAAETACGWNLENP LPFSVAAQLHRYYQALH ACHE_20984A MILPITLSRHEKELTSYAMLDTGAEGKRFVDKEWAQDHGLKLLP LKRPIRLETFDGQEAESGPITHYVQMHMRINDHQEKRACFLVTQLAHYPVVLGLPWLK IHDPRIGFAEHTVLFDSKYCQEHCNVPTRPAKIRALHDIPRKTRPKHLPARPEGLEHQ DIAAISLSACSAYARKNYRMFTVTVKDIEAALNPTPDEEDPTTKLPPEFQDFADVFSP KEAERLPPHRPYDHDIKLQEGKVPPFGPLYPMSREELKALKEWIEENLKKGFIRPSSS PAASPVLFVKKPGGGLRFCVDYRALNAITVKDRYPLPLTKETLNNLKGMKYFTKIDII SAFNNLRIKKGLEYLTAFRTRLGLFESLVMPFGLTGAPASFQRFMNDTLRDYLDVFCT AYLDDILIYSKTREEHIRHVRLVLEKLRDAGLFAKLSKCEFAVPETKFLGIIVGRDGL RMDPDKVKTIVDWETPTCVTDVQAFIGFANFYRRFIKDFSKIITPLVNLTKKGIQFKW DTTCELSFNALKKAFTTAPVLRPFDWNKEVILETDASDYVSAGVLSQYDDNGVLHPVA FFSKKHSATECNYEIYDKELLAIIRCFEEWRPELEGTPSPIKVITDHRNLEYFMTTKL LNRRQARWSEFLSRFNFKIIYRPGKQGAKPDALTRRSEDLPKEGDERLLHQSQTVLKK ENLEPAPDNSPVTLNATTRARDHSAESSVENPPRIPAQTRRVRFADETNHDVPEPPQD IKNLLDNAYSVDETVLSILEALDKDATRHPQITLADCQRRGKYLFYRNRLYVPDNGEL KAELLRQCHDKPAIGHPGRSKTYELLSREYYWPGMYQYVEQWTQNCHTCRRIKPSREA RQGILRPLPVPERSWQDISMDFVTHLPPSRGYDAILVVVDRLTKMKHFIPCKGTCNAE EVARLYAYNVWKLHGLPQTIVSDRGPQFVAQFWKHLTRRLQITNLLSTAYHPETDGQT ERTNAVLEQYLRAYVSYLQDDWSEWLPLAEFAANSARSESTHVSPFFANYGFHPRMGF EPVLPTNRPARDAEEFACRMELITEFVRTAITSAQARQEEQANRKRQPARRYQVGQYV WLDSRNIRTLRPQKKLDWKNLGPFRIVEIVNPHAYKLDLPASMRMHPVFNVSLLRPAA GNPVPGQRQEPPPPVEVDGLEEWQVEDILDSRWERRGRGDLV ACHE_20985S MPYNTRRKSLSLPSLGIHLPSSSRRSPSISTTKIPTPSSTEDHH QLPPSKKVKRSHDSLSVSPEPSSQQSPAVRAATWEHTPPPSPMDDGLAPRIDTEGIND DIVVGVIHQLEKTANRPHLVKELAAILYTLNDHVANSANPAALLSSRLSAYMKRSWSA LAPCPIAKELIPVHPRKVYYYLTTMPRQPLPENSDDIMIPSGMVGKQMTPSVTSVDQD DEDIFTRQRSPSPEVDLSSPDFEDEHVNLNGRPDGAARSASDFHAHMRLMHSHRAASP PLEGDEREFTQTASAVRERASEQKASQGQTGAARSGISEGLSQMDGTNVSTSHLDDSP SSSFSGDRMSDDFEYGDYFSHSGALDHQSPAPKQQQGQDDDAACALLGTSPSPSLTSV ASSLSSSAPSDTGLDTEDRPQIALPEVAHMSPLKRSIDMLNSGLPDVDIRMSDLAESE DKVAIKARPSSNMDVDTAFDSWRELQSPETVEVDELDEMFGEI ACHE_20986S MEPPTASFQHKEKDAFVLSRNEMPLHQADRDTTILPTSNVPALP GTAASSISSHRTNTPHRPQITSSNSGTKQLYSNGKVPIPRQRATTAPRYSRRVPRACQ SCRQRKTKCSGDTPVCRQCKELRIQCDYPVSWREKTNRQLEDLSSKSRDYEELLKDIE NIVDSSTSERIKEALDKHAAEADRSSNERQSQPISQEDIMDEHPDDASSPSSIGSLEA IERVEEDLNRNEDTRATGYMGKNSEVTWMQRLRREAEQRAKKEPGTYEPDADNESEYA LHAVNYHLDDMEVSVPGPVQVYQVPPRPLADKLFEDYLASVHPFFPIISRPLFTMQYQ LFYDQSGRPGDKWLAILNVIFAISAKRAHLKQAPWQGEEHDHLVYLTRARILSMNGDV LFSHPDLQQVQVEGLIAFYLIASDQINRAWRIAALAIRSAITLGINMKSTSDFTASIS KEARNRVWWCLYTLEHLLGIMTGRATCILDGVCTTPLPLPFEGNQLQEPLAAKLLKDL SLREEFVGNAIASSFIHLISSNPPGGKDAKYTDTPRDVTWLKSLPPNNALCFLYYADL AIISQEIVNRVYSPDCAMVPWSHIENRIGVLRSRLDLWFANLHPAFDFTRKEDEGPDL LRAKLFLAFHFYSSRITLGRPCLCRRDAHQSGQGNEKPAFSHVMAMISLDSATKMLDL IPDQADAIQLYEIGPWWCVLHCLMQSVTVVLLELSFGSIHIPEEEQNLLTTAKKGVRW LHSMSKFSIASRRAWQLCDLNLRKIAQGMNYDVSDMPTFDYGPGEVTNGHKDQANQLS DSFITNGIDKAAHQHNGQLPHGTGLQPGAPDFTLMPNGPGPGMGLDGNDIYFPYDPIN GDFVRSFFPTFHTDENQDDWGF ACHE_20987A MKRCLCPRQLLCRSRRSSCSLHRPAIVFRNRLRSPLAGGPSSQW SRPFSASAASAAKHSRIFEDYVTGVPPKCPVYGNEQQKNPNSWIPSLDKYLTPSLREG ETEDLYARAYGLATILSYARFYGQLDLLGYLGYGLNRWSDVHTLLNVLLDAADATRHP TRVSLSSLDWGSDAGFTLERLTRHAPSLKNVKIKKSPDSSNVPKFRDLTQRSFANDFS ELLMSQVWQSLGSIILQAADRSPDELKLGMSFVFRTLARLHHSGAISDNIYKCATPDP NTATYRPPGMHFLSTHIMSVLSETAWGAHEAEVAAKAAEMGVESPYVPFKMGVRELGP EIWLELILWCCIEHGYVREGLWLLEQMKTRTDYLAWNFQSWESLLRDQGTAVVENTNI DTEQFWRRPGNDASVQQQTGQKRNTIFHGLAKRTISTEVVASLRDCFVNFVYRGLGYR GLSPTELSHVISRVNALIDTPESAKNKTELQPTTREHNWLTARVLQSAGLDINADPHS MGRFLRGSPHVVGPWNNGPPLVEGDLEWLTPSRLYDETCAFQGLTEYVVRSYAVQRQA GLAFSTFGFLQEAIDTSKSRHIQEFLKRLQKSDPNDIPVADKRDRDLGHGKPETSVPQ VSNVTYAQLVDLATIAKAYPFANWLLFSNDLDGPPIRRSEYGNQTLAPSLLRYAGATG NIGLQKQVVSSLTQPYSLNTLRALVSFRILTGDWDRVILMLEYIRNHRLKSWGHGNIM LLAGSILRMERALHKPSNHATLEEKQHSLTQAKTILTRILNGDFNDLSQRTGNKAQLR TLRSIYRIFLSLPGPLADIATQSTFHSPNHHHPSYKSRHSIPFISPIAFHYLLSAVVD TQGSAAGKSLWEKWCLEPSHSPKHTRYHEGGIWRLYEAAERDHRRGDPNFNASWRRQL NEKVVSPNLNTVRVIAQGAAREYARFTQYLASLELAAVDALAAGRGLPSEPSALPDSV ENREKKRDIEKIMEWCVRQFQVLRLPEEEINREVFDHLKRMRTRERVRHREEVAERER EKERIRGFVEAQRLMEVERGRAGVRQT ACHE_20988A MKAFAVLGAAALCLFATVTAQGSFSSVPKPSGTATPSCLPPYAS MTGGPSLSASPSLPPCSETPSSSAKDGGPTSPAKGFERVHARQIKRVDV ACHE_20989A MSQDTGLFSIKRPRETLGSVQNFSALPQPSSALKRTSSIGGFNA PFTSQHTRMSLMNSASRPQQPNFTRSSSGGVFGADAGLSSVRRSVSSNMFHGPSVGRP SFAPGQPMSSSASQSLQRRSSVFSRPSMGGPMGHQSFFTQTPSVAGVPRDPRPIRDRS FQARIGQELLEYLTQNNFELEMKHSLGQNTLRSPTQKDFNYIFQWLYHRIDPGYRFMK SMDAEVPPILKQLRYPYEKGITKSQITAVGGQNWPTFLAMLHWLMQLAQMMDRFILGD YDEACAEAGVDVSGDRIIFRFLTGAYHDWLQGGEDEDDETAGQRLVPHVESMAEEFER GNEKYVQEMQALEAENRSLRDQIEEMEKSAPDMAKLDKHFRILEDDKRKFEDYIQNVQ GKIEKYETRIKFLDEEVKKTDTDLQAAEEERISLQASVDRQGITIQDIDRMNTERERL QRSLDDTMVRLEEGNARIMEKEAEASQKLEDLEEIVKAYNTLGYQTSLIPSSAVNAKG VDYELSLNVNENSFSASQIGGANRISTEGDRLLADPFTGYHPAHLLNLDLRGIIRSNL QTLRKEINERRKNAIDDDMERRNLLDNIKEAMDEKRSEVEALEHRRRAAEEEFERTKE ITTTQKLASDAQIEKMEKELAKMRATLSESVQLMEQREMNTNIEYEQLTLRANALREE LHTNVESMLNDVIRFKVHVQKGLEDYESFVVDEVEQELGGDLQPADEMDFDE ACHE_20990S MAREELISSAVTFLQDPSVASSPIEKRVAFLQSKNLTQEEIDLA LSRAGEDPSAAASAVTTTSSSQGYTPQQAAYRPPPPTQGYGYPPYQWQPPPPEPPKRD WRDWFIMATVMGGVGYGLYTVAKRYVSPLVAPPTPPQLEQDKKNVDEEFARAFALIEQ LSTDTAALKSAEEARTERLDTTLRDVESLVGDLKNASRRRDDETRRISDEVKTLKDAI PKALQGAREGNEQRLKELGTELKSLKVLLGNRIGGGAGGASSPVAGRTVGTNLPVASP RPAEEQPTTPPPTTNGATTPATSAPEPQQPQQPQQPPAAKSPSPLSQLGRSASIPAWQ MAAANRSKKPDEANSASNTTSNAEQQQPQQEQSAPAS ACHE_20991S MEQDTRPRDYRHRDRDTHSQPHSRSRSHSPDRSHRHHRRRRHNR HHRYRHHRSTRNDHENDRHDRHDRHERRDHHHPTTTTKPPSDPIILPFNARELSKHDL STYEPMFAMYLDIQKGKWIDDMGEEEVKGRWKSFVRKWNRGELARGWYDPSTLEKAHH GADESRPPPAWSAGKRASPGYEGGAGIEKDGMDDVDDDEDDDEDYGPNLPSGLHVTKP SGPSSGPAIPTMQDLELRKESAIEDAIEARHDARKQHRAEVHSHKSEMRQIEDEVAPR AEPGTHERRMQKRQEASASNRAFANSRRGGSPGAAAPDEVLMGSGENDLAELKKEQEK LQRKKNEREIRREEIMRARAAEREERVQQYRQKEEDTIGWLKTLAKQRFG ACHE_20992A MTAKMIDFSPTRSPAHQAVDAIHGFVPLVAGLFYLVSAAILAFR RDRQGEVRRRPSRAVALWPALAIFAAYAFETAVLFYLTYPGRAMGSLDEIMYSFSSSF SWFILLLDFNWFHKSQALWYPLGGCSAIYLIAETLLLAFCSPSVAPVTILNAIRIFRL VILILLVGIVAISQAIAIHCRPVDEERVPLLQDDRTRNLDNAYHYYGSISRNPVRHPQ GRDYQGGLEYFNRQITFLAPFFWPGSRPYFRYFGIWLCLMVDRVLLVLVPLQLGKVTD ALTRSGTFSNGDIAMTIFVCVLKFSKSPAVAQKYLWVAIENHSYSMLSKAAFDKATTL ACELNRKRNLDRLWESVNRGLSARHVFRAIISHIIPTAIDLLVGVCVLYYIYGAYMAL VLATVVALSIWSSREIYRKLQVKQRRFIDDMNREQHILRDWTSSWQTALYSNRIPYEE TRYSLAVRDHLNSSSRLSLWFCFDSVLQSAITVPGLMGGGLLAAFQVSWGVKSLGSFI MLLMYLTPLGLHLQVFVREVRDVLLGLADVDELLKLFGRASNAPNHMAVAPLCCDQGA IEFEDVQFAYRGQKRALKGVSFHVQAGQTVALAGATGSGKSTVLGLLLRLYDPLQGNV RIDGQDIRHATLESLRSSIGIVPQDPVLFHDTIMNNVMYANFSATEGQVYDACKAVAL HDRFVSLGDGYHTIIGDGGVKLSGGELQRLAIARVIIQNPKIILLDGATSSVDSSTEA LIQRNLKRLWRGKTILITSHRLSTVSKADNIIVLRHGRLVEQGSHSSLLRTNSYYRRL LSRQIKEKLSPAMIESTGPAQEQRATEESVKGKVATQQMGDLIEMDDSRSVTETGPIL AVSKMRRMRSYKKVGSPDVKENSRSVNNEPWRRSPLKPDAPVFVPLSQRAALRKADES DAENCLADTENMRETSGATIGNNSSAGYSLENGKDSKRKRHDGSRRASSVLRQSSNEV IFAYPKKARKRMGSKRFPILRRERTESEPIGIGLMTDTYEQ ACHE_20993A MHILVTNDDGPPSNQSSPYIHSLIHTLQNAGHIVSVVLPHQQRS WVGKAHIVGASVVPTYFRPGTLHEDDGTVHHLPRGCDPAEDDDEGEGDEWILINSTPA SCVQIGLYHYFQDRGPIDLIISGPNYGRNTTALFALSSGTIGAAMEGAVCGKRSIALS YAFSSRNHDPVVIAEASRHSVKLIEYLMNNWADNVDLYSINVPLEPGVSQCKTVYTEM LDNRWSSGSCFTAVDPLAAQQDPDTQEKRLREQGEKTGTAPDVPAPAPGKRSKHQHKH FQWGPNFGDIFRSVEESGPGNDGRAVREGMTSVTPLRANFMHTPNIQGEIKLPDHGSS AALHYVVDCDDDYVQDLMAQALQSRLGTTTCRSISSLLELPKRNLPVFQYREYERLDF EHVMMHTSTSLANAYIIRKALIRKHYLSNTVSNWVSKHPDSLLRNHFKFGFDFELDYA EFLDDALLEAYELRDSLGKNEQRPDSEKEWWILKPGMSDRGQGIRLFNSEDQLREIFE EWEVDESDIESDSESTREQAEKAKDEEEGKSGDQGVVTSQLRHFIAQPYIDPPLLLPS SSNRKFHIRTYILAVGALKVYVFKEMLALFAAKSYCSPWEAEDEVIDLARHLSNTCFQ EGGSANEGSVRRFWKLESEVPGLSSDWKEKVFDQICAITGEVFEAAARGMMVHFQTLP NAFELFGVDFMVDEAGTVWLLELNAYPDFAQTGDELKEAVVGRLFEETVDVAVKPFFG LEEKSVDGTEHMKLVANLDLGRNF ACHE_20994A MSDYTTDDELNKGNSSSSWGAMDALISRLRPLASKKFQRAYMGI FLFIITALFMIGVSSVAYFIFYYKFIPQVELQRPVHLQFGDGPPWGTAPLGTELATLQ PYDVTVQLEMPRTPSNLAAGNFMLDLSLFSHRSISAKNTSRELIARSRRPAILTYASP IVDTASKVTYMPLYLTGWRREAETLEVQMMEQIEFPRGWRNLPENLRVEIHSDEKMQI YNAKVEFRATFTGLRWFVYNWKITSFFIFSFVFWSISMVSTSVVWLVLAYMFSTDHET RETERGSTPIKEESDDESADPAGSPPSRRDQPPEESETDDDSKVDVKQEPSPITSEAG DGNATTEHVGTGTGLESAEARGVQRRRSHLFKEEDS ACHE_20995A MTFPPPPPSSQSSIASGTDKSSSTRPTRAQQSAWGPSSASQSNL RRGLTPLATSNLTSSSIPSSTSRGLPQSSSPGPGASTSSPLTSSFSAVLSSTRGLSGG RNAPSPASTSSPFAAFQSGSQQQHQQQHQQPGQSVSSPKVRAHTPSGSHLASTAGSIV GGGGIGGGGGGTSSSRGTVFSPLSTTVNSPTGFPSDKPGSSAAGAAAHASQSSLTKIS IAQVFLLLDSITEKEGKEKWETKAAQIHKLVESNGMEVFSKYFRRLLTGNSPQIFPGV NKSVENAGNYPLLVQEMQKVCQDIEQAQKIAETIDSSEGDIFRDFDLSTFLNHFKLDP LSKVALALAFKAANKSDLRAKADAILSNSVTPFLQTLATPSELTKDHTTSFIGMTIER FILYPPRNFTDDVKAKLVYAANLRYQKLAMDMPFEISSSLQMFNFINPRYTLVRQLHS KGPKATSNPDAVSEVVSISGPDCWNEEHIASALLFMVLSQYWRQFSLETYLSAVQSHY GEQQINWPLVLRHFDREGLRVDPKQFAKLYAPLSALAAENPSLDVQKLWGGDWEHRDT QISFLRAFIASRTDVSQIPSLRATFPVDFFADGPELVRLQGERAAKSPLRSLDAMKAI FDLVLFSQASWAAAESQILIKTLVQYDLPVFLCSALALPQPWTAVQQSFVLRTLIVFI LKQEEGYQLALHGAWRQDRQWVAEQLFATFTQDPTSTAAIYEHAMEYGWLDYLLGYTN GLAMDLACYAHRKGPFDLAQWVRNAAQKGPMDMGSLLSKFLRIKAEDELHVQRKEQLA PQMVSLSVKTVYALLSVLEEFVGDRENLTPVQRICIQTYPRLINYGEGFDDIIDANGE NGNSLPEAVDKQMQELFGKMYHEELSLREMLELMRRYKSSKEPAEQDLFACMVHGLID EYHCYHEYPLEALTKTAVMFGGIINFRLVDGITLKVGLGMILEAVREHDMHDPMYKFG VEAIEQLINRLSEWAGFCHLLLQIPSLHGTPISQTAEEVLREQGAQARDSEVDGLVNG NLEEPAGTDGVSRKFRSVNVGPLLRPEIYQDPDETVQDKILFVLNNVSDNNLEDKLQD LTEVLRDQHHQWFASYLVEERAKLQPNFQQLYLDLLERLDSKILWAEVLRETYVSASK LLNSEATLNSSTDRGHLKNLGAWLGSLTIAKDKPVKHKDIYFKGLLLEGYDSQRLTIV IPFTCKTLVQATKSTVFKPPNPWLMDILALLLELYHFAELKLNLKFEIEVLCKDLDLD HKTIEPSVIIRDRSAHFEDALSTANIPDGLEAFEDMALSGIGQSVRNERLSPAAIMSS LPSLDKILVLPSSASSMVDPNVLRQIVHSAVERAIAEIITPVVERSVTIASISTVQLV SKDFAIEPDEEKMRHAAGVMVRQLAGSLALVTCKEPLKVSMTNYIRMIQQEYSDQPMP EGLILMCVNDNLDAACGIVEKAAEEKALPEIEKVIEPQLEARRRHRATRPNEPFIDPS MNRWGLFIPEPYRQAPGGLNKEQLAIYEEFARQSRGPGAAHVQNVSSDSGRQLPDILQ ESYPAIPNLSTPAEQPAVPHRTPQAQQEARLQQPGLVGAQPQLNGFLEAQSPRDKVES IVSDLQQVARNASEEHVKDLGRDSPVLQEYNQALRTILSTPNGDELARMTSLKICATL YSQTQGTLEIEVLVHLLAKLCDMSSIVARYTWAVLSDIDDEHMFNVPVTVALIDAGLL DIRRVDMIISKMVLQKSVGALELLGSLMDRVLFSEEPSALRSDFSGSLEAMSQWLVED ANIAPANDIIRKLRESGIPETVNAFLSDTARSKRDQMEYIFTEWIGIYKAPGATDRTY FSFLRDMHQRQVMNNQEDSALFFRLSIDISVAMFEHESQNPGGSLDEAFLYIDALAKL VILLVKFQGEGTGAVKANKSVYFNSILSLLVLVLNHHQVMRGEAFNQRVFFRLFSSML CEYSMNGLQHIDQHRDMMFAFANKFLSLQPKYCPAFVYGWLSLVSHRFFMSGMLNMPE RAGWGPYCELMQALLFYIGEQLKPANISYVAKDLYKGVLRILLILHHDFPEFVAENHF QFCNVIPAHCAQLRNLVLSAYPSSFHKLPDPFREGLKVERLEEMREAPKIAGDVTAPL QRANVKDVVDSALQGSSVSDSSVLQICDAIYNPTTKETGLFYGPINVSVVFVNALVLY IGQAAISSNSSKGNTRAAFDNTIHSALLEKLAKALRPEARYYLLSAMANQLRYPNSHT YYFSFAILRLFGADNSDQDESDIRQQIIRVLLERLIVHRPHPWGLIITLQELLQNRGY SFFQLPFIQAAPEIGRLFDALLQHIQQQSPRALA ACHE_20996S MPGTVADGPTVSLSFANNFWGKEDAGVPPMLDRMHNAKVTCDEL KNFYNLRAAIEDEYSRKLLALCRKSLGAGETGSLRSSFDVVRTETESIGKAHAAIASQ MKRELEEPLVAFAGGSKERRKIIQNGIERLLKTKIQQTQTVNKTRDRYEQDCLRIKGY LAQGHMVMGQEERKNKAKLEKTQIQLASSSSEYETAVKILEETTGRWNKEWKAACDKF QDLEEERLDFTKSSLWTYANIASTVCVSDDASCEKIRLALEDCEVEKDIVSFIKERGT GQEIPDPPKFINFCRGDINDTSSEASAEEDGYSVAQFQRTINPAFRSSSPQPSTYESH HDPSAEIANMVGQIEAPPQASREASITPQKSTQQPAPLDLRRGGQPPPNYDPNQHGEI AKVPHNAYPTDGMTMFCRTGPPSERSSGTNSGYRPSSRDSQSELSNPTSMSSQEPSSA RQSPTKPTNGVALPGISPDKQVQKKRSAFFSNSPFRRKSRHDKDRSSGPSQPPSRSAW DSSSKQTSPVKAAPQPQPQQQQQQPPPPPPQQQLPAQSQPQSPAVMGPGDRAPSPEPV DPRANFQLNVGNNVFDVASPEKKKSLQQQSKSADDELDPIARALADLKTSGKSPSSRV SADRYHGIASPAPSTAGPPPAYNDSSMKRLDAPQPAHTSAQMQRTTQKYIGQGQNMFR NRGSSPGPVSRNSVQSQDSARARSPTPRRSASPNMSPRVDTRMTQYNRGTSPSPSPYQ SSSMRSRYSQSPSVATPSQRPGDVAYSPREYAPRSPNMAPRAVSPQPQYRQQSRPSSA GGMELQLSRGDMYGGGSGRDAYSARGRDTARPISYYGDAGSTGRSRSRTLAAAEPGRQ FSRDGRPILHFARAMYSYAAAIPEELGFTKGDVLSVLRLQDDGWWEAEVASAPRRTGL VPSNYLQII ACHE_20997A MCHVQRVINTCGHRNDHVSLSCRFAKAGHNLASPISDPTSNLSH TMATTSTSTSTSTSTSAAATATTTVTTITTTTTTNPTVAGSNDNSNGSVVNSAGACPA IQHRGFHAYTEPYCIYASIRILDSPAGFMCMVEGCGRAD ACHE_20998A MDFAPYQDESPEVERALSPPPNNHLRSPQSPPVAGPLPSPGHFT SKGQYTTQSGGIGNTGGFGPDVETGRWNLGAFDTSLPIRMDYEAMLAYLLLPPAGGVF LLLFEHKSDYVRFHAWQSSMLFTAIFILHLILSFSSFLSWLLFICDLALIAFLSLHAY RDVDTLEHFEVPFFGRLANSFVDDE ACHE_20999A MAFRSAAEEMDRLQLSDDDTEDLWDSPSKRGNKNVNVKPKVPHD DGSPTLELRHSHDDGETMSDRQESREAALRNELQSVRNINDVIEGLLASLDQAKGNMD TVSRTVSSAATLLNTWTRILSQTEHNQRLILNPNWQGATQDVADMENEAILKQQAAER REQELQRQREAAARKAEEDARKRAQPTTRSTRGTTTGTRGRVRSTGLGRTPSVSYSGN SSSAARTAATRGSTTGSTMTTRRPISGNLGRGIGTARGRSRG ACHE_21000S MTTTPPPPSTLRVPPSPRFGAGYDQYSPYATRHSARLAGQRASR DSTPPPRFPTLQVDGTKSAKEEQDNHDVLEALSPPGSIHGSPRKKPSAGSRTFGLTHS LEDEDDNTSTLADPFASQHLHSQLQTTRTNGMLPTPAKTPRKKIVADMGPTARTLFPH SSRPKKSRKHTGFSLDSFNDDPAQNQTQIQIYTDSRDRIPEVAQNEESLFYKPKETKT AQTPRNPPPAPSKKTKAVEAKQVKELNRDKEIDDAVKREDGMFYVFRGKKMFRRFAEP AETDVEDDDDDLGLLASRPDLIDSSLTSRVRPLTRSSIKPRVLFPTAKDNTPQLDPAH DVDEEAATDIEDNAKDTDDDAATDVETQQRSATSPPKSNEETPSPGRSLRPRAKREDA THETTPAVSEPKRKRVSPFDGWLRKKPTPAATAPKSKKRDHEAVGSPGGPSTKKTRAS RAAVSSS ACHE_21001A MSNMPEISWPPKSPKDALLSSPNGRKRYEEMQRRRQALVSPLKR PVAATPTSQSKASRLLADGMGDNEEEEDEEVLQLKLAAIEARLKLKQLQKNKGKPSDD DDSLPRPASARGQDRTSRLGAMSPPPPRCKSQLDDVQVPLSPTRRPAAPTEPASPRRV VLGIDKGLKGHDISLKRPSSAKAGSRPTSRFGARDGAVSRNSSDLGSRPQSSASSNDG VKRPKSFSERIAESRNAEKARLERSERAERIQANRSSGFQLDKAEVEGFKAAAAEART DAPPKSPTRSRPAENFTRDDVLRSYNGLQPSGRKRSHTAPSRENALTKETRPYLHKRS NKSEIESPRPHSSQDSTADEETSLEKTPDSSKFEPYSELHLSNRILPHSFLTRNLSNK KVLRIPDLLRIIKAPDFQLPEDIDSDWVVLGIVASKSEPKQIKGSVPVTKDADPFDDG LNNTKRYMAITLTDLTWSIDLFLFDTAFPRYYRLSEGALIAILNPNIMPPPKGKIDTN RFSLSITSSDDKVLEIGFAKDIAYCKAVKKDGNPCQAWIDGRKTEFCDFHVDLQVRRT QAGRMGVNNGTGMLGPGGRSGQRTGFFGGAKRPNNNQNGLKPDGARYDWSSQSVYYVA PGRKTGGGCGGGSFFPGPIGQSAARLIDADDEDPFIAAGMMGRGSENKEERLRKRLAN QQRERDIAQKLITNNDGGVGAEYLRARTDMPDKNQENLKPSMPSSNTAASLGLTGFRK ASTVKLSPLKRANDKPHGSGVKKTRFITTKGIKEAGRESLAGSSDALVKADNNEDDDD DDELDIV ACHE_21002A MAPQELVPQTESIAEVYATDDASSQAVAPEHQTRFNRLVSQFTK SYGQRPDFVARSPGRVNIIGEHIDYNLYDVLPTAVSVDVIIAVKVVPTSGESTVKIAN VQPDKFPTREFTVPRDTDIEIDPKKHEWVNYFKAGLSGALKFLRKERSSFVPASVEVL VDGNVPPGGGISSSAAFVCASALAVMKANDHNVSKQDLLDLAVVSERAVGVYSGGMDQ AASIFSRRGYLLYTQFFPTFNVQHVPVPKADEEITFLMAQSFVTSNKAETAPRHYNLR VAECTLAAVVLAAQHGLTLQKDNSSLGYSLRNFHLEFMRKEGRLNDPLEYQIDSVIQT TLELLPQEQGYTREEIAKLLGITVGELESKFLSAFPVQAEHFLLRQRALHCFKEARRV LDFKACLAKSSTLNSQRINYLGQLLNESQDSCRTTYECSAPEVDDICAIARRAGTLGS RLTGAGWGGCTVHMLPQSKVEAVTKALKEEYYLKNFPDISEEKLAQAMVISKPSNGSF MITGAAIDQAHA ACHE_21003S MMPLRPSKSAMRAFHYQRYMASGRRSFTSSCVAASNSPHRFSAQ KRDQSTTAAATASEAARPVPSPAFNQEPQRNTVSPLQNRSLPEVDDSMVGLSGGEIFH EMMLRLGVKHVFGYPGGAILPVFDAIYNSKHFDFVLPKHEQGAGHMAEGYARASGKPG VVLVTSGPGATNVITPMQDALSDGTPMVVFCGQVPTSSIGTDSFQEADVVGISRACTK WNVMVKSVAELPRRIQEAFEIATSGRPGPVLVDLPKDITAGILRKPIPMNSTLPSLPS AATMAARELSLKQLESTIGRVARLVNKARKPILYVGQGLLAGHDGPQVLKELADKACI PVTTTLQGLGGFDELDPKSLHMLGMHGSAYANMAMQEADLIIAIGARFDDRVTGNISK FAPQAKLAASEDRGGIVHFEIMPKNINKVVQATEAVEGDCAENIRMLLPQINSVPERP EWFEQINDWKARFPFSLYEQQSADGPIKPQTLIEKLSDLTAPIKDRVVITTGVGQHQM WAAQHFRWRHPRTMITSGGLGTMGYGLPSAIGAKVARPEALVIDIDGDASFNMTLTEL STAAQFNIGVKILLLNNEEQGMVTQWQNLFYEDRYSHTHQQNPDFVPLAKSMGVAADK VTKPAELEEKLKWLIETDGPALLEVFTDRKVPVLPMVPAGCALHEFLVYDEAKEQERK ALMRSRNVPI ACHE_21004S MYSVARGARPSDGSTSTTQNERNSQSQTRAPESAEATETVVFNK SDLSPSKTPPVDPSDYEPRKCWICYTDETEDSPLNAEWRSPCPCALTAHEACLLDWLA DMENPRSRRRNDGGAKMMCPQCKTEIVVSRPRSYIVDVMRLVERIAGRMVLPGMVFTM AGTVWAGCCAHGVYSMYFVFGPEDARQILEETAEAGWNPGLGLGLPLIPLALIFSRTR YAEGLLPAIPVLFFASQHPGHESALEFWPPTPAMTFAALPYVNSFYSALYEKLFGRLE RKWISEVQPRTVDNVNEFDDNAPPQEQAEADQRARDARDGHVVINLELQMGMGGDEPA APEENQQNEEGDQDDQLLGRRQGDIIHETSNIADVVLGALVFPAISASMGGLLKYVLP KSWTTTPYSLFERGRPGLLQTRWGRSVVGGCAFVLLKDVLLLYCRWKLSQTHRKRKVL NYDKSKGRR ACHE_21005S MKPLSPVLASLANVFKIPLSQTSTRPATNRVCNEAVTSKTTTTG PITAAVQPAASRTFSTTSALAKRKAGTVPPQVNKRITMIRYFLWHPLTPRPLRFSRNR YLRHWTIHRAWQLYQAQQRRAHHLELERQEHAMHRACEELRTGAYDGGKLFRKSMNKK GVFADMFPIEYGRLQTDAPSREGWNHEWKRMEKK ACHE_21006A MAQQPNDNVMRRKLVIIGDGACGKTSLLSVFTLGYFPTVPTVFE NYVTDCRVDGRSVQLALWDTAGQEDYERLRPMAYSKAHVILIGFSVDTPDSLENVKHK WMDEANERCPGVPIILVGLKKDLRDDPLAIEEMRKKSLRFVTPKEGGDIATSIGARKY LECSSLTGEGVDDVFEAATRAALLTFEKRKSSCCIVL ACHE_21007S MPVKAGSTAKATQNSVTPPHAPKPPPSDPTMPDRPSMRPTNGDA HALKDNQTPKPMAPSVNRKKQKRRQKQAARLAAENNPQNGYASTDVTDHAGPEDHPYD EPDLDEVEPQHSTNGDVYSDDDQVSIDAYHGTPNHVHQAVPGRKSKKRKGKKGSPGSR ILADGSSTPRSTPSVSMSHAFPPPLPPHFGPRAILKSAKERSIWNTSTQEERENIKTF WLELGEEERRQLVKVEKDAVLKKMKEQQKHSCSCTVCGRKRTAIEEELEVLYDAYYEE LEQYANNNQGSFEKNSPIVPPPRLYHPPLRSPGQHTRTHGQFHPSRGRVHELPEEEEE DLEEEEYDEEDEDDEPYSDEEEEDEDLEDEDTRAARADFFAFGNSLTVKDGILTVADD LLKNDGKHFIDMMEQLAERRMQREEDTQYGIAAAHQSLHGGHNHDPLDEEDYDDEEDE DYDSQEEEDYEEDEMDAMTEEQRMEEGRRMFQIFAARMFEQRVLTAYREKVAEQRQQK LIEELLEEENRNEQRSAKKAREAEKKKEKKRLQRQAKEEEKARREAEKAAEEAAAKAA QEKKQEEQRRKREEQRKKREAERKAQEEERVRKEAEKQRRLREERERQAEAEKKHREE KKRREDARRKEQEEREAREKGTTCEEELRKKQQEPILPSVPIPYNLPHPQGPSPHSQI ATPVVPKAPTPARVRQPSRQGSHASSPRSQAASAEHSQFSVSPRSMPPSGTPSTTSRQ GFTQYPMLHTPQPSTPLSPLGSTTRAHPPGLFGINGLPSHPPPGLSGMPPRPPMGPEL PAYPPHTGSLMSPLRGFPAPNGIPVPPGINGVRPMPPGRGFPLDPGHGLPFHGQQPMT NAFSPHQNGLSQVHSRQPSNSFERSPLNTQAQPLPISRPSPIKRPSSTAPQDQQQANR RTPAQQDVEELSTHLGSSALLDDTDAPLPSSLSQSLPGATVPGPFREPTRASFAAPSY FADPLASKPPSFSLNHSGVGSNTWGPPPLPFGTSPFPGSTAWGTGPGSGWSNNAFGAT SFHRPHTSRPVTIRLLVIQACKQLNTIIPSKDASSYHDVHLVLRQIEQLKPPSEPAIS LKEMLDICDTEGNPQNGGGSFSIRDGENGQFVKFESDTNSAASGHRGSIVPGEIGSPI TNSSVPAFGGVGGSSALRQFTSPQAGF ACHE_21008A MASPISPPEDQTRLLEEALGVVRQQSQMMRKCLETPGKLMDALK CGSTLVSELRTPSLGPKQYYELYMAVFDALRHLSVYLKENHPVNHLADLYELVQYAGN IIPRLYLMITVGTVYMSVEDAPVKEIMKDMMEMSRGVQHPIRGLFLRYYLSGQARDHL PTGTGTGPEGNIQDSINFVLTNFVEMNKLWVRLQHQGPSREREKRMQERRELELLVGS NIVRLSQLVDLEGYKSGILQALLEQVVQCRDVLAQEYLLEVITKVFPDEFHLHTLDLL LSAIARLNPYVDLKKIVIGLMDRLSAFAARETESAADPDTRKQREEEAVEKLLEKLKI SEASKDEAAESAEASAAQENGVEKFSTEIFENAERKPEGEEMTASTDGANPNIPSDVA LYTIFYDQVVNLIQNRGLPIQDTMALLVSLVNLALNTYPDQLEYVDKILDFATQKTSE YTDHADLHSPPAQQNLLHLLLAPLRSYASIFTALALPHYLPLLTAQSYPTRRSVAGEI ARSLLKNRTLIATTENLDRVLQALRVVIKEGTQQAMGYPSSQRRGGETDETIEEQGWL ARLVHLIQSPDNDTQLKLLQATRKAYADGNERIRYTTPAIITSSIRLARKLKSREHYD DNWQSQSSALYRFMHQCVNNLYQRVNPGCADLSLRLFVMCGEVADQTGFEEFSYEFFA QAFTIYEDSISDSRAQFQAVCIIAGALHGTRGFSKENYDTLITKTALHGSKLLKKPDQ CRAVYLASHLWWIVENPQREEDPQNLYRDGKRVLECLQRALRVADACMDTAVSVELFV EILNRYVYYFDQQNETVTTKYLNGLIELIHSNLQTNEDEPNPSLEGPKRHFQRTLDYI RSREYEGVVTEAKQ ACHE_21009A MHFSSTAAFFVLSSLGASYVLPFNHPQQANDNLMRRELPYAVVN VDGDDQTTSSSRSSSRSNNTSPAIQTVVETVTASSPPSPPVTVTVTTIASPTPIVSSF PCSGSSTPISSLGLPPLSRAGTLITVPSPSGTASSGQTSSGSLRNTVRATPTTYNP ACHE_21010A MARARRSARSTGGKTKYTTDPFEIAGLSGDSDTGEAGETSAFKV PDEDSEEEFRHASNDEDAEEEEENEEVEAEDDDEPEYAEGESMDIDRPTSTPRGRGRN IQKAKKVTAVSQSDTRKKQPAWTKPDETRSRGILNTSDHVGKSVHLQFTFGTDERDLL AILHARERWAKGIDSGFPSRASLNAVQTAPAYTYGPTYGADPKDVQKESTRGWDWYYD GDVGEKFRKRQWLARIEEDEGRRYMPRSKPGKHTVLMGPADGQKKFSLGQFESYNFGD AWDSAGGKKKREGWMLNMGQKIQCMAWAPNQNGLVQYLAIVTFITEEQKSHYPDPLAD KAAPAFRPSAPYPSALHIWAFKAKQDDSLTKTLDMEFKPRLRLALCTDWGDLRRISWC PFPRTTRAEDDEDVLKNVGLLAGVWGDGYVRVLDIKTNKDPNTTEFHKVLSPAFIVKP PSTMCTCVAWLSPTDIAVGCANGFVAVWSILSSQDSSSNHPLPYFYQQIHSTYILNIS PAYPAHPHVFGTTSMDGETRLTSLLDPQKDVTETNRMRMGSPHITFSPFLQSFVSSDE NDFARLITLRRFFATTSIARLPSSVSALAPCSPCHPSLLFGCSGGAVVVTNPLRRLMH SKQKQWQQTWFVHDWVQGPEKESSGVSRFQDGFRAESVSLLRSMAGDWKMVNGTMVIT IYEEETNVTSVAWNPNQVCAGWASAGMGCGLLRVEDVALS ACHE_21011S MSNPSHQGILHQYAPRLTAFEYTSNTTKPNAILFVGGLTDGLGT VPYVAPLAKALEPTDWSLFHLLLSSSYGGWGVGSLDQDIEEIAQCVKFVQGRKPSGKV VVMGHSTGSQDVLHYLHSPNPVPQRPDEFQGRLKHLTRPELDGAILQAPMSDREGLEA QLKADEGTPMGNEARAAFDQLVAFARQQLYTTGDKQQKLDALLPMNLTAKAGFPADTP LSARRFMSLASPDSPEHPEEDDLFSSDLTDRRLRETFGVVAERGLLKTKMMFLYSGND EYGAPWVDKAKLMQRWKEAANAGGVEKYDSEGSAVIPGASHNVRAEGQVELIARVTRY LTSL ACHE_21012A MGATKNKYSVILPTYNERRNLPIMCWLLERTFRENNLDWEVIIV DDGSPDGTLEVAKQLQTLWGPEHIVLKPRAGKLGLGTAYVHGLQFATGNFVIIMDADF SHHPKFIPVMVGIQKETDADIVTGTRYASRGEIKGGVYGWDLFRKFTSRTANLIADVM LMPGVSDLTGSFRLYKKSVLEKVIHSTQSKGYSFQMEMMVRAKAMGYKVAECPITFVD RLYGESKLGGSEIVEYLKGVFSLWLKV ACHE_21013A MNPITRAYSLLRATNVPMLRSATRTTIQASSLRLYGQSAYGGYE NSPAKSDISRAKEHPGPPPPNTKSSSTSSHKSTGRATGGSSPTSPSSPSRSDPEAEAE SQEPYSSTAPDAPKDPEKSEAHTIQRVPSNKAHPTITTGKQSPNVDEEGHRRKDIPEE VKKHNRGVEQRHDRSYNQITDEGKVQKGF ACHE_21014A MSGRLPSDYSRSLSRSSQSQRQQGQDTDSMHRHTPSGQSANPNV FSDEYSLEPIDSEQTTLTPRSPSISSIASSHTLRTNQPPPGKSYDDSSNELQPAENPF GDEARVSFDDPNRSSLPQKGVLDASDTNRDSMASVNTNTPSITQRSQSTSSRFSMPPR ALSPYTGATGPSHPYAMYPQVGVSRSPSVTTTSTVRPMDRPLGDTSAPQHPYAMYSQN VVLEEGLDTPIDSPLAGAIATPLTNPTVIPLGFPGHNQAYQRPPGRADDDVGDLIGPD GHTEQLPPYSRYPENAAPKIEGGFVEPIGEADIPRDGIQNATGGLEQGVGVQQMSDVS SRTMLPEQQTADDNSNINNNNNNGNQGNNAGAETAPVSGVMAFEEKLKSKGKKRACCG LPVWTIVLVVTVMVVAASIGGAIGGVLGARKAADDEKSKPKGPNIVTVTATPKSDATP ISTIPASLKSVPTGSYIIPADLKNQSKFCISDPDYRMAWSCQSQGGIPIEVDGNSSRH TVTFPSQPFDPSSMSYGAQAPLLNDQTQSLSYVMDTSDYSMGPALFFYALFDKLVVLP QDTFSSSSVSSSSKRSISESDILASSLSRKQATQSGEKPWFCWWNATVLEFFLYVNET SRESHYSSTATLTYDTATETPSYGATTQSSDLPSYPRRIKIGEKRDYPEARAPYCQQM EVLGSGDIRTYSPATIQIQEVEPTPTTTLKGDGSATQTYTAKAEYESACYCASLTD ACHE_21015S MALRAPYDADKLPDWVLGALPYGVLYPKVYGNVASLVLQGTVSR QKSVNLISEEWNHKMVSWVGSVATQSQMEQQTIVQVGQKIPSHAIRPCLVPPMLQLEY KSKTLYLVSLVVERP ACHE_21016S MPDDSKQKGFSDISAKFSALPKKSVFERQKAEAEAKRAREQAET AAVYEDFVKSFEDDNQTPGRFPTEGRVNVPGNFNNRNSGLGGPAKRHFTSSGPRSSGP GTLGPPPPSLSRKRTHDGFPSSQRNRESGSGVLGFDSTGSGPAAAFGASDDEEERTAD AKEAERAAAKPTLYLASLPPGTSPSVIKSLVPSTLVVDGVKILRPPSQSSTDRKSVSA IVTLANESAASDIDGAVSALQNKYLGWGYNLSITRHLSSAAISSSMPVTIGLSSTTSL PFGAKNIQQNPGGSLSRAPPPGSRGFAPPPSYGPAYGRSGPSTQVEVKTPSDLKQLKL IHKSLENLLDYGPEFEALLMSRPEVQREEKWAWLWDPRSPGGVYYRWKLWEILTNTQA RGARRRTSGKASMIFEDGPSWLLPETNTKFEYTTRMDEFVSDEDYNSSDEEHSDIEED RRNAGGAPAADGLGGANEGSGYMNPLQKAKLTHLLARLPTTHAKLRKGDVARITAFAI EHAGAGADEVVQMIVSNILSPLAYTGANPDREIEKGIARGEDDYNGSNEKPTQTRPLS SLVDTSAAKLVGLYLISDILSSSATSGVRHAWRYRQLFESALKSNQVFEHLGRLEKDL KWGRLKAEKWKRSIENLLHLWEGWCVFPQGSQEHFAQVFDKPPLTEEEQREEREKAEA ERASNAFAKGKSRWKTLDEEAAAATGKFDPSRPPVMDPNRMDIDQARVISTSQADFDG ELMSDIDGVPMEDSDLEEEAPDGEPMEDDLPGQKEEMAEDKPSEQQQQEPASPERQRP ARKPRPKAEDMFADSDPE ACHE_21017S MLTFETESLQGAQPITEKLTSLPFSKVAHQVSTLDAQPSNEQGG ILVMVTGALLIDEEQRPMNYTQTFQLQPDGQGSYFVFNDIFRLVYGA ACHE_21018A MNDNLSPHCADLASASSWNFALSILILIGILISYLPQHYRIISL KSSFGISPYFVLLGTTSTSSSLANILVLPRSIEDASCCNEVDGLSCFSALLGILQVGV QWLCFFNILVLFVTYFPRATSTTTPDTSETPSKEGDGPTYRTALAVAGISIIHIIILF IISLVFEFKHRSSLQAWANFLGILAAVLSSIQYFPQIYTTLKLRCVGSLSIPMMCIQT PGSLVFAGSLAARLGSEGWSTWGVFVVTAFLQGTLLFLAIYFEYFGPEKKERRTHSTD VAPNESLEDREDHQNDDQPSEDTPLLQRQL ACHE_21019S MRFNWTSSLVLLLATTEAASWFSKAVYNGWHETELERWLSDHDI PYPSPADRRDLESLVKTNWDNKVQKPLGYVSEQASDQWHHTKEWIFDSWSDSQIKAFL DRHGVPVPQPRKRDVLISTARENYEPIAKKLGEKASYPGNWLFATWSESELKEWLDER GWPVPQPSTRDKLIASVRRNARLASIQARTIAASASASADAAQATLSDALFSAWSDSD LKRFLDEHAIPVPQGSKRNEMIALARKNRASLLSQASTASASASRLVGAATTKAGNQY AQATDDAQSKTQEAFDAAAQNWSNSRLKAYLDARGITVPQATKRDELLAKVRENKNKA ASSWSAWTFETWDIEHLKQYLTSMNAKAAQRADTTRDDLIKQAQDAYSKASKAGGQNL ASATSYMAQATQSAKDDTFDTWSHSELKAYLDTFGIPVYQGSNANELKAAARRNSQYF KYGTTSPHGTLYTKFQDTYQWLLDQLKIGASSGRVQGQEVAEKAKDKSSEAVSGIRSE L ACHE_21020A MMSAAEEPVPSPTEAIPFFTPVNFILLSVFAVFLYVQLRPKAPV SLPQGPPPVVFRTFIPSTLIEFNGEGEKPCYLAVRGRVFDVTPGKSFYGPGGPYENFA GRDASRGLAFQSFDREMLTEDLKAPLDDLKDLNADQLENLQNWEERFLEKYLVVGKLV AEGDPEAPNS ACHE_21021S MWAKVPFHRAKKSAAIEDSTNTSSSNQSDYRSPNRSSSALSGGQ RYYSKDLPALPNEDQELSYPGKHPDSKPRSASATFTRFAPAEPSRHRATSAASQLPSD QPDFDRDPKDSSLASPDISPPGTPNSLNHGLHSRGSSQISPIEEEPQHDSIKVEQMEA KLASHIPTLRKETRKENFESNSERSYKLSSHSRENSGKFGGHSSRVISWGKEQLQPKK KFADVRSRIAKQNDDSSPFIAHEPWRGPSGRAPIMNPIYEKSRARSSSRLHPSRSSDR LREYDQALPDSTARLQPSVVTTITAQDKHAGSRKQSTSRSRAHSASAGSQLPVSEGYK KSAPPRVDLPMSDLNSSLAEFKLTAPTPTTDTFPTENERQPDQLELPVSRCSAATDNS ARMGDTRTSSPAPGSVADSIESASQQSTENSLSIMSRKRPVPSTIAPGRKPVRKPTPT QAAEEAAAKGLSLVPPQEQQQPKNRIEALEERQGTLARRKTNITTIIDELNQVFQPTS TAYDMAAREEVKKTIAHLNNELADIVREEHDIGLRLLRAWKKRDEQDLYGGGTGLWVK RVTS ACHE_21022A MGGQGREGGKVKPLKAPKKSQKDLDEDDLAFKEKQKADAKAKKE LLDKAKGKGPLNTGSQGIKKSGKK ACHE_21023S MASYRSKSPSTPSEGEIIESGSETKATTSKTSLNGTSVDRQTRV STSSAPRSRSPASLSTSRSPRRRRSRSRTSRSSRSRSRSPYRSYRGHKRRREDDYYDD RRYYRQEPPPRQRAGQRYDDRYHRRSNSYYDYDREEGYGGGLKYTDDYDRRDEKRHRT RSRSPYRDSYHEVRRPKQYSGDEWNGSTGPRGLRERNSPTEQLVSERGSVPVVAQTSK QDAEFRENQVQQQASHASSRVVDSVPTEQQEQQPAEAVDEAAQLEARRKRREAIRAKY KSQATPLHLQAVHAGDSDSSTPGADSNRASASQTASVSPQLTPVQTPNENTADPPDFN VGKDADLINDSAPVDGAEKDEPSAADYDPTLDMKEDREKQGPGQETSSAAYDETQPGK QDILMPDATEKPPAPAKMKDPYDMFADDDDDDMFAEETQEPTKSAHAAAVPQPRELDI SMMDNWDDPEGYYNVRLGELINGRYHVQQNLGKGMFSSVVRATDSKTGGLVAVKIIRQ NDTMRKAGMKEIGILEQLKEADPEDKKHMIKFVRYFDHKGHLCMVFENLSMNLREVLK KFGRDVGLNLRAIRAYAHQIFLGLSLMRKCNILHADLKPDNLLVNEQRNVLKVCDLGS ASPVSDNEITPYLVSRFYRAPEIILGIPYDYGIDMWSIGCTLFELYTGKILFTGRNNN QMLRSIMECRGKYPPKLLRRGTLAYLHFDDTLNFRSTEEDKVTGRIVTRVLDFKKPTR DLKTRLIGHDTRLSDGEAKELNLFVDLLERCLSLNPEKRCTPAEALRHPFILRPKN ACHE_21024S MLPPSLYTMYPTALPTPPPSPPTVARSVAPEERLGILLANRLKL TGILGVGAYGVVYTAVDIHTQVMYAVKALNKTGDPRQLKYQQREIRLHHMASKHPNVV SLVSIMDAPDCTYVVLDYCPEGDLFSSITDKGFFVGNDALVKRIFLQILDAVQFCHSL GIYHRDLKPENILVTDQGMTVKLADFGLATTDYLSPDFGCGSTFYMSPECQQQNPCPM TCYAAVPNDVWSLGVILVNLTCGRNPWKRASIEDPTFRAYLKDPFFLKTILPLSNEMI FVLSRIFDCDPAQRITIPELRRLVLDCPRFTMTPVTPWVSNGAPTQHPPFVLPQVPAS VPVQPLMAPHASASSSDTSSSSSNSSGFSDAASDITSLTEDYSDLDALSAVSSAAGFD PDMDCPKDFPCKPEMFKCDDSPEAFYMPFVPFGPTVSTISAC ACHE_21025A MSQRLSQLTHQLNYPTGLLANKTAIVTGGGQGIGAEIARQFANE GARVVIADIDGGKANAVAEAITANGGKAVAVVGDILDDGYIQGLVKKAAEFGDGKLHV IVNNAGFTWDGVIHKMTDKQWETMLAIHNTAPFKLVRAAAPYFRVKDDEDRVIVNISS TSGIHGNAGQANYAVAKAGTVGLTKTIAKEWGPAFNVRSNTVAFGFVKTRLTAAKEDG AYVTTSDGTKVALGIPGKQLQNRQGGGGANGGKAEEYPDIPLRRPASPEEAARAVLAV ASPLFSYVTGETIRVTGGRNM ACHE_21026A MSRQRKPSTTQPTAVAAEVLSQPPKALERLLHWNDLPHWQRDNH HIHTGYRPASFSFLISFQSLTYLHNETVNIYTHLLPALIAIPSAIALHNALAPRYETA TQADIIAFGCFFAGAAMCLGMSATYHTISNHSPLIARVGNTLDYIGIVGLIVGSFVPS IYYGFYCARELQRFYWTMICTIGLGCIIVSIFPQFRTPKWRPFRAFMFVGMGLSAVFP VLHGLQLFGLEMMNKQIGLVWLLTQGGLYILGAGIYAARVPERLYPGGFDILGHSHQI FHVLVVCAAGAHLMGLLEAFDYRHNVAGGCK ACHE_21027S MASLPTSPSSDATRNPPVSSRPATTTKGPNVPSPGPSAATELSN LLLALRKLREAVISSESRTPVDFSQRVYVFSVRVAILAQHPPSYVPSLHRLIDTLHKS SYPLPESELREFITYLILDYACREDNMIAAFELRARARRRYGFQSKTVDRLLSALLQD NWFVFWKVRNEVDSYMRNVINWAADRVRRQALKAVGSAYLNVEASWVLEGCTGEESWT WDRLVETEKIGWEKEGEKIIIKRPKRKPEKKLNPTTESAKS ACHE_21028A MESPGSKHPRNLSRSSRPRSSTRGPLAGPDDPLAAETLETDASE LKTASLGELTGTSFYELDPLAPDDLQETLAKDASFLLRYDIYHSLSQVDIPPALRSEF VGLIPDEPLITTLSTVERLLAEGHFLLAAYLCGSILTSSLVSPTDIKLVFGLFYTRLA CLELSGHAILAAQESKALEDLTSAFYYIDFDYHTASDNTPDQNHPKRLRHIAPWPLRV LAVRLQSIGFGDSRRGIGGLYDLGLEAWREIMRPELSRDEKIIWKERLADLGIRSVNA LVEMGDYDSAKRSLNNLRTSGKANDENRLRKALLFLVIGDLDGAVEVFGESDENEKAL FKPLLSMAEGNYKDAVVEWQELLESQPKRPDEAMINQNLAVCLLYTGQLNESRKLFES LVSADHSFSSLIFNMSTVYELCSDKSGQMKAGLVETVARQPISGHANLDRSNADFKL ACHE_21029S MTFREEFRSRNFSIYGQWTGVVAIILCLATGIASIFSFNAIRII FSVLAIVSGLILIFVEVPFLLRICPTSAKFDNFIRRFTTNWMRAAMYAILSAIQWVSL VSGASSLIAAAVVLLLAGLFYALAGLKSQEFTSSKTLGGQGLAQMIV ACHE_21030S MSTQPPAIPPRPSRTPQQQRQQQQGPPPTSAPDAPKIPPRPNKL IERSMSPLRQNYAPSPLNEKPGSSGLNRTTSNDQVPRPASVTIPSLGEEGIEYEDLSV GKPVEDPETRNVDSELKLHAPKPSLPTSSAAAKVQAVTRTDSRQAAAAGIGAETPGSE EQHERPGRPLHSRTISSREESSTASADRYHEEHGIPEIGQRVPMYPNAGDVQAPSPAP HQLEHGQRPGRSQHRALSGREASLPPGSYGLHGHGVHNNDKFEKAWYEKHPDEYAKEE QSQHAPGGTPKPDWALSSDDLNKIVRGSAVTGSGLGTSPAVTGTPEEEVGYMASDEYT QRMASPAPDRSVRPAVESPLRKMSHPTTEKESKQESTQGSGVIHVDEPYHHSHHPDGF APTPAPEEQTKAGEGEREEEEPILAADEVRPESAFQHPAVSPTLERGDSFDEFRSRTP STGHSRSNSRSTSNHGRPALARYTSRGSEREDVHTPLEDVAEYEPLFPEDNKEKRPVS TAERFKQRPELKHRFPSQDIWEDSPNSLHLHATVTTPDVPKLESFETPEQESIRRSQA ASVDPHEVATHILESEEHKEDETKRPSISKQRFPSKDVWEDAPDSQQLVTTVRAPEGA PQEAPREVPREAPKESPKEELTSPEVPKKPSIPARPARRPQLTPPAVDHSTKPGTSPT EKRQPPSIPGRPKPQIPARPAKKSPEEPKKEEPKDVAAKPKPPVPARPGGSKIAALKA GFLSDLNSRLQGGPPKAPEKKEEDPVEKKPLQDARKGRARGPARRKPAPAAAPPTTAP TKTETAGTKLPTIPEVKVADVWNIWQIDENGQLVVSGHDVSKKPEPGPGPSQPELSKL EPPQPEPSKLEPAEPDRKTESPQAEPTEREPLPEPSKPELSQPDIPPMAPELSKNVAG EPTDPVPSPKLIQMPESELEKKLESVVEPVTKQEENEPSPVESQAPGPLTIAEPEIPN IAKSPTTSPTTSNPDAELPAIESKIIEPQETNKPSEATPKLKLEETAENMAASADGKR ESDGDT ACHE_21031A MAAVSAAARQDPNQWKKNLSAHVICPECKEMPPNLEFPDSHETV CGSCGLVLSDREVDMHSEWRTFSNDDQNNDDPSRVGDATNPLLNGDQLETQIASGGSG KIRDLYRAQNKQSSEKANKALLAAYKEIGALCDGFNIQKNVADTAKYLFKVVDDAKAF KGKSQDVIIAGCIFIACRQCKVPRTFTEIFAVTKVTRKEIGRIYKALEKFFTNQNLER INSVVSNGGVPDPNDTYTATTSTKPSDLCNRFCNLLDLPFQVTSVSSALSDRVTTMGD LAGRSPLSIVAACIYMASYLMGHGKSAKEISQVAHVSDGTIRGAYKQLYAERERLVDP DWVKEGKGDMKNLPAS ACHE_21032S MMSQTLRASRSLFSRASRQQVSVARRSFMNSAIRRADPVQELYL RELKAYKPTPVKPGDAEAHVQKFTAPKAPQSPEEANLAGELSAYESQEVEVEGQAASG EAAPVEEHWFVEPEEDEAPAH ACHE_21033S MSASSPDLLNQSNQEENVLNRAASYQNLPEATKTTVSPPLRRTF SDLTSPHRAPSPTKEDVAAGKDILRRASLRSQNRAMGSPRRSGSSGEQKQEDAPTRES QDTLMTVPETRPPEPVARPSKARAVSGRLVNLARRQWASSSPSRPGSPSSTKSSKSRS SPTEDRSSTSSDQGPGTDTDAQPLSRKRTLLGKRPRRPMVAVVTHGRNDSVDSPSSPS VNSLRAKGSLEKLSASLNVSTPVLPPMPKGAAATAAALSGTSMDPPRKKDDLWNVFRG LEGDYQKFQSKSSALKANVIRSSLIPFLSRHQLHSCKNLRAEDLDRRVNILNKWWTGL LEMLNGRNNQSISGTDRPVYLEAVVGIMTRPEWRIAFPISQPSESLPKSLQHATSISD SSERSSGSDFLLESIHHNIRNIFIQNLLSQMAFVVERMSMRHAPASLVAFCGKACAYA FFFCPGVADILVRLWNTPASIYRRILAESSVDGSSATRLLTQDLALSFPMALRPLSFH SHASLMRYLRQKPDAPLNTSHIPWHGPWISRWCGRDTDLFFVFVKFIHILYADSIPRG IDKGKRILAPGLLPIHAQLLIVLEDTLYKQSALQVPDNSHTAAAITFDDFIEGADASV SALPLGGANSHRSMAENRLIILLRDFLSESSVEPNHARLLYAESFCGIMKLAARRTSL YDHNACFTLCDFLEEAIPIITKFSQSVEMVLLDWPFWLDACRQMMQSSNSLTEVKVFS FLFCMWNTWVASEERKADLCLGFLLHDDWFFQYFNHWSPMIRAYFHRLVCWRIARFNE EPSPLDSAIYEALANKLHRMWEHYVAFQTKATEEHDPPLSSAPCTPAPGRRIIIIRCD NQLSPANLFVSFDRVVPPAPPEPPAKPKKSSGGSSTSESSSSESPPPPPPSKKKWNLL KAMFGASSSLKSSDAQASNNSDETGTRSPDTTLSTDKCLDGHPERSQQSSSEPPPQPK PAHQPFFFKFSLEWMDRPHWPGKNRRLFPPSLPAAAQVHLQQLRQSRVSRSESDASKD VPQSAKGENDDTETGNQAASPTDEQPPAPPAKDPIVPYQVPKAAAYDKLVASKYVGRA LAEWALIVSEYDSFFARRRDEGVPCDRLVETPMLGVENIKK ACHE_21034A MGDKKFNYQALPIPSYDEAVGNRPGSSRSHPDRNEASDDAEREG LLQHQDNNNDDANVTRDNNRNPPPPRSFPPGYQPPTVESARNSLDDLDSAASGSDRGS LEELQRELDQMDVEDGGQQSSSSQRASRLRNRLAKPFSTLTRKLSAMQFPLRRLLPNF RWTVDLNEARTRFQGQGCIVMLRLFGLLLVVSMVYIFFISDIFSMNTRFIIGQSFSSS SIENFIQSQVNETNIAENLRKLTNYPHMAGTEGSFVLAEWIEQEFRSAGFDEVEREEF QVYLNYPQQDGRRVAIVDPPHLAWEATLEEDDREVPVFHGHSKSGNVTGHLIYANYGS REDFKLLADKGVSVEGSIALVRYYGSETDRALKVKAAELAGAAGCIIYSDPSEDGFVR GPSYPNGRFMPADGVQRGAVSLMSWVVGDVLTPGFPSLAAEKGRLKPGESPGLVGIPS IPIAWRDAQRLLQVLKGHGSKVPPKWVGGVPDVPQWWTGDKNSPSINLMNMQDEVEKQ PIYNVLGRIAGLEQPKKKIIVGNHRDSWCLGSSDPGSGTAVMLEVVRIFGDLLSFGWR PLRTIEFASWDGEEYNLIGSTEHVEKDIKEIRENAFAYLNVDTGVSGSDFYASASPVF QRVMLQILNRISDPFANKTLKEIWEEKQKKLAGLGAGSDYVAFQDLAGTSSIDFGFTG EKYPYHSCYENFDWMERFGDPGFQYHKVLGQFWGLLLVQLADSPVLPFDLEAYGTHLK TYVTELEEYAKSKEVPIAHGGNAARDATVNLQPLYDAASKFQQDAQKFQQWTDAWHDA VWGSGGYENNVLGIQRMVHNARMATFESNLLDLREGGGIPNRTQFKHVLFGPQLWSGY DEAYFPAIRDVIDNTRNWTQTQEWVDRIAGIIVDASDSLFHKS ACHE_21035S MSARPQNIGIKAIEVYFPRQCVDQAELEKHDGVSEGKYTIGLGQ TKMSFCDDREDIYSISLTTLSSLLRKYEIDPNSVGRLEVGTETLLDKSKSVKSVLMQL FAPHGNTNIEGVDNVNACYGGTNALFNSINWIESSAWDGRDAAVVCGDIALYAKGAAR PTGGAGCVAMLIGPDAPIVFEPGLRGSYLTHAYDFFKPDLASEYPVVDGHFSLKCYTE AVDACYKAYNAREKTLKAANGTNGVEQDDSKTPLDRFDYICYHAPTCKLVQKSYGRML YNDYVANPSHPAFAEVAPELRDVEYEKTFADKNIEKTFMGLTKKTFAERVRPALDVAT LCGNMYTATVYGGLASLISNVSFDPSQAKRVGFFSYGSGLASSMFSAKIVGDVKYMAE KLDLHNRLNNRNVLPPQAYDDMCLLREHAHLAKNFKPSGNPETIVSGAYYLTEVDDMF RRKYDVKA ACHE_21036S MSVQYAYYRPTTPPDAPLSAAHSRHASDSSVYSTDASSPWSATT SATSPGGSPPRKLHHGPALLPKIRPQDVVVEPAPMAGSQRQRRNTRNPPAPLPYVHGS SGAQRSAYLTSQAAPGYSTSNGVALLSPLSITSSNKRKASSSPEGHSRYASESRSREA MLERYGYPTYRQLPRYIVPSMPATPNIIVHPPYLQQRSTAEYTYQQQPAVVSKMPQYC HQHSYTQDVHPYPLGPVCHPEEVDESTTILAYLTAPTQAIKLVRNVNVVPIRGMHDYF WWDVRNLRNWNSFHPSTFDSIDCLTKLLTTEIPSYLTPSTMVHPSRLAPECETTLIGL INDIYAPRVNAALAVSQGPNHLRLYPAPGVRTSVNRNYGGPHFLANYSTDTYQTASGL PQGRLVGIVRSFDRWNTGMRKEAEPRRVEYLNGLAHLQRCMREHGCRYGFIMTEIELV CVRAGCDSGDSEAVPYFGFLEISSPIPTKTSDDDSRFHSPALSSAGTDGLSSSTSSTR SRSTSHSPNPDRTTHPYYTENANPQSLDGPLTTTLALYFLLMLSKDTPLPSQPSPHIN VGGPGALTRQKVLPEGRDKWIPKVHAGETRDAKRVRGWVWPEDPWHRREGGGVSSRGR GRAVNDSNGEGDVRLKRWHK ACHE_21037S MTSFPDLLDVLIIGAGPCGLAVAARLHEETPSAMFTDEEHQRYH WISKHSGRMALVQARHKGIKGVKAEKWNGGQTESTSYRAGSAQRTRGRGSTSSSGASY ASAGSSVSDEEGDELPSLSTSPESVASILAAEKGRVSEETGETDKGLSMLVLDSTGDQ WMEKWNRAFRTLEIQQLRSPMFFHVDPGDRDGMLAYTQETGREKDLWEISGCVGKELS KHQKKKKQQKSKQTISQVEIDERDRKDYFSPSTGLFEDYCSSIISRYGLNHPGTILHY EVADIKYDAHPDFPAEEKIFTVTTTTGDTFYARAVVMAIGPGRTKILPFQPSDEEKQG CCHSTEIKEFPSPNVRHKIQQRRQTNIVVVGGGLSSAQIVDMAVRKGVSKVWFLMRSD FKVKHFDIGLSWMGKFKNYEKAAFWSADTDEERLEKIKIARNGGSITPRYQKITKHHA SRNRCSIHTRTTIVDRQYDPITQTWSLTTDPPTDLPPIDYIYLATGMGLDVTEHPMLQ NMHRDYPIECKQGLPCITDDLMWQPNLPLFMTGRLAALRLGPGAPNLEGARLGAERVA WGMEEMFGFGRDEAEGEGNKERDCFCGLGNRYAGLDVDL ACHE_21038S MATPNTNHRDELLNPSIVIPEDTELSPASLSPTSPSLGISQPQP TLSPDTARLTVHNVPPRTSHSLDGDTLRSRSGSFNSCADTVGRTRAGSSATEEPQEPS KSKAEYDDVPLSEALNPDPRNEQDFHVDNNKFAFTPGQLNKLLNPKSLAAFQALGGLR GLERGLRTDLTSGLSVDEARLQGTIGFEEATSQSTSDKKVPIPDDNPSDASQFEDRVR VFDWNRLPARKSTGILKLLWLAYNDKIIILLTIAAIVSLSLGIYETIDAGHGVDWIEG VAICVAIAIVTIVTAANDWQKERQFVKLNKRNNDREVKAVRSGKDVMISIFDITVGDV LHLEPGDAVPADGILISGHGVKCDESSATGESDQMKKTDGHEVWKQIMDGNATKKLDP FLISGGKVLEGVGTYLVTSVGPYSTYGRIMLSLQESNDPTPLQVKLGRLANWIGWLGS GAAIILFVALLIRFLVQLPGNTATPAVKGKQFVDILIVAVTVIVVAIPEGLPLAVTLA LAFATTRMVKENNLVRVLRACETMGNATVICSDKTGTLTQNKMTVVAGTWSSDQSFGQ AAEDDTAMSVSAVFKQFSTVVRDLITKSIALNSTAFEEDKDGSKEFIGSKTEVALLQL AKDHLGMELATERASSQIVQLIPFDSARKCMGVVYREPTVGYRLLVKGAAEIMVGSCS KKMTDLDSRNRIANDQFSDKDRQNMLSTIESYAGNSLRTIGLVYRDFSSWPPQNAELL EDDPSAAKFEDIFRDMTWIGVVGIQDPLRPEVPGAIQKCNAAGVQVKMVTGDNIATAT AIATSCGIKTDEGLAMEGPKFRLLSDKEMDEVIPNLQVLARSSPEDKRILVAHLKKLG ETVAVTGDGTNDGPALKTADVGFSMGIAGTEVAKEASSIILLDDNFRSIVTAISWGRA VNDSVAKFLQFQITVNITAVLLTFVSALYSSSNESVLNAVQLLWVNLIMDTFAALALA TDAPTEKILNRKPAPKHASLFTITMWKMILGQAVYQLAITFMLYFAGNRLLGSQLSAT NGDTQLATIVFNTFVWMQIFNEFNNRRLDNSFNIFEGMFRNYWFLGINCIMVGGQIMI IFVGGAAFGVTRLTGVQWGVCIICAIVCLPWAVVLRTIPDKYFSVIFNGTIKAVSFVL RPFAKGLRLFAKGVNSIFRPLKRVFRRGDGGKESTSAPSDEEATALTDVDQNRLCTPG ATATPVTVPPITITTS ACHE_21039S MDNYRTINIDVLDPESSSNFPMETLLPGTLPPALSSSDAAGVAG QVRQMLRGGDPEGAMRYVLDTAPLGGDDRAKEVHMASVVEVLQGIRQGEMTRVLEGVI GGEGGSERADCLMKYLYKGLASPGSSGGSQSPRKLSPQSTGGGFSQIQTRNFGEGGGG QQMSVLLNWHEKLVELTGPGAIVRVMTDRRTV ACHE_21040A MSLRPLFRPATLARRSFTAAGRRSYASQTPGNPMLEVFNRKAKT MQKDRAALNVEEGRKVDYIKDEVAMRLCERLLDIKREIPNVLDLGANSCNIARALTTP IPDLVSPSGESPPLSERISQLTCVETSRALLHRDIDLPFNNNINIQRDVIPDLESLPY EPDSFDAVLSSLSIHWVNDLPSLLAQVNTILKPDCPFIGVMFGGDTLFELRTSLQLAD MERRGGVSPHVSPLADVRDVGGLLNRAGFKMLTVDVEDILVEFPDVFALMRDLQSMGE NNAILHRELGPISRDVLLATEGIYRELHMEEGMRGIPATFRLLYMIGWKEGKGQAQPL QRGSGEVNLKDILGGGDFEGR ACHE_21041S MSRPFPYTYISCPCADTPVPDPARKRRSRESPQKANPDAPGTDE KGTIMEDEEEEQTFDPRCPRSNFSLYPPEQLLYCEDCHQIKCPRCITEEIVCWYCPNC LFETPSSMVRSESNRCARNCFNCPICTAPLAVSTLENVTGNGTQQGPWVLSCGYCMWT TLDIGIKFDKPTNIRTQLSKLTDSTSRGRQMSKSLGDLKSPLSTYASIDEHLSAAGDN GGPDQSADARFAALKGFYRNQIAETSTSPADPLGTDFAGGFSSPGALNRIMSLYTSSS RLSSLYNKKPKSKPPVMREALTASEGLKVPAPNAEDAVVRRMASDECGWDGVASIEQR SFQSPDARFVDDLLPLPVLLRTKRSKRCKSCKHILVKPEFKPQSTRFRIRLIALSYIP LATLRPLTISPPQAGYPTTAPTVPNLDCLPPLKPVQLLLTLKNHMFDPVRVTLATPSV TPGRVGTKVTILCPQLDIGANSDVWDEALQGSAPGEQRSSRSGAMGIGYEKVAEAGKV WDKGRNWTTVAIEVVPGTLPGGGRRRASRANDDFNDGDDDDDDDSLDGKNFDWSGQSK DPNEQLQPDEDVLEIPIFVRMEWDSENQMEQSVSKSSDTVKRELAYWMVLGVGRISPE LEG ACHE_21042S MKDSQARLAMVDSLWSHTQRGLIHIGDLGEMVSMLILLYSFDKV HGNGRPRPITVVDLFQALLPKKVHKKLARRCQKDSKFRQVWSGSAFFSHFLGTEKNDR KVMTGTRRAYARNAAIVAPKFFKAVT ACHE_21043S MNLIDSMETETFKAFTPHDSPEDLKSPLFGVLMSLRGKKSGSLT GATKVVTTISPKRHIVMAAGLDDTLYPALEEQPNKPTASNSIVQRLKALLACTAYINV RQRTEYLKSYYPADDDDL ACHE_21044A MAAINKIAHNSPSRQNPSELETAIAGALFDLESNTQDLKATLRP LQFVSAREVEVGHGKKAILIFVPVPLLQGFHKIQQRLTRELEKKFSDRHVLFIAQRRI LSRPKRSVNSRTNQTQKRPRSRTLTAVHDSILTDLVYPVEIVGKRIRTKEDGSKTIKV VLDEKERGGVDHRLDAYGEVYRRLTGRAVVFEFPQSGSADY ACHE_21045A MSEPTSANTPKPSSSVKLVLLGEAAVGKSSLVLRFVNNDFQENK EPTIGAAFLTQKCSLPTRTIKFEIWDTAGQERFASLAPMYYRNAQAALVVYDVTKPSS LTKAKHWVAELQRQASPGIVIALVGNKLDLTNDGSNEAAGESTSTEGGEDEATNDAGN ENQAEEATPGDARKVSTQEANAYAEEESLLFFETSAKTGVNVADVFTAIANAIPESSL KTGRGAGTGQTTLGGGRPAEDTRVNLGERATATPKEGCAC ACHE_21046S MRILHPLARYPGPLLASLTYGWKAYYVYKLFFHEKLIELHQQYG PVVRVGPNHLHIWDGNAIAPIYKGGRSMGKSEFYDAFTAFNPNIFGTTNDDIHSLRRR QLSHGFSQASVVNLEPLIENEMKFLQSRLHTFAQTGEIFDLKLLLSLYVFDILGSVAF GKPFGAQQRGYEEVLPAINDHLLLAGIVGELPLQNITKTISRWSPIPWMRRLMKNRDA LKKICAECVKYKINNPTERQDLLKNLVEAVDPDTGSTLTEQEINSEAFAVLVAGSHST SGTLTLLFWHLLQNPDTLAAVVDEVESTLPPLANDQIAYPIQGLESSLSYLMACVREN FRINPVFTMPLWRRVGRPGGLEIGKYHIPYGTNICISNYVLHHNPAIWGNDHNKFNPS RWLDEKRSKELSRYLIPFSIGHRMCIGRNLAMTNILKTVTTLLREFDIKPVTKRQTVR VHSPGIGEMDGEFLCTVLVREG ACHE_21047A MGLSWKKTTATTKTPPQTTTKNTFAFLGEWLSLRRQGQNITHTP MGYVCQGWQLRSDHPFFTQRLVDVDVSSDGCTYHPSSQSEFEIDEEVDREDEDGNEDY VEEDKNDDEGTG ACHE_21048A MHTWQSLQQPERQGLEELYAPEKPSAVDTSSMALSGELYSFERN LQHRQEQS ACHE_21049A MTIDRTLELTFNHVVLPPKLSGEQDCKVEDIERELLSRLLCAIK TIGSCGEDDLPIWHNIVNTLQSCGPVDEKRYVNKVALTNVFHDLDSQHAVILRIAEQN AECIQEVIFEAFEASPTAEETLASQGALQWDFPSVAVSFRSKFEKSVFQDSLVSFLEK ANLEPLDELAAKTSKAGVKFLKLAIQLTLRS ACHE_21050A MPKSILSVNAGSSSIKITFYTFELPPRELANAQISGITAPPPTL KYTKGDQTHKEELKDKLSTPQDAFKFLLKRCFSDPELSEVAGSDDLSYICHRVVHGGD YPEAVEINDETYHTLRGIEDLAPLHNFSALEIVRTCREDYPNAKSITFFDSAFHQSLP EHVRTYPINQEVAKSHGLRKYGFHGISYSFILRSVSEFLDKPQEKTNLIVMHIGSGAS ICAIKEGKSVDTSMGLTPLAGLPGATRSGSIDPSLVFHYTNEAGKLSPASTKEMHISM AEDILNKQSGWKALTGTTDFSKIAVENPPSHEHRLAFDIIVDRMLGFIGSYFVKLDGQ VDGLVFAGGIGEKSALLRKTLVEKSRSLGFAIDESKNDQGPKDDQAVLDISKESGIGR KVLICQTNEQFDMAYNCVLTKK ACHE_21051S MPGENIDRPNPQPLPSHIPDSVNELQVRLQNTYLDQSACDSLYK FRRAAAYIAAAMIFLQDNTLLKRNIKHEDIKPRLLGHWGTCPGLILVYSHLNYLIKQL DLDMLYVVGPGHGAPGILSCLWLEGSLGRFYPHYSRDKDGLHNLISTFSTTAGLPSHI NAETPGAIHEGGELGYALSVSFGAAMDNPNLIVTCVVGDGEAETGPTATSWHGIKYID PAESGAVLPILHLNGFKISERTIFGCMDDKEITALFTGYGYQVRIVEKLDDIDTDLHC SMRWAIEEIRKIQNAARSGQPIMKPRWPIVILRTPKGWSGPKELHGKFIEGSFHSHQV PLPNARKDKDELNALQVWLQNYKPEELFTEKGDVIDEIKSVIPTSDSKKLGQRFEAYK GYVAPDLPDWRQFGVQKGTQESAMKMIGKLIDKVFVQNPHSVRLFSPDELESNKLDAA LAHSDRNFQWDEFSNAKGGRVIEVLSEHMCQGFMQGYTLTGRIGIFPSYESFLGIVHT MMVQYAKFMKMAQETKWHQGVASINYIETSTWTRQEHNGFSHQNPSFIGAVLKLKPIA ARVYLPPDANTFLSTLHHCLKSKNYVNLMVGAKQPTPVYLTPEEAENHCRAGASIWKF CSTDSGLRPDVVLVGIGVEVMFEVIYAAAILRKRIPELRVRVINVTDLLILDNEGAHP HALSNEAFENMFTEDKNIHFNYHGYPSELKGLLFGRPHLDRATIDGYMEEGSTTTPFD MMLANHVSRFHVAKAALQGAARWNEHVQLMQHELVTQLDQEITDTKKYILANRQDPEE MYDMPSFD ACHE_21052S MYSDGGFSATKCGNTLCDWAYLTDPPVVDVKQDVRLACSIACHD ADGQLYSAKNEQAFHMDCQKRHSTAWFHRTSQDSLKDCINS ACHE_21053S MSDQALTPQQQMVQQLRIGCHGYIDGFNTSYGYVPSLAAGIVFL VLFGLSMIAHTVQCVWKKTWWTMVFTVGCMTEVIGWAGRTWSNQCPYNSDAFLMQIST LIIAPTFFTAGIYVLLGSFIKLLGRESSVLSPSLYLWIFCTCDVISLVVQAIGGGMAS AEADKINGNTDPGTYTMVAGIVFQLASITVFVICAADFVRRTIRKRLLQRVNGSIVPL FAAMIFSVLCVYVRSIYRTIELAQGWDGYLITTQRYFIALDGAMMVAAVAVFNVFHPG WLLPKVADGSDSQKKMRSEDGGEGIEMQ ACHE_21054A MPSHKKSTESTSAGGTRPTKESQTRKSRISSPIRFILVVLSSLA LSSGLFLLTSGIHLDELRIVSKPLDSPWEIGSLLAWRAVEVGLAWLLGYDGRDVLSFI FLTHLPTYTLLSSFYKIRPTTILVAYAITLFSNTIPFAFFRRSASVHDLARAPSSAVS NRNILQDRPTAIYTTIAATSIFTVTLYVSYVTWLPAQLVVHFENIPDISLTHAGPAGL PVLFFSLLPAGWAAKDFLFVSSAGATSVSDPGSEKSRSQQGEYLACAFYRKTWGALPT KARVLIQRTITLATVTVASTVVQLVGTIKGANVEGATAWGAVWAIATLAVGLTFGWIE AVDGV ACHE_21055A MLTRNLQRPLYTRLGLSRPLRVTRTSPSSHILRRLQTQAQPPQP SPPQDVGDFGADGEHPEFGLKQTLWKMFEAAATAAASIAILGAAGYSYHRYYKHLVLE KIDNAFKPGDPALEVAGVEFGKHQYNHEEHWVVRDEQTKMDKIISGQAGGHYYLIIGE KGTGKTSMILESMRKINGDGVAMFEAHGDLEIFRVRLGKALDFEYHEDYIGSLFSIRG PRDTTPLLDIERAFNKLEKVAVSRRRQGNPPLVMVVNSTHLVRDDHDGQDLLEMIQQR AEQWAASGLVTTVFNSDDYWVYERLKRYAARMEVIPVSDLPKDKAMAALRNYRRQYFG EDSPYELLETIYDKVGGRLSYLNRVAKAEDMIKLCNDICEAEKSWFLNKCWILGTEMD DDVMDEQKHASAAMVLAKALVDKEKEMDKRYDPQIGHILPEIPLHEAREIMTRADFIQ SYDHDNLFHIDSRAMVRADSVPMQHAIREICSWDKFDKHLEGTLDRIGDIESLGRTRE LTIKDLWDQGKYKIAMLDHKGRENGTVEFSVMEREKDEDDSD ACHE_21056S MAQTNGDLEHSKEAPPAQPEQVTNGEQPEGEQEDGGLFQITVKL PHDPHKIQVMVSSQEQVQDVRQSIIELPGTFQYTCFHLEFNGKRINDFVELSEVPALK ADSEIVLVEDPYTEKEARMHIVRTRELLGAAGDRVDNIQGVSAGLSLHDSISEEAVAA AEKEHALSKYDIAGSSSLKTILPREEAPLPKTIKSISLSTWNPPPYHLRQKGHLLYLQ LTTNEGEQFQITSHVSGFYVNKCSNAKFDPLPKTTPKKGSAHSLITLISQLSPSFESA FQELQEANNKKDLLTTFPFQNAIPNNPWLVPSPSANANAHQPDITRSQENYLIAGVDN AETLRDWNEEFQTTRELPRDTVQDRVFRERLTSKLFADYNEAAARGAVLVARGEVPPL NPTEDRDAQIFVYNNIFYSFGADGVGTFTSEGGDEAARVAVGKDVLGIKAVNQLDING LFTPGTVVVDYMGKRIVGQSIVPGIFKQREPGEHQIDYGGVEGKDVVATHPDFKPVFE KLSKALRIKQHPVWDKENTRHDLEGSVETKGLLGTDGRKYVLDLYRVTPLDVSWQEEE GNDAYPHQMSVLRLELVESYWRHKMSQYVKAEVEARRQAKAEEKETAKAENPESKEED KKTEEQPEQERVDISGFNLALNPDVFSGQVPQTAEEKEQWAQDEKEVRETCDFLRTKV IPELVQDLHDGDVGFPMDGQSVSQLLHKRGINIRYLGKVAQLSKEKGSRLNALTTLVV QEMITRAFKHIANRYLRNVPAPFTASCAAHLLNCLLGADVNASPRADIDKSLRAIYPD GDFSFEKLDPATLRAEIEQQVKIRYRFTLESDWFNSLRHLQLLRDISNRLGLQLGARD FSFTKSQLPVVPQAVNGTNGATEEAKSSSKKKKKGGDSANAANRPAADNKPAVTFVPD DIVNIVPLVKDASPRSSLAEEALEAGRISLMQNQKQLGQELILESLSLHEQIYGILHP EVAKLYHQLSMLYYQTDEKEAAVELARKSVIVTERTLGVDSHDTILAYLNLSLFEHAS GNTKTALAYIRHAMDLWKIIYGANHPDSITTMNNAAVMLQHLKQYSDSRKWFEASLSV CEELFGKQSINTATILFQLAQALALDQDSKGAVVKMREAYNIFLNQLGPNDRNTKEAE TWLEQLTQNAVSIAKHAKDIQARRLRRINMASRVSSLGTMVQPQVGQSAAQTTGAGGS GMDARSIDELMKFIEGGDAGATRNKQKKRAAASNPKLRGSKKSSE ACHE_21057S MSDIRPTAKWANRILRPLTSIYRRLEKHQENLQTVANTKIVKER SGVPSTGIGSASTSRGSSKAPTTTATNADRDSGSDGEEDDPAWVPGKPEGRKIRHNYS SRGKRSASRGGRRRSRMSIRSPEVQKTLPGAIEIATPLLAGKLARGGLSGETSARRRL FRDASPVMDELDVEDTRKTTRPYHNFTASYGYSGSWREALDRSGDPGLVEIARLLDRL FLTFLHNTRIRATASLSSKQKSRGARSLLSMTVRRLPEFIAEEQMAQDEADEDGDEDM CDAYFTELEAHYAPSGNGWQPLREAVRAQGIYLVSEMLQKECVTRHIACHLLEECMNN QEHDAFESLLSKFLCTMDEIYDYPMGFDSIISSSSREDPVQLLATYCSRFPGRRSSVY EELAKLLVRRVVPAEWMVTAQWKKYVDAAIRSISTGADDSVAAAHLIEAVVLSASGVF PVTYETSVGCEDQLCPVPVKDALSNLTLSLITALCGMYLARSRSPTPGTEPEGVNLRV RAIVGSLAFTVQQKIEIRSSPEVDFSAFHSLRRGCILLGERLLQCDEVSYDTFQPNSQ EPRNIDSFYVSLSSQSGVIKELAILARQVFRCCERVHGSDAQPGTSPEVRAKVGQLAE FSDEHGLSTFLGKVAAETAMQLAEATVYPDDHVWAAEIQERVVARQQELSYRTRVYES EDDDDGPGLYRWEESIEEWVARTPKSKSKSADFAQISPVETDLDSRASSPASFASVSA SSQSDEDSASSVTSASSLPVKRTFPGRGEPSRAPKRLRSMNWKSRDDQMQQISAIPPT PATSDDSADDWEEYGYHPGRRGMLDTWTQERSLQRMSVPEGGQSVGFQRMLEVVITHK RQTRSPNMGEMRANKPQEGSSYSADRQLRPRRPGRHSWASSLAKRTSTGSECGSSSAG TTGNASLVGTTIIPRTPAMIPMIPCSPKDDSDDELSFL ACHE_21058S MTSIQQRSSANSWAFHEALNDELARITVLVLGLMAAFVYIWQLC HRFSAHLRRLSSFSNDRQRYFLSPHSTLSKIKNHIVYAPLFRGRHNREFQLSTAVNFG TLPSRFHTFLIVGIVVMNVAVCVVTVPYGSDEHTVSGMVRNRTGTMATVNLMVLVLMA GRNNPLITLLRVPFDTWNLLHRWLGRIVVLEAIAHTFAWAIPEANQANWDMVGMVFGM SNFMLCGLVAACCFTGLMVHSPSPIRHAFYETFLHLHIAMAAVAFGFLWIHLKGMAAQ NYLLVAIVFWALERATRLARIVYRNCGRKLTTAVVEALPGDALRITLNLARPWAFEPG QHIYLYIPAVGWWTAHPFSVAWSESSEVITDEKGIPMTHQDALSTHQKTSLSLLVRRR TGFTDKLFVRAQNGMDSRVTLKAFAEGPYGSIHSLDSYGTVLLFAGGVGITHHVPFIR HLVQGYADGTVAARRVTLVWIIQSPEHLEWIRPWMTSILAMDRRREVLRIMLFITRPR NTKEIQSPSATVQMFPGRPNVDTLVGMEAENQVGAMGVLVCGNGGLSDDVRKVCRKKQ DGSNIDYIEESFTW ACHE_21059S MENHDPLKEIVNPQQYKIIKDLVHNPDATVDNALQQIVDLILTA HATPNEEEYFTPGNVDYYTSLGLMNLVQDLEPTKHRKLVEFLYGLQKRTARDPSSGEP FRTQGNIFWTDLPSCGYTELEIWQEFGGGYKDPGTLKLRNEQRQRWLKLTAFIAQFNQ AADVSYEPPLDQGYNIHPLDRPHRAVQTFQLVLENDDAPMHRLAKTATMEATCIWSIY SADRLWKMSDMVGLTVWTLDWGWGVRVSRIGG ACHE_21060S MLHRPILKELLKMSQPDITLYTAQTPNGIKISIALEELGLPYKV QKIDISKNTQKEPWFLAINPNGRIPALTDTLNGHPINLFESGSILQYLVEQYDKDHKI SYPQGTPEYYAVNNWLFYQNAGVGPMQGQANHFSRYAPEHIPYGVTRYVNETRRLYSV LDQHLAGSKSGYLVGDHVSIADISHWGWVAAAGWAGVDIEEFPHLKAWEERMAAREGV EKGRHVPEKHTIKELLKNKEEMEKKAGEARAWIQEGMKKDAK ACHE_21061S MAWRNQGITGSNNIPLGPRRRFGDEPQDDDSRTATPASIGDTSY KRGRSPVRAEAPADGVKKRKKRNRWGDAQENKAAGLMGLPTMIMANFTNEQLEAYTLH LRIEEISQKLRINDVVPADGDRSPSPPPQYDNFGRRVNTREYRYRKRLEDERHKLVDK AMKTIPNYHPPSDYRRPTKTQEKVYVPVNDYPEINFIGLLIGPRGNTLKKMETESLAK IAIRGKGSVKEGKGRSDAAHASNQEEDLHCLIMADTEEKVNKAKKLIHNVIETAASIP EGQNELKRNQLRELAALNGTLRDDENQACQNCGQIGHRKYDCPEQRNFTANIICRVCG NAGHMARDCPDRQRGSDWRNNGYSRGPRAIGAGDAVDREMEQLMHELSGGAPGEEAPR RIEAGPGHGYDDRDMKPWQQRGPPPSDVAPWQQRGRDNRSRDDYGSRDYGSQAPWAAQ SRGGDYGYGAPGGGYGAPGVAPWQQQAPPPPPPGGQSGYGYGGYPAYAPGMAAPGAPG MGVPPPPPGMPSMYGTPPPPPPPADGPPPPPPSDQPPPPPPPA ACHE_21062S MQDPAASPSPEHLSAPQGNFHTLSQEPAEPHSRKPWQSSRPQSS RMGRPQSQQQQSHPHAATPTAGATTNSSIKPPKWWKVHLFRGMIKDIKRRAPYYWSDW IDAWDYRVVPATVYMFFANILPALAFSLDMFEKTNQSYGVNEVLLASVLGAVVFSLAA AQPLVIVGVTGPITVFNYTVYDIIAPRGTPYLAFMCWIGIWSLIMHWILAITNACNGL TYVTRFSCDIFGFYVACIYLQKGIQVLTRQWGAVGETSAYLSIMVALLVLMSGWICGE LGSSSLFQRYVRKFLEDYGTPLTIVFFTGFVHIGHMRDVNVATLPTGRAFYPTMERGW LVRFWDIDVGDVFLAIPFAVLLTILFYFDHNVSSLIAQGTEFPLRKPAGFHWDLWLLG LTTFVAGLLGIPFPNGLIPQAPFHTASLCVTRDLADKDDTNKGKAVRITDHVVEQRVS NFAQGLLTVGTMTGPLLIVLHLIPQGVMAGLFFIMGVQALQGNGITQKLIFLAQDRDL TPASNPLKRVERRLAIWVFVILELIGFGGTFAITQTIAAIGFPVIILFLIPVRAFLFP LWFTSEELSTLDAPTASPFTMESVGGTHCVDESADSGSNHDQGQSKAPSGEAAMMRRD RSSSGSAVEDDLERGEAYEMQPQPQQLSQSQSRGSVRTRRSTASGMEIQPSNY ACHE_21063S MAPSSPAESISDEPRDFSGSYSSDQEENRDVEIQSRAPKRRRLS ESDDNDSGSESESENYEVDEVEDDGDDEPASAPAPLPTISRIKKKDDKPAPAPQNDKS NPELIRDAIEIGLQNAESSFKALNVAPWLIGSLTTMAVRKPTAIQKACIPEILKGRDC IGGSRTGSGKTIAFAVPMLQKWAEDPFGIYGLILTPTRELALQIFEQVQAISAPQSMK PILITGGTDMRPQALALAQRPHVVIATPGRLADHINTSGKETIAGLSRVRMVVLDEAD RLLTSGPGSMLPDVETCLSVLPPSSERQTLLFTATLTPEVRALQSMPRTSNYPKPPPF MTEISPETKGNIPPTLHQTYLQVPMTHREAFLHVLLSTESNISKPTIVFCNRTATADL LERTLRRLSHRVTSLHSLLPQSERSANLARFRASAARILVATDVASRGLDIPSVELVV NLDVPRNPDDYVHRVGRTARAGRKGEAVTLVGQRDVALVLAIEERVGRQMVEYAEEGV NVESRVVRTGVLKEVGVAKREAAGEIEEGRDVLGRKRNKLKKVR ACHE_21064A MSPVQFQPSPVKDGRRVLGEKPANACLSPAHNRTIDAATSPLKR PIFDQRHTSSSPKKLLPSPSFGPAGQKRTIDQVEENRPAPVNKGSLHVVREEEETTRY TGHDVARSNQKETELEPEPPHAMDTSRPQEQKQALPIESRQHNLSTDVLNNPTRTIPE DPETRKVFIQEKANLLRTRIQSAMRHVRDHQFDRRLSELEAHSRKYPRLTKKPQQTGT LQKTRSNVTTAAASLLSEPETEETTPRTLQPAPELKARAEEGPTSKNNKDDNNTSAGL SSPPLSTNNTTDPMKTPTQQTYQQSREGAPGSPMQLSSPPASISRDRSDQHEKNRCDH DTENVARTPSQQGDAVDGLLKLMNTAERREHSA ACHE_21065S MRSSLAVLATAVVQAAVGTASTLTPPVLPLTVRNPYLSTWLGSA RDAPWSSWPMFYTGEEVGLSVMAQIPSTGSVYPLVGKPHESLGLKVEYPTYLGAKYDA STTNFTYLLDTSTSSAAAPLEITVSFLSPITPTSTLRQSIPASYVTVYVDGDINVNVY MDLNGRWVSGDQGSPISWEHDVIDLEQALQRWQIRRQNELLLSEINDRAEWGTLHITG PADVQYQSGDAGNVRQAFAGTGAIRNSNDGEFRAIGDREPVFAFSKSFVSKQQRGKIS DSVTFTIALIQDPVVQYASARGITLMRPLWQSWFSSVEALLQFHYHDFDNAATLASDY SNQLAKDAYLSGAEDYVDIVALSARQVMGATTFSGTPEDPILFLKEISSNGNFQTIDV IFPAFPFFMYTNPRWLAYLLEPLIEHMLSGQYPNKYTMHDLGTHFPNATGHPDGNDEY MPVEECGNILIMGLALVNSLRYNNDAAASSVWSTQGMSPKVSDDNAGYFPLDSLQTLS GIGQQDGKWGGGTQGEQLAKKWVQRSYRLWNQWTGYLVEFSLEPANQLSTDDFAGWLA LQTNLALKGIIGINAMSKLAEVVGEDKDVSYYKSISDTYIAKWEELGMSRDGSHAKLA YDWFGSWTTIYNLYADAQLCFHLDGTSLSPKGHQRQEPLGDAPGKNKVGFVPRHIYQR QSLWYHYVRQKYGLPLDSRHLYTKTDWEFFAMAVARRDVRSEILESVATWINETITDR PFTDLHETEGDGNFPGPNFFARPVIGGHFAFLALERACGGRAMEGLSFLDEEPAEAKE WTTAAIKAANEFATTHYRFGHGSADEEL ACHE_21066A MAEIRSKNVYELLGNDPELDPNRAPEPPTKALDKPAPRVGKRDA PKEAPSQPRENTGRRGQRFQGNEAAYRDRNAGRRNNVDRPVDEANNPDRRGFNARDNR GGRSRNDRQNRSGVTDSRKQINQGWGGQSGDKEFDDEKAGQKIAQTDENEPQTPAAEE PEEPADKAKSFADYLAEKAAAGDLSAKPIRAANEGTKPDGKWAQAKEFKRGEDEEEYI KGKEDKSSKGPKQRKEKNYLDVDLRFVEPPRSSGERGGPRGRGGRGGRGSGRGGRGNG PRAGGERGGAAPVTVDEKNFPSLGS ACHE_21067A MLRYKNSLLERILLEKGIDVQAELRLKTGTPGGPQPKPVMAPKP PTTLERAAVNRNSVQRHPQAGIAPKSAESFGLPQHRDGAYGMPSPQFQATPPSHVSSP SHTKSPGFGFQGAMSPIGMDAQVQQQQGRSQLLPQSRNLNQASPPMGLQQSDNDPKAL PGSRNSRVPSAAYYPSPFQKHYDQLEQEYDAQADLVDEDQDSVDPSSYVPGFNPSSGA SGSHAVGSHALSTFTPQSEASNGAYGNSNQMLGNYEPMLDADPFGLSASMHFQTPFSY EQTHARH ACHE_21068S MDQSTSTSVRPEKPHDATSAAPSEGKQPQPTPPAKVEQAAQKDE DGDDDDGDDDESDLDDLDDVLDDFSKPANANKQKQPENAPPQPTITTSESEPGNDDDF DEQAFMKQLEQDMANMMSQAQQESGSSDNKEFQDTIEQGADAFAKQLEDSGVPPGDFL KQLLAEVMAEESGDKDKSAGKGAGSSSADTKANKANAQATAATAVTDKTPESFNETIQ RTMNRMQESGDKATAAASEDDDIPDEVLLQLLKALESTASGNEDDIQKMFQGFMEKVS SKDILYEPMKELEGKFEPWIAEKKGKGELSDEDVKRYQTQTKIVKDVVAKFEEPGYSD EDPKCREWVWERMQEMQNAGNPPDELIPNPLGDMSGAGGQGAVPPDCVPQ ACHE_21069A MFATQPSPQPQLPFTPRTPRCHNYTPARSSPLSPSSDRKMSSSQ PQFFHFATQHQQHQSSPPSTPQSHFEFQFHNQQTTPLTPPASNTKQSTYAHRYTTQTA NPLSSIRRTTGTSPAARTQRRNLFLNKIKEDRDAGRFEARGEQLVLMEDVKEERQWRE SMGRRAERIMREFGIDGDVDGDGVLGLTEDETAQLDEFLSQEQEMEMADMELLHSLEQ EQQQQQQQQQQQRKRQWKQNGETNSFSDEEYDDIFMDLPDPASQDQGMDMSSG ACHE_21070A MRLASLSFLLYSLSLVAVTSGQSAVVSDISDLVTSESSAPSATS TSESTSSSSSSSESETPTTTSEASTSASSTSESETTPTSTSSSSSSDTTTTDGPSSTS ATTTSDATTTGPTKTGATTTSKPSTTSQVVVVTTVQTISGTPVPTTMSSTSVNTNAAG EATSSPGLNEDSSSDSDGGLSESNKKVVIGVVVGVGGAIVIGVIGLVFWRLRSKKNRG DSDEAADLMSGTPVGAGAREKAPSPGAGGTPFRSTLDQYHNPGPVNAASNF ACHE_21071A MGFHWFWLRTVEAWLTARLLASPSFHRMVGRVHQKVQHIRHGVP PEEMGGTKIDKNNDGLQRFARYFKEEIKDQLKGKPSNKP ACHE_21072S MAHPTIKIDTNVASKRGHPEDDDDGLDVLDEEDLDNPELEEGYD EDLPPFLCQSVFDIYRKFEDLSWMESVRMHEGRVSNDPLHRWALDEDFEVKSRNRYAN VQAWANSRIRLRVPEGACDYTNASPIVLEDTVTLAERRYIASQGPKEGHFAHFWHMVF HESREVAVIIMLTQTFELGKEKCAQYFPLDLEDPTMLLEVDEDDPFVIDEEHDKEDAN ILGEITLLESTFDQKCRSEIRKLELKLGSESKIVWHFLFAGWPDHTKPEGEDRAALLE LFDLSASKCSPNSPRVVHCSAGVGRTGTFIALDHLLKELNSGQLLNGTDPEEDPVYET VDRLREQRMMMVINPLQLQFLYEVLREQVNIKLGKTTESPKSTGNERSPKMPKLSNKS DLQASTDHSSTSDRSWSEVSDDE ACHE_21073A MRIRYSFAGAFILLVLVAAYAGLLPHNTSSPIPPNLQPNDKFLH LITFFLLSLIFYWIFDTSRRRALHLTLLVCTLALGVGSEVLQGLLPNDRPFDPLDLLA NLVGSLGAVGLCGWYHRRMLERRRKARYGALADDEVAGDDVELSGMGHGRDEESGLGP QENGVMSLEQEVDNWDENAVDRWDEEDGLDEHGNGVRDQKAGGAGATAGIDEGKKRSD ACHE_21074S MSLGHNHHAWLPPGIPRPPDDSQDSKLTNGHPKSISGSRTPLVR GSFAADGSHAGNSISETDIAVEEDPRIAIFRDLYKRSEAKIGSLFARDRSAEEDAGDA ALPVADAGDSETKTLRADEPAAPPPPPPKKPARKLDDDDYDDYDEDEEAEEPPAAESA QSPVKHRSSLAAPSSQDLGGTPSPGHLPSVATSTGTDGSKEMKKETLEEIRKKLEEDK RATEEAAKRSFHTLFYTLENDRDAMLDQQRLEESERQVEAEMSGQANTGNDNANSTQS GYGSLSSANLGASSLTLKNLIARIDMKRTMVQASDAELRSLMSEVRKNRSKWASEDKV GQEELYEAAEKVLSELKAMTEHSTAFLTRVNKRDAPDYYNIIKQPMDLGTMTKKLKAL QYKSKQEFVDDLNLIWANCFKYNTNPEHFLRKHAMYMKKETEKVVPLIPDIVIRDRAE VEAEERRLQMADLDGAEESDDEPIMSSRGRKAPGKSAAKKGAAPARNTPSGSEPPGAI SSQPPPLVRADSHVDTEGTQNGFGTPPPSTQTPDPAGLGAGMPASQDDSMEIDGMVTS NAALSAFSAPGVDMEDPEYKVWKQVTKKDRAIIAAERHRLFKGDKLNSDEPALLRTKA GMRRWLRNQTQSHPDGDKTRESSSQGMESDAAGKTLAEGIEVEEDKVIPDYYDIMSGI PDLPDQILWREDSDGNIVDASEEFLRILPKGTFTQPESKLTHKFDANMRQMQETRKIC SKIGIVKQMQLQSQMYQNQFQKYNPEPFSEQDIPSHVMNDQGPVTSPWVCKSALQRSV AKLFYHAGFEEYQPSALDAVTDVASDFFQKIGETLKSYMEVPKVPASDSPESTTQNSQ WKRPYTEPEIVLHTLSSVGIDIESLESYIKDDVERLGTKLATVHDRMKSLLSELLRPA LADGGEDGSRAFADGSDQFASGDFAEDIDEDFFGFKELGLDKEFGLATLSVPFHLLQN RISSVQSQNTSSTQVINIFPSPPQYPRITTESLSSQIGLVQEFFKSKLEARNNEPLVE DLELPPKQRPTATRPRLPASGKIAPPSHIGGPASSPSKRPAPPGASSGSKSGISEPNK KKVKKNSGAGTGIPEDENSGGIDDAKLDSNAKTNDANGEEDAAASSFGDFGGAKNGVD NSVMSDGAGELDEQVNKTGDGVAPLTNGTAGDAS ACHE_21075A MSTNNSRPAFAGDPTLDNPKLIIDSCRNVDLPLAAFNLNNMYAI PTENVPRPGFNNTGKEVEVSMNAFGITKYPSRTVYQYDVHIGNGAEKTAVIKKVWSSN ARKNALRQTIFDGQKLAWSMTNYRDGLNVEVDLDSEQGRPAGKTPNRFRLVVRPTKTV NLAVLKGWLDGKISMQESVLEALNFLDHVLREYPSQKFLAIKRSFFDENGENQDLGNG VLAFKGVYQAIRPAINRGLVVNVDVSNTCFWARTSFMGAAMAVLDARDRQHLIHLLKP IDDGHGGKTESNGFYEIHRRLKKLQVQPHYRGCPVLGTNFIVKGLINGNARQYTIDLV DKATGKKETINIETYFRRKYNLALDYWELPMVEMTKKGVVYPMEVLTIHGLHKYPWKL NEYQTSHMIKYAASRPADRLNSVQKSKKMLDHANDPVLQNFGLQIDNNMIRTKARLLP NPEIQFGGNQRHNPGTNGRWDLRGKKFYQPNQKPLEAWGVGYFVGKRNAVNRTQVESF CDSFIKLYMGHGGSVSKRPNIVELREDIGEAVKRLYNTTGVKFQKDPQLLVIIVPDKN SFTYARIKKSCDCRWGVPSQVLQAGHVAKGNPQYVSNVLMKVNAKLGGTTSRAIPKVP EAGLRSHSMIIGADVTHPTLGVWSPSMAAMAVCMDTFGGRYWGACETNGERNEMIARS NIEVMLTPLVREWMATVGRGRAPEHVYYFRDGVSTGQFDQVLQQEVFDMKSIFMKLTQ DQWKGKFTVVVANKRHHLRAFPKPGDRNTSDKNGNPLPGILVERDVTSPHDWDFLLYS HIALQGTSRPVHYHVIFDQIKHRPQELENMIYDHCYQYMRSTTSVSLFPAVYYAHLVA TRARHHEDVPASSGPQSGPEVKMTNPKPANRPVDPRLLPIHGTSNRLTFGMWFV ACHE_21076A MAEDDAEKAFFQAQAMNADSVDFEKTVKEQGADSSDSDDYDPSK TLQDEYSASQSENVSNDPSPSDSNPPQQQTPSLSEPNPSQQPGGTVPSETPSRTESQT PASAPQPKARTIGGFVVEDEDEDEDKGDGDYEPPAVLGVEEDMNTPPVNMPQKPVSGN VNQSTSTPDVSLQESATFQNAPNSSYPSVAASASHNGTPTAAGQNLYGSQALQSDSVQ ASAAPTPTPESPSASKSRLPHDRVGILEDRIQEDPRGDIPAWLELINEHRSRSRIDGA REVFERFLKVFPFAAEQWVAYANMESDISELFRLEQIFNRTLLTIPDAQLWSVYLDYV RRRNPLTTDTTGNARKIISSAYDLALQYVGMDKDSGSIWSDYVQFIRSGPGNVGGPGW QDQQKMDLLRKAYQRAICVPTQAVNTLWKEYDQFEMGLNKLTGRKFLQEQSPAYMTAR SSYTELQNITRDLVRTTLPRLPPVPGTEGDVEFAQQVDIWKRWIKWEQDDPLVLKDDD PAAFKNRVVYVYKQALMALRFLPEMWFEAAEFCFLNGMDTEGNDFLKQGMEANPESCL LAFKRADRLEITSDSEHDPIKRGIKVREPYDQVLDALYDLIAKARTREALDVERMEKM FAESYPEKQPSKVEDDDDDQSDAKARESMKNAQIGAVKNAHAVQIGILSRTISFAWIA LMRAMRRVQGKGKPGEAPGSRQIFADARKRGRITSDVYIASALIEYHCYKDPAATKIF ERGAKLFPEDENFALEYLKHLIDINDVINARAVFEMTVRKLASNPDNVHKTKPIFSFL HEYESRYGDLIQVINLENRMRELFPEDPTLEQFAHRYSAPSFDPTAVRPIISPSQARP KAVYPAAEPSTSRQGTPPRYTEAPVTNSPKRPLEDLDDDLGRPRKFIRADSPLKAVQG RRLDQQKRPQQVNGSLGSQFRSQGSPAPLPREIVNLLSVIPPASTYNAGRFSPERLVE LFRRIDIPSSIGQVPLPGRGLGGGQTPVGIQSFAGIFRP ACHE_21077S MSVVSLLGVKLLNNPAPFLAPYQFEITFECLEQLQKDLEWKLTY VGSATSSEYDQELDSVLVGPIPVGVNKFIFEVNPPDLKRIPDSDILGVTVILLTCSYD GREFVRVGYYVNNEYDSEELRAEPPAKPIIERINRNILAEKPRVTRFAIKWDSEESAP AEYPPDQPEADNLDDDSNAYGAEETEIEAALLKELKDAESQEPKGEDHEMEGAEPAAG EEEDISDGESEDIEDESDDDDEEDEEEGGDGEEDIEMGDDSEQHKAASAPQHQQADVM VH ACHE_21078A MPGDANQSVKRRKGGGKYQKQSKGAIVESGDWGVFVTCDMGRES KCIGEALDIFSQTAEEIAGGDDDGESSSNEDDIEAQIRREVEGLKPGKGKPRKFQAIR MEVPCVAFIKFDKSINPVQMVHKLCLEAQEHPEKKRGRYIQRMTPITQIKKTLSVDLE AFAKEILEPHFHSGGPPKKFAIRPSISRNTKFDRNTVIKTIASAVGGDHSVDLKNYDA LILITVIQNIMGMSVVGGDYDQLKRYNLAELYSPTPKPQASEKKESKTRESKS ACHE_21079S MATDIYTRELRQPIDVAEYLFRRLKEVGVRSVHGVPGDYNLAAL DYLSKCGLHWIGNCNELNAGYAADGYARVNGIAALVTTFGVGELSAINAIAGAYSEYV PIVHIVGQPHTRSQKDGMLLHHTLGNGDYNVFTRMNAELSCSVARLEDTHEVATLIDN AIRECWVRSRPVYITLPTDLIVKKIEGERLKTPIDLSLPKNDPEKEDYVVDVVLKYLH AAKKPVILVDACAIRHRVLEEVHDLVKASGLPTFVAPMGKGAVSETHPNYGGVYAGNG SNQGVKEQVESSDLVLSIGAIKSDFNTAGFTYRIGQLNTIDFHSTYVRVRYSEYPETN MKGVLRKVVERMGAVNTAPVQPITNALPESEKDSKQQLITHSYLWPTVGQWLKENDIV ITETGTANFGIWETRFPPGVTAISQVLWGSIGYSVGACQGAAAAAKEQNNRRTILFVG DGSIQLTLQEVSTMVRNKLNPIIFVICNEGYTIERYIHGWEQSYNDIQEWDFVSLPPA FGAKDKYKGYRVKTRDELNKLFANQEFASCPHLQLVEVHMPREDAPAPLKLTAEAAAA RNK ACHE_21080S MDGQRQQYVPLPPPPSMQNSQSHIITSLPPPPPRHPPTQTQGVV LPPPPGPPPNAAYAKVPQQHGGLAWQQTWARQPVPQTFPPPPPPPLIPSNPTQNQHLA YNRQPAALSIPPPFPSSDGQPLTSATYIPMGDSFGPGVGIPAYADSYSRPTYDSYGQT AASQSYEPVNADPSHKRDAHAPATPLGRNGPSSLGLPDNVLSPGQSSSVNRTTELSKS PSHRHNNSSASLGGMSPNEASTQWPLERVLLWLAKNGFSEDWQETFRALELQGADFIE LGYGSNGFGNFGKMHKVVYPQLAKECEKSGTGWDSIREREEGKKMRRLIRQIHDQGHH DPGSLTPRRQEPHSAVDNSPRLEPAFPAHSAIESSPGLKASHNHRQNAQMRSVTMPNY PPSIHDTSSLDLNPPEASTWVPADHHRTLLSAVSDNHRRQRSNDSAHLPLSARPHEDS PKSGSPAAQPATLAHHGLSSSSTSDLSVRFEHSRGNSSDSTRGRYYERSKDQEGARPS PQDPYNRHWNGSETLHREQNKILKFFKKKARANDSSHPSPEELHLESPTSPVHTRGTY TPYGYPNYSRSDVSLNGRPLSGSQSDFERLPVRPKAAQKGKKFVFVTMDGWNYRLVDI TDIDSVGTIRATICQNLGISDWTSAQIFLTEPGQTEHDDPMDDSLLTVTQRTKSDPFG SLKFFVRGAHPHPGANNAAHFTGLGVSFPEKAAASPTTGPHHVHRKPLDEEALSRISP HHQPLQQTKSPVSKLPARDVSQPFIGVSSAADGSAEPTGTHLDPEKAALLVRQEEHSR EIERKQKANNITRGPPLTQQRKDAVYGETGYRREGVIDFDSPRISPYEDKKAEHLVNS LRKKDSDRPRIQQAPQPQPQPQSHGLGAALASMGRLTSAIGTPSTNPADNKAPKDTPD ELKAAPAAWNETPKTPIATEKSPISAKSPIPKPALQSRKSYGPEFDFEEANVSFQRTP DLQEDDSDDDDDDSDDGLFAIPIANQSQEKGQGSDTASPEAQKAARPSLTLDTEPRAS KERSVTFKTPSTSGESFVNGGGDNREIPAPTPFGAAESPEDERPPRRDSFARGDIWAS RPPVEGVIDNLDDFFPNVDLDAPYLEGQGGSPPTSPASKNPAEVDSHRTQRQDGYAPA SSFNQDGEHTVKPQDPGVVARRNVNRSGGGLTRMKSIREVAKGANQTSRSRSVAHSGP QKSGDILRRKSTKMFGAKIMQISPRPGRRLNQLDPIPQNKPSQEPVPQRQPTFRIIRG QLIGKGTFGRVYLGMNADNGEVLAVKQVDINPRLAGQDRDRVKDMVSALNQEIDTMQH LEHPNIVQYLGCERGELSISIYLEYISGGSVGSCLRKHGKFEESVVQSLTRQTLDGLA YLHHQGILHRDLKADNILLDLDGSCRISDFGISKKTDDIYGNDSTNSMQGSVFWMAPE VIQSQGQGYSAKVDIWSLGCVVLEMFAGRRPWSREEAIGAIFKLGSLNQAPPIPEDVS MNISPAALAFMYDCFTVDSSDRPTAETLLTHPFCAPDSKYNFLDTELYAKIRHVL ACHE_21081A MQAESSGLCQNIKPHRFVALLGRYFQIRDDYQNLTADEYIKTKG FCEDLDEGKISLPLIYTLQKAGAHQATLRGVFHNEAHGKSFQSK ACHE_21082A MRPETAGQRSRRRTVASGAPPEPKSNDIDKLGTLPEDPKSIDEL QNELEFLQWYGGVEDELLEASYDEYQSCLDELQTSKSHLDALLSDTSSTLNLLSSISE SFKAVESQTSSFQKKCEGLLSAQRRDLKLAAEIEENLQYYDLLDPASRRLNAPGAGNT VRGEDFSDMLRRLDECLDYMETHPGQKEAEVYRSRYRLLLTRALTLIRGHFVSALRDI HVDVSKKISDQQLNDTTTSALLYAKFKVGAPELKRIGLEIQKRAVPPLDPEQGNEAEY QSLLNELHSNFSATRGKLIIPLVRKKLNGIALAPSTSEDLVAFARGSISYIRGVCLDE FELWGEWFHGRGGLYDFLETICEPLYDHLRPRIIREDKIIKLCQLCTLLQTRYLLDPD DETEQVDANQLDFSLLIQPALEDVQTRLVFRSQAFLRDEIERYKPRPGDLDYPAHNRG ASISVTESQISGKKMVPADAVVSLSKQTKQEDGGDSPDQDSKWDFDESQAVLSGWYPT LRKAIWLLSRIYRLVNSTVFDDLAHQIVHQTNLSLHQASIQVSNKSPADGQLFLMSHL LILKQQIVAFDIEYVAPEVSFDFSGITSTFWELRERGGLFNPGNLMRLVGHGLLPRVV ENMLDAKVELDGRLRTVINDFINVFSDKMTSNLPSRFVDTQNLQRGELIYPTCQNVEN EVPGLRKILDDYLDDTRMKETLVGAVQDRVIQIYEDFFDKYTSSEKRKGNVVSKKGKG REDAVWDVDTFAEWCEGIFRVGVTGIRPGDLDDDDDEVMSSRSFEQEC ACHE_21083S MWANRARQPTTNILTLSRLVRARQPAISSWRRYASTNRGASNNS NWFRNSLGFAGAGTAAFLAYIYATTDSNKTGEETKAKGLPKIKEDLGTQLVQKKRSLR SPGVYLWGTNAYRVVDPDSKESVIKTPRSLSYFDGQMLRDLKLEEKSGAAINEKGDLI QWGKGFSESEFKPTETLIGKNLTSLCMSSDRILALSSDGKVYSLPISKEDQQSGRKPK ESSWVMPFLSGEAGVSYRRLQPNLGMTEKITAISGGSEHALLLTSSGRVFSVASSMES YPAFGQLGIPGLTWSTRPSGPVDICHEITTLKGTKITQVAAGDYHSLALSKDGQVFAF GDNSFGQLGVEYNASAPFIDTPVLLPVSKLYRANEWAPTVTQVAAGGANSFFTVDAQR VLGRKEDASAVRDLGCITADTWTCGRGIWGLLGNGKWTHLQDEPTKVKALSGLSEFDE STQKVSPIRLRDISVGTTHAAAVMGNSTHIHSASTKALETNEDWGYDALWWGGNEHYQ LGTGKRSNLARPTYINAPPAPEDKDKEEARLQVMPRHKGKAGKRTLTMEQRVECGRHV SGLYSAV ACHE_21084S MPREKQKRGRRAEEKSKKEVSKRKRDDAPEDFAPKRLKSGDEVE DAPMQEAPDYIPLDENYDNEQQPNNDMPFYGLLDTQEQEYFSQANEILELNQFQDAEE RRLFVESVYREAKGKELKLACSQSCSRLVEKLISSSDIRQVRRLFDKFNGHFLHLVQH RFASHCCETLFVHAAPGVTQKTSKPKKNKEADEMEEDEEDEPQRTLAEMFMGVVEELE GNWGYLLTERFASHTIRVLLLVLAGEPVDVASNDSVVASRKKEKHGIPTAETQDDSTI AQKRNVPESFEETLKKIMKDMVSVLDDTYLRALATHPVGNPILQVLVYLELSHFGKSN AKEINSITRRLIPDENLNEGSESASFIKGLLYDPVGSRLLETIVRYMPGKLYKILYKN IIRERIGSLARNMTAGYVVLRALERLGKDDLQQAMESIIPEMPGLIERSRLVVPKMLI ERCLVRGVNTEPLARALENSYDKDPATRLKQMLKLESTAQENGEESEEQQQQQGPTQT SAAEKLHGSLLAQAMLTVPGPLSQLVFSSLNSLSPELLLQIAKDPTASRVLQQALTQS TSTRQFRRPFTTRFYGHMEELALHSSGSHVIDTLWQGTKDLFFVKERLAQELSQHEMA LRDSFVGRAVWRNWSMDLYKRRRGEWAAKAKGRDQQSEGNGTGQGERPKSKIELARAR FAAKADDEAKKKEGGQKPVATKS ACHE_21085S MSVSAPSSRAEEVRDLLNAVEDLLIPFIQSADENPSNHEHATNG SNGFSKIKNGDITHPSTSLVDYKKPEELRNILQLELPQKGTRQEGLIQVLRKVLRYSV NTWHQGFLDKLYASTNAPGVASELILATLNTNVHVYQVSPALTVIEKHTGQQLASLFG LDGPYAGGISVQGGSASNTTSIVIARNNLFPDTKTEGNGGYKFVLFTSAHGHYSIEKA AQMLGLGSNAAWAVPIDKQGRMIPAELEKLVQKALDEGRTPFYVNATAGTTVMGSFDP FNEISAICKKYRLWFHVDGSWGGSFAFSKRQKQKLAGAEKADSIAINPHKMLGVPVTC SYLLASDLRQFHKANTLPAGYLFHNNEEDEANDGGPVQSDVAIDSPEVWDLADLTLQC GRRADSLKLFLGWTYYGTEGYESQIDSACDVAAHLASIVEQNPNFVLISENPPPCLQV CFYYAPGKDFVYPRSAAGVVSNEEKRGQQNSKITEQVTKAIVDKGFMVDYAPPSGDAD AVGNGKFFRCVVNVTTTSETVEALVRAIERTGPAVVEKLKVDAAASAVPAGVHRRPGE RGHGPVVHP ACHE_21086A MAATPALSSHERTRVEDYLNDKIQVSGDFESLDSLLNSLRAQHE LQRKQLAEAQEVLSIATTASNDHVEATRKRAEAFNEEQADIDRRLRAITKSDASDEAA HRFEASMNKLRRLEISRGYVALLKESDELSKEALKNISSTPQLALEPHARLKNIVSSL KAAQPAAEGAAPHLVDYVEKLVTGLGEHMKQDFTSRLQKNLEQMKWPSKDLQLSEDLL AQWRSNLELLLGLQTPDLQGRDVSSEQFGLEPPILLPLEVMVHPLELRFKYHFSGDKP TNRLDKPEYFLAHVLDLMNTYGGFFASYLQPIFDERAQIVGPDLEWNFCNAPHAFITA LLPMIRQKTKTLLPQISNHPQLLSHFVHELMNFDTGVRESWNYLPDPYSNDNWKGITW EVLTKQGWFGRWLEVEKDFALARYKDIIDTPDSGHIDYEGVEISATKPTKAAIRVNDL LETITDRYQPLTSFGQKLRFLIDIQITIFDQFHERLGSALEAYLAMTSTIGRTVQGSE RQASVEGVAGLERLCRVFGSAEYLEKKMEDWSNDVFFVELWTELQERVKQNKNNKHLT GDMSVADVASRTSQAVAFGQAKDDQSDSDGALFDETASAYRRLRLQSESIITSTITSN TLSALKPYSRVSTWTTLSTGSSSSLPPSADLAPAMRTLSTNISFLSRALGVAPLRRIT RQVLIPIQNFIWGNILMRNTFSAAGALQLVSDVDHLCSVVDSSLGSIGHAGDSIRMMK KLNEGLLLLGLDAQKQDEGETPEDEKEAGRVGLWDVEKRLFKDNESAREMLAELEIEN LTEAEARTVLEKRVEIAS ACHE_21087S MSSPAKKRKRNAPEFTPQQTRSIASFFQGQATKKAEQAENQLDG TAHDTGQTLSDEALARKLQKDWNEEESSPASTTQDPERTTSSTTTPPITSIEEPKSKA QKKNTLSLQSSAGTEDTISLSMPLDQSPLSFDAAKYANQLQSHWAAEGGDATYALLTR AFVLANATTSRIRIVDTLVNFLRVLIEGDPSSVLPAVWLATNSISPPYEEIELGLGGS SISKALKKVYGLTSQGLKALYNRHGDAGDVAFEAKKKQTFTLARPKPLKIKGVYQSLL RIAASKGQGSQETKQRIVEKLLQDARGAEESRYIVRTLVQNLRIGAVKTTMLIALARA VVHSKPTGAEFSVRPQQDLAHLKKGELSEIYTHAEEIVKASHARHPNYNDLVPCLLEI GASGELLVRCGLQMHIPLRPMLGSITRDLSEMLTKLQGRDFSCEYKYDGQRAQVHCDE SGKVSIFSRHLEQMTDKYPDLVSLAPQIRGESVSSFILEGEVVAVNRETGELLPFQIL ANRAKKNVEIGDIKVNVCLFAFDLMYLNGEPLLDRPFRERREFLRSLFVETSNQFTWV KSLDATSADSETVLEFFKSATDVKCEGIMVKVLDNTDPLSINPQTEEIPSNDLTTAEP YLKSEEEEKSKTQTQPKEKSTRRKPLLSTYEPDKRLDSWLKVKKDYTTSSETLDLIPV AGWHGQGRKAKWWSPILLAVRNPDSGSLEAVTKCMSGFTDRFYLANKEKYAEGSTNLI SRPSYVEYPGEPDVWFEPQEVWEMAFADITLSPTYRAAVGLVSEERGLSLRFPRFLKV REDKSVEEASTGDDLAVLWRKQVERSRSEGEPEPASNEMEME ACHE_21088A MAPNQPPSSDKTIRKIDIAQVSLSLQDRLGLAKVKYQNGRLHKL DSTLNEDRKALFLDSDNKPSDSSSDLSRSRCETPLTSPPLHTSTYSKELPRSSRNKHA ATFNSHLMQPMLSASRKRIRSDLSPERAAKTPRASWKCTHQLPESSPGFSRRPRNAIH HPPLLSESATMPELSSPVPYHAHSDDENDPDLPVHSFQHVGSMVSSSPPRTPPPRHSR QSRTERELQNEDGADLLLFLANSPTPARTAVKTQPRDFPPSTPPSQHAVLPGLTPTPG GGGLFGNIGTPNQQFNFADFVNVTPSPAQPPWGGRTPGGPTRTPLAARDARRRLNFDN LVPPSAESPKNREKGPGAPLQLGGELRP ACHE_21089A MIVALFKPAFIHGTARGMPLWMARVSGPAAAPPSLFSLASLFVR FSQCSFFLLHNLLHNSSPIDRSSSSYLSVCIFVLISPTGPYISVFGLLTVVPHPFLSF VCWDLRSPTSHLLPPWLLRIL ACHE_21090S MPFFSNFRRRSKASFKSNDTRSNESQSNGEVTSGQSSLTLDTSS HSSVTPPSSIKPNGTSSSPNLPALNEAPTTNSSSTSSGGTSMPATVPPQRPGPYVTPS QRNSTFGGSSMSVNSAARSPVPSSPYAPRIISVADGSWVHQKVLLVYGQIGDPRLHPL DGCVTVNHHHDSYPPVSWPVNSSHFKALVHLSPGPNRLRFDFVSSKLSSGSSHPAIHS TWICINHLPLVNTAPLHLVMLLGKDSDGTFDAVPERVQREGNGLEIATKKYRMAAYLW QAFTGEQMFRNNFGRRCFRFEEEWQTGTLSRRDTAMGQMRNEAKVHVVRTDKTVAELR DLEIAQQHGPATKKDELFRIAKAAVRDYFHPQPGQKQYVAVMLMDSHWDKQSQVITGH AALGSGGDDIKMAIFGSHSLQSYPSSLEEVVEALSDCTRTDTSFVANDCGEAGSNWES AVLGIGAHLHEVGHLFGSPHQESGVMLRDYVRLNRTFMTREPFSTRTKTQGLKLCLPQ DECSWHRLDVLRFRFHPCFRLPGDAPMISDDSVQVWPVESGKILFTATSGVAFIELYA EGDDVCHGFIEYVNTESSSNGLPKQVTVTENELRQRLAGSDKDKKKKKLKLNVVSGAL GSYAVDDIGSLGSKLSLVKLPKSQSGYMSGKLGFSQMEGSQPEQLLLDCAFVSTKLLT SVKVYHGLALDGLEFFYEDATSQLFGKRGGKPGGDEFVFDIRRGEILLGFYVRAGAWI DGIEILTSLGRKSGVYGNANGGSGHTLIPPLGYKVAGISGSSGAWVDGFSLIIMH ACHE_21091S MFRNRNNSQKPNTELIQKFQRMFSDVIPGAAQSQRRNGSIGEAA RPLPENDMKTIDTQELPRVNPFSPLVDPNALSMLSFAHQPNGILTPNLGGFNPAFHSQ HAGDLHTPTMGLDMINSLSQLGPMDQDATGMGLNQYGQSLFSNTNPFQNQQPFAQQPA FAPPSVLVHRDSGYDAAMDESIENSSLNDFDMQADPSVKSTQMSEQPNMLADQKFRYN VSLRAPTAMIKSPGDIPITYLNKGQAYALSIVDSKPPAMSTKLVQYRTFVRVSFQEEE QRAKPAACWQLWKEGRGLSEAHQRGGKLQAVEYVDPFQGGDEDRKGRQVQIENTSFDG FCVTWTANPATGTSDCTIPVRFNFLSTDFSHSKGVKGIPVRLCAKTQLVSDDGAGSEH EVSYCQVKLFRDHGAERKLSNDVAHVKKTIEKLRQQITQAEMGAGNNGKRKRTNASVA INGGDFRPPKITKHRRTWSMDSRDGTERVSLEDDLHAKLAAMQEMFTSTRPVSNLNLQ GEEQDDPDLFPVQLPGGTPLSGKKSELTLRGTRSSIDGVLSPAASNLSHSPRNAWNPI PGQFQYDSGYQGSMGSSRGSSIPTSEISLSRPVKVQRISADPNVSTGYIEAVDIDPTY RPPAEHPPKPIACFYLRFPRNETQKDDFYRAVYLSERTVSDLMEKISHKQLLDPSRVV RVLHVKENGLKIMVDDDVVRELPDGQDMDVEISETSDALEGSEQPPVELKLSY ACHE_21092A MAPRINLLVVMLVSFLTVFGLARGTVGSMYNAEDEHSLLKLLAE HEPPTLARYQLFPRGDVGVVTITETVCGREPGASQTSSAFDSVPGVSTTSSAIVSSDT AIPSDTAISPGTSVSFSTSVPASDVVPSSTATSSTTIAPSSTTLWTSTLASSLSSTLG VAPITSSSAVTPSSGFSSISSSASSGFSTSISSPATHSASEIASSKTTGNAATATAPD SASTSEPSKSDAAFRPTGARAAMVVLLTLLSILFA ACHE_21093A MDAPGATEAADNASQANSEHETSIPQSTNESSDEHYRDDRPTPT EDGQDNNGDEESTEDGEEEGEGEEENDDSEGDEDDEEPRLKYAYLTKHLGSVYRNGDA TSSFITAGDKMVIGTHNGNIHVLSLPLLQSLRVYHAHSASVTSISVSPFPPPLPTFKP EPLSRASTFTEDQLPNRSSSSSASIRGQPRNQQATLPATPSNSIYIATSSIDGNVCVS SLVDPRDVILRNFGRPVQAVALSPEYKSDRTFLSGGRAGDLILTTGGRVGASSNSTTM GGAAAAASSWLGSIGLGTNNGKDTVLHSGEGSISVIRWSLSGKYITWVNEEGIKIMRS NLYLDSADLDLAWKRVGHIDRPNLPGWEEMAGVWKARAEWVDKSSLDNLNDPVPGLDA SRENLKLPQSATTKETIEKLIVGWGGTVWVINIYPDRPNKNIRDHKLGSVEVSTVLRT DCVISGISLYTPRLIMVLAHIEAEDDASEQRSKHGVLRPGTNRRQRGLEPELRIIDIE SKEELSADTLSVSRYESLTSSDYHMCVLPSWKTSVPITQRGTLGAIGNGLWDATMYPA RLFSSAGSIRSTTSSGDRRSSRAADTISSRRLFNDEPLPKELQDVAGATGSRIFIQSP FDCVASLKRDLTDRLAWLDARGKYEEAWKLVDEHPEAAGSANQLNDNVSETLTKQSSL GDFFADDQSSITTTGRAVNSVADQEKRRIGELWVEQLVKQEKWTEAAEICAIVVNTAP RWEHWAWRFIDNKKLDEISPYIPTHLHPPLSSAIYDTILLHYVPQDRRRLEELVDSWP SDLFDANNVGKAIEKQLQSESIVPDSEDWRTLMRCLAKLCLVGGHYHEALRCYIRLQD ADTVMSLIREHRLLDAVSDDIPAFILIRVTREQLKSAPIPELEEATAEPIKVLVSEAY TGIVRPETVVTQLQAKNRLLYLYFYLRALWRGESLPHEATKPRRGRGVRVRDAASKLA ADEGKALIDHFADTAVELFADYDRPLLMEFLQTSTSYSFETASSICETRRYTPELIYL LSKMGQTKRALNLILSDLKDVSKAISFAKSQDDSDLWEDLLDYSMDKPRFIHGLLVEA GTAIDPIKLVRRIPSGLEIEGLREGLTRMIREHDLQASISQGAAKVLQSEVAVGMDQL RKGQKRGIKFNIVEDKEKEDTNAQDDSKSDAETVKSARPSKSSAGRCAGCQSPFHPNE QEILVGFACGHIFHLSHVHPEPAPGTDENSSGTHTPMPFPRTPAFDESLLSASRTVGP KVTTARLLRDRIGDRCRICAMVKEIEAIDNDSEEMETTG ACHE_21094S MFLRPYLCSAWQRPVLRLPQSQFPWVFSPRTGFFSSIAHFRQKQ SNKDGDVSEDWSSEPQKQRRRGARSPAAPTSLRRVAVEAQRSRDSFISRAQLKEQGFY QTKTVTAYAVAEKFDIRKVREILQYRGFEPDPLETGLYPQVVHVQVPLDSIRRMSNPT ATDLPPDEVGDVFIFPSGTVVAWSLPEGFTSFLATRTLLPAAEGEHAEDLETEDLEYV EDSSRENSSIRGDTIILGTKPGGTESGSQLPPGRQSIDTVLTKVAFSSGLARSTKLAV LEALLANYFESTRTIPTLLSRGARLPYTRDFILRKTGQLLSVRAQLNLYSELTDSLPD IFWDSRHELGLEGYYEQVGRALDVGIRIKLLNEKMDYAQEIASVLRERLSETHGLRLE WIIILLIAVEIGFEILRLWKERLHETEDRH ACHE_21095S MESWAFFTGVPGPQSLLKALTSSLGLSSLTDLYNPKMEVITQQT CTKEEVSCQTRYSGQDTCCFNYPGGQFLQTQFWDADPALGPDDSWTIHGLWPDHCDGG FDQFCDSKRHYNNISLILVDSGRRDLLEYMDVYWKDFKGDDLDLWVHEWNKHGTCIST LETHCYGDYYPQQEVVDYFDKAVEIFAELPSYKFLADAGIVPSRTKTYTLAEIEDALA KGHGSDVTVRCHGHSLNEIWYHFNVAGTLQTGHFVPSSPDGLKSNCPAKGVHYQPKRS HRANPTHTATEPAKPTATPGIPFQGEGNLKVSTQGQGRGCIISYGTWFTSGTCATFRG EKVRDDTFTLTSSRGKCSFEQDILTCGAHVDNPMEFEMIDGKLAYNGNTTFYADKPPK GHTQSDVFATQGEHPVELEITWRERK ACHE_21096A MLSNSHGGHLQERQRQHRRQISTPTALEAAKVSSLPAPALQRLN AHRRGQSLDTRAFHMQQRAQAMQDGKFSFTNQGTVQQQPQQPHNVLREVQQQGLARQR HQMYTPNSTSVPLMPDCHTFSQGDLHMLANQDNNESHQSAWIEAQLNLNFNLMQQHQQ LLRSQTLPGNNAQMAAWDIYPQNMLATQANVAPQDMRCLSAQSDTVPNSQRPLTPAHE NNNTRKRDRTRDLPSSKLWLIQELDYLPITPATTPFKKSMGVVPYNTEVQSSPTKEQS LSVPEAVKASYMQRARSLQGVTEANSSQTFDLPSPPNTATFEVDSFDTFNGQQQDSRS EKSESQSSSRGNHVPSLSASSSFYSSPEIAPMPSSPAGENERPRKVPIYPATPSHKRM SSTATTASSTPSKPKLSPRVASIDNLNLDERVHASINQTGVTIDEIASYISGPDPEDG KWVCLHPGCERRFGRKENIKSHVQTHLGDRQFKCDHCNKCFVRGHDLKRHAKIHTGDK PYECLCGNVFARHDALTRHRQRGMCIGGYKGIVRKNTKRGRPKKHRPEMEERQDKASR TRVRVAEKTSSSSSVSGSSDFSRSTPPSEVFENMSLRGSSPINIGPMFHTPNYSALPP EAFTFTPPASPGCSTGNKPSPHCHPRSLTPCTEDEMLPSKQPMQNIDKGPSLPFIAEA ESCPYPDVSNSSNDNNLSSPHTAPTLADSTHESDLDIFINQDATTNGFDSNFPPLTDS SIAAFPDYVNTAPLDGPDMDIFRGKTLTTGPSMDDEFFSFQFQVNAQPSEYFMA ACHE_21097A MGSELGVTPDSKAEPLINSVTTWWSCWACIWTVIVVSGIAFLII NRNAPVLRIRGLGLSLAAIVVLHLYWITIQIGLMLGPLMPGDAEFWVMGLYLPLGIAL FHASNSRFLHVAKAQKKYAQRDSTLESPSDSKKGGLINRFRRLDYSKKMIIVVGVGML VQIFLTTLMWIISRKWHSSWGAPGTAVHGTPMEQKTEMGRGWEWWPTLAWQFFWAWVV APVILWKSRNIRDTHGWRFQTIACAIGNLHATPMWLIAIYVPAFEKVNQYWLPPQWIC ISIYVVEIFTVFLPCYEVIRHRSLRKETLDTIAQWEFKNKVSGSEAKSVNSASIMAES LMSRSNSVKTTDSGESILTMGALEYVLERHPTPLQEFSALHDFSGENIAFLTSVAEWK SSLPKTVYSTTPRGDNIKELIRERFNRALRIYAEFVSVRHAEFPINISSQELKKLESI FEKPTHIMYGEKREVDVTTPFVESPTSATFLPEGEGEGSDSEKTIQSTQSAPIEDRVQ YWGDVPEDFDETVFDDAEKSIKYLVLTNTWPKFVKNQRSSIQSADTLC ACHE_21098S MQIFVKTLTGKTITLEVESSDTIDNVKSKIQDKEGIPPDQQRLI FAGKQLEDGRTLSDYNIQKESTLHLVLRLRGGGKKRKKKVYTTPKKIKHKRRKTKLAV LKYYKVDGDGKIERLRRECPAPECGAGVFMAAMHNRQYCGKCHLTYVFDESK ACHE_21099A MSTGMKSVAYFVNWAIYGRAHNPQDIPADKLTHVLYAFANVRPE SGEVYLSDTWSDIEKHYPGDSWNDVGHNVYGCVKQLFLLKQQNRKLKVLLSIGGWTYS SNFAQPASTEQGRTRFAETATKLVLDLGFDGFDVDWEYPQNDTEAYNFVLLLQKCRET LDRAAGPNRKFLLTIACPAGPQNFSKLRLHEMTPLLDFYNLMAYDYAGSWDSIAGHQA NIYPSNSNPASTPFSTTAALLHYTETSAIPRDKMVLGMPLYGRAFTNTDGPGTPFSGV GEGSWENGVWDYKALPRPGATEELDQSVEASWCYSPATRTMVSYDTVPMTQMKANFVR ANLLGGGMWWETSGDKGGKAANPAEGSLIGTFVEGVGGVNALEQSANNLDFPESQYDN LRSGFR ACHE_21100A MADGLNQARALRVAEIINDYRTLLLHICEETIPSPPEEYFEEGH VVMRECHTAAQALIGSNYTPAAVPSNASNEEAERAELQRVILDGSARRFQAHRIYLRI AAAKRWAIHRANILRGQQPTAQHSTQLAAVSDSFRQELAQITDQYVVSDLRSADLRAG HWLGDDPSLATIHRWIQMHPS ACHE_21101A MSAYDRHITIFSDQGRLYQVEYAFKAITSSNITSLGIRGKNCAV VISQKKVPDKLIDPESVTHIFKISPSVGCVMTGSIADARASVDRARGEAAEFRYKFGY EMPCDVLAKRLANINQVYTQRAYMRPLGVATTLISLDIEKGSQLYKCDPAGYYVGYKA TASGPKQQEAFNHLEKKLKNKDHADGNWEEVVELAITALSNVLSVDFKKHELEVGIVG GPRKDGKEGIDPSFRALTEDEIDERLQAIAEKD ACHE_21102A MASETHVPAWKKLGLKLKYAKEAPEQQDNENVEAAEEPVKKSEK EDKKEKKKKRRLEETEGKGESAAADEESSKKKKKQKKEKKVSFSAETKEEDGSGDEGV EEKKENNDAASNGDSGNAQTEEKPGAEEKRKRMKEKKEKKKQQELHVQAPPDPSNIHE SPILQYLTLYHQNRAAWKFQKNRETQLFKHILEPLHVPTEYNVALLSYLQGLRGEAAR QRLSQSAEEIIKAEMEEQFAKENAEEATKEGESAPDMVDYYKAVESFRKRLSLGNDDL NSVDVLDGQENVTGDMRKRLEKRLRAEVITFTINGKLVYVQKPKPSKKGQQQAEAPVQ KKKKNRTAIIDISSSSESDSDSSSDSDSDSDDEKPAQKSKPAKQQQNEKQSDAPTKKK KSRTAVVGISSSSESDSDSSSDSDSDNKKKTNTTKRDEDSGDSSSSSSDSDSDSSSSS DSD ACHE_21103S MSRLLTDRQADELHKSIIAYLSASNLPNTAAALREELNLPEDVF DAATVKKYETLLEKKWTSIVRLQKKILDLESQKAALQSEIDNATPASLLKRTQDPTSW LPTQSPRYSLESHRNTINCVAFHPVFSSIASGSDDCMIKIWDWELGELERTLKGHTRA VLDVDYGGPRGGVILASCSSDLSIRLWDPSNEYQNIRTLEGHDHSVSAVRFIPSTNLL VSASRDHDLRIWDVSTGYCVKTIQGHNGWVRDVCPSPDGNFLFSTGDDMTARLWDISV MSNPENKLVMFGHEHVIECCTLAPHTAYQYLAPLAGIKDHSSSKSTAEFMATGSRDKT IKLWDSRGNCIKTLVGHDNWVRAIAFHPGGKYLLSVSDDRSIRCWDLSQEGRCVKTLA EAHERFITCLRWAPGIVKDPAAANTAANDKNAKNKTNFPDVQIRCVIATGGVDWKLKV FAN ACHE_21104S MLCMQLNHDVTMEPATIDMNFTERTVMGSEPELSSTITTRLTIF DQALQPTAMARAAPTVIPTSTQVSSAPVRRHKPTAYSKGTVIRNGPPWLGSDRVRR ACHE_21105A MSSRHPTRPRRIDDALSQLIDSLTPPLTLSNLSPEASYSQDPEA LLAAAEERRHHENLQRAWHVVDAHATTPANNDLNSPIGPAGYGIHRRGSLAGENINNA SDLIKRKLLRENASPDKAVRFSNLYSRLLTQPVLSQKWAILFLLYRLSEDEESMDEVM FGEVEEGNRSPLMEKGNLQSMLQRGRYYSDEEGPAISESASQRPPAPSTRLERHSSLR RQEYERDSDEYERAREPQPQPRPARSRANTGPREIPMEEQRPVSQPSTEEQQKLVKPP EQGLLRDLPYNLQGLSSSNLEFSSSSTLKIPPTLPIPIVSLLNSLAEPCLLYRGLSSF VDSSDGGLMSQSLRAALSHELRSYLGLVATLEGEIRRVLSIPSDTDDNESRSVLKGGV TLKRCVVWTRDATMALRLMSLIVEEAQSKKGGQLISLIHGFSTSHGDPFVCAFAEKLL AHITRPFYEMLRHWIYDGELSDPFQEFFVVEPEFRPSTDPRRIATSVWEDKYKLDDNM VPSIITQEFAKKVFLIGKSLNFIRYGCGDSGWVEAYSKETSKELRYGDTASLETSIDE AYKTTMARLIRLMDEKFKLFDHLHALKKYLLLGQGDFIALLMESLASNLDRPANSQYR HNLTAQLEHAIRASNAQYDSPDVLRRLDARMLELSHGEIGWDCFTLEYKIDAPVDVVI TPWGSTQYLKVFNFLWRVKRVEFALGSIWRRCMTGARGVLGAVDDKVGSDWKRARCAI AEMIHFVNQLQYYILFEVIEASWDQLQTAISKPGCTLDDLIEAHTKYLNSITHKGLLG STSSLKKDPSTGKEEGFLTQLHSILKIMLAYKDVVDGLYSFSVAEFTRRQELSAKIEN RTAQGRWGVSEHNNLDNAPGGELPSDDHMLPSLRARLTDLSAEFRSRLNILLGDLAYQ PDVDMRFLGVVMNFNDVYEPVRRRRIPASREREKEKEKERLRRKAAVVNAAAGNGGKS AGASTVGGEGANA ACHE_21106A MSAAVAPTVSTSLPDTSNSPMDSKHDVLKSEDSRAQSSDKDQKP DVESQNSLAPPPRPTATDTPDYFNSVHNPFSLEPNPFEQSFSGNNSGGQGTGASNETP GKSLLPPVAALTSPALPTTGSNSGYNWSNSLRSGPLSPAMLAGPTPTNDYFDNIGRGF PTPNESSLRTGLTPGGGGSMFPAPSPNSQALFQQLQSGGATPSTIEFHRTALNAAKKN GINAPTSNPTTEPQQETQTTAMDVKDNSQPAVVDPFTHHDAADAANGLFMLAKGGQQN QFQPTNQPSIPPQTMQNDQRDAGPNTRRASQHANGAAVNNGREMSDASDLQSEPTKPA TRGKGKKGANTRASTAAGNRRKAEDSPAKGPNKKSKASASMEPLSDESDEDDEKPKGQ TDPKKMTDEEKRRNFLERNRVAALKCRQRKKQWLANLQNKVELFTTENDALTATVTQL REEIVNLKTLLLAHKDCPVSQAQGIGPLMMNGMSSGFEPHPYNIPNNMAMQPGAPMPQ SIRR ACHE_21107A MDLNKPAPPPSDRVNKTTERLALYQNQLSHGQPPATTTAPQPTS LPPPRLLTMARDPITCHALNTLTGTPAANLPVTLSLLSSPATSSNSYKPILTYYATTD ADGRVKQWTSASSTGSTSVPEFLAALPADDSKTSWSVRFETGPWYAAQGVESFWPEVE VKFVVKGRGIEGEGGWRHYHVPVLLGPWSYSTYRGS ACHE_21108S MSSAVVQNDDLDMEPTLQSLLNQKTLRWVFVGGKGGVGKTTTSC SLAIQLAKARKSVLLISTDPAHNLSDAFGQKFGKEARLIDGFDNLSAMEIDPNGSLQD LLASGEQQGDDPMAGLGVGNMMQDLAFSIPGVDEAMSFAEVLKQVKSLSYEVIVFDTA PTGHTLRFLQFPTVLEKALGKLSQLSSQFGPMLNSILGARGGLPGGQNMDELMGKMES LRETISEVNTQFKNPDLTTFVCVCIAEFLSLYETERMIQELTSYGIDTHSIVVNQLLF PKQGNDCEQCNARRKMQQKYLEQIEELYEDFNVVRMPLLVEEVRGKEKLEKFSELLTH PYVPPQ ACHE_21109S MAGSYLLSQPYFGLKGGWLTFWVTVACATDMMLFGYDQGVFAGV IVTKDFLNVHDLNNNESLIGTVTALYDVGCFFGAVGAMWLGEGLGRKKSILTGTTIMT VGAILQIASYSVPQMIVGRVVAGIGNGINTSTAPVWQGETSQIKWRGKLVIIELVMNV AGYSLSNWMTFAFSFVPGPVSWRFPLAFQIVFIIILYSTVPWLPESPRWLISCDRDQA SEAQQIIADLEGKDINDPYVITAYSEIIATVEYERAHDVSWGQLLRGKTGENNGDTQP LRRMILGAGAQAMQQLAGINVTSYYLPTVLMQSVGLSEMLARLLAACNSVSYLLASMV AIPKIEHWGRRSILMTAALGQGTCYLLITVLLRFNEKEGYPHHKEVASAAVAFFFAYY VFFGCSYQGIGWLLPVELNSLSMRTKGAALGTATNWATNFMVVEVTPVGIKNLGWKFY IIWTVFNFAFIPTVYFFYPETANRSLEDIDRFFHENPDLFVNGNPEAVNIKRPARYIE IEKQLVNQSATVASAKQEMSNLEGQVGHVEVV ACHE_21110S MPLDQEQEVQEHVNGHAIPEQSPEASSAKRRRVSRSVLPTRVSS ACERCRHHKSRCDPFRPCSLCVRANVDCRPIATPARKDNSLKAKPKRRRPNLSRSTPT EEPWNIDNAQNDTEHRATTAPAPAPAADSDAAASTDMSPPSSLDCGEAESAIGIARKI CELGSQHIDERTTSAIPGYRASTSAPITYSATTGQRLPISSILGQPLPPMKLVDGLLE DYFDSVHWFSLVIYEAKFRRKLASIADGFAYPSQRPFLILLTVMLGMAAWYRSQRGGM ELADNDWRKWSADLVKNVEANLVELMDQPSITAAQTFILLGSYHVYHGRPNLSFSLLG ATIKMSQALGMHREPLRGNFEDIEERKRVWWTIYTWDRFASITYGRPLGINDKDCNIN MPADVWENPSFASPRQDQSSICYSPYQRELNRLYLIASPALEIIFGSRTSRTSDQLVG DTYAALVKEATQNLQKWRNSLPSHLVLDLKRDFRSDGGPDAKAYALQSLSLHLTYDNV LIVMHRPLLARQVDHLSTTTNGLSPGTCEVDPMTAASPKPNLSQTASSDLWMNAAVRT SRVTELPVLAQLATDSHLVAFLAINLFNAAIVLAVMALSEPLSNTAQDVKRTITRILR LQDLLGKRSALSKQSTTVLKNVVTMLLRRESAAMLAPITGANQSTGQQSDKDKQHLGE PCCMSVEDTLRLPLDASLELSDPLAGSQGWLDVSRAHRLNESLTSVQCVMAPGDVPSE LQYVSPEQTQPQGVWQIPAEYEWNATGASPRGVEDSYRDVESGLYWLWDMTWNGTEQ ACHE_21111A MSDIQHTTNQSCVLYPQSTFRYETRDIPTLKSDRDVIVRVVATG LCGSDVHYWHNGQLGRYVVTGPLLLGHESSGIIASLGPKAEAEGLAVGDRIAVEPGVA CNTCPPCRAGRYNLCHNMVFAATPPVDGTLCMYYRVPAENCFKLPPHISLRDGALVEP LGVAVHGCRLGGDMQNKAVVVFGAGPVGLLCVAVARAFGASTVVVVDIVMSRLESALK YGATHMYQMGAGSPEENAEALLKSAGLEHGANVVLDATGAEPCVNTGVHAVAPGGTFV QVGLGKSNLSIPVGQICDKEVVFKGCFRYGPGDFKLAVGLLNSRRINLDGIVTHEYPF SQVEDAFKNVLGRAGIKTVIYGPEVDENMAKTAA ACHE_21112A MAANAPPTAEALLSGAAAHPPKTAEEIANQYDLLPKLIPFLDRH LVFPLLEFSSGQEDEKELTRAKYELLKHTNMTDYVANLWQEINDSDSIPDEFAKKREE VLAKMQQYQDESAKITELLQDEGVVGNLRSDKVANLKFLEEEHGVTNEMVNSLYDYGR FQYSCGSYGNAAELLYQFRVLSTDNDKVASATWGKLASEILTTSWEGAMEEVQKAKDS IETRLFNNPLGQLQNRSWLIHWSLFPFFNHDPARDVLTDLFFSPAYINTIQTHCPWIL RYLAAAVITNRNRAQRNSSLYHRQLKDLIRVVRQEDYEYADPITDFIKALYVDFDFEE AQKKLGEAENVLRSDFFLVSAADAFIEAARHLISESYCKIHQRIDIKDLSTRLGLNQD EGEKWIVNLIRNTRVDAKIDYQEGTVIMNHPPQSVYQQVIEKTKGAFFRTQVLSAAVA K ACHE_21113S MSSFLHLPVSSAVRKQSTTMPLRLNAFSSIASPKTNTFSTLCSS SSRLSKSQNPLQRSNSTPALPTQQTRSFLAQIRRQTQAQAHKEPTTTKPIPPPSAANL QLTNLPYFIRRTPSNQYPVYLVTKAGGTKQQTKIQKTEGDLDALRNDLARYLGFESGD PRAPKSADVAVNRLNGHIIVKGWRKPEILNFLAERNF ACHE_21114A MNAWLADAPNLPSHDNGTFNPTTIDPSAAFLNPSPTPDPNQFQQ RMFNGLSRNVSPGFQNPNQVIPSKRPRPEDGVAMSPRPAPGGITASRSHTPHQVSFPG YQPPANGTPQFPAHPTPYQHLQRGASPSVTQSPVMQDFDQNSVQRMGTASPSPFSPAG PHVGSQMSPSQSDHPSRVNTPQSSTFMPPSQPFAQGVAPQFTPTPPTMTSAGVQPPMQ APFGAVPQGYQQAMALQQQRLQAMQMQNPARPMNMNPAMMGRPMAPGMNPMAPQQVAA LRMQQKPSSPEGFMRSLQKFMMSRNLHLDLQPIVCGRPINLVQLYAAVMKLGGSKKVS AANMWPVIAQQLHFPPMQFQIAVQELREHYARNLAAYEQAFLSTQQKQSGDQMQQQQQ QQPQPQNSLPRTVSDPSAMQFQSPTNKAGQGFDTSQPLAQPSAGNAASVSNNMAHSAS NGFAAPSQAKVPTKPPQHRLSISQTPGTPQDSTGQLPGQPAAQLGKIPGAQPGKPSEP VDTSSDQPLQHPIEETFKPMVLPESQLHGPIQVDEFAHLGEDISRLKPNVPTFGDLGV IDIHALTMSVKSGIHAEMRMALDTLTLLASEPNVPISLEHCDDLVDSLVECAEDQVEL LAEHAAEVSDVMLLSSYEEIIRGCQTEWTSLADVPEFGSLDYELDRAVDRLICITTIL RNFSFTESNFGVLASPTVVNLISTIIRYLGTRNMLLRTHQNTLDFMKDAVIFLSNLAH FIQLSGKDEAHCLLHFILSFAPFPLPTLSPEGSIMFTPYNAAIHKYTPTATDALAKLL ARDDPNRSNFRAIFLGDASSTPQPELLTRAFGLAICAVPDQPRKPLAHADARKVFLLQ GLLAADVLAGYVDPPLAKAWLESTDGFAIHLLRLSCLMSTERVPPPFNPHARQSQVAR AQAEEAYAYSSIINRGLAILRRLAEKSKQVDDASGLRFPSGIVPKKESLLGALLMPQV DPGVIRQLLTYARLAD ACHE_21115S MADVAVENPANNVTLHNKPTPLDTIPNVDSLEGTGSDGGDEYAT LKRLQRHLEYIQLQEEYIKDEQRSLKRELVRAQEEIKRIQSVPLVIGQFMEAIDQNTG IVQSSTGSNYVVRILSTLDREKLKPSSSVALHRHSNALVDILPPEADSSIAMLGEDEK PDVTYADVGGMDMQKQEIREAVELPLTHFNLYRQIGIDPPRGVLLYGPPGTGKTMLVK AVANSTTASFIRVNGSEFVQKYLGEGPRMVRDVFRMARENSPAIIFIDEIDAIATKRF DAQTGADREVQRILLELLNQMDGFEQTSNVKVIMATNRADTLDPALLRPGRLDRKIEF PSLRDRRERRLIFTTIASRMSLSPEVDLDSLIVRNEPLSGAVIAAIMQEAGLRAVRKN RYQIIQSDLDDAYAAQVKTGQESDRPEFYR ACHE_21116S MSNNSNSNTTPRSSRGSEGRTPTYEELFGMVSQLQESITTLEER QSAKAIKVRPPEPFDGTRYKLRPFITQLDLYVRMNRSKLIFESDKVLLAATYLTGPAF DWFEPTLRDFQEKDEQYHNDNTTEVFSSFTEFKKRLQGTFGDIDATRNAERKLWRLKQ TGSVAKLVSDFQQIITHLNWDETMYIAKFEEMLKPEIQEKLVWMERPTSLNELFERAV KIDNTLYDLRVRQKESRYGNTFRGSPRTSHYRSNDKRPAQPRSQGYEDPYGPRPMELD ATQHRPFVSDKERERRRKEKLCFTCGKPGHMTKACRQRQNVRPQQQRHDNKQLHATQE RGAYDTTGIVNPELRATNDSGWHMQEALDEHGGPWRPRTMIVPNLSPLRRLMEEQTTL TTEEIDEIMSSSEEEYWLNERTVGSDSGSDKAHIRTDGSEKAINEPVHEKSLGKLPNQ DWSVNDFLDEEYGTQWNGHDPNVEELHEIPETPQNATPENEEHEEQILAEDNEGYTKS SVYSPISKQQLWSKRYTEQRKADEETNTDVAAYFIDDVVISLQENPKRLSKGLKELFN ELNTYCPHQNLKCWERTNETWDEHLRKCDQHPYTCHYCGQNNGELWGYLRDITTKRLR GPIHSSCKYDWCDCVHYREHPKHSQLPWTACYSHACGEHYDRKKMAHYFPERPRKYND SCPCWDWNCACRGYLLHPEHSSMHWTACYEDDCIVHFEIKDYYPSPRRLRQPRWQASL SATQRGYHLKFTTPVLNQLARVMVDSGATGNYMDPRFQRQLGILGIEKAQPEPISGLN GENLGSHLTVESGSVPMAVAEHEERINFDVTPLGQYDIVLGIPWLRNHNPEINWKTGQ MNFVNCDCPRTTKGPSQREAGTSPRSTGRRPGRYVKQPRGGLNNRETNDTATNIVLAA TRASERHWLMNLPGWAPGATQKYCEYLMDEDISKRSALIEEYRSERVDSNQEASDSEL GSWEWIDHKELAATTQEPQIPQEYIEFQHLFKQPERPELPDHGPHDHRIPLMEGKTPT CKKIYPMSERESRILREYIEEQLAKGFIRPSTSPAGHGVLFVPKKDGSLRLCADYRPL NAITIKDRHPLPRVDEMQDRIRGAKWFTKFDIVDAYNRLRIARGEEWKTTIRTKYGHY EYLVMPFGLTNAPASFQRFIYDVLGVYLDIFVIVYLDDILVFSNTFEEHVQHVKKVLQ KLEEAKLRLKLKKCEFHVQETEFLGHWITTEGIQMDKNKVQAILDWPELKNTKEVQQF TGLVNYYRRFLKDYSQFMTPLFKLLKKGQEFQWGPEQQQAFQQAKERIVSAPALVQFD PEKETTIETDASDYAIGMRMTQPGPDGKPRAVAFHSRKLVQAELNYDIHDKELLAIVV AFKTWRVYLEGARHTVLVKTDHKNLTFFTTTKELT ACHE_21117A MVLTGFDQWFEILGGGYQWGSYRHQSGHLVNLQEHPAVKRLLSI LCQLVNCKSFEIFACRTEVEFNNDNFRPTDSITTLLDIIAKAKLPVTAFTVNFMGNEF GYAPDPQRLQTSDKGQFVAIGQHLEDLMLRYTLEHDIVRDWTINMILHAPKLRVLDIR NQAMKGGTELIHCLASANISWSQLREFKIESIPASLEDLMIILKTCQHSLRVLRINMM RVDADLKDVKRMLQTLSTSFPALESVSFGGLMLGVQTRTDFIHFPMVSENPCVDESQG TKFEFASNTARGSRNHYVAYSGPKMDVALDILARTVDCAPARIRTLA ACHE_21118A MDGRNVFIGHFAEENHCSKLLTDESIVEDVIISAMEPHFTLGGT WSDEAVAYILYKPQNGNQAVSGLEMIKREMVEGGLNENNIHIQSYAAVVGAMADTSGP KGKILVDWTKNQGGGNHLAYYMQNDKPVFERDFDKQGNPCEEDADC ACHE_21119A MDRLFNYDLRRRMTKLVCTTLTIDYELDLGAKTQPPINIDDLLS STYHLIAVTKIRFPTARCRQQLSTLRKMMTSTTARPGTLVVSSGYMRKGKDALKWKDI ELFMVKHPEEPSCQTLLMRVRHRLNKGKRNRGVAPVYIYTERNDNLAFCAIQDIIEYA LVDGAFASEHIKKPQDIWKYTKVPDHRLSTPLHIADSVKEIPIFRKAVKDSEGNWITS PTEAWTYEDLREYELAAAKSAGDENPGSLYKYRKGAAANIRHLDEHSRKLIMGHKRSD TFSHYIQIQDDTQSAFMGTPTRDALMKLATNKGLTRDPSAPQGLSDARKQEVGKDKEL NNLIAHRDELRAQLIAIHHQIQKGKPTNLYREFIKAGNRVRAHKKKLLRSAEEAQRKD FFGAVGNVIIEQNYQGKPIQFDPDTSHILPERKMLADLEFKNRDVDKVSDEELLEDHI HSLELCLTLHHLNVPPALQKRIRFDASSSSILSTCTSPFESKTGLECPIAL ACHE_21120S MKAPFIAAAALLAGGASAEVHKLKLNKVPLEEQLYTHNIDAHVR SLSQKYMGIRPSIHEELLQDQSIKDQGRHNVLVDNFLNAQYFSEIEIGTPPQKFKVVL DTGSSNLWVPSSECGSIACYLHNKYDSSASSTSKKNGTEFSIQYGSGSLSGFVSRDTV KIGDLKIEDQLFAEATSEPGLAFAFGRFDGILGLGFDTISVNKIVPPFYSLVNQKKVD EPVFAFYLGDANKDGDTSEVTFGGVDEDHYTGELVKIPLRRKAYWEVDFNSIALGDNV AELDNTGVILDTGTSLIALPSTLAELLNKEIGATKNFMGQYNVDCEKRSSLPDLHLQL GGHNFTITPYDYTLEVQGSCMSSFMGMDFPEPVGPLAILGDSFLRKWYSVYDLGNGEV GLAKAK ACHE_21121S MVWRRETTAVSSLKKAMSCIPVCSKGSSLRIAPERAQASHVIVV SSMSEAYGVPRIRVGRRTTTDPSLQETFIAAKGQISTSGSILGELVAEQILVRRDEVL PKTEAGMRHRRDSIDA ACHE_21122S MLLRLPYGLHYPITVTSLLKQPGDAVERDEALLWYVYQTTVTEG DGLGNKIEVQRKFPTKFESTVDGELVQWKIKKGDVIEFPINVVEIDEPCAHEVQFGGL CAECGKDMTEATYNTETMDSMRAPIQMVHDNTALTVSAKEATRVEEDAKRRLLAGRKL SLVVDLDQTIIHATVDPTVGEWMQDKDNPNFKALSDVRPFQLMDEGPGMRGCWYYVKL RPGLESFLHNVSQLFELHIYTMGTRAYAQQIAKIIDPDKTLFGDRILSRDESGNLTAK NLHRLFPVDTKMVVIIDDRGDVWRWNPNLIKVSPYDFFVGIGDINSSFLPQKQELAAS ATREAKQPEHKPKEVQEKAPPATPPRPNGTTGKAEGGAADVSALEQLVTMGGGDNPRL LQEQSDAQQETIMHQVEDRPLLQKQKELDAEDEGDVSSESSTSVDESAQDLSKQRHHL LEDNDQELLQLEDRLERVHRYFFDEYDKRRSRALGGRVAALRGERTPMKEKDVDLKLV PDVQDIMPLIKRQVLGGVVVVFSGVLPLGTDTQNADISLWAKSFGVVVSNKINIRTTH LVAGRNRTAKVREATRYTNIKIVTTQWLLDSLTQWSHLDEEPYLLPVHPDDRGEPILP NSREAAESGWLSSSDEATGTDDDAMDDIFKSAGLTDMSHIGYDKDEEAAIHDELKEFL GSDDESESDIESEQDVSQLADETGASKKRKRDGEGDDSDGGSSANSSDNETDGESDKG SRLAQRIKRSHARSTGLKEVATPSSTSAAETTRTSLPTERTGANADDEENAEEPAAKG QDVSYPEDPADDEDELEREMLAAFEDGDYDEKAEADIAEENG ACHE_21123A MAVRRAYRRYHWPELQLNFWLLIVLASSCVNLGIFAWLMAVQSQ LKLGTPWLFPFMVVSGALGIFFIALILILAMQRFLLPGIIIVGSFVLFVLWLTGLVET ALQLYGVVGSVNDSCKNWVEGNGIHGESIEALAWLTQITICNCWKTAFAFELIGVIFF LWMIIMSWRVHRDVA ACHE_21124A MNLLTILSLSLFQPTLSQTLNTTLTTHFANDTPWYTPRIPLFTS SLPALNAVYYYRWSLYRAHQRDLGPNGYIITEFLNDVTWQTQPYASLVDATGFHLREG RWCRDQRYNQDYVTFMLNGNKGDMYQFSEWVADSVWAGFLVDGDVDSAVAKLDMLVDL FEGWEGNMSEAGTGGFDRGKELFWIQPLTDATEYTVASIDASGGFDGFTGGNAFRPSV NSYQYANALAIARLAGLKGDQALEKEYSDRADEIKMNVQESLWNSTFEHFADRYQVDN ENVTYWDFIRGRELVGYVPWTHDLPDDNETFAQAWTHLLDSEKFAGSHGLRTNEPSYE YFMQQYRYEGSQPECQWNGPAWPYQTTQVLTGLANLLDHYPISSETGIVTRKDYTDLL VQYAELHYNFDRGGVLNLEEDYDADTGRPIVGLTRSPHYFHSGFIDLILSGFVGIRPR ADDVLEVNPLADGDSVSFFRAERILYHGHDIAVQWDASGEQYGAAGLIIEIDGETIAS APDLTRLTANITRNEPPAINRPIAKSIQLQSDSEWPRGSVSVADADPESIHAAIDGRI WLFPESDIANGWDTPVENGSELWYQIEFSSSTVTASAEIAFFANEAQGLDAPESYRIE VLDGGEWVEASGAQYAEPVANGITIASWDKVTIESIRVVFVPKKGQRVRLVEFKVY ACHE_21125A MTSPSTITQRFLSNPHQLGVVAVGFNGGQCKTGVEAAPMALVEA GLLDQLRDDLDYQVHHDGKVHYYEDVTPAADADPDHRGMKKPRAVSAVTQKLSSQVYE HAREGKFVLTLGGDHSIAVGSISGTARAIRERLGREMAVIWVDAHADINIPEMSPSGN IHGMPMAFLTRLAREEREDIFGWLKDEHIISTRKLVYIGLRDVDRGEKKLLRENNIKA FSMHDIDRHGIGRVVEMALAHIGNDTPIHLSFDVDGLDPQWAPSTGTPVRGGLTLREG DFICECVHETGNLVAMDLVEVNPSLEEKGATETIRAGCSLVRSALGDTLL ACHE_21126A MLQPCLRQSRRASLSLCSQCYQLYHRTHPASSHPNLIAATQFFS TRPARNTTQTSTATDVDHVPLRKQLKQDAKSVKARQRETRHKEEASRQQWELTVGVEI HAQLDTEAKLFSRASTVLSDIPNSNVALFDLAFPGSQPEFQAATLLPALRAAIAFNCD IQSASRFDRKHYFYQDQPAGYQITQYYEPFAKNGYVDLYDYDGIAPEDGKHVRIAIKQ IQLEQDTAKSQDYPPSTQLLDFNRVSHPLIEIITMPQIHNPATAAACVRKIQAILQSC SAVSTGMELGGLRADVNVSVRRRDEAPGTHEYDGVSGLGQRTEIKNLSSFKAVEEAII AEKNRQIGVLESGGVIEGETRGWTIGSTETRRLRGKEGAVDYRYMPDPDLPPLFIGED LIGGLHEKLPLAPDEVLRTLVGSEYGLSVEDAKPLIELDDGARLEYYHDVIDILSELQ TGQQNKSLKDLTRAAGNWVLHELGGHLTKSNLSWDPDLVPARSLAEIIDQQNRNRITG ATAKEVLSMVFNGDRRPIAQLVEEENLVFHPLSREEYIELAQAAIALNPQMVEQIRAK NQVGKIGWFLGQMMRMGEKGRVEAPRANEILKELILGNGQQ ACHE_21127S MLFGYSGSSRPNKARQSSSASVHSSHSNSAAERPYKAHPRSHSR GPSTQSTGKTPGGATASTDKLVAAERNTPGVFDFLEEEESEESTSSESDDDDVRGLPN ASRAQPKSTTIPATVGLQLARNPTASRRSTMHDSHHETGSSTARSGGSQDKKGTDYHT TPEAYYPTVRKPALPPSPPKSPEEQKSSRSNPRTRTNTKSLDVSSGYGLIASRLSSSR PDEAHHQLPPLYRRFEHLNHRVLLHLQDEIAQMEEELHVLDEYEEMHRSVTADQEGKN KPTPASRRTDAQAQTYSNLHHRRNELLGSIISKTEQYNNALCAYSKVLETLPGASKQD IESYRVWMKKHNPITVAESRFLEHDQDLISVTPRSLPFSGIGLAASVNGNSPFPAVVI ASTIILLPLLAFSLISEFSGRLLVVTIVAGAVSAFASNFSTGIERLIDAKDGWKCAGA YFGFMAVAAMFIP ACHE_21128A MSTPSHAESRTDSTVLTLFGNPSNPKQLFSSLQKSVKVPLSSES PEAAQYVEVQAPLRDVVLPNGITTTKVTPTNLETETKQPKRTFREVFAPRSGLPQLEP PRRARSSSRSTWIDTFDAMTNYKSFPGERNNYCLAPLPSGQWLQYGGVTSSPSYWNRK QKQQDENDAVYRPYEEPSLAREESPSLLQGVYSSFAPSFDSSGAVVQADSKDLVWWGK RGTKRLETLLSIPYEGQETEVTPTEQPGNIGELDEQTLDEMVKSFKSEDFADNVTSSS EEEKKENEETKELNALLRDVSELLETLSSYQKVRNLDISAQGQDTSSEQSDPNTPSVP ERTVYETLKSSLAALVANLPPYAVAKLDGDQLAELNISQKVLIENQDYHGTMQKDDYS LQQERLAALASNVGSTNRTSTPTAAPVGRPRTMQGYNRVVAPTAQGYATPQAYYGARQ PSTPGGYAPAQYAGARPPSTPSQRPGYLPQYSQTGTPQNVSQIPRQGSNGYPITAQQA AAQASPQPYTPRAPQPGAYNAPYASSRTASPQKPAQYNTPQPRTSYVAPQQQQQQRYP PQQQQYASTPQSSGYSNSAAVVTYARSAAEQAALMDRNKAQLAQQQQHQQSTTPGAAS ASGSQDRSMTPGSKPNGTPVPS ACHE_21129S MSSVLNYAPSRSGVSSLRAAQLFSWFKGNRRHKSSAAIYQTTAA RFHNAPHAPLHPRSGRRGLTSAHSTTSPVSESQNDRRGGSENTRRFLLNDWWDKLRHQ SQLNESYSSPNSGIERGSGQGQCTERKRSELQRRRRESIQRRRRHKKRIHPTPSDYLM AVLQNAHLTSQQPSDELKKPNRLSFQTILAEYLRLVDPILVRTKDIRAAQELELDCAL KEVFCERHLEYLAARQYGIVDVMTWAWILKSNTVYDAILRIFALEGKQGNQHHGAVRK VPIFIPLMLLRRSRFDARTFRLVLIYFLHLMSGEPIPTLRSALAPIKKETEYMMKLQP AKRDSSIDASTCMLSVVRLLRHARQVWPQTQLTIARALADFLTHSSDQENCSEVSAGR INRYRAEKFNLCLWLLSLPSKSGPFVSASIQQQAQFELLKAMASHKPVLPVTRRGYQG VIAVQLAHKKTLAERQAAELKAPSWPPWKEEKLGIDSHRGIEGMTSRAMQVMTQMREA GYSHTRWEEVSSIFAGWDTDRSPTIQTRTLMRRPQSLPEPRGSTQDDQAIWVARIRAT RTVREAWACFLSYQDQGLSPRLSIYTAMAEKLIFRRKAVEAHFDETSDALPGDGPEIF PEPASARDLIYVHSEPPTLEELLRRMLFDEIKPSGRLLELLLWAAPSFRSGLDYLRSS NLSNDQIESLCTVRGYGDQSVKQQRTLTRLPNSLFSSFVGFLCKYSNFNPLYLARSDI RTADLFPVVMGHYRAKRHAGTSTTLFENSQTGEDLQHPMTLSHAVQLVKLRNSQHPLP WIRLLSALGADRISVPYRKMSRTTQRVLAWHEVLEVARLMQERKIEMGPQGFQILCST YARAVSSGGQHPMAAEDGLELVIHAKRNGSLPHLGWVCETLEDMTDAGIGILKGYFDR LVLSDPATSLFHDDFTLSEETTTDSQETIPSMLHVPSPAVLHAFVRALGIAGDNTGLL GILQWMSNHASTLKEAADEYLNGERMMRRTLVAARVFVEGPPWGKPSLRDSNDPEKLI FPDVLVEKAYDIITETPLWDGWPSDEEVREYVNRETRL ACHE_21130S MSPRLIHLSSPFLRTTPVQTFRITLTRHSYSTSSDNDVIKTQQV PAPGSGNIRVLLLNRPNARNALSKSLLDSLAKQVQSISAEGGTGPTRALVLASSTDTA FCAGADLKERAGMSKDEVKEFLAKLRGTFRDISALPIPTISSISSIALGGGLELGLTT HFRVFGSSTIVGLPETRLAIIPGAGGTYRLPALIGVNRARDMILTGRRVSGPESYFIG LCDRLVEVLPEEEQKEGVAREKVLKESIKLAMDICEGGPVAIKEALSAVQGYQRGEAA ENEAYDGVMETEDRIEALRAFIEKRKPAFRGR ACHE_21131S MSEKEPDHTPETGSTFSAAADKPEGLRHRYGANLAPEDTQDEVA LERINTYRLQQQETVGSSTHRVRTPREQWLPMGAGKPFPPSMPDAEEYVVEFEGSDDP MHPQNWPFKKRVLLGSLLTFCALMTSFESAAFPVTVSFVGKEFGFGHEVGALGTTLYV LGFSAGPVFWAPTSELYGRRWLLVVGFFGFSIFTIACATSKDTQTIMLCRFFAGLFAA SPVTLVPASLSDLFNNTHRGIAIAMYTLAMFMGPYTAPFVTGYISYSYLGWRFVFYIP AFVSFFNVLLLITFARETYAPIVLVHKAGLLRRQTQNWAIHARQEKIEISLKELITKN LARPFRILFTEPIAFLVTVYMSFIYGLAYALLEAYPVVFGGVYGMGGGNAGLPFIGLI VGQMLACAFVLSFQRPYIRKLAANNNVPIPEWRLPPCIVGGIAFSAGLFWFGWTGWTT SIHWMVPIVAGGMVGFGIITIFMQCFNYLLDTYLQFAASAFAANTMMRSCVGAVFPLF ATQMFENLGIQWAGTLLGCIAAILVPIPLAFLVWGRFLRRKSRLAPTDDGPGGGRSPA ACHE_21132A MASMLHYLRFGNNASKEEAPPANNAPVRALPASWYTSQEIYELE RRAIFSKRWLFMTHSSRIKEAGDWLRYELAGFDFIIIRDRQNNINAFHNVCRHRAYPV IEKQGQGNAKIIACRYHGWSYGLNGKLAKAPGYQELDGFNKEQNGLFRIHVKVDVNGF IWVNLDAKETPEVSWDEHFKDVDKQERYKAYNFDNYDLDHTYELEGHYNWKILSDNFN ECYHCPTTHADIPEFLNLDSFDSDTKDGHIQHHCVSTPEQLAKGLYTASTYYFPTSAM VVSPHFMMIQKFLPKGPGTSLMAYEIYRNRNSSEADFRLISDMYARVMKEDKVLCDNA QKNLDRGIFTNGQLHPKYEKAPLFFQSTIREVITEHYNREKAEGQEIWPARPQVPCDT QVTEKDEALCGDLCCRAPKETLAF ACHE_21133A MDLWSPTRQLALQRVAFGETRIDQNTTPADITGAPLVFEFDERE LHEWARTLFVGL ACHE_21134A MPLKIIVVGAGLAGLGAAIALNREGHDVEVIEQSGFLNEVGAAI HLAPNATRILKDWECEFDSLQPAPCHQLTAWDEAGSQVRFEVVTQELQEALNITDEWL LTHRVDLHNTLRAAAAKEVNGKKPLIRLSSRVASVDAESGVVLCENGTEYTGDLIIGA DGVHSRTVRAITGEDQQESTGQNCFRFLVPVSKMMANPITASLIERIRLDGMHAFTSY DRKLAVYPCRRGTLLNVAAIHPSGPQGTTGARQSSWLDGGNLDQLLEVFEGFGPELLE MCRMAEDIKLWSLGSRKPPHTFFKDKLVLIGDAAHPTLPHQGQGGAQSFEDGAALAAV LPASTTSEQLPQRLEMYNQVRYAHSLTVMILSRMDDERRGEMADELRKYVPDAEVPEN MFSFTWNSYPAKEAEVLLQAAERA ACHE_21135A MADPDKIKPYGLSQIYCSKEPLVDIVFVHGLNGHPYHTWKSQSG VFWPAELLPEVLEHVRILTYGYNAEVAAFRDGSSRDHIHHHAETLASGLAANRNLRKC SDRPIIFVCHSLGGLVVKRTLIHCRNVTNEKIEHLRSIFVSTYGILFLGTPHNGSDVA KWGSLLQNICSAVLPRKIVESSSQLISALKTDNETLQNINSLFAEILPRYHIYFFHET RSTDIKGTRQLIVDETSAAPYIEGVERMGIEADHSSMCKFDDETAPGYEAVAEAILRY SEAAPALIAHRWHEEESTREMWKHYKIGELRGTADNTTAGPEYRDEHITSPAQSAQIA SGSGLITHPDFPNVHPISHVSQVAQQIQVPVVYVPPPGGPSASAPDPTSSTELPAPSE REPFFIVPPGFHPNATFYGMEKELRLMHDRLYKARKRADRLTAVLIHGVPGSGKTHLA RQYIWDQRKCYPGGIFWVDAKSRQSTYKSYWEIAQAASLAPDDQQFEESEATAPQQFV FEVRDWFQAREEWLLIFDGIAFDQDEDLNHFKQILPFRPRSNIIYTSIDRTLARKQRL FEPYCLTVPPLEEEDACKLLFKDIGVKNPDRAQKKKAIALVKHYECLPLAIHAISHRL SATGKPIVNYQINSHLTDEKLAEPFLSIMHDLYRMEHFAALNLINLLAFLGHQVPVGL INMGRGALESWDVEVMTSSRPGDRPEIDATLGTLIRYGLLERTANSPKQQQSFSSQSD GDEILESTVQTPALSESQTDSNEDGSRDSYFETNLGPGAIDVIRIHSVVQGFCRDELK IMDKEQASQPLMASIASLGPGSTGFYDSWLVVTTSMFCASYEKAKTRMVAIDYGGMVK DYREYETHGSRLLEHYPKKARKISKAPVTVRQAHEDLTRAMGNIRGELRRLSRSSTQV APPKLKSVFDRTSSSSSSLPDSSSNEENSPEPTLDLGEIKPAHVESPQEMPSSYQLEL FPPHIFREPSRDYETDDESEVNMKKPKRGKPLSQMVREVRRPRPAAPVLRVFQVHGHN VPSNNSDRGRRGSQGSAAEALAAVHHGTPPSSRDSNVDLAITSPSGEENIPTYATTAT SRRTNELIDAAKRRPYSPPAGRPASRAPARSSAESLVSRSSNIAPFSPDLRSERMSHS LSSEAGPDLIAQHLESLEMKATQEAQFQQLHSSATLRPHEPAADLSGSVSSIFAYGNQ SMHIEGNPEIRDSRRMSGHSRSGLVGQSATHLMSVHHPSAFMPGSSPPSMTDMNMPGY HANATDPVPVTAEPMSRGPSANSRQSWTTDPVLYPPPVVPHIQPNTGTTTAPMPISPF PQIVPEHPAVTGMGGWIGELPPQPAPSAGLHPDSAYASPPPAPPLEPTPAPATAQGMY FGGQPVDLSGARHRLFGHAPAPAPAPFPFASPANIATYQLYHPNLSTPMIPQPVDMGP PRGVPARRGRSGSAPGEGWGQ ACHE_21137S MSFLTRVTPLTSKLASSVARTTTPAFSVPAFRSLSSTSKKDKGV ADATKDTLKKADKAASDAALKGIETGEHVKDSLKGTFGSTKGEAEAKSGELKDQASKY VQEGKAQAEAKSGEVKNEASKYAQEGKAKAGEAANEAKQKVHEATR ACHE_21136A MAGSPDDYRDHRRRRSPSDSSARDSGRRRRDEDADRSSRRRDEH RSSRRDSSRRRPSRSPSGRGSYRRDRDHRHRERRSSDDDHRKRRHSSPEDRSHRRRRD RDVYDDRDKPSRRRRSVSRSRSRPRRSRSPPARALERSKRPLPSQNEVFTSSEVTGTG ESSGPPVEKQKPNFANTGRLAAESNTVDVNGGKVVLKYHEPPEARKPPAKEPWRLYVF KGDDLLEVVELYERSCWLVGKERLVVDFPLDHPSCSKQHAAIQFRYVEKRNEFGDRIG KVKPYIIDLESANGSSLNGEPIPAAQFVEVQDKDVLQFGLSSREYVMLLPPPEAR ACHE_21138A MGARKRMGPATRAQDRLHSMRLRSERRLNKHNGKEDASMEDAPE VCETPTAPPREPTTPQQPPEQLRREIPMQAQHFPCNPPENQYPPTQPERDTPPTTTAH ESPQSQLGLELQSHIAAAVASKTAQIKTTGDEVLELVSMVSQKVIDWEKQSLQGAASL GRDIRTLVLNFGKNLTTGDPSEQENYHPPHPAHNSYAKTVGSPYNAPRPQPKLPKATG KSPQPEKPLRIFLRLSKDHPARQASPYATLDILRKHLDGTCSAAIKEIQQVPSGLAIW PKDGPGLQLLMEHRELLERLIQGATAEVEQKWAIYALPNAPQQYNSYDGNQVPITEQM ALEEFKLQTGLSPLKFYRSNKNPLSGTLVMAVPETQVQTVPKWVQLFGKNTPIKHKPP GPA ACHE_21139S MPKKANILCCGGLQLLNPPASHANISRSRTAFRNRFYATAHDIP DSDITWPATASFTPYDLFKQDRAAPYSKHRFYDFVKIYHPDRPCNGHPLCKGISQEVR LQRYHIVVEAHEILSNPAKRAAYDQFGLGWSLHPPRPMGSWSRPGYDGAGPIFANATW EDWERWHNRHEPKQRHVVDHRTFARAIILLTLFGGAVQASWITQINTGYEERLRAVNE KSARFLTGRRENTANQSGSSETKMQHFLIRRDPTGHGLKDEEQPVYQGVLHSRRPALD EGQTPVSVNGLARAKDPEKSL ACHE_21140S MTSINNPVAFLKAMLPRIPLIVKTILLRGIGMSSVAGKQDLRTE MTVAIIRSFMDFRRPLRKQQIASMRDPGIRGPIWVSKVTLPQPEDDIQDAVFKAIEAL NVGGETFDIPGVGPVEAEWTGYRKGVDKKAPQPEISEEEKYKELRKEASADSVILYFH GGAYFMMDPCTHRVPVAQFSKYTGAPVFSVRYRLAPEHPFPSALVDALVAYLSLIHPP PRSLHDPISANKIILAGDSAGGNLSLVLLQALLTLRRVSPTIRFHGQEVPIDLPAGLA TSSPWCDITRSMPSVVNNAYLDYLKPPSQAPETIYRPIPIPEDDLWPRDPPRVDLYCN ASATAHPLVSPLAADKSLWKDAPPVFISVGEEGLSDEGLIVARKMHQSGVPVFVEQYE GMPHCFGLLMVSTPAGKRFFSGMTEFMRKAAAGEIGKSSGTGTFVGFKLKDEREIPLD KLVEVSDEDALERLRRSTYWRIEGEKELAKMVQERAKL ACHE_21141A MAYPDRNTDRLQSRRSPEQQRQSPYRRTVDNNTNLFDISSPRRP IRDSPQHRRHFNQTNTLSGAYAAAGGDNFAFDNSFAPGTPSPSRRRNMAMTASTSPQS NPPDEIVAAYHQIRGDKGEVNDDYAQDYLRLDPAGRLSPGAAERYGEDVSLDPFASGD ADFLDNVTDGSPRRSHSDYIEDERRLRHVITNQSPVLTKSGIISGLTSEDLQRREQED QEDYPEMEVEEDDRGAQPSLNLPRTWGSRATNRRSWIRNIPPQNEINSRNQAGHQAAD DSRPKSRLGYTDTTRPSRNTERPPRRTSLETRSALRERSTSGYNRSAPNEEQNKPVSQ QKDQQSSEGGQSSNTPVSVYKNSTFSKRSPAKRDSHDLLRKLSRNESPRVNSIQNENQ MKTPEQTKQPERPIYDKTPVVTGAWIDTPMTEKVTELPEHLSRDIVPSPPRRQEAENP PKPIELQTDNEPSELQPSREREKEPAKEERVEKGEQKEKATEREKAKGTKPSVIKPDM PGSGLEAFLRDPNANGDTPGDDTLESLQEILNEQPTEVKTEAEDAAYEKAILKKLERA SPEEPAKDDVEKIDGNLVSLGVRINEIKAGIASIEEKFKREGKHIHAGENCSSCGSHG DGRLYASIPFPYLWRRDPVTRRVRPTRLTWFLLVFLVWLFSESTMCDYYCRPRFATAC HGNCLKPDAPEFPFVIPTMLWRWSHISSFLAPAFTIAFAFFKLTMQLMGLWDGFVDDG PPPRALNLTGEVRIHGTRIAGFSPATSTPVINSPPQQWAAYHGQPNIPGLGVPPWEED RSSMEDDELL ACHE_21142S MAKSARRTGKGKGPLTSSVSSSGASTPSSESGPLPPFARVPESL FSFVELLSPDQVYLIHIDTSPHDLKRQTFFVPSVMNAIIAVIIAVRLYFVRFFYPALL ATIVGLSSPTTVDSSTMTWVEMMKIILYRTANVVLDYFLVLIFLPWPIRFIRGPVKWR RTVGFQGHEIIVRRSQNIWSKNLVRNRWIREDEASRDRIVAAVTPERLEKPGYMLVDA DWDLDYGAMIRAQELVDPARKNKDDRVPLEEFRTSVLVNTDTDGWLIWRVGDESSTTT SDGKDYSEQRDQILAFREKLAAIGKEDLFFRWVEIIQYESTLPGGFTTERQQSAMVQA KQLFEEGGVDFSSLWREVGGIEGFLEQID ACHE_21143A MSLHIYIAHTGEHFLADPVSFASPDALRSWIARHTSIPSQRQIL MTARGKNVKIQSLAIEDEIFVYDRGYVSEPGNVDLPQLPAPQPLRPDNPPDTLANQND LQSWRNLYMARRSWAFGLAERCKSIDRSVREHNERTDIINRGVGVALENLKSHVGSLE QRFTEAQAWARDLLKEQRAALEGWQRALNTLGSIPARKEFSFLGRPSTPTKDQDRPSG TLRDFVDVEEVRRAGADASIVSPRFAGQVEDIEQSVAAIVSDTQRLIQDALPSGAEGV DGLLEEVETLTKKISSDYEHVLALPSNQKTLANISRLALSHTQDILPSLLDIATELQT ALDNAIARYNDVMKGSLSHMHVISSIEFRLADMQNQLLNLDVQGDSFEVIYSVFHMPM IYGSILVESVRRREWNDKMKSDSLTLAEEMAVFRDEEQRRRKKWMKNMGEYLSLPDGA VPGIEVNLQGQDHEWPEVSRKEIETYLEELKGKPGLATIAQELTQMYKDLDAPTRQQR RRAKAFKQGSIFDMGRSSFLLRGDEMVRSLKDEKTKLEDRLRGSESRIRKLEDLLHRQ SHMNRPASCHFGVEFPASPASAHPDPMSRRSSVSSRRMSTNQSTEEKALAQRIVSLEA ELAAERESVQRLQKEAHAERQSNTDKIHEEQSTKKDLMGNLESQQREFEEERKMLEDE VKRLTCEYEEVEEALYKEMDSHEHERQDLDERIHQLELELQDAHSSAESRANNLTEEA ESERQSLREKVNELEKQDAERQKRDQENCNALQTALMNLSPGGVVPDDIPGIIKAIEV LSEGLSIHAKRADENAANATAECKTLEERLSQMESEIEELRKTSETRQADLSAVTLEL NDERSKLEDLQSQFVAGETGSDALRQRVAEEEQKLSELSQKLADAEAKARQSEEELTT WKNKVAAASQSEQKAASRNATRRSRSEELSKQLFGVVERFGRMLEQLGFIVVRQNGNI VVQRASKVNASTENLSQSDIVSLKPDPDVMNWMDADSSEEETDRFMFFMETLYQFDVD VFGDAVVKRVKDIELLARKWQKEARGYREKYHRAHSDAHDKIAYRSFKEGDLALFLPT RNQAIRSWAAFNVGAPHYFLREQDAHKLQARDWLLARITKIEERVVDLSKSLNGTNPD RRSVGEVSDGMSVDEENPFELSDGLRWYLVDATEEKPGAPATPGLGKSTVASAHVDAK GSIRLGRPSSAGNVAKTLTKSLDSRRNSSNSKKGPSSQLANDSTTDLTRVDEAESSSR PQETADEVRRDPPQGT ACHE_21144S MASSTKVQSLPHLEEGEVSLLELAADDARDVAPLSDKEAMILQL YHRAQEQKLEKALLQQETENVSGENVEEQLAIAEQELLEARATYTVRRKAVATVLMTE PSLKAVHLKATSPAERDLLRLINRRDVLSLAHENLHAAHNAILRQLSNLEMENREIHD RNRELVRQLLELTGQDGSWRDQLEDRDLRAQLDALDAEQQKCQARWEVMKNIASAIVV GSGVNWADDDKLSALVLDESDD ACHE_21145S MGGLLTNESAEILSEPHPWHGDSMGAIFRAIVVLGGSQDALKET RVQLETLKHLYFFDHGLREQGPYNPQSDTQRKRLWSHEDDSESRRAEEIKE ACHE_21146S MPKEKTTTRKTKPRVERKKKDPNAPKRGLSAYMFFANDNREKVR EENPGISFGQVGKMLGEKWKNLSDSERKPYEEKAAADKKRYEDEKEKYAEQQAAEAEE DEESS ACHE_21147S MALRTSADNAEDVAAGFRMFRDPLPEYATEITGLIADLYAISVS LKFLDDFASNRAYRHTLHHVQSDLELVGTSLKYTLEDIVDFFGDLETRHGPHRETFKR TWLNLCSYFQEESKDSLSTRLIKYKTFLNELQDEFKDKDSDARLTARLRSNIKSLLLQ QESQNARLVPRLGALTVGGSSGSEPSSPVSERRRPRNRRSYERRRPSSSMQSPQSPLS PSSGTYSSDFPPSVPDVPGSQTSSSGTQSTLDTAADHWAKDVFLDQHTTTPVPNVGET SKCLGDPNPGLKRWLRDEGYEELFQLAFTGDSDLRVYMFFREDDHRARIMCKGPRGSR PSPYFCMPLNMLEAFRNGSCLELRRRRRRGAGELWANLKFSTIERMVLFFCTFLAMRS QDCGRPVGKIRDYELDDEVELYGGQIIDDNYLHALRIYQDTITGAVRLQASVHKGEMD RSPVWTAFITDHIKEHIMTRAWIRRSSSDPKAVLLRELHPSVFAFMDYNPQVTARGEH ILRFLTRAGEFSPWSYLSRVMLTLADADAFLRNIGELIAELV ACHE_21148A MASNNNSLYGNPRRKQSQNTTPQSSSSLAFTSHLSSLISQHQPS TTGRARPSKSSKSDIFSVSNRGAQKRAAADLLDDNNGQQHKTEKDIGKVDEATLHRSK RRMEEKVRLYEDLKNGSYLAGDSDDEDEAGAEGGGDAYLARLRRKEKEGLVDFDRKWA DEERKREDNEDSQEEKEEEDDDNASIISYEDDLGRTRHGTRAEAARAAAESQSQHEAA ERWRPQRPTNLIYGETIQTQAFNPDANTAEQMSYLAARRDRSPTPPEETHYDPEGEVR NRGTGFYAFSKDENIRKQQMEELMGAREETVKERDARRERRAERKRVKDERRRKIEEL RVKRRAEVFLAGLGDLGVQS ACHE_21149A MFQPELRNQGIQFEFRLDNSYIDYGIDWVKADLTRISQVVINLF SNAIKFTKTRGTKKIALSMGASLERPPSYPPNIVFFQSDEAALRLDATSGPEWGTGEP AYIMVAVSDTGIGISPESQKRLFERFNQATPKTGVEYGGSGLGLNVCRKLCHLHGGEI GVSSKEGDGSTFGFFFKVLRSSRASHDEKVAQEDEPGMDELRNRLGSLGHKVSEAEQT NPNDSDSEISAKPIVTQSLEVKPNAADDGRTGDERTRNTAKIAETDEDQDANRQEAAN GDLSNEDKPKTESSGPSHGESEKIRILLVEDNIINQRIISRKLQSLGFEVSKANDGRE AVEIAQTGGFSCILMDKEMPVMDGNAATRAIRQSEHRSIASVPIIGITANVRPEQEAE MKQAGMDSVIHKPYRMEELVGSINQVTNKEA ACHE_21150A MDQPSVERTPGWPDTIGDLSQALMALSHPAAIYWGDDLMLFHNE AWEDAAGGVDRQRTPQRHALTTNAVAVLLTVSHGGKAGALRGEDLLNIDRCETDSHVL LSQIPGVGADYVTGVIAQLIPKIHSRKPLDDDRKPLSTADDKERKDAMDDSRGGAGAS AMNGTMNESVQKLADTLPAGLAIINQKDEPVFINGRFQNPNSYHSTKYFETLSQSIHS DDCGRVESAYQEALASRSELRIQYRVRDQHDCWHLLLLNPLGHGDVQYPGLDERGGFT CTVVDITAEKTAEIAQAESAREAQEHKEQQERFIDMISHEIRNPLSAILHCTEDIIEA VKDKKADQIQTGDIIQAVDIINLCIMHQKKVVDDVLLFSKLDASMLTL ACHE_21151S MASPIYLGVIGVGGVGTAFLNQLARLPNAPQLVLLARSSQTLLS PSPAYKPSIPAGDWKTASETPSLTKSGALSVDEIASYLSSAPGRAVLVDNTSDPALAS SYPVFLRKGISVVTPNKKGFSSELSLWKDIFGAAAEGKALVYHESTVGAGLPVISSLK DLVATGDQITRIEGVFSGTLSFLFNTFAPVSGGAGASAKWSEVVAQAKDLGYTEPDPR DDLNGMDVARKLTILARIAGLDVQGPDSFPIESLIPNELASLPSTSDGITQFMTRLPE FDGQMSAIKDGAEKQGKVVRYVGSVDTAKKEVRVGLQYFDKDSAIAGLKGSDNIISFY TQRYGANPLIVQGAGAGGDVTAMGVTADLLKVLERLS ACHE_21152A MGVDVNPGIRSYMLAIQKTVSVSTRTPPPPNTYNYEPRLTNSRK KYTFPPITPLPNFNHETTDPIQLRPFKPKYHLTMGLTNIPLSDFLPIDNTYKSRLALR SSLISNHRDTVIGVSDDDGRDTRVRQAVEELYAFVIGWYLPSRYPSMFRVIPAPSSRK GGEVLENKVTGDTWPTTLANTQNAEIALEILGKTIDEDFLILLPNPSTEKYHLSAYIT CYPSGFNPARKLGLQLSTIHAPVPGYAEKLEKSMDRFFARIEVGKVGKRVNWSVTTGA GLFAAFGGTHARVGEEFESLGAGELDVESTFLRCERQTLHRLPTSKALIFAFHTYTYP IQQIKDEGLGEELASAIDGLKEGNVPEMHFYKRGSVWGEAVKAFLRS ACHE_21153A MAWYSILPPDLTYLETWAARIFFILGLITLLPWTALLIFDFVLY IVRMALYEMPVVGGRVRGAQRPRAPSLNERLDGGARRVFVLGQGGEKNIEGYVYGDGD LDEEGYAEGYRDEGEREREREGKKL ACHE_21154A MDSLVFRRDEASPTDEFLKLIQNPFSSAFQLNALSASLITSVAA AVLLALLFSLFRPRHSVVYAPKTKHADHKHSPPPIGRGIFAWFKPVLRTKEPELIECI GLDATVFLRFTKMCRNIFIFMSIIGCSIMIPVNLTQSKGAVAQGFSAFAVMTPMYVDT MAVWSQVACAWAFNIIIAFFLWRNYKAIVRLRRNYFQSSDYQRSLHARTLMIIDIPQS ARSEEGIMRLTDEVNPTAALPRASVGRNVKGLPKLIKEHDETVRKLESVLAKYLKRPD QLPPNRPTMRPPRNHRGDVVDKKVDAIDYLTDQISRLEEEIRYVRASVDKRDAMPFGF ASFDMIEHAHAVAYTARKKHPQGTTISLAPRPHDLIWENLPLSKATRKWKRFMNMIWV TALTVVWIAPNAMIAIFLSNLNNLGLVWPAFQTSLNANPKVWAAVQGIASPAITSLVY LILPIIFRRLAIRAGDMTKTSRERHVLHHLYAFFVFNNLIVFSLFSAAWTFVSTVIDA NQHDENVWQALKEGELYSKVISALCNVSPFWVSWLLQRNLGAAIDLVQLVSVFWIWFV RTFMSQTPRQAIEWTAPPPFDYASYYNYFLYYATVALCFATLQPIVLPVTAVYFGLDA MLKKYLLLYVFVTKNESGGQFWRVLFNRMVFATILSNIIIALVTKVKGTWTMVYCVVP LPILMLIFKFYCMRKFDTDSKYYNRADLSDAEALAAGNHARKASERLSSKFGHPALYR PLITPMVHARAADALKKIYRGRLHNSMVEGEYSDIALNPMSASHPGKSDQSATAPFEV VPENQLDFSYFKDRPEFRDEFGGGIYGRPEDLITERSHTPRSMLGGEWSPGSSRASSP APSGLSMSMPTLPADPYSHVHPVFRPPLSRGDSGHVGQGLYQQTTNESEASLLEQAQA PAQTEMPSAALDRWRTGGYGPVEQDDDPGFMSYEAYRMAR ACHE_21155S MKMVQRDLRLYTLSAYADEAFHRSKLDVVEDRGNLDGTPDDRIQ EEFQALIRSLNPCDLCDDKEIFAHPARNVACLVFDEATISTLANLFFPENRMDYYEAF SDVTIKAVDIWWQCSSANPESSYRRRKLPHCRV ACHE_21156A MQKLARTVKNPHICIYCVPEGTELPEDLILVHELRDHYSLQARR GIGVDDLNEKITDFLSERGKRLSREEWLWRFPRATEEIA ACHE_21157A MGVLEQLSRKAGVITGDDVLRLFEYAQEKNFAIPAVNVTSSSVV VSTLEAARDAKCPIVLQISNGGAAYFAGKGVSNDGQNAAIAGGIAAAHYIRSIAPVYG IPVVLHTDHCAKKLLPWLDGLLDADEAYFKLHGEPLYSSHMIDLSEEPVDYNIQTTAA YLKRAAPMKQWLEMEIGITGGEEDGVNNEDVDNNSLYTQPEDILAIHKALAAVSPYFS IAAGFGNVHGVYKPGNVKLHPELLSRHQKYVQEKVGSKKDKPVFFVFHGGSGSSKAEY KEAIGYGVVKVNLDTDMQYAYLTGIRDYILNKKDYLLAPIGNPEGADKPNKKFFDPRV WVREGEKTMSKRVQEALEDFNTAGQL ACHE_21158A MQDKPTTVAAYAAGASLAAVALFYVFGPNYTIDGDENASNNRKK SIVGLANPANDCFINSVLQALAGLGDLRVYLIRELHRRQLDGPEIYSILPSLDEIPRG ERLDKIRELQQGTITSALKGMLDRLNERPIYKKTISAAGFVRSLEYAFRMRLSRNQQD AQEFLQIVAERLCDEYHAGVKARQRARWLTETPAGSGAQEPGQDVNGDAKSPTEVEVR VDDGSRNGIPAMLDTKLDGIDNEEGFPFEGKMESQIECQFCHFKYKPNQTSFVNLTLQ VPQKSSTTLSACFDGLLKTEYIEDFRCDKCRLLHALQVKVTEKNRVRLEKDKRRLDQE IQLIQEAIETDPECIPEGVTLPPKELAPKRNIARHMRITVFPKIIAIHLSRSIFDQSS STKNAAKVSFPERLSLGGILNPKWFKLLAIVCHKGSHQSGHYESFRRNTLYAPFSTPD AFSSFANSRATSRNPSRAPSRATSRAPSIAPSPRLNPRNGSDPDSADIANLSRSASAL SLSRGSPPKNSLQLNPPPSPSSRPETSQSRISFQSIRSKSSGSKTLSPTSDDGSPTTT DGGRWTGRTGSRSSKDKGSSRLGRRRSKINNRWWRISDEKIKECKTSDVLGMQKEVYL LFYEMEKPESESGSAV ACHE_21159A MAGGDSGGETIQARRQRLSMVPRACEGCKTRKVRCDRSRPCSNC HSVGITCRETSPNSRPATRPRLDREAELQGQIERLEDRLCAVERRLPRDISAEHNSNI PENPGEVSSVDKQDWPHLTSVPPVYEGLSSFTNQSIRASNVAQKTVDSDGTGEQSGLN ASLGHLKNLFQLPIMFSPDDYRFSRRPTIRPIPKMDPLPLDLIIAILQEIKASRPIFL CSYVISDPSLVERLCRSVYFPVEPISSGHLAAMYGILNALLKEFMILQNPLCQRFDLQ AHAAQCEQNFNATIESYDVLAVPSFENIFALTMGFTKAQDEAKPLLCYTLISTAVSHC QMLGYHREVTHRNDRTGNSENARRLFWTAYTFEKHISLLFGRASSMLNFDIDTRYPAL STDPAVRPWDESFMMGIKLASMQGQIYDKLYSTTALRTPHSERAQYVRHLTTAMDQWR TELQKIDSNQVNSRQIFDITRYSWDIMYYSTFTSLLRAPTISEAEEAEVSSQCFQVAR LALESHLRCFSKYQTSGFLSDADYANWLVPPLPLSVSSCIC ACHE_21160S MAKTLFDVVDELDNFPYYENDPDLSQEHLKVYHAFKVNGIDGIL GYVPNAVVESFPWPKDSWIIDSTAQTITLLSPPDATVAARSEMMAQTLRQMAASGDFK ILQGWRDERFPVYGPCGEVVVEIERSASALFGIITCGVQMVCYTEDVHDGSPRFWIAR RSRSKQTYPGMLDSTAAGGLSAGQLPRDAMICEAMEEASLDLGLLEASMRCVGCISYF HVRGRLAGGETGLLQPELEYLYECKMRAEDQIPKPKDSEVEDLRLWTVDQVLGALKNG EFKPNSAVVFIDFLIRRGLITPEMEPRYIEIITRLHRRLPFPSPRRFNSSR ACHE_21161A MEADYSALVIDNGSGMCKAGFAGDDAPRAVFPSIVGRPRHQGIM IGMGQKDSYVGDEAESKRGVLSLRYPIEHGVVTNWDDMEKIWHHTYYNELRVAPEEHP VLLTEAPMNPKSNREKMIQTIFETFNAPAFYVAIQAVLSLYASGRTTGIVLDSGDGVT HVVPIYEGFAMPHAICRVDMAGRDLTGYMTRILSERGYSFTTTAEREIVRDIKEKLCF VTLDFEQELENAAQSSSVEKNYELPDGQVITIGNERFRAPEALFQPGVLGLENTGIHL TAFNSIMRCDVDVRKELYGNIVLSGGTTLYPGISDRMHKEIIALAPSTMKVKVIAPPE RKYSPWIGGSILASLSTFQQMWVSKQEYDEAGPSLVHRKCF ACHE_21162S MSMPSGVSIAEECLTAFSTLRSRRGTSKPIFIIYKITDDRSTVV VEESSSEQDYEVFLQKLYSAGGPRYAVYDVEFDLREDGKRCRTVFISWVPNDTPVKLC MIYASTKEQLKNALDVKLSIHADSLDEVEWKTMLSVASGGKCL ACHE_21163A MASNKIWLRAETKPAEARSALTPTTCKKLIDAGYEVTVERSTQR IFEDDEFAAVGAPLVEEGSWVKDAPKDAYILGLKELPEDDFPLEHVHISFAHCYKQQG GWEKVLSRWPRGGGTLLDLEFLTDDVGRRVAAFGWSAGYAGSALAVKNWAWQLTHPGQ ELPGEVPYANQDVLIESVKESLEAGKKQSGKSPKVLVIGALGRCGSGAVQLAKDVGIP DSDIFRWDIEETKKGGPFKEIIEDADIFINCIYLSAKIPPFVSPESLSTPNRRLSVIC DVSADTTNPNNPVPVYDITTTFDKPTVPVTLPAGTQGPPLSVISIDHLPSLLPRESSE MFSEALMPSLLQLKDRTNARVWKQAEDLFNEKVATLPESLRA ACHE_21164A MSTTIQSRIVDLDGLIPRIIDIEREDDVENLIPWVFQHIDNAQG IDPENWPDGERNSGPSLTIISSTVPIFNDGDLLKT ACHE_21165A MAAEATEIPTAPTVQENTNDAGAETKTDIETTNQTNGHGELASA DQTKPAEGSKPTEEAKDQEAPAEDKPEEPKVGDKREHETSATEPAETEKPAAEEESSE PTTKKQKTDENDTTKAENGKAAPKEETNGEENKKKGGRGKKAKTPAAKPTVATDGPGS RTRSRTKAAA ACHE_21166A MKKSSLFNPKTWSSIHHPLPRTPRESQQLLGALTSSFRRQLDHE YPTSAAPERSNKGGGQSVRSDSAAHMADKHFENILENPLFRVVPLKERKPGVPAYHER ITREPMVVFDEWAASGLMTNGRLALCLKSQYLLSTTGKHTKHERTQALKVTRAGSKVV SWWFASEPAVREKLFASREATKYLFKFLVAEGMQDTIMGWLRVFLGPDSEIPSRLLHP DNSVPFLSRLADFLHAEVECGRGLGSAVTYFTQSCQLASALAGKPDGEYSEAQRRKLK FAGFRLNRLVVGHYHTQATKLPVSPYEKYMEFMFSLAQNNILNDSLFLYHPTHPDAGP FLRLVEQLASEFLEPGIKRQSENARGMLIQAGFHSLRLLIDQERFGDATRLAPYIQRL LNGEDASETTSDSSYRVSDEEERLLDRLQFSLT ACHE_21167S MSEVQTRTPASRGRVSARGGRGGYSSRGGRGGRSANSSDNVAAF EEEGEIGQMKKKYASTLPMLKELFSDWSDEDLVFALEDADGELEEAIERITEGNVSQW GEVKKKSTDRTRTKAKEAQNVPIETPTAPVRGGRGRAGFESRGRARGDRGGRGGRGGR GGAPTNGFRGAEKPAVVPTVASTAGTATWSSVAEGTATKTEKPSEEQPAVAATPEPVN EPKDTAPKSSVIPEGTKKGWASLFAKPTPPPQPKPAAAPPAAPPVSSQERPVEPAAEQ QKKAPEPEPEPETTPAPPAATAPTPAPAPVEKAPEPAIPPAIPKVAPPSAATPTAPTT TTTTDAATPVPSTSDVTPAQDDLTRTNLEQIPDASHPAPTATAASVVGSALDQNNNAT PSRGTPSMYPASTVKSGRAPGFQRRVMEQQEAVVMPGNHAVDRAAVQFGSMGLNGDAA PVDVDETREEAETRAQPPQHSPVAPRASLPPSTQPQGPTETPTVSRPAPGLPPVPQAT AGDAFADFARYTDAHKPYDPFSHQVTQPQPQIQEPFANQAPIQPTVTTGSEYSPFYAA DQRLPYNYYGAYGQSQDATVAQRAAAGFGVSGAEVQPHIPTTQPPSRYGHVDAPNSGH TTPNPTLPGAAQTPTAQHMPGQSAAAAAAAHAYGAYGYPYYSNPHYAAYMNQMTQHQY GRNRPMYDDARRYDDHYMPHSTQFGYGSQYGPYSKAGMYGQPAFSYDHASSPATAASF NQAMPGRDSVYGRAGSAQPSEAQQTAAGANAFGTGMDVFGRTPGFGQSQPITQQPPVT AEETKSFDASKAGGPSPSLAQANRPGSATNNVPGQPQAQTGLPPLQAQQGQQGFGAYP HLNPQYGGLGGLGGHQGANQAAAGYGNYGGAGFANYYGNTGRGWGGNYGH ACHE_21168S MFKTLTTAFRKPHRRMEADAELQTMYMRPGDKFPEFLARFLLLA SEAGIPDDLYKIELNRQLTDKVKELSLPYIGDDKTFDEFTAYVGMVVQCLNTNAQEAK RRNLSQNSRNHSNTNPSKAPNSSGSTKLDDNTQQELMSQGKCFHCKETGHVFRDCPRR KKNNNITQLRKIEAVPESSNNNSGNGDA ACHE_21169S MTPSEQNYKIHDKELLAIVVALNEWRARAELEGLRKATNTELLP GQQAEEPPPITVNGQDEWEVEEIIASQLSYRKLQNLSNSYPQQRN ACHE_21170S MDEEQWFGSPVDSPDSDYISESNDDESEFSDGSSTAYEPTAQNR LRNQPNSQGTVPEPVTPRFAATRPDAAAEMLASCTAQLSLADRSGSREIPSKLRETRL EHSQRNDPDMKHGSGNRKK ACHE_21171A MSSKDPQSNIPASSRGSWSSFLKSIASFSGDLSSLTAPPFILSS TSLTEYSAYWAEHPALFVAPAKEADPEKRALAVLKWFLSTLRQQYCSRSEKLGSEKKP LNPFLGELFLGKWDSDSDVGETKLYSEQVSHHPPVTAYAISNDKHGVELQGYNAQKAS FSSTIQVKQLGHALLSVTPPGADKNDPSQQEKFLITLPTLHIESLIYGTPFVELEKST KIASSSGYIAKIDYSGKGWLSGKKNTFTASLYKESEGEKKPLYTIDGQWSDSFTIKNA RTKEEVERYVVHDNKTTPLQLAPLEEQSIFESRRSWQHVAASIEKGDMDATSAHKSRI ENAQREMRKIEKAEGREWERRFFNRVDEKGDETFLRLAKMLQITGSLDTDKTNGIWRF DREQAATAQPPYHNAGGEALGITQ ACHE_21172S MLKVFGSRSKQNASGMAEEGCSRNSSMTQLPPEEAQRPQSEYGE PPGTPNTLVQVPSNLTNQDLPPVTDESDDENAEQYKRFSPARKIIIVSIISYCAFLAP ISSTAILIAVPEVGKTFGTNGDIINASNALYLAFMGISSTFWGPISQVYGRRPIFVVS SILFCAFTIATTLSPDLAAYFVFRVLTAFQGTSFLVVGSSAVGDIFEQRKRATALVWV LSGSMVGPAIGPFLGGVVVTFRQWRVVFWLLSAMNGFAALLIICFFPETIPYKSSREL KGHTLPKKTKMVLHRISPIRVIVMLFKYPNIFSVGLAAGALVWNQYALLTPIRYILNP RFNLHSPIQSGLFYLVPGAGFLAGSLVGGRWVDYCMKKCVAKRGGVRISEDRLKSSLV HIILIVPGCILIYGWTLEKEVGGIPVPVIAMFVQGVSQLFCMPSLNTYCLDVMHHKGR SAEVVAGNYVFRYVFAALGTGVALPAIEAIGVGWFNTLSALFLSLTGGLIWLTAIYGP KWREAIDAKDEQKAASRKEVS ACHE_21173A MTSPEGGIDDSVTGQELDTFRKTSATVKETMPQQPTQPNRDEYE RLFGIDDFLDEQPTTSRRELWSYYLYYNGDNGVGPGSYSQALFQWALKNAGWQPGTNP PKPCTESSPCVVPWAGGARSISSIILIANGLCFSFMTVIFIWLGSAADYGSFGRWLLL ALTVICWGFQYGMMAITSPNQWHAAMAIYVISYIAYGATLVFYAAVFPRLARHMPHVR KAREDLREGIIGQGEYDTIKSLERNHISNYSTAHSNIGYLCTLVLNLGVLLPLQHNKY SNNLALCLTNSYWVILGIWWFIHQQKRPGPDVPDGSNYFTIGFKQIWLGLREVRSLPQ TFVYFVAYFLLADGLNTTETLVSIIQNNSVSFSFLQITYLGITQAVCSILSTFGFSYI QKYFKISTKSMFLCTNLFSVFIPLWGMVGLWTNRIGYHHIWEFYFYNIIFGLFQAPYY AYAQTMISELMPEGYDNMFFALFGITNRASSIIGPNVIQAIINNTQNNWMGFPFLFGI CTAAMIIIAFVDVDRGREDCRKFAEARKIGRISAQ ACHE_21174S MPPTDLRKQVGQLFAVGFHGLVPSPEIKTLIRDYGLGGIVLFKR NIRDAEQLQSLTFALQNEAKVAGHEHPLFIGIDQENGLVTRISPPVAAQVPGPMALGA TNSEALAHEAGKVTGQTLDFFGINMNYAPVCDINSEPLNPVIGVRSPGDDPEFVGKVA SAQAKGLREQKIVPSVKHFPGHGDTAVDSHYGLPVISKTRDQLERCELVPFRRAVAEG IETVMTAHISLPAIGDGELPATLSVDALNILRKDMNYDGMVITDCLEMDGIRATYGTE QGAVMALKAGSDSIMICHTYAVQVASIDRVCEAVQSGKIPMPRFEQALRRVAKLKDIF LTWDTALRPKAIEDLASINTETAAVAKEAYSRSVTVVRSKADILPLSKSSKIVFLFPG ANTPAGGAVDGEGLGRKGSYDATVYLNIIHKYNTNAVEIGYGMEGLSAEQWRQIEAAD IVIFTSINARESPYQRMLGLELPKRVRELVAIAACNPYDFLEDFNIETYVATYEPTVE AFSAAMDVIFGAAPAKGVLPVGPKHTTDIPIAVSSLDLGSDLEHLTTVWNKAFSTYPL SSDRLRTLLDRPSGHYFVAWSGSVLAGFSLAYTTVNGGITSGQLAVVAVDPAYQGRGV GTTLITEIRTWFRRNFGLNRLALGSTFPRFWPGIPTDLPSKIQEFFIHRGFRLNPPTS RSVDLYQDIRGFQAPEKYVHRAKERQYTFGVLLPEHHKKCVAAQKRNFTDYTGWVEAY MVLHPDKYPSNVMVAFDKEGNQVGWTLMLPPSSPLLQRDWAFPPLCGPKTGLIGCVGV DVAHRKGGVGLALMCHAIENMKQRGIEGVFVDWVSLDGWYEQLGFEVWRSYRPGII ACHE_21175S MLPRIVSSAGSWKAATRLAQLSSTFKASPRYFYSAMSSNITLYT WPTPNGVKASITLEELGLPYKTEGINISTNVQKEDWFLKINPNGRIPAILDGNQRVFE SGAIMLYLTDKYDKERKISYAPGSSEYVEELSWLMFQMGGVGPMQGMAQYFRLLSMAR R ACHE_21176S MPCFKGLAVSIHTPDGPISEYSIQRQSRASRIACFIPVPPAKLP DSATGKPEQSTFAVSITLLSSGQDVPYSTPKPTPENPSPKAKVVGGLPGQTGERGQYS QMVAPYQPLTNSPNETIAAYIYFDGRQKEEVATLLRRGEETWVNSRWVSVPDSEGGGL AEREFLFREVGLERWLNGLDLEGKDAAAKIERRRQKIEKRRQNRNSSGDMQMEEKSKA DKGIMRYGNDALEDVSDDEVSSDSDDDPIPESAGQIKVALYRVLASGEIKRGEYSPQF DAHDDDDETAGNGGDAGADIDHTTSFAKPKSLDPKSISTQTVTGIDPTDKPYATFTFM YRGSRQLQKMGILKDPKAQETPGSTKRRSLQPDFSNLGPLKPGGTVGFLNFRDSNQEK RKNKKNKKAQKNDDDMDSDDDDDDSILGKADDEEAKEDKHHLSPDDSRFGGELAEGVR KIKLKRQHSAASLSGSNKADATDTSASGETKQSSSSLSTSPPNASVTTTSAADLFKPA MNAANGEPAGSPLKKQRASVSGADENAIRKRIGSGLSTNITDALGSSGAADITGFGTT STPFGGSLKPPEPGPQQVPSQPQDEEEL ACHE_21177S MPLARSTDPLVWIDCEMTGLNPDTDSILQICCFITDYQLRVLEP TGFEAVIHHPKSTLDNMSQWCIDTHGRTGLTAAVLASTTTADVAAADLLAYIRKFVPE PRTAVLAGNSVHADKAFLARGPYASVLEWLHYRILDVSAIKEAARRWGSEELLQAVPP KREVHLAKDDILESIEEMKFYRERLFGV ACHE_21178S MSVQTVSIQPFQDQKPGTSGLRKKVKVFQQANYTEAFVASVIQS IPEGAQDATLVIAGDGRYYNPEAIQKIAKIGAAYGVKKLLVGQNGILSTPAASNLIRV RKATGGILLTASHNPGGPDNDFGIKYNLANGAPAPETVTNKIYETSKSLTSYNISEIP DIDTSAIGTKTYGPLEVEIVHSTADYVTMLKEIFDFDLIKEFLSSHKDFKILFDGMHG VTGPYGTDIFVKELGLPSSSTMNCVPKPDFGGGHPDPNLVYAHELVEAVDKNGVHFGA ASDGDGDRNMIYGANTFVSPGDSLAIIAHHAKLIPWFQKQGVYGLARSMPTSGAVDLV AKAQGLQSYEVPTGWKFFCNLFDNKKMSICGEESFGTGSNHIREKDGVWAIVAWLNII AGVAKSNPGQTPSIASIQNEFWQTYGRTYFTRYDYEGVDSEGANKVIATLSDLAGQDS FVGSTVSNRKVTDAGNFAYTDLDGSVTKNQGLYVKFDDGSRIVTRLSGTGSSGATIRL YIEKYEEDRSKVATPTQEYLKDNVALAMGLLKFKEYIGREEPDVKT ACHE_21179S MKEPQPPISHLSPLTSTISPSPRHIRFIVLGAGSRGTAYGQAVT TATTGHIHAVAEPHPFKRREFGRNFIWGDGKPQDGQEFEDWREWVRWEVKRRNGGSNG ITAEGVDGVFICTLDDQHIEILHAVAQFKDLHILCEKPLALSLQDCLSVYRSLKPTTD GGDRNTIFSIGHVLRYSPHNILLRKLLLVDRVIGDIVSLEHCEPVGWWHFSHSYVRGN WRRETANGDGSLLTKSCHDVDFILWLLCSPPETPTSASKSAYHHPRTITSTGSLTQFN PRRKPRKAGNATNCLSCTAEKECNYSALKIYRDMHLSHGDIDWPVNIVCPDIEDTYRT SGAEAADKALLFRLQQDYNKETDSGDKIASKTWYGRCVYESDNNVCDDQVVTITWADE PSSSSTASMNAKTALFHMIAPTEKQCQRRGRVYGTHGEISYDSRTITIYDFATQSESA IEVPRQPPEEEKAHGGGDYGLARSFVLAVDAVVNQGMSVGEAQARFVGCTLEEVVRSH AVVFAAEEARREERVVRWKEWWRERLALTE ACHE_21180S MSFTPLRPGAYAPTPTFFHPDTEDLDTLTIRQHAVRLAKAGLVG LVAMGSNGEAVHLTRDERKTVIHETRSALIEAGFQNVPVIAGASEQSVRGTIGLCKES AESGAEYVLIIPPSYYKAATGNDQSLYEFFTAVADASPVPVILYNYPGAVAGIDMDSD LIIRISQHPNVVGTKFTCANTGKLTRVSHALDAITESSPLVADRQRRSTKTAENHRYV TFGGMADFTLQTLVSGGSATLAGGANVIPRLCVHVFNLWSQGRLSEAVEAQGLLSQAE WVLTKTAIPGTKSALQSYYGYGGFARRPLGRLSDEQVKAVEDGIREAMEVERSLPDVA ACHE_21181S MPPMPMCGRTLRRLPVLSLVLHNNSIRCAHVREALAALRMGIAS EVQAKEQPPMERAAYTVHNANTDSPRSPTRTTETHDCRLCTARTSGFPFSSSKLPV ACHE_21182S MTARDTATIAPPRRRPSISFRNRPRTATTSGALPPSLEHQEWNG LAFPEEYDNQEEQVPDDTSQSAEAVPRRRPSRSFSNLRHPVDGLRALGRRLSVTIRNK SSKQTLQSQFDDIDSDGRSYHFSSSSWDARPRNSSFKYSINRRPSLNSVSALHSFYAP TASVPAPIPGNGLEPPILPNDMGPGSAARAAAAAQNEMARAERDAVKSRDAKITLDSE SGIGIDLRDRTDGSETELAVVRLDPVRHLPSEITSQVLSYLDPKSLMQAELVSRAWNE QAISRHVWRHVFRRAYRHRRPSVTSSKKKQSAGLGKTLPNQNWKRMFLVRRALEHRWK EGKAAAIYLHGHKDSVYCAQFDENKIITGSRDRTIRVWDAHYPWPCLKIIGPPPGDVP GIGPVNNPIQQSAGKSPFLTICPPSTSSAGIVSPMEQPSDYHSASILCLQFDDEIMVT GSSDYTCIVWDIKNDYKPIRRLEGHRAGVLDVCFDDRFVVSCSKDTTICVWDRHTGAL VKKLLGHRGPVNAVQLRGDLVVSASGDGVAKLWNITSGLCIKEFPSKDRGLACVEFSD DARTILTGGNDQVIYQFDANSGEMVNELKGHVGLVRSLHLDSLNQRIVSGSYDMSVKV FDVQSGELSIDLPGWTTSWMLSVKSDYRRIVATSQDSRAVIMDFGYGLDGIDLLEE ACHE_21183S MGESRQELLAWLNNLLQLNITKIEQCGTGAALCQIFDSIFMDVP MSRVKFNVNTEYAYLQNFKILQNVFGKHQVDKPIPVQQLSKCRMQDNLEFLQWTKKYW DQHYPGGDYDAVARRKASGAPPASAGSRSGATSQSSARRGVTPTAAGRPRVAAAGASG AATAALQQEIATQKEAIGGLEKERDFYFAKLRDIELLLQSAIEADPDLEKDDDSLVKH IQGILYSTEV ACHE_21184S MAHHGIGRNPRLTRLMKKRGRVAAHSTPIIAHHPIPTGSGSEDG HVSDRASQDDTRRGTGHEGAPIFEKHQDIASSEDLAKVWATVVNVIDDDSYTSTQSAE VTLASSHAWLDGSATAFPGYTSLTADTPSLTSSSQSMASAASSAYSAMTSRPLINSSL PTPSSTSLTPTASASGSGLSSSLSSATTSASLVTRSSTKTTSLPTSSSSPSFSSSFSS STTSSTTTTSDSSSYSGWVGGGYGGGGGSQTGSGQNPTSTDTDPNQNSSGAPGPATTG KIVGGVVGSVAGAVMLVILALFFLKRRASMRKSQQALPSTEGAGTGAGGNAAGGVGSA GMTSRPDSLLTATYFAPAFMKRWRQSTMTTNTDSTLVSSGSERGFQKISGRKIPSVLT HGGDGFGGGYDTGSPTLSEPSMTTAVPGSPVNPRSPLTQPPPSTPFGMPLDVSYTREA EENDSAMYMRASPARTPVTSTASMSSVSAPINIPRQSHSSLSPIPQRPDTLGRSHPSF DGSRGSRFTESL ACHE_21185S MAPPNVLMVGTGEYTTGFVGGAASGSDKKVGVVGLSLFDLRRRG KVGKLSMVGVSGAKFPGIRQHLQKNISETYNGLDVSFDSFPADDKKDPDAYKAAIDAL EPGSAITIFTPDPSHFPIAMYAIQRKIHVLITKPATQLLSDHLTLVEEARKHGVFVFV EHHKRFDPAYADARHKALNLGDFNYFYSYMSQPKSQLETFKAWAGKDSDISYYLNSHH IDICESMVPEYTPVRVTASASTGAAVGLGCAPETEDTITLLVDWRKKNDSSKIATAVY TASWTAPHNAGVHSNQYFHYMGSKGEVRINQAKRGYDVTGDDQGLIWVNPFYMRYAPD EAGNFAGQTGYGYISFEKFIDAVTAVNEGRVTLDELDARPLPTLKNTIATTAILHAGR VSLDEKRPVEIVSEGGKWALK ACHE_21186S MSQSKLKILLIGSGGIGTITAYALETGGLAEVTAILRSNFAVVE KNGFTIDSVDHGYVQGWRPSHIRNTIPTAQEKKFDYIILTTKSIPDIPPPLPDLIAPA ILPSHTAIILIQNGVNVEHPFQEQFPTNPIISGVSFTSATEVELGLIRHDDHDRVRLG PFPYPRNQDSGGGDTNTNNSTIDVQFDTDVPATRWRKLIYNASFNPVSAILRMDVTRM RVYEHVIDELVKPAMREVIAVARALDVKLDGDEEGVIEQAVRCDPPEAFFRPSMCQDV EKGNYMEIENIVGEPLREGGRLGVSTPTLKTIYAILKGLQVKVKEEGGLVEPRFDERS RYAGKSESMA ACHE_21187S MKMGRAERLKLLFGDDDGSLLLTNTRLKVPMPVPTVSVFEVPET KPDNIAAKEQKIKEEPSQVEKDEAPKTIAHSEDQNQEAPKDESEDQSVAIAENPLAPQ ETEVCVSGSFCPLIAVSRFPYRFIHGDLSQAVASAFFDGGKFWKRRWNM ACHE_21188S MLTVGRYYIYVPLHISPRPLLLVPVSQVEKLLKDINRKYETNCS LPLSDGFVLNFQEDGTPQPIFLGQSTSREMKDYLESRIKTVPNSKFENEAIPEYQAYE EKIEAAVRLIKNKKGASKAKKQLVRIKTEHKWIRCLERTQTYFGLRCDPSNTNQDPEP SNQQESRKQPNEEVAEGEKPAWKSVQLAPSFDVPAPYVFLNEPVFISVDVECNERCHS QITEIGLSILDTRDLAGTAPGQKAANWTSRIKSRHLRVVEYGHIVNHEFVPGCPDRFE FGKSEWVKLGNMASVVDNCFRSYLPETADGEDTQTERRNVVLVGHNPSADVNYFLDLG VPIFRESEEMGVFLDTVDTAEVFRVSRQEMNVRSLGSILGTLGITGWYLHNAGNDARY TMEALVRMLFHESHFYPHDVAAGCVS ACHE_21189S MAAPSSPLYGENHAGLAHEDDDHFYDDKDPASHSQGTPLNDPKH MQKRRRVTRACDECRRKKIKCDGKQPCTHCTVYSYECTYDQPSNRRRNPAPQYVEALE NRLHKAEALIRVVLPDINLDDPQFDLHATEQMLATFKKDKQQPLQPQTQSQSQQPAPI APRSPPAPQPAQAPENPDDSMLETMVDSAGLLDLDDQGHWDYHGHTSGIIFLQRLRKQ LGASDIQPPPMRSRPMPPMLDSPKSVGDSPQESSVPPTHDLPPRNVARRLCHNAFEDG CSLMRFVHEPSFYAMLDRIYDTPAEQYSNEEHSFLPLLYIVMSVGCLFSDDGAGTLDV SGYESAIGQGFQFFKAGRQLLEITDCRDLTSLQAICFMVLFLQSSAKLSTCYSYVGIA LRSALRLGLHRSVATDFNPIERELRKRIFWVIRKMDVYVSTLLGLPQMLSDDDIDQEY PMDVDGEFITAEGVQPMPLDRTPLMAGSNAHTRLANIIMKVVKYIYPVKNAQYRSESD HRYVVSHAKIREIERDLQSWMEELPPALRPGTEVSPQLERIRQLLRISYAHVQVVMYR PFLHYVSSGSQAQGVDKRSYACAAACVSVSRNIVHITTGMHKRGLLNGSYWFTMYTTY FAILSLIFFVLENPDSPTAKDGVLKDAMEGKNTLAGLAKKSLAADRCSQSLNCLFKNL PELLKNRQSSVTPVNLKRPAPSSKPINPRPSIPPEMRAPQRANTTPIQMMARAQRAEA RPRSLDDGHAHRGHSRTNSDVHSKTATPRWVPSTPDSAATETRSSTPSNTNFSTPSPI QQNAARRDASPAFTFPQQFANFNNVPDLMPIMFPSDDPFAYPTQPMSTLEDGHFSFDQ QSGMPPTTTMAGNPNAMGLSTPTLDNFANLSLFANGTGTPTAMNPAMANRFANSQQPN QSRLQSPISRMSPSGEVVNSPDLVSIPNQNFMWQGYNFQPQNWTGDQQTQPGQQPMPA PNGLPNIPTGVDENNPTGMGIDLGIPLDDIFGSNEAYRPAGNFNNDDWLQWMNVGS ACHE_21190S MPSLPNASTVVSWIFYLIPIYIFVLAPLLSQIFPPDDEDTWGVD YAVAYDAEGNEIPALNLEDDSFISPEDDVPLNCPQREPGEDLKVHILSRDPLVIYIEN FLTEKEADHLVDVSVGRYTPSIIYDGNTERIDPSVRLSDRALLARDETVRCVEDRARA FQGWRPHLYIERMWAQRYNASGHYRHHFDWAGSAARGGDRVSTFMVYLAADCVGGGTN FPKLGWPGSRAWCRFLECDDSSDNDSESESGAGAAAGKTEGITFKPIKGNAIFWENLR ADGRGIPETWHGAFPVKEGTKVGLNIWSWYQPLRRRRD ACHE_21191S MSDHQETHAAAATAPAAVPQDQHHHQHPQQIATATSPVTAAQTA SLPTAPVTTSPSASVAATAAAATAAVNQNHAAAARPGEELACLWQGCSEKCPSPETLY EHVCERHVGRKSTNNLNLTCQWGSCRTTTVKRDHITSHIRVHVPLKPHKCDFCGKAFK RPQDLKKHVKTHADDSVLVRSPEPSARNPDMMFPGHTKGYATGAHYFEPALNTIPAQG YAHGPPQYYHSHPAQPPNPSYGNVYYTLNQGQDPGHVSYESKKRGYDALNEFFGDLKR RQFDTNSYAAIGQRLLSLQNLQLPILSGGPVPEYQPMPAPVAVTAGGGGGGPGYGGPQ PIQYQLPPMANVRTKNDLLNIDQFLEQMQNTIYESEDHVAAAGVAQPGAHYVQGGMSY RATNSPPSQLPSSHATAAATTAAPMLNTTATAHSPPTGTPALTPPSSAQSYTSGRSPI SVHDSSSSSMYPRLPSATVPDSIGGGYVTASSAAPPSTLSGVFDHDDRRRYTGGTLQR ARPDDRMSVSLDSEKGDSDGDRTPPAKQPSAGPTSTGQEISASLIDPALHSSGSPSSS PSSADADTDATLRTAQAATEVAERADSQWVSLVRALEGLRGWINYRIDHREYDEEEQA QRQAEQEQVEQEMQTPEPPVEYQHTPDQPEAPSEDVAMGGMETGTEKAVKAEGPGETS EDTVMYPTLRGLDEDGDSKM ACHE_21192S MPLSNRRRARRKEIQLQETSDVEDVPDSSPSRPASKKRKVDHSP PRVKPESPEADDSAPENNENDTDLSANQDLVDLVISYLSGPRDDEVRVLRDHSNTKTE NKQSIRAYAKIAGRNWTYYVKTLHVNIGREPDREQKLDEQSSPVTIAARALPEVHVDL GPSKFVSRLHAEIFYDGEETASWHIRVNGRNGVRLNNVVLKRGVDAVLSCGDIIEIAN TQMMFVTPGDKANIHPSFVERAHRLANGEEEPVAWDPSAQASQTSVANTAAASFNDQL PPPTSNGQPSLAPAPHFLKRQVTPPPRSPDTMGARTAKQSPLYNRGMMMESTEEIDYS KDSAKDLKPPYSYATLIAQAIFSSEEEKLTLNSIYNWIMDKYAFYRHSQSGWQNSIRH NLSLNKAFQKVPRRTDEPGKGMKWQIAPEYREEYWKKQLRKGGTQSSAPSSPAHKEPF SRANGLGAMFSAEKKSPQVSSPGFSSFPVAPVEAYTPERGSRGATGVPDLRMRHRNAR DYEEPSPLPTRSANRNKTATSGQNSLGRAYGLSDNVANSPPVLSSSYYNEEPSSMITP APQRQQPRLPPPSTAQIPSKFMPMSSPAQFWKFADIGSTPARPVPDMSPLKGGIEPGD GLGSIPSSSPPPPNLASPSKPGTSNGLGHGRSLSGLKDEDGEHGEANGVSNQPELRED EEEEEDEGGFDLARGFQPIGSYHRQLSNAARASAATS ACHE_21193A MSTPLTSYLQTLHHSLFHSATRHPFLRAAGTGTLPPRILSAWLS QDRLYAQSYIRFIGSLLAKLRLPSHNPDPAKSSRATAEGRAVDVLIDALVNIRRELKF FRDVATEYKFDLEALSVDIEAEDVGAKVFGPNPITHAYIDLFMSASSPATTILEGIVV LWATEICYLRAWQYALSLSSSASSPPENDQDGGALRKHFIPNWTDPEFEAFVHKIGDV VDEMAGLVKGSESREDVLARCAFWWRQVLWLEERFWPVVEQA ACHE_21194A MTITDWQQKVQAKQTQAASKIPAEWRLSANILQHTSASKQSIID VPRTCGLLTERELHITEDYDATALLAELATGNVNSVDVTRAFCKRAAIAQQLTSCLTE TFFDVALSRAKQLDDHLATTGKPMGPLHGLPISIKEPFNVVDIPTSLGFVSFLDNPPA RRNSAMVEILLAAGAVLYVKTNVPQTMMTADSENNVFGRVLNPHRLNLTAGGSSGGEG ALVAMRGSILGIGTDVAGSIRIPSLCCGTFGFKPSAGRVPYGGQTSPGRDGLAGIEAV AGPLCHSTRDAELLLRTVFNAHADDFDDTALGVPWIEPVSTSSTLTIGVLPEDSQVPL HPPMKRTLETAVQKLEAAGHRIVDLSGKVPSLSAVGDLSLRYFRLDPDNTPLKHIANG QEPKIASLKTTYKPEGSDPEPTLRDLYDINVARSKIMEQMRRVFLDNQLDTIIGPGYQ TCAVPHDTYGFPVYTVLANVLDYPAYIIPLGKANEAADAEFIRDVAYVPPYLPKEVEN APCHVQLIGRRLKDEKLMRHAEVVQGVLAKDSD ACHE_21195S MPRSPLQSEDESACRVSSEAWEQRLLEAEVLDQTRSIMDGWRAE ESSLEPDLDSLCFYESLVAAFHLGDVPLVKYFLEEGVQITYTLSRDALSGAVPENKRP EIPESLYRHGWDLNQKSPWRFTILSDSVKNKGAVRWLLDHGADSNPITPIYCVPISIA AKDASLDTIKLLLERGADPTRSIALRYAIMRDDEHWKTVIETLLDHGCGINDSNSFGI SHRGRPRIDPGTVLHSAALWNRHHMIPFLLEKGADPLKVTETGLTPAQYALENGSEEA ASILAEKERQSNARQGEV ACHE_21196A MRGFDGPRDGLITEVAYFSMDVGGNKQQEMYAYIVPRIDGEDLI LGLPWLYHQGVSIIANSPLGVPALRLANGDHVPSLQHEPDMEIHQVSAQSFRVWMDRR KKNHSVQIFTASMRDIEKALEVKHHSDPREKLPKQYHAWLEVFERKKADTLPPHRGPQ VDHKIELVGKDEKGNTPEPPWGPLYNMSRGELLVLRKTLRELLDKQFIRVSDSPAAAP VLFVKKPGGGLRFCCDYRALNAISKKDRYPLPLINETLERIGKARWFTKLDVIAAFHK IRIAKGYEWLTAFRTRFGLFEWLVTPFGLANAPSTFQRYVNWTLQEFLDEFVSAYLDD ILVFSSGSLQDHREKVSKVLQRLKDAGLQLDIDKCEFEVQSTKYLGFIIEAGKGIRMD PAKLSAIKDWTAPTSVRGVRSFLGFANFYRRFIRNFANITAPLTALTKKEAKFTWNSD ADKAFNQLKEMFTTAPILTQFDPDRATVVEADSSGWATGGVLSQYDDNGVLRPCAFFS KKNSPAECNYEIHDKELLAIINCLKEWESELISTPKFIIITDHKNLRYFMKLRRLNER QMRWADILSRYDFYLQYRPGKLALTPDALSRRDQDMPNDPGDERLQMREKRLLDPNAF VETSECTICCVSAVQVDKSIQILPIHTSNGTTENENTTSDLEQQWSHAEAEDTTMPIL CDAIRAGLPHFPPELGIRVSIGECELDSDGRILFRKRRWVPNNEPLRTRLMQEAHDSP LSGHPGSNALYSLLARQLFWPNMSADVKRFVKNCDQCGATNIWRDRRQGLLKPLPIPD RKWRELSMDFIEGLPESNGYSAILVIVDRLTKGTILIPCARTGSDYIVPKFLQHVVAY HGLPAAITSDRGSQFVGELWERMCSLLKINRRLSTAYHPQTDGQTERMNAVVESYLRN FCNFAQDNWSEILPMAQLAIANQTAASTGFSPFFLDHGFHLETLQLVEPVIEELQQSS SGSAGARIADKLKNALEVAQSELAAAQERQEQYANRYRNLAPHYKPGDKVWLALHNIR TSRPSKKLDVRQAKYTVLAQISPYAYRLNTPEGIHPVFHVDLLRPAANNPFPSQRNDD YQPPAVLVDGEEEYQVERILDYRQIRRGRGFQRQYLVKWTGYLHPEWTAAHNMENTAA LDEWEQRHGSQSPVRDGDDS ACHE_21197S MYNAAILGGAAMAALSMIPSCPAPIGLIVTGLAAPLAGGLTYIG INSNVNYKRDVGGMRKVARVNGYPGVSQQSYDQCKDSNNGAKVTVTQTAESSFRMDGV TPECMDLASLFTSSGSLYPCGSACLQYDNLDSADVANLQNTIQSLLN ACHE_21198A MEVISSSQQDDIYSAFDLSFDYLKRKQTVESQDAIEILNVVSFY HFRNIRVDIFERALENRQTSTTASTFREALTRRLQPPVLLPRFLRRDVADTEPLYVKS ALRELYICSLINYEEGTNKFYLHPLVHTWARDRINPSQRKVWAYIALNTLLEAVTLPS GKDHETDSQFLRDIIPHLDECIAASPVEIKIFNSRLGSFGFIGLNLILPTMFYILRAQ ARTAAKCGYLYAQSGRFSQSAHYLSMVKDLMVQTLGYDNEITQRATLFLADILWGLGQ LKDCITLQSQIVEVRTRRLGTFHRETLQAMAKLGHSFWLNGQYVESLHVLEDTARMSS EALGPKDLDTLIALDHLGVTLGSWQRYQESKALHEKVLEIRKDILGEDDLETLTTMSN LAMAMLDLEQREQAQRMMHLVYETRKEKLGKEHPYTLWALCYLSKVHVELGRLGEAED MLVGGIAAGKRSLGDEHLGVLMGCGELARVYARQGRLDEAEELSQSTLRRVKESRGSE HYDYIFGMWKLGQLYELQSQVARALDAYRIALENTEARLTTEHPLSKQIELRIIALGG FSLPTYEKGADVETDVDKQRSVHDSLWPST ACHE_21199S MAIQTVICGVLLAVLGHVFYHLAVVRYGDYQRDSKLGRQNGCEL PPELPKKWPLGIDRIKELWTSNAEGRLLAFLCSVANDYEPGNSLCQYLLFGPRAFHVL RPENVEAVLSTNFKDYGFGARPDIFAPLLGSGIFTQEGPSWKHSRELLRKQFSRVQSR NLNHFHEHADNLIACMPSSGVVDLQPLFFNFTLDTATALLFGKSVYSLKADTEQDASN KLFSESFNIAQEGLAKRFRIAPWHFLYNPPAFQKACANVHRFVEQYIDSLD ACHE_21200A METTQQIFGVQELYRPADGNAEVDIVAVHGLNGNAMMTWTARAE NICWLNHPEFLPKYIRNARVLVWGYNANVSSITGATSSNRILQHAQTLVHQLEADRDL ENASTRPIIFLCHSLGGIIVKRAIAYSASRKAPKLAHIQSIYTSTSAILFFGTPHHGS SKARLLGNLQKFVRLAMPSNVANFENSLVDALKEQSEILQNINDQFVPLMAEFRIYFF WEQEKTDLKYAKEYVVEEFSAAPILDNTERCGIAADHRGMCKFNNNCSQGFRTAISAL RRYAREAPEVVRVRHKRAMKALQENQMYQAADVLRGIELERPSPWFVALDRTDTPHLR PGSHVLEGQ ACHE_21201S MVADSNPYETDATRIPKDDPYISRSPQYGRYAPRDDDFKPRYDR WYQSEPEVNAYWEEVVRKTCIQGNSLNDPRTQDAFVTGSVVIRVDEESVDDSSAEKYS YVNANEISSARKAEDALKEIGVAVPVVYFCGVVDGKNVTVESRVPGVSLEVAWRYLTR EQIDRLKQQCRRIIQRLAAVDGASDGPSYICSGLNSHLPPDASEQEKKILFKEKTEQD TLYLTHNDMVRSNIIVKDDQVVGVLGWRQCGLFGLDRAARVHRVFRVPEISYLSGDGD DGDGAMAWADLYDGVSEVSVKSEATTPQDATEPQVKAEPTAMSLDKLPASEEADSKSA LCQLDGADLSNEHPTPKKVADLKHGLASRASSSDRSSPANSTKGAATGRKSTGGAKKG TARKSTTQKRKVNDQDAESVDSRRSNTPSSTRASKTPATKKQGSASAAGSPAPAPAPQ RKPKKSKKAAKNEDDDDDDEDGVFCICRRGDNHTWMIGCDGECDDWFHGKCVNIDRRD ADLIDKYICPNCHEKGKGCTSWKPMCRLPECRKPARVNQKGPSKYCSDDHGREFMRLK TQHFRLGSGAATIDGDQDPKTPDDIGSRGGVLTASELKAAITDVSSAIEFRRLGERIV TPPPEEDEDDKKNAEIEDQPKKEKKLGLDVDAKGLTYTPDEAAKLEKLRKRRDEILHR QGLLTSRDAFINLVRQRSKTIVERLKQTDPKGGWKDICGYDSRVAWADEEFDEWRQTP TGEKAMKDGTLDPPTDADGDTAMDDGNDKDGSDNEGDNGIETLARGVCTKKRCERHKQ WVKVHQEDILFEKNTAKEDLRKCEDEAQIVVERAVLRMWAEMENAHVGGT ACHE_21202A MSLYTSDPAPPVLAHTLLSQPVQNTKDPSAPTERTCSLVTEIKQ GIKCSSHALFHPGIVIGFSRPRRRGTGGDDDHGYMGQIPRYILTKHLERTSPAPGSFN TFIVHPFNLTIFSPQNLLTSLLSTNPTPTPSLSRKEAITRLDAVQLLPVYDFSEAMQA ITQVSDTLHQIGEQRKHQQLTTQYPVVLIIAGLDTLVESVIRASNPIKGTAVLSAALR MLTRLSREYAAFLSVLLINTSGLGLGTGMGDIAVADGDYQGEQLSVLLPSLLMKTLDQ GTDTHLLVSRERGRAGRVGVEVIKDRVGDWLGTRCVWDG ACHE_21203A MFLSALSPLESLPNELLDHIISSLATSPPSLTRLQQPPNHRIAK SSIRDLKNLSLVSSGLCALVRPRLFAHACFDLRNERAFLEFVAHSDLKRHVTSIVVKG NNSPENREDPYWWRRVLSELDPLRITVVAPPSFIGAMLGTQIHEGHSWAFEIPFQILH LERDARQGRPVRLEDHSSLLSVRDWQSLEFNEASSLRAYHHYEYFLLRVPSVFDQWGT MAHPPDRLADLPSTLSLNRLTTFSYTTVFPFYNHVNLVLDAVFLMTSLESLSTQLAPC QNDRVIEIEQRGSIDPSDPWMELATGYALIAHAVRQLGMRGCLRRFRARDFQFEALRP ELSSIFDERFHDSPWTYDGEDIWNKVDGSIPCQEQTGRRSS ACHE_21204S MASLQPNHVESLVGHFRAAVLEASLPLSGVSMLEAIMAINGRPS LPPGRLFCPRKIIMSLHRNPPFRAEHLGSLLRTDELLNTKTAFEQGKLPESQLVAVED KDIKEVVDVQKQLGYPAVSDGEYRRHMFWGSFFPGLEGFEEVNEIDPDVFRTYAPDVA AFLEAGHKPGESVICTGKIKHVGSTYVDQFKYLASLVPAEEVKNVKITLAAPNWYHLR YKEGKAYPADVYSSDVEYFADIAKACQDELQILYDAGCRNVQYDDPNLAYFCSDKMLQ GWKEDPLNNQTPEELFDKYIKLYNDALSKRPADFHVGIHICRGNFVGSRHFSEGGYDR IATKLFKELNVDTYYLEYDTARAGGFQPLKELPRNKNVILGVVTSKFPELEDKEQMKQ RVYEAAKFIAEGNGISVEEALKQCGVSPQCGFASHREGNAIDRQGMINKLKLVRDIAN DVWPGEL ACHE_21205A MPAEYDEGDVVRYKPVGGPDSKTSEAVGTIRSVATEDQNMTHRN VHASKQNPRYEIENNRTHKRSAISEANILGPGE ACHE_21206A MGGQVSKIMGKIFGTKEMRILMLGLDAAGKTTILYKLKLTNQDV TTIPTVGFNVESVTYKNVKFNVWDVGGQDKIRPLWRHYYSGTQGLIFVVDSSDTARME EARSELHKIINDREMKDALLLVFANKQDINGHLSPEEVINALQLTQLKDKLWYVAPSV ATEGTGIFEGLAWLSNNVKTPPQK ACHE_21207S MCATAGNAWSAPPSGKIYAGFSVWTMNSISTVSLAIRDTTYLLD FIQRDMPAGETGPSHVVIINYVLSRLRQFTDEHSDKFMGLAMPQRVAKLCPELCSRLW TELDVIPLVLPEDRRLLEQQSQRDLPSGVDVDSREIGEQAESMGCKCVRLFGPDNVPL LQVGFQGTVEVDTAFTVCLASLEDFQNTVSPKTWSAVQHYAADLKERKVRTAFFNATP QGGGVALMRHALVRLAHALGTEISWYVPKPRPGVFRLTKNNHNILQGVAKPNDRLTGK DYEQISDWIYENAKRYWLSCEGPLQPPSEGGAHIVIVDDPQMAPLIPIAKNMAPDRPV IFRSHIHIRSDLIATPDTPQAEAWGRLWESIKLADIFISHPVSSFVPKNIPKERVGFM PASTDWLDGLNKNMRDWDVAYYGRAFNSWCRNSGMPTIDYPEDKYIVQIARFDPSKGI LDAVESYRKFHAHLTKTHPQTAPPKLLIAGHGSVDDPDGSLIYDQVVSHIEEDIPHLR DQICVMRLRPSDQVLNALLSKSKIALQLSRREGFEIKVSEAAHKGKPVIATRAGGLPL QVANGESGFLVDVGDTDAVAQRLYELWTDDALYQRMSEYAIRHVSDEVSTVGNAVSWL YLACELSKGDRVEPNGAWINDLARKGAGQDYEAGESRLPRVVEVEKMG ACHE_21208A MGFASKIAASQAQSGAGAPPMNSSPYQAYPGSSAAPPGQYPPGP SGPPYPGGPPGPPGGAPSGPGGYGAPAPGGPPAPATPQQVAAYRQLLIDTIQEKNLQS FYPPERLDQLVQRLAVEAPIKLSNLIHEWAVPMEVATDVMKLALFDVILYVDDSGSIE FEEKGVRKEQLKQIISIVATAASTFDQDGISVRFMNNMEAGDGIRNADDVTVLTSRVR FQGLTPLGSSLRNKVLEPMVIGPARAGRLDKPVLVITITDGQPAGEPHDTVANSIRYA VEEVSRSRYGRGAVSFQFTQVGNDTRARDFLSALDEDPSIGNLIDCTSNFEVEQDEMS RANPPVFLTRELWCAKLMLGAIDSSYDTKDEKASGRPGPGPGGPPPMSGPPSGPPSGA PLGARPSGPPGPGGPPPGPYGGYSQGPSYGNPGYYSPSPAGPGGPGPGYPQNPYGAPS PSPYPPGPGGPGPGPYGQAPYPTSSGPPPQGGYAPSPYGGYGRPPSGPPGYPPGPYGQ PPPPPRY ACHE_21209A MVAQALSALLQRASIDDHDEVLSSANDVLAKSKSDLHAQHVKVV ALSKLDRYEDSLRVFEEAGDALKKRAPLEYAYALYKSGKVEEAIDVITRLGGGRGASH LEAQASYRAEKFRRAADLYQDLLQDKEALANEENDLRINSWAVDAQLQWKGYPDSVRH GRPSRDDLEAFETVYNAACLSIAKGEFDQSEMLLKRAKELCRTSEDLTPEDKAEELLP ISVQQLYVLIRQGKSAEAESVMQEISIDSIAERSTKKIAQNNITVARGTPENPFLLHK ALHQSPDTTNADKLFDYQNNIIMNNSHSADLLAQKYDGIIRSASKTLSHSSYPSIESR TNLLSVYSAAAHARGQTDTKALKQILPLLERRPKDIGLLLTAVQHYVNAGNTTSAITT LEKSLQLLEDSISEQDQDVRYAPGLLGVLISLYRREGRRIPVRTELAKAAAHWQARAI AERPTTLLRAAGTSLLHSSDPSDLKTAGDLFKNLYQNDSTDRFAVAGYVASQAPLDYT KIESQVDTLPSVDDLISDIDIAALEQSGITPSSSSTAAAIAMAGARKRPSDGKTEKAT KKRVRQSRLPKEYDASKAPDPERWLPLKDRSSYRPKGRKNKQRAADRMQGGVVGERAE ESPAGQPKVQTSGGGASSKKKKKGKR ACHE_21210S MLLKPGERSSVGGSWAGKRLIRFGVAFLLVGAFTLFLWPPFPPS HIQAQTPSANISIASGIHCELDLGVLERLHVNKLGSYLRREVNAVTLAANQEVPMKQR LDTPLMDRKLLDPNEQLTEEQSQADCSMPHPLTVQVPLPPKTVDASHIDFGVATRADR LNDSLDQFAHWAGYTRTRIFALVEPDKRVPEVQAKADSLGINLYITESDEEYQSRYFS LVRHLASHARDQTRWSVVIDDDTFFMSMSALVQALEKYDHTQMVYLGGISESIPQVGA FGVMAFGGAGVFLSRPLAVELSKPEIFEQCQNMEFTGDRRISLCIYQYTPAKLTIDHR LRQLDMMGDVTGFFETGRELPLSVHHWKSWFHADMPKVASISELCGDTCLLRQWWFAD GWLLTNGFSVVKYSTDVDPFDKTMELTWESHNGAVHESYLHELGPLRPKDWDKISYLL EDAVVEDDQVRQFYIHRGGKDGDEILELVWRNA ACHE_21211A MNTAQPRKASKQACDNCRRRKIKCSRDLPCDKCSHLLLNCSYSD VLRRKGPKFRTLYPLAPSHPLSQPPPAPAPEDTSTAHDGYNEYTQQDTPASLSAPSSF FQYQHQFQYQPLQIVPSPPDSSTDSDYSSNARPHARRLTSPILLAHVNVFLKYLFPIM PVVRREELQRDSHHPERVSAQRYAFLAALCAATHIQLNLDGGPASDTTAVDGRGLMSG EELLAEAVRARKECDVVEDMNVESLLTSLFLFASYGNLNKQKQAWFYLCQATSMVFTL GLHRESTYTSLGIEDAEEKRRVFWLLFVTERGYALQQSRPVMLRNSIQKPQVLGSDDP ILAYGFINLISLFEKLTVSLYDWLSAGGSYGDQLPTPASSIQSSLCNAPIASLQGVLE IQQVDILITQQWLQAMMWKLSRHGPDSGETDGRAMLPFHLPIVIGKAVMSVIGAASQG AVDAHGIGMEQKLFDLGAALTNLTTATTTPGSQASKPPIPNTDVRELLWGILTTLSRI RGSESYLFPRLLEQSKSVLGMDCSITLGNFLPDLAPPPAANAATIESAGTPVWAINKR DSWVVGVRDRGEELEDGEAGMIIS ACHE_21212S MVNIAGLLASSWLLSGAYAASSSTSASYSQFTIPAAANIGANLI ANIDDHEAVNAQSVCPGYKASNVHQSSKGFTATLQLAGAPCNSYGIDVDSLDLSVEYQ AKDRLNIYIVPSHVDASNASWYFLNEDVVPRPKVSPNASAAHSDLALEWSNEPSFNFK VTRKATDDVLFNTQGSVLVYENQFIEFVTSLPEDYNIYGLGEHFQQLRILHNATLTAY GSDKGNPIDSNLYGSHPFYLDTRYFERSKNGSFIPVKTSEADPKKEYKSFSHGVFLRN AHGLEVITQPQNLTWRTLGGSIDLTFYSGPSQAEVTKNYQVSTVGLCYRPCRINLT ACHE_21213A MVFSCTRCARLGKTCVKSDDSDRCSECVKEGGRSRCVEMKPSYS DAEWRRLVRAQHSIKDEEEALLAKLLRLRKQERLLRERANEFISHEFQAIEELEELER EENRTHEEQGKFQKQGEDVECDAQLASVSNDPSLTQMMNSPSFWENFDSAVAGGIPSP TGGNQSSSQ ACHE_21214S MQQYNTLGYHQCRWGYTGWADLENVITDFEKFEIPVEYIWVDID YMHGYRDFDNEKTNWSYEEGEKFLDKLHAGGRRFVPLVDSALYIPNPDNASDAYPTYD RGAADDLFLKNPDGSLYIGAVWPGYTVFPDWHHPKAGNFWANELSLWHEKVAYDGMWI DMGECSSFCVGSCGTDNLHLNPVHPSFALPGEPGNVDYNYPEGFSKSNASEAASASSA AASQSAAAATSSSSTTQSYLRSTPTAARNINHPPYVINNVQPGHDLAVQAISPNATHT DGVVEYDVHNLNGHQILKATYSGLLQVFPQKRPFILGRATFAGSGKYAAHWGGDNNSK WADMFFSISQALSFSLFGIPMFGVDTCGFSGNTDEELCNRWMQMSAFFPFYRNHNVIK SLPQEPYRWASVTSASKKAMAIRYAILPYMYTLFHTAHTTGSTVLRALAWEFPNEPRL AAVDTQFLLGPDIMVIPVLEPGVDTVKGVFPGIAAGQVWYDWYTQAPVDAEAGVNTTI PAPLGHIPVYVRGGSVLPMQEPALTTRDARETDWSLIVATGKNGTARGELYLDDGESL YPSATKHVDLTATSGSLRVDVRGTWEEKNPLANVTVLGVEQPKDVVFNGKRVGEEAVK YNAASKVLSVTGLQGFTMGGAFEKSWVLQWA ACHE_21215A MVSASKAARLAKRGDEKKKPSKSKGNETQDADTSNPLPADEQPA TTGEKMKDVEKLTAQMDKHGLSDRVTTGVLASQESSRDVKITSASLVFHGKVLFNDST LELNYGRRYGLLGENGCGKSTLLKSIASREFPIPEHIDVYLLNEGAPPTEYGALEWVV RQAEEQMQRMEEKAEELLESLGPDSPILMDLYDEIDKMDPSTFHTRASLILTGLGFNK TTINKKTKDMSGGWRMRVALGKALFVKPSLLLLDDPTAHLDLEACVWLEEYMKKWDRT LVLVSHSMDFLNGVCTNMLDMRMKQLLYYGGNYDSYHKTRSEQETNQMKAYAKQQEEI AHIKKFIASAGTYANLVRQAKSRQKILDKMEADGFIQPVIPDRTFSFRFADVEKLPPP VLSFDDVSFSYSGKWEDTLYRNLDFGVDMDSRTALVGPNGVGKSTLLRLMTGKLSPIG GRVSRHTHLKLGMYSQHSAEQLDLTKSALEFVRDRFPEKSQDYQYWRQQLGRYGLSGE SQMALMGTLSEGQKSRIVFALLAIESPNMILLDEPTNGLDIPTIDSLAEAINAYSGGV VVVSHDFRLLDKIAKDIMVCENQTVTRWDGSIGQYKDYLRKKMLQAGAV ACHE_21216A MPEVHSHSPSKSRVDWSRWHFDIDNYLNRFIPAPPWRWVPRPIS HFFGYRGDQSPRAIGNLVIAFWALIGVFCGVSVTMSVSMRVPAFQHHNAPLIIASMGA AAVLQFALIDSPFAQPRNAILGQVIASAIGVGIAKLFALNPHAEALPELSAPLACAIV TAVMVLTNTSHPPAGATAVLAVTEGAGMGWWLIPVVILESTLMVAVACLINNIQRRYP AYWWTPVALSRKREEDVEKAKKEEAEVISSSSSVKESLSEQPIQIVIRRDEVIMPDNI WITAEEREVLETISHRLR ACHE_21217S MRLYITCVMIAILAALVTTNSTPRIPDEVDVLANDALVNLIAYD RSHRDANQTCTLRNAAIRRECLIERKKYTNAMLCLMSKPPKLASTDAPGIRNRFDHFV SVHIRQTPAIHATVRPHPFEQALRNECGYDGYQPYWAWNKYAHDPINSPLFDGSDYSL SGNGEYVPHNATPVAPITNVPPGRGGGCVTTGPFKNMSMKLGPVGPTLKILGLKPQPG NGLDYNPRCLRRDISSYVSMRWTKSSDVTGLITNYTDIGSFQDTMQGNLTSDNIGVHG GGHYTIGGDPGGDFYVFPSEPAF ACHE_21218S QNATELAQKRFNVDAIKAGWYSSLSQYAGFFLVPCLGIFIDVLG NRASVMCICGIGMFLSMALVNFAKTQAGTGASFGIYAIAMSLGPTSVIDSIRTTLWHQ SVFGSAYALKVTMNNAMSIIIRIITGALQDADNDSYRRVVRVYLFLAAGSVAVGLVIL VGAIFSENLAPLQWTRKQRIARGEDIVMLREKHLFTHRNRSRWIAVTCFSALMLLTIG SWVAYIWGAVTGHNS ACHE_21219A MGKRIIVTGGTGKAGQHIITHLLSRGHEIFNIDLASLPPQIAPE SLVHTLRADLTDSDQVHGAFTSHFKITEPFRDDPLGKDRPDAVIHLAGYSRNMIVPDN ETFRTNTMSTYNVVEAACRLGIKKVIIASSVTVYGVSYAEGDVGFPSFPVDEEVDANP MDSYAIAKVCGEGVARGFARRFPGVDIYVLRIGRVVNPEEYQQDMFASYVKEPERWAV HGWSYIDSRDLGEMCHLSVEKDGLGFQVFNATNDEITNYESTRKFLERVSPGTPFTRE MGEREAPLSNRKIKELLGFREGHPWQKYYESK ACHE_21220S MAPPKKQSKTPASTSKARKGADDVDPAPEAPQANEQSGNGGLLQ KLIHDDRMVTQLDSAYLGSVVVDIRALSHNERNRAIDERFIEKLSEAFKCGVRRFAQE DRLKVTTTSKMLETVLADHVTETTTLMDLHKSLTRKTSDPNELIHIQVLPEGTTFELR NGQHRVSAMLKILQEAIERADAGEDITRPEVHDYLWAIDLYDDDKMTEDTLAALMANR EVMHHSNSDGYNAVQILGRLESVPEKERGEIVRGSTFSDWVQTLFGLNLTHTARMGSV ISHEGFQPYVFRYGMTRYGERRFTWTLGGKMVSSKLDFIWFREFDKFLEFTTKIFGHT AHLVRCEDWELILSVEAGQPDYPLRLLFYPRREDYWLNNKKRKNPWPLPPNYRNEQLP RLSSPYQTNDYRFDWRRPGFLKDLSEEDYHFIFSRLMENRNLPCPCWNDWCNLEKAVD KVKRILRHIAIWIDPDWTYPAAASHDLKDFQWDLEIQDLLFGDKNFDRPFSLDADRLR LARNFIDELVRQVQTDGFWKDPKLNDLLKPPPDTLASASHSAAYFERFLHPNWAAIIQ HVVKSAGPVLTNAMSAYNDLGFLISNQMPYSPWGPVIGRTLKQNQAVCRTPSMLNKNE EEDLVQQGEIFGALWHYRSLKSKMLETISWGLKGGKKRPENLIGCEAEYEAAVVVLKD QAQVLERYGYTHAIDNFSEDLSAFSLDRSEPAAITIKETPGFLKCRPKMFTSQAEEIQ AKIKEHNRSIQKNSVIRQSETQKRKRNETEDLEPGEGVEPEENTEATDNEN ACHE_21221S MARDFLAVPASGVGVENLFSTARDVCHYRRNRLAPETIEAIMIQ MSADRFELKREYISVEDGDNDEQNDVGYVDFNVELDVNYISDEEDLGGFEDDDRDRWA DDDEEDGLSLPPLQSYQRPSAIHSPSMNAEAHSTTSQSEVINPHPQSATTRPRRVIHE PGYFQRLENGK ACHE_21222A MSLQNPARAVLTLRASHTLTSQANLKRCLATSTQIARKEGDISS VFASLSGKEKSALPGRFADQKKRLIAGHEDQIQQSWDRLLRQLKDEVHLIEQRGSDIV PSIDFKDIQSAPAAFTNELRKRGVAVIRGVIPEHEARGYKEEIEHYAKVNPGTKAFPP HDPQVYELYWSKPQMRARTHPNMLEAQRFLMSFWKSDAPDAMISPTHPLIYADRLRIR QPGDAGFALGPHVDGGSCERWEDNGYGRGDVYKNIFEGNWEQYDPWEASCRVPAEADL YNGAGACSMFRMFQAWLSMSHSGPNEGTLLVNPLLSMATAYFLLRPFFEPIYLPPKDC SRMALHMFLDPSNWRLEKETSSTLQGAAPGYAQELSTALHPHLELDKTMVHVPKIAPG DYVAWHCDTIHAVDKVHNGSGDSSVMYIPACPVTEANAAYVARQKNDFVAGVPPPDFP GGEGETKHVGRATEGGLRELTNELGLRAFGFGKWDMNEGALSHGQRQVLEKADQILNV ACHE_21223S MPPEAISYLFHHIFLPPKLPHDDDYDPLYDKALLDQVIEALCQF RDHVSSHEADICTTVLTMLTRLGRTCSLHGAVDEMELKKALIELNTEGGLLPIFVRAQ NAGVLLTKKSVTIHIESFELSPRNQSIIQTLGRLQRDFPGPAIALDLETFVQSGLRDT IATTLAKMSHQSVQGTKPKVRKAGQGHDEDRDTTDPKMVTELFMSFLRPMCDNVENSQ IQKNTRDEVMWHNAKYPWRRSSFWLLVRVILQVAFRRLSSQTEMSDDLYKHFLVYFMS TVIDISRETMPREHIHVMNVKVARRLLKLNLSGDPSWFPSVQHALERASSTVQRCWQK VMSQHRLEHNMSSLETLEFDEDIRYTLSSLDKYLEEIHRQEDRHFQASVEFSPRSSLV HYQATELPSYVSFTNPEYKTYNLAAFEAWVASNLDEWIRSYLGDAATCGRLGALIQNY HQVAFPVYSSNPEAISNMLLTILELWIACDRSATHIYGMLADYDPCVPEGMLESLLLP FRSQMERLARAENYLHKRRQDLRYYGPGIFLDFGTPTCFSVRYFNQSDRHQRLQADIE AAASLQRSEKQNELRQKHRKYTELMDQAARMQCDSHEIIIDRRFKFREFRHDEYSCKK CGYQREANCITIHVHEWPLPANLSASKSTVFELNVPRSFGHWRDATLFFLCNVLQAEY ASEVAPLAKYTPQGYRGLSPYFTYVDSGLRIGLLSEVKPHEGTHRRDKEIIRVTEKDV CLNNGLRWKYYDNAVGCFISGFEANYATAKACNYKLPRRCSSLQRFLFRPADNHDGLP HNSVIASQFSSPVDMSLEEYKPLCALPLGVRIQWQNILLQLAMPSVDFKKVETSIFML QVINQAGPPIEESTSRQSHEVLNDHSFASAILNRIEETTERFEENWEFVHGLSVLIFL TLRVLSLSSSAEIHDECLKRLSHLREIAFKWVNQVSEKASCTVDDEPKNSLLARSVHI ALICAETFDCEEKSLNRSLANSSDASIYIRCCMIVNDRKQVLPVDFDPILPILLRRWH CLAYRCYPILARNIIRHGTPALDVAIKMSWTAYCASSSWSAASDEDETWVVSRTASQL ASDESLLVHFNLLTGELLVNGLPLARLPSEYERHETYKRLFGQSLLEVMPSEVPRMQF SCQKEHMGYTVHLGKEKIADSAGYDLHVQARKGDRIYEFVPPRLLDGLFPDAFVDDYV HWYDRDGGYVEFRPAKEPWVSSESNWRLQLNDSQEVWFLVQDGVYLVNVRSRTANLLS EMLKPVEKPTKVHCLFDPKKSVLDIVIPRFQLSYSLQSGFSSIRSRQYRGMCIDADQS LDTLAGLRNKLVLVHETSEDRLVLVPEGGVSWNKDGDHVAVHIGWQADTRIHPYSVDR QLGRLVDNGSLQGKLFLSYLHALTSFCLPDPLTKKTGTEQALSILRSAAVRSFDKLRL ENCTVLAKIAQLTPERWYYPDNKRVMQTVRWHHDLGFLTQHGSFYLEVAKILEQDRQM GIFHPDNLAPHPPLPRVEADLLKREKIRSSAFRVTGFGAEDYTLEYDCRYISLDRNHN SKECSRVYTVSKMIYDNIPSVRSNTAKGLASCLWEFLEASNTIQGPSASLDARQLVYD AGFILDPTELTSKYLCSIHRLLCSTRPRLNRFQLMMWLATLAFSEKISMAVLGTLASL YILPETASIYSPVKEFFVLREGTMINEGKMRSLIYSATLDQTPESHLSPGTYETWSAF QSRIRAIEEENRNRAVDKFMAYLHKIWPVREPQRPQSWDLPRLPDYFDIQKVMEHVRA KFAIWHDNKEFREYLARLASLLSAQEIRLVKMPSISSPCHQKPFTRGRRFVCVDDILG YPPVFDTRPPQLCELLETQSLDQNPAPQTLNLAKTLEFQTKSQYEERYVEQLQSSIQS FQEANKKTRININASRLRKVFLDYQTQCETYCQYVYATIILCITPSGGVSEPGSQGDA LDQKTLALLEAIAYWPRLSPTMLLTQLARGRWHQLPKQWQACLIAYGKSITAFQRAKR LASLTDHHDDLIRELQNPGHINWDPHEFPESLLLEIENGILIRDVQEEIAQQMRNLSP GHNAVMQLNMGEGKSSVIVPIVAAALANSSHLIRVLVAKPQSRQMFHMLVSKLGGLLC RRVYHMPVSRSLKFGEMEAREIERMCRECMSEGGVLLVQPEHILSLKLMCLECFIAGK EAVGRSLLRTLDLFRTTSRDIVDESDENFSVKFELVYTMGAQCPLELSPQRWAVIQQL LDLVRMYAPDVKEEFPWSIEIDEQRPGSFPRIRLLHSDAQQALSQRIAKHVCDIGIDC LPISRQPKEIRDAVFSYILEPELTADQIAAVEDKDPRRFFTKTTQGPLLLVRGLLAGG VLDFCFGQKRWRVNYGPHTTRSPPTKLSVPYRAKDSPAPRSEFSHPDVVIVLTSLSYY YAGLSDEDLFRALQHLAKSDQAGIEYQAWVKDAPELPPAYRHLGGINLEDRHHCVQFI FPNLRFSKGATDYFLSHIVFAKEMKEFPDKLSASGWDTGEVKAHPTVGFSGTNDSRNT LPLSVKQLDLPSQNHTNALVLEYLLRPENSVAFIPPRDEPSVSASQLLLNMVTSLDPA TQVILDVGAQIIELSNLEVAKHWLRMVPNDGRIHAIVFVNDSDEICVLDRNGRIEPLQ TSPFAKQLEACYVFLDEAHTRGIDLKLPLSYRAAVTLGPSITKDKLVQACMRMRKLGK GQSVIFCIPEEVKFSILELSGKGSQSEIDVSDVLRWAIAGTWMDTRRSIPLWATQGQR FERQRTIWDESHQDGQLDMSTSQAAKFLEPESQPLEHRYRPRQDDTSMLSTISDKTEN LCLISQRCREFDNLNFASTQLQEEQERELSPEIEQERQVQKPPEAEPERHMIHPDLRS FIPTGILNRYSGAYKPAFKSLENTSAASHLDVIQFPSELLVTNDFATTVKEPKGSSFI SDSYQRPVQWILTSCRLQNRSSSRRVAQTVVIISPYEANQLLPEIRKSEAVTLHMYAP RQNLAFSSLDKLSLYNVPATPTSIEIPDIIKIQLNLFAGQLYLTSYREYQELCDFLGV AYVKTREGLAVADDGFILGGNGMTTFSQSPLKFLGVFMSQVRKECREIDKTHIGKIVA GSILCPSDFQNSTEMSIRARGDH ACHE_21224S MASSGFSIVTPASRGLGFAFARYLLKNSNLPVVATARRNCDEVH YRLLEGTRAKERLQVLTVDVTDESSISSMASHLRDSYPNTPLRLALTLPGILHVEKSP SQISASNALQSFQVNALGPLLLMKHLTPFLPTKSSPSFEPTNKKDVLNLPSHAIYAMM AARVGSISDNSSGGWYSYRASKASVFQLAKTLDLHLRTRSRERALAVALHPGTVKTDF TRDYWNGRGMQEADEAVERLLEVLVGMGMEGRGRCWDWKGEEVVP ACHE_21225A MYKPAPPRPKKTDIVRSRTGCKSCRERKTKCDEQKPTCGTCIRL GKACERLQPDFKFRVVNRPGSSKGAISKKASRLELKRQSSPEKHVPDEAGLNDLGLAR LDLIKSLQHTERDVFYSTYWEDICLPALHPIFHLASHLARNNRMLNDAILALSACNLS RIKAEQRNTPRMNQSSLSPSLIHQTRSYWYYSSAIRAFASLQEVDYRYNATVVLTVLA VFAHLESSMGNFEGFYCHFKGLSAFLMDLEEIAGDPVVKSLLTSWMQIRFVVWWARAY FCSLDVLRRLPPVPLPKLLEGSFVNSLYERRVVVLSIMCESHRLNYNAALKHWSSRKE SNDWEKRGQYTIHDGNDRFNYYILLAEEARKLDEWLLHLSPSEQPLSIDHNIDDTPIY FQSHDAALNYAYYALARIMQCTDSIRHLSNKMDPYSPERSFEEGPWIRLLLRITKGMN LRISASRNSYTIGFSGLLLAALLRCQDLVLSLEIQNWLQNLESVQPTEEGAFPVYQAL GVAKAINRQKMMGRDMFGVTQPVDDHGGTPKVTAYNSQSISTVLLHGRCRVSGELFTE CVSIDLHG ACHE_21226S MGSIVPLKIAVLINSPPGNEFWNDVKTAYYDAFHSVASDAQIDF YDPVLDANFPDAGKYDFIVLSGGKADSSSSEPWVLGVLDFVQNTAREFPKVKMLGICW GHQAIQRALGGVVHAVPAGPIAAIQDTKLTPEGKDFFRFGPGTQSYRAPEFHVREVAK PAPNFVHLAEGHECFVNEANTILTFQAHPEIDNALAKKMFADEDDVYTGNSSKEQIAK EIEKLDKPMDGGLLLERIVEWVRK ACHE_21227A MAPLIHCVRHAQGFHNLGDENWGMIDPLLTPAGESECRELRAAF PFHSSVQLVVASPLRRTIYTALHAFGPVFERNPDLKLIVLPDLQEVSDLPCDSGSDLN TMKKEVLENNLPVDLSLVSDGWHVKSGRYAPGDYAIRMRARDARRWLQARPEREIVLV AHGGLLHFFTEDWEDGSLYAGTGWENVEYRTYGYKEEDGNNSTLIETDASRQSRGKFG ARPTREQQGELYDLCRKQWDRDGVQLSAAERAAVSRASERI ACHE_21228S MLYVCSIFDVYFVSPIVGGMKAHRVQTSGPPPAQRVVLFVAGGL RADKTFQQFPDPSPDAPANETAQILRHLAPFLRSRVLEYGTFGVSHTRVPTESRPGHV ALLAGLYEDVSAVAAGWKLNPVGFDSVLNRSRHTWSWGRPDILPMSAQGADPGRVDTY TYSADAEDFSKDATELDRWVFDGVKRFFHSAAEDVELEAVLRQDQNIFFLHLLGLDTS GHSYRPYSREYLHNIQVVDQGVREMTALFEAFCR ACHE_21229S MPNTVKSQLAKFVPYSATQGPDLPWHQPENPLPSEILAQQLTRL KSYAQARFGSFRAKALVAGLLIFLLVELMKVSAASSFDSDLYCGHPLSRITSEAQRSF DAVKSRQSRSLPEAVAEYKRRYGMPPPPHFDKWYEFAVARETVLVDESDTIYHDLLPF WALQPRTIRSRTREDLGYDNGLVGILIRHGQFENLHGGGQGGFQSMAIQTMIAPFAHL LPDMDLAFNVHDEPRVVVPHDSLARMVILGQKAQSRLLSNEKGLVNRFSPPPGELNED IVDNPRTRYHDIEMQETWLFGRLSCPLDSPARQLNGGAPDNPDILGDASLGLVTNHTA FSDICQTPSLQYRLGFFDKPNACKLSPDLTPVFSMSKLSSFQDVLYPSPWYYTDQVYF DKDEGVDWNHQIPQLYWRGSTTGGFSDKGTWRQHLRQYLVDALTHFHPNTTQPLLSQE RPGSNNCGSAWEKSWNLNETHAEFDEMFNIKFTEIKQCSKADCDEEDDYFDTARRSKQ SEAWKYRYLLDMDGNAYSGRFYAFLQSYAVTMKLAFFREWHANILFPWVHYVPLSLKT IGYAEILRFFEHDPKGQTAAKRIADSGRNWAHNALRHEDMEVYMFRLLLEYGRLVDDN RESLGYHG ACHE_21230A MPKSEKKNQKRPARKPEETNSEEETGEQNGPTDTKDNDGDVNMS DLDPDFHNLSDDEASWQRQRRHKKRRLDDWAAEIIQKLVRKRYGEMKTEFQSESPDFP KLEGWFQEANKRIQSRNIANGVPVESNLIPVTKYRTEFDRWSTLINSDMIKDNPEKGW KAYDGLYSTLSLVNREYDLPSEWNISPDVPKKLFGDRPESVEKPSETDTDSAVEMLIS ALPLTSQHCAKPDTQECQKAPHH ACHE_21231A MMAYRPLNVDEVGSVAGLDDEEVVIRALVDRCASFIKIQSTNIE FVHQSARDYLAGENGQSMLDLHENYGHDEIALSCLSHLSKRLKVNLVELLRPDSTRES LKTLQDKEKSALLNSVDYAATFWVQHLESTKRTAVVQNALAERGSISTFLRTRVLEWL ECLSLLDELPRAFLALRALTTIAKDKPFVSILVQDATQFLLQHYDTLTNWPLQTYSSA LTFSPETSVVRRENLGKIPAWLRKIPHMEDSWPSLIQISGYSGYVKAVAFSPDSKQIA SGSNDATIKLWDATTGELQNTLTGHSDWVNAVAFSPDGKQIASGSDDRTIKLWDATTG ELQNTLTGHLESVKAVAFSPDGKQIASGSDDRTIKLWDATTGELQNVLVGDLQVVNAV AFSPDSKQIASGSDDRTIKLWDATTGELQNTLTGHSGWVKAVAFSPDSKQIASGSGDR TIKLWDATTGELQNTLTGHSGWVNVVTFSPDGKQIASGSNDDTVKLWDATTGELQNTL TGHFCGVMSLAFSPDGKHIASGCYWNIRLWDTTTGDLQNKLVGHSGYVNAVAFSPDGK QIASGSDDQTIRLWDVAKSLKLFQYLGRTISRLFSSHSWHKIKTSKPINTLKFSASSR YLATNIGPINTKSILADRQGTVLEPFEDIWVTEKWIHCGTAPVLRLPPGVQALCYDVQ GTQVAIGATNGRILTFGIDCSSLSL ACHE_21232A MIPHIDIQGNIAQPGATQINNVIPLPYNTINDIDRSCLRALRCP DTLVVKNRLIENKDKLLPQSIEWIRENLHYKRWQTEDDVGLLWIKGGPGKGKTMTSIG LIEQFLQSQDDSTAVTYFFCQNADYELNTLAAIIKGLILHLVHQQNEPKESLRRRWDT VNGRFEQDVTSWRALWDIFMEMLYNCKCPRVYVIVDALDECRDESMTDFLKLLVRTGL NHPSKVKWVLTSRALGSAEGELLIGHDLVQVSLDDNFKQTSKAITTYIAFKVGELTHR HGYGTTLQEKIKRQLTEKAEGTYLWICRKTCMIFIIECSISSTTVSRLM ACHE_21233S MREMLNACTKRSAEGRESVRDWLNMRYREKSFEDMKQRLASYKS TLSITFESINIRVQCSTQDSLDNLKDSIQGTKEDLEDQLNQVRETIGSADASSRETLQ ADQARLQRSLDTLEQAQRVADTTHPEVIIKSNRADQGSRAIFGTDTSQPQFSLNVTDN EAGLGAVVSAGVHTPQTLQALLRDSRTPDLALALQALQTQPQNTNAPVLRSVLHNLSV GPSEATHSTNPRISEGTNPVAFSALRQSIEPLHEEVVKNDKALR ACHE_21234A MRSFGLRIRTWNHLFGKLQEPQQLHLRETCFSSDRVCACMLTDS RFFSPADIHGIGSFQDGGLRDNLAADIARRVCRQIWPSRKNPACLLSMGTGVTPRAPD RSPHFRHIYQDGFVRRGFDAWMSSMDTEMRWLEMVDQLEDTFKPDYLRFNIPLQNTSG ALDTVDTMEEYRNQVAIHPGGARKAREAATALLVSRLFFELDSLPDCTTAPLWCHSTI HCKGPSQQVMDALHRLHPQGLDLTADSETIGKLDGPNELCSTCGRYCQPVSFFTVHFD KVMSIYVRSKSKQRWRISDFPDSIASISMKQQLQAPFGTIPMAVRAQLLVKAAMSENM LGVNVGSVRPYNPKRDGPRGYAMESRVWEIRIKHTLLRVRNYTNLNVL ACHE_21235A MTGSDTHKEIGVVNGLEVVQPGPEVVHHYPGQYPVQYATPGAYM TAVTAAAPAPVPVQPQSTICGLRKVTFWLAFAIGVLGAVIIAVAIGLGVGLSQRSTNG DVATAATSSPAAASSTGSTTGSTTGSTSATATTPTASVTATTSLTSTQPSYTSSSSVS ASVCPSQNNTMVTIGSGSQEYQIVCDADFGGSGKKDLASTVFSAFDDCLGLCGGMNYF QSRSDVGATYNIEGTGGQSPGTCWCWATGGNDKSAQPNDGNVVAIPQ ACHE_21236A MMELAMQQPISFLTTAGCLATLVAYHTATEKAWSVDIRTECITW GLLALGRWLQRQTIGKQAGLGEAKSAPGSSGSGILKMDMSPWNRMMWALSICIATARI IPLYYDVASALPLATVAVFLYSYRGSRTTSVLPGPGSNAPLSSITKEPNKRRTQLIRT TAFLLLGIWCCTLLQTDFNIYSYHDGEKGFYSVVFAAFVMLRMAAFVLLDTACKDRDL SSANNQNAFFETADAIVFRVFGIVTGIMVAFPPGVNLAWPVLLQASCNIASLVVNFNL VILGGVVPATTIDTYSIATIRSLFAKFTTSALSFFIAAIVSLAHAVLSIPPSSYASSL AARRRLLLPLTLIPILSLYFSRSAPAHPEFHLPQSIHPIEHLAATSEARFNETVSRQS QTLEDACAEYQRRYERRPPPNFDKWFHAAKRTNFVLIDEFDTMMAALEPLWGVPAADI RGRVENALDTGQMSMIRFAIADHVLSYSMENFADWMAAQLYGWFDREILDTLPDMVFA INTRDEPKVVVPHDVLANALSHRSRTVGSENAAAVSQRHIENAKPLAVSHDGDDRLPL SQRVNFLEVGKQNAWDAMSVSCPIDSPARDFEHVTSPSLATLSSFGFLSNVSMSKDIC QYPQLRDLHGMLLSPASLSMTHSLVPIFSQSKISSFQDLLYPSPWYAAKLDMLEYMED EDVDWDQKENVAYWTGSTTGGHSTMDNWHHFQRQRLTLMAMDHSRPVNLMRKKLTPRH GHKYDVAWEPINGTIREITPSLRVRISAVIQCDSDACEHQQSALGVEPDSRDDVSASY QTRYNLDLDGNGFSGRFYRLLRSKSAVLKQTLYREWHDDWLVPWVHYIPINIDLTDFP ETIRYLTQEPDGQALGKKVAENSREWAGKVLRKQDMVLVFWRLLLEYGRILNDEREQM FFTCSPL ACHE_21237S MIMKKGQGISRGCSLAFWFTTIISVSANPKPYPFEWNTTHSFGY DGPWHAIPMKIGWPEQIINLYPGGTWASVILGSNLSDSFKYDYPNQTWLSSSEVWNAA KSEPTRPGSTENYDIAQSNRGGVTGSLDGSWRGSDASNITGDGIILTDRMTFETPDNG VTIPNISISALYEANMGFPDGSTVPMDVGFLSLGARAPQTFGNYTANVISEYLASDER IPSNSWSLHIGSVAKGIAGSLILGGYDSSRAVGDVGTYDTTDGFGGMFANLVDIQMGI SGDGGSPWAFRNKTNLLRNAKNNTQSISVRPNPTAPFLFLPNQTCETIASYLPVTWQW DLGLYTWNTDDPRYEQILTSPSYLDFVFQRSSGQSNLNIKVPLALLNLTLTSPIVDTP TAYFPCRPFDNDGAEYHLGRAFLQAAFIGMNWVNSKWWMAQAPGPGSLTSSIITIENN TESLSTTAPSTFWADSWKRVLTDLPNPSSSTNSSSDSNNNSGSGISGGAIAGAVVGST VGGLALLAGAVFFFLRTCRAKKPAAAPSSDDQKEAYFPDRQYPMDRQQRWPVELAGGE PVHEIMTTSTPPQELMTTGQPPQELMANEDNK ACHE_21238A MLLVEVVPHAQTNADTVKTTMGIFKSLGKSPVHIHQEIPGFVAN RLKAALVNEDYSLVQRGIVFAEACAHTALSRTTITPLLVLCGRRQSELR ACHE_21239A MHPIITSRHIPLSTPNNPLSVHILEANYSPARDRPLIILLHGFP ELSYSWRKVIPSLAEAGYYVVAPDSRGFGHTTGHDVRSYENVDLSTYSVTTLVRDVVL LVNALGYRSVRCIVGHDAGAVTAAMSALIRPDFFQSVVLLSHPFNGAPEAPFNTANDP SQKQGGNKGGAESSAGDVHSALASLGRKHYKWYYSTAPANEEMSHPPQGLHEFLRGYF HLKSGSWKGNKPFQLREWSATELAQLPGYYIMPLDKTMPETVANMMAKETGEDDRLSH EWLSDEELAVYVAEYRRTGFQGGLNWYRVRTAKGGKYTADYEVFAGKKIEIPCAFVSG KLDWGIYQEPGALERMRDGTVCTDFRELTLIDGVGHWAPQESPDVVVNAILELVRGL ACHE_21240A MASKTWNIGVVGYGFSAKTFHIPFVQEVPELKLYAVVQRTPKPD DDAEKDHPGIKSYRTSEEMVKDAGVDVVIVTTAPDSHFDLVKLALESGKHVVCEKPFT PTSKEAEELNAIAEKNGKLLAVYQNRRWDADFQTLSKLYKNGSLGRVVEFETHFDRHR PQEPAADASKWKNKVIPGGSAIYDLGTHLLDQVVYLLGLPQRVTGFIGSQREVNTSGF EDSFTVLLHYGGGVLVTAKAGVVSPEEEQLRFWVRGEKGSFKKFHLDIQEEHLKAGIK PWDSGYGREPSERYGTLTTIQNGTPIKETYPTVEPPTWTEYYRKLARALAGEGALPAS GVEAGQVIRLIELAQESSKLGKTLDV ACHE_21241A MAQTLDPSKPPTQGGAWNNDGRELKLLEFIYSQPNLDAIQGHPQ KVLDLIDEFGKQYLFINVGSEKGKVVTDLIDEVKPHTMIELGCYVGYSAILFGDAVRR NGGKRYLSLELNPVFAAIANMLVDLAGLRDVVKIIVGRSDASLHKLHATGEVKHVELM FIDHYKPGYTSDLKLCEHLGMVSRGSVLAADNVIYPGNPPYLEYVRSTVEQKREAAKK STAGDYDKRGISEWTAQAFVGKDDKPLFDVVGNPNLVYESTLHQPEGLGDAVEVTRCV GEEKA ACHE_21242S MAVSTVRRVLGSIPKSQRFDFIRTMLHTLFRLLRIVISFVLLPL DNAVLLGTYFGHYLTLLRLAVYRPRQAIPRDAEFRQKTVLITGVNSLHGLAVARRWYH EGHRVIGADMMDSPIRSGESMSKSLAAFYDVVKTQYISQLVDIVHREKVDVWIPCSEQ VDTMEDAVAKNIIESRTECRCIQLDPELASRFGSPESLREYLVERDLPVVENHQVQSR DSVHKILHRSPSKAYLMRRARGQDTRPVMLPKRTLSMTYHEVSEIQINKDNPWILQQQ TRLGEFIAEVLVVQGQVKAIKVRPGDLQSAWGASRLDQGLAISIHRLMDRFAVKGGSR LTGHLAVHLMVDEEFDANSVRHVLHIAHCTQGAAAVKTLLQGPSSDIVTGYLSVLASQ ATEASGQLSETPFEDVKATIATTDRAKESNTNTLKKILSPTFLVQQAKEAAWEVCHVP FWKDPRFSYSDPFPWWWHTHVYMPFREIGVALYRAPLKQD ACHE_21243S MKLARPSVNPGRMKNIIHGCQALLIFLAWALTIAVWTKGDGIDG RTAWYWALCWFSIPGLIYLVAVPIWPRARRFGNVYAFASVDMLYAVLWFAAWVCVASY VAQGKAEGKDSDSDKDKDSNKSNKRASDSDSSSKGGCDNWKYGSAAKCKISTATVIFG VVIFLLFVVTAFMSFRNVVHFRRTGTLPDAVSDPSFAAHSKAAFSSTPVHDFDEEEEG DFRSGRGNMASSARSDRDEDYALLEQSEIDDLGHHPGRSALSGGYDPTASTMTGGSSV LHDYSTTSYGGAYGQHYGAPSEYPATEYPPTEYPPTDYGSSLNGHGHR ACHE_21244S MSAIDLFTQYSLHLDPSSKAITYPPPSTPAVDTELTYLNTLHRS LLSLETPNNIPPPPLPINPKRSAQITKLRDTANTAYRKNNHPEAIKLYTLTIDMALSR PGWEPVALAREELAGLFANRAQAYMGMGKWVEGLVDARCSVECKGVGNVKGWWRVGRC LGEMGRWEEAKNVIEKGLELEGREGEGGKELLGLLEEVEEGLKRAAAS ACHE_21245A MRHAESTESSDSDSNHAASGRKRGFSQVTSSPPTQRSARQVGNR HDRSNQYQEHTTRFCTQQCLLGLQQGGELDDYCPNVKLHQKGGDGRQHLINTEVLVKL LKTQLDGNIDYNCTPFGDCGGYGAPFKITCTAYGYTVVGKGTTSRLWKEVSHEAEIYK VLRRAQGSAVPVFLGAISLAKIYFLHGAGEIRHMLLMAWGGESTTKHKQRPVLRREIS RSMEEIRSLGVIHRDLRPDNILWNNELKRALIIDFHRSELDRQLVGSQMKSLKRPLCR TEERETKRLCVV ACHE_21246A MEFWKTVVKPDTTPTDEEGKLRYNAERLVGSAIVQEYHVMIQEG LEYSYMTNGLALVLLCVPYDNPSTLLYYLCEPNLDVNMEDDQSFQQPKTTIARVLCLC LMSFRSHPRDQEWRNAA ACHE_21247A MPPKKNKMPAVLQQETPHTIRHHSTNPDAETVMDINDQTHVNPA DYPIPNTPPGQGGDQPQPQPQEFAYMNAKGAAQPADTQSEHQTSRSETTDDRDEIIRV LRDEVDTLRQARQPKHTSSGGGSQLMERLSELFERSDSRSAFEHEQRRIQQEKQEVRE EEKRLHELAKTHW ACHE_21248S MNCYPGSSSHVQSTLLHPRIPLFETTHIKSLLFFTSQFKTPAST MVLTKSSVTITHISTATAIISIDGINFLTDPVFCPAGSEYIYDGWSKAPNLHEWGFTG KPPTATLRSINGPAVQIHELPPIDAVLLSHEDHVDNLDPLGRQLLDGRRVFTTPDGEK NLQPRPGVVGLRPWQTVSANIGGKQFCITRTPCENRNTMSKLVIPDIGYFSSRV ACHE_21249S MLVPDPTYRWAPESEAQHCNLNFLARQKNVHLRHLEVSEPPLSS LELSATYLVVLGRGESLARYLDVPLLSDFVEEKFNKLFGTCSPPLAETDNAQGSNILS WVDVIREFDYGQVEYTDDGTYRFPMQGLLVQISETDYAIIQSGIFQKLLNPWPDPRDV QEELETVELAIAEVSDWNQ ACHE_21250S MDIKRLKSSHTTYPYPAVDDADQDLDRRPEAEKREWEHRLIQRT DSMEWGTGERASLRAGLEPMTTRDNERLLRTHHRSLSKASIVYITTSPFGRRIWLISC NVPDITAGGLDIHILPAGYPLKSPLIPAPAAQFILAQSLVKGQINPRRFLTEQDLESL RILFPKAIGAQLLIAGFLRMLFNSIADVERTHNLGYPGEVGGLVVLLDTATFSATAQN IESGAVVSDTEAKSVGCLGLKLKLPGGNTVLTTVTHAYVRNPALSVVLMRVADWVIRA KNALYRFRNPHLDRDSRAYGVSEQSLSNNPTGKDILLFKMNTKVFLIREPYTLLKESY STLS ACHE_21251A MILPITLSRHEKELTSYAMLDTGAEGKRFVDKEWAQDHGLKLLP LKRPIRLETFDGQEAESGPITHYVQMHMRINDHQEKRACFLVTQLAHYPVVLGLPWLK IHDPRIGFAEHTVLFDSKYCQEHCNVPTRPAKIRALHDIPRKTRPKHLPARPEGLEHQ DIAAISLSACSAYARKNYRMFTVTVKDIEAALNPTPDEEDPTTKLPPEFQDFADVFSP KEAERLPPHRPYDHDIKLQEGKVPPFGPLYPMSREELKALKEWIEENLKKGFIRPSSS PAASPVLFVKKPGGGLRFCVDYRALNAITVKDRYPLPLTKETLNNLKGMKYFTKIDII SAFNNLRIKKGLEYLTAFRTRLGLFESLVMPFGLTGAPASFQRFMNDTLRDYLDVFCT AYLDDILIYSKTREEHIRHVRLVLEKLRDAGLFAKLSKCEFAVPETKFLGIIVGRDGL RMDPDKVKTIVDWETPTCVTDVQAFIGFANFYRRFIKDFSKIITPLVNLTKKGIQFKW DTTCELSFNALKKAFTTAPVLRPFDWNKEVILETDASDYVSAGVLSQYDDNGVLHPVA FFSKKHSATECNYEIYDKELLAIIRCFEEWRPELEGTPSPIKVITDHRNLEYFMTTKL LNRRQARWSEFLSRFNFKIIYRPGKQGAKPDALTRRSEDLPKEGDERLLHQSQTVLKK ENLEPAPDNSPVTLNATTRARDHSAESSVENPPRIPAQTRRVRFADETNHDVPEPPQD IKNLLDNAYSVDETVLSILEALDKDATRHPQITLADCQRRGKYLFYRNRLYVPDNGEL KAELLRQCHDKPAIGHPGRSKTYELLSREYYWPGMYQYVEQWTQNCHTCRRIKPSREA RQGILRPLPVPERSWQDISMDFVTHLPPSRGYDAILVVVDRLTKMKHFIPCKGTCNAE EVARLYAYNVWKLHGLPQTIVSDRGPQFVAQFWKHLTRRLQITNLLSTAYHPETDGQT ERTNAVLEQYLRAYVSYLQDDWSEWLPLAEFAANSARSESTHVSPFFANYGFHPRMGF EPVLPTNRPARDAEEFACRMELITEFVRTAITSAQARQEEQANRKRQPARRYQVGQYV WLDSRNIRTLRPQKKLDWKNLGPFRIVEIVNPHAYKLDLPASMRMHPVFNVSLLRPAA GNPVPGQRQEPPPPVEVDGLEEWQVEDILDSRWERRGRGGPRLKYTVKWTGYDDPTEE PAAYLEHAQEVIANYHRRYPHKPGPGLNGARP ACHE_21252A MGARKRMGPATRAQDRLHSMRLRSERRLNKHNGKEDASMEDAPE VCETPTAPPREPTTPQQPPEQLRREIPMQAQHFPCNPPENQYPPTQPERDTPPTTPAH ESPQSQLGLELQSHIAAAVASKTAQIKTTGDEVLELVSMVSQKVIDWEKQSLQGAASL GRDIRTLVLNFGKNLTTGDPSEQENYHPPHPAHNSYAKTVGSPYNAPRPQPKLPKATG KSPQPEKPLRIFLRLSKDHPARQASPYATLDILRKHLDGTCSAAIKEIQQVPSGLAIW PKDGPGLQLLMEHRELLERLIQGATAEVEQKWAIYALPNAPQQYNSYDGNQVPITEQM ALEEFKLQTGLSPLKFYRSNKNPLSGTLVMAVPETQVQTVPKWVQLFGKNTPIKHKPP GPA ACHE_21253S MVQEQVQAHLAEELSNWRAEQQTHEGIYLERITNLEQEVSKLRT ELTEARHTIQQPAPGRQDTPAIDTQPNRVNKHVNSQTPKVREMSQQPRQEPSFADLAA LLSTRPGGQEWQEVTKKRPKNRQIQAVAAANQPDPTKLKPAKDSPKEARRLLFRREGG KTAPKSEKEDVILAINRAVAKEHFPAFIRVVDAGYTNTGAITILLEKGTLGSMLLPVY KDLLVTAARQADPTVISVELPEQWYRVKIHGVPIRRYLTCGLALAREEIELGVTAWSR WLLIT ACHE_21254S MDPFQELRNEFSSTIRALQNEIESIKNEPKPLQRPKPCLPDPEK FNGQSLKFDTWLASMKAKLRIDAPAIGDAVAQFYYVYLNLESKVQALVLPQLSYAEDT NTWDYNTILDQLSLVYDNPNKIQEAEDHLLALKQDSGESVAAYIAKFERILYEAKGKD WPDVTKISAFRKGLNPTLRGRLNQQLNLPRSYIEFLRVVQQLGSHSFSSNSTNVSHPQ SHQSGSHTKSDPMDLSVININSLLFHEGNKESKQSWNQQMLAKLETNGIDDGGD ACHE_21255A MARDFLAVPASGVGVENLFSTARDVCHYRRNRLAPETIEAIMIQ MSADRFELKREYISVEDGDNDEQNDVGYVDFNVELDVNYISDEEDLGGFEDDDRDRWA DDDEEDGLRLPPLQSYQRPSAIHSPSMNAEAHSTTSQSEVINPHPQSATTRPRRVIHE PGYFQRLENGK ACHE_21256A MAVIGYFISADFRFCEALLGFSPLEGSHSGDRLGSVLLKILGKH DLSHRLLGITTDNAGNNGTMFVYITDSLAQTLDPDVAHGLQDALNTHDFFEHAMDSGL QAILSTPHHLPCLTHVIQLAVNAFLRELKIDAKNDDVVGIRWNDDDKNLREKGLTRTL EKCDMLMPALNVGKAFDDISEWIHTILKHLVFF ACHE_21257A MLNKNEEEDLVQQGEIFGALWHYRSLKSKMLETISWGLKGGKKR PENLIGCEAEYEAAVVVLKDQAQVLERYGYTHAIDNFSEDLSAFSLDRSEPAAITIKE TPGFLKCRPKMFTSQAEEIQAKIKEHNRSIQKNSVIRQSETQKRKRNETEDVEPGEGV EPEENTEATDNEN ACHE_21258A MAPPKKQSKTLASTSKARKGAEDVDPAPEAPQANEQSENGGPLQ KLIHDDRIVTQLDSAYLGSVVVDIRALSHNERNRAIDERFIEKLSEAFKCGVRRFAQE DRLKVTTTSKMLETVLADHVTETTTLMDLHKSLTRKTSDPNELIHIQVLPEGTTFELR NGQHRVSAMLKILQEAIERADAGEDITRPEAHDYLWAIDLYDDDKMTEDTLAALMANR EVMHHSNSDGYNAVQILGRLESVPEKERGEIVRGSTFSDWVQTLFGLNLTHTARMGSV ISHEGFQPYVFRYGMTRYGERRFTWTLGGKMVSSKLDFIWFREFDKFLEFTTKIFGHT AHLVRCEDWELILSVEAGRPDYPLRLLFYPRREDYWLNNKKRKNPWPLPPNYRNEQLP RLSSPYQTNDYRFDWRRPGFLKDLSEEDYHFIFSRLMENRNLPCPCWNDWCNLEKAVD KVKRILRHIAIWIDPDWTYPAAASHDLKDFQWDLEIQDLLFGDKNFDRPFSLDADRLR LARNFIDELVRQVQTDGFWKDPKLNDLLKPPPDTLASASHSAAYFERFLHPNWAAIIQ HVVKSAGPVLTNAMSAYNDLGF ACHE_21259A MQNKHYFVSIEGLHRIQTEYPTDADPSPPPLRRAPPITTTITGT AKVSPWYNPCGLSRKTKWMIIMTGLLVAGVILGTVVGGVVGSRNTSDQYPDYSPLQYR LTNDYMGPNFFGHFYYFSEDDPTRGTVDYVDRATAQDLNLTYTTDNSAVLKVDTVNPA TERGRRSVRIESKAQYDHGLFIIDITHTPVGCGTWPAVWLLGPDHPWHGEIDIVETDN LALDGNIVTLHTWEGCRVEDVERKQTGKKGKWNCGDGDRDGCDVQGYPESDGERMNHM GGGVYALELREAGIRIWFFRRSQIPPDISTNPDPSTWGLPLADFPNTKCDILSHFNNM SIVINIALCGQQGADPKLYTDQYQCPGNCSDFVRNNPEYFIDAYWEFKGFKVFQAW ACHE_21260S MAYKSMISTLALFPMTWAAAADLTSHVDPFMGSESGGNNFPGVA RPFGMVKLGPDLYDAKSDAYSGYLSTGNFSGFSMMHEQGTGGAPKYGTVAQLPLVGKT IKDPLSNLTVERDGDDQAELGYYKAKTGEGVSVELGASAHAGMYRYGFPEGSQGNNVL VDVAHVLPSFRGQGLGQGYKGGNITVFADGHYEGSGVYDNGWNLSPDWAIYFCGYFDT TITSNKTYVGTDKDGSTEQPSGTASSASTSKRVGAVFSFNESEVTSRVGISWISTAKA CRNVQDEIPAKTNFDTVVQDTKSKWNTKVLNKITTTNTNSTSLQLLYTSLYFMHLIPT NQTGENPNWTSNEPYYQDIFTFWDLFRCTTSLLQILQPTAYEEQIRSLIDIYRYEGYL PDARSSNYNGRTQGGSNADNILADAYVKGVRGAVDWEDGYRAMLKDAEVTPANEPVDS MAPDSSTKEGRGALPDWKALGYITPKYSRAVTRAVEYSCNDFGLYQVASGLGKSDDAE KYLNRSRNWRNHFNSGQTSLGFKGFVVPRNSSGFIETDPLNDSGYWSDPYYEASSWAY TWAAVHDMKHMIELMGGRQTVLNRLDTMFTPGADGSDSGMIFDPTNEPMFNIPYLYHY ISRPDLSTLRSRRIAKEHYSTGKSGLPGNSDTGAMQSWLLWNMIGLYPITGQTTFLIH APWFESLAVDLGDGKTLEVTARGGDADGDGEKVYVQGLKVNGERWRRSWVDWSDVFES GGKLEFELGSRAVNWTDGKVPPSPAS ACHE_21261A MVTYTPDDVESDTMNSPNMEDDLAAMVESMRTRMAEMERELQNV RPAQRTSPPRSEPTPSTTDSLRRPRPKIGDTEPYDNSDRSLYPQFISKLRAKLNIDKD AIGSAYDRIWYAFSRLTGSAAAQVLPWMDHYAGDMDTVTEQTLKDFLNHLDFNFKDRN LRERAVRALGNLKQANKPFATLLNEFNRLLMEAGGYDWDNEVKRSYLDNALNHEMNDR LVTVEKKENFSEYVVQLQLIADRMEKNASRSRTLQHNNANRRANNPNPSSFNTNPAPP VTTPQGDQMDWTPTISRHRPRQTAKWVPSEEINARKEQNLCIRCGASGHFISKCPYNA PQRTHVSKTQVAPKLENDEDERSPRETQLGKE ACHE_21262A MGALISHIRSSLGLKKQHPVLICGQKWYSGKTTILYTQLRPLSG PIDIVSTVGFNVESVTYANMHFVFWDLGGRDKLRPVWKDHFNQFAAVIFVVDSTEVGR LDDAAYNLSCLLDFTGTRKDSDVPLLVYANKQDLEGAKSTQEIAEALKLGQLRNRSWK IIACSATDGMGITEGMDWLVQAVRCKRG ACHE_21263S MGGARKKGVVGAASPWPDHPYNHKDHDQCAHAAAILTETAELKQ IADRTQGLPPRDLFIRFMSSVEDLAAKVRDRQSNNGSEDHNSQKEALQQIQNMLNKQT EEIKTLQHSTQAPKVIHSPPSYTPSGHAKSYWNAALASHLTSTKSSLVSGWMQGNTNR PVSTNGTLSTSPSSPATPFPLKTDLEIHIQNTDRQIVDPLRHQKEARVVEQADRAIKE SNNNIIAHWSVSTGCILPSGDIILHAESLEDVEQLARAAKDWCLAFGDNATIRRRTYR VVMNGVNCQLDLV ACHE_21264S MGARKRMGPATRAQDRLHSMRLRSERRLNKHNGKEDASMEDAPE VCETPTAPPREPTTPQQPPEQLRREIPMQAQHFPCNPPENQYPPTQPERDTPPTTPAH ESPQSQLGLELQSHIAAAVASKTAQIKTTGDEVLELVSMVSQKVIDWEKQSLQGAASL GRDIRTLVLNFGKNLTTGDPSEQENYHPPHPAHNSYAKTVGSPYNAPRPQPKLPKATG KSPQPEKPLRIFLRLSKDHPARQASPYATLDILRKHLDGTCSAAIKEIQQVPSGLAIW PKDGPGLQLLMEHRELLERLIQGATAEVEQKWAIYALPNAPQQYNSYDGNQVPITEQM ALEEFKLQTGLSPLKFYRSNKNPLSGTLVMAVPETQVQTVPKWVQLFGKNTPIKHKPP GPA ACHE_21265S MAGRPENPTLTPSQVNDEDVRKSVPIRKKPTQEKGIKIYPFTID KLCEENARYWFHVMENQLKAQFSWEAIEYYHEVGRKEFSTILREDVEWFKINLKADMI IEQGLQPVTILDIKDLDNAGLKWDRLKEIFLKSSNAKKAMKLMKMANWTWDSTRMNEK EAYREIKQLGKEFVDMNGGNKITIEELVVLWYLRGLGDKYATLRDTVMSSNVTLDEDY ILNRIDDMMHMKSGSTEKGSRVSNHGNKKKKGSKCYVCGRAGHFARECQSKHEDSESD IEWDQQKPKGRREGRQEHRRGGRQESRREGRQGKSSKQKGRLAGEQDDDSSQEELCEF SSYAAERSELGRFTSEKGSQANGSCPSVWCFDSGATSMSTGNRDIFEKLDMKSRGTLT IASGVQMPILGRGTVKFNLPNGSATVRLSNVIYVPGLTENLLSLEALHVAGFESRGSI RGYTLLKDGKIVARGRRIGKSTYLDTVSYTNALYVKPEQARKCVELNAKPDERTILQL LSRRAVRADDETEQRREIIHQRLGHPGRKRFNWCVETMDMDELKVRKRDKLLDDDCEI CVKAKQVKSQSHLPVPRARRPLQRVYMDYWGPYVGGVGEERYYLSLIDDCTRYSWVFI KKDRTSSSVQNTLELWLRQAERETGKMLLVIRTDNAKEFLALEPWAQLKGIQLEFTEP YTPPQNGVAERFNRFILEVTRALLFNSGISKRYWKYAVVTANYLRNRTTGAKGSGGKT PYELWHGYEPDLTHLRIWGCRVLYHQRSNDKLESRVMEGTFLLYGKSDKQYAVLPKGA DEIRLVTNPKFREREPGYLTMDKDSSAFEAPMMEPATNVNDAPRPTPMAIDVESQQRD AAPLGGKEASDQQGVANGQSRETNESTPEVDGSPLKSASKVDNAGNEADTQWEEQREV DAPLGEGHQKKVLLEGEKRQENLPQSDTGAIDEHQVERRHSGRTRQPSSTLMESRQTE KIYGRKRKAEGEDTGNSDRPAQRLRAHLARLAVATELLIGDREYEATEGARAAREKAG IRIPKSYNEAVNDPIYGSKWKEAIHKELSTLIGFGTWELKPRKEAEGTISSTRWVFDV KLGLDGRIDRFKARLVVRGNEQSDDDFDETFAPVFRLDSLRILVAIAALFGLEAHVLD AINAFAGSDLDKPNCMEIPEGLQDFDPEATRGLVLELKKSLYGLRQSANLWHQKISNF LKNIGFKSITADPSIFLNSRGLIIAVYVDDIVIFGKDVRDINTVKQKLKEFHPMTDSG LVRKLLGIRFTWGRDRSIRLDQEPYAQQILEEFGMADCKPASTPIGPSVKLETPDSSL LGRTEHKLFRRLIGRLIFLVIATRPDIAFAVNQLSQYLAEPREVHLAAAKHVLRYVKS TIGYGLTFGAKGSQGLYAYADSAYANSAKNRSTTGFVFSINGTPISWISRKQSVTAQS STEAEYMAVSEAAKQAIWIRHFLYAIGKGSIFCNVPTTIYEDNQGAIKIADNPVDHPK TKHIAVRYHAIRDHIGNGEIQLAYLPTDKMIADGLTKAANHVSQGRLVEDLGLA ACHE_21266A MHLLDLPPEVFHLITEAYVASTCYECDLKNLQLVNKFFYQEVTR AICTMRHLFAFTNPEPFLPEYLQYVVSSNERDDYLARTIKHVTNQLIKYDNSEQKGSS LDGRNQRIRLAVSHAVIDFMVPGLGYVIRGEPTREVSPPCQDDPDYIGHIDEYEFDRS MRLGDGQTCDALEHVLSAAAYLGNISLVEHLLEHEGVDLNARSNIFGPPLRNAALRGH FKIVRLLLDKGADPDGGSYPRTEEDYQKVERQCGKDVLERCFPDILDCPGTALEAAAR NAHKEVVHLLLQPEFHISRSSSSYRKAIVFAAMGGDADILKMLIGGANFGTLSGSSLQ TYWDRTLRYAAFCGKTETIPLLLDKGAHINRQYEDEINLGFSTPLGLAAFNGHNETIL FLLQKGADINGGSLHPIYMATCHGFARTVTLLLDQGAEVHPVYSRFMERAAEYGEADV VRVFLERGLHQVPGRFDKGECALKIARSTGHPRVVRVLEEFGVTECHGA ACHE_21267S MRFNIPALLTSLAAGLLINQAGAAPIQDIQNTDLRNSSSSLPLY NFDLPYDKALQDGLVKRKPPSNNDQLSWSDAILTGKRNIAKLENPGTSTNYANYKTHY HEGGGRHATKELQFGSDNGYWPPAVLDLLGLTKEDKYQSVSIFSNDQIKKHNLQRPVA QNGYIPSHGLILAKSNFKNQDHNEVKNQLPPAEIMLQVWDELAGNKKDDLHWIVRSNI VNEGTKSIIDEAYQRLGKSDKQMVKVTQAEHLEVFQALSGTPNCKGIYPTFANHGNTQ GKEVTALWIYKEPGQYFIAMEMENSSC ACHE_21268S MFSAMLRRWKATTLIYAVLLLIVGTAFFQELCKDNSFNPSSTLN ENAVATDADDTAADDTAAAEDESDFWEWETTTRFSARKKDMKEEDQICDSFPSHMLSQ VQVILKIGASEPADRVDTQITTVTRCISNLLIVSDMESELHGHRVHDVLADLPESVWS NEADLEAYEALKQGRVKSVNNQQGWNLDRMKFLPMLERAYDVNPTAKWYVFLESDTYY VWDNLFRLLDQFDPTVPLYFGSPSPGKPDEGETTWFAYGGSGYIISAAALQKLVHRET GPFGEYIQPSLSAQYADLIRGTDCGDTVVGWALYQKGINLSGAFPMFNPHALHSVPFD EMHWCRPVISMHKTKLADMKGLMKWENERDRRYPLLYAELFNYTGMGTFDKRPDWDNA DWGGWQEPPESPSHTSLKACGAACHDNADCLSYTYSSTRHCFFIRTMRLGDKRPLNLE ERQTAGWDLQKMQNWIANHQCEKAQWVKPSLSRIF ACHE_21269A MLIGILLYLSLWTALGLAQKYCTREFNSAVINNQTLYIDGGEVR NIYSNQSIIAEAARDLLAIDLSKPFTNYDKDLFTTIKKPFNASKPAQYPPVMNEGATF SDGSNLYFYGGYISGHLEPNIVPPLATWRYDIENDEWTSDGFKGYPVKRLSEGVTAQS NVNRKAYYLGGLLDPGGDPDVYGTDGAGPYPDSGMVVLDQETLTWSNLSTQSMNHFGT IADGYMNLIENFGDEGILLAFGGSTRPVAQPMDLLAASVMNLNYRNSMENISVYDIAN DEWYTQQSTGDIPRWRMSGCSVVAPAQDLSSFSIYVFGGSSNDTESSDGNVYVLSIPS FQWIQLEGSNALRIKHKCQLAGQHTMLVVGGTVPVDGNEYEPLQANCDSDIFENGIGI FDLNKHQWKTNYDADDDKYTIHSSISDVIGGGKTGGATATEPKKGWNSTDLANVFKNK HVVSSGDQTSNGTTSSTPSASPSNTDSNSISKGGIAGATIGAVAGAAAIGGLAFFLVA YKGRRNAKEDSATDEPLKKKPSWAELHGQDRPFELAATGTGAAVAEMPDDPTREEQQQ QQRQELPADTNWLPEMDGSQTRN ACHE_21270S MRLRSLSSFNLRWFVLAVTATLFFAFSLLRLYSNRSLYYHNASN DALLSEEPYEIDERENHPINDLIINANVQWRSLLKKETHTLAAAADQYRSRRGRHPPP GFAEWFKFAKSRNAIVVEDFFDQIHHDLNPFWGFEPREVRRRARGYEPRIEVRNHKAK LVGDGVWTDTWLDLVKTLEIYLPDLDMPINHMDESRMVVPWGAVNSFINKEQASRGLT ETDKTVSEYMRLEKEVDEERFEPRFLGPVDSSVWEMTCLGCAPDTPSRNSFIPQINFA NPPLEMDNYLRLGYKGYVKNWTQTMDPCLRPELQALHGTFIEPVSVSTTHDAFPLFGG SKLTMNNEILIPPAMYWADNKLYNGGENEHGGNNWDMKKDSFLWRGSATGGRNKEENW TGFQRHRLLSMLNRTSVEAAQQTRHFANFILPDYNYYNLTTGKEGNLPALLENHTDIG FVHLLCFPGDGDPHCPYTDPYFTVTPGMPMKEQYNYKYLLDLDGNSFSGRYRSFLRST SLPIKSTIYKEWHDSRILPWAHFIPIDPTFMDIYGIMEYLFGDGKERKGHDSMARKMA FDGKAWAERVLRREDMQIYVYRLLLEYGRLSDDRRDILGYVQDHFDEESGSQNTLIS ACHE_21271S MLCEAMPGKNKEYYAVRTGRVDEPTIFSSWGDAHPRVIGCKAEH KAFDDLQKAKDYMEENGVKQPKPVFKEGAGETMPLPGNEAFYAVANGRNLGIYPYYGK AKPEVDRSSGACHKHFRTRAQAEAFIEDWKNSYADVCRREIKAKLDQGFRSSNMNLEF DIWRAIFEYDISEDITKKTEKLNVKEE ACHE_21272A MCAFRLTCKTLLEKTYSIFWRTSLNSIETDVSLDSLGKLQTIST DPQLRHCIHHLTTKGFDKTETILGEGFQWDRHASGHLVNLHNHPAVKQLCNIFCQLIN CTSIEIYSPITEIVVHPLKAFEPTDAIPTMLEVISQTGHPVTSLTLNFMGWGQSGPNN PDPRCLQIAHEAQLIALGAHLENLTLKYTFEHDIVHDWTVNLLHHTRNLCTLHIESCN DLKGVPFFRCLALSSDMVWPQLQELSLDMIRATGEDLTTVLRKSQQSLRVLSLHCSRI ISSKEGLKRIFQVLGTDFPMLQAIHIGLLWSGLAMRDYVHFPGVLQNPVVDELQGSRF DFMAHRYKGGDRVSTVNYSGPKMDVALGILAEAVDLIIKQPLEEWIRDNRAHQNQESV P ACHE_21273S MRQNNTFLRLCIGLLLSICLLASLIQASPLPQDVSGPTQALEAR GKIKENLKTLFKPAKKGKCKNPQNELQTTSTSNLENLWNSIQSKTQTGHADSWALDPN KPQRFAPTEFFGCTIVTIMSSRAVVIGHFAEENHREKILTNEETVENTIIERLEDKLN GKPWTTDAVAYILYKPQGPSAPPGIKMIQDTLKEMNLPAANIHTETYRATGGALADTR GPKGKIVVDWTKRIGGGNRITYYMQDDTPVWHRDYDEDGNACDAQAPAEEECG ACHE_21274A MATLDSLKSALRHKATATGSALKQPLSDTQYSAGFEILVQDSGW ITYQDFIIPQLCQLLAPLFDSRTHISALEIGPGPKSVLGYLPGRLRRKVRRYAAFEPN SLFATKVKEWLCPTSETESPLPCLESPPDIHRIPFVLNRNTGSGAGTATRESDEKFDV ILFCHSMYGMKPKARFIERALEMLVERPEGGMVVAFHRDGTLQLDGLVCHQTASFPTG AVSMANNDDLLDCLAPFLAGFVMQGVEADRAIRVEWRKVCRALSRCEEAHPDHLLFSA PNVMVAFTRHATTLPELTAQVPLSKGEKMVKNREAHLHHPASIVRPTEVQHVQQCVRW ALKHGFSLIVIGGGHSGHCLWSNVVSVDMGAFDQVHILTDENGGVSGSKSIPLIVAEA GCKTGDIVRKTMAAGMTVPLGSRPSVGAGLWLQGGIGHLARMYGLTCDAIIGAVVVSV DSSQVLCIGCVPSQHCPAGAVRPENESDLLWAIKGAGTNIGIVVSVTFKAYMAPTHLT RNWVVPLSDNLKARLKLSDFDNFVARKLPKNCSADAYLYWDIGQLHLGVTMFESSTAR LTSETPTPTPIPVDTILGPEHNFKVVDSVGLFEAEMYMSGMHSGHSGSKTSSFKRCLF LKHIGALEVADILVAAIETRPSPLSYLHLLQGGGTVSDVAADATAFGCRDWDFACVVT GVWPRDQDGTEVAQAAVRWVYNVAKDLLPVSSGAYSADLGPDPRDAALAAKAFGLNQP RLARLKHSLDPRNVLAYACPLLKAPKLIILITGESCAGKDFCADIWVSVFLTCTHKSL KARAVSISDVTKREYAAATGADLHRLLQDRAYKEQHRPALTAFFQGQVQRRPRLPEEH FLDVVYGAVDVDVLLITGMRDEAPVAALSHLVPYSRLLEIRVKANKETRRARRGCESG DDDGDDNKDNNGRSKLTALEYQPSLIFDNDAIGNEAAKRFAEHYLLPFFHEDFQQLTN MVRPVPGFPCPGIEFRHVLNIAQQPGGLALCTSLLQTYFTGDWAKVDVVACCEAGGFV YASALASRIDVPMALIREAGKLPPPTVSVLKSTSHISSSTSDNSEERIEVDRDLIPRG ASVVVVDDVLATGKTLCAVLQLLEKAGIDAENVNIMVVAEFPVHRGRELLRQHGFGGV NVQSLLVFGGA ACHE_21275A VYPGVNAHNHQHLFCLRLDPNIDGPNNTVFQVDATRGPGEAGSA ENKYGNAFFAKKTKYTTPREAMSDYDGNTGRTWEIANTNKLNEYSKKPVCYKLVSREV PPFLPKPGGLAWKRAGFARHAVHVTKYSDEQLYPAGRHVPQTSGDPSQGLPAWIEEAE ASSSNSIDNTDIVLWHTFGLTHFPSPEDFPIMPAEPMTVLLRPRNFFTRNPALDVPPS FARTPSQAAKGVDACGCKKRSEGESVLV ACHE_21276A MPPPVGRYGPSGLSAPYAQQLQQAHLQHQQQQQQQQQSQHHPTA AHAQSTNSALPPPSLGGHPGFAAANPNTNINPFTLSGAAGITNGMSVAGFAGAAAAAA GAGGAGGDGGGTGLASHAAQMGFARGAQMQQQQLHQGHDGRLALESKAGAAVKTRIRD VWKHNLAQEMAVLRHLVEKYPYISMDTEFPGIVARPIGAFTNKADYHYQTLRCNVDLL KMIQLGITLFSPEGEVPPPNATDSNGQPYGNSLVPAPCTWQFNFKFSLEDDMYAQEST AMLAKAGIDFATHDKNGIDPFEFGALLISSGLVLLDDAHWISFHSGYDFGYLMKIMLC NPLPDKEEDFHKLLNIFFPSLYDIKYLMKHAGRNQAVNDTPLTPTAAQILTNLGQKSG LQDIADELGVKRVGIAHQAGSDSLVTGEIYWKMRQIVFNGSIDENKYSGQIWGLNGQM PAFTYHMGPHHTPNLNGATIYTATGTPSTPNAHTPQHHSLGMSALTPGGGLMGAFQAA KS ACHE_21278S MANPTGFDINEFKRAASPRSIYAKRDPWARNEIWRYTGPFSRFN RFKGLFPGFGVASVAFAGYCAYEHFFLKDEHHHGEGHH ACHE_21277A MSANNHHEEEDAYLNAEDAEEIVERDEDHPMESDDEGEADPEGD QNMDFEPQEIVLQNDSLAHFDHHKDSIFCIAQHPLHNNIVVTGSGDDSACIFDSTPTH ERPVLPASYESDPQPRAERKGLEGIARLDGHSDTVNAVGFTEPRGEYVVTAGLDGKLR AWRDGSAGGDGRKWEFVGEAQEVEEINWVAVCPCGEGDEEKRNVVAIGANDGSVWVFR VDGEDAAQPVAIVQSFFQHTMSCTAGAWTPDGKLLATVSEDGTFYVYDVFGAAAAVGI SYSAGSSAVVGLTPEDQRFAVDGGLYSVAVAPSGAFAAVGGAEGHIKVVGLPRLPSSP GAASSSKAKTKASAPQSSTGASAAGTLLASLQAQADGIETLSFSSPPLNLLAAGSVDG SIALFDTAHRFAVRRHIKEAHEATAVVKVEFLQNRTPGSAPATGQPLGRPSPLVSAAT GQSRSWLLTSVGLDGVVRRWDARGGTAAAGQGLLTEWKGHAGLMENSEGEQSGGIMGF VQGGDGKRVVTAGDDGVALVFEE ACHE_21279A MVFTPPTWAPTLPQVPDNIPISEFMLNESYGRYSLDQARDPFTC GFTGKSYSALQVVDRVEHLTRGLAKELGFQPNEGSEWDKVLGIFCYNTIDTLPLSWAV HQLGGLVTPANAVYSGPELKYQLQDAKPKALFTSTPLLPTALEAASLANFPKDKIYLI DLPPQMGNPKTPPGYKTLAQIEEAGKSLPKVEKLNWNAGEAARRTAFLCYSSGTSGLP KGVMISHRNVIANVLQLTLYEKKWRQSVTPAGKPLYTDVSLGLLPQSHIYALVATCHT GVYRGDQIVVLPKFEMKSYLEAIQNFKISCLFVVPPIIINMLRNKDQCTKYDLSSVSS LYTGAAPLGPETVDEYLKIYPGVTIKQAYGLTETSTVVCSTHPEDFLLGSSGCLMPGA EVRILSPEGQEIKSYDTPGELVVRSPSVVLGYLNNDKATKETFRDGWMHTGDEAVIRQ NPKTKSEHIFIVDRIKELIKVKGLQVAPAELEAHLLTHPAVADCAVVAIPDDAAGEVP KAIVVKSAEAGPDDAATTQAIKKHVEEHKARHKWLKGGVRFIDAVPKSPSGKILRRLL RDQEKEALRKSGPRL ACHE_21280S MNRTVYIDMARPVRKPRSPSGPSGPVRAGRITRARKTTPVSPIK IQAAKTVVDLDSAPPVDGEPPAWAETRPELCDALPWFRSVQGGVYHNGGFCWGFLVDA DCGIRSYIGDEVIITRVGGGCTKDADGNLVLLRDQDESCFMTSIINSKEHNVPVGIII GNRNVLLGRKLPHRYNVMAYFRVTDIWFERIGGKAGGKVRFEKIDLENKSWWAEKDSP PPSPIEKRGPDLKPEKLQCPECSQLSTRIYNEGWMCLQPSCKSFWAMEDSASPACLTF HPDFLNYRAAPDPSLQPHYSLVPNLLSTIHEHEFGTSLSRIAWRGIVCPQCSKCISRR FWRGWRCTDNVTTGPDCNACSFEKMMNIQPIPLRSVIDDFELGPIKRTLFFDPKFTIP EIDDSSLYPYRKLTYRIPGVGSLTHFVSNKAINSRQNGPNDLFQQLQISDLGLRRYPL QQSVVPGTLTAHFAVNFGMPYKYVVSVDSKGFSEAPDEILRALGRLSWATEKSVVDAG DPFLPPNELLMLGYFEDMRIGYHDDGESSLGPTIATLSLGAAATMYIRMKYKYYHGFS KVRKLLDDDPVLQGCRFESERSTLKEQFKTGEITKDAYDALRREVLFKHGRNGEAPPI IKMVLNHGDLVVMHGEKLQKYYEHSVVPDKKLRFALTARYIKPGHVDERELKKGEFML SPDQVYDGK ACHE_21281S MSYSSGRQAASPNRYELYTPQQTQPPPLQRMPSYDAGDDAGFFN SPRLQSLRTMDWDTRYGGGVNGETQGADAWEDRYGAGTSPRASHGRNLSQGSSRYQYA PSISTSRPYNPQEFALPPTPSQFGFNNVTYSSSGTLSNAGHQPYNPAAYQPAGLAGYG GSQNVQRQASTMASPYAQTPSTPLSFGLPSQQIPPPPPPRGPDHPYGSRPSVSYSAGS ADGLYGSGAAAPSQLAYSTTSGSSLSNSTAYASRDSITATSLGSISSRSYSRGSQGAA YVPQSPRRTAPTTYNELPPEPPAHSTPTEDIFAKRPSFSRPASGRSLPTPPGQQSPRR TDTLTRHPQSRPLPGPPVETDLDAAPAPLAGTSGIGDLHTGPEEEMNYDDLMKEVEAA VMDGRIGLAGRHNSGGSRSGHPQAIEEEVDEMLIPSPQHASDDRHTNGNMATGTGQYV NYDAYSDESDAEAAAGLAMLQMAEEEERAEESRLRERARRETNDSIFSAYAARSSDRA PSPRDDLYAHYDNGYTPQMHYGHDEYYDGPEYVDEVDDHDRVAAGVSGLYRDSEMSTD EHGDYSDDYDYQSMPTEPRYSINHARVDAGGTGGLAEPGAFTRRMSFDYGDEGDVALS QGADVYRSGSESSDRGDHREFFFHPGMRPLPPAPVEPMNNATVAPHLMPAGTYRRQEP IDPPSRTQLAQAYTPDSYEQAMPSPTQVPRSTSLSTHPIGPRTDPPIRSKTDADRIKY KQQQELIRQSQFGGLRADAFPQDSDPALLDLPTIPAARRKKFNPAKLSSDHFKRCAEP WALSAVVAWIREMGEGETDLKEHAIVDAIVALFTHKVPTMNIADAETLAARVVKNMLA EEALIKDEEWVKFGNGTLSGVLFQITGTGCYSSRLHEQETEVFGRCYSHHCMRTLKKV NLKAQMMEPQKKIEDWVTFYKVPKEVWESYPKKEIDRQNNLHEIVTTEDAYIGQLDIL RELYRDQLAAIQPSIITPKRLNKFLADVFGRVDSVKRANEDFLLAQLKYRQKEQGPFI VGFSDIFREWIRKAKATYVEYAGTFPYANFLVRRESERNVHFRQFLNQARDHKSSQRL SWDTYLKAPITRIQRYTLLLSTIYKNTVKDSEEKSNLAQAIEEIKQVALECDNKVGEM SKKVDLVELSTKLQLRPEMKKEVELNLEHLGREIIFRGDLQRPGTRTRFLVDTHAILF DHYFVLAKSVTARDSSRTAKFEYFDISKLPIPMDLLVLESTNDDPVVKSSVRGVSTVT PPHAARANTMGPNPLAHTNSTNSLVPSTVLESPKDDKILYPFKIKHLGKNGTYTLYAF SAQNRAEWCDMITEAKTKHAAALYAQNAEPFRLRVLADTAFANSDHHAGSKSVTIEGT PLDRAIKSVEEKYAGPGPRPLPICKTNVNCATVFQQPPGRMMCAIGTDNGVYLSEYND PRGWVRTIPIGRVTQIAVFEEFNAFLLISDRSLIAYHLDVVCPANGVSQPPNESARRA PQKLSGREVGFFAAGRMKDRLLIMYKKRDGLSSTFKVLEPVLQKSSSSKHRFFTHIHA RRSQTEFFREYDEFYIPAESYAINMFHSSLAISTHRGIEILTIDKKQTWSVPDFRSEQ PDTQAYLSSIAQRIKDLRPLGMFRLSDSEFLVAYAECAVYVNKHGDVSRSVVMEFVGR AHTACLYGKFLILFNEDFVEVRNAMNGRLRQVIPGHNVVCLDDGSSLPGSGAGMGMGM PAAGLGSGLMIGASGAMMGHTVKICMQHPEYERGQLVLELVENEGQKD ACHE_21282S MREEKRSCDSSSIASFFLPNGVHLNRTITSSSLVSTGTGTKPRP GIKNYGESVLLNRSIISISQEAPSSSATANHCSLYWCINTYSTSVKDNKVKETLLDTY HDAGARYYLGHLDLLLPAKGNSSASIFTVEDDASKPLTQWLVDKLQFNNTPPVWCSPR GTSMLEKSKETEFVQVLLNASIPDSFSKIAAGITKHVRSETSKFQESAIGSSGIEWNY SPLEPARGTSWTVQTQLHVRWAWITLPVVLAILTMIFLLMTALQSRKRGLDVWKSSTI PLLYSGLNPGIQRELRAVVDPMQTEGLACRVRVWLLKPENTSETWRLDAMDSMKRSND VWHEISTST ACHE_21283A MMQWSSIVSKAQSLIDPANFSLPALTSTDRNPSKSSLFRQQFRL PDSQNPLQEITAELILPIPHGSANRDRSRDFDRAGNRYVGRLHISERFLCFSTQPTSF VPSAAPSASTNWAGQTHGTGPFGNGFTLPLCCIRRVERLNSLSHVFSLALTTWNGALG KTQEPNFVPQRFTIELVGSRQACERFCDGLKKGLRECMKDIESLRMVVNDCYSEYLLS GAKSKTQDESNPAEVRQPPDAGLGMIFRYPGDARKLRDRSKMRLWGEYFRENGRNVTL VRQPTFHKLIRVGLPNRLRGEIWELTSGSVYLRMRSPKLYQETLAKFSGQESLAIDEI EKDLNRSLPEYPGFQSEEGIGRLRRVLTAYSWTNAEIGYCQAMNIVVAALLIYMSEAQ AFFLLSVLCDRLVPGYYSTTMYGTLLDQKVFESLVEKTMPILWDHLGKSDVQLSVVSL PWFLSLYINSMPLVFAFRVLDVFFLEGPKVLFQIGLAILRINGEELLDVQDDGSFISV LKSYFSRLDESAHPRSENPKLRAITRFQELMVVAFKEFSGITHSTVTELREKHKNAVL ENIESFAKRTSIRNLGPESKRLSMDDLGVIYDRFYEVLYDRQQKKRVIDEEKKRQEKK KIERTSVLGPPVDREVGRVGLGPSPTHMDYAAFQDFLAATCRWAVSDSSGPSRKGSTA EPNYMGFRGFNRSSSSLNKPDPADHEFMQRLYRKWATDPSEGLSLQNVVNGLARLKGP RDIMNNINYFFNLYDDTGNGTVDREGILRISETLLFLSRRGFEGTVAPSQPLEELNGG HDAEQIDNDKLSTEERFLGSVSSFIRRCFEYADPSHPENVKATAERADEAADKLGSFS IGEDEEDLIDVGGNPNRDSATDTPEPEKDDQEARNRAASESANLALDPNNPLHITLPT FRMVILADELLEQFFDSFFPTSFHLFDHPSHPASIASSSLSSNLTTFSNLNIPKPQFS ASSSVSVAGASGGIVPPGKGLRGVLDNIVSDGIRMAAEVKKRMDEAQRDLERSAVHRE DEDDEEDEEDEHHHLRGSETTPSPAIVGGISSWGAGAYGADPERRSVREADRDLLEGA EVVSVRGKDEASLLDSDDKQEEQGAKAEKTESHAQGSNGGSPKGSESPSAEHGTVVSK VVEFES ACHE_21284A MFLRSFLLGATALALPSSAVLVLPETQGIAPEDGISALSPLEAQ STQQQLIQLSCTECPFREVDGDGQVSWSDGFKTSLSLNFSVDDGLLLANGHQVFPPPP PTTINAVQRRESDGEESDPIPLGYALEIMPLPTPPEEEVELLEIRFTILDLDSHPVPL DTVAISLIHDRDGSIYMAKTDVEETAPNRVSWKQCRGKPSCLRKLLFDRMRDLFAAAK TRMLGMKSRLAGPKGCHGRPPFPRPMHHGPHHHHGDGAWAEGGPLHAGNHLRPSPPPH MHHFHHGGLNRTISRIFRFIVVPAVLGVLAGLLASALGMLFGQVVIFFWLRYRRSGNK QATANLEQGTASEKQGLMEESDDIPPAYVDEGVHVSDEKQ ACHE_21285A MSDSDDISRHNVPGFMEDDTHIVDADHDSVHGDDAEVVDMEDVP DTDNHGDEDYAVSDDESEPEDLAGMHDNSNRKLQSEVQRLQEELAKANEENEKKDGKL VKLEWSKGELARDTEQLNEQVKALKRELATKEFDFLSAKDSDNPLQDRVHHLSQELSE EREGNEEKAGRIAKLESDLELAEATFAKDTDRMAKAIEDLEKALAEEQHNVKNCRAEI KDIQRQKSDLEKDLKEAEEQRASDAAENQLLRAQLKSCNEKSKDMRQHKGEMDKAMNA TVDDFEKRLARANSDKDEYKRKYESAQDELKEIANALNDCENAYRQKEKQLSRSNHLL QQVRHEAMDTQTDSDFDGDDSRAPSRNDDLPQSTRLDAELENVLESETEGFYFIQDQS SDHKTDASQGDNADSSLNPNKSRFPGHGRNMIHDGSMSDSEDEWMGASDNEDDGFVEL SPGVGIDLNGESAIQELVDDEAPVSDRNKLESDADYEEDAKSIYESALLVNSTSEHEK TPVDHPRQPSGIPSNSRHLWTDKYVPTHTASYAMTQFDHSAYQKRETFEQGTQTEDMP RNLEPGSSEENHKRLISTLHPLLYLLYMLIILLGSMINRLISLEVTLRKQFDLPTDVE YVKARPRGQIHGAMATSPSQQDDTAQHRPEEPMTTSVQKAEGHLRRLVQYILLCSCVL GVLMVRLVYVWWSDDQWQWTTANRTPRCIPIEMLRGHGNEHDWVQVWNFQVVKILNDR VAHGG ACHE_21286A MAAETRKRFSGLYSMSSLVHYEAFVDDCADIFSRRLEEHADKRQ GLDMGHWFQCYAFDVISSITFGGRFGFLNEGKDIEGAMAALQKLMMYSTLVGIYPQWH PRLFGPLSRFSWSGAGGRAYIMQYVQGKIARHSEVKSDPEAGPGIQTQDFLEKMVLAR DKDPEKVTDYHLFMMGQSNVIAGSDTTAISLSAILYHCLRSADVLRKLRKEIDSFTEQ GRCSEKVTFKESQEMPYFQAVIKEALRMHSATGLPLWREVPAGGAKISGRFFPAGTVV GINTWVAHYNENIFPDAKAFRPERWIEAENCPERLKVMNDMYMPFGLGSRTCLGKHIS ILEMSKLIPRLVRDFDFELVNTERDWETENFWFVKPVDFNVSVKRRQSIKK ACHE_21287A MHILFLLLPPLLYLLLHLYKSLTSPLLTLPGPFWTRLTKLWYFN RVRSAHFETDNINLHQKYGPIVRISPNHYSIADPAAVKTIYGTGTKFTKSAWYEGWKH PSPERWTLFPDRDVRRHGKPCFSLDMGWVKFMVVV ACHE_21288A MPCPITVSKFVGTVSLGLLTGLSYATTTVTIPSLKLLPTSTSAA RSLAEAKRLSRSHSFRLTNIANTTLLLAYLVSPRHRKHPYLVWMCLTSALGSYGVDYW FNRQAGIKNWVAGIWQESCCARLFGKGAQGKKEEDIVVVEAEEGGVNGERVQEEMEEE RVAHLVRGIVSGVALAMGIVGLWGDRK ACHE_21289S MSSKLDYISDTWKDGIFANKVVFCTGGAGTICSAQVRALVHLGA NACIVGRNVEKTERVAKDIATVRPGAKVIGVGAVDVRKLESLHDAVARCVEEFGGIDF VIAGAAGNFLASINQLSANAFKSVIDIDVLGSYNTLKATLPYLVESAKKHRIDPNTLK PSPAGTGGRIIFVSATIHYRTMPFQTHVSVAKAGIDALSHSVAIEFGPLGVTSNIIAP GPIAATEGMDRLLPSDAKQAYIKSQPLGRIGSVRDIADATVYLFADTGSYVSGQVLVV DGAAWRMSAGGGSFGKLEYPDFLLSGDAVPNVKGSKEKSKL ACHE_21290A MSPELDFTALDWLYPPSLEPDITLAERLEYLAYFTSARGMATFL DRETLRRKQDMISEYYNTIASTINEEEYDDNLLPKSTEIISCLKSTVTTDISTIVTAS IPSWTPETNHAAVEFFSAPSIRRFLTFFWALWYPNCPIVHRPFFNPANSSPALLAVMV IIGACMSPNEEDGKRARKWIDPVEVLTFRQEWFTYAEHSPEPGDGKWKKRLQCIQTAY LVCSLQKREGSIEAQGRVRRYRHAMMVSLAREIGLSTGTHPRSPPTQASDGWWRRFAA EEEMVRTLTYVFLFDAALTIFHNSPPRMVVSELQMDMACPEACFQAESAEECLFELKK WSNSVFWRERSSVASVVRRMCQPQPQVQASEEQEPVLGFSQMGTLNLFTTVQCLHSLI FHLKNSLITLPSPYTPLQTGLENWRLTWNKRVPEDSHIPHRPDTLWKQIGFARYAPEF WQLARILVMRLACSSESLDGGEGEENGLELGIYGNGDRERRAGERYDHTDMEDVNGLI EEYRRMSLGTCM ACHE_21291S MASSARIFIASIHWNDEEVLRSHWNNAVLDLVRALGPDRVFLSI YESGSYDNTKGAIRELDADLEDLDVPRKVVLSDVTHEDDIQAPDAEKGEGWIDTDEGE RELRRIPYLARLRNQSLQPLEELARVGVVFDSVLFLNDVVFTPGDVLELLDTNGGDYA AACSLDFSNPPSYYDTFALRDSHGNGHVTHSWPYFRSSTSRRAMKVLAPVPVSSCWNG MVAMPALPFYEHLRFRGISDSLAQSHLEGSECCLIHADNPLALHRETFLNPRVRVGYH GSAYDAVHPVTKWLSPWQIFKGLWANRVLRWMTTDAFKEWRVRSRVRRWEESDGYGES LEPGEFCLINEMHVLKPWGWAHA ACHE_21292S MEPSPPKDKKRSRIESSEIEPNIAEETLRDSISLYPKIIFYCIG LSSSFLLSGYDTVIVGTVTAIPRFQQDFGEPFEDRHIIPSVWMSLWSALGFIGSIIGA AAAGPWQDRSGRRWPLAWGSAISAAAIAILYISNVPVDINTRRGVFLFGKIVQGFAIG VVTAVTQTYISETVPTSLRGPTMALFPTFTQLGQLVGAIAIYVSSRDTSSNSYLVSLA SQWPFSAVPFAMALLVPESPAYLVRRDRSAAALRSERRLHISKIDVDDVVEQLQQSVG LDREWAQEVTYRDCFRGANVRRTLIVVFSMVIPVLFGLPLLSNASYYLQVVGMSDQYS LVFLILGIGLGLLSNGIGVWITSRIGRRPLTLSSLGVTTVLWLSMGIAGCWNSVVTIW YTAVTLMMIIIICSLGAWPASYAIAGETSSLRLRAKTQGLGILFHNLANIIFNLILPY IYNPDSGDLRAMTGFVYAGFCVLAVVGTWFGVPEMKGRSVGEIDAMFELRVRTRDFVR TRIKWGFW ACHE_21293S MNLNALSLITLTLTLTIAHALPSDNGIGPIIIEPVDERTNRFVG PGSDLEKCGSDTDCQFLSCAGGMKPKCEVEAAIP ACHE_21294A MFKLRSDAPKNGVKLPPWLDHFNARDLQTLFRCSVAAWVATLLI FIGPSLAVIGQATFFACLVIFIVPPSGIVFIFMLATVTMLLGICLAWAWGVITMKAAL AARPASDTQARLQALQQTVVSRANATGEPAAAIQQELIFDGFMLDARVTVIYFVMICL FIYFLARLRAKNPKFALVQVFGTIISDLFLVIAPMLPSFSGTLPKTLIEPAAIGVGLG LASSILFFPQSTSSTVLLGMEKFIQLARNPVDYTQTSLSKESDDYSLEDLVKIKGQII AAYKALEPAIGFLPLDFSIGRWSPEDIKSLQQPVRNMLLSSLSLLEFHIARVGGHAKL QKLKEMPSDKHDIDEKGLREVGRRQLTDSLELMQAIQSPEHESLQSENVRTLKQSSSE ILPACLDAVTTIVECIHLVNKNRWIPSRSREQYEKLLDKGPKILESLRKARSAFATNT TEALIQTHGAIFDGEGNLKPVDALVGHSVRGIMTGMVFEERLLSVTDALDRLLAHTLT LLWERPKTRLWFPTSLRYAAAWISRKNAVAPIPEEQAAMTDPDNNATSTDEAQQLLRI SRGYRPRQRNGFAKAFLGTYHWFISAEGSYALRMVVVTIALGIPAVIPTSAGFYYREK GLWGLIMAQTTMLVYMADFTFSVVCRTIGTVVGGVLGLVAWYIGSGHGDGNPYGLAAI TGAIIVVLMWGRLFFSPALLQATIMCSATFVLTVGYSYDDTHVPQYGNPGVGYNVFWR RLVLVLVGIAAATVVQLFPRPPSATRHISHTLSNAIRSLSDHYALLLTCWGHPEHRET GQIAEQISIDLAENLTTLTGPIALLRFEFSSSRFDSQTLHQVQSICHELNQNLGRLLF LSVSLPLDLQSRFAHSFGILDHRQIGDAMAVLGVVEQALKTGDALPEVLPTPLLKRSY EYWMQRSGEFKLGVDLVRDENYRKYCVAVSSYVRFLGVVDELVLVVKKALGEVHVISR EVWNV ACHE_21295A MADDDTPNKGDSSRKANDRLTKLSSQIKPNQKSKSKNASNLPAD YSDVLSQINALREIASTPNPNNRGYIRQKQAGKLWVRERINQLLDTDTFEEIGSISGT VKWRKTGPMRETPESFIPSNNVQGMGKLRGRKVLLTVDDFSIRGGHADGSTAEKTIYL EKLAVALKLPVVKLVDGSSGGGSVTTIRKEGWSYIPHLPMYQHVVRQLNMGIPNLGAV VGPAIGLGAARVVSCHFSVMAADIGALFNAGPKVVEGATFEEGLDFQDLGGPMVHCTN GTIDNLAANEAECYEQLRTVLSYLPNTGTEAPPVIACEDPEDREDLGLRQIIPRRAAR MYNPRTVITSVVDRGSWFEIGSLWGRTAIGGLARLGGRPVGVVSLNCEVNGGALDAAG SQKLTRLLKLCDVMNLPILQFIDVPGYAIGTVAERSATMRWGVELAKAYFTTTTPIFN VITRRVFGVAGGVMIDSRDPVMQVAWPSGQWGSLPLDGGIEVGHRHELREAEKVGKKE ERYRELEEEYLRLMNPVRTANAFGVEEIIDPKDTRGVCCRWAEGMYGELMRERLRNRA IGKIQPVFA ACHE_21296S MAPQRPIKRLLVANRGEIAVRIIQAARELSPSIETFALYTPDDR SHCQIGRPHHTLEIPSVATYLDIDLLVDLVKRHSIDAVHPGYGFLSESAEFVQRMWQD ARAVVIGPGWETLARTGDKLQAKELAAECGVPVLKALTTPTADLNEIRSFVKQVGFPV MIKAVDGGGGRGIRLVWNDGELDNAVDRAIGESPSRTVFVEKAAVDGFRHVEVQVVGD GTGNARHLWERDCSIQRRFQKIVECAPVLSKNREFVSRVIDAALKIAKTIHYQSLGTF EFLVNDQRSEFYFLEINPRLQVEHTITESITGVDLVQTQLLIAQGHSLHQLGLDYVDL KDFPPRSFSIQLRLCAEDPNNNFSLGIGKITEFFVPSGHGTRVDTHVDTGYSPLIVGS NFDNLLAKIIVTASSWEATVRKAQRILADTRIAGVTTNINLLRGIVAHGDFMAGAIDT QWLGSNLGQTLQLGETVSASLRKDSTLSSPGSQAISMPSSNLLFRKGDAWSITLEPIQ KGSSQKGQETRHHLQLSRVLRNEFPFSLTAEIEYTTPAQSATPYRMQLESTSTSASAL VSSSHRRADPRNPAHIALPLSGKLIEVLVSEGDHIAKDQVVAFLKQMKMELEVRSPRA GTVKWAFEMQGDEEDVAEGILLAELEEAEWGPVDVRGKL ACHE_21297S MPQVWLVTGASSGFGLELVKIIAGKGDCVLAASRTPEKLSSLAS DNVKPVLLDHTEPLDLIQSAIKDILASYGTIDTIVNNAAYVQTGILEEVSPEDSLRQF QANTLGPLNLYRALLPHLREKGTGTLVTIGSMAAWYPMAGCNLYNASKAALRWLAIGL AGEVAQFGIRHCLVEPGFFRTGLLDPSANIAGTDKESRLDAYADLNSTIETNFAAFNG AQLGNPVKGAQIIYDVVTSSGVAAGKELPELLPLGSDASAEISKAALKVQASVEEWKD ISALSDIKSDIQ ACHE_21298A MAQKQLSFLPADLMECQLSTVDLLTAMFPSSGELDIPESTTQCI EKLRDWCQDPASELSGIPSSLLLAVCLPIADGEKAIQVNVSIPLQCENPAIDQPPSLN YSLRQPEWMSKAEVAGLVAAMPQDDLFGAFEYIQEEASQFLERQTQQALSAETEAIIS CGPIVRVWFYFPSLSTREKRDDMVNNAPGYSLTGFVLAGKPGMLCLEGASTDIDAYMS FIKTHSWGDIPSHQKKVSERFRETDVQRVFSRMEEITDSLGERGGKRANRGDMQALEA WLQDRGLQEAFENVIF ACHE_21299S MTKDTPVSVSSDTDNVSTQPPPKRTWYHTTLFNAFIIGGVGFMA PGLWNAMNSLGAGGAESPFLINAANALVFGLMGFLCLFGGPIANRIGLNWTLLLGAVG YPIYSAALYTNNRYGNEWFVLVGAVACGLSAGLFWASEGAVALGYPEPAKRGRYMNIW LWFRTGGPILGGAIVLGKNNSANAKSKGKIDPKTYLIFVALQCLSAPLAVFLSPPEKV QRNDGSKVKIIIQNSWRAEMRELFKLSMRKEVLLLLPVFWAAYFNQYSSNFETYYFGV RARALIGFVVNFANLFSSGIISRFLDYRGISTKNRIIYSYFYVIIVHIIAWVYGWVVQ EQFTATSPVYDWSDKGFVKGLFVILLWQFSQQALQNWLYYLVSTMTDNISELSRLSGI LRGQESFSQAVSFGLNTRDWHGGRVPLAVNTILLGLAVLPTWLVVQGHTPIEHDKNEA RSESRDEERGVVQSVNVAGKGI ACHE_21300S MSPFNSLGIEYAVSHNPSEKEQVIFDYLITYLPADSSITPEEAV HHINTLFPEDHDATGVSLLYQFWQLVYCIGSQLDYQQEPMQRFVALLEALEILPDILQ GGAYPGRNSHANRIYTCLAFGEQWNSLRDNKEPSAKSARHWQNMNGLQAYMGTRGLGD SSLYALETISMALEDEEMKEPQVRAAATWFTLRALSIYWFCQKRKGQHCDKRGALWTG KPEEGYSIARWMFWRRRLAELRDRPDVEEETTHICRDATQAMDKVSKQVNSIRIVEKF MALLQRMFDRKE ACHE_21301A MPSIHPLIDNGITKGDPNFSGGDLYCHCPSDKVKVTLKSNVAHN HACGCSKCWKPAGSLFSIVGVVPRDALSVTANPQKLITVDNTASIIRNACKDCGVHLY GRIEKDHPFKGLDFVHAELSDTKGWQEPQFAGFVSSIIEQGYRPEGMGEIRGKFKENG LETYDVLSPALMDLIATYTAKASGALSANL ACHE_21302A MASSAGKSIVCKAAVAWAAGEPLSVEDVEVAPPKAHEVRIKVLH TGVCHTDAYTLSGKDPEGAFPVILGHEGAGIVESVGPDVSNVRVGDHVIALYTPECGQ CKFCKSGKTNLCGKIRATQGQGVMPDGTSRFRARGKDLLHFMGCSTFSQYTVVADISV VNVTRACPTDRSCLLGCGITTGYGAATHVAKITKGSNIAVFGAGCVGLSVVQGALKQQ AGRIIVVDINDAKKDWAFKFGATHFVNPYKLVKGSVEEQLIELTDGGCDYTFDCTGNV AVMRAALEACHKGWGESIVIGVAASGQEIKTRPFQLVTGRVWRGCAFGGVKGRSQLPG LVEDYLNGDLKVDEFITHRQSLANINVAFEQMKQGDCIRCVVDTA ACHE_21303A MLETNSTPFTRNMGILDLPDELLLSIGEYVTSESDLNSLHQVNN RLYRIFDEYRYKYNAKHHEGHALYWAARYGNATTARKSVIAGTSLDPRPWPFTWLREY PFDRHPSIKKHNRGEYWVEDPLTQTIMWNQPGITKMLLELGAYQKSMGVNSAGQPERL ILWAATRNRVSALKELLRHGVDVNTKNELERTALIVAARKGNFETVEALLENGADTEV PNMSTGWRPLHWAARLGHLSVVKLLLDSGAEIDAQTSRSLDTPILLAAKHHEFDALEI LFDRGANLELAGKENRTVLFHVVRKGSENLTRKFLGRGARPDITCLFEALSDWERDDH YERAKLLLEYGADPNAPIHTPKGPKYLLPWVLRQWYLNVSVIELLLEYGVDVNITGPG YFPPLLSVVFDVNASNEKGETPLLICAAKGNVGIAELLLNHGADPNINVKGQNLLTRA AKWGSGDIINLLNSSEKT ACHE_21304S MKFFSGLSLTLGILASGVTASPPVGTVTVQLANDQSGANANVKV PTDNSPHPIGTLWAHTSVASGSVVSASSAQLNQFNQDTVCKIVQAHSHVDATLNSRQT WVSLTDGNVMDLTHGFIVCH ACHE_21305A MELVLTHPEAPQKGVPALNTSEKKEASWMQTLSIETGGIQRVTD EERQVNTTKFWNACTFWLSANMAVATLNTGVLGGSMGLSFWDSFIVILIMNLFSDLLP AWTAAFGLTGLRMTTFSRYSFGYWGNLLVVVFSMIATTGWNAINSISGAAVLDAVSDG KCPTWAGVIIICMTVWLVCILGITWIHYLDSVIWIPLLIVWCVAAGTGAKHFTAAEVK KFSTHEDHAAAILSFMAVIFSFSVSWVNCAADYNVRMPVDTPRWQIFGATYVGIFVPS VLVQSLGAALYTGTITGTGWQPAYNEAGVGGLLKMALEPAGGFGKILMVLGALSAVPN NIPNNYSFALHAQNFGPWALRIPRIVLVTFGFVAAVIVGCCAAVYFRDTLQTFLSVIG YWTVIHITVVAMEHVFFRHGSVGYDLDAWDDPKKLPFGWAAIGSFLGGFVGAALGMKV AWYVGPVAALVGSGANLGHELTFGMCAVVFGSLRWLERSWGGR ACHE_21306S MEQHTLRRRGLALRGVDHDRVSPGYVLYTPLTSRTVHLVSTSGE ELHRWTLPYRAGRHARILPDGNLAYNGVHPDPPKMFPMWAKYRGGVMMQVSPSGEILR EYQDPRAHHDQNHLDDRTLLYTTLESLTADEAARVQGGIPGSEAPGGTVYADCIKLVD PWSSSTRSSAADFEGSGTGGAKLLWSWRAIDHLDPQRFPLLADYPREHWPLINSVSFD SAGNIVASLRSTSGVIIISRQTGQILWHLAAPVVSQQHCAHELSSGDILIFDNGVYRS GIPVPFSRAIIVSRATKEIVWEYKDRSTGGIGFFTPFMGSAQKLASGNVLLCEAATGR ILEVTETETTVWEFVVPQLNDYKGVMAERELHEMEQMGFAYQSNAIFRAYKYRPEEVP WLKAD ACHE_21307A MIPTPGSWTFINAEDPELNWPRLARLEQTIGALLERLGEDSTSI TQPTTHPSPTLPVAALSSNGTGTLSKSDYPSAPPVMVIRDLASDIGVKSPDTSSQVAG LDGLIAPDLALSLFTIFLEHYGRWVLLDSEGDPKALLRTISRSPLLLCACFLIAVRHT TEALANSLAPKLYQYARSLISAALLVAPQPIEFFQAALVLSMWSTTVGQVPLSIDSWL LSGFSLQHSQSSPLFDPVNNAGPATQLSKTTLDNWCLWNHLCLVHLHYCVGTSRQSML HASQIARCRAVLGSDHATNYELRMVAEIYLYWAVYENISSNALDLLKSLARLQDWKKE WQFVLLQPRAQFLLMGFHFAHLLLYDQSLKTKTARARESVLSEIIRHSTHIIHLAIDT TDERTRHLSDHIYHMITFAAIIICRLLNGYAEQLSLNHNIAELDSLILRLVAWLQSIG LPCHAAHTLGHVIARVHQKVRPGAEQPQRPEQNDNWLGGDLLSYFPEFLGVEPTADGN WDLLPSWGFSPG ACHE_21308S MSPNALESRQGYFAHILRELGLLTVSQSPSDVKLLIAQRFVRLF AYGGSTLILASYLSALGISDDRIGLFMTLTLVGDVVISFFLTLFADRMGRKAVLILGS GLMAGSGVVFGLFGEFWILLLAAVFGVISPSGNEIGPFRAVEESTLAHLTPDDVLPDI FAWYSLLGTGGTALGIMTCGWAVHLLQELKGWEFTAACRVVFFIYAALGGLKLLFTLG LSGEVEAVAKNPTEEQTANETQPLLGDQSTSSAQAQHENPSRKGWFGISLDKNLIPLI TKLFGLFALDSFASGLASMSWMTYFFRNKFSLPEGNLASIFFTTSIIASISMLVASSI AKRIGNVKTMVFTHFPSTIFLSLIPLPNSLPPSLTFLILRASMQNMDIAPRSAFLATV LPADKRTAIMGAVNVVKTSSQSVAPFITGVLGARGHLGVAFCVAGLLKGIYDLGMLVC FAGKDGKKDRGGEEEG ACHE_21309A METSPDKRGPETLGQHVGLSETTPLIEHGVDSSRYHDQHYEPES NANLLGASAPGVARIESFSSRLSAMEQGYILTGILIIGWSYGLDGLLRSTYQSYAASS FGVHTLLSTINVLRSVIAAAVYPPAAKLADVFGRFELIAVSVVFYIIGTVIESTACSI QAFIAGATLYQTGYTCIILLVEVIIADITSMRSRVFFSYVPALPFLFNTWISGSLASA TLKITDWRWGIGMWAPVYAVSATPLLVGLYRTSLYGKTSGDSHDETLQSTLRVKAVEI YHQLDIIGISIMMAVLILILTPLTLAEGQLSQWRSPSIITPLILGCLCIPAFIYWETY GAKNPFIPGYLLKDRGVGTALAVRCLLNIAWAVQGNYLYTVLIVAFDFSVSLSTQVSS FFTFFGVISGLIIGVVIFRVRRLKSFIVTGTVLFLISFILLIKFNSGTSQSAATGMLS AQILLGLAAGFCAYPTQASIQATTSHKHVSALTGLYLASFNIGNALGTCLSGVIWSEN LLPTLESNLSFQSNTTLAREIYNSPFEVVPSYPVGTEIRSAIIDSYGHVESVLCVAGA CLCVPMIGFACAMMNPRLSSGQSQPEAERDG ACHE_21310S MMDSLSLYMLTFNCARTPVDIDLFASHFFDALPHTDTSADEPLT PPELIVLCLQEIAPIAYAFLGGSYLDTYFNSFRRVIDQAVSKRWDGTTYDVLVRDNSG MTGLLVFARSDIVDKVSWIDSARVGFGFQQMGNKGAVGARLGYSANGQPGNTVDLTFV AAHLAPMEWAWEQRNRDWRSIVERLVFSREQDAKQKNVEAGGDSEESAGLLQGSSAER DGKDGGVFIPTTYLFLGGDLNYRTSNTSPLKGDHARFPRFNANPSDPQHYSQLLKEDQ LTREMRKARSFQGMSEAPITFPPTYKYTSAARKSAREAIYSGDPKIQEQIWTSSRWPS WCDRILYLDTPSWMKGSRKVQPHKYDALPLFPTSDHRPVALSVSVPLDAIQVPRDIDH TNDVRLAAPFAVDPEWKSKRDAARTKELLVGYLAYAGWTWEGNGYLLATMLGLFGVWL VLGSMLYS ACHE_21311A MGVRLGQLATLSYPIANTKRPHDAPSSVSPVANTKPLDTHVCST PLITDKKPLDTEVPGKPTARSSHIYINGSIYEGGGQVIRNAVTLAAVTSHPLTIHLIP IRRPGQKSLRDAHVAAIESHAEVNNSIVESAKIG ACHE_21312S MLPSSLSARDRLRRTKSTRSIRRTRQPSFSSEPFDPDLARSQAM AAATQAMRRSNNRSSMDYRRSYDRLGGPENVAVPSRRRLTETASPMDEPSPNLSMACY MENQDTYPAALPPINEFGGLDGRMASQPSSYRKLRKAKSMFSTRQRAAPVPYGGISSE QYSSPVASQEGSADNARPHGTLRRSMSFLKGGSQRTRSIRHAKSQDVAIQLARTQFAQ GRKPSLTTLRPRKEQKPFRKSFRNPSESITETGGTPVSERSKNGGAIHGKARSLSSSI KKGLKRVLGLSKPAEEQSQAPESPVVQNQWNYAHSSTPSSANQDYVPDSDYSYFNSPE ERFVSPARPPTLRSSRSSESLATSRSRVTSWADSTVPNTVTTKRAGDMNSLSIIDENG TPGGRSRAGSPRPNTSIEGQRLYSALMKHIGRTQAEVPDEQIVLGRVKEHRPIPERTS SLRKCRSKQTIRQIPSDESLHSRLSYATANGGAITPQRLRPRQPKCHVQHGSRYSQNK EVDRPDDTSSVRRQPLGSLYEAGEDSDGAGSVIVDRSKNVDADSPSIYSRTTSGDSPT RNDQAAGRIVEAKEEPGMAMVYESQRTVYRSPKRAARSGSTATVQPSADWQKWMNSQI ARIEHTPTREHYREDAQIHDDDETDEINALTRGMGSGSDTVKRFNPTISLSDTGEFSI NMNAPATNNFSRPFSRSSSVRSTTKSQNQYAADHRDLECGSSLSLRTSSRHQTPESPT PKRNTTESPQQRMATRQYRRYQTSRMPMGRDAKSVPFRSIRDQRGYGPVTDENHSPIS SKKMVDSFLDSRRRPIEMEMPGDDGTGAFI ACHE_21313A MNRLNRQFVKLRKRSADENDVGILLHDFNEADNLLSKLIEDTSA WRDGWSAILNHQERVVSEFKSLYAPIPGNTSGLQTSTTPDDVTSRTTKLHEDYVQLRQ DLLAELNAVDDSMIRPARAAKDYITPMKKTIKQRQDRKLDYEHYQSKYDGYAKKPKRS DRDNANMAKTEQELVNAQDMYNRADDTLRERLPRILEAIYSLLPRILAVQIRLQNTML AHYYTILHTFAEDYQFMSPPPPMDQVILEWEESHLPVREEIENFRCLINGKARQGSTA ANTNNPPSPPSLRAPPPANDYLSPGTTYDNDSTRPPSPANSYTQTIFSQPRNSIDTTY TIPESPETGPKSPSVYSTSTNTQPHRPSRIPSTSTIPTFNTPDYNNSNSPPKPLTPKP SSSNLSTTSFHTAKSSLSPALSSRASSISGVSATTTPMSTGYSPAGPSADYFTRQAAS KPTTPKPALTTSSSKPQAPVCNNSIDQRISSLGAAAAAAATKKRPPPPPKPKVHLVTA LYDFQGQSEDDLVFYEGDKIRVIEKTASSNDWWQGELRGVKGYFPANYVQ ACHE_21314S MVSGSPSLTVPLTQSTAQVLKFRCLFTHDLRRKAKRWQDGFVRY HTFNKRVMVFDDQGNFVGDLHWRQEETIQDGDELELDKGVLIQVGESMEKTETDLSPL FERRKASQTSPSQPSQSQMRNFPTRLSQPSSQPSRSLNDLLGIRRTPIERFRSPHEQR PTPRPIQIPEESRPAKRQRTVPSQPAQRPAVIDLSGPSSVRAPTAKEPTKPTSRPERA QPPQPPPTAPNRPTPTPPITQPRAGKVPEEPSPASIRPTPAQPATVQPRRNPPPVSAR LTPTPTTSHVTRSEQTQTSENARPSAHSSFSSDSTAATPRNTLQLSREKPRKKLMYRA LLPDQADASETWPSEQREPQSVCDNVDSREDGTNRFREAAKPVDPGPVSTDVQMTSGD STLDVLAEMIEDSPFESDVENETNAVKESSNQATKPALLKNNLPRPAPPDPCPEIPPA AVNHAARSIAIPPTPAKQSSRGFQKSYSDPSAFHTVNSIQSRQLPSSTLELLAEDSDE DEERDEEQTRDQVQEQGPWTAEALDLFDFWPPERPRPG ACHE_21315A MSTPSTKDRIQSLTEPAMILSMAVKAYIHVLLESIPSGQISTLL IHPQTLRDEAFSRFWLGFSSTPTRSNPAQLEGSAALIPPLLSRVSGTVLDVGPGTGTQ MPYLSARREDVKGIYGAEPCKGLHGELSARASMEGLDGKYHVLGCGVSAGELFPELRR VGLLHAMDGDGGVEEQMRRIKEKGGVFDTIICVRVLCSVPDLEQRARELYALLKPGGQ LLVAEHVVNPWRTPKGSFIARIAQGVYELLGWRWFMGDCCMVRDTEGALKRAAEGDGG WEAVDMERSFGWSALPYISGVWVKK ACHE_21316A MKLFPFPTPKLLTPRLQIVRYRHTHFNMRHTDPLPVVRNPLILG ARSIRNIGINTGGSILEHAVSRLDLVYLTLAVLATSTVFDLGLKIVEVLWVVAFSRIA YQVKVWVQVDLVLCFWVINQDADLRVARARYVSSAAVSGYQIQ ACHE_21317A MTEETAAAPPSDVPQFSFKKRSAKAKANFRKKPESPPPAASDSD SDFTSDDDEEGRKVKRRRKNVAVTASSATDANSRKVAAADEQPTGTATTTAPLNQTND ATKQSNWYDEEDLSEKNLLGTTRPKPGSSAAPVGTYKGAANYQSFIQKNPNAPNKQFG PIKAPTNIRTITVMDFAPDVCKDWKQTGFCGFGDSCKFLHAREDYKQGWELDRDWEIG TKGKQLGGKVVSARKGGAAHDNEEDDEDEEDEFLESIPFACIICRKPYSNPIVTKCGH YFCESCALQRYRKSPSCAACGAGTGGVFNTAKKLSQLLDKKRERARKRREQAIAAGEE VSSEEEDEAEDS ACHE_21318S MSTSLYGNGAEDGSPTGGGFAMNDPFVSNPAEGTGPRESHRYSS FDTQLYSLNAASPAQAKRALEAHLAETERRLEEASKLGTALIEQQRELEDKLKEVEQH DEGEIGPDLRRKLADLEREYNEIGRESARAFLGPKRMGADGHLGTPSADSKSPLTATM FADQATNSPSKVSVPSRKQRNQPSNRVHDIEFATEISTSLLAQVRQLQALLAEREDAL KTINLEKSRLELEAEGYAQRIRALDESEERYKDENWALETRTQELMATIREAADRETK LNSNLSALTNDKGLVERELEDIKQVNARLVEDHMMAQKANDAEVHQLRRNLTSGDAER VAMQQKLEELNSQNEELAKAVAMRLRQHEENTRQIPQDNDSDDQGNETPDNSPPPSPN KFTPRHNQLETETLRSSLGHAHRMIQNLKSTIHREKTEKIELKRMLQDARDEVEQKRR EAATAGGPSNKRQKTKPENLRKAPRPDLLGAGRKGKTEIEEVQESDWEDKSTSSSPPH KTPPGPGRTHPAERSSDEPSDYQTATEAYDSFDTANERETTTESEAFQTGVESMADSS GSDTEELTETEETVRRTPRSRGGRMSSLMMGKTRDRSPYHSTASTSTSEDEDAGFSSP TQNHTPRHRLRMKRSMVRKVRPSGEAPMAFNSRPSSARNSPATSFVQEEPAAPEGQSL FAELAELDGDESEETVDANFGQSASFDATSQASTPHMAPAPDSRRPSEAALDAPAKPT MVDSGVMTDPWEPTPAEAGTASVAAGAGAVGGAALGSAATAADSETTGKSKEIPAMAS SATQGTLEADGEQTSTNVQMSDEHATEADELERGVSASEPPQLELSSISTQETAPVSP AFPELSTSYIVGGTMDPVEPPAPELPEMLVSSIYSQSTEPIQPLAPAMPEMLVSSIYS QSTEPIVARLPEPEVYVPEMVFSSVFSAATEPVVATLPEPEPPVSLADRGTGTDLPEL AVSSVMSEQTEPIPAKLPEPEPAPVPVPVPIETTANHGTAELAVSPISSECTEPKMPT NRDVPALVIPELSFSTIRSVETSPVEFLSNGTGAPAPIVLDEENVPPVESRYVSSGVM ASEDDKPGNAAKATGEQARPLSAISGNATRTHRRTKSNLADQGAQTILSSKQIDQLLM DRVSTRPLSPPDSDRTKELGVSPFATPKAKTRPTHRPSATSLPSGFRRPDSSASHVSS THSHPPLPADHREAILAAEKSEQRPVSPSVMGPPLAPASAYRYHGTPYQRPRTPNEQG VQASSSRATSSRSKLRRESQGQVSRRSSVSSFASEIEERFNMYPNGGGAMPHGYAEGT DPRMIQAITQTMIGEFLWKYTRKNISGEMSSTRHRRYFWVHPYTRTLYWSESDPQHAG KSELRTKSVPIEAVRVVADDNPYPPGLHCRSLEVVSPGRRVRFTATTSQRHETWFNAL SYLLLRNSDENSEEPENSITLEDIDEFNPGFRASSHQTQRMSFSSSQSRTLRNNPPPK QRAASAMSMRQATTPGRESPALSAHSQNSPFLVPDQSRQGSSSRLSTILNTTIKGSFG RKGRHGYSSSSVHDGSVNEHVHEHPSIDDLRQTIEREDREMDGLENVRACCDGKHDVS SLSRTSKYSPRVNRIHSHH ACHE_21319A MLWPILAGLDAITISPILRHPCVDCIHDAQSPKALISKLHYKKA RRDCRLQTIRIVKEANAVSDDPTIAIDFNRVGVYLTPALFRQLLLGSEPRATKEEIKQ TALKYEEVEAKTGEWEKPSRN ACHE_21320S MRCGLRLLCCGLLVIFVPLYLLERHLHDLYNQYHAGDYVVDWWK YKQPSYKDVAAQTTPGDKVIVMAKLEEEQTNWVEEELPDWQRAIYIVNPSSTDEDILT TPVNKGHESMAYLTYVIDHYDKLPSTIAFLHSHRSGFLMAWHVDAPLHDNVAAMRALQ TPFVQQNGYVNLRCNWNPGCKENHRHNKHITEEVWTEVFAGTSTPPLNASESASIATD LRFGQDQKYMLMPQFVGTACCAQFAVSRDQVHKRPREDYVKIRQWIIDTDKSDAVSGR VMEFLWHVIFGMESVYCPDEELCYCQVYGQC ACHE_21321A MAQVKVLFTGATGYIGGSVLTALITSQNSTIKNGIEITALVRKQ EQMQVLEKADVKALLCNGLDDIAQMERFAKDYDMVIHTASTLHTETIQAMIAGLGARK RDTGRDVFFIHTAGTGSMADRPITGSYIENEDRIFSDKTENIYAYLKSRQSTENLPVR RSDVAIVEKSRALNVPTYILMSPLIYGIGTGLFNKQSIQIPAMIRGALQAGRAEVVGD GRQRWGNVHVADLGRLYELFVGRVLAGENMPAGERGVYFTIAGEHRWVDIAEGIGRVG RALGILESEEVRYVSLEDAAGKWLGGNPVFTETVFASNARARSDLARELGWKPEFDET HLQSAIEEDMKVILGRTTA ACHE_21322A MADDKRDEGIYVEEEEEEIDESAHKSVKDAVLFAIEVSDSMLTP RSSSDPKQSTEESPTTAALKCAYHLMQQRIISNPHDMIGVLLYGTQASKFYDEDENSR GGLSYPHCYLFTDLDVPSAREVKDLRALAEGEDEARDILAPSTEQVSMANVLFCANQI FTSKAPNLLSRRLFIVTDNDNPHSNNKSLRSAATVRAKDLYDLGVTIELFPISPSEQE FDGSKFYDDIIYRTSPTDPEAPAYLKSDTKTSMAGADGITLLNSLLSSINSRSVPRRS AFSNIPFEIGPGFKISVNGYLLFKRQEPHRSCYVWLGGEEPQIAQGISTRIADDTART VEKQEIRKAYKFGGEQVTFTPEEQLTLRNFGDPVIRIIGFKPLSALPFWANVKHPTFI YPSEEDFVGSTRVFSALHQKLLRDKKVALVWFIPRKNAAPVLGAMMAGEEKLDESDVQ KFPPGMWIIPIPYADDVRQNPETTMQPAPESLIDKMRVVIQQLQLPKAVYEPLKYPNP SLQWHYRILQALALDEDLPDKPEDKTKPKTKQINKRAGDYIEAWEKEYADLAAAFSAK TTFVKRGAKAAEDGHATKRAKVDAGPKGSEDEVLKHYEKGTIAKLTLPILKEFLTNHG RSTAGKKADLVDRVEEYFEKKA ACHE_21323S MAFRPLPRALNSALSGAHPVTRSFLGQRAGLATAVPPVTQDATS SNGPTAMVFMNMGGPSTTDEVEAFLSRLFADGDLIPLGRLQPYLGPLISKRRTPKIQK QYAEIGGGSPIRKWSEYQCTEMCKLLDKINPESAPHKPYVAFRYADPLTEEMYTKLLD DGFGRGRGGRAVAFTQYPQYSCSTTGSSLNELWKWRNRLEGKRANGDMDPTGTVQWSV IDRWPTHPGLVEAFAKNIEAQLATYPEEKRSSAVLLFSAHSLPMSVVNRGDPYPAEVA ATVHAVMQRLNFSNPYRLCWQSQVGPSAWLGAQTSDTVQEYVKRGHTDIVLVPIAFTS DHIETLYELDLEVMEDAGHLPGVKRAESMNGNPVFIEALADIAKTHLRKDEKCSMQMT LRCQGCRSERCLEQKKFFAGEQGASLVL ACHE_21324A MARRVLLTGGNGFIGSHILTQLLGHGCTVCCAVRTQEKGDKILR DFAAQQSQISITIVPDIVAPGAYHMAVQGTPSFDTIYHTASPFTYTNVGSNLQFLEPA IKGTLNLLEAVKDNAPSVRRVIWTGSCASVIDYDNLVSDPARLYTEADWNPVTWEEAV HGDPSKAYRASKLFAEREAWKFMKEEQPAFDLVTLSPPATFGPLRHSITSIRDLNESN SRLWKLCFNSTKDAPVPYMPVHTYVDVRDLADAQFRAMTVPEAGNQRFVVCARQFDFQ DVCDILRSHFPELSERTPLGKPGTRSLPAGAYSIDNSKVKQFLGVEFRSLEETVLDVA RCMLDLERNEKQAHAT ACHE_21325S MPILYFPPARKRLFESTYCPPDCMIPTGDTQAYKLAPQKFRWVY NKLTVAELQGLKCGPHAIAPDEQYPIFSKPLLNLWGLGTAARVIETPEEYWQTIMPGH MWCTLLSGKHYSTDIAVAAGKPVWFSHSIGVPGPRQTFDYWEVNVAVEDYVQANLAAF VEAHLSEYTGMLNVESIGGKIIEIHLRFTSQWPDLYGPWFLPSLVGLYCNKGWTGPET QGQTGYSVALFDDEKYAPVGTTISSETLQEMEKAFDVSSIVVDYDPAVPLESWPRPAG GFRLAAINGFDLEKCMVARQIFQKYLHDMYNNQETVDVKTWMKDGTQVLHEQKVVAFD TLKANATH ACHE_21326S MPSTLADVPAYKAYLDRVPAGTLSLPLIKEGENEETIIHVDELF CRVEDCIRGKKAFPGTNDLRYHVKHYHNVNVARPGTGRPKPEAVKAAVSKYILSTSQA LCRHLQRITEFFKNIIEGPPSEPAPSPSESTSPEPTTPPGHTKPPFPLTKKGTVSTFK ALVLYLFDV ACHE_21327A MRQAQQAVDLTEPDQNRRNTYTFYHSVLSRFERQKGCLQQLDTC SPGVQYHREPDVNGELIPFVGCVHYLNNPRYHFYRRLLGTQLNVELLKGLFDNNINPM PEECSMILHQKTRRLKCGINHPQGSGALLKLGCEVYFYLLLPIDQKTCPYYIFLSQGV HQHPPPPLTKTPARIIQCLEKIIFNTLTPDMTTSTFLKSPALQQFCHDNNAFTLADVH QSLNNTDRVTAIIQRQKLLHFPEGQHYNGVAFEMQINPQIKEYIQAKYQDDSEFMLIC ALKEQLELLLTLKSFEVDMSYKRLKAAKLNEVVFTTYLPNHGKIITLVRVFTNQESPI GYYRLFKRVFQVIANVTGQSVCFWHIHQEGFQGIICDMDNKQTSGLGKYLYELDPSRT TEEHLRSTIVFCQVHFHRNIVKAVGNHPNQQGVRQRMAGLLTCKCMDDYYKLLDLLQA HETDNADNVFHWAQHKRDPVIAAGLNKHCSLIPSEHWDFIRNSTNTAEQTHNKSYAFG RQQLLLPAVKSAWILDKRDIQQYLGRETFSIFHANRTTNMETHYLRHMQRDFSRKRQH SFSSPTMDDDNIQLPSTSGIIPPSLRNEQSSPSVRQSSIRERSWSRQSSSRGRTPTRS SSSALRRVASANIEVQQAQLDIEKEKVEIERERLKLEQERVKLAREQAEVRQLELQNL ERERELYKK ACHE_21328A MVYNIVVHMRAKPDQESIQKLHAKLLEASAVYSKDHETLSWFVM QSVHDPQDFCIVERYLHESSQKYHLENPYWKTFDPYVIPLLEKEMDLRRFEELVPEQG A ACHE_21329S MTGMRMRTAERLKESQNITAFLTSFNEIDMSQVITMREQYKEEV PDKHGVKL ACHE_21330S MKSIGAASLIALLAATDAFACTGTTSLREEAGKKDILIGSGAIN PTYLDDPQFATVLAQQFNSLSPENEMKWSFLNPTRGHYNWDTIDRLVDFAEAHDMVVK GHGLISSCCNPDYLLNITDPTAFRAAMTAHFKAVMHRYDGKVDRWDVVTEALKTQGGG LNANDFYKVLGPSYINDAFRIARAAGPDAKLFINEGLVETLPGKRQELYDLVSGLVAD GVPIDGVALQMHVTEIAPKPGVITDMVNSYKALGLEVTIAEMDVHTLNATLQADIYGA VVGEALNAGITDISFWGFTDKHAYTWLPGAKPLMFDESYHAKGAFYATHTALTNFVSG P ACHE_21331A MLNSRDSSDAVFAFYRYDPSMGGAVLFILLFMGTTGYHIFQLFK TRMWFFIPFVIGGIFEIVGYIGRAVSSKESPDWTLGPYIVQTLFLLLAPALLAASIYM FLGRIILVLQAESHSLLKKKWLTKIFVTGDVLSFLLQGAGGGIQSSGGLDSLKNGEHI IIVGLFVQIFFFGFFIITATHFNWKLKKFPIPRSCSPDIPWRKHLNVLYVTSLLIMVR SIFRLAEYIEGNNGYLLHHEVYIYVFDAALMFIVMIIFNVVHPHEIGQLLVRVPGYEL TRIPEEQAIKTYDGYSGV ACHE_21332S MLVSDVRHASSVGEEVAAPNSQKARKRHRMRHTKSRHGCLTCKS RRVKCDEARPVCGACSSRGEPCSFPDKTGIPCKPNSPSRMREAGPRARSGGVPHDLQP YLEPLEADTAGTPQSVNEDLLEMGNLLMMQFYHLHTAKKMSADQKRARVWQRVIPHLA GRNRYLMHLLLALGGIHMITERLRHRTAEESDLSETVDLRAVMRHHQKGLEDFREDMA QISNSNAEAVYAGSLLLAGFIFASLQVPELNPNVTTANSVSVPHAKLAASDPRGLERD PGSMVNLEG ACHE_21333S MESEAPFTVGTDVDAQPRSRPASTKSPVQVHVDSQTPLLQGSSS PPSYEEPRLPEDTSDAPVTEPRKSWRSASIFWLLPFLLLYMIGFGGTVVPKVNLILTL LCRDYFAEKAAKDPNFTYLPVLLGDDNAQCRIPEVHSLVAQFQLYLNLLAGILSALAS PKLGQLSDRYGRTRIIALGTLGAVLSELITVIVAAKPETAPVNLLLLGAVFDGLGGSF TGAVALVTSYASDCSRPETRNVAFGYFHGVLFTGVAAGPFLAALVLKQGGRVYDLFSV TLAFQVLYCLIVWLIVPESLSEEQQQIAREKHRMKRMHEETNWISRWNPLTLIAPLAI LVPPVGRPSTFFPNRGGASRDLWRNLVLLSTMDTAAFGVALGTAQVVIIYAEYMFGWG NVESSMFVFIVNIVRVVNLLLILPLVARVFRKPATEEHSVAGSDMLDITLIRLSVVLD VIGYVGYALSKNGSVLTFSGIITALGGMGSPLMQASLTKHVPKDQIGQILGAIGLLHA ISRVTAPALFSLIYSLTVGKFTQAVFICLTGIFGLALICSFFIKPHVYLDIDDDVPGG NDDVHEEEREDDALLRA ACHE_21334S MVTKPTSSTAGTPAVPSRNALRLLRRLALAGSTVGSFCTVAAIT YDMHRRVRVAERIVENKRALQTSAPNYDATSAARRLARMMEAAEAGEFNGSESVREDS RKLHDGFQVDSPVNAGSHGLEIDPAIEELKALEKEDTLPEATQLDRPDTYWQYPKRLR FKYTNKTGFGSVVKKPNTDRIGMKELTSSIEEGTPAAQQMQILLDHDRPIEAAQIFLE AHPASLKGISTGRKELAKRAFYVNCNQENVFMARSIFERLEEVDQNSVTPRMWNELLF ALAKKGSIESTASLFSRYRTKFRLQPEMVDIVLRSLLESHRLATAKWLIMRNLHVDRD CGLCGAYLTGLWKKTRSIELMNTQLMKLLAALPKIDKPPTDKLFNPVVKAYIEFGRLG DAEALVQKMLTTCDLPLNCRTMGLLVHGRALRCDWEGVEYGLQKMHDLGLTRRRFDFV RIFDRIFLEYWVSHSGREIRDYVFRCIDKFDIVPDRVLYKHILEAFVEKGDRDMIAEM IDMARERSWKIKVNEEEFLETLRARRLALEDSPVGFWQMLQAARIRHGQAAASQQILG YDQRSFPSPEVNKLPFTQQPMPWYERSLKEVTSSRSVDQYQRLHKQMSHFMHAGKMAE ALKCFNTGKKAGFHMKQIHLELAAIATLLEHGITATRAFIDVERQGLPEWSNPRFFTQ IMEVSGTAESEQVKMAIFRFYQLCLINKKMNLKHHITVATSRRLIVLGKPDIALDLLT TVYMSRYRAFAKFDGICLKMFMRVFAELGSLAGIRWGILSGLARRGPVTWDLVVEARR VLGALHREFSASPSPAKTEQLEYLDHIADLLEQKSEKDISKSEPEINREESAPRQDRL DRPLDEASLYRKENIHDILEGWDEKYELESVLLTMDKSGGEKRSNDLGQKIPAC ACHE_21335S MTSVVSNGHATPSKPVISHAEQRRVIHHDADVLIVGGGILGCAL AVALGRQGRSVLLLEASLKEPDRIVGELLQPGGVHALEQLGLRDCLDDIDAIRVEGYY LTYFGKPVTIPYPRQSPSEPVPEGRSFHHGRFVMKLREAARTCPNVTIVETKATELIT CSHTKQVLGVECVNRDQRRDCYFAHLTVVADGYASKFRKQYHAHAPRVKSRFWGLELI DAKLPMPHFGHVLLSDNPPILVYQIGSRETRILIDVPENLPSASVKNGGVKNHMRNVV LPSLPEGIRPSFLAALEHGTLRSMPNSFLPASTNKTPGLMILGDALNMRHPLTGGGMT VAFNDVLFIRDLLSPEKVPSLSDTNKVLKQLSTFHWQRKQASSVINILAQALYSLFAA NDEKLRALQRGCFHYFQLGRVEGPVSLLGGLVQRPLVLFWHFFSVAFLSLWLIIRDAP LWKLPLALIQCVLVFWTACVVIFPYMLIEAFY ACHE_21336A MDGDPGAGSQRGRARTACDLCRYRRVRCDGEKPACETCRLARVE CVFTPRVRQRKDIRRELAETTAKVQQLQEALRAERARYQSQTPWLIPSPTAWPGPGST DGLDSYGFDAAKEAFRKHLSYCDPTFSSAIHRAGYIFNIDEFLDQVSYSFRAQYPAHQ SRTVLPKWPPQELVQRTIEYFSRTGLYSVFPVVQTETLSSDIDPSIICQPGNNMKAAD IARPVALTALLGRIHRHEPEFADAEPDAYIQTALGLIPQLMMDSENLRTLESLVLLAL YIFPTGQAQLAELLLSMSVRVLYNLRANHQTNKQHTRALFWLIYFIDKDLSLRQFQPP LIHDGDCTLSLPDTYVSESSTHRFLSKPLSPNELLFPSDLRLSLIKSKIYTLLYSKNA PTHPAQSSEAQARKLQHIRELDQELSEFKAAFPVTLPLDSFLSGMGRDVPDILLHDMS LRGYNIHLEYWFCLGRIHASAASTTATRGGDGGSGSGAVQSPLLSSSMNLCHQAARST LLFMVRTRHFIMPGNFWIHAQSLLTSIITLFWYMIAANSAETFEEDLNILESIADTFK EIVESGKCGDGGDGRFPPFYITEKFVRWLIGTVRNRHNMSELHEGSLTTDIKDTD ACHE_21337A MEEYDPDLVPTQEFKILHNLVTDPDASAADAVQQVLDLTTTEIL AKNDTPGSNFDSDVAWNICVLIIDIAANTAPTRQTKLLDFVSRIRKVTVADPRTGEKV GCGNFGYLWTDLHSFGMHAADMFIHHHSHTPKELEKWENNTAFIAQSTAAAAITRNGK PTHRMDFSIYGLYACRDAFEEENQYKSAVRTACLWFIYAAGSMLGNCKVGRVYDLHDP PERGFDLDRWHAWKQGLVRTQAGYGDERTQELVRIALERIAQVEAERLN ACHE_21338A MALEPQPPSVRKRVKVYELRDNDWFDRGTGFCTGQFLEDEPRIF VESEDEPGRVLLETKINRDDGYQKQQETLIVWTEPTGTDMALSFQEAEGCAVIWDFVH SVQHHMGVATTDDLSEDLDSYHQVMLPAPELGNLPEIEQIMRVASMSQPGRDTLSKIV IRDDYVTKLIPLVSVAEDLESLPDLHRLCSIMKSVILLNDNTIIENVVADPLILGVVG ALEYDPEFPTHKANHRQYLADESRFKEVVPIKDPIIRKKIRCTWRLQYLKDVVLARIL DDPTFSVLNSLIFFNQVEIVNHIQSNAAFLKELFSVFDPRNPDMRRKEDAVQFLHQCA VIAKNLQPQGRASLYANFIGHGLFAVIAFAVKHPRPSVRTTGIDILVALLDHDPILMR GYMLKAVNEKKTPLTDTLIDLLHMESDLGVKNQLADAIKVLLDPQVTMQDAMGRAGPD YFAKLRPNNILSDAFVQHHFDESAKKLFMPMGNLEHRTNFHDLTFHEVALYAHLVDIL GFFIRQDMFRNRHVLQTEAIAPRIAQLLTVPQKHLKLAAVKFFRGLIGLHDTFYQAIL TRHNTFGLILDIVRETMPRDNLLNSACLELFECIRRENIKTLIVHVVEKYREKLLSII YVDTFINLVNRYEQMQGYGADAEFTLSARGEEVQTPRVQPNGQRWPGVGEMDAAEEAY FNTSDDEEEWQQTNSDAAQNAPTLPPLPAAKPLVDYPDDDDEDAMDTQSDSTQAQKQE EKPSRQEPHLTQSPEIRPTTPPSQPPPERLSEKRRREEEDEDELVKLTSGGPKRRSST SSNSGSSGFLRRKRSIEKGNTQSTGNVSAPPKKISINIGAKSSNTTTTTSSEQEN ACHE_21339S MADSKDQIAVQTTSAGPDTTLSPHLTPKQNASVVVTAAEGEPGT SGSGSGDGGEAEPTAAGDQVDEQKKGFLAYFKTKEFYITLVLGQILAITNTSTSTFTT LLNLQGTSIPAFQTFFNYVLLTLIFTPFTIYRYGFKRWLRVVWYDGWKYIILAFCDVE GNYFIVLAYQYTTMMSASLINFWAIAVVVIVSFIFLHVRYHITQIAGILICIGGMGVL IASDHITGGDGAASNELKGDLFALLGATFYGLTNTFEEYFVSKRPMYEVLGQMSLYAL IINGAQAGIFDRASFRSAHWNGKVGGYLTGYTLCLTLFYCLAPLLFRLASAAFFNISM LTMNFWSVCIGIKVFHYTVHWMYPIAFVMIIVGQLIYFLGRRMLAEARKPWLGKDQER GVDGLFTARRKIDATVGRGVVASSGSGSGPTGEDLGQGQTRDEGERRDANIV ACHE_21340A MSYYEPQGWQAPAARQVSWEQPVPPSRSGSSSISQREDTPAFSS QFDEVDRAVDNLVKSGKLWTAPRRDSMPLMMGRPYADYDTRIASAMASRHHSISEFDG ARPHPNTQGFYTPQRFQGRPNEVEQMMQAKRRMAAQRERELRNYHQEQQYNRSLLSEM SGNNKPERSLSPAAMSEESRRDLLARQHRALYGNDSPAFFSAGGYADDASRPDSQGGT GTPSSATGVRGPSPRGVDPFGLSHAHTPGPASTDGVVHTPTAASALQSPTRANSTSSP SSGINPVFGNPADQPVTSTSSPGGADSPSRTATSKPTAGPIGSVGPIGSRPIPTTSSV PNPGLTKRSTTPLPSPLGFSFTAGDAVNDHSVSSAGIPPASATGAPVGWGNGSGVWGS KNGLGVQAPVWG ACHE_21341S MLADNNIHGNANSPALPGDHSPIASAKPSSSSPMAPSDAAHTAS EAPVRPPLTSHQSNSLPSTPYQHARNLSFHSRSPSPRHGNTSPRSTHSETTHLLPSLR KPFAGCKYETAMAFFRRRMPYTIGTDLLPEEKEGLKEKLEPEEEQRLTNDIMEVYDRL LPSAESDDRRRQLVRKLEKLFNDQWPGHNIKVNVFGSSGNKLCSSDSDVDICITTTYK ELEHVCLLAEVLARHGMERVVCISHAKVPIVKIWDPELRLACDMNVNNTLALENTRMV RTYVEIDERVRPLAMIIKYWTKRRILNDAGLGGTLSSYTWICLIVNFLQTRDPPILPS LQARPHKKRTTADGLMCSFDDDLNALADFGKKNRQSLGELLFQFFRYYAHDLNYEKYV ISVREGKLVSKEAKGWHLLQNNRLCVEEPFNTSRNLGNTADDTSFRGVHIELRRAFKF IAEGNLTDSCEQYEYPPEEERTWERPPPQPRPVLSAPPNSSRGGRGGGRGGRNTNQYG RGGHTGRRTSNTPNKANNFRNNNGMSASELSLQAQHAQYLLHDHLYQQIQILQAQEQE LRLQLQSQALVTGRSPPVLIRQPFIQFPLPQQQQQNQQQPQSSQSPTQQQQQPAQQQE SSSPPTSSSGDENSRSRSSTINNQNGASVRPQYGYYNPAYYPVAVAGVPATTTTSPPS PSTAAAMSELRRNPRRSSVVNGSPGASLRAQSQPARSMNNTTLPSFAPLYAVSQPMDG SQMSKPRQGSGGSQEGSSSQGEEENHAFTSSSLPSGVRSTYMDETRPTEYMGYYLATS PQLQAYQHGMLSSLPAAPVGLALQNGGFIPIVNPQEYMAAMSASQDAFAGSSDTQSTS TNKTATPQPPPSQRSTPRAATSADRGPLIVDGSVPPSEPRPVYPIEHIDPYASSSQYT SNSDDPNIDTPASSSDTFSQDYQDNSSVDIDHTPSWYSRPADAQKPAQSGESLVNGHS SGKPGLLSTRLQNLHHSNTEKVTETPSKSGKAAHAHHPAATKDTSNHRQPASTEKGQS GSVSAMTGTESTSTSHHKRRPNGVDASEKVNGVNHKSKPKGRHDVSHHHSSADKERYH DHHGSRKMNGVHATHDSNHSNGGWQTSSKKKKQKNARSNTASAHHHGGEPLPADESMR KGG ACHE_21342S MSLITPDSFLRLPANTNGDKAPITIFFITGNPGLIGYYHTFLSL LSDILISDSGTSFQIHGHSLAGFELGSDAERNQKRGEKEAHYYNLEQQISYVQEKLDG FVTNNYKKNTQDNGGEVPKVILIGHSVGTYIAMEVLRRYRERQRQHTTTATATTTPDN TKGVKFDIIGGVMLFPTVVDIAKSPAGQRLSKLLNVIPGLAIIASVLARMLSSLLPGG VLRLIIAAFMGLSSSLESPDRVVVDTTCGFLGSRQGVRQALHLGADEMQTITSDKWSD DIWGMSDVEEPLAKLFFYFGRNDHWVAERTRDEIIAVRGKNGDGDGNGPTMVVCEDGL PHAFCLSHNEIMARKTGDMIRAIVEGQVR ACHE_21343A MTFRKARRPNNNNPRHNNSSNNTHYQPSDYESDNYANYLSDAQP SQQQTDQYTDQDQDQESIPRPPLRSNEELNLAVLRRHNPHMNSIISLAPYAVVYIFNP ASRQWEKSGVEGTLFVCNLTQGALGEERYSAFVLNRRGLNNFDIPLTDGENVEITEEY VILKSDEEAVDANGRNGNGVKPAGGNGDVRIYGLWIYSEPPPNSTAQTRTINAQMIRE CAVHAGHSLRIARERLEASQQNGLHMAAEAATTTAAPLEEVQSSIPMGRQVSLKDLFG QQRAQDDGWTVRAHQFGVEWPQQPPQQAPPMGMPPPQPQQQDVLGDLFRRAGLAHQGG QAYT ACHE_21344S MSPSAEQQSAPGGYTSDSDNSAVSPGGGPLDPFSLSQGIRARRS EYTRQETIRIKVATWNVAGIHGTEEDIGKWFVNSKGISEGLSGVHISETGKPEAWNER KSSDAGTGRRRSSMDASDRQWRRGSAVPSADFESDDVGLYALGLQEIVDISSATEALR PFTDPAPANRWKTAVQKALPPGYQLVAETQLLGLLLLIYAAPRVINNISGVSTSSVGT GLLGYMGNKGAVSTRLVLGETTSMVFVNSHLAAGSDKGSLERRNWDAAQIISRTKYSP IDLEKGPPDYIGKEDFAFWFGDLNYRLGDIPGGDVRQVLSRHTENEYDNRHQSTRTLD DRPSSPRNIVEEGEMDPPLSSASKGHPALSDKEIDPHADPASLQTTIASLLPHDQLRI QQQNGKAFHEGWREGDITFLPTYKYDVGSVARFDSSEKHRGPSWCDRILFRTREDKQR HERLNRELAESRKKDEEMKARGLDKAAADDNVLFDYDPEVDGADSVEENEPSQDKSDD GTSSEYQLERDGPIRLEYYVSHQGILSSDHKPLDAGFTLTFESVIPELKAKVHQEVVR ELDKAENEARPGLTVVVDKYEEDVRNAEKKEDPNSLDFGGITVDVPLTRSLTVANTSG VPATFTFDRPNPEERQFNWLDYRISLRAEPEHDGKKPKTPEREKTLVPGEVANIEVTA HVQDLETIRLLNHGLVRLEEIMVLRVANGPDHFICVSAQWLPTCFGRSVVDLTRMPEA GARSLVAPQPPEQAKDKGVRLSAPRELFRLTEAISELSERAVAEWGMTRDDSEDSTPP WKAEPYGPGWPFNPETWTLKGRDERAPLLARVRESLDTNGSLTSIFEPEISSLERLEI LSETLMVFLRSLNDGIITVDVWQNMNSQIIAREKSKQTPLSWEETQAWVLENLSYSPA HSVSFTFVTFMLARIANEVAPALSMPPPRPSSHHQHSKKSSEDKKRQQSPRKTEGQQS QNAAPTPTPASSAAFISAGSFRRQSRSFTTSSSSSSSTDSGKAATSANGNIATHRQAV ETAFASMFANLLISSDVPVPSKEKERRALDERKRSVVEPFLKIIGVDNEGPSGGGS ACHE_21345A MFTGLFLLLLPFKHGLTDLTWLIALRSLLVMTEQPRPTPSPRTS FTDNSSKDKEYNNPGLRQRGPARTATTTFAEDLNSTSVLRRNSTLSDSVSEARNSIRS STDDLFLPRVSRRTNGVDLQEESHWQSAPLGLALFPAIAGVFFKNGSAVVTDITLLVL AAIFLNWSVRLPWDWYRSAQAVRQDKYYEAEEESDDDQATLGNTREKSPSNTETRKEL HRASAIANAANRELQIHELAALASCFIFPMIGTWLLHTIRSKLSRPSEGLVSNYNLTI FLLASEIRPFAHLLRMVQARTLHLQRTVHSASHYEEDRIDASKIADLSKRLEELEIHI AEAAAARLSSEQSPQNQPESPKQENDKNQILVSQTTAEIQKAIQPEIDALNRAVRRYE KRTAVTSYETDSRFRDLETQVRDAVSLAAAAHRSGDRYRNRGFAFRLIEWIYAAMLIP VQILMSLTALPFRVVTRCLDYLKGLTGKSTPSSSKRSKGKMPQNQASQSPRRFKKATQ SLPDGTSLRPIREYS ACHE_21346S MSFLQEMMPLHTSQLSIFVKMVYPQAVAIPFLSIIGICTIIVPL ILHIKNKNYPSASILVWFVLLNLFNIINAFIWSSDDIDSWWDGTGLCDFEVKIMIASY VAVPGGLLCVFRSLARVLDTRCATLVPNRKQRWRNRGMEILFCVVVPLLSMATHIFYQ KSRYMVYQISGCINNFDDSPISLALAFIWPPVICLPACWYCGLVLHRLRLYRSQFGDI LNASNSNINKSRFIRLFSLNFVMLVLIFPIQCYVVWQNTVLSFPWHTYSWSAVHGPEW NTIFKHATSGNAFFDRWVPIAACFLVFVFFGCGKDANELYWSFLRSLGLDRCFACLDP EYSRRTSMDSSTGSSIKLLFNKNKRWSSTSRTYVNTNNTPTNPTTHQSYHDIEKGMPS ICIDEDQNSHPKEKPWFRSFFHRPASSKSSNHERHPSHPSLSGQNTVSTNAWAGLSRS RGSGEFSPSPTRRDFIRVKQEISQESIMQEID ACHE_21347A MSAMMNSLRPSLRAASTTARSFSTTSRRDLARMILTGRLGSEPE LQATASGREVIRYVVASEHGRTQNRKTDWFRVASFPDSENQKNFLLNLPKGSLVYVEA DTSLRQYEDAEGRKNTQLSLVQRNIDVLRRAFIRREESEGSEGSEGSESAEHQ ACHE_21348A MLSGILIFNQKGENLIFRAFRNDCRPRLADIFRIQVVSNPQVRS PILTLGSTTFSHVKHENIYLVAVTKSNANAALVFEFMYRMITLGKSYFGKFDEEAVKN NFVLIYELLDEILDFGYPQNTETDTLKMYITTEGVKSAIANNPTDSSRITMQATGALS WRRSDIKYRKNEAFVDVIEDVNLLMSAAGTVLRADVNGQIVMRAYLSGTPECKFGLND RLLLDSGTASSSVAGNRDGAMKATRAAAGSVTLEDCQFHQCVKLGRFDADRIISFVPP DGEFELMRYRATENVNLPFKVHPIVREVGTTKVEYSVAIKANYSSKLFATNVVVRIPT PLNTASTTERTSQGRAKYEPEHNNIVWKIARFSGQSEYVLTADATLTSMTHQKAWSRP PLSLSFSLLMFTSSGLLVRYLKVFEKNNYSSVKWVRYMTRAGSYEIRF ACHE_21349A MFACCLTPIDGSNLLFHFVAIFLVFSLSLFYSTVNIQLNVGQPP FCLSPANHRRLHCSPDLRPPFDHRFFNFFPFSSFTITPSTAHSFSPAPKTASSIVLRS QVLSSPEVPRSFAPPVAQAPPGHHHHRSRDEGLTGANHYKTTSYPRHQGQKNQQQHKR ARSIPLSNSKHPGVTNNPRCILRPPAARLANPVNHVPRITPVAVPHPWQLSDENLPLD ANHNAYPLLSIPEQRRSRLTPSSLVVERSQGETESWRTSIAVPQGQRRSGTLLDVDYE HDHDENNYNIGTGEMAATADNLRPPEQAHLAQDSTATAPPATPRTRDLHLPRHVPSQV SLRSQSQIASIPSNPGQQPQADVAEELAWGPAHPCFPHINPHVPIESSEYTTTRIIRI RRDWMVKGDLAPTFSNLYPEILDPLLPEHEFRKIIAIVNDELIKAFDPYRLRNWIDGA LGLVTGWLWEDIGAPAVKRHLQHVEAWLERWNREVGAKDGVRIWGLRRTAYMSLDIQI PDPKVGIIHSEDLSLPGTRPSTGAGRGV ACHE_21350A MRLFHLLSWIILLVPSLVTLAAQVPHDACGVETVAILEARDEPT NTTTTAIASDSEMTATATTTGDSFTPATSTTDTAASTTIASLNASNTSGGRKKQTGSP KPGELPIKPSITPALGVGGFILIAMGAILALIGIRNLWVQVFLSASLLTSLGVTVLIL YVMNPPVSNAIQGAYLVAVFFSGITFGALAIVFKELTEGLGCLLGGFCASMWLLCLKP GGLLTEVSPKSGLIAAISVGFYTLSFSQYTRPHGLIISTALSGGTAVSLGIDCFSRAG LKEFWLYIWELNDNIFPLNTNTYPITRNIRVELAVTIIVALLGIVSQLRLWKMIRQRR RNEAKLREEQRRKKDEADTEVGRQLEETTARERAEWEAKYGNGARSKPESSSTQKVEN EKDGQDIDLKKGETVDAKSLVASSQQSYRCSECKEREANDDLDSEIALKLANYNDSIT KDETHESTSSQDSSTETEPPAAVFDGAAATRIKDDNQSEVSAVIGSEAGTSSKRFSGI ATLQRNSVRSSMMLASQPREARVREGDDAASNTSEEGVDGDSILDSRRSSVYEADGEV KRDSRGLGIDGDKPVAEESKTYEDGSAPKGVNTEQGFHEEGHSTEPDQKKPDQTQGSQ NGDITNEQSRDHVEQETALQKPDTSEHSEKDAPVGEQKQQYRPATSASNYSHEGPPPS THETDEKPQVQDDNKGRNPAAPYQATVKSAETLEKSSVRASTKGSKSDAEQQDAKPSA GYKSVTGSTKSKRSDDRKPSSLKDKAKARKNEKATLNEKTVKHLPEGTSRIVQSYRTN EWAKHLDDAEMPEPLPIQPIEEEQPVISVKDEEPPAPINVKELLQTPLSAQPPPAVER RVSFKELRTSNEAPRTSHESLQRKTDRPVSRSNSMVNQPLAAIVSPVSNSVPRPQPQP AQLAPPKQPKWKGPPPLLAVREDLMRSRLSSTSLSIDPWLRSSPRQSTFGPLSPTSPT SPTSPTIVLPTPEEADDVPLSQRRTMLQQKQQQPIPPRPRALSPQAPPQRTRSGMSDN NAQAIMAAWRHSVREDLREKRDPLGMNSNPTSPTSPTSPVDHRSSYFGSPSPRNHSAV NLHIENAIAEGMQRGDMNDLHREAMRRMQASANRKA ACHE_21351A MDSQHISTVIAALVKKGTLAPDAILDTLSQRINDFGILRGEELP HLRKNFNSLCTNDNGTEIITQSAFISLLQTAGVLPSSMAQAGALIYNSLLYLSQAPFY DSLPLPTHLTFGGLLRALVWTDSERSRPVYEESIDTRTRAPADTRRLIFQSLATTRDG RKLPFDAEFARVQAERRAFDFSSVLNGDSWKVYAKTNYDEDGDEMFHDLLDVLYVVSQ PPQVGRGGVPRDGFREFAKEFRGEQPERLHNLSIPQDEFQTLVKMLLVTQFGKPVAQI EQDADLDRVADCIVRVFAQVPGMGITWEMFDQAINKTPELFRGYHRLLSSLYKTYDEN DTKTPLTPPKLGSIATLPVLSQLGMILIETLSFPNLQLHKHYDLDENMLTTNVAALAE QITTIPAEEAVIILLISGRLTHTNEKVVFGYHLPWYDSSDKKGRNYCLLFQLSPVHDM FRGYNAERPGFKIDDNGSLIFGEKGNGVALVLERELKRMTISHNASSGNEIYGATSWR GDWEMDVQVGEIEMWLEV ACHE_21352A MSLNAGPNLNQNNEECTGTSEFCCPSKSTLKNCEWGNCGDSCPD NKLLVTRRDQLWTPGHGDVDSQEFWCSGGEMMSMCCDPPSAADNVPVDPADLFEYPDE DNVSWYDKSEPAANNEASDIEDEDPFAFVMIDGDTDAYDQSLVDQWSFLDDNGGALHS KRSSTEHNLFGSRNDTFDNVEEKYQIKCNSLLRNDTSCHSIFSGGASNTIAKMPSNVG ASGPYARVISLTPQGSTTKRSNVLPRPADQVYDMKVDYDLGAAASESKGDVNFRVDYT NLQEYWDKVTDSPAKHKKRWFGDFDTWLEKETSIVQDEKGYLPLTYEGDAKLLELTQN CSGDSPYKLDLDVNMKVGLHAQYAYYFEGAILPTPKLIAAYSYFSVEPSADILFQLSR EATFQSTTGEADLIEDIPFSGMSIKGLIDIGPALAITGSIDISLTFAGELQAGVSANW KKTEIYFPQDLAGQDATVKPSGIKPYLDQDDEPEYLITPEFEASATASGHINFNLTPK VRFGISVLGGKLSGGFVTAGVENTISVGFDSSSTTGFCYWADYLYSLFVQAEVKFPGD LTYWGSDKFDLASPDDPITLIDKSCVPWEKKVTITPRDDTPGDADLGENYDSFVAGDI AGAPDTKNDGEPIFSALLACPGKNDTIPDDAYSCSSQSSFKRAVSGCSLPPALFYNCD YFPDLVVNNNNQNTNQNNPTQTLTGICKNVKNYLDGHQNDPGVGSNYMLLTYWKGSNN PANTNRNQACDRPGGPGDQCKELKKSLWPQAVQDAVNKDKNLIRAKTMHGWTDNMSCD EFPFNACHQGGAGAPPSNTTNGTSTENGGNPWSNEDYYKTKANDVRLFSTNLYFWSQT GDDIGGLGGAFNPNAANGNNPSINPGFKMRFVIGGVNLHSDRFYISKNKKGANALCVA RPSLVEVDNTNKNIKTLPVKLCTVIFMTAADLTKRGLDPRNSNDWIVEDVIWHDDEDP EDLTDALKQLGLAGDEDDDKTPVVSNGHARVHLGHGHGHGRH ACHE_21353A MLLPSALSCDVRRPSRFATTRLLSTPPPSDDEVPMGNGLLGTCR ALQSLLNGSPAPSPRRSKPARLQSPVHLRSTPSSTRSRRIATPSSPERTPQRRPRGVN KRRRDSYEADEDLNNNTRDATTDDRSASCDRFSTPKRRRHAPYNLPLGLSQSDFYSLN SPPITASPSSPRYQNQQDSSAQQPYNPDAVLPSIEVIDDSTRSNQWTAEDDQRLMEAV FEKFQLSKQQWDECAQSIGKDQVSAERRWQALVGQGNIGLRR ACHE_21354A MSFSTQNSTVGTLARWNPRTGQTSHPSSIDSNEEVYVGRDSKRC QYVIDDPFISNKHLRIYTILFDRENPSEVAPLVYVQDLSMNGTTWNNYRMGEGSGSFL LSHGDKLKLTPDIHLIFLSEEYKEDKGFDMLQKVEMKVFQDQYVITPRKLGSGAYGRV HMAYIKNNGQQLACKVVDLRAMRERATKEIEEQKLKFFRNKWQASMVKSDGGERADVI AVRGLENYLSKKVQEKLDIYHREAKVLENLSHPNIISVEKVIKSSNTIYLFQDLITAG DLFSFIQYKGGKLSDIESAVVVRQVLMALDYLHDRDIVHRDLKPDNILMTSLADGGRV VLTDFGCARLVKPMVERMSTMIGTFEYSAPEVLQSNQKGYTKAVDLWSLGCVTAILLT GDSPFRDPRNLNDPAQPPQDGDFERLKQDMEWHHVGTRARDFVRQLLVLDETKRMSVK HALRHSWFTNRAHKREFEALYRRSIRDWKPRAHQGPLIVDLCSLIEIRKTRELYHANA VDACVERRGQLFHRPPSFSENPSQDSLSTESATALERPLSPTLSDPDLPTHKRTRNES FELGDLSYYYQKQQPDMEFSAQSIIPKLPVVSTTGKNRRNARRPFTGDTHTIPIKKRV QDPWEVPEDEVYEEVSNAMTGKRQQVAYGSTVVARAAQWT ACHE_21355A MAADTVTAEELLKRPLYVYDLPQEILSTLSAKNQNQAVAVQSDA EEDVREANETAEQEHVVATASSCALCKVSFSNVQEQRDHVRSDHHRYNLKAQLRGAPT YDETQFSKAVGELDESISGSESSSEEDEGDTSQPTLTALLKKQAKISQANDEEEVSSK KQNAGKHPLLWFSSSALPSNTSLGIYRALFSDVEQDEPAYLVDSLRQKQLDPIRPQRN NGPAAKAPATQSKPSPHIFMCMIGGGHFAAMLVSLAPEIHRRQGGVEERQARVIAHKS FHRYTTRRKQGGSQSTSDASRGAAHSAGSSLRRYNEAALEKEVRELFRDWKQMIDDAE FLFIRATGSTNRRILFGQYEGQFLKQNDPRIRGFPFSTRRATQTELLRCFKELSRVKV SQVDEAALAAAEAKQRQEEAAKQQAPRQQQPEKPKISKEDEEALLHTTQIQAFIRRSK IPALMSYISNNSIPPSFTFKPSDSQQNFRCPTPLHLAANLSSHSVVMALLTKANADPT VVNGEGKTPFELVGDRATRDAFRIARHELGESKWNWDTAKVPSAISKADADNRAERER KSAEEEEANRRKAELDRLKREEAERTAQTEQRKNAGGRTLGALEKTPAEKREEEMRGM TPEMRMRLERERRARAAEERIRRMQGK ACHE_21356S MLDGNSQAILGNSIPGSSPLSSSPEAVFQPQHRQAFCGDVEGWG PMSPFRFDLTPCFLDAGVAIVAAWGLLMGSGAIWFLLKKRVPQPVSKNWHFYAKLVVL GALIIATALQAALQVETYPNNWWADFRFWSSILTLASLGVIFTVQYFEHWRSRQPNGV VLFYWVFFIVAYVVKLRSLVSRQAYKDRIPYFVSFNVSFGLALLEFVLEYLVPKKQSA YEALGEEDECPYEYADIFSVLTFSWLTPMMKYGYKNFLTQDDLWTLRRRDTTSVTLNT LEESWQQELKKKKPSLWLALFKSFGGSYFRGAIIKCGSDLLAFVQPQLLRVLITFIDS YRTDEPQPVIQGIAIALAMFLVSIGQTLCLHQYFQRAFDTGMRVKSSLTAMIYSKSLK LSSEGRSSKTSGDIVNHMAVDQQRLSDLAQFGAQLWSAPFQIVLCMVSLYQLVGYSMF AGIGVMILMIPLNGTIARMMKKLQISQMKNKDMRSRLMTEILNNIKSIKLYAWNTAFM NKLSHIRNDLELNTLRKIGATQAVANFSWQSTPFLVSCSTFTVFVLTRDQPLSTDVVF PALTLFNILTFPLSILPIVITSIIEASVAVRRLTDYFTAEELQPDAVTFEEPVSHVGD ESVRIRDASFTWDRYQQIPVIDNIDFSARKGELSCIVGRVGSGKSSLLQSIIGDLWKL EGEVVVRGRIAYVAQSPWVMNATVRENIVFGHRWDPEFYDLTVEACALLDDFKNIPDG DQTEVGERGISLSGGQKARLTLARAVYARADIYILDDILSAVDQHVGRHLINRVLGKD GILSSKTRILATNSIPVLKTADFIGLLRDKTLVEKGTYEQLLAMKGEVANLIRTTMND SDDEAEGSNLASPESSDSATVIDNPDSEVSDADEAEGEVGNLLPIRSGGQARRASTHT LRRASTASWHGPQRKVADEENALKSKQTQETSQQGKVSWDVYLEYAKNSNVIAVGFYL FALLAAQTCQVAGSYWLKYFSETNERQGNNANVGKFIGVYLAFGLGSSLLVILQNLIL WIFCSIEASRKMHERMAFSIFRSPMSFFETTPSGRILNRFSSDIYRIDEVLARTFNML FGNSAKALFTMIVIASSTPAFILVVLPLGYIYLSYQKYYLRTSRELKRLDSVSRSPIY AHFQESLGGVSTIRAYKQEDRFTLENEWRMDANLRAYFPSICVNRWLAVRLEFIGSIV ILSSALLSILSVATRSGLSAGMVGLAMSYALQITQSLNWIVRQTVEVETNIVSVERVL EYAELPSEAPEVIFKNRPAIGWPGQGAVSFNNYSTRYRPGLDLVLKDISLDIKPHEKI GVVGRTGAGKSSLTLALFRIIEPDNGSIKIDGLDVSTIGLFDLRGRLAIIPQDPAMFE GTLRDNLDPRHAHGDMELWSVLEHARLKDHVASMEGQLDARIQEGGSNLSQGQRQLVS LARALLTPSNILVLDEATAAVDVETDALLQRTLRSSIFSDRTIITIAHRINTIIDSDR IIVLDQGRVAEFDTPAELVKQRGKFYDLAKEAGLLDNDGIAILQ ACHE_21357S MVVNAPIAGPAAVKRPSSALEDECAPSWDTAPSMMPPLRPSSFH LPFAHYAMVYLDNMGRLRTSESSSIQEQGGTVFTPEVRERFLEILGGRIGYQRPMMRR SSPFRGTPLGYGYAHPEETHGWGQSRRVRRRRASHELHGDYFPEPVEEMTPSTPDMVG LEVGDTEKVLAYYESALKHFQQINCRQIAKAFIKFIEPRKQVKHPYNGGKPPAGAPPG QKGDPEKTKPDWWPAGVQHKEPDHLKKEERLQLLIHIMRKLGRWGITAEKLREVAQDS KRSLRGPEKLEEMEEMLKVRKLEERYERGEVDGSTTVFIKSRETNPKTDKDSDSICEP EKFEADEEDEAEEVVASSSMPTAIEPVPMASRSVQDQHLFSLDTLNFGEPIRHDRSYY APSSYTDDFNTPAQPFDYISHTSFSTPEEHRPASMPVHPPVNQFDPWTSPFGRQNLFD YSTAAATSAATTQAMAPPSMPYQMPIPTQDLPHHGSRTPHMEPMTLKAPAYPGSLTHS HMMPAHHV ACHE_21358S MAGPPNPFAGLAQAQNQRNGTTNRGGRGGRGRLSPFQPKNPNAP PSTTATAPSSGDTKPRGRGRGRATSTRGSTRGRGGPTGHVNVSRNKPESNGASELPFT QPKSNKWIAPSPFGVQASQTKSPFTSNGSFGAPGFGGFGSQQAATTKKPAVNGAKRAV PVEDASVLNSYTERYEQLKLDRAKERELAIKRGLMADPNQPTSLNQAITPVGTCTSMC PDFERVERIVQKMVDKSEKFLHPTTNSLQYMETKMLKRFRRSAAGYDEQLPSDIRTPN TLLQTTNYLIRHVVGGPEPLGLIHKFVWDRTRSIRNDFSVQQLTQEDHVRTAVTCLER IARFHILSLHLLSSPANEEPFDRHQEREQLNNTMLSLMYYYDDNRGRISFPNEDEFRA YYIIFSIMDQRPDLEARVQKWPAELRNSNRVQAALELFAAAGNTWDYQGTLDARRPNA IAQGFYARFFEIVDSPAVSYLMACVAEIYFNHVRQTAIRSIWKGYCRYPASQQHKNEE WTIDELTTVLCFDDDEQTRTYCESQGLHFAENANGDLYLNWGSDPIESVAFQPSSDHS FSETYVESKRAGRSLVAVILGLSIKEAASMGMIDSSVLPQRSLALPAPTGPSTTEDDS LFVSDDENEIPAPSVTIPNEKLPTFAPSPFGGFPQSRANEPPAATQQVEPFSGGFCQA PALSSPASLNPFASPFTPAPAAPAAPNPFARGSSSFAPVTSTTVGTGVTRAPGPFSLF SPSPEEQSAFDTAQNPFATKPAAPATTSPEVRFPSFSASAPSPSSSSTTLTSKPTTSV FPSTSQPALASSPFSIFKTPTSTEQTPGVAAGQPPKSLFDTAKPPSFSGSTGSLFNPP GPSAAPKEPSTQAQASVSKPAQETPSLFAPKENAPSIFSSIKPAATTTLASSISAPKQ GTTPMERPSVPEERTAAEPAEPVPKPLDHAPPAEPKRAPSVSENVKESAITPPPAPEP EEPVVVTEDMSIPAEPAKPVVDEEASIDVAKRDAWLKTLKEAASKRRGEQPSGRKRVL EEPEEPRPTSSGPKASKISKSEAPTPRKTSMALSSIKPLPTLPILEQVKSTTARKPAE AEAELKHNQIDEDELLLSAARIAAESLRSGPRLSDSWPGYYNHTERPRSSFSPASSFS SSMPPLSGSQSPSYSSHHYVNGHDLALAPDADLGLGRSLSRTEQRLRLTGGKGLAYKP LDFTPEKKRNSFGR ACHE_21359S MGHMTSLSGFEYYHASLDKHGIQQQLKEHNIPIKILFKKYLSVI RPYLNPRVTSHPASNINHHPTLRPVNSTNTQIRSGLPALIPPHHKKLFSKIQEINHKN EMKIATLQFSPKLGDTAGNIKRADELLKNGGRSTTLGKANRIEELKPDILVLSEMALT GYNFPNLEAVKPYLEEAGMGPCGIWAKETAKKFGCKVCVGYPEVERSGEGGGKEMYYN SLLVVDEKGEILANYRKTFLYYTDETWAAEGDAGRGFRHISFPRQGQQQQQEVATSFG ICMDINPYRFEAPWTAWEFANRILDTRSQLVLLSMAWLTHSDREELQALHTKPELGTF NYWVQRLMPLFRRHMEHSSGLGGEDEGEKRMIVVFANRVGEEEGHGEDKEPVRYAGTS AVLGFSQRAGSGSGAGSEDGDGIDVKILCWDIMGATEEGVCFANTGEDPEMVFSLAKD NP ACHE_21360A MPFFYLPRSRRFFENIPCPPDCQIPTGDDQAYGLHPEHRWIYNK LTITELQNIPCGPHKTYPPADIFPIFSKPIYNLGGMGAGSRTMETEDDYWASITPGHM WSVLLHGKHYSTDIAVVAGKVVWMSHARGETGPQQTFDYWEINVPVDPSIRQCITEFV EAHLGTFSGMLNMETIGNKIIEVHLRFSPQWPDIYGEWFLPALVELYSRGEWTGPAKT PEVGYSVVLFDDERCAKLSTKLDVPFESISRPEGGYRVAWINGFDLGTCKKARELLRE FLYGLGGKN ACHE_21361S MHPIFPEGPVDLILRVLGHHILRIKTENEVAVMMWLRKNTTIAV PEVVQFDVMFNNVLQHEFTLLERVRGTTLHEIYDRLSQEQLEQGCQSNHRYLDCIVAL GMESRN ACHE_21362S MQKPLTRLDALVDAFACHQKLDYTRYVLAHKDLHMANIMYYEYS ERIAGILD ACHE_21363S MSLGGRPSCCGDARVSPNASFIYTRTTATVDVFIITGLMLAHLD ILECEKLIWTSRNLKPSSNSWISTVIMSEPSMPPSDNVANKRKRESTDSAGPEPQRNR SSNASNGSIQGSDQSNFQQNSLGSYDHNLPNTNDHGIPNSSSELNIDQQILQHVGTQN GITDDNALTAKAALAAHTPQNKYPAPPDAPFDANNLTHGLSFGDDIGQGISGGHNHNS TAAAVYAAREAQSMNSKPSVGSPEWHQVRKNNHKEVERRRREAINEGINQIARLVPNC DKNKGAILQRAIEYIHQLIDEKRTIQERWEQTNMTTHHAISEVSAQNSKLKIEVNRRG EIAQKWIHRCQDAGLQFEDYNESDELKPLEVDQGQG ACHE_21364A MSGRSGYANGYGYSDAGGYDRSDGGYGNLGANGYGSNRPGGYGG LGYESSQQLSSVLPTTQSPERHRERMDRGRQPPSSSRSRTREGDMLHPTRDGRSPGDA SFYSGKSRERGRSEVSDAPGTQAVEDVLRSIQREWDFMASDDCVPVQVALSLMDTSTL GKADREPDFLDMHDRIQKTLKSIVNEHHQGFNSSIGTFHKIQSSLQSSQGRVRTLRSA LDEAKAGLLSTKPELKGLATSSQKYDDIIQLFNQIQEIQSLPEKLESRISDKRFLAAV EVLHDAFRLLRRSELENIGALGDIRAYFSNQEISLTDILVEELHDHLYLKSPYCSDRW KPPASDNGGSGNPHAWTGNGSWERPVFGFLAKLDASSPLVEDASRNPEADTFQYIRLL IEALNKMGNLDIAVNQIEQRLPVELFTVVDKTNAEIDARYPSSPRGFAQENTTDSPTE AIEKRGHVLAEYLWTLYSKFEAIAEGHRVLHDVIAGIVEREGIPKGSALSGGFKELWK LYQSEIRSLMHDYLATDGESSIRPDETDNKRHIYNGQRDKNKKMFKLSEIGRNTEMKA EQDELDEILQTSVPGLVSKSRQRAVTMDNSQSRQGNSGTGHKILIEPSVFNMGLLLPP SLQFIQKLKDIVPVDSEIAMSTLTSFLDDFLVHVFLPQLDETVTDLCTLSFIAPDAFT EDPHWSQVSPRPIFKGTVKFMSIIKEFSKMLSSIPHDPAFTQLVITQIVTFYDKCFGW YKAIVAKVSRRADAGLQLKAAASYIESGVIRDVVSELRAGSGNKSELIDKETDLLIKR TDEVPLEPYDIISDPKTVAALSLLYNSMQWLSSHLTKLRKITAPSSDSNQQHSRSHSS GPAPRRWTLVGAAMKPKHDHLSQQQQTYLPLNTETAQAFDTTLQSLRDLASSALFALH VDIRCGVIHMLTRTMAGPNNTHQRSRNSEPTTPSPNTDNNWWHIIANPPTAASATVLE LNNDLIAFDTNISAALGPTERWFITSGLARFIDRTFVSCTRHIGAMNENGALRLQLDV LVLQQNLKNIIIYHPDHNPLSSHAPEPDTENHQPQETQEEIVALPLSAKFLDWFLEGA VKALDYAKEEKESFAADSAKALASGNGEPFTYDELRVLVDLCFSDVLRGPRGAEDREG FMAAKKASADALLRLNEVMWDSR ACHE_21365A MLFYRPPARLSWISTFRSIRKIPLPCARFFSTSVPRYTVDMGPV DTSERLSKLRQLMGEHKVDVYIIPSEDSHQSEYIAPCDARREFISGFSGSAGTAIVSM TKAALSTDGRYFNQASKQLDQNWTLLKRGVENVPTWQEWTTEQAEGGKAVGVDPALFT ASDARKLAETLEKNGSSLVGIQQNLVDLVWGKDRPAAPRELVKVHPLKYAGKSFQEKI GDLRKELENKKKAGFVISMLDEIAWLFNLRGNDIPYNPVFFAYAIVTPNAVELYIDDD KLTPEVKAHLGQDVIIKPYDSIYADVKALSESRKQAAGEEGAKFLLSNKASWALSLNL GGEEQVEEVRSPIADSKAVKNETELHGMRACHARDGAALTEYFAWLENELINKKSTLD EVDAADKLEQTRAKHDLFVGLSFDTISSTGANAAVIHYKPEKGACSVIDPNAIYLCDS GAQYLDGTTDVTRTYHFGTPTALEKKAFTLVLKGLIGIDSAVFPKGTSGFALDVLARQ FLWKEGLDYLHGTGHGVGSYLNVHEGPMGIGSRVQYTEVPIASGNVISDEPGFYEDGK FGIRIENIIMAREVQTNHNFGDKTWLGFEHVTMTPIDNNLIEPSLLSDVEIEWVNKYH AEIWEKTHHFFENDEYTRHWLQRKTQPISK ACHE_21366S MSMDGLSQAADVQLVINEARTLVSQLYDPANAGNPPKIALIQEQ LQALQKSPQAWLIANSLLSDNGTDLRFFGALTFTVKINQDWKQLSESEALELVGRLID HFVFLVNSGEQALVVRKLASSLATIFLKSNSPWIRAIWNLAASLANGKHLSEKQCQSL DLGNTILPAMSETQIVALLIFSNILGEEINKCNAEFKGTDDNKRVNENIADALCLVEF VLRHVLQREASGNPVPDGTPGTEAINSYNSWLSVRGVNQVREMISAHQLASTASLVIE SLRVDNLSKTAAQVLNELIETRHSVFDQAHSNTILEYLVSDIGTTRVSSILEGDFDDE NITFLELLLAYSSLKQEELLSGPSDLRHEKVLTFLHTIFKAPGFAVVDDSASPLVLEW WTAAADDLQMVIDDAEGQPSHTFAKQHLAQAALECFEKLKYPSKEELREWNGENRNEF SVFRRDVCDFLLAVYPMLGVELIQVLQQRATSSLATQDWTTFEAAIFCMAQLSEAVDE NQHADTCLNAIFFSDEFARLCMGEGITIPDTPRQTLVDMLGQYKSYFERSHDLLPNVL TFLFASLEVGTCAPTASKSISYLCKSCRHALKFELPAFVSQFEQFQFKPTATSLTMER VLEGIAAIIQTLPTEEEKAQHLERILRFFRQQADVAREEVSHGLLEPATSRGQLVLRC LASIGKGLRADGEIVLDSSDINAEDPYPPTFWNTNNSVQSMIMECMQLLMTDFPLDIT MIEAACDILKAGYTEKTGPYVFPPILTVNFVKSLPLGSTGADVVMGTASAFLASHSAH SQRIRDEVVALIIHLYSAFCWMHERPESYDPEVANSGIDFLTRLIPKYHPFLFALTMT PQELGQNEAGQSQRPPILQAILNFTLIALQGQEPLPLRSAAQFWISVFNLPGGTTPHT SDPVQTAIRDCLPALCRILITRIAGQCARSDLDHLSEVLRRIIFKYQGLARPHLVATL DAVAASQAEQQKPVVSPEERQRFLAQLTVARNGRAQAIQVVRSFWVKCRGAGFDYVG ACHE_21367S MARASSTMQRTDSLDPATTCKGLTGNGRPCRRPLAADETGPAKY YCWQHKDQAAAAASAPATTHATSNYAAQSRTSVDTLMERLNINDPNAPPKKSKSKGGL LCCCFNLICGGDDEPTPPPRPVQSQSQSQSRPSSKPPAARPGGGQSRWIPSSLSPATQ KKLLSEIEKPVSDKDEDGYIYMFWVTPTSSSRSAPPPAEIGSSLFANFAENRDLPDQI RSIRNAIRAARDYNALATNPTDQNPGSVRLKIGRTNNVHRRINEWTKQCSHDLTLLRY YPYTEMSSLPPSANATEKKVPFVHRVEHLIHIELGDIRIRDMGPCPDCGKKHQEWFEV PAEREALQWVDGAIRRWCEWAEAHKRKQRR ACHE_21368A MAIPVENGYSNTAPQFKPESLPPPKPKQTSQFQPQSDKQSKIEY LHNLIYTYINPPATAALTNLQNHFNAHPLLTTFLVAQFLTSILPLLLFTTGVLTAGLV SAGLFAFLGLLVLGPVLVITGVLGVVAWGWGWGVFLVGRWVWGSYAERYRGGYGEGGM LRGRMGC ACHE_21369A MAQQNGVPDFTVKAGLAQMLKGGVIMDVVNAEQARIAEEAGAAA VMALERVPADIRKDGGVARMSDPGMIKEIMEAVTIPVMAKARIGHFVECQILEAVGVD YIDESEVLTPADDVHHVTKHNYKVPFVCGCRNLGEALRRISEGAAMIRTKGEAGTGDV VEAVKHMRTVNSDIARARAILQSSPNAEPELRLYARELGCSYELLRETAEKGRLPVVN FAAGGVATPADAALMMQMGCDGVFVGSGIFKSGDPKKRAKAIVQAVTHFKDPKVLAEV SQGLGEAIVGINVSHMAEGDKLAKRGW ACHE_21370S MSLLSRTSTVLGIGLGLSFTMNPLSPLRASPMQCQYSAPYYRTE APAAETGWGVPADDHLLSKQGKTRPGTSAGGFLTKNNMKQVSFGSVLGLVAGVGLRAF SRVLVVLIGMGFVFVEWAASKGYNIVPVNRLQRYVKNTDLQRALSKYAPFKVTFGLTM SLAAFAQF ACHE_21371A MRKQPDSGSDDEYHPVSTPKRQRTMANGSVSVNNNIIGNDSPEA SPTKRTPRKSIAATPAALKESGLKTPTQRAKAKALFTTPTKPTVVSTPTRARNADRSA KKKSARLLLEQDDEEVWDGADRLAEEILEDEHTAPDVTTVDGDKDVKESVEASAEPTE KPAQTPKRRAGRPKGARNKRSPTPEGELPPHERYFFQNRAGPTHTSNNTLNKVSLLTH EEYFDTLARYTDPCNREKEFLLDLHHRSFPQWDFEFDQGFNICLYGFGSKRRLLQSFA DWLYQKHSPASPSIVIVNGHTPNISIRSIFATIATAVLGADLPSKLGSQPVEVLELLQ SVLKSRPYQEPITVLINSIDAPPLRRAVNQALLSRLAATPMIRLLATADTPNFLLMWD INFRDQYNFVFHDCTTFSPFDAEFDIVEEVHGLLGRKGRRIGGKEGVGFVLKSLPENA QNLYRLLLTELITMLDEGHNSDDEDGGQGQGENNAHDETGIEFRMLYQKATEEFIASS EMMFRTLLKEFHDHQMITSRMDASGMEILGVPLSREEMEGVLEDLVLS ACHE_21372S MASQPAATMAASTFARMAKGQVRHYSAPLEMSIPQSKKKYIPAS GTYPKGFNVSGTHVGVKASNTKFPDLALISSETPCSAAAVFTTNKFQAAPVQVSRETL QSRRGSGIRSVVINSGCANAVTGKGGVEDAIGMGGKVDECNGVAEPSTIVMSTGVIGQ RLPISKILSKIPAAHANLSPTHDSWLTAARAICTTDTFPKLLSQTFTLPSSPGRTYSL AGMTKGAGMIHPNMATLLGVLCTDAPIEPPALQSLLKHAVDRSFNSISVDGDTSTNDT IAILANGAGGGAPVNSSSSNDYTAMRDVLTTFAQSLSQLVVRDGEGATKFVTVRVQNS PSYDSGKLIASTIARSPLVKTALYGRDANWGRILCAIGYTQGVEAGTVVPERTSVSFK PVDGSPVLKLLVNGEPEQVDEERASAILQHEDLEILVDLGGGEQGENGLGGEEATYWF CDFSHEYVTINGDYRT ACHE_21373A MSGLRFLDLIKPFTPLLPEVAAPETKVPFNQKLMWTGLTLLIFL VMSQMPLYGIVSSDTSDPLYWLRMMLASNRGTLMELGITPIISSGMVFQLLAGTHLID VNLDLKTDRELYQTAQKLFAIILSFGQACVYVLTGLYGQPSDLGAGICVLLIVQLVVA GLVVILLDELLQKGYGLGSGISLFIATNICESIVWKAFSPTTINTGRGPEFEGAIIAL FHLLLTWPDKQRALYEAFYRQNLPNVMNLLATVIVFASVIYLQGFRVEIPVKSSRQRG MRGSYPVRLFYTSNMPIMLQSALCSNIFLISQMLYSRFSDNILVKLLGVWEPREGSAQ LHAASGIAYYMSPPLNFKEALLDPVHTSVYIAFMLVACALFSKTWIEVSGSAPRDVAK QLKDQGLVMAGHREQSMYKELKRIIPTAAAFGGACIGALSVASDLLGALGSGTGILLA VTIIYGYFEIAAREGDFGSGLKGLVPGS ACHE_21374A MLQGLEQSSISLYPALDNLKPPSTAVLSAKGDSIQQPYDDRHMS HEEDVPAASLTQHINTSSPAKKFPAYISHRRVNTEGISRPTPLPSTQLFTDQSKTTED LDAYHTTALTRRRVGEGAKRFSDWFQGKSEPVNVGAMRQTSDEESPMTTSIKMERPTG LTQKRMTYPSPLKQATTPSRFSLFGKRQEQRPEPAEDELLNLDVTAALFPPGSDELEG QEAFNALRNNADTMIKRLQAAYKQRTFALHEANADKNEKQEELEETRTRVGHLKVQLD GMAEKVQEQERAIKAMAEELEQEKQTRQREEEARRHSVMLVKPPEDDVTSDSGAEFTA SKRSSKRASTFTSDSGFESGDESSADSIFSRRDGVVSPTSTVSTMALSPNIPQAALSP PTSNTLQPRKEPIRTTPQRPSAYDRLKGLASSTFGSNPSKCSICHGVPSSEAWTVLGV LKEENNGLKTRLGELETVVDDCLSLVD ACHE_21375S MAPSNVAGMKQLSNALATPDQLSNSSSFIDGVSPDLETSIRFAG AQLTQAAGVLLRLSQDVIAQAIVTFTRFWTGSEGGSLRIYSVKDVSAAALYLTAKLSF QPTSPRSVLNVYTFLLSKDASPLWFMNPKGAPEKPSPESYYLSEGGYQTQRTVLLRIE SIILRALGFDTHVALPHAIALTYLQTLGVQSPSVARRVFEHLNSALLSPQLLYITHQP NALAVAAIYLASREEGAKLVDEEWWQVFDVDREELGFLVVGMRSMEVFARAEREKWKG RTVPMVVDEVEAELERGRMMEEGE ACHE_21376S MNDGLVSPPLLSPFFLTTTYLLSTNKSGISSLTIINLQAQSSGI TMNILHSTLSTWRDRLAPVSRTSTFRTSGQITPEEFILAGDYLVYKFPSWSWSDASSP AQRVSYLPPGKQFLVTRGVPCHRRLNDNFAGDAGHEDEIVQDMLAGGGDGDGDEDGWL RTGGGRNVAESQADRLRDVRTVDESGNLGEREEEEEEIPDMEDDDDDEEAIIREPVGG SSTTQPTRTYNLYITYSNFYRTPRLYLSGYLSPSQPLPPHLMMEDIVGDYKDKTVTLE DFPWYDGNVKMASVHPCRHASVMKILLDRADAALKIRRDKLKHAQSSSSKVDSGLEGL VDDAKGLSLDSEQAKEGGDEWEVLQHDEEDQVAIRVDQYLVVFLKFVASVTPGIEHDF TMGV ACHE_21377A MAIKASLFFLMLTVGPATVLSIPMPEPTKTLQTRSSESGFDAGI DDGPYLTSHSSDEDDGSTSDNLNAGIPDGPHVNLYDTYTPPPPETTQYPSPEPTWTPE PIPVPSPEPTPVSPPPPPPVEPLPEPEEEPQPEPEPEPEEPEEEEEEVGECPAPEPEE VPEPEPELECPCEERFNSLEEKLAQISTQLDALRQVQVD ACHE_21378A MKPCLTKGLSGHGCLYKEVHWSPTVTTIQHPQSETSTSRPSSTL DIDYLEHVRSFLDHQREKFEVERGLFAEERRLWDEERRLLKSRIFELEANLRGNGNGY GAHSSVANAKSDLFGPPLPNYSKQVREGSNPRGKLAGIFGEAEAEKSSDQAISTPKSR VPREVIAQVMTPPSPAAPPALESQETLPSPSPTSRHRNALKLKLSELGPPDRNLTRDA GHTPMAAVVETETDTDQPPSPSEGNSLSRQPTENSDSYFPDLPEDPALKGPLSLLNEE EHDRGFLEELNQKLMDQTGDPSDGHKDQDKVEASSQAKQP ACHE_21379A MHAILEYWRKWALMAFCFCLRSALLAFSAFFMISYNNNTIMMND ELVFRDNRFVFGQMTLRHSPVISPLLKPEEYIIIIPFVYPSNCIAFQLSHTLTLLHYY MILSFAASEIWH ACHE_21380A MPGIIYPSTYQPSSRQTNPLKSNARNINLQSGLLRLPTELRLKI YEYALSVPNEYSNERPMIVVNDRGNAFTSRGRYRALQMCPSWENDDGTTRKLLRVNRL IHDEAEDFLYSHNTLFFRNSFDLDRLGAFLDTLSATARNRIRSVGFEVFFFVHLQTGV PKRTLKQYEQAGQLLQEKLPRWSTVLFYLDPRFYYPSAAVGGRELSARGVLYLATRFG AMRKEVHFFPVPGIHRHVMDEAQRLLRRGSRGSQERPSL ACHE_21381A MSVVVPAYNEEDRLGGMLEEAVNYLERMYGTLAANSDRNATATA TSDQKNTTRQRKPGNGQGSMCEEQKGWEILIVSDGSKDKTEDVAFKFARDHQLSLHPK GYAGPWTPRPQEGVPIPPGAIRVVTITQNRGKGGAVTHGLRHVRGQYVVFADADGATK FDDLGKLVDASKEIEDVDGRAVAVGSRAHMVGSEAVVKRSKLRNFLMHSFHLILWLLT PAKTATIKDTQCGFKLFSRASLPYIVPYMHSEGWIFDVEVLMLAEFARIPVAEVAVGW REVTGSKLNVIRDSIGMAWGLAVLRAAWSLGVYRRS ACHE_21382S MKMVGNGIAALGMMMIVHTMSATAQNMSYGTNNFYRSDNVTVQP ITFPSQYRMTIAGNLFISNDLNRSVDTPAIVVGHPMGAVKEQSANLYATKLAEQGFVT VSLDLPFWGGSEGEPRNAVSPDLYAEAFSAAVDYLGAQDFVARERIGALGICGSGSFV ISAAKIDPRMKAIATSSMYNMGAVNRNGLQESQSIEQRKDAIATAAQQRWTEVDGGEI QYTSGTPNEITADSPPVAREFYDFYRTSRGEFTPEGSTPELTTHPTLSSNVKFMNFYP FNDIETISPRPMLFISGNQAHSREFSEDAYARAAEPKELFWVAGAGHVDLYDRVDLIP FDKLTQFFWRNLGGN ACHE_21383S MGDIGATQSDYYDLGNHRRPVTTTSATAQLWFDRGLAWAYSFNH EEAVRCFTRASEADPNCAMALWGIAYAIGPNYNKAWTFFDRAGLKSSVEKATDALNRA ASLGGLITPVERALIKALTARFPPVENIPDDLKPLNRAYADAMRTVYQEFSDDIDVAG MFVEALMCISPRGLWNLDTGKPSGGHTVEARAVIEPALAGPGRNHPALCHLYIHLMEM SPYPELALPPADRLRRLVPDASHMLHMPTHIDIAVGDYRRGVESNEEAMVADDKYFAR ENTSGLYVAYRVHNICAKMYSAFISGRSQEAFSAAKRLEEIIDINVLTIASPPMADWT EGFLGSIAHVLVRFGRWEEILTLDLPEDRKLYCSKTATLLYARGIALSALGRTEEAEA TQKEFEAVRATVPPSRMSSIPCKMVDVFAVGSNMLNGELEYRKGNYEAAFTSLRKAIE LEDALPYSDPPPWMQPVRHALGGLLLEQNRVQEAELVFREDLGIAKDFPRRKARINNV WGLHGLHECLVRSGKIEEACGIAVQRDIAIGTADVPITTSCYCRLSAVDKPKTCCSEC ACHE_21384S MQKSCASPILINRPLITINYILQKNYNLTTAKMTTSVKLNDQIS IPILAYGTGTAWYKSSSDNSINRDLVEAIKTAIRLGYRHLDGAEVYGTEAELGVAIKE SGVPREELFITTKVITNIADIQGAINESLRKLQLDHVDLYLIHSPFFAKSDADLQSAW KELEQIQTAGKAKAIGVSNYRREHLEATLATASIPPAINQIEYHPYLQQGDLLPFHQE KGIKTASYGPLTPITRATGGPVDVKVKELASKYGVSGGDVLLRWSIEKGDVVITTSGK EERLKEYLRVLEFQLEDEEVEAISNLGREKHYRAFWRKQYGEE ACHE_21385A MDSVRAAVRPGKDDMDERYADEILEAYGLKDNLVNSDAMERLRA LVTDVCFHRVGYTISKKNPGLPVYVYRFDSQMCKVVASTEETLTTC ACHE_21386A MTITGNLQARHHPIRTIVESGKAAAAQAKLDTLILRIGVPANAP GAEKIAALRVLLAEKIVDPLNGQIMAAPNWDPN ACHE_21387A MNTPPSHGNIDEARKSWTTGPIPLAAVGFLPTRPANSNKRASSD LTAAKAARVAPSSTSSLSHKRGCTTAVRSVCAYDERPVKLLRSNSKVAKSPGYRHRKL RRSVSPKYPLVHRRNPHHSSASSQDRSPSFSPSSTGAQAIMALRELNQNNESEDNHTT DVQHQERSASGSGSNVSGRAINSTPMKSIPVPPEPTHGNSDDGEGKRRPPGDPTTMSS EEGPGTERMTSLQLPQLETHPLTEEQLVNEVRGIYAGLVMVEKKCIEIDKQQAESKNK LSDHQWQALISLHRTLLHEHHDFFLASQHPSASPVLKKLAEKYAMPARMWRYGIHSFL ELLRHRLPDSLEHTLTFLYLAYSMMTLLLESVPAFEDTWIECLGDLARYRMAVEESDL RDRETWAGVARYWYHQAADKSPNVGRIQHHLAVLARPDMLQQLFYYTKSLVSLHPFPS AGESIQLLFHPLLNGPKPYNQPVVASAFVAAHGNLFSQGPLSDLKVLVNEYLLHLDKY VGRLGNSFKLHGVYMASCNLAAISQYGVADAVVPAEFKEQQQDGSMTASDSWTSVDKV DAIEAEFCEFQDSQSQRTVYYGAHLAFKTLSAFLDHIGNKNVFPAVHAYLAFIWCMAL NNTSIKHIEVAVPWSKLATFLNAMLRSNTDLRVLERTEFPVADDRKCFPEDFLLRGQV WSQGYFPADHFKGAWAEDEGRTIEVPSLSMARMYRCLWLGVRLAMFHRWITYGPDFRS FTVTAFALELEKRVERLDPFATEPDAGSDKEMQDS ACHE_21388A MCYFYNEKMIENFNNELNICTADELFTKMHIGLHLCRENFVKSR NFNERLYDRTAKELLQKVNVHTFYLEFDTERAGGFEPLQYLPHNKNVVLGVVSSKYPE LEDFDATLARVYDAAGYISKRSGRSEREALEQICVSPQCGFVSHSSGNAVGMDDMVPR ACHE_21389S MLPRLQQLVWHIRPRLFSIPLKTSAKTTATMSAFTIPNHSNLTV TTIPGLSQDDLLQFPAFKIWLSTVQRSLERQQNHKHEFHKDPYVLRKIDIQSVDRFKG GRLGFVKFRADVSNSKGEGLPGSVFLRGGSVGMLLILQPNDVPPSNEDEKRAIVTIQP RIPAGSLAFSEIPAGMLDDSGTFAGAAAKEIQEETGLSIPQNELVDMTSLALQTMHET QDGEALQKAIYPSAGGSDEFIPLFLCQKRMSRHDIEGLQGRLTGMRQDGEKITLKVVP LKDLWKEGLRDGKTLAAWALYKGLSEEGRI ACHE_21390A MSTPNYAKSKFLSAGPLDPAEARWARLVKTIYLDPNGIERTWES AERQTRPKNCAIDGVGIITILNKSTGPELLLQKQYRPPIDMVVIEVPAGLIDPNESVE ECTVRELKEETGYVGVAEETSSVMYNDPGFCNTNLNMVHVRVDMSLPENQNPKPQLED NEFIECFSVPLSTLFEEVRKLENEGYAIDARVGTIAEGIELAKKLNL ACHE_21391A MGSTQLSQFKELPIRPAGIMWQDDFVSPDHEKRLISIFLNELKW PDRSGRLSLHYGYTFDYKTFGIDPDIPYTEFPDWLQPLIPTTESRPPDQVCLQYYPPG AGIPPHVDAHKAYDQLYALSFGAPVMMKFRRGEQQVDIDLTPRSMMQMTGDARLYWTH GIKKRKTDTLADGTVRPRGDRWSITYRWLREGECECGDIELCDTAQKRNGMEKENRSL KPLAANAIAQSPVN ACHE_21392A MLSDFLTSSYLQYKADTDAVASWLVATARNYGFPVETLGGNPSP SSRAPAPSKNQPSKRLKGKARKLAREGASKPAPTPSKKPDQQKHTLAVKDFVNLADYI AAATKSQANVPASFVAVLNRAILVRRKHGLQATARFPADIQSQASSDSHGHFIGILEY VQQALRPRMRSEDIKDRLTQPSDDASLENIQNITNKFDGLDVQEPSEAFVQAPEIAMP TPTNGKPEVDYEAERMQDFEEAYFGFNLLLRDFAKLRNVITRTWGGYQSGLFDLVSAS VMTNSAIDIARRMQEDVQQLFDKYGGSAKMLSAFYAAHCAQEGEDPEFKERFGDDMNF RTYNIAQSMFLPTFSFLNSFSVLVEGDNFLPFKQGYFGTFDRARDRSEKSAREKFSED KIIMLEILSDFLTMHRITPPRPFEDEFTRGLRKMFDTHEIPLWLVFAAQVFLDIHHVL RDGMQRGFEDFGRFAKLTESSIRQNLDFHSNLRIEGWPKRNDKAFEELLQFIDMSVTS DPTLLVQEALGSSPGEPFKLMKWHPMLCGLAMFYLKARYQELSLSFQAAWGSVMYSAH LYNALRKEKLLKGQWIDMNMVMAWHDEIFVGEPPSRPEDYLKRFSLSMGWSAASYARN RRQSARLPEAKSGPKTMKPIANVSQMFVDRYCKESGQTELTETDLGRILAQGMWNNDE EGEEGFISMSRSRKDTAKKRWEHSRQVTAAELLETLRNTIQSEVAEMSFDYLMLHRVC WRMLRSAKEACDIHLRKIFGSGYLEKESQLPFLVGYIFMAVMNTDYLGKQIAEEGEVV KSNLLLCAAEVVEGMIDTKSGSIVHKRLAAQGFQIEFEEED ACHE_21393A MPKITELFFDCDNTLVLSEELAFEACADLANEILEKRGISDRYT GSQLIQDFVGQNFRGMMISLQAKYKFELSKEELEDYVKREEDKVIAKLNEKAQPCIGV NEVLEKLYNSKKYDMAVVSSSALRRVQASIKKVGQDKWLDPELVFSAASSLAKPTSKP DPAIYLHALDKCGKKAEETVAIEDSKSGALSAIRAGIHCIAYVGSYSDDNKKLQMAQQ LEELGAKVVMKDWSEFEKCLGEIEAA ACHE_21394S MGTSEPFPVSLSDLHFPSTEQQTISQTLSSLRRSALSITNRLRS VQSDASFVQEVADHYDLPLVANERCGSWYIPPDAKAGSAYFKSTDGHTGQWDFSFRRL NLQVFPVAREHGGCIIVDSTRRGKLMPDALSKTVPIWCAVVNRAVFPSETDYHAVEFP PEYLPASEESQIENRIDGFVQSLKDLKLDLDNLRQQLTKPIRVAWANRSYFHPTDISK DPKYNLIVLCSASKRVHGAEMSEGGYIQGAGDDSEGWAHGLTPPVFWANQSLLFTTHE EDLPSVIQKLVDEHRRQDTGHQAILVAPTKNLYISQTDPRLNESGRYDLVIDCNGSPE AEAVQGNPRRLNLGCGHSKLGSRDLRKQLDKVKEFVETQMAKDPSRSLLVTCETGKDL SPAALLAVLCLFYDDDGEFMASPANRVMEKQFIRQRLAWIVSSKHDVNPSRSTLQSVN AFLMS ACHE_21395A MPNYAITDTLPLPNTAGRIPRLGFGVYRSPPNQCVTSCLKAFET GYRQIDTAQFYANEAEVGEAIRKSGIPRDQIFVTTKILSPAGSPEATYDKLLASVEKV GGPGGYVDLFLIHSSGSGSAGRKQLWQALERLYEEGKAKAIGVSNFGVKHIEELKQGA NVWPPHVNQIELHPWNQQRVIDAYCKKNGIAVQAYSPIVRNYKANDPTLVGLAEKYKR TTQQVLIRYSLQKEWVPLPKTDNPDRIIANASVFDFDISEEDMAVLNALDQGSAGAIV EAVENE ACHE_21396S MLQVEKQWINVQQKTFTKWLNDKIKVRNVFIDDLVVDLSDGVIL IHLLEILGAESLGKYASRPKLRVQKFENVNKSLDFVKGRGIQMTNIGAEDVVDGNRKI ILGLIWTLILRFTISDINEEGMTAKEGLLLWCQRKTACYDGVEVRDFSTSWNDGLAFC ALLDIHRPDLIDYDALDKSDHRGNMKRAFDIAANEIGIPDLLDVDDVCDVARPDERSL MTYIAYWFHAFSQLERVENAGRRVEKFVNNMHGAWEMQNSYERRMKELIRTIRGQRDG WKNSSFEGTYKDAKEQARQFSLYKRNHKRQWVAEKSDLAALLGNIKTKLSTYRLRAYE PPPELTPDACDQEWELLTKDEHERSQLINETIRDIKNALRRSFADKANDFALTLKTLS LAISGLDGDVEDQLAHVQRLNDNIPPLDAFLETIAAIDEQCAEANIEENDYTTYTLDE LSYELSLVKSSISKKLAFLDNQLVARNMTNLTPIQLEEFESVFRHFDRDSSNTLQELE FSAALASLGLVYDEDEMHDVYVETCGPARLQQNAGVSFEQFIRFMVSVTEDQNTAEQV FQSFKEVADGKVCLVRPGEIEKLTCALQPYVTEIDLRHSLIPDEVIEHLVQTMPPHDG PDLMEDRDLPKYDYISFMEKLMEANNNNDGMSGVSANGD ACHE_21397S MDRTLDEIIAERPQRQSQNQNRGGRRPQGRRRDGVKKSYREDRP DLDLDWVHDKYEDDREARPSRGQRRTRGDRYSPDPDRISALTKIRVENLHYDITETDL EDLFTRIGPILDLSLVYDRAGRSEGVAYVTYNRLSDAKTAIGEFDGANAKGQPIRLTL VPGGGGRGRQDRNPFDNVERPRGSLLDRVERPRDSRSLSPEGAEGGRRRRGRGGAGAG PAGRRSDVSKPPPEHIDRYVPGQGRRSPTRRNGGDGRRRGGGRREDGNKTANGRPRKT QEELDQEMEDYWGGSANAGAGAADQEAAQDEPQQVAPATTAAAGDDDVDMIE ACHE_21398A MASDIPKVVPLTCHGHSRPVPHINFSSTVEDDQYYLISACKDNN PMLRDGITGDWIGTFFGHKGAVWQARLSVDANIAATAAADFSAKVWDTHTGESLHTLQ HAHICRAVAFPIQPNPQVLATGGVEKKLRIFDLTRGGDNSTSSSPTGTQPNTDPTSYE IGPGVHGGTIKSIIWNKDYNILTTAAEDRKIRWWDLRSRHPVLEYTVDGPIGSCELNS LAFRPNDPGILSVAAGKSVYLFDGMSPGQMLKKIDFSYDVASVAVNSESGKLVTGSAE DTWARVYDLHTGQELEVQKGHHGPIWSVSFSPDGKLYGTGSEDGTIKLWKACRESYGL WR ACHE_21399S MADFKISASLEGHGDDVRAVAYPNPNAVLSASRDATVRLWKLVS TPPPAYDYTITTHGTAFINTLAYYPPTPEFPEGLVFSGGQDTIIEARQPGKAVDDNAD AMLLGHGHNVCSLDVCPKGGWIVSGSWDSTARLWRLGKWECDVVMEGHEGSVWTVLAY DQNTIITGCADKIIRIFDTAGNLLRSIKDSRDVVRALCKLPPSHPTGAQFASAGNDGV IRLFTIQGTQIAELHGHESFIYSLDVLPSGELVSSGEDRTVRVWNGTQCVQTITHPAI SVWSVAACKENGDIVTGASDRITRVFSRSPERHASPEVVQQFDSAVRESAIPEQQVGK INKEKLPGPDFLKQKSGTKEGQVQMIREHNGSVTAHTWSSATQEWIAVGTVVDAAGSG SKTTYAGQDYDYVFDVDVEDGKPPLKLPFNVSQNPYDAATKFIGDNELPVSYLEQVAQ FIIQNTQGATLGPQEAATGPDPWGTERRYRPGETEAPSVPESRPKVLPQKTYLSIKSA NLKVVAKKLQELNDQLVSGGSKEVSLGPSELDTIIELCSELESSGTLKQSPAVEAGVG YLFKVATTWPVANRLPGLDLLRLLASATPITATTAYNGQDLVSGIQSSGVFNPPLNVN NAMLTVRMLANLFETDPGRELTTSKFDQILVGIKSSLASNGTPNRNLTIAIATLYINY AVYFTTEGRESAPESSERGLVLIEESAKMLSGEKDSEAVYRTLVALGTLIKSLGEEVK SAAKDVYDVESILGNVSGSAIGKEPRVKGVIGEIKESLA ACHE_21400A MFRSLLSIRRPSTTFRIYTTTTKMSLKAISSKDAAALDKDLMEI GGWSLDQLMELAGLSVSQAVYRVHPLSSGKNILVVCGPGNNGGDGLVAARHLAQYGYN PSIYYPKEGKNELYQRLEIQLNNLHIPFVSDFSEALKSTHFIVDAIFGFSFGGPLRDP FPSIISQIESASVPVLSVDAPSSWDIQEGPPKEGPGAKFMPQSLISLSAPKPCVKYYK GRHFIGGRFLTKAIVEKYGLDLPQYPGIDQVLEIGVDAEGRL ACHE_21401S MVVRIRLSRFGNKHQPFYNICVSQARSARDSKPLEVIGTYNPIP QRPTNLSTEQARNARPYKEVALDRSRAKYWLGVGAQPSDGVWKLLGLAGLADGKSMTK TKTERSE ACHE_21402S MSGSSSQSTRTSPGSYSTTQRTASISSGSSPPQYSLPSSSLPPT TAQNAMSTDVYSPTASHPPTSFSNSFSHPFSPIGTSSAFDGAAPATMRLNEPDRSAQS LNGFSRAGSIGGGAILMRKLPRNTSREALRSMLLFAKDFVDADFVPVDLPEDTGYLSA IARFNSMAAAEEARALLHGKPNSKNDANMIVEIVHGSVGPNLNPGRRNTIDYTATRNL LGNVPTNGPMSRSSRFNGTFQSLERLAAVADNNDALPPSSETRLHNLFSPQSPIGNGV NDLPRISGKSMIDQDPDEETGELLKDPVGYAENGHSASTAIPRRSTNPQFPVSRFANM SLSNNMTSPPLPNYGPGGGSGNAANGGPGRMGNYAYPQNVNNGMGGNHGFHYGNQHNP RHSLPAANPNDLNPPCNTLYVGNLPPDTSEEELKALFSKQRGYKRLCFRNKQNGPMCF VEFDEVAMASKALNELYGYKLSNSVKTGIRLSFSKNPLGVRSGQPGSMANPNHLSPQG VVPGNNNVGAIHNNNNNNNNSSHMFSSVNGPPPGLAAPPGLMMPLALRNNGPIHPSAN HAHPAMASNGSFNPNAGLGIRANGMNPMMSPTPPLVHGSGTPGPNAGGYNSYYPDYMM GR ACHE_21403S MPPRIQTQRVSNSVLPYLSPNTSTFVSSSSSSSCTSLPSSTSCP RRTFSSTPAAQTRLRSHMFEWLNSEGRKLQYHEPNVYKYVTNLKDLGKDSMGPEQLSK RPFPLNQNFVSEPILSEEMRAEIYKRVTQDKKSVRAVSIELKVDMRRVAAVVRLMEME KAWRSQGKPLALPYARAIQEMVPTTRYSSDPRDQRPHESINDLPVHRLTDPQIFYPVS ESRQFTRVDAGRVFSGAPRLPNSTVEEIANDPSTAISKATQKPHLIERVGKGEQETQV LQPADARIPHPYLVAHERDSINKPNERNENIKRFASRLQEEERVGAERKQAAEQRREN RTQKVNPEDSRFEFRFTDVVVSKETTGADGRGYKAPGRRYGVPSYERKKGQVKIPTRV NV ACHE_21404A MPDRLSRLFKSKLLRRSSTSTVPLKKQKADSRAVDSSTLTSSPS DNVVSSCAPFFPSPSSPVESTAKPRAYSVVSSLPLDHPSSPNEILAGAGPATPATGTS ADYQGHHHNHQQHQHHHQQGQYFDSTEGSESAGKGRPDEVRTRRGSQPKSSDRSSNSL DPGPTTTTAASAAADQWQQPDQLRKRNDFSGLQSTRLPISSLPLSDPFGGALHSPRLL QEQDQQRSGVNPTTTTTVDPRASTPSLAPVAELVPSDQIPNTSNYHHSSAAPPPTSTS SLKRPSLVVRRQSLLPPNQQHLVSGILDPGLLFHNGTQGTSSRGVPVNVEMPTRKIWV RRPGGSPTLVPILEDAVVDELRDQVIVKFTNSLGKTFDSPDIVIKIVPRDGSTKQSTP ERLLNPEESLTSVLDNYFPGGQRVEDALIIDAPPRRTPKPSPRPMYYHHSDSAEHGDY FSIVPMNANVSTPPTHVSSSATSVNAHPTPSISILATGKAPPLPSPGSTRTNRHARRN PTIRHPTHPTNSPTSLQTPVQKVESVTPAVQSPQAPPAAATPPLPPPPESPQSKTLTP PTRGSSPRARPSYKDKKPNSPLSLSAAFGGLIEGTVPPINVLIVEDNIINQKLLEAFM KRLSVRWKCAANGEEAVKKWRQGGFHLVLMDIQLPVMNGLEATKEIRRLERLNGIGVF PKTPDGRSSATNANTYFTPGAGQGASSTIKEEDTLHDRTLFKSPVIIVALTASSLQSD RHEALAAGCNDFLTKPVGFPWLEQKVTEWGCMQALIDFDGWRKWRGFNDDPEPSPSPT TDGHTSPMHMSTAVTKPPSPVSPSSTRSPAVKSQVDGAVPAFEGEGRGEEVEDPPRLP EQTEIAREDGE ACHE_21405A MVAYSAQSPSLHKPTPPRKMAKFRASNVLGDPFALATISISILA WLIAFVASIFSDVKGGFPNYSWWAIAYMFCVITGIIVVFGSDTGHIYNVALVAYLAAG LVFTTLAPNTLVYQKQASSQAAGAGFILLSMVIIVWIFYFGSSPQASHRGFIDSFALN KEQSAYGNNQRHMSNVYGNRPETSTSQMPQMYTSAQLNGFETSSPVSGYPGGPPGAEN PHASRFGGSVTGNPGDIPSEVPQPTEYPYRAKAIYSYDANPEDANEISFSKHEILEVS DVSGRWWQARKATGEAGIAPSNYLILL ACHE_21406S MDFILNFPEMSRRAPAGVLWLLLFASGMIIWSGGYAFQKWTSRH SLQELEDYIEFKRDRIYLSPLFIYIFRGMYFWQSYRYWLIGTLSNAPSVTTILAGAYT TFQSLGCLVAWRLGAMEKPTTPQFAVDWIACMVALVVAIPCDLSVTPTSTVSGERAEI KLDELERAESNDQGRTMQSLEIDDKSD ACHE_21407A MGIIRGTLKLTSIGTAATLGTFFWATRDSTFVPLPVTDHIFQSK LFSKFNPSKNPTTHDLCIRKVPLSEINPALLEKKGKLVEAFCAGVWSGWGYAFQRAYL ARKYQGPETANHLWSPEELRSSTYDKGTLITDHFEVVEKTPERIVVRCGDSPRLRDVR ESDGLFEMSAVVKPDEGVAVFGLKSCFYKGLGKADSEPMPPHIVWLHKQYTKLWSETA IRNVLR ACHE_21408S MADQKEQSSYEGVASHIESMVDENAPPKISPDDLKDETRVDPDN PQNWSLVAKYTTYLTICAFTFLANVNSSNFTVATKAIIQEFHVTQTQAGELVCFNVFL FGLGNIVWVPLMRVVGKRPVYLLAMIILCMMNVWSSQAASYRELLASRILSGFAAAAA DATVPAVVGDMVAPQERGHYLMVFHLAMTGGLFVGPLINAYLVQEQDWRWMCYFLAIA VGVVFVFAVFTIRETSYLQEDGVGTEEKRTQRQWMSLSIGYDRQATFVRTLMDILTNA AYPPLIWCAFTIGISVGWNIVVQLTASQTFTAPPYDWPAGSMGLLSLSGFIGSVLAFY VGGRLIDIISTRLTHHRGGQRIPEYRLPAIAIPGTIGPAGILIFGLCIANRTHWIGAA FGYAMQAFGVAAISNVAVTYSLDCYKPVAGEALVIIFVIRNTIGMLLSLYASDWITRQ GAAAVFGEMTAIQVASILLAIPLFFWGRRLHRTTLRYGPMKRFQDGQ ACHE_21409S MPKAFTPIRVGAMDLAQRIAMAPMTRFRADDAHVPLPIMKDYYQ QRAVVSRSLIITEATFISPRAGGYDNIPGIYNDEQIAMWRKVTDAVHAKNSYIVLQLW ALGRAADPSVLGRDQLPVVSSSATPMMPEKPAPRALNESEIHEFIQDYAQAAKNAVKA GFDTVEIHGANGYLIDQFTQDTCNTRNDKWGGSVENRSRFTLEVTKAVVDAIGAERTG IRLSPWSSFQGMRMKDVVPQFTHLAKELAKFKLAYVHLVESRISGPGDMPQSADKLDF FLQAYGNASPVIVAGGYTANKIDEALEKTYSDYDVIVGIGRYFTSNPDLIYRIRQGIP LRPYEREHFYTPKDPCGYIDYDFSREWECCEADEGGCQP ACHE_21410S MPSSKPVYYYKNKKGKIETISDTANTDERARRRNQLADIKTFLD QLNHYLLTPEDLMGCQRHERMSLEEDPKPLYFTPYEGPIEETENDVLLGYYAKCEPDD GHYTRAYCLVHAIES ACHE_21411A MAAGLLKLKLSSWANKSRRSGLKRDGDIIDINSIRNLLIQNGEK MPLKGLKGDAANGLWDWIQEFKDLKTWQLLDSSYKGRQGTGMIESRLGC ACHE_21412A MGAGNSKPEASAGSKHVFSSNSPVQFSSNLVEALQTSSETDSSR AKTLELQIQERVAKELERLREREQKTLADIEQRLAEVKDTAATATATSTPNISHTPGS LDLDAPRIPFAGREYTPVAAPVDRPINRDLTRTAVQTEIDQLREKLDGRKKLIEVGEN VERARSDVASCLRLNDRRPLDCWKEVEGFKREVAKLEETFVDRVVG ACHE_21413S MSFILDEANRIAHLFDYPAEQVHRGVAEYIRQSNEGLTQENTTL SQIPTFVTSVPNGTEKGLYLAVDLGGTNFRVCSIDLHGDTTFSVTQSKIMVPGELMSA ENSRDLFVFLARQIEAFLRIHHNEHFEAHLQRCRDGTATQEMFDLGFTFSFPVRQSGI NKGTLIRWTKGYNIPDAVGQDVCALLQSAIDELQLPVRVAALVNDTVGTLMARSYTSP GKTRTLIGAIFGTGTNGAYLEKLDRVSKLENSEYGKYDKSTGEMLINTEWGSFDNHLS VLPNTIYDQQVDADSNNPGIQMFEKRVSGMFLGEVLRRALVEMYHNPSVNLFKPNETS NVITPDDSPLFKQWGIDTSLLSLVETDKTENREHVKKALQDHLKIEKASITECKAVQV IGHAIATRAARLGAVPLAAIAISTGRLQTDEMIDVGVDGSLVEFYPNFEGHIREALRQ VPDVGPEGEKKIRIGISKDGSGVGAALIALVAGREVEAMKRQ ACHE_21414S MRQTSECKGFRHLGQSMDTIEMNSTETLDKPWLRKTPSRTSTLV NSPRPGSPLKYYYEELEPVLRREDTEEEHEYPTLWKLTLITIGICLCVFCMALDNTIM ATAIPKITSQFNSLDDVGWYGSAYLLPTCALTLVFGKLYTFYSIKWVYLLALFIFELG SFICGVTPTSIGLIMGRAIAGLGGAGLFSGSILIVTQTVQLHRRPLYTGMVGAMFGVA SVAGPLMGGALTDHVSWRWCFYINLPIGFVTAVFILAFFQSPKAIKNRTGFKHQISEL DIPGTMFFLPGVVCGLLALQWGGIKYEWSDRRVIALFVCCGVLILLFCAVQWWRQEKA TIPPRLIKDRNMWGSAWYAFCIGGCFFIYVYYLPLWFQAIKGASATKSGIMNLPMILG VVICSVLAGALVTWIGYYTPFMIACSVIMTIGAGLLTILQPDSNHNAWIGYQALFGIG LGMGIQQPMIVVQTSLAAGDIPVATAVNMFAQTLGGALFIAVGQNVFSNRLLDNMVKS VPPLEIAKVMDAGATLVRQVVSPEWLPEVLQAYSDAITEAFYPGVAMGGLSIIGALVI QWRSVRGKDIDMGDM ACHE_21415A MPPSPLTATLLQSTILNAISNLLAQAIDQHKQNKPFTLNIPAFL AFIIYGAIVVPPNFYWQRYIEVRFPGFPNLRNVFKSNTEKDKPVLDLEDSLPRKEKPP RRQTFSSGPSSSPSGSGWRNFAMKFTLDQTVAGVVNIVLFIVLINLLKGEVGIGGLWK LVCDDLPPIMIARLKFRPLVSGLMYTVIPVDRRVVFASACGVIWGVYLSLYAAV ACHE_21416A MLIQSVLENLSVSTGIWGLGLLLSGLTIIYIFYNRFLSPLRHIP GPFLASITPWVQLYHGYKGDRHLWLHNLHKQYGPHVRVAPNFVSINSVQGLYDIYGHG KRLKKADFYNAFPAIKGVYNTHNAIDKVVHGRKRRVLSQAFSDHALKGMEDVMLLHVR QLCAVLSGEFSDGKKDGGKGVVCNMGDLFSYLTYDVMGELCFGKSFDMLISKTRRQMV ELVDKAAHRHYVCGLWMPLDRWHLDQIFIRRLTQDRWNFIMKSRVEANERAKERAKLG RESKKDFFYYLLNAKDPETGKGLTTPELWGEANVLMIAGSDTTATTLAGTIFYLVRNP HAMARLKQEVRESFDSVEEIISGPRLNELVYLKACMDEAMRLSPAAPGALPREVMDGG VVVDGIFLPEGTNCGTPIYSIHRQAQYYREPESYVPERWIEGETCQTGTASWKTSKEQ VELSRRALCPFSIGPRGCIAKSMAFMEMRLTIARMVFSFDMELADRFGEDEGGHLALV DHFTSSKEGPNVRVWRR ACHE_21417A MSAANLVSWGTPRLAQLLPLDEESLGEIITYSATLSKEECAEHL KNLLGDSPGAFEFIASFNSRREAQQPSPPRSGESTPAAGSRNNYDKGGTTKKGGKKGR APLHSAGPPRRPENYGDVTGGYRKAEKDEDYMSMARSQNNNAGGDAASSLSAEPAALL RPSPRESAASLRNPSPAPKNKAPPSASGPVISELLPNVKSKSAKPSSSRQGGSAAAGS FKNSLTTNDISDLTAAIAALEVSTNPTLSAERRKCTCYASIHPLFDPAPNCLSCGKII CSLEGLQPCSFCGTPLLSNDEVQSMIKELRAERGQEKMRVHNEGVQREGGSRPAPGSG GSSSQLDAAKAHRDRLLNFQAQNARRTRVVDEAADFETPNLASTIWMSPAQRALALKK QQRVLREMEEKARPEWEKRKTIMSLDIKGGRVRKVYHEAPAESGPSKEEQEEEEKAEE ILAAADGQQRTGGDAFSHNPLIAAGGLLRPVWKGSSGDKPEARNERKPQTWRRVQDDK DDNEQWILDGGLHGHTTDLSPVHCQYSYG ACHE_21418A MAESNGTETGKTYPSGTIAKVAYDILNDTFYNIIHDVVAKVHRD EKVARMRSAVVIARQKAEEEAAKNREEAGAKGSGSVKPSGPDAEEQSLKEVRVETDAA IFDNGKAYLKGNPMQTTKEIICPECRLPRLLYPVTGVGARAVPDPDREYCSQQPLIRK PGHDVHGNLFATDKVNSKKKNKHNTPTSSPPADGHAAPEAPGFPTTKCLNCPRYFVVS RVQQHMDRCMGYSGRNATRNRGSGDTGSGSTPTPSTSTSAAPKRPLADDDATPSTTLT KKKKLNAPKKLSKPPAASSKLKNGLTPDDFAENGDADIKSEANGDD ACHE_21419S MAYQLFSKAHQPKNKTPRAAPIQSPYNNDRYRPPIHPPPPVPPQ STVPASKPPASSGDTAVEPTPYPHPTPSGYPTPHPHITIETVSTAHIPSLIRITSLLL PIRYPNSFYTATVTDPVISSLSRVAIYHDHPVAEAPTSTPSPKTSLAAGTARNIGADK VIGGIRCRLERLPQTTSELLRANQSHGNLAQEPTNLYIQTLHLLSPYRGNGVAASLLD ALLFATPPGHSLASRTEEGDGAGYQVSKLVRHYSIRSVTAHVHEANDEGLKWYMARGF QVEDGVIENYYRRLKPSGARIVKLALQWRDDDEDNEGTVLSNENCKEQNEESLYDGDD EDWEKVEAEDEDEKQDHGVRPFTESRILDIEEGSNRKRKAEEEPL ACHE_21420A MSDSVSISSWEPETPSSGPIYSQRHQAISPLSSTRNRRSIDGNT LSMFRCNMPWEESSEDDNLWSDAATAGTVLSLLHREPLSPQRNTRRPPLFDSTASERQ RSRCVLCFQVMDRLSYPNPLLAANCDHSAIPEVRMCRVCLQRCIDIQFTSSGEEPLSC PLCRALLSHDEIRQWANPQTFQAYDSMKTREALEDDSEFITCVRPDCGNGQLHVGGRE NPIVVCRSCGTRMCFAHRGAPWHEGFSCEEYDRLFVYTGDQQRPGNDASQNGCRWLRR LGGWRAYRADARQHATGSELDHFGPINWTTEDLRSQRTIWQIAKPCPRCNAITEREGG CKYMRCVLCWQEWCWDCGGFWQPGHLEINCSLYRS ACHE_21421S MAQTAATTAPQQQPTPPSLALPPSQFARLQPHAYLLAHLSPPAS SKQPSIRANGRSPLQFRVTSANAGSLTHTNGSAVVRVGDTAAVCGVRAEILHTDDIAS WSVSRPTAPESNNKRRKLADTNQKLSQKTADVGTRNIEEDDSEDDQEDESYIQDFNLL VPNLSLSTGCAPGFLPGAPPSSLAQALSHQILSLLHSSRLVREDDLRIWYQPPDLGAE DLERHNENEQMDVDAAHDDQQQKNREIKAFWVLYIDIMIISLAGNPFDAAWAAVVAAL RDTKLPRAWWDEDNEMVLCSEAVSEAKKLSLRGMPVASSFTVFEADAAAGWRAVVIPD AEEEEEIDEAKRKGLQRRWILADPDGYEEGLSQERVSVVVDKKRDGKVVIVRMEKNGG WAVGPKELRQLIDVSAQRWDEVKRILEQC ACHE_21422A MADEVSPVAEFLGQVIYQFTVLKPFLPTYGHLLVSALFPIYIGA HASLSRPSSAAKPPKKKGKKGGRETDDEDEDEEQSSMPKMEGLQPSDALMFPLTAGLT LGGLYLVIKWLGDPAILNKILSFYFSQMGLFFAVAFLKDSLTVFRSFIFPKQYHQGGK IWKANQSERVFTVGDGPETVEVRKSPLPGVLGSIPFPSFVLATLWFYRDLAYQRVKIR AHVRGLFDAKGRLGLSDLLSAIVGLFAVGYFAFLTKPWWLTNFLGFSFCYGALQFMSP STFWTGSLILGSLFFYDIYFVFFTPLMVTVATKLDIPIKLLFPRPPAPSEAPDTTSLA MLGLGDIVIPGMMLGLALRFDLYLYYKRKGLQKAQAEDKGEAFVKPQYQTATGGWGER FWTGAVKPEGPELEPPYHDARAFPKTYFKASVIGYISGMVTTLLAMQYSKHAQPALLY LVPGVLISLWGTAFFRKETRDMWEFSDAEEVEEEDEKEEKHEKTGDDKEKKDQNKEKN TKSVFLRLLSGDASALKLETPEKKADEKENKDGKYDGEGSEAAKARGGGSTKKDGKKK KDKSHDLNLVSFSISLPRKPSRESKGKTDKSQSSLSDRSGDEDQDKPVKVPTVVLEQD SEPPAKRLRRSPRTADASANATASL ACHE_21423S MATDVAFDTSMGSFTVELYNEHAPKTCKNFATLAQRGYYNNVIF HRIIPNFMVQTGDPTGTGRGGSSIYGEKFEDEIQQNLKHTGAGILSMANSGPNTNGSQ FFVTLAPTPWLDGKHTIFGRVKSGMRVIQRMGLVKTNAEDKPVDEVKIIRARVIEAEE ACHE_21424S MESLAETPWDVTISGTGLGQSLLALALSRSDKKILHVDKCPYYG GSEAAFSLQEAQEWADNVNEEPGQSPFEDAEVHSPSDSRLSSSRAYTLSLSPHLIYSR SRLLPTLLSSKVYRQLEFQAVGSWWIFRPSEANSNLYRVPSSREDIFADDFISAKSKR TLMKFLRHIGKPPRETEGETEGQSEEENLSMPFSEYLSSKFQVPAELHDPLLSLSLSQ ASAQQTSVEYAVPRIKRHLASIGVFGPGFGSLLAKWGGGSELAQVGCRALAVGGGVYV LNAGIESIASPSNFDNGDDARMQIKLSNGESIRTKFVVGSHWDLPGQMGNDQPSCEKA ARSISVVSSSLESLFPITAEGGPLPAGAVVVFPGSSLGQAEDSRPVYLLIHSSETGEC PTGQSVIYGSVRLSGPQGYSLLETAIQKLLESVGDAEAKVLWSLRYTQLGRVGDVIDA AQALKSSSPSESMICFPPLSLDHAFDDALLEMVKEAWKIVQGEEAIDEDFMKFEDREG VGDEETRSP ACHE_21425S MLKSSLRPNSSVKMPMLRLKTIFPLVALFSIGFFFWAMERFDRA AFVRFKHPVDRVSTPPPTAPQIQLQQSETPHPSSSSSSSSKACEFEPAGPPPMPFTEW IERKNYTRMYFRPHHVNPRTEFRSLESIDQPVMPPMTVLERGTIVSQDNENPSLPCPS VIDVDVAADDTMDETSKMLFGLATTADRLDRLLPSLLYSYGGTKAGLVVLVPESDDDI PKQETYFRNRGLDVRLIQSPLDFTARYFGMVEAFANIIRTERPQVQWLGFMDDDTFFL SLPTIAEELKLFDVNKKHYIGTLSEASWQVDTFGHIAFGGAGAFVSKPLLDVLETYYD ECQSWGEQPGDQKLGQCIQRFGETPLTLWPSLFQMDMKGEVDGVYESGRKIESMHHWN SWYTKDVVKMVTVSAAAGRKSVLRRWVFDQEEYINEATGGSIRQFWVFTNGYSLVKYT YDEYTPDDAINFDQTEKTWEEDPRGYEARLGPLRPKDQEGVTKDRWLLKEAFVVGDNV HQWYVREEDEGHSVIEVVWLGPKGGGGAGVGPGGYLKAP ACHE_21426A MGKLGDLSPQGSVAVGVFVGLISTSVQAIGLTLQRKSHILEDEK DPYEIRRPPYKRRRWQLGMLMFVVSNIVGSTIQITTLPLPVLSTLQASGLVFNTIFAT VILGEAFTRFSLLGTILVCIGAILIATFGAIGEPPHTLDQLLMLLQRHPFILWVAGTV ILMLGILAGSRMLRLLSSSPRWRHSSARRLQVVHSRIRLIRGMCFGLVSGILSAHTLL LAKSAVELLVRTIVYRTNQFNRWQSWIILIGVVALALSQLFFLHRGLKLCSTSVLYPF VFCIYNIIAILDGLIYFRQVSDLGGLHAGLIALGTVILLNGVLCLSWRLEDIDNHAAV TVVGSSQTGLGPGMAVMEENHLHGLGLDGEEGQPDERQPLLQAAPPQRRSTHRRTPSL PSSFAPTQHRPSAPDLDSASIWAELDDSEYPGSPSSPFYRPTNPIPARPRSKSGTYAT LRNGVLRRSQRSTTNPILNYRNWDPRRIPPSVREGKSQQRSPASESASSPLKSQTQWE SNGVNVSYGTQDTRNDQLFRGSQRPSPEQQPDPNNEPAPRVRFLAGPRNPLANAWRTG AQFLSRWTGQRQQLGNDRERSPDLENRGPSPS ACHE_21427S MSQHALSDDQVAGELRKMTAFIHQEALEKAREINIKADEEFAIE KSKLVRQETAAIDTLYEKKFKQAAMSQQITRSTLANRTRLRVLSGRQELLDDLFQQAR EKVTGIAGGDAKKYEEVLKGLVLEGLYTLNEDKVSVRARKKDYDNVKKAIEEATAAFK KAVGKDTAVELDEEEPLPEGSAGGVSIIGGHGKIEIVNTFEERLRLLEIDALPAVREI LFGKNENRKFTD ACHE_21428A MPPSHSIADFFKRPDFALNTPGSPTNNDRPRSSIDSPTTSPLTE PPSSSLPNHASPPSFNLPTARGDKLQVKKDDDERPPQPNFPNADSTVADNSTRRMING KEVVISSDGEDTDSIASLESPEELFAQLGSGPKDAADKKSGETRETRLSINDVKLSKF NAKNSSVPKYKNTLDALVTDAVDDNETEASIAKIRATIKLEEESTAATGSDEQDKQIH EGMLTSALGDKDDELGLQRLLDAVRRTEAFDHEKAWSFFSNKVTPPPVPEFPRDSVAP GTYLAVLREPGSRERAFHSGIVDFALSKQFLPDELVTWIFHSVPAEPRDELRQVYCRV FKHATAERVRSLIRPNDIDMLFEQLGATPKALALKEPVSPDPQSAPDTSFNNQKPLLS ILELLRGAADLFADDTRERILVILFRLTIDVSLMKDTIVCSEVERTITTVLEAIPEDG TDDTIHRICTSLYDTIKDPAFQSRLLKHTLPTSSWISLLRCRLAVAYLTRDPTPLNEP PEAVLDLKRMTQVLRNRRFDVKLYKGKGCAEYDYGELGSIITLLNIAIDPGWTVLGFP RKDAEKAFNAEIDVLADRIKKIFTSIEDSGVSHLKRTLAKEGLEALHYRVLYSVRSKP QPRKVGFQVEVRENNGNTMNNYFGRTSKEGGNMESSLMDEGKSS ACHE_21429S MSSLQVEGAANNDPGADDRELSNQISKPTKRQRWATTRMGTASG VRKRVSIMDRLHRRSHLNDEKRKSAAANSSSGGDASADHEEKGPNRRVYFNIPIPESE RDEEGFPNNNYPRNKIRTAKYSPITFVPMNIWFQFHNIANIYFLFVIILNIPSIFGAN NPGLNAVPLIVIVVVTAIKDAIEDWRRTVLDNELNNSPVYRLVDWSNVNSSEDNVSLW RRFKKACTRATVTTYRFCRDFINEKRGIQPKKEDRIDESFMDTADPRASVYTQRDLGL SNRDQGLDDAAIQMTPVPSPTPEARPDWPMSNGDSSKFLHPDKAVREPSPSPTPSAAT PRKGGSVLDMAKQTPQTARFKRDYWKSVQVGDFIRLYNGDPVPADLVVLSTSDMDGAC YVETKNLDGETNLKVRQALNCGRQVRHARDCEKAEFVIESEAPTANLYSYNASIRWDQ RDPDFPDAPRKEMVEPITINNMLLRGCSLRNTEWILGVVVFTGSESKIMLNSGITPTK RARLAKDLNWNVIYNFIILFLMCLVMGIVNGIAWASSNKSLNYFDYSPPGDTAPPVTG IVTFWVAVVLFQNLVPISLYISLEIVRTIQAVFIHCDVFMYYDKLEMACVPKSWNISD DVGQIEYIFSDKTGTLTQNVMEFKKCTINGVPYGEAYTEAQAGMKRREGGDSEREAAE AQEKIAADTVKMLEMLRNMHDNPYLRDENLTFVSPHFVSDLEGQSGKKQKEAAEHFMV ALAVCHTVITEQTPGDPPQIEFKAQSPDEAALVSAARDCGFTVIGRAGDDLIVNVMGQ ERTYTVMNTLEFNSSRKRMSAIVRMPDGSIRLFCKGADSIIYSRLAPGKQHELRQKTS EQLEMFAREGLRTLCIADRELSEEEYQTWSREHDIAAAALTDREEKLEQVSSAIEQEL MLIGGTAIEDRLQDGVPDTISLLADAGIKLWVLTGDKVETAINIGYSCNLLNNNMELI VFNIPESQPRQAAQELANHLQKFGLTGSDEELMAARGEHAPPPPTHAVVIDGDTLKLM LSDELKQKFLLLCKQCRSVLCCRVSPAQKAAVVKLVKNGLNIMALSIGDGANDVAMIQ EADVGVGIAGEEGRQAAMSSDYAIGQFRFLQRLILVHGRYSYRRMGETIANFFYKNLV WTFALFWYCIYNDFDGSYLFDYTYIVLVNVAFTSLPVIFMGILDQDVDDKVSLAVPQL YMRGIERKEWSQLKFWLYMGDGLYQSIICFFMPYLLYAPANFITANGLNVNDRTRMGV LVASAAVIASNTYVIMNTYRWDWLTVLINAISSLLIFFWTGVYSCFTAAGTFYHSAAE VYGTLSFWVVLLITVIICLLPRFTVKSIQKVFFPYDVDIVREWVTMGKFKHLEENETY VPPSVAPGSGDASATSSDLGKPIEPSMKQDPFSDDHQAMYPPTRATHMRSLNGSNGTN YTDSLDHAQHHAQPMDFVRPAQTCHSFDRDLPSYEATNDFSTAGAHYQQVPHSPLKGR NDPPLHVV ACHE_21430S MECMDGIRELQALPDNVHILCPRQHDDDHGQYDDPNERDESGKT VAELTEEATSRREKFLSCMRILAYSEEGVAELQSWIWRRLDDALEKCDLCIKKYYTGK VWLMEHLKESYDDEDIEKFGRMLDEWDIKRITKNLNVATARLKEVPPQEIALHVLDRA SLLSIFETLSCEAMLRNDALLQQYFDEPFRLIQTKRSLKVSDYIPAVTRFLFDPNQNR SFWAIHSWMRYPRPPTTMEFDWAIKEGLLDALRVASQQPPQIAVIQRLWRGMQLVVKR LDKDQITHHLRALEIDPCRLSVDHLAMQSPGLRFLLNTIQTFLEKAPGDFWDAMQTIS PKAIIEQIFYNPQFDAFLMQTTDGEPFEKSAMKDMLSWISPFMSSLKGAHQPSACRFL AYQLLQRFQDLRYPNLARYHCFHIGLASLVHTLRRFTDHESSRGSVGRVVLSETLEIV SENINTILKPPNFTVEQGKQQEISSLCMDVIRNTLALECQSLKSDYEIILRYDTLQHG VSTYSAPIWDAVVKHLHEDNKGLSTSALLGILPLVGLEKFPTKGEASQEKRDFNMNYG HLTHFSCQIIERLSDFKPEHLDDLFKSQDTSSALISALFAADLNTYQSAVTLIKNVSG QSARRDAMSHLLQSFFTTTMYGLSWSFRRISNMKTFASAPRMLHTGTDIMDILCDTQT GMLRTRKLADRREILSLQKLWEYLWQALTTIFNETESWHLRGNDKSVMLEFCRDSMQF ADLLFDQYSVFIGAVVDADPSQDKTARESFLKPPTTTMSAMVKWLRLKDEYLATTLVG LVAKLLRLLGELSVTTVKEDALGFIEGVAVKSTIKTILTPREKAELVRALEAYYKKPV ITASTASLKKQSMITAFAKPADASATASPKSPSDEEFDEEGVSDNILLQLSRSVELNK ARVAAENKKKAEKAAKALPAIPKPAAAAPLKPAANVLSFREKREREKEAKKKRDLEQL ARLKKNIPARGVAEQTAEQGSGLSGIGVKGKDHSTPAESMMVSSGSESESESEDELDK ELFGAKASSKPDAVKAYEESKRLSLKQQAPIKKVKQVRSAKDMRARLAPDLSALHRTI LSWDYFANGDLPPNSGTTDYTLVSNAFKDPIDYQRTFEPLLVLEAWQGFQSSKEEGSF KPFEVKVATRLSVDSFVEVSTTMPAMELRDFGLGESDLVLLSKSKTPTNDSAAPHCLA RVSGINKKKGTVEIAYRVVPGNPFINSLAPGNGIWGAKITSLTPLEREYGALMALQYY DLCEEIVRAKPSPILKYSDTSLKPIADNYNLNPAQAKAIKSALDNDAFTLIQGPPGSG KTKTIVALVGALLTNVLGDQGTAIARPTTIGNSRPPVRSSTAKKLLVCAPSNAAVDEL VMRFKEGVKTVNGRNEKLSVIRLGRSDAINTNVLDVTLDELVNARLGQSNRKDAGERD LQKIYMEHKAADTSFKEVRARMDQCRAQGLPVPAELEREFDLLKKKKTQLSQEIDSAR DKNHSAARDADLTRRRIQQEIIDGAHVICATLSGSGHEMFQNLSIEFETVVIDEAAQS IELSALIPLKYGCSKCILVGDPKQLPPTVLSKVASRFQYEQSLFVRMQANHPQDVHLL DTQYRMHPEISAFPSSAFYDGKLQDGPNMGPLRARPWHQSELLTPYRFFDVQGLHQSA AKGHSLINVAELQVAMQLYERLVTDFRAFDFAGKIGIITPYKGQLREMKTRFAARYGN EIFNIVDFNTTDAFQGRESEVIIFSCVRASNKGIGFLSDIRRMNVGLTRAKSSLWVLG NSQSLLQGEFWNKLITNARSRNVYTDGDVLKLLARPQFTGYKNVEMVDVDAPEPLVPS RASSAASVSRPSSVSLGNLPFESPSHSVSASAKGTPPAPLPEGPSGGANGLDDNRMCG YCGSFEHMTPHCDNIDAKEASRGTCYRCQASGHRRYDCTVERCTECGRCGHLASACPS PNSLSKKEKARISREEYSHAQIQKQKSQRQRQKQLGGHDPKIPVIQTSNPPENKKLQP SSKDDHSGGEKRKRPESPTSDNKAARPRKSKEGAPPNVPRGPRHRLDANVPTNTGDLV KPSRDGPAYGPIERAPSGPADLPPRPPQRPPGVRPPPVMRKKKEADPFIRPKRR ACHE_21431S MRHWNDLHESLAAPSPIVPTGPPLSSKHHQKHFHYTMSSKQQLP FSANSTLPLPYSGETEEHSHIKTDQDVAELVHCLQTQAASASSPPGSLSSNSPPPTTT GAREMFKAGHRRLRQLAQRQKKDAADPETKAEEDRQQLSALQREGLLPASAVKKSHAK KGSVDSTSQTSCKSSSRRDVERIGQPWLADPLERCMADPLMSSGRVASMDLSHLNSST NSAVAQEPGAKYKSALPFQPLTLPDFIASGRRDSAQQALDGFLEHAGKTSPADNASPS NDTNKESNPANTSETNTTQEQKKPENTDSTAKAKPAPKNTLKLFPDPVPPRLSSKTAL RLSKVPPANGLQRAQQKLPTLNEISKSALPSPTAQHARDRPPKEPRSAPAATSQFIGV EREKAENAEQSSNDKNTPRYSALPMNAINAFPLPAPTRPLPSLPENAAADAKVPRKSQ PIRNVSSPVPDSSNRQTETARQPPNQPPKCATPEPAQERNRAGAPARRVKEMAQYLDV AASGETEKRSRSLDVARPEEPKDHAKQNVRRSMEVTQWVHRDAVPGPLPTPPPESHAR SSGRSVPTSPKLKHSEPTLGPAFREGQIQRSNSYREPIRNEKVSSDQHDDLRSEYPIP SSDEEGIARNPWSSRAQKKRRRAKPQKPVDPAQSTQRIPRIEKPAQRESMSPPNNRSS RSFGRSSPLSQYSQCTYHSHDSSAISILEGRIAQLERQNKILQAALLAALDVGNKGST ESLLSGTVASLLSAPSVSSKTSPASSYDERTEKSKRRETWLDTSSRRSSVSSAGEDMD FGWLSDKSSVGDSLRVP ACHE_21432S MAQQTVIPQHVTALLSHLTSRPGVQSTFILSRKDGSIIQSTGLL AADLERRNSQNTALPPQVISPLNETAPTSTATPTSPSAEQQRSEEQEQPEGQSQKQAQ PEGRGEEQGQQEQQAHEQKQPYKPTHAEALAAHIFAFVSSASDLSMSLSHPPEDHDSK PSRNGLNHEALTNGIASPREEGDEGQEKEEDDEVKLLRLRTKKHEIVVVPDRKYLLCV VHDAAHMAGGRAT ACHE_21433S MTRMDVLGLSSSNPAYSYKASYAPPYASSVSSSASSSSSSSSSV FSLDVHSTQSSISSTSTNPVDVAWENEGEQSLPARSSLPSLRGPVPPKPDAAVPPELR KHPRRTSTYVAQSNGAPCARPPPCLMRQSERKVNFVDNLVDTASQIVEIIWPLSAVSL RSDSSTGCKGVLPLRTFIQETLRRSRTSYSTLQVALYYLIKIKPHVPSQTEQSRDKPV CRAMQCGRRMFLAALILASKYLQDRNYSARAWSKISGLNTLEINQNELMFLEAVGWRL HISEATFQRWTDVVLKYTPGAGAALGDGHCWRTVIPRLTPELDMTEFEPITPPSSGES SVASSPSPRSVPAAYEPAAVTSLPSIHEQVPSLRRNPPMPLEPMPRMEYPPSIHRPQM LPTPQMTPQSSLASTPAASVTSCGSRRPSIGAAVSQAQNMGMARSTIDQRPPLAFCKA NSFDGCPAVVRRSSLARSTSSASSPESMVSDASTLSSARSSRSSSVSSITSGPAAPSS QQTRLAVKATLNHSMRESRKQMVTVASPIDESGGIYSSPEGYATSVGQIPDFSHFSLG TPVDQEAARSLCELSGAVPRPQPSTAGRKRGRTGSEDQLLQNQVRHLINLDNGDGSWP EGTSARSFVIPKSNQQLSSVNMSALSGPAGMKRACCGSEAQKIALNPSVRTYYLD ACHE_21434S MKSILLLCFQLLAVFATPLVLPDDALMEQAFLSDAQRASALPAT NSHQDAIYIDHSSSSGRQIEPMTRPSWFTSVLMARRLLALSTSGVASTIFPDSLPLNG HTPPSVAGHSISLREYFADCDEALPTSYKYANNGDGSPTLLALKVATTFRNTAAGSNI SLSLDWWDHINDTEPIYPGFPLSPAGLPRVTLFGYVEPFPEPIPEDVETALEKCYLHA HPDAEVWLPHKRGSPHSSFWARMVVTDVYWIGGFGDVQQIGWMDVKEWAGVRRKGSVE GVGDGRGWEDVRLPGEKQ ACHE_21435S MIGAIWPSFLRVDNGIPAGQGITTQGMVGYTIYWIVQLPLLCVR PEKVRWLFVVKSVVVPVAWIAILIWAFVAEGGGSMFQESPSSTTMSGSTYSWLFLANM TSILGNYATTSVNQSDFSRYSRVSARWQLLYVPMLPIVFTFISFIGIAASSAGQARYY GGTGDVPWDPTVLISNWSSRACRFFAAASFVLASLGVNISANSISAANDLAALAPRFF NIRRGQLLCAVLSWCLVPWKILESAESFLNFMSAYAIFLAPVAAIMLCDYWVVKQSKY DTLALYQPFNQTYRYSFARVIYGKEVSVWGVNWRAIAAFLAGVVPSLPGLIHSVNGSV EVGVGIHPYQFGWFLGFVGASGVYVGLSWWFPARETVVQRAVLADEIYEGRALTGLGI EEVETEAEAGRDEYKEVKV ACHE_21436A MGSSSKKRKEKQKDFQKPKLKVGKAKPKPDNFTDTSFQSKSITL NQQSLRLSAPSSNTQFTHHLSLLTSKSDTQRRESLSHLTTAITSRPVDSPLPQPVSVI LPNLLPLILDASNGVRTQLLKLLRALPARDVEDHVSQMLPYIRAGMTHLAVDIRVSAV EVLAWLVEVAGGEVVSCAGGWIKTLNCFLSVLGWHTEESARWSANRASFGKAGSQGKA MIKVLWALGEFLDAGLGEVDEGIDVRSNSAENEVAEAWGFPLCQTEQHMIPGASVPYA YLNLFGQPRDEEGEMYETREDRYRVFAHRFMPAVQRGLENARKEGGEIGRASSGASKV LKEAVAAV ACHE_21437S MFDAFLRFRVPAGPPKEQGQPKPNQQPQKTTLLNITQIPPWYDP NPYILSGYRPLSNSWPRSLHSWTYLHNETTNIFSHLIPAVILLLVHGWLYEYLRARHG ANLKQFDYIVVSAQVLSGVICLFVSAVYHTGLNHSERVVGAWLGCDYAGILGCMVGCF ASGLHFGFYCRPGLKWFYWGVIVVLSSMNAMFLLNPRFNGPEWRRARLAGFIGTGLSA FAPIAHAWALWGPVCLWNVGVPHYLLEGLLLLIGCWFWEVRPSALLPTYLGWYIDRTK RRFPESKYPGKFDIWGHSHTTWHVFVTLAILAHIAGLLSAIDYTYSQGCLIHT ACHE_21438A MKTGDTTPTTRRISDTLTTSRAHGEGSGVDVGEGWSDVQAQKQE DKGLLQSAQEMVAKALGGGSRGSS ACHE_21439A MSGMDHGGGHGELRPNQSAGLALGLDTSDADRFSTSSTNFHDDF PYGLPPFSPRDSSHHGDLLKDSLFPEWGRGTTRPEPECPDEMQKKDPLATQIWRLYSR TKAQLPNQERMENLTWRMMAMSLKRKERERLQSTGSGIAQLRHSNRTSTAPSTSATTT TTAPTEDTSDPMNIDDFIVPFESPAEPSLSPAGDKSSAIPIKHRSHPDPEEPVPASFP HPPQEQRRNNEFGYVQRRVRKTSIDDRQFLASFQVPTRKRPAESSPLVPPISNSMLAH DPDLSSGVPDYSLDASSSAFGLHHPNNGNRQNNNHSNHSNNHNPHTSLPFGLDTFGLD DPILNSAGPYQSHFTFSPNDSGNPFANLYAHTPMASSLNSTELFSPPPSGYQSTVSTP QALYENEQSMYFDLPTADSRTQRRVPNYLAQRSSNLSASLHPRYMYNNGNRDSRQQQQ HSMGQVGGLSSGLRAQQHINPSHVLGTTDYPSNTNNAHSGMFSFGGESDNEDDAHTFS EHGGLAMPADSMDEQDEDDDGDSAMNWEAQIPDSFHSLPGFGQHRRHVTIGSPDMMDS NDWNNHAGSLNRTHGSAASVSEVRNREQDPRRQKIARTASTPNATQLLRQTMQNNNTQ QPSPNTPPESGLNSAAPSRPASPKNGEQGSTGPTTCTNCFTQTTPLWRRNPEGQPLCN ACGLFLKLHGVVRPLSLKTDVIKKRNRSSANTLAVGASRSKKSSRKNSVQQVSMAAAA AAATASRPQTATTTSESPPAIQGSSNNAAAPSRSANTMTKSGVVPIAAAPPKAASAST STSGSGIGQARGTVQVAPKRQRRLEKATDAEATEDSNKSAAANRSKVVPLAPAMPPAA ANPANHSIAGGQGASQEWEWLTMSL ACHE_21440A MKLTTFALTAFLGCAAALPQLGQPGNPGRGPPASSDLPTPVFSS GNPQRPTSVFDKRQLGHAGDVPGRGPPRPCEIPGRGPPSPGEVPGRGPPSDGEDDDAC TPDSSATPSSSAASSSGFSSIPTVSVTPTPSSTPASSTPASSSTPVSSASLF ACHE_21441A MVSTLPVHWRRETGLPHGSEVKKALWMIPDEGGLDLPIAGSFDE FGFSDSGRIMLRGFIVSFGGIRRLRNRVVSAGHVTWWSWLISMPSYRLPPTVCLL ACHE_21442A MPAVVQTMPSHPGPMDSTIPASSTPTDKKRNKLGYHRTSVACVH CRRRKIRCLVAADDAQGRCENCIRLRKECQFYPVDQQPPVEKKTRPNSRLDSSASTDP STASSSPPILSGPLDQQQQQQQQQQPPPPPPEAFFYQPMPLNATPNVHGIPPNAPFSG NMPQFAPGEFASAPLESPVPWDEFTTIPNDPQMLATLNAAKPQSTMIDLSPTSVWSGD MSSIPSNASLQATPTVPSQQPAQALDVANTPAQTITMPDGTVWQVPPQPARSMTFPDM AASYPPPPASTPNQYAPPMDPDAKHSASPAADPMQTMTMSMSYPGTQVPGAMGYPVWP DASGMAGMNVVSYPVFTPEAPQQGTPFGSPPPMAQGHPGHSPQG ACHE_21443A MASPSHAKPQAGPEHRRKSSQNSSNTPQLSREDVHALFVGAPYF LLDEGSFGLWQPQVIFPWCDHDLLIQKFWDRRPLSHSSFSLSTLHAHLPVPDGYVVKG DTPAPLQGLQHAGGPKRPTFDISVYEVPNMLCSTAKEPGSLGFRHFLELPVADQVRYT GPEIPRPRPGLRRPSMMTSTIEAFDSIEHHANDPYAQCLDGTVQDRKAMLLDGPSAWK RIGVRDPSMENLIERLETVRAIRHDMLHAEVDKTILDMEPIEILHRDLYSKFLLPPPR SMIEEEDPHSLKSQIRMLTTALAAPGAWINFSLEEWRLHAGQILWEMPPHRDGDFLDP EECEDAQKQPWVNPGLERKWLLMQMLLASELLIRLDAVSRAGVLRDPDENITAIDIHE FDELRDGKVNWDLIVARRFLDSFGISRCDNQTSMHSEEGLHGRRRSLRDAFTIHRRSS IKSASEAESAWECKLTPYHIEQQLHGLFVFAENIGWPGVDALKDLFSKINQDVYSRPV CSSATSILGKDEMYSRNPSRRQILLHNIASQDSNDPKDNIGWITRSWLSGFVPPGESI RHLLLATVLENDPEALSTLGPVANLYGGFSYHGRSWWSKQCIVGRVLAGLPETKECMG WIRSDALPVDRSTSQPLDGRWFEARVKELPPTNKKPRIQQGNKISHFSTPLGDGDVSR EAFSLPVDRPRQESVSIDLNMLSFVSESDSESESSAVVGVPTISFHVQSNSHDCQWTK ESISLRHNIHFVTSYNCRPPAGKASISDGEDHHEPSHLPGHPLHASYEYVVLPVSDLI GATSLHKVVPGGEILVIDARGSARQETFARAWCAAAGSNAVIGRVGRTCLACCIREAR AVDVSVVIRVSDGEWNRAGKLYAVDNFRN ACHE_21444A MSGVSKACCQIPPIVAQGYQGKGEYKTINGLKTYVTGPESASKA ILVIYDIFGFFPQTIQGADILANSNEQKYRVFMPDFFEGQPADITWFPSQTDDHKQKL GNFFQTKAAPPNTLSKIPNVVAEANKLSTSGSFDWSILGYCWGGKITALSSGQDNKLF KSAVQCHPAMVDPNDAKAVNIPMAVLASKDEVPKDIEAFKANLKTPNHVETFPTQIHG WMAARSNLEDAEVRKEYERGYKTVLDFFHKHA ACHE_21445S MTTLDALITQSLTSNAFSSYPSQTLAATSHPASQFVSPVSTSPN TTTASCWAGAPAQSPWPVNNDTHGYLQDAWNLYQAPNTNPIPDANVNSNLAVSQPYEI PSLVPSFSAAQPQQPLSAENSLSSSSSSSSSFSSSMSSPASMNSLVYPRQPWPSYSLP AMNGPVRSNIHNPSGQMAIFGNTPSPAGILPFNSGHVARMYQGPPSSSPHHPVSGPGL GGPTQDRPFRCDQCSQSFNRNHDLKRHKRIHLSVKPFPCTHCDKSFSRKDALKRHLLV KGCGKDGPFVKREHE ACHE_21446A MFETMEAVDLVVVGAGWSGLAAIKTYRDVNPSSNVVLLESASSV GGVWAKHRLYKGLKSNNMLGTYEFSDFPMTEQAFGVKPGEHIPGHVIQKYMEKYAEHF GFTDRIRLETYVRSAEHRPDGTWLLTTTSQKDNNAEQTIVARKLIVATGITSQAYLPS FQGQEDFGAPLFHCRDLLEHESEVLHSGKKAAVFGGTKSAWDAVYACATSGMQVDWVI RESGHGPIWMAPPYVTPLKKWLEKLVTTRFLTWFSPCIWGDADGFNGVRSFLHGTWVG RKMVDSFWSTLANDVVQLNGYDEHPEVKKLKPWISPFWIASSLSILNYPTNFFDLVRD GKVRIHVADIDHLSRNSVHLANGTVLDDISVLICSTGWKATPNMQFLPEGIDRDLGFP WSQDSMDEALVRRADEEILKQFPKLRDQPTPNPQYRPLSDDAPATATHPFRLTRFIVP PSLMHERSVAFMGVTMTINTTMIAQTQALWIAAYFGGKLDVTPGVSCPPDVRELLASA KASESKVPIAKENVPDQDEERDPNLDLIWETALHSEFGKYRYPGGFGRRNPDFVFDAL PYVDMLLRDLGLETNRKGGALAQCFAPYGVEDYRGLVNEWKRKHDTPSV ACHE_21447A MSERRPVECKRIPSTQKCGHCVRSGRKCERDVHAESEWKRIDRE RERIASQLEEAERQSDELLMKVMRLRKQKRFLESRNLKMLDNDFGALEGMGEESSVPD EDLQEFERLLDAEAAQLAATSNNPSLTQMMNSPSFWENFDSAVAGGIPSPTGGNQSSS R ACHE_21448A MDPFQELRNEFSSTIRALQNEIESIKNEPKPLQRPKPCLPDPEK FNGQSLKFDTWLASMKAKLRIDAPAIGDAVAQFYYVYLNLESKVQALVLPQLSYAEDT NTWDYNTILDQLSLVYDNPNKVQEAEDHLLVLKQDSGESVAAYIAKFERILYEAKGKD WPDVTKISAFRKGLNPTLRGRLNQQLNLPKSYTDFLRVVQQLGSHSFSSNSTNVSHSQ SHQSGSHTKSDPMDLSVININSLSAASTCHNLASLVSYLGF ACHE_21449S MADNFTIEAFTLLSLAVVVIGVRTGARLSMVGIRNFQADDYLMP LAAVVYGLETGAAYCVGAKWKGLANNAMTDAQRAALSPDSEEFFLRVGGSKTQVMGWS LYTLLLWLLKACMAVFYSRLTSGLMNMQLRVRLAYVFIGVTYIAVICSILFGCHPMHK NWQIYPDPGIYCQPAVSPIDVYMTVTLNVATDLYLITIPAPMLFKARLPWWEKCELLI LFSGGFFVMAAGILRCVLIVTAGANGAQQAGSWACRETFVAVVIGNVPMIYPVIRRVA RRANGYISSLGGGTSDNLSYPMTGDRYNTDKSNQSRRKKFRHPLSLPGESQWINTMNN DEQMILPTSRQHPPTCESNMHDQNWDAASQHSQDGIKVVHETIVQSKTRNMV ACHE_21450A MRVPDDIAQEAEEPRKRTRVGSSANRQCYDWMVITGNCHYARDQ ASFKTYRPVARQIKSNIFNPFDELQVAGVGTVELELCKSPQDLSSHTIVLENVLHIPE AVCNGFSPLLYGTSMSCNEDCWVGGDTMGNPIWFSTPFAGGTKLALAGNPQGESEMIQ GRYYTLCLRVSPEEWQSILTPDDMNGMEP ACHE_21451S MSDPLWPWPPRPIAPAPKGGRDETQTASLSGHPPTGQGSSDETP LPLRKHKTTACETCKQKKLKCRGGPPCDYCLINGIDCRTNELSDMRRKGAIERKIEQL EDAERLLLDLVETLKKDDQNVRTCQLINLIRSNASLSEIRVFLERQFSPEELERMPEV VETQNHLARIPKEESYARPRRYVMAIQRLADIPIYRVPAKPWTTVTDDDLVSHLISIW LTWSHPWFRWVNRDLFIRDMQAGNLDCEFCSPFLVNSILAETSLYSDYCEVFTVPGEM ISRGDHFYEETLRLLEEEEEQVSLPTIQGLVTLFVRVAMTGKGRIGWMYLDMAIRAAK EYDVSHPYRPADQESSWIVEDAINETLWGVFNICCTVNLCALRHVDMEPPQRPRPSMN HEKASDSWTPYPKQKDPVPSHLSCSFHRWCDLCCIMIVITRTFFNSEGRSSPSDLLAI GENIERQLQCWYANLPECLRVRETTVPHILYLHLFYHTVRAQLYSFLRSQADGVDTIG VEEYKSRHFSTARRIAALFDMHRQQWGVERMHQSTIHCVAVGCLSILEGLEFPENSRA FVTLCTVARDFGRHFGLARGVLQVIQIVAQNNRVILPQDTHALFQEFEETRWTGERPM QSSSLYFSEVYRLAQGVGDDERDAQHQPDWDYL ACHE_21452S MDNHHNAVHDAVYDGQYGAGDEFTPDEAPPPYSLLPEPGLTQNE TDDDPSARMPKPCVVPQTAQTYHGSIYRPFARAYSPDLLPHGITRSDFIAFVDGLNEV WLADPYLQAISVSGNVLNFMPLLETQIVGLGVTVAAEYGSIKLSQMRTEAYLRLANTE LFKPKGLRVQILKTWEMMHTAGIPRTVLQLRPVGEDERFEDLDDTDTEKGQERRYDPQ LRRMEALKDYVMPLTFDPGRPLSDNWLKQASEKQERFFSERQNSIIRGKREKAAKQVS EAESAERKLNRQADELESAKAKASARAAERLSGPLGESNQGQLWIQEDLEKELKKLDK QLQRVSREREKKVTRKVQQSERRLHRVEKREHRIAQKVMWIVVSADDGTGFENHLMEH TTYME ACHE_21453S MLDALSPNDVVASVSRTGALKGHSRLDKVFFSSVFAGSLLAFAC GTLLSTNAAAWYQDNAGGLIRTLSSLVFPYGLAMIILCGADLCTSSFLFTTVAALHRK LSWWCVLVHWFVTFWGNLAGSLFVMAIIFGYGEVFSAEPYKSEVISFANKKQVTPDFH MVFLRGIGCNWLVSMACYFALQGRDLSSKLMGIWWPIFGFVSLGFDHVVANMTLIPMA IFLGAPDISVGLYIWKGIIPALIGNIVGGALFCSVYFWYMHLMEVETLPFFKQKQDES HSEGQSETPHKDDIEARAGMISATA ACHE_21454A MRPALFLVLASSVASAANISSWSALNASVHGHLQENKPLSLPCF SSYNGRPVASDPEKCAIVQDNYTSPELRGAVPNGYMNNQDEMCASNPQDQCLLDNNDP SNLSLIRNHTCAQGNVPDYYIDVHDAHDVVQALRFSNATGVPLVIKNTGHDYLGRSSG KGSLALWTRNLKKLQYNATFVPAGCPANALSSINNTVTIGAGTIFDEVYRFSDRHGMT FIGGYSSSVGASGGWLQAGGHSVLSPVYGLGIDRVVEFKLVTPDGKLRIANACQNSDL FWALRGGGGGTFGVVLESTHRVEPNMPLTAASIKFPKTDDNVLPFMDIVVNNSVRWAH EGWGGHIKGNSLINVSPLVSIQQANQSLAQVAAYARSQNGTVLIKRYPSWQAFYDAFV SANAVTVGNVHFAATRLIPQSVFTSADGRRSLMDFFDYLVSSGGDPYIPVVPPVLYNE TDPNATSATPAWRKSIWSLGAGTAFSWNSTLSERQSKIAHSNKMTAMLEEITPGSGAY TNEANPFTEDWQEAWWGKENYQKLLAIKHKYDPYGLMNCYKCIGWKETEAQGSCFSAL S ACHE_21455S MYEENTYWKNVLTIVPIVGSGVATIIYFLRLFARRVGGTTRWQL EDILMGIGVLISYGATAFVVYTAFNGVGLPTSTLPPDERRRVQFGSWMIQKFWAPSMA FIKISIIVFLRRLLGTLTFYRAFTMGLIVFVTCWALAALLVNIFQCWPVQYYYDKDLN GHCMGHQREFFQCMGSLALIEDVFILCLPIPVVWRLKITMRQKFAVTFVFSLGGLVCI FSLLRLIEFRRFIVTDLASSSALESIWTVLEINVAIITGSLPLLRPLLQGILGRMRSI SNSKRSHHSNSRYYHRPSMPTPPVDLHKYFDPRPLDHSTTVKSSAKETTMPPDQFYNY ERDDEGHRTSDVELHGIAVTTGVDQDVEDKSDIGNAEPVSNRNWPL ACHE_21456A MGYDFTVFKGSSDGSIRKTTTHRDDLTGDQVLVEISHSGVCFTD VHYRKADMALGHEGAGTVAAVGPNVKSLKKGDRVGWGYEHDSCEQCRQCLTGWETMCP ERQMYASANLDQGSFGTHAVWREAFLFRVPDGISNEDSGPLMCGGSTVFNALHVAQVK PTARVGIVGIGGLGHLAIQFAAKMGCQVVVFSGTDNKREEAKRLGAAEFYPTKGAKEL KIEKPVDHLVVTTSSQPDWNLYIPVLAPGASISPLSVAMEDFKFPYMGLLTSGLRVLG SIVAARKVHMDMLDFAAFHGIKPISVRYPMTVEGIQECLDTLEAGKMRYRGILVV ACHE_21457A MKLLIFLAALTTCLARDIPSNLQRFYDTVKNAGPCTGDNLLQDG FFDQDDSTNEWNYCDRNFTGRGLYIKGPGTDLANMDIDCDGDQQNPDPRCLGSSDTQP ATAFRRQVQQLSNITDLNASIHPYVVLGNVGDVTFDPRDHGIEPLSVVAVVCGGQLIY GIWGDINGNDAMPLVGEASLALATACFGDSMTSSNGHDEPDVLYLAFAGPNAVPRTAN WAARSYGEFEASITALGDALVARV ACHE_21458S MNCPSRTDDDLVHPDWNQNPPYLAPDLTTRQDLNGISNARELQD RAGLVGVSFAGWDEGGITAARESGREKKSPGPQGASLTLSGRSISPTSSHAAISGDKL LNTNQAWKTAKEWALWLLSVAVASTIISHIRHPTSNAGIVNLEPPPRRLPERSTCEEG GVNGDEYNLPLHVAGLFIILSVSTLACAFPILAVWFPRLRIPPSFLFFVTHFGTGVLI ATAFVHLLPTAFTSLGDPCLSSFWTTDYPAMPGAISLGGIFLVTVIEMVFSPARHCCR PPTEPVRQSTPQVPRIDITEPPNSAANPKAPAAMLDGRHLSDLGPLVGRSSSMSRSIK GIGQDHEGISRVPSAPEQSPQGWEERKTEAVEQDVERSDDDTSSVPPELQDKKAVMQV FLLEMGILFHSVFIGMSLSVSVGNSFVILLIAIVFHQSFEGLALGARIASLNWPRKAY QPWVMSLAYGCTTPLGQAIGLATHTLYSPNSEVGLLLVGTMNAISAGLLIFASLIELM SEDFLSDESWKVLRGKKRVIACILVFLGAFCMSLVGAWA ACHE_21459A MLRTCQDWLCSRALLCLLLLLSLCVPEVRAIELNIDDEQSIKDA ASTAAYGMMIHYHGNETGQIPGKLPDTWWEGGAMFMALIQYWYFTGDTTYNDEVSVGM QWQSGNGDYMPTNWSTYLGNDDQVFWGLAAMTAAELQYPEVDDGYSWLSLAQGVFNTQ VERWDTNTCDGGLRWQIYAYEAGYGMKNTISNGGLFQLSARLARYTNNDTYADWAEKI FDWSASTPLLNNNTWNVADSTNVDDDCTSQGNNQWSYNYGTLLSGAAYMYAYTNGTSK TKWQNAVNGLLNVTLDTFFPAKYDYAMSEILCEPNEVCNNNEILFKGLTSAWLAFTSV LVPSTSSRILPKLQSSAEAAAEACTGNNNNTCGVRWYTKEWDGWSGLEEQMSALSVFS SNLITTKNSTPVTSTTGGNSTSNPASGTNDRNAQGHTSPAITTGDRAGAGVLTAVFAS GWIGIMIWLVL ACHE_21460A MSSLRANFPQQVGSEGPPIASRGRRESYARTDESVTFEYPDESQ MPHSPTIEGMRYKRTLTQFSLEKKVTVVTGGARGLGLVMSQAIIISGADLAIVDLNKD EAEYQANNLVRQFKEENPTLELPPKITAHYADVASPDSVNKALEEIIAQHGRIDNLVT SAGFTENFDAIHYPHERMQKCWAVNVDGSYHFATGVARHLIERKAPGSIVMIGSMSGA VVNVPQPQAPYNAAKAAVRHLASSFAVEWAPYDIRVNCISPGYMMTALTRKILDENPE LEEKWTSLIPAGKMGAPEDLMGPVTFLLSDAAKYVTGADLRVDGGYTLT ACHE_21461S MFCEKRPTGSSYSWRWHYRLDKFRFNCAAQQSQGPSDIPSRDVH HKASITIYFITHCRARSLNSMDRGVITFDIGLSLRPITTVWIPASFRRIEICRYTPVD IRVAGG ACHE_21462S MLLTTAFENGGRTGLEYWTPNLNPRWSRVPETSGEDSRRIKGYA AHFLRAFEEDHGAVSKILAA ACHE_21463S MEVPDSEVPKLRQGGIYAFVAWISYICLVWSFKGVLMFLYNRIT TGLWQHRMTLIMGAFCVCTFLASLIFDLAICHPIQKNWQVKPFAGENCTTRPLNYIVI EVLNIVTDLGVMCVPLPLIITAKIPPFQKFVLALLFSSGIFVMIAAVLRTYYSVSNID KLSVALGWASREALVSVFVVCAPGIKPLFTRFRWFQSFKSSSNGYSSNQRTGRSGLFN SKSGNFTAINSTKDDGKHPYELDIMRRNKDKHEDSSNESQERIIESGGNANSPPRDAG IVVTTEYTLAHDDTRSAT ACHE_21464A MTPNDHPVIIVGGGLAGLVAAFELTKRDVRTVIVDQENEANLGG QAFWSLGGLFCVNSAEQRRLGVKDSRELAMEDWLNTARFDRDCDFWPKKWAEAFVDFA TDHLERYVKSLGLGFASVGWAERGDGRAGGHGNSVPRFHLAWGTGPAVLETFEKPVRA AVERGLVEFKFRHQVDGIVVDESTGAAIGVRGQVFEPSDTTRGVASSRKSIDTFELYG SSVLVTSGGIGANVDMVKKNWPLDRLGPHPPSSFVIGVPAYVDGRMIAIAAEAGANVI NSDRMWHYTEGLRNWDPVWPEHGIRIIAGPSSLWLDATGRRLPPMLYPGCDTLATLKH ICSTGYDYTWFILNKSIIGKEFALSGSEQNPDLTNKSLLMTLRRILSSSPPGPVQAFM DEGVDFVIEPTIPELVAGMNRLGREHRGPVLDVEQVKREIHLRDIQIDNKYTKDAQLM LIRNGRNYLPDRLGRVMKPHKLADPYHGPFIAVRLHLLTRKTLGGLETDLHANVLRPD GSRFPNLYAAGEVTGFGGGGMHGYSALEGTFLGGCIFSGRTAGINIAEVAGRPLRSSL ACHE_21465A MAIIADDAPNLAGSVIALTVLAFVTYGMRVYCRISRRSWGTEDW IMSVAVVPFCVLVAGCLGGAFNGIGIHSWRLQQPENVKYQAGGQKFFLIFEVGYCAAI IPIKLSISWMLIRVAEGRKLAAWDTSLLEKGGHCQPAHVLTDVYYATTAVNIATDWVT ALMPIPLLWHVKLNNAAKISIVGLMSLGILASLSACVRLKYTVNLTNQTDYLFAVANV VIWGFAENAVGMIVGNISTLRPLFRSLLDNTVRKAGYSSRSRGGPSKLASSYELSQNG KSSNNFTPTLTEIRDGHGKRRTSQLSDDDSRELILQGHDARDILVSRQINIAYE ACHE_21466A MDAIDAFASEFKWAVSWTRPLAGTTAFVKFVNRDGRPINDVVFC QRLQEQVEVMLVPGSGWFGGGINFRGYVRIGYVQEPQTLLNGLQALRQFMRNDYEKLS VA ACHE_21467A MSQLDQVNLFRGWPSTDLLPTERLKKAAVDALSNPLVSAEGYGY GPDEGYLPLRKNIAWWLSDFYAPVQPVDFNRICITGGASQNLACILQVFTDPIQTKHV WLADPTYHLVFQTFEDAGFYQRLGGIPEDEEGLDVNALAVALEAFEKKFSESKKDNGH ENIKSAKPHRKSYRHVIYCVPTFSNPSNKTMSLSRRESLVTIARKYDALIICDDVYDF LQYPEFNNSLVRSRLPRLVDIDRFLDNGPRDRFGNVVSNGSFSKLIGAGCRVGWAEGT GDLIYGLSQTGSTRSGGAPSQLMSTFINELFEDKSLQRHIVDTIIPAGARRYALIISA INEHLAPLGVTFLSGFEHNLAIGGYYVWIRLSLPFDAAEVCKVALDYYNLVLGDKSLF TVPSSTTPQKDRQQHIRLCFMWEAEDKLAEGVKRLGSVLRMLRDK ACHE_21468S MIPVVNWGEFPPDSITAKRRREQLQQEALQQEANNSKPEDTDKV SLATTAVERSTDQAGEDSNEKRKQTSPQPESSREAGKEPKQGFRKSTQQRVGNAFKY ACHE_21469S MPPQKFYAVAQGRPPAPGIFLSWDETKTLVNGYKRALFKGFPTV EQATTYLADNNIPEDQRVIRSVSVDEGQA ACHE_21470A MSMFLTMEASAFLHQSVTFSIARMWGGAPGWGQFFLEGGPSSTN SLAHILVGAERSILLSPVVDNFKSACSLGDSAGEFLKRSITNAAGDAQLLELGRQFGV ELILPIILSDARFSCKVKEFREKVMKLVTVLHV ACHE_21471S MENELFQKIPSLQVMICRQCKHGVRPVEVERHLKRKHQFKHQSA HQLAQAIRQWEDIEQDSAAIQIPCILNDPLPIIPCEPNGLLCQRQDPPCHYVASSMDT MRKHWRQVHQWSQQTRRGRVGQRERTQGAAELRRSFTTVAWQQIFPSGPGSHYIHIRF PEGHPPPPPPPADQAQWAVDAIITAWDQARTAQEQQAVIQADRITDANPWLRRTGWAR YLEGVHPQDLLRLVEAPPEEPPDPIEQAIQAIWNAMGQLARRSQQTVQRCGTGICMEA ARTEAGQTPYRPLQAYMDETSVQKHVQAWQQVLGFIARTQATQAGQGMREWCGPLPVY GMTARQQRKWQILWQLAMPTMARPQQAPHRARARAVHMFPGAGRILEQGGNPGSYRAT EGHGVSPEHVEEAEETGNAGSTEPAWMMSPMERACLEFCIELLNQRHRAHEYESPLVC AMAVLGWGETGWRDPDSYPPILSRMIKLARFMVVQKALWLDPHVGDIIQMWQAQASTV NGTPNGTPNGTPNGTPNGTPNGTPNGTPNGTPNGTPNGTPASPIAWPLASADAQLADI DEGCDSASPTRSTPTTVHDRPSFHDHVQQMVSRFMIRGTHGPMQTLLDWRTYGLKIHY NSTAPGHVAWMGADELLYKDLHFTMGEFRGFIHRLVGATRELLCELLCIADGSSSAHT PSTMPLPAIPWQGLYDDPTQGHPGWNFLHDRRTRAPRAAAIHAPGAIHCPLVAQYLAR VARFKEKLAVAIHMTAGQPARAPELLSVQYVNTPNNQFRNVFIEDGMVTLVTAYHKGF HASNDSKLIHRYVPRAVGELVVWYMWLAMPFIDQLTAWQAGTAHGTVNGTVNGTVNGM SNGTSNGTSNGTANGISNGTLNGTSNSTLNGTLNGISNGTSNGTLNGTSNSTLNGTWN GTPNGTVNGMSNGRLNGTSNSTSNGTPIGTPIGTQAGTSNAMSNGTTIGTSNGTSNGT LNSTANGTSNGTLNGTANGTSNSTLNGTLNSTLNGTWNGTPNGTVNGMSNGRLNGTSN STSNGTPIGTPIGTQAGTSNAMSNGTTIGTSNGTSNGTLNSTANGTSNGTLNGTANGT SNSTLNGTLNSTLNGTWNGTPNGTVNGTLNGTLNGTANGTRAGTVDGTSNSTLNGTWN GTHIERHMEWHTSRHGQWHTEWHGERHTEWLIDWHVERHIGMGY ACHE_30001S MNINRAGHPPGPWRRYNIDPATAEPPAPQTPHPAMPGTVAGGIE CRPRPTGAGVGGGLRTGAAAGGGSGSGAGAGVGSGSGSGSGYGLGLSYRCGAGADLGL GSGLGSGSGSGYGSGVGCGSGYGYGSGVGNGSGVGNGYGSGSGSGYGLSLGCGAGADL GLGSGSGYGSGSGVGCGSGYGYGSGVGNGSGVGNGYGSGSGSGYGLSLGCGAGADLGL GCGSAYGSGSGVGYGSGFGLGCMSPLLCWNHTPFRA ACHE_30003S MVQAHTPGLMPSLMPGLITTHVPYMPLHITPITCLLVPVIPAML PPVSIHCPIQIIVQYHPPAPPTCHHPHVLLHQLHLRCAQLSLILRPPRRLDNDRLAGL PIPPGSPAFLCIIQ ACHE_30002A MVVQKALWLDPHVGDIIQMWQAQASTVNGTPNGTPASPIAWPLA SADAQLADIDEGCDSASPTRSTPTTVHDRPSFHDHVQQMVSRFMIRGTHGPMQTLLDW RTYGLKIHYNSTAPGHVAWMGADELLYKDLHFTMGEFRGFIHGLVGATRELLCELLWA PGLELFARSPNPVARGWPVVDDPAAAHRAPRAAAIHAPGAIHGPLVAQYLARVARFKE KLAVAIHMTAGQPARAPELLSVQYVNTPNNQFRNVFIEDGMVTLVTAYHKGFHASNDS KLIHRYVPRAVGELVVWYMWLAMPFIGQLTAWQAGTAHGTVNGTSNGMSNGTSNGTWN GMSNGTSNGMSNGTSNGTLNGTRAGTVNGTVNGTVNGMSNGTSNGTLNSTLNSTWNGT QAGTLNGTLNGTANGILNGTLIGTQAGTANGTRASTVNGTSNSTLNGTWNGTRAGTVN GTLNGRANGTLNGTSNGTANGISNDTLNGTSNSTLNGTSNSMLNGTTNSIPIGTRAGT VNGTSNSTLNGTWNGTPNGTHIEQHTDWHTDWHTGRHIKCHVEWHNDWHTEWHGERHT EWHIDWHVERHTGMAAPSPYLWGPDPGMQRPWTPERFREVLKRETQARLGQALNIPAY RDIAIGISRRFLRASSTFTSDRQDETEQAAALDADCEDGMDADQWMAHMTDLQAGHSS HVAGMVYGRQLMEQAGTTSHRRAMFRQSSVDWHQFLGFGCGTGVPGDVHADIDAGGLR AGLVDEGSCPSRRPGQEQVRARLVDDPGQEWVRACLVDDPGQEWVRACLVNDPGQERV RARLVSDPSQEGVRARLVDEGNRPIHHPGQERVRARLVDEGSCPIHHPGQERVRACLV NDPGQERVRARPVLGKRKRAPWQVEAEEHHMERRHQLQTMDMAAALQQMTGQAGMQFQ GIQAPAMAAIQQGKSPVVAVMPTGGGKSMLFMLPAWAVPGGTTIVVVPLISLRQDMQQ RCRRLGIPCMAWDRQQPCDEAAIVLVTPESAVTPDFHSFINRLVVMQRLDRVVIDECH IIMNQQKNFRSAMAQLGKLVRARTQMVFLTATLPPEMEPEFSQRIHHPQDQIDIYRAR TSRGNVAYGVWRPPIPHTAPHGYGWEQDAWIIQFLQAQLQWARARGEDGDICQPGPPG AGDGGGIGM ACHE_30004A MGQLARRSQQTVQRCGTGICMEAARTEAGQTPYRPLQAYMDETS VQKHVQAWQQVLGFIARTQATQAGQGMQEWCGPLPVYGMTARQQRKWQMLWQLAMPTM VRPQQAPHRARARAVHMFPGAGRILEQGGNPGSYRATEGRGVSPGDQPTAGHGVSPEH VEEAEETGNAGSTEPAWMMSPMERACLEFCIELLNQRHRAHEYESPLVCAMAVLGWGR LGGVIPTVTPPFYRG ACHE_30005A MRKHWRQVHQWSQQTRRGRVGQRERTQGAAELRRSFTTVAWQQI FPSGPGSHYIHIRFPEGHPPPPPPPPPADQAQRAVDAIITAWDQARTAQEQQAVIQAD RITDANPWLRRTGWARYLEGVHPQDLLRLVEAPPRSPGSH ACHE_30006S MTTLKANKMVAFSFLVFALSTISAFAAPGNNSALVQLAKRAITS SETGTNNGYYYSFWTDNGGDVSYNNGNGGQYSVEWKNCGNFVAGKGWNPSSARTVTYS GNFNPSGNGYLSLYGWTKNPLVEYYIVESYGDYDPSSGATQISSIESDGSTYKIYKTT RVNAPSIEGTSTFNQYWSVRENHRVGGSITVQNHFDAWAKAGLTLGTHDYMILATEGY QSSGSSSITVQ ACHE_30007A MDPFQELRNEFSSTIRALQNEIESVKNEPKPLQRPKPCLPDPEK FNGQSLKFDTWLASMKAKLRIDAPAIGDAVAQFYYVYLNLESKVQALVLPQLSYAEDT NTWDYNTILDQLSLVYDNPNKVQEAEDYLLVLKQDSGESVAAYIAKFERILYEAKGKD WPDVTKISAFRKGLNPTLRGRLNQQLNLPKSYTDFLRVVQQLGSHSFSSNSTNVSHSQ SHQSGSHTKSDPMDLSVININSLSAASTSLDERNRRRQQGSCVRCGSSDHWVKDCSMK AHKESNKIWNQQMIARLEANRLDDLNDLDD ACHE_30008A MKLLTATRTLILAGAAARQGLAAATQGLSEDVYSRLVKMATISQ AAYADLCNIPATINTVGKIYNAEMDINGWVLRDDSHQEIITAFRGTGSDKNMQLDTNY TQAPFDTLPQCSSCAVHGGYYVGWISIKDQVESFIQQQAGQYPQYGLTVTGHSLGASM AAITAAQLSAAYDNVSLYTFGEPRTGNQAYALYVNEAFKAQSPDTTTYYRVTHANDGI PNLPPTSQGYVSSGIEYWSVEPHSAQNTFVCTGGEVQCCEAQGGQGVNDAHVNYFGMA SGACSW ACHE_30009S MKLSTTFGISVMLAGAGLPGVSGHYVFSKLIVNGEKTNDFEYIR QNSNGYQPTLASDILNDDFRCNQGSMSSAASTKVYKAEPGAEIGFELAYGATMKHPGP LQIYMSKAPGDVTQYDGSGDWFKVYQEGLCNDISQKGLEDTDWCTWDKNQASFKIPSD LPAGQYLVRVEHIALHRGFSGNAEFYFTCAQIEVGGNGSGNPSPTVKIPGVYKPDDEN IHYNIYTHPTSYTLPGPLVWEGSSSGNMGSGSNRAYNSNNVPNTCS ACHE_30010A MKLGWFEVAALVAASVVSAKDDLAYSPPFYPSPWADGQGEWVEV YKRAVDIVSQMTLTEKVNLTTGTGWQLERCVGQTGSISRLGIPSLCLQDSPLGIRFAD YNSAFPAGVNVAATWDKSLAYLRGQAMGEEFSDKGIDVQLGPAAGALGAHPDGGRNWE GFSPDPALTGVLFAETIKGIQDAGVIATAKHYIMNEQEHFRQQSEAAGYGSNISDSLS SNVDDKTMHELYLWPFADAVRAGVGAIMCSYNQINNSYGCENSETLNKLLKAELGFQG FVMSDWAAHHSGVGAALAGLDMSMPGDVTFNSGTSFWGANLTVGVLNGTIPQWRVDDM AVRIMAAYYKVGRDKKYIPPNFSSWTRDEYGFAHFHVSEGAYERVNEFMDVQRDHADL IRRIGAESTVLLKNKGALPLSRNDKLIALLGEDAGSNAWGANGCDDRGCDNGTLAMGW GSGTANFPYLVTPEQAIQNEVLQGRGNVFAVTDSWALGKIAAAARQASVSLVFVNADA GEGFLSVDGNEGDRNNLTLWKNGDNVVKTAANNCNNTIVIIHSAGPVLINEWYDHPNV TGILWAGLPGQESGNSIADVLYGRVNPGAKCPFTWGKTRESYGSPLVKDANNGNGAPQ SDLTQGVFIDYRHFDKFNETPIYEFGYGLSYTTFELSDLHVQPLNASQYTPTSGMTEA ARNFGEIGDALEYLYPEGLERIHEFIYPWINSTDLKASADDSNYGWDDSKYIPKGAMD GSAQARLPASGGAGGNPGLYEDLFHVSVKIKNTGNVAGDEVPQLYVSLGGPNEPNVVL RKFERLRLAPSEEVVWTTTLTRRDLANWDILAQDWTVTPYPKTIYVGNSSRNLPLQAS LPKAH ACHE_30011S MKLPLVFASLVATVFSQKELCAQYDTVSSPPYTVNNNLWGKDQG TGSQCVYVDSISSSGAAWHTTWTWSGGEGTVKSYSNSGVNFEKKLVSDVRSIPTNVEW EQDNTKVNADVAYDLFTAADKNHVTSSGDYELMIWLARYGTIQPIGTKIDSATIEGHT WELWYGTTIQAGAEQKTYSFVSATPINSWKGDIKPFFEYLTSKQKFPASTQYLTNLQF GTEPFTGGPATFKVSQWTASVN ACHE_30012A MGGAKKKGVVGAASPWPNHSYNHKDHDESAHAAAILTETAELRQ IADRTQGLPPRDLFIRFMSSVEDLAAKVRDRASNHGSDDHDGRKEALQQIQNMLNKQT EEIKTLQHSVQPPKATSSPPMSSPSGHAKSYRDAALASHLTSTKPSLVSGWMQGNTNG SVNTNGTLSTSPSSPATPFPLKADLEIHIRGTNHQIVDPLRHQKEARLVERANRAIRE SNNTIIAHRSVSTGRILPSGDIVPTCREP ACHE_30013S MLGFSFKASNGSQFFIATVDALWLDGNHVVFGEVASKDSFMVVK EIKRLGSDSGDVRALIMIIEAGEG ACHE_30014A MPVGYLPSSFNVGLLLSISVYRLFFHRLRRFPGPLGSKLTQFYN AYLAARDLQYNVEIGKLHDQNGDFVRTALGTYKPRIKAKVDLLSAQMGADLDRNFDAT AWSMFLSFDIMGVGFGEDFENLTTGVEHPAIGGVHDNMTAAGVMGHIPWLLNFLVSYQ EPRRAIPVFSNGVRTKSNGRKSEYPEDIVSWDIVSWLRKAYIEKDISGPPSEGALRQD SHVLVVAGSETTATILTTILFYLAKHPAVLFKLKRYLDLLGRSDAGRPGGLEIWESQT ITSIDDIKNAKQFIPERWTEKRMEISTDGAPFFSFLLGIIQSTPISKSPLC ACHE_30015S MAIENLQPLAYAVATIAFALGTCSIFLRLYCRWRLRTFGWDDGM AVLLFFVNGVQHAIVYIFLYNGSGRHLDELPDGQLQKVTKWLFVEEIYWMFLHWTIKQ AFLLFYLRLSPQRGFQIAVYITMGINASFTIINWMLGFLQCRPLDAMIHPEAYPNAQC LSQYAVMMVPTALNAVSDIIILILPIPTVLKLRMSSKRKAAVLGVIGFGSLSVITAMC RFALQVQMVENPDTTYVLGRMVIAASIEIEVAVIAVNLPALKTLFTKFVGGSTVDNSV SGGHKMSDYKINSNKYSKGGTTLCASQKESKARKDLGATLTGSEEDLLRMGGGGDITV TTNIDVCATQMGDEVNEYPDIGFPNSQSSRSDIQPGP ACHE_30016A MSLPIPIKQKQFRGEEECRLQIIVVGAGLAGLGTAISCLLSGHD VHILEAAHEIREVGAGIQVLPNSSRILQHWGLQESLTPHMTYPRMCNFLGWKGNLISS LDFHESERQYPGTWYRDFHRADLQQCLVARVKELGGQITCGARIVDVDVHMDSSTATA ICADGRKWTGDLVIGADGVFGKLTEALLGRPDPPTKTGDLAYRLLLSTKKMLQDPELA VFVTDPQVNYWLGPDAHAVNYVLRDGELFNMVLLVPDDIPEDSLASTVEGNVKEMCSL FEGWDSRIQKLLRLCESVHKWRLCIRYGEYNWTHPSGTWVMLGDAVHATLPYLASGAG MAFEDGAVLGECLSRLPNYHGVSKKSPDFLEQQRHALSVFEECRKQRTQMVVARGNIQ QHLYHLHDGPEQEERDRKMQMVPTPEGEALAWRDPGLAPKLLGYDHTKDVDHRWEQLL GAAMHEDTSTQPRL ACHE_30017S MPSIGITVSHSAGTNLGHAVRNVKSRTALVTGGARGCGLAFAQS CAEAGADVAIFDVIEPDETFQSLSERYGVRTAYYEVDVSSGQSLQKGFDRFKSDFSNA LDICIPCAGINRHLSFLEFSYRDHYDLLSVNVLGSYFTAQLAARQMIANGTRHGSIIL VASIAGQRAIRSQLCSAYCGSKGAVKAICPAIAQELAPHVSIILLLYCIID ACHE_30018S MTPSADDEGFLHNGSGNAARVVIVGAGPSGLMLACNLARFGIKT TVLDEQNDRTSTGRADGIQPKTIETLKQLRLADSLLRKGARESTDDAPLHRTGRKVHY PDHLVGAADPYILLAHQGMLEDVFIQDMAARGLAVTRNSRYLSCSRVPSSNRLCVSYQ DLASGNIKDIQADYLVGCDGAKSQVRQSIPDARLEGARTNESWGVLDGVIETDFPDLW SKAAVRSHTVGSILWIPRERNMTRLYVQLSSIESDAVDKTMVTAEYVMERARAAMHPY RLAWSSIEWFGNYVVGQRVCNHFADPQLQTFIAGDAGHCHSALAAQGANTSMHDTFNL AWKLNLVIRGLAKPSLLSTYEEERQKIANDLIAFDVGHCKAFSQGDEALARNFNENIR FISGIGAEYAAGVLTKVDVDKSNAHQGLRPGALLLPSRVTRYMDANPVDIQLDIPFFG QFRIYLVVPDVITAKPFLSALCTGLDTFLQRLSSVADRSYLEMPRGVAHSDNFLSPQR YTAFSQLATLALVTATPKSAFEVHDLPIPLQHSRWTVYLDDVGSPGCINKWASSLTNG EAAVVNVRPDGYIGSVGWWTRVDDAAVGERAARWVEDYYCDILM ACHE_30019A MVVIPRQTFTPPDQALQELVNLTYTSSTLSFYLNGTKIALTNPN PRWTLLDFIRSQDCLKGTKLGCGEGGCGACTVVLQTRDTRCNSRKVRHLAINACLYPL VGVIGKQVITIEGLGTVENPHPLQERMGKLHGSQCGFCTPGVVMSLYAVIRNAYDPAT NLFDLSEEEIEMKGHLDGNLCRCTGYKPILQIAKTFVQEDLKGRLADSKIILSESSED HTGDVEEYSPHSQSPSTTSSCGRPGGCCRDGPSTTCSPPSSLASEGSSRTSFPNIEFS PKLTIPQFDFIPYSPVSEVIFPPSLYRHVNLPICYGDVERVWLRPVTLSQLVDILAVF PTAKLVCGASEMQVDVRFKGSHFPVSVFMGDIEELATVHVPEDPTTLSEFVVGGNASL SDVEETCRQLVAQLGKRGTTLAAIAKVLRYFAGRQIRNAASLAGNIATASPISDMNPL LLAVNATVVTISPKGEQSIPLDSMFLGYRRTALPEGAVITEIRIPLPPPNVSQITKSY KQAKRKDDDIAIVTAAFCVTFDGDGIVTHASLAYGGMASTTVLAHQAGTSLSGRKWND KETLYDALRALMADFSLPYGVPGGMATYRRTLALSLFFRFWNEAARDLNIGALAVESD CIDEIHREISKGSRADHNPHEQRVVGKQIPHLSGLKHATGEAEYVDDMPPQAQELFGA LVLSKRAHAKIVSIDWTPAIGPGLAVGYIDRHSISIDQNLWGSVNRDEPFFATDEVHS HGQPIGMVYAVSELEAQAAARAVCVEYEDLPAILTIDQAIAAESFYHHGKELRKGAPP DKMADIFARCDRVFTGVTRVGGQEHFYLETNAAMVIPHVEDGTMEVWSSTQNTMETQE FVSQVTGVPSNRINARVKRMGGGFGGKESRSVQVACILAIAAKKERRPMRAMLNRDED MMTTGQRHPVQCRWKVGVMNDGRLVALDADCYNNAGYSLDMSSAVMDRCCTHLDNCYE IPNVHIRGWVCRTNTHSNTAFRGFGGPQGMFITESYMSAVAEGLGISVDELRLRNLYR QGDRTPFLQTIDEDWHVPLLLEQVRQEARYDERKQRVATFNAEHRWKKRGICLVPTKF GLSFATALHLNQAGASVKIYADGSILLNHGGTEMGQGLYTKMVQVAAQELGVSVDSIY TQDTSSYQTANASPTAASSGSDLNGMAVKHACDQLNERLQPYREQFGPAASMATIAHA AYVDRVNLMASGFWKMPKIGYEWGVYDPKKVNPMYYYFTQGVACTEVELDLLTGDHTV LRTDIKMDVGRSINPAIDYGQVEGAFVQGQGLFTMEESLWTRTGQLATRGPGNYKIPG FSDIPQEFNVSFLQGVSWKHLRSIQSSKGCGEPPLFLGSTVLFALREALQSARQDNGV KEPLVLDSPATVEKLRLAVGDELLKMGTVEAKEGEKPFFVTVA ACHE_30020A MVVKSHVCDWPNCGKAFTRAEHLRRHALNHEEPRSGYTCERCSV HFNRPDLLTRHMMRHAKRDEEAGGPGLGILETRKRTRRAANGTIVTKPAKRQARKAIS NRHARSVSHTSFESASEENAGLIESHDFPHGAPVSPPSSAHLESRDQRSNIGISDEDA LLAPMMPAGPYEPYVEPIAGQFDAADGSWGTSPFGDMFTADTAMDFNMPFAVTHNYNW LFDVSCLDDAFVDLDWPLEPISPNRDSIPSLPGEERDATREMNSGEFVELCNSDMDFN MDPSSMLLEAATFVDKGLYPDAGTSSNIHQQPGQTASEYLEMEWMTGQPQLDTSSRPR LPHLSEETRSSILGLISHAKDVNGASPLLSLEALQGYCDLFFSRFNVAYPLIHPETFN PNRAEPVFLAAVLCLGATYSSREAHQIAVGIHDSLQNQLFCHPDFSPQPDLWVLQAML LIDCFGKMRAGPKQRDRAQLFHCVLIKMIRRSNCCVIQAPTIRSRPKDLEHAWIEAMD LEQRKRLAMHCFMWDTQHAVLFSQSLCMSAFEMRFPLPCDASTWEASTPEQWFQCAMK ESNHPFLSALKGYIAPHAVHRPRHLNALARIFLLHGLMSLSSDLRRRDQTTIRSETPE LAGAWKHRIGRSYDLWKIDFDADCMAMKLGQAANPRRFTGIKMAAHALYRSAHITLSG EILDLQICAGTPHILGRAVTQSDVERSRRNIPQWLQEESGVALKAAKHASLILQDAVM SLDDWDDADAFHFPWCLYLATLTCWAFHMPEEGHNVPNPCPQSDEDAKTEMSSLIVAM TTCSSLDELASVGVHDAMKVLLRLVGL ACHE_30021A MGLRLSILQTFAVLASAFSGLISYGVFRIDHPSVQGWQWLFIIE GSMTFLTGIISFFWLPAGPQDAWFLNGREKAAANARLLRDNSVHVETSLDLKECFRTW KDWKFPVWCIITLTYPVVYATAMNFFPLIVGRLGYSVIKTNLWTVAPNLVGAVVLLCV AISSDYFRERTFHIVFSLVLSLIGMLILVALNVTQHKGVAYFACFLMAAGSYIPSCLV HAWHNNNNVHENSRAANTGLFVGLGNLAGVLSAATFRTEYAPKWIYPDFSSYLLLQCS VYYWRIVDGIMDEVRE ACHE_30022S MSARASPPVLDFSPFYGEDSAAKAKLVESIKGCCLYNGFFQIIG HRVPIELQQAVMRCTKRFFEMPLEQKLKIDKNNNTFNRGYELLRSQMLEAGTGPELKE GLYIGEEIPEDHPYFIQRKLNSGPNQWPQTIEDPEEFQRTSMKYYRAVFDLAKDVLGV LALTLGVDATYFDPLTDGAVATMRFLHYPAQTKDADEKLNRGIGAHTDFGCVTLLLQD EVDGLQVLDVPTGEWLDIQPVPGAYVVNLGNLFMRMANDKYKSNTHRVINKSGRERYS IPFFFSGNPDYLCECLPNCREQQEAPKYGPITVEQAVTAAYKESYGRAEKYKQDMKVK LETIASPAAVIA ACHE_30023S MPDKEKDEIQSATATPSPSVEEGKLTAIDDPQVEQFYGSSTTES YRLKSELVGKCLEEIGMGRFQWQLFVVTGFGWIVDNLASQGLGSVQPPIELELPGIVQ VSFSSVAYYAGLIAGASFWGISSDFIGRRPAFNCTLLIAGVFLCAAGGALNFVTFSAL WAVIGTAAGGNVPVDSMIFLEFVPGSHQWLLTALSAWWNLGQLIVSLIAWVFLAHYSC PTDFTPNTCFRSENMGWRYTQITIGALSLAFTIIRTILFKMPETPRYLLSKGRDGDAV EAVNHVARQNKKPEPLTVEMLQAIDEQLGIDTSHARAVGLSNREIIRESLQDLNGTHY KALFATKRLSLHTGLIWLIWLTIGIAYPLYFNFLPSYLATRFTQNVSLDLTYRNYCIE SAVGVVGPLSAAFLVNTFFGRRWMMGLSAIVTGAFLFAYVAVNTPAASLAFACVTGML ANFEYAVMYAFTPEAFPGPHRGTGTGTAAALLRFGGLAASLISAYTGFTTAPIYASAA LWIAVGMLCFALPFETHGHAAI ACHE_30024A MKECHTAEFTLLQTALTEQELELTPDGKHVRWANTNPRHPRNWH PLRKAYDIGVIILLEFYTTAVSTSGATAAKDARHQFGISLTLSIFLYVSSFSLVQGVG NILFPPYSETFGRKGLYVISTAIFSIFCVIIAAVPSPAASVVGRAVTGFVSAIPNVVI TGSIEDMFNSRDRIWLVFVYFAIADFGVATGPVMSMHITTRLGWRWVFYISAMVTGFL GGLLLLIKESRPSLLLAREVEHLRKVTGDESLQPLNPDHAPDFRTFVRTALLRPIRLF ATEPIVFICAIMQGTSVALIYLFTEALPPIYQSMGFAPAPSSLPFFAIALGFLGNIVT RLWDIRIANRHREKGHPPLPENKLMGLFVAAPALATGLWWFAWVIPPAIKNVHWFVSC LPLFLVGFALNEFSIVLAGYMADSYLGYAASGFAALALARSVLSAMFPLFADNMFNAL GSNVAVSVLASVATVFCATPFLFTYYGRRLRERSQFAKYSLQVYTENTVDQEGY ACHE_30025A MEVGEKKRWHDMYAPECRTTTEADAGSPSPEVDFPEMVRWMDFL HYGGDYVGRIHVLLRQATKELGIQHLPAAVAHVDAQLNREDGKPGLIIRAVDECINCL RTWPGFQNGQAVGSTVHSMMPPMPL ACHE_30026A MALSPMAQETPKMVNSKDVELSASISAQNDNFEVTFEPDGADNP KNWPVWYRTFIVATASFSTTCVVLYSTSYTAGVPGIQVSFDISDRTIALLGLTTYLLG LALGCLVLAPLSEMYGRRPIYVVTGALYAALILPVALAPNYAAVVASRIFSGFFGSAT VASAPGTVNDLISSKHRALAFSLWSLGAMNGPVLGPIIGGFVYQYLGWRWINWIVLIC AGVSFVAFSLCNETYAPAILRAKREKKQKETGDKRYWCRYDNRTDGWQLIRTNLTRPL SMAILEPICLFWNLYVGVIYAVLFLCFVGYPIVFQEQRGWSPGLAGLGYLGIGVGVVL AVLSEPLVRKMIQMHRLDPVTGQIPPEAAVSPICIGSILIPIGEFWFSWTARPSVHWI CPILAGVPFGLGNGLVFIYATTYLASSYTIYAASALAGNSVVRYVFGGVLPLAGSQMY HRMGVNWAGTMLALVEVVLIPIPFVFYRYGWKIRQRSPLISKM ACHE_30027A MLSDTGPISTPTVEDLKGSDPESSLHLEDVIKNSPAERPAPPDA PCNWPRWKKNTQIAMVAFHSMMSTFMAAGIVPAYDMMAEMYGVNMSEISYLTSIQILL LGICPFFWKPLSSIYGRYPIMLLSVFGSMVCNIGGVFCTTYGTQMATRVLTAVLISPP IGIGSGIVTELCEPGERAQKLGWWTLMTTIGTPAGPFIMGFVIEHIGLQWVFWIFTIL NFAQFVAYIVFGDETIYVSAEEGGKAPTKANSSFLTRLMPRRVDPRPLKPIEFIEPIF MARYPRVLIAACAHAVTFCYGNIAIVVEMPAAFGEKFDFGPQQIGLQYIAIIIGCALG EQLSGPMSDWWLKFLLRRRGHTCPADRLWVSYIGYGTVIAGLLTWGFQLQSASETWNV TPCVGAAIASFGNQMLTTILTSFAVDSYKEMSTTVGVFVNICRQIYGFIGPFYFIPLF EALGLDGAAGVFVAIIGACSLIPIICIQLIALRKDNKAVY ACHE_30028A MKFFAVAATFAATAVALPNANGGHKDPHQLTLEQAQNTCGKAQV SCCNKQIKSGDSFEKNEGVLNGVLKGILGDRGSEGLALFDQCSELDISILGLTDLLGN DKCNQNIACCDATNAEAEGGLVNVALPCVQLQDLI ACHE_30029A MLWLLLVPTVLCEYIPLNQHCVTAVFTAYNYLTFTGPTGFWDSR CQNPLKVTSIYAASGIYCDPDEQIAGFALFATYCHQFGRTDLLPRDALAENLTDDAIA QMNVVEYGVIPKHEPLYAPVMVSQEYFKRAYRTIEDMQFVSGRHRAYGLACYIYWAGI LVIGIIYRVFQHFWENRRLPGQPWTFIRTVYHLFQTYLVVPIPRRFLWFTPTPIDGIV LGLFWILNIVLSSVSYPTFEGNIYWPPIYKQYLRYVADRTGILSFANLPLLWIFAGRN NIFLWATGWSFAIFNILHRHVAIISTVQAVVHTLLYVVMFIDGGSFFKKMQRSYLLWG TLAMTVMLLLLPMSLAWVRHRAYELFLTIHIGFSIIALVGCFYHTIIFEDLEYWQWLW PAVAIWSADRFLRLVRLVYCNLHVKVNLENRVQSTCSWAIYDETSNVVRLQLKPGCFL RPAAGQYYFLYQPFRLTGWESHPFTMGAWSYGSVSGPGSSTSKATSIDVAQVPLLSDS SSGSERDETTSGPAATPHDLQLTFWIRPYDGWTRQLREECLRSADRRTNVTILLEGPY GHTFPVWNYESILFVVGGTGIAAAVPYIQEHFRRSASTNTRTQEIHLVWTSRQASFIA DIATRELRPALARDDIRTSFYVTNTVNIEPSGHDLLGLEIELIVGRPDLASLVTQHVH EAQLSDCTAAVVVCGPRGMANETRTGVHARLQQGYQVEYVEESFSW ACHE_30030A MSKLQVAIAGLGRMGARHALHFNNLTPRAQVIAVSSPKPEELEW AKQNLEGARTYLDYDEMLRSEPSLQAVVVASATSVHAEQAIKAINKGLHVLCEKPLST RVEESQAVVDAYRTSQKTNPSQKVVCGFSRRFDSSYRDAFDKMKSGLIGRPSVFRSQT CDKLDPSGFFVEYAQFSGGIFVDCSIHDIDLALWFFGEDSVVKSVTAIGITAVSPELR KYNDRDNALGIVEFYDGRIAQLFCSRMMAAGQEDSTEITGTAGKLAVNTQPASNLVNI YEPSGIRREIPPHYYGRFREAFITEANEFTASCLDDTPPPMRLEGAVAAVRIGCALQE SLITGKKIEFDEKGERIVQAKL ACHE_30031A MANVWLNQDEHHDNDIIDSPADNDGSPITPEIDTQHPCHSFSRE QRNPTHAEPDPSPSVDHGRLTAILLRYFTEEVAARFDLCDPERHFTQLVPSRARACAP LRHAILTTAARHLIRLQRHRNTAGVVEWQGHALPDLTEAVALAYHTACITDLLALSMD PDQIHNDNLLAAAIILRTDEEMDAPLRENPEDQEVFLRMLNVFISAQVPHDAALPHSS SPSSSCSSDPPNGLRQAAFWVALRQEVFTSFIKQRPLSFPLSYCDAFRTMSPATDAVW ADRLIIFCADVLEYCYGSQDVAPAAQSASPHRWHELVDYQDRLHACLPASFDPLYVRP PDPDGGQVFPEIWHLDPCHVTGTTHSELSRLLLLVFDPTRPRLGPGSAAQHRATIDNV RAIVLRLCGMALSNRQSAPTFIEALMGITTCGEYFELPKEQQAILGVLEVMRLEHAFP TQRVEDRLMVAWR ACHE_30032S MDDIKAKNASADHIESIEAGETKKGTSDLNELNDIPVGWFVWVV AITASIAGLLFGYDTGVISAVLVYIGTDLDGKILNESEKEMITSLCSAGAFFGAICAG LAADKSGRKLAIYIGSALFTIGAVLQAASYSIAQMTVGRFVVGLGVGSAAMVVPLYVA ELAPAKARGQLIGLNNISITLGQVIAYALGAAFASVPAGWRYMVGLGGVPSILLLIVL PWLPESPRHLVYREKHGEARNVLHKIYGKATEEQIDLVHASIVDACDEARRNNENESR WSKIKQLHGDPANLRALFSACGLMVISQISGFNTLMYYSSTLFDMVGFSDPAAVGLVV AGPNLVMTGVNMILVDRMGRRRLLLCTAWGMCASLIAVAVSFHFIPVNQQTLEVPDYA HMSPPTIAVLIFVLLFVISYGLSVGNTAWMSADFFPLEVRSMGTMWMTCSNWVSNVIV SSSFLSMMKTMTPAGTFGFYAGICGVGWFWILFFYPEVSGLPLEEIRAVFERGYGRGM RTRR ACHE_30033S MTLLSVVEDRPTPPQVYNWRIFVLAAIASSASCMIGYDSSFIGQ TVELTSFRDEFHFEDWSNAKQDLVTANIVSLYQAGAFFGALFAYPIGFYLGRKWGLLI TGIVFVLGSGLMMGANGDRGLGLMYAGRVLAGIGVGAGSNLTPIYISELSPPAIRGRL VGIYELGWQVGGLVGFWINVCFLWHFGVNERILTEIVWGLGNPPRKPQTMAYSLRRPA YSFWIPFDRFLLHPRVTPMAVRPWSSRRSRQEPLLGSSTFRRRCLHC ACHE_30034S MWRLFLGFMLFFWQNGSGINAINYYSPKIFESIGIRGRENQLLS GVFGVVKTVVTIVWLLFLIDNLGRRKLLIAGAIAGSVCLWVIGAYIKIVDPENNPKSE LDSAGTMAIVFFYLYTASYIPSWNGTPWVLNSEMFDPNMRSLAQAVASASNWLWNFLI SRFTPQMLSTMQYGTYFFFASLMILSAFYVFFLIPETKGVPLERMDRLFEAKPVWRAH GRILQQLQEDEAEFRREIEDSKLCDDKSKEEFVEKA ACHE_30035A MLGSFSQLANITNATHAHLTPAFSAGVSRKTCKTLEVITMIGEK LTQSVADDWGTDMRAYNTYGPAEATVVSTLREFGNESKNIKSANIGWPLDTVSVFVTR NQKQVMKNAVGELALGGPQLSPGYLNQDDVTQAKYVWNEEASQRVYYTGDLVRMLADG SLEYINRVDDLVKLGGIRVELSEISYSLGECHPLVENIETCIINRPDRPAKVVVTFLS APGAVLEDDKDLLLLSDVAVEIARAASEQAQSVLPDHMIPSVYLVVGSIPQTQSAKTD RRTLQAAYASVDIEDWETRMNPGNGLTDNMVDNQGNATTVSQIVETISALANISTSII TKSSRLGSLGIDSIHAIRLASRLNEAGYRLSVVDVLHCVTVQDLVNLASASTDISRVV VDAFDVEEFNQRWIESVKSKVKDELSVVKATTIQESLLSETMGTYNMYWSNHFVSLDS AVDLTRLRQAWFAVSQKAEALRTGFIPVAEISNVNAQDNFDFSLLQLLYAYPLVDWES IECTDADWRETLDTRLEAVMSKHQANYFRNPPWAVTVLAKGSERIMVFTVHHSIYDGP SLEFIMDDVRSAYGYKPPTRNQMRDALSVVLPTDKRSAETRDFWQTELEKFSQIEAPV WPDLTGKRVAPGTEPEHKFITAEILLTEPVGKLQSAASQLGVSSIASIVRAAWGCVCL AYFGTPAAVFAETLSDRVLDATLENCIGPLLSVVPVPFHHQGTARELLAEQHRISVQS WKHRHIHAREVRKMLKRARGGPLYPAIFTFHITDQQPAGNTSELDIWNAIEDPIGLHV EHPMAVNVFQRPDGALMVEASADSAIISEEQLSIFARQIDAFVSAMIAHPDEPVTQLV QHFPRDLLAVSNQVVSQDVKNSVKLSPTHWLEQYAKTNPDWTAVEAATAISEDGIEKE GMSFGELNTAANRVAAFIASQGHKNRMIAICAGRNLASYPVTIGIYKSGNAYLPIDEN LPNDRKAFLVEDGESPIVFTESSFAATFADVPESCRVICIDDPSFQETVASMPSEDTD YESHPDDLSYLLYTSGSTGKPKGVMITRGNLSSFIESLSEFICDISPETMNLGGKGRW IAQASRAFDPHIVEMFFPWRHGMATVTGPRMMLLDNLQLTMSKWEITHGSLVPSVLDQ TNTLPEDCPSMVYLSVGGEKISQKVLDTWGLSPNVALANAYGPTEVTIGCTFAHVKPD TNLRNIGPPLTACTGHVFLPGTMTYALRGQTGELCFSGDIVGRGYLNRPDAKGYAAGP DGEKMYRTGDIGRLMPDDSVEYLGRGDDQTKIRGQRLELGEVSEVLRASSTIEIDVAT TVVQHPGISRVQLISFVSRSNSVKSRSKAGLGEKGYEVTLLQSDIATLGKELQEACAK KVPSYMVPEIVLPVTFIPLAAMSGKVDMKPLHAIFANLPLPAVLRGNNAGAVDGSAFA DRPLNDDERAVVNEICTVVSTDSSIIGPMTNIFEVGIDSLSAINLSVKLRNIGYDASV ARVMSNPVVEQLARLPRSTNKANSNAAADELRQKLEAMDTQFRQNAPEAVDLSRVASV RPCLPLQEGLVARSINSEGLQLYVNHIALQLAESVDADQLKNTWQTAATDNEILRTAF AALDQEMVQVLFSADSYEIRWEEEEAANLDEAVAVLNAQQESLSQHIISNISAVPPVR FVLIKSSSTKQPLLLDISIHHALYDGESFSMLIEEIAARYANDSVPERGSPSAFIEHV YSQDLEKAKQHWTTALAGCHPTLFRSDSDVLETSNAHRSLRSKLSHLERCSAALKTTV PSLVQAIFGLLLADLVNAGDVTYGTVLSGRAVSVPGADSVLLPCITTIPGRLDTTGLT TVNEIVATVQKSAVKSLEFQHTSLRHIQRWLKSETPLFDCLFSFIRSTKPSKYDFWSE LESHMPADYPFAIEAEADSAADVVHLNCRFSTSFGAAYSAEEFLEKMDAVLSSVVAGE NVSLENFNLLQPQSVSSSTASVKWDETAWSAEQLQIQEMVASFCGLDKSAVSKGASFL ALGIDSVTAIQFSRNLRDAGFNASSSDVMRFSCVGALAAQLCSQETKEEDNSATPSVD INAYQSHVPVLGPNDSISAMFSCTPLQSSMITGTLGSAGAVYIHPHPVRLADDVDVPR LKESISRIIQANDILRTSFHSIEELGYAWVGAVHTNPALNWHEITLPSTVDPLSEISL MFRFDKETSFAVPPIRSVLVTRPTDKLLVIVMHHALYDGASLPFVFEDLARAYQEDTI FERPQFSDTVNFVLDGQEQATEFWTQKLVGYEVTELLRLPPSESQDQMFMSERRVDLD IANIIEACKAMEVTVQSVSLLAYAKVLTHLLGKRDVVFGQVLAGRSLPTPEAEKTIGP LFNTVAQRVTFEPKFLSNKAMARRLQQLTTDSQIYQHAPLRNVQNRLRHIDGLKSASL FDTLFVFQKSADIDTSVLDGQQIWTPYNADDYAAQAEHKINIEIDHARDGIVARATCN GEYLPQSKLDEALDLFSSVFQDIVEHPTRCTTVIPDGLGELPLRLSLEEVKSPEVEQR ETSNVPAHEGLVQEILADAAGVSTDNIAPDTSIFNIGLDSLSAIRIASICRSKGLKAG VADILQGNTLRGISQRIQPTSASNPQAQGPLLLDYNRIESAVLGQLGLQKDAVETILP CLGGQVYHLASWIKSNRTLFEPAWSYFSNERIDEARLEEAWFQLRQRHPILRTCFAVT SPSDAYQVVMKHADNDTFKVISSPLNITEAAKKQATEEGLHPSSLSVPPVRLCLLKAS DRDGIQVLINHAAYDAWTMPMFVAELAKLYRGEAPETNPNFPSFVDYSVRSVRGLDES AYWSSVVGSSTPTLIKGGQASGPAEQLFVGAWEKVKNLAEMEDACRSSGLGLQSVVLL AVSRCLARSTGVDSPTMGLYQTGRSASFTDIERLSGPCLNVTPFVVPNAEQSSTGMLE KARDIQSSLAKRVSYEQSSLRDILTRWASTRGTQTPLFNAWVNLLWMQAQPQASDELF EPLRIGVPTDFIPSEPLPPVDETSTSVAALDTSYIPDANVYIDIGPDVSTDSIGFGVR VEGGLLTEGEVQEFVGEIGKEIEGIVSSVR ACHE_30036A MRGIFSSFEGHRNPPETTTDESKMTNGDQIISVPADEVLPVTKV PALDTIDQYAVERVAGEAANSPAIELSCDAGSQDVAKGIMQAYARFVSHFTGLEDVAF AISRDSSYVSTESRRAVVCASVFADSEAGKTCNLREASYARLNKDEVQFALELRNAEP ENGDQHAGSEDVRFRSTPKLGIVLTAPSHLLYLRSQAQGIAPGNSASHTPND ACHE_30037S MTTNRPSVRRLQQTLSHVQPKPAPLQLSIVEGPTEPELLEITLG ELLTLQSLKYSDYECLVFPWTGARWTYSDLNDESDRVARGFLAMGIQKGDRIGIMAGN CEQYISVFFGAARVGAILVVLNNTYTPSEVYYALSHTDCRLLFMTPQIGRHELFDVLS TLGPHPTRKGSSKALEEIIILRGQYQEFGTYGSFIERGQWLPDHILAEREDILQPDDV CNLQFTSGSTGNPKAAMLTHHNLVNNSRFIGDRMDLSSFDILCCPPPLFHCFGLVLGM LAVVTHGSKIIFPGETFDAKAVLHAVSDEKCTALHGVPTMFEAILSVPKPENFDCSNL RTGIIAGAPVPRPLMKRLFGELNMTEYTSSYGLTEASPTCFNALTTDTIETRLMTVGK VMPHAKAKIIDVKGNIVPVGQRGELCMTGYQLTKGYWNNPEKTSETLTTDENGVIWLK TGDEAVFTPEGYCTITGRFKDIIIRGGENIYPLEIEERLTAHPAIEVASVIGVPHQKY GEVVGAFILVAAGYTRPSDEELRAWTRETLGRHKAPQHVFVFGEEGVDRTIPVTGSGK VRKVDLRKMAGGVLERRAQ ACHE_30038A MAPDQKLPGVRLPQPYKTSYALQVLSVEHGLRTFQLRRAARADD GIPPPASLDHASLKFTDLAQPDSTITAHPGNNSAWARAQRSPITKLSWDSTEAPSIGQ IWNVIYALLTLCTDFEIFRVVLSGEGKELLAQELQAVGLATEHPDPSAPPGQPVPEST DHVGQLVVFRSMFWQGAGSPFGTRPAWIVGSDTEQPLPRSAAAYPTFPIQHTLTTKFP DVRVHAVHPIRPAKPAPGSRIYSRYIPHLDEFFSIWVLDYTNEEHLKLFNKWQNDPRV AQGWNETGTLDQHREYLRKIHEDRHQMAVLAKFNDTFFSYHEIYWAKEDHLGANYNAD DYDCGRHSLVGDQRFRGQHRVMVWWCSIMHYMFLDEPRTNYIVGEPKFTNLAPLAYDH ATGFNIEKLVDLPHKRSALVKCPREKFFHISPFRFDGSDHLERNPFRALKL ACHE_30039S MEFVRGSVTSVDPERKVARILELQSQQTRHEQYDYLIAASGLRR VFPTVPQSLRREDFLNEAKSHRKSVLDAQEGVVIVGGGAVGVEMAAEIKEIDPNHKVT LVHSRDRLLSAEPLPDDFKDRVCDVLRETGIEVILGQRVVDHKAVETSEERRTWHLTL ADGMQLKTGHVMSAISKCVPTSTYLPQDALDQEGYVHIHPTCQFKNNIPNAEHHFAIG DLASWPGIKRCGGAMLHGHYAAANAHQLMLSECIGSKPEFLSLQVSPPMIGLCLGKTA VTYNNIEGTRSGEDLNTAMFGDDMGNTICWNFMRLSEPCQA ACHE_30040A MQQFGERLTALAGCQPESSALHVHPLTEEGSELGDQISVISSEK QKKGGGLTHQLSDTSRLASVGWHTDISFERVPSDYAMLKIHTLPETGGDTLWASGYEV YDRLSPGMAGFLEGLTATHDASFFHEEARRLGNPLRKGIRGSPLNVGEDLSAVHPVVR TNPVTGWKSVYVNRGFTKRINGVTKDESDMLLQYLFNLVTQNHDAQVRFKWNRNDMAI WDNRSTWHCATYDYTEARAGDRVCSLGEAPYFDPNSKSRREALA ACHE_30041S MADDIERGRKGAKTTTTTDEDGSSKVSVGVGQEQLAQVTAPHES YEGYHRFDATATWTPDEERWVVLKTDFLLLGWLCIMFFGLQLDRGNLSNALTDSLLED LNMNSNDYNNGTTIQLVLFLAAEFPVQLLIKRYGFRRVLPLMMMSWSLVSWTQSWMTS RASFYVTRALIGALEGGFIPGTVLFATYFYKTRELSFRLAFFWSSLNVARIISSLLAA GILKMRGIQDKPGWFWLFLIEGLLTFVIGLISFFFLPSSPTNTKSVICPRSWYTERQE LIMVNRLLRDDPSKGLTHIHEAASFRDVLDAWSDKSMWGLYFIGLVAYIPQSPVQSYL SLTLKRIGFSTFDSNMLSIPSAAVQIILMLALSKSSEYFGERTFHSLFGEFWSLPLLA ALLGLPAYGHDWARFTITTLISGYPYFHPIVSAWISENTFDVQKRAITAATYNVVVQI GSVISSQIYRDSDSPYYYTGDRVLIALCVVSMVAFIVQRQYLWFLNRQRDKAWNAMSR EEQVLYQSDQAAREKDGNKRLDFRFKY ACHE_30042A MSSNRTNVTKRACDGCKIRKIRCGGGHPCKACTNARIKCTYIRV QQTRGPQKLRSTTKYLIEQAQREDACESPRQSVQPNTLARVEQSVDRSQISLNVLTTP LYIYHVRMYPVWPIVNVERLVSILQHDTNNADPEIYALATAVAAATVAQLRLGKGSLS DESMTADTFAAECLRVRGMSQYKSKINLNNVRTSFFLHVYYENQQSGGSESLLYLREA ISLAQMMNLHRESSYANLSLEEQQIRRRVLWLLFVTERGVCILHKLPVALRTNVVPPS ADDGNDPHVLPAFLKLLNLFRLFERSKMFDIIEGEDLETESLGDELDFDNRFLETLQD KLEDGSVVFDHISDVQKADLCVTRHWMRMILWKLSTKKSISYNRSPQTPTSPSFPVAV AKEMLNIVSQLPRPAIEAHGLGMELKLYEIANSLADSIMKLAMLPRASELEIESRPNN ILYRLHSILSTFRGGGNKTLVDMLYKKMAEADSRSAPTLSALQPSHAEYRRKSRQLAR VGVDQHSQDAATGADGPTSLDQDLTVTKQLPEQRTRTGTFRDCLNHPQDELYIEPMEI NQRDDHQQATVDGTFPGMSLSPLSPNCIDQDPYITPMSSLHAAAATELPALAPSWPSY DSVELMLDDFLAQVPGVPFLQDGLLEGSFMGSDLPEQVFADRDFVGISPV ACHE_30043S MAWPNKNKDMLYRRVGNSGLHVSAIGLGGWLTFGGHVENETTFS CMKQAYDCGVNFFDTAESYAGGQSEIIMGQAIKKYGWKRSDLVVTTKLNWGLANGEIL INNHGLSRKHIIEGTRASLERLQLEYVDIIYAHRPDRLTPMEETVRAFNYVIEKGWAF YWGTSQWSADEISEACGIAKALGLVAPIVEQPLYNMLDRTKVEGEFQRLYSRAGIGLT TFSPLKMGLLSGKYNDATTQPPPGSRFAESSDRFADSVRKDWENEQWAGTIKKIVELK TLADKLGFKLSQLALAWCLKNENVSSVITGASRPEQVVDNVESLKLLPNLTPEIMAEI DELLLNKPDQDPARQD ACHE_30044A MESQKQPHTVEDGVEVSTTSSGKVDDNYDIYKRYQGIEYTEEEA KRVLRKIDCRVVPILFLIYLIQYLDKNSLNFASVYGLKTATKLEGQDYSWLGSIFYIG YLVAQWPAGYALQRLPIGKFLSITTFIWGGLLMTTPACHNFPGIATNRLLLGILEAAV NPGFVLMMGIWYTAKEQPLRLEAYYCTNGIATMFGGLIGYAVGHITTGLERWMYVFII FGAFSIATAIISIIWLPDLPSTARFLTERERAIAVERVAINRQGVKNHHFKWDQVWQA ARDPKTWLLFIMAVGAQVPNSALTSFTSIIVKSFGFDTLGTQYLQIPGGAVQFLSLLG GGITATRYADRFHARSTCMIVANLICILGAGLLVGLPDSNQWGRLVALWLCYFQGLGF SMSLTMISSNIAGSTKKQITGAVVFTGYCVGNIIGPQTFKDSEAPGYHSAYVAMLVGY AVKLAAITGLYVYMYLDNKKRDREASVEVYGEDVVKDGIEKGMMDQTELENRAFRYVL ACHE_30045A MESKAQETTLPLRPAADVKPPQEQPPAEPQESQESTSKKKEKKE KKAAEKAAKKEQAAKQPKQPKGPAPPKAKKEPAAPTDPEQMFKEGFLHQVYHERPEET VTTRFPPEPNGYLHIGHSKAIAINFGFAKYHGGKCNLRYDDTNPAGEEQEYFESIRDI VQWLGFKPAAITYSSDHFDRLYELAEELIRRDGAYVCHCSKAEIEVQRGGGDGGKGKE RFACAHRDRPIEESLKEFRAMRDGKYQPKEASLRMKQDLNNPNPQMWDLAAYRILEDN DHFRTGTKWKIYPTYDFTHCLVDSFENITHSLCTTEFELSRESYNWLINKLDVFKPMQ REYGRLNLTGTVLSKRKLNQLVRENHVRGWDDPRLYTLIGLRRRGIPPGAIRAFVNEL GVTKNVTNIEINRFEQSIRSYLEFSVPRLMMVLDPIEVIIDDLPDDHLEMIEQPFAPK DPSFGSHQLPFTKRVFIDRSDFREVDSPDYFRMAPGKPVGLLKAPYPVTAKSFEKDES GRVTVVHASYDKPADGSAPKKPKAFIQWVANSPAHNSPVKAEARLLNPLFQSNNPSAH PSGNFLNDINPNSEDIYPNAMIEVGFHDIRQRAPWPAEDRKALEENGIQPGPEGVRFQ AMRIAYFAMDRDTTDDKVVLNRIVNLKEGA ACHE_30046A MSEAPKIEEEDAVFGEITEEGPNYRNVGFLGTVILMMKTQIGLG LLSVPGAFDVLGIVPGIICLCAISCITTWSAYIIGTFKLHHREVYGIDDAGHLICGQV GRGVLSIAFCLFIIVTIAVGVQDRPATAPQTDTPWVPDYKIIGKPTFTSAISAVSTLL FAFSGTPGFFAIVSEMRNPRQYTRAVLICQVFVTVLFAVVGCVVYYYCGSYVASPALG SAGGTMKKVCYGLALPGLIVTMTIVAHIPAKYIFIHILRGSHHLTSNTPIHWMAWLGC TFGVVVIAYIIASAIPVFDGLVSLIGALLVPLMCFQPYGAMWLYDNWGQRRDTPKRWY FMVCWSVFVIVIGTFLMVAGTYGSVVGIIDTYKTSGGSSAFSCADNSNSV ACHE_30047A MTTATIITRRAFRRTDDYTPGTPKLKYVEEVLPPLGLTSVLIKI HAISLNYRDANIANGGNPWPVLPNGILCNDAAGEVVATGEKVKNLAVGDKVAPIIDTK YITGRELGRSWLAADEDGVMADHVVFDEEVVVKLPDHLDFISAATIPCAGVTAWSALK GMSIGQTVLIQGTGGVSVFALKLARAAGLQVILTSSSDHKLEKMKEKYPNPPLLTVNY SKIPDWHEEVLRLTDGVGVDIVVENGGTPSLIQSMKCTRRGGIVSQVGYLGKQNPQDL AELVPIIIDRRVVLRGINAGSKYDTEDFCAALSATKVQLDDLIDSTFPFEKAEEAVEY IWQGKQVGKLVLCL ACHE_30048S MGLLCQSPDFREENQDIPVCNARYPKLRTCYKRISSSVCLKPRR NMTGLEIARIDVFQVDLPYAGGVYRLSGGRNYTSFDATIVRITTQNGLEGWGESTPFG STFVASHALGVRAGIAEIAPKLIGLDPRRVDRINDAMDAALIGHAHAKTAIDVACWDI FGKSVGLPVCELLGGRTDARLPIISSIPVDDPEAMRKNVADHRKRGYKGHSVKIGGEP AADAARIAASLADKQHDEFFIVDANGGLSVEIALRMLRLLPDGLDFILKAPCPTWREC LSLRRRTDIPMSIDELATDEVSIVQLVADDAAESIGLKVSKTGGLTRCRRVRDIALAA GYTTSVQETTGSDIAFAAIVHLGQTVPVRSLRCILESREMVTLKTADGAFDVHDGYIS APTTPGLGIEPRLDVLGEPVASYD ACHE_30049S MAEQAVSTYTPLDAPVPPVPTAEVFSELQWQTLLALADTVIPSV KAREGPHSSNDKVVSSSEVDKAVSTLAASIPGPDANQLAVRYLEERPSTNPHFRDAIQ RLFAEWVPDEGKNGMSMILSALNSKPGSLVLTGSTTPIAEQPVEVRERIFRGWETSRL KPVRAVYKALTAIFKKTWVTVSPTVCSVVGFPRVPIHGKPVDGHEYEFLQIPPGDEPE TIETDVVIVGSGCGGGVAAKNLAEAGHKVLVVEKSYHHSTKHFPMKFSEGFTNLFESG GATMSDDGSMAVLSGSTWGGGGTVNWSASLQTQGYVRQEWANAGLPFFTSLEFQMALD RVCDRMGVNSDIEHNYSNRVILEGARKLGYAAKPVPQNTGNGEHYCGYCTMGCHSTGK KGPTESYLADAAKAGAKFMEGFRANKVLFDQTAGGRVASGVEGTWTSRDTHFGTSGDA VTRKVIIKAKTVVVSCGTLHSPLLLLRSGLKNSHIGKHLHLHPVSLNAAVFDDEVRPW EGSALTTVVNEFENMDGQGHGVKIENLSMLPAVFIPTFPWRDGLDYKMWAAKFSRMSG FITLTKERDAGRVYPDPVDGRCRIDYTVSNYDRKHMLEALIATAKIAYISGAREFHSS CRELPPFIRSSDSTEASEGTNSADLQEWIAEVRRHPPLDPERTLFASAHQMGTCRMGK SPRTSVVDADCQVWGTKGLYVLDASVFPSASGVNPMVTNMAIADWASRNIAKSMTRNG NVMARL ACHE_30050A MSFGTIYSYPNNPRVIKTHAVANLNGLQIDEAPFQMGVTNRTPE FLSKFPLGKIPAFESSDGAVRIFESDAITQYVAESGPAAGQLLGSTPAEKAQIRQWIV FAEGEVMGAVTRSALWRVGLKAYDEATETAALANLDRAARTLETHLQGRKWLASEEKL SLADISVAAALVWAFSMILDNELRPQYPTVLAWYDRVLETEGVKQAFGEKKFIEKRKA PPS ACHE_30051S MTTIKQEQCTLPLEKVFSIQIGTELFRLSGASIASDAPSYFSQF FETQLNENGDANLRTLYIDRDPGTFQDIARHLQGMPCPIWMAVVMLTMQDTTSVPRTA ESSSSYSQTRNSTAVHLPLYPISLTQIDNIVPRLTSQLFESDIFVQIGGRQFQIPRDI FSSPGDSPNFFSLGFADFFASPSEVFPGLDRTGLLRPPAIQPPSVPNRSGDVFAELLH MLRGYPLEIRSAEHREQLLRDCRYYHLRGLEQRLIPHHISFHPSDEPRSEIIIRLEDL RPSGLDTSLIQSVRDPIWHLKYARPFTNETPHDLIIEIGNTTTTIDWALKLHFHGATR ERIKRLIQLVLSKTTLQLPPLLENEDEMNNFWGLFETGITNHTDLTVDGEKADPTTLR IYTSVSLAQSVPPSRKRLRIDEAETMEWFVRRGQWRVVVTETSPPGTKEKEVKVKFVG VKLDVYTKERERNRTRSFLGS ACHE_30052A MSLYYDASTILTTPSSTGGSFKSRIYNSRSTNTNTKASPAQIYA LITETAKWDVVLKEVIERAEILKLEAKLTPLLALFLVHDLLLSKKGIAANSNHPLRLA IEKHKTRLRGEFIKARVRRGCASVEELKTVVLREKKAHIKAENGDKPIAVYPRWVRVN TVKTTLEKQLESTFAAYEKVGSLAELVRDEDDTDDQKRVYVDPHIPDLLAVPPGVEFI ASPAYKNGEVILQDKASCFPAYLLSGDTPEWEGDLVDGCAAPGNKTTHLAALLSKNGI KNSRVISMDASSARSKTLQKMVSAAGAAENIVTVLPGQDFLAIEPQSDDRFANVTGLL LDPSCSGSGIIGRDDVPQLLLPRIPETKSRKNQGKKRKRDEPHPAQAKSTQSTTPSTT DENDIPATGTLDNDRLTKLSNLQARIVEHALSFPAATRITYSTCSIHLTENESVVERV VNSDIAKRCGWRVLRRDEQPVGLRGWGHRGVKSDPGNDKERVDLGEEELDGCLRCWPG DEEGVGGFFVVGFVRDGQDDIENDGGKDDEWEGFSD ACHE_30053S MHPKIAIHGPPGTTKLTRPTPSDPRPEDNPPLLTDALTVRNTVF IDEQGCSPDSEIDEDDARSWHWVMYSGNGDGDQGAKQDGGKKEGDGEGEAIGVIRLVP PPHVPHEHHLDISPAHGDNNANNVNDNDSPDSAAQPVKYDTTHEPYIKLTRVAVVPAF RGCNLGRKLVETAIDWARRNPGQIEDAFVRAVRGREGLPDQGMIGGSGDVKWNGLVLV HAQVSVEGMYARLGFVTDERMGRWDEEGIEHVGMWRRVEVVHDL ACHE_30054A MATHVITPVTNQISLYGHPSPAPSNNTTPTTTTNNSPTSPRLSS ATLNQLPLQSRQLRPPKAPLYVPAALRPTERPQKHSPPTPPRSVHGSLDSLSNSDTSE THEPISRRSTMESTTSSNGVISKLAEDEWMKNEHLGLVTGLPTRDHWKADSASSNCDS PTCRSSFGLFLRRHHCRHCGHVFCSSHTPHLVPLDQNARFHPDGVPSRACDLCWHAFS RWEESRTERLSKIQSVLDAQQTGPSETSQDSDASDASQEDTSKSALTPPLAQPGEIAA SVPRGWNWSTF ACHE_30055A MALNTAVSISPRDDFPDVLRGKRILLTTESLGPVNGVSRTTRSL IDYLHRNGADLAVVAPRFEGQQQQQQQQQQANENPFREVRIPGYPLPYNPDLTVVYPF HLDDVYRQTFEPDVIYLASPASLGFQMLLLLRQLRRPPVVLLNFQTDLSAYSEILLPA PLDRFAVWLLATVQGYLFSLPAVHTIFYPSSAILGYLEKTGAPKNRFRKLGRGVDTSL FNPSHRDENYRRTIAPNNEIILVYVCRLAPEKGFDFLARAAIRLAAANLPFKLLIVGG NRNPAVETRIHRLFDAVRDHVIFTGFLTGADLARAYAAGDVFLHCSVTETFGLVVLEA MASGIPVIARDQGGPSDIIRNGKTGYLVLPDDLDGFVALVRRVSLDSVLRSSLSSAAR GYADERTWEAINRRVAWQIADTVEAQSMRKSVSTSLGLALDRLVWTVIEKMRLLVAVG AVYLMWLIAVVPLIVHGERIIPRTLRTITTSWK ACHE_30056S MVDQEDAMDFIASPLGTSGESSWSGSGSTALTTPASSPLFGPSK ADDLALSPSLSSPDSGYISFQGPAAWGAVRNVCVVGAGYVGGPTAAVLALNNPTITVN VLDRDPRRIQKWTSPHLPVHEPGLTDVVRATRDSTAIEAHDPITNITGTLKRHPNLFF TCDSTRISQADMVFLAVNTPTKSFGEGAGRATNMTAIDEAVRETAAHAKPGTIIVEKS TVPCGTAQRVRQLLSSLRPGIPFEVLSNPEFLAEGSAIENLTTPDRVLIGSSGTPSGH HAARVLAGIYSAWVPSTRILEINAWSSELAKLVANAMLAQRISSINSISAICERTGAE VDQVSRAIGLDPRIGPQFLKAGLGFGGSCFRKDIASLTYLAESLGLEDVAHYWRQVNV MNEAQRNRFVGKVVQRFRGNLVGQKLSLLGFAFKEGTGDPRESLAVDVIRLLLEEQPL EIAIFDPYCREDDILREVETVCRDTCAVRVYGDPYLACSRANAVLIITDCDQFRNSPR RSSTKSYTSEMDSSLDSDPSVQDVWVSNGTTYHLTPQDICPADCADCRSKSSRPTVLE PLEWARIAYNMKDPKWVFDGRGVLDVEEMERLGLSVDAIGRRSNFA ACHE_30057A MAQDTKVTLYWLEQSRSQRILWLLEELNIPYELKTYKRGPDMLA PKELKEVHPLGKSPVIGIESANTTKPLILAESGFITEYLCDHFNGERLVPKRYEEGKE GEVGAETEEWIRYRYYMHYAEGSLMPFLLLSLVMDALRNAPVPFFVKPITGIVASKVE SAFINRNIDNHFRFLDEQLKTAPGGGPYLCGTELTAADILISFPIIAAMVRLPGGAVK YPNVKKYADLLQGTEGYKKAVKKIEEVEGKFEPIMS ACHE_30058S MAGPSKSLVLDPALQKYYELTANRYKYFRWTPRHAWFSFLYMVA IPGALGYVAYNTDGKYQFRGKRREDTIAEF ACHE_30059A MTARNAPQIDRQTTTPFHLKLFYRLNNFHHLADFSLAPSPSSTS SYGGPASGPNAIRAQSPPSTTTARLPPHLEIYTWQSCTLRELSQLLTSALPSLLPDPP VGTRLCFRLIYPDTKAAAAMGPGADGRGRYLSKDIGNVVIGPRDSPYHNGEEEDAAAA PPRGLRLQGNDTERTLQDARFVIGDYVDCAILPPLEDGSVAPPIISGRGAVGGPGSIG GGMRAFRENGFGFGRGGRGRGSERIPAGDWKRGERLPDGSGRGGRRGWAPY ACHE_30060S MDFAALMSKEIGKAKGTDSSQKAKEQQPAKKYIRRGEEEAARIA AYNEEQERLRREREERMALKRKADDEEAERRRERELKKQKLAEESRKKREEEEVVKER ERRKKLGLPELPPPGSGSDKEGTPGEGEKEDDISDEELAQKFRELEEPVCLFGESHNT RLRRYRRLVQRALTPKPKLSNGPIPTTLELVPEVEMKISQTIPKDNEGRKFLFRQLAS YFNMVLSEWELALAKRDLAVRQSLQGRQAYNAMAQSRDNLKPLFKKLEKYDVDDKMLE PILEIVHKAQQRRYVDANDAYLRLSIGKAAWPIGVTMVGIHERSAREKLHQSDQQAHI LSDEVTRKYLQSIKRCLSFAQVRWPPDDQLQIMG ACHE_30061A MPSSMARRLLTGGKRLSSAAFGNGYYFERTILRDVDASVQVTQE ESFAPIAAVYRFKTEDEVVQQANGTDMGLASYVFTTDVNRSWRLLDKLEAGYDWAQHT GNSSAAESPFGGMKMSGYGKESGKDVAVAEYLVSKTCTMTVEGALP ACHE_30062A MSRTECNGRPKAQNLPLMEVDTVIVGNGPAAMILSYILHGHIPH YSANPPHPDPLLHAKLQDASPLLDLDVDTLTEHFAASRLSYSTQALPVNVLLDTLIRP SVDVEDAECTTNVEWRTVREKAVSHLVIGNAPQAGGQWVDNPMPASWDIQTLSYAAML SLPVYCYARHYHKVTGKELPAFTRPTRREITEYLREYPKAVGIDDVFRFHETVSGVSR TADGFYIASHNIRCKHLVLASGIFSEVIQPLPMLNPLVSLEPIPEIPLLVVGSGFSAA DAIISAPNNQKILHVFKWDPENRPSPLRGCHQHAYPEYAGVYRLMRRAAVAATPTAKR NKRVTSSSFLESRSWDRVYEGQPNAEITAVEMKEDHATVTFRRPTGETFTHKVRGLVY ATGRRGTLNYLDHDLLSEVLGPNDGAETNPVISSQSLRGKAFENLEVARDVYIIGSLT GDSLIRFAYGGCVQTAGRLIGASTGESEGTRTPSGSVSFRPRAALPVMNGIDGHHIYP HEHPPTPLDKDNNHYSVSAAAKKVGLGG ACHE_30063S MYHLAKSLYLYATSKEEYSVLLLGLDNAGKTTLLSQIKALYQPR PEGAPAPNPGKTVPTVGQNVANISLPDMYLKIWDVGGQISMRGLWQSYYTSCHAIIFV VDSADVGQDPDISRLFPTGRRPSSSEATQNFTEQMVGINAPGSDFGRLEECREVLESV LQHSDVAGVPILVLANKQDREDCVEVVRIKEGFVRKVFEGEKGTDVRDSRVLPVSALM GTGVQEAVEWVQSRVKWNKEGRPPVMK ACHE_30065S MSELNNIDSVATPKQGEFKPGVQPTNAPMEHGGHKPGVKASPGD YASEFHAETYPPGTAPASNSYTPNTVNESGSQANNPNVERGHGKEAVKTPAGNTLKGS TSQDVYTGLGHPGSGQTSSELRHNGQSHRKHGGGGLEGVGASRLPGYERNLPDQRGLE RDQARGGQHGNKGTLGAEDIQPEPAETLASEWKYEPGTKRS ACHE_30064A MPYNIAMVSDFFFPQPGGVESHIYQLSTKLIDRGHKVIIITHAY KGRTGVRYLTNGLKVYHVPFFVIYRESAMPTVFSFFPIFRNIVIREQIQIVHGHASLS CFCHEAILHARTMGLRTVFTDHSLFGFADAGSILTNKLLKFTLSDVDHVICVSHTCKE NTVLRASLDPLMVSVIPNAVVAENFCPLSYAPRANDREHGTLSELRPQPKPIGPNDII TIVVISRLFYNKGTDLLIAAIPRILASHPNVRFIIAGSGPKAIDLEQMLERNVLQDKV EMLGSVRHEEVRDVMVRGHIYLHPSLTEAFGTVIVEAASCGLYVVCTRVGGIPEVLPQ HMTTFAKPEEDDLVMATGKAIGALRSNKVRTDRFHDQVKMMYSWTDVARRTERVYGGI CGDISPEEFYGYYPGQGWEASGDRVRSFALIDRLKRYYGCGVWAGKLFCLCVVIDFLL YVFLEMWFPRANIDIARSWPKKLNQQEEKLKARTNRDPGTTS ACHE_30066S MAKDQSARHDPPTNESNRPQSAKKSHKRKRDLDGTSVDSSTSTP IKKSKKDKSSLSTPDSHKKKRSKKERKSEDKTDDQEDATRKESAVPERSSEEGSPNAG DSKSETKRKSKSSKRRNSKGHNEGGEIAGDISEETEEAEAANDSEEDTPQAQKNKYSG VLSKFERSAKVKEAAKAKKGITEESKPEVTTAEPVVAQGLEPLPQPEAALEEEELPTY STLPSWLANPLRTSADAKAKFSDLGIKPDLLRILEEHGYKDAFAVQSTVIPLLLPGPK EHSGDLCISAATGSGKTLSYVLPLVTNLEHIPAPRLRGLIVVPTRELVKQAREACELC TAGSGLQIGSAVGNVAIKDEQQLLMRVDQVYGPESFKSRQQFKFTADDWANFNMQDYI SEAADLNESLPGYLHKPEPNVDILICTPGRLVDHLRYTKGFTLKHLQWLVIDEADRLL NESFQEWVDVVMNSLDARKSPDTFGFSGRLMSDLGLPIENKDPRKVVLSATMTRDISK LNSLRLTNPKLAVIGSADRVAGDEDVALTDDQFNLPSTLKEYSVSVGDGAQKPLYLLR LLRSRIGLGSSTRSARAVQADDASSDDDTSSDDTSSDESSSEDSDSDSDSDSESESES SEATSSSESESDSNSDSDSDSSSGSEKEEPAKAAPKPDPSRTTVLIFTKSSESASRLS RLLGLLDPSLASQVGTIIKSNKSSASRKTLTAFRRGKISVIVATDRASRGLDLPSLTH VVNYDVPPSITTYIHRVGRTARAGKDGSAWTLFAHREGRWFTNEIARGSDGKITRASK VERVNMKLEDMADAKSRYGAALDELEKEVRTGGKVKSSKSKA ACHE_30067A MSPAPGQPLGVFADWQVLGKESWRAKDPPPRVQIRARIENTILG EKLITTVSGSETAEDMVKDKRELVRDLYDKFREAPQVHSLALPLAKDCFCSFFEYPQS WLLYTVAYVKFLSPGTDPDRVSGAVQRRLDETAL ACHE_30068A MSLILGYVHQLIDTSLSFLKLIQSREIGWLTIVRETGEFKREQQ PLWKKFKLLLLFNPLTEWLDQTHLFRLYTHEKNIEAGQKEVAAQSHEQIKSFIDFFHI NMNDFSPSDPEQYGSFQDFFIRRHKPEARPLYAPNDKKKAVVVADCRIVVYPTVEKTR KLWVKGNQFTIANLIRDSDRSKPWDNGAAACFRLSPQDYHRYHSPVEGKVKWFKQIPG DYFEVDPIAIQSSVNILTENARCAVCLETKEFGDVLFVAVGASDVGTVEFHDKTMTAG EHIKKGEELGLFKFGGSTIMVAFEQGRIQFDEDLERWSQNQIMVDVKVNSSMGTAVSE KQ ACHE_30069S MPATKSVLAVLATLLSTTVAQTKTSDTDYNVNPEPPLDPLATAT IELSFPNCANGPLSEALVCNTSANAYDRAASLVSLFTFDELVNNSGNTGGGAPRLGLP RYQVWYESLHGLAHTNFTPEGDWSWGTSFPMPILTMSALNRTLINQIGSIISTQARAF NNFGRFSLTTYSPNINTFRHPVWGRGQETPGEDAYILASTYAYEYITGIQGGLDPEHV KVIANAKHYGGYDIENWDDHSRLGNDMIITQQDLSEYYMPQFLVASRDAKVKSFMCSY NAVNGVPSCSNSFFLQTLLRDTFNFVEHGFVSGDCGAVGNVFDPHEYAANYTSAAADS IRAGTDIDCGTTYQYYFNGSFDEGLISREDIERGVRRLYTNLIQAGYFDGNESEYRDL DWNDVVTTDAWNIAYEAAVEGTVLLKNDGTLPLSNSTRSVALIGGWANATWQMLGNYY GKAPYLTSLLEAFQDSSLTVNYALGTNITTETKEGFQEALDAAKKSDTIIFAGGIDNT LEAEAMDRMNITWPGNQLDLIDELSQLGKPLVVLQMGGGQVDSSSLKSNKNVNSLIWG GYPGQSGGPALRDIITGKRTPAGRLIVTQYPAEYATAFPAIDMNLRPNGSNPGQTYMW YTGTPVYEFGHGLFYTNFSASSSNKTANTYNIDDLLSQPHPGYERIEQMPFLNFTTRI ANTGRIASDYTAMLFANTTAGPEPYPNKWLVGFDRLSTLTPRSSKTLTIPVAIDSVAR TDELGNRVLYPGKYELALNNERHVVVKFTLTGEEKTIAKWPKDQQQIPPA ACHE_30070A MPRKYYAVYTGHVDTPTIYPSWAQAHPRVTQCRSKHRACKTHQE AIDWLVEMQATEIHDATEGGDMSQSSSSSPSRSKKKYYAVAYGRQTGVFHDWEGANGA TSEFTSACHESFSTEHEARQFIEDWREAYADVWRLKIRRGLNEGWKPEDLAVDISNIM VKEGVLVESACKKFEELDIAGK ACHE_30071A MDDLPPLPTYDEPLKWLNSIKKQRLKGGKTFRTLYRLLKTEVRT MMGWAASTAVVEFLAPYSMLRLLAYLEDPSEAVVHPALWIVLLFVGPTVRSLCYQQYI FTATRLLVRVNMSLVQEIYQTAMRSHIYDDSIGVQSHNELSGRTNKSKTAQKSGQANL TSLMSYDVDAIYNSRDIFYVATACPVSTTIAMVFLYQMLGWPSLLGVVVLFLLTPLPT LASRKISRIQQSVLRATDVRLSKTSEFLSSIRTLKYFGWEPAAINTINNIRGIEQQRL WRRSVHAAGISMAGDLLPFISLLVMFSVFVIFTDNTLHAPVAFTSLSIMETLRSQFVW LSNISRFSAQGAESLRRVDRFFDSAEEKQRHPEGPLELKDATFRRTPIAAFRLRDITL RFKRNALNVLTGPTGCGKTSLLQSLLGETVLESGSATAPSDIAYVPQAPWLQNETVRQ NILFYSQYDEARYNTAIKASGLAHDLQQLPLGDSTVVGERGTSLSGGQKQRVSLARAL YSESSTLLLDDIFSALDTHTTSLVYKMCFRSGLLTDRTVVLVTSLPVALKDAEMVVRL EHGRVAFVQSSQRTSQVSTPSVEEIEPGSLVSSTPHEAPQDVESLTEHVLPSDAAPEQ EVGTRGMAKETSATGRVPRTLFFQYMVMFGGFFYAVTVIMATLTVQFAYFAITYWLSV WTSAYDEYKHPNSIFYLSVYAATIILFLLLQLANNLIYQYGGWAAAKKMHAKLVMAVL SAPISWFDENPIGRAINRFGNDTRSLDTVLIDWLRMSIENWLRFLLRIASIVSIMPIF ALPVTTICATGFIIGEMYTRAQISIKRLCSVNYSPVFSHFTDSLSGMAVIRARAGMDE IFQGLLAEKLAVHTRSAEAQYNCNRWVSVRSDFCAASVAAAAGCMAYFRSGSAGLVGF SLTNAIGLSQTILTLVRTMNELEIELNSYQRMREYADIQPEERLNEEEQRKKAEAIPA SWPTSGLIEFQNVTARYQNGPDVLRNVSFTARPGERIGIVGRTGSGKSTLGISLLRFV NIVQGRITIDGIDINTILLNRLRKSVTLIPQEPVLFSGDVRSNLDPFNESGDTELRAA LSACTFIQPASSTTNTEDSNHHQQQLSLETPVAINGENFSQGQRQVLSLARALCRRSK IVLLDEATASVDQETDMRMQGVLKEVFGDSTIIAIAHRLRTIMDYDRVVVMGEGGIVE NDSPAKLIEKRGVFWDMLRSTGEYDELVQLVGKKP ACHE_30072S MLELLPGELLISIIQKLSNTDLFQLSLVSKYFHYSVQPELYREF TLVTTHERHRDLNESRFLRPALIDDTPSLQPRGVYKWIRHLIIEAPLHNHARISTLWG MDVNEMSLERRRMIEYMEFLNLHRNVQAVLTRLPHNSLQSFSWHLGCCLPKGIFSAHG YLARRQRQLTSLSIRVYPGYTYTDGLEGLMSLRNLRHLSWKQADFIDSYLLYDIIEAN CRHLESLEVGFESRYALAPRTLEYCLQSTGLFSTVPKGLCVQFDALHTISLANVSFKL AYSVLYPTFNFSQIRKLKLQNCRCVLELLRALRYTSRGINLKALECDYDEHTPPNQDD SMFDPRTVGLGVPKFLESFRGLEQLYVRAMDFLVQSSERTPWAILKHAKTLKRLAYHY HDKLAMGYNRDCPFFWRHRLFQVLDNLRLEALGINIVPGDLRTRIAETQTAQTLKLLH LRITGPYHKPRNLLRKLKIAGLPKHCVPLSLRRPKCHPIPDDRALPIYLALRMSLDQT ERQTKKLLNMKGLLTFVNWAFGPDGLPNLTILAYGDFSCPQRHGWSQLVFVRYPRPNM PIASAQEDKSGVFGPSLPFRIMHPEDEYLWDEIDGAKELLEACPQLCVENVMARANEN GQRAEPRDSYYSDDELPGDEGDEEGLVGFEDFFD ACHE_30073S MEKAVTRRIKHRYPLTITDLTAPELLALTHTLLLDNPPVYQISR PTTTTPNTPGSNLPNERLKEAIKNLPCALRRNKLVQMMPRCIGRVAELCVSHKGLNAY VVGHLFDLVKGEVTRRLGKIDCGVECLGMFERKLLRSIQAIRRVWGERSPEDDGETPI GAPGKHYNKCEACMLARIVGEPLLLRNLRIAILSRTRTRKKSRAPRLLRFVEGCIGCH GEALRVLHESGQLAIDFKAARKAAARKKRGRRWHEQVEKGRAKKSSTESILVHLDPEL KREFFEQSISQLESEDKAPDTETDETDEIISLYKALLDEDPDKTLVPTDPFLDDTTAV SPVSPLSPRKYTPSTKQTLAGTIPSRDMLDWEQVVNHEKSPLSSLARKIEAIELEGPD MSDGDADADALAMDYRDLIGKETCYSESEYSQETSDDEDVREPGRPITTWSLFVSDDE IADRKRL ACHE_30074A MAFNSSVVFDLPPLPSYTLTPREPLLAPIPDNILALILPIVAYW GLSMVYHFIDVYDLFPQYRLHTPAEVLKRNHVTRWDVVRDVILQQIVQTIAGFAVSYF DEQECVGKEEYDIAVWAQRVRIAQRVLPGFLALFGIDALGLAKKLSRSGHTMLAGALS GGKYPGMTQSIILESGVEAIAPAFTEWEMTLASFIFWYFVPFVQFIWAISVVDTWQYF WHRAMHLNRWLYVKFHSRHHRLYVPYAFGALYNHPVEGFLLDTAGTGVGFLTAMMTTR QSMWFFTMSTIKTVDDHCGYEFPWDPLQKITSNNAAYHDIHHQSWGIKNNFSQPFFIF WDRMLGTKWEGDVKNRYERSRESAQKKVEQDEAAAAAAIKEHSEGAVVSEEPTDSTAR TRLRRKTLSTSVEDLKGVTHGVASSVLQA ACHE_30075S MLIYTDIVSGDELLADTFKIQEAGPVLWECDCKKYLKSKNEDFQ LEGANPSAEEANDEGGEGESVMVHDIEDQFQLVWLKAEEGMKPSKDAFKAHLKTYMKK VLNKLTEKGAPQEKIDEFKAGAPAAVKKIIGNYDNYDVLMGKAMDGDAMHVLIDFRED GVTPYATLWKHGLEEVKV ACHE_30076A MVANTMDQSPTASYANSGLWNREQKPSTTAKSRGGVSISGRQIT RNRASYSCHSCRRRKVKCDKVHPVCGNCAKNGNECVYDAAPQRDTGSRAGQSKGDGHG VKRRRESKPLEEDVDEIQSIYGHLRQAGSSEQKSGSQAIEVRLAKLTSMIERLSKSNQ PLDATERQNLAQDVNLEPAQGHTRQSSGQTRPSTASRPASPRRVTDSSNDEFPIPSGH ATDLVDPVGSLNLGHLSLEDGGKSRYVGTTYWAYISREITDLNQLLRDQNRSHTMSAN DSSTDEPMVDSTAKTPGDPWKASDGSSGPSDCLSSGDTPQKLFLSPGESPDMKGRLAE PEMLERMPTKRQSHVLYKGFMSGVHAISPVIHPPTILKLYNAFWDWYDYSSYSGEPCP DPSFIPLLYAIWYGGSVTVSMRTLQAEFNVNSRSALSKTFNEEVTRWLTKISFPRSTS LQGLAAYLLVQTILSKEEEPLTSSLFISLAMRVAQTMGLHRDPAKFGIEPCEAEYRRR LWWHIVHMDGVVAMSSGLPPLVSDENYWDVRDPSEVKDTLLGTPEAAHYEQLVSANLR LRDNPDEPTVCGGPSLVNVYYLSARGKYVMARAVRRILKIQLGTRPVMRRDMEELRSI LLDLQLQLNSIVNRIPDVMSLETTSVSDDSPSYSSRSPVEVRTTDTELPGEGPNRCPE QYHSPVLVAFHKWARILLSLFIDKAFCVAYQPFLKNAKSRIWPSARQSALRHCHGFME KFISLASDPDFQPFQWSWPGNHQPMHATMIMLIDLYERPHSQEAFKSRALIDRIFSLS GPDGGVVGGEDGVSTQRPLKDGGREAWDMIRRLRQKAWQKAGLNPQRLWTEHAQIQAG VVSGPEEYSCSADSKRVPVDRQLSDFSKTFYNMTRNHMLPNPALGVRPSPLRYQLPPP VPSSLPATLQSVPPTPTPQFPHSPALPPQPTRSLDATLAGSTVSSPESLPPLSTIPFT PPQPPQIQPQPQPQPAATVTPPNGLPFMDTFPISPSAQSISMPMPAAVPTPPSMVDPN LNNFDWDQWDAVFGQHLPVADELMELDPVVGFEFADMRSAGAGASGF ACHE_30077A MSRQAQVEEVYDSDPEEVAPSSDDELPPPLTDQSILSGAGVPPP GGPASIPMRPAPEPQREIPRHYQCLYPVYFDKTRSRAEGRKVGAELAVENPLARDIVD AAQMLGLQVGLEPEKLHPKDWANPGRVRVLLKNEGGKLANPKIKNKHHLYILVAQYLK ANPTTEQSPYRLRIRGLPTPEKLPAAPPAPRGWKIGKILPIHSPAYSGGGVSDNPLKD AMAEMQNLQGMPGMPQIPGMPDMSAMMGGEPSSAGGGEKKKKDKKKGKA ACHE_30078S MTQAVVPSTSAVLHRDTRFIPKKAIGGKGSYIFLEDGQKVLDST GGAAVSCLGHGNEKVQKAMIKQINELSYCHSAFFGTQASEDLARLLVDSTGGKLSKLY VSSSGSEAVEAALKLSRQYYLELSTPQPQRTRFISRRPSYHGITLGALGAGGHPLRRE PFEPLLAQNTSHVSPCFAYRGKQKGESDEEYVARLAAELDTEFQRVGPDTVCAFIAEP VVGASLGCVPAVPGYFQAMKAVCQRYGALFILDEVMSGMGRCGTLHAWEQEGVVPDIQ TIAKGLGGGYAPISGVLIAEHIVQTMDKGTGAFRHFQTYQGHPIVCAAALAVQRVIQE ENLLENVKKMGDYLEKGLKERLGGHPHVGDIRGKGLFWGIEFVKDKNTKEPFDPAMGI AAQVQETGLSPEYGISLYAAGGTVDGIRGDHILLAPPFNVSKEEIDFIVGTTVKVVED VFK ACHE_30079A MAAKRKASALNTAVDDEPVDPSDELAFYCLGGGNEVGRSCHIIQ YKGKTVMLDAGMHPAKEGFSALPFFDEFDLSTVDILLISHFHVDHSSALPYVLSKTNF KGRVFMTHATKAIYKWLIQDNVRVSNTASSSDQRTTLYTEHDHLSTLPLIETIDFNTT HTINSIRITPFPAGHVLGAAMFLVSIAGLNILFTGDYSREEDRHLIPAEVPKGIKIDV LITESTFGISSNPPRLEREAALMKSITGVLNRGGRVLMPVFALGRAQELLLILDEYWE THPELQKIPIYYIGNMARRCMVVYQTYIGAMNDNIKRLFRQRMAEAEAAGDKSISAGP WDFKFVRSLRSLERFDDLGGCVMLASPGMLQTGTSRELLERWAPNERNGVVMTGYSVE GTMAKNLLNEPEQIPAVMSRSAASVARRGVTGGGDEEQKVMIQRRCTVDEISFAAHVD GVENRNFIEEVAAPVVILVHGEKHQMMRLKSKLLSLNADKTVKVKVYTPANCEEVRIP FKKDKIAKVVGKLAQVAPPSEQDDGHLMAGVLVQNGFNLSLMAPDDLREYAGLTTTTI NCKQHITLSSASMDLIKWALEGTFGAIEEISDNPKDNIKKEEANGEEQKPKEEAADEE IPIENTQTYLVMDCVIIRYNARTREVELEWEGNMMNDGVADAVMAVLLTVESSPASVK QSAKHKHHHHHDDIDLPNPHTNLGPEERFTRLLMMLEAQFGSDISPIERPRLPTEVTN TYLKKESESESATPEIKQETDQETQDSERLADFEEAELARLQALGIPVPGIEIKADKH VARVWLEDLEVECTNAVMRDRVRVVIERAVETVASMWTEGPPSARLANGSKTKVEEGK GVEVETKA ACHE_30080S MAQTQPQQHYPQPFSPPGPSPSPNPASPVNGGLPPQKRQPFSPL PPTQTQSPYASPSFGTLQLPQNQSPMMNGTNMNGTAPTPAPPPPSGTMGPPSRPAEKA TDAAELTDVLASSGIDVREEEAFLTSSYSGPGVQPPRAQQVPPPQQQQQQQQQLQQAP TSFTSQTSTTGTPTPTASFSEPSQIKTQDSYYTEPTPAPYITQNEPIREDSEAARRAQ YHLQEPFLLTKLLEQKLQRRGFELGVRIPAEGLFHPVPGRPQPIEVTGPDGSSIVRTG KTILNQEGAPLVDILNLMSICCEERLRNVVDYSSSLARSRRAHSHGAVPVEWQDLARS AEQANGTGSPKPSSLKRMTIQRDRVYRLLLTCSLGPHSATDQSSSTRSLPEKYRMLME KDVSNEEIRAKKRAKRSENAILGESTGNRAESTEPTGSTPSTPIGEKAAGFDKKGMTK KEARKMVDAKASEAQQHQQSVETARMATNSMLSGRVFGTKKSYSWLNRGGTNASGFST PSRLNAASPSTGGEKTGRNGEPAVIPPKQLGTWREDQERGAGIQLRDVLFMLELDGRG AKHVQKAYSKDLKEDRMD ACHE_30081S MSTPSTATATHPASHHPQHYAYPAHPQTYQPNPPYPATTAAGTA RLATSSYPYAINPPPTTTSTTTASTTTTTLPYTQSPKLAVPPTAPAALSSTPATMASV QNGYSAAATPTQNGRRKKPDWGEFYKNGIPKEVIIIDDTPPPDLSKVPSHSLTTASSA VPTPNGSMIHPPGKRRRTGLDTAYEVGYYDRPSYSIHPPQQYGEESSGASLSTDRTTS LHTTAPTSLGSQGSTGTNNGVYYEDADIGQKRKRVTTRKSTRDEQKRRELEAVGDAFL SYVPPPNPPIKAKDVAVPIVRDYARTNEKYDDDDGHYVVTPDTPITDRYSIIKLLGQG TFGKVVEAYDKQRRSRCAIKIIRSIQKYRDASRIELRVLSTLSSNDKANRNKCIHLRD CFDYRNHICIVTDLLGQSVFDFLKGNGFVPFPSTQIQNFARQLFTSVAFLHDLNLIHT DLKPENILLVSNAYQTFTYNRTIPSSSHATSRTARQRRVLLDSEIRLIDFGSATFDDE YHSSVVSTRHYRAPEIILNLGWSFPCDIWSIGCILVEFFTGDALFQTHDNLEHLAMME CVIGHKIESRIVKQVMQGGRHGSANQAAKYFNRGKLDYPNNDTTRASRKYVRAMKQLA EFIPTNTEFHRLFLDLLQRIFVYDPKNRITAKEALKHPWFKETFIDDGTEALRIGQQL QRRRG ACHE_30082S MVLITASTTIPVNPSGSTPTLTKEDLWIALVLKARDPKQFVPVI ESSEVISENENRLTRKVSFKGDDASKDGVEERVVFVGGMKVDFHIPATGQVVSNIIST SPADELFLTFTFEWPHPELRAGSVEVKELEARRGELSRGVVPHTVEVARGLKRDGVLR VG ACHE_30083S MATKEAKLELIRENLAEVLNPEIIDEVLDKGETLRIYWGTAPTG KPHCGYFVPIMKIAQFLQAGCNVKILLADVHAFLDNLKAPIELVEYRAKYYRYCITAL LRAVNVPIEKLEFVLGSSYQMSAKYTMDVYRLASLVTEHDAKKAGAEVVKQSQNAPIS GLMYPLLQALDEEALDVHAQFGGVDQRKIFALAMEVRTYTAGLKHVVLTD ACHE_30084S MNHLVPSLGAGKMSSSDPNSKIDLLDLPEVTARKIKKANAPPKE LENNGLVSFVEHVLFPASLLLDGERSFKVDREGAEPLVYKGIESLKQDYVADVLTPQL LKPAVNLALRRLLDPIQNEFTSSPEWQEIEKKAYPTEAPKEKKQKTKKDKGSKYPGAA KAEEQAEQGKEPSQATASDVGKSASEAMDKLSVQ ACHE_30085S MSFDQPAHSFNHPSGEPTTPTRTPTLFGDSTFQTPKLESSFYDP RVTWDTSDPYASSPEFLRTPQRFGLNTPSNHLRQTDDANHLKSGVKVEGTDTAKRIHA MKPNGGGSFGDDGPRSAKSAASMQTPPPSSASRRKVTGLEHDSAPGNHLETPSRFMGS SPRMLAHLQSSPDLFQLGTLDPSTSPFLPQAKLFWDDHLDHQQPGSDVGLSGTSNVDL FGSNGSNTLNLPTSTSAMHDPIIPQLPAIEGTVDLPEFHGSGFTLPPTTTATTGPADA AFFPAPFSTSPRLPSTRAEDPAMFLSSPARRFGGLQPTPEKKRLSKPTRQPYHHQTEE SKREQLRRAQSLHRMQPPYEDEDDDDDEDFTPRGVRPGLTRSLTQSSLSSSSHRPVLG SSSGAMASTSGIRKSPSKGRLSPVKQRNTLSRSNSVATSFPTRSPSVVLKIGKDGRAK AEMQTVPEVPTGLTDPITGLDLEGSTTESEYDGAEYSEYPVPTRQPSFTFSDAGRPML ARSDSGSRPVSKGSYTSTVASGPSGRASPWQGSSRGGSRRPQLSSYRPASSDDWRRTP SKRQTPVLNSDFTYASSSSASDVLAEPEEDSGDAQHALRKVLRERGRNLRPQVASYGP RPTLSSRPPAMTHLRSSPPRFSAELDINSRHIPSSPTTMTDPDLATPITNRYSNYPSN GTRCVCNSMENGGHLMIQCESCNHWLHTKCVGLERSHLPSVYVCMFCAQTPSRQRVRG PLGAGQGPPSPLAHKSFRFR ACHE_30086S MTSSIPGNRELPPSQYDLSTYWGRVRHAADIADPRMMFVTSSGL ENAKSLISSYKQSQIPNMTPELWRAKKVVDSTIHPDTGEPVFLPFRMSSYVLSNLVVT GGMLTPGLKTTGTLLWQITNQSLNVAINSANANKSTPLSLSQMAQSYLMAVSASCSVA LGLNALVPRLKNLNPNTRLILGRLVPFAAVSSASALNVFLMRGEEIRQGIDIYPVLSE LEKKKREETGEPVQSIGKSKKAATLAVGETAISRVLNATPIMVIPPLILVRLEKTAWL KARPRLVMPINLGVILATSLFALPLALGAFPQRQAISAKSLEEEFWGKGGLDGQVEFN RGM ACHE_30087A MSLQIAIIGSGLAGLAVARILREHHEVTVYERGGPDIATGGQGI CLFSNGIKMLQTMGFDRDRAGAVPCHGFRTFDKDGMLVKDFPVDFEGKYKAETMTMKR SDFRDELLRLATAPSEKLGIQGSPAKMVFNTSVVDVEPDEGLVVFKDGSTIQADVVIV ADGVHSRLRHRIVDSNYQPKKNGMTCYRVAISAEAVKAALGYLPEWWDPRTADSRIST LMAGDGSRRTVTAYPLRNYDYMNFSCLFPSRQDRGNVLESWYADGDRQEMVDTFNDFS YPLRKILDIATEVKVWELQDLDPLPRWNKGCTILIGDAAHAMTPMQGQGSNMAVEDAD SFRLLRPGLTRGEVEGVLKQVDSIRRPRTARVLRDTRAMAKGISMDEMIANLDFNCGY NGVFEALKGME ACHE_30088S MLRWIFMNFLLLGLLAPVVFGRAIGHDYSLWSRNSEQPINQTHF PKTYDINTNYYDSSPNTGVVREYHFDIINTTAAPDGIERPVLLVNGQFPGPTIEANWG DTVKVHVTNRMQNNGTGIHFHGVRQFLTNQMDGVPSLTQCPVAPGESYTYVWKATQYG TSWYHSHFALQAWEGVFGAMVIHGPTSAEYDEDLGPLFLSDWSHQTVDEMYQTQLEVF RPAQMQGGLLNGKNVWVENGTTVGERFKTTFAPGKRYLIRLINSAVHTHFRFAIDQHN VTVIASDFVPIIPFETNAVPIDTGQRYDIIVTADQLADNYWMRAVPQSICSNNTAGDN IRGILHYEGANDNTDPTSVQLDNLDTKCEDFPASQLVPWVGLDARISSTAKTVHSEVS FETVGDVPLYLWTLGGNYFNNSWSSPTLQQLQRGKDKTGLMTDKTAVQVNTPDQFVIL VIQTFFRAPHPIHLHGHDFLILAQGLGTYSPTNVTLNTNNPARRDTATLPSEEGKGGY LVIGFMANNPGVWLLHCHIGFHQSQGFAQQVVERSNEIERILDRGALDRTCKAWDEYA KVNPFGVQYTGRNGPYESGI ACHE_30089S MAYRSLTLLSLLFPSTLAQDSDLVGCKAVSCPIEGTEDHCTVAE DIFLGSGTLLSQTFPQRLRVYHLSRASISPFEKERPLKTPVSLNQSTTSAPRQI ACHE_30090S MQQVYKITPVLTVFSESNLVDETTSQMTCLKVVKDRPAEDYEGG DEGAAFQIRPSLLGTGMVTLMAVILTGL ACHE_30091A MSTPAPPRYPDTNLFITGHTPNSTATFFTKTQPESTPVGDGAHI NPLYSNPTSPADITSTTIAAPDLIHGTTGSFFTTYDIPPNYIGPQHRSVTVDHVVVLR GTVVLTLGDGERVELGEGDTVVQRGTMHAWSNESGEWARLVSVMMPAKPVVASGGEKL GAVWPF ACHE_30092S MTDVPVSHDVSLITDEKLPHLESPAGSPRITGWACIERFCVVVR YLSIRVTFLPETSVPAKRQLKEQYTWDHRYPTYGTTLLWRTVGNGDNVPDASGSVLCL GRPGDMQARAVLFQDFEGQVVKSEDVQGPDSAKFRGGFLLPEEIQKSEIVMS ACHE_30093S MAPSRKKFSPRAPRKRINARKITKTPRFPTSILAQARKNNLIKA LGIKPTNQRQAKKYSKYKRGILSASQELFEQNCFEREPLPSGYVFVPKGDVYVTRHCR SKTRESRRVVYVVYNNAAKRTLGLRVPADVYSSVLHSAAATASSRANAVNLRDAKDSS RSRELLRSQFPLMPAESLETILDHAFLKGSGRVGRTGMKSDERKADLAVEAHIRHMHT PYEELINAGIDRREAREAVWETVKAIKMAWEGGGREVTPLTLRGRSEADMDIDIIEVE D ACHE_30094A MTIIPASLSHLLYSQAISQPTSNKYQTRYQHSSADKMPVALADI QMDDTPANVRPNTFLLPDFPDGDGVPNSNNNSHQIATNGFGQNNGYPRWRTAANRALG HLISGNDGDDGFGDEKPNGDGKGNGGRNDNGHIKLDKKADLQYTIFVGLPLGTPRDNI WMVLNRARNTVLDKLNNAVALYALGYAGAGCGD ACHE_30095S MWADKRHQSRLYAYRPRFRRRFLVLAFIAICYIFFIYFPEPELP TKRTQDFTSPSKLAPEYEEEFPKFVHTSPFRKDPDLEYEARIDAMLQEVEHTALAENG GDYSTRDRIWQIMPEVKDRGSDSKMLQDKNDGWEYTPATDEWAAAFVANTLSKAPEIA TIYQAYPYNVLRADLLRYLVLWYYGGFYADMDAFPARAIKHCPPLGPLFTAETNNRPN VSFIVGVEIDEPYVAPKFMRKWHWSRPYEFIQYTMYAPRRFSPILRKTIVRVLAHSRQ HMDRWTIIPPRYDEPTVLEISGPGVVTDAIIDSLSETLPPTHLLVNLSVEADEGVGDL LSSSGATQRRVTWVPFHNIKEPVYIDASEAAEGKSMGGLGVLPVSAWGNGQRHSNAEG FRGPNVCINHRFGGSWKINWWHRMFG ACHE_30096S MEGADIVESPRKRQKTDNDNMSSEEVAVAAKPAADDAQLQKEIE VGITEFVTPQNEGFSGILKKRYTDFLVNEILPTGQVLHLRNVATPEQNKKDKKDTKAE PAAESATENTATKAEEEPKPAETAPEPAPEFNLSDEDSTTLHTYFGEDATNRIVSLHK RAQASNARPSAFDKIATSVMTDRDQRIQVHQAIRRIFNSQLESSTDGEGMMWISAAPN RNKRNGQNGQNGRGGRDANRNNWQELGGPYLHFTIYKENKDTMEVISFLARQLKTNPK SFQFAGTKDRRGVTVQRACAHKVKAERLAHMNNTLRNARLGDFEYREHGLELGDLNGN EFVVTLRESDIPGVDLNDREAAISKANELVGSALRNLRERGYFNYYGLQRFGTFSTRT DTIGVKMLQGDFKAACEAILQYPPHVLAAAQDQTQDTGNISTDDKARAQAIHHFQSTG KSAEALEKLPRKFSAEMNLIRHLGRSKNDFIGALQMIPRNLRLMYVHAYQSLVWNFAA GERWRLYGDKVVEGDLVLMHEHREKESDASTAEINNEVDADGEVIITPAAHDSARAAD DQFTRARALSATEAASGRYSIFDVVLPLPGFDVSYPANAMTDFYKRFMGSEEGGKLDP FDMRRKWKDISLSGSYRKLLSRMGEDYSFEIKTYEKDDEQFIVTDLEAVTNHESEKAE AKTEGGKGDKLAVVLKFQLGSSQYATMALRELMKGRAKAYTPDFGGR ACHE_30097A MFIRIIDSHLHYTAPTAAEGAVRTGWSYTGNNNPYRDQDPKNWG SNTAAQAVPSSIPPAESSVGINPSNVASATPTTAASSDTSTKSNTNHNGGLSTTTKVA IAVPVAVGGAALIAAIVFLLLFMRRRKQRKATAAAAAAAAAAGAGAQTPVNSQVHIPK GHNHGQANPRMETATAIAPVPPSNNGNGWTMPSQTHNMNPPFDGPLPQQHNSTPVLPE TYRSSDPSMGIGLALTPENPVPSPSPPSAGVGAEFPRARSPFNHPDDTLSDISRVSGR RRDIDGDGDGDGDGDRASVISSVSSIDEREHERGHRGFGGH ACHE_30098S MSDFKTIMKEGWHPKARDGGKESWRSDFKGINQVAGWMGKGKDS SSEKSEHISTPLSSLKDPASFGPPPKHVKYHGPSALPNETTPDRSGLGAPLRQEQIYQ QRQHQAEIEAREAEEQAAEEERRAAPPAPYRVNTTGLRTDHLPPPPKRVGSSISGSSA SSGNSNSRPPPSLPPRLPPRTNSTPVQSPPAYSPIPQPGLGPASDGYVNQGATSRLAN AGVSVPALGVGGENQDLQSTASPGGAPVNELQSRFSQMRTGSSYSRPAPPPPPGRGRN TPAQDNPPASGSGGVRSTINNFREQHADKIDAGKQKMGNFREQHSDKIDAGKQKMGNF REQHSDKIDAGKQKMGNFREQHSDKIDAGKEKMGNFREQHADKIDTGKQKIGNFREQH ADKIDTGKEKMGNFREQHADKIDAGKQKMSGLSKRIGSFVEGQRSQPSERPAPPPSAS TPSTSNAGVESIAKKKPPPPPPKRADIRAPSVQTAPSPSGPPPLPLSSKPRIV ACHE_30099S MFDYNLVTPSGPATVRIGLPHASMLKFPPNELPATLPAPQLAEL TWYQPFNIPPELFHQLLDVRVPVTIASLYAVTVVLLNRVNKNRGYKPYAFSQTRWFKV FVILHNVFLAIYSAWTFAAMFRAFRNSLPGFNNPHGLAGWADSLCKIGGPRGYGNAAL YNTTTEHWTMPNPQFSLIEGGIPDPLDDGRLWNQGLAFLGWIFYLSKFYEVFDTAIIL AKGKKSSTLQTYHHTGAMMCMWAGIRYVAAPIWIFTLVNSFIHAMMYTYYTFTALRIR VPGVIKRSLTSMQITQFLFGTTMAASYLFVDYTLPFWDAPESGAGAGAGAGASGSWLK KLGLASGQQQPIGLPTVGAKLPGVDYRMVPCLDTTGQTFAVSLNVSYLLPLTYLFVRF FVRSYLYRKEPSVPQPTHMHAAEKAGLDALKGVSREIQKAVEVNGETSEATEDEAVKA QATKRKASPAAADQSKDSPIRTRSSAAKKAANGGRQEGFSPVKKGVKKSEGSAGSSPA ETTNPYGVLQKS ACHE_30100A MAALVQTIPQQTSTVPVLQTRPASSSSQQHQHHHHHTARNSSMS WNPYAPAGSSGSYRPNGHQAVTSYNTPTSPNPQIRQSWNPHPRPENRTISAPSAPPLT AHAGGSSHSLNHPAAGPVSSTPPMHLSKDDSVIPTRKPSSQQSVDQPIRPLSTVNLPP PSPLMNISSPTVAKPSPDRYRRGNRRAEGAAGAQSTNSSHQPGPSVDDSQLASLPGHP TARGHVRVSSADDTSRPDRPQTELAKRYRRRSWGNMDNAGLINLQLHLPSASPTPSTT GQDYFDQTKPAQNEGSTHSAQSSTSTVRDGDSSSSARGKPDDTRVNKPSPLSQPVSAD ASPSNPPAPQAAPKERTMPTGPAHSPATQRAAEIANSSAKGPGKSRLRRAFSFGSASE LLKASNNAQKKEALSVEKTRRELLNEELGAEQAAIAEQQEASGLGESIYSHYQGHLFN RSNDNLSVSSTASSASVMLRKMGKGMKKSTRSLVGLFRPKSVMSTSSTDGIAVEPSAP QVSMVNIEADRNSVLVNADPQDLPRGGTVFPKVEPNSQEAVTGTSGPSSDGPRKSIVG SDNERAEVLAAVRKGILKKTHSEPALSTPAKSRDANSSESPHSSTPSTPEDRSGNRVK IAGEDYFAESKGAPSPPMAPKSLIFSPRIQLHETWTSGEYDRRGDVATCNRLTPLLAQ QIKEELNNFKMEMEVHETSKVYTHFL ACHE_30101A MAATALITAAMLQNSGHMHTPLDTNKRTSIPTVRAKATPDISIF HASRMEIELSPLAEEWMSIIFGTRYYNFPKMIIVDVNDAREQELYGFPFRQIDEVVVR LYAPMSDNPGQLFVLFDRVVNLVDMLRRAPVIQKMSIVLESLNGQEWHHEPVMVRGVR ESFFAPWADDSDMVVVPFCSLKNVGGVCVHAHSKELERLIDWKVIDWAVGVVRDRSWE AYVPGPTRARRFDTTTYYASYTHRPDRSTPGTDVDRKVAEYYLRVHVNLLRHDFRDTG RHQRRDLLRRSFDYSSATTTAASPSSFECDMVYIAENYPDLLRKYDRAIEVLLHLERI IVCTYDRTKPRADTDKYIATRALFDDWDEAAWGLVERAFGDPLPVMGSWVFEGCAGEL GSLVDYNDCLERVREVGGDDWLMEVVKVGQFVQDWVRVFVYGEEDEDEENGDLDEEDA LEDEEGEKKEEIEESEDVENEAKDEEDDKEEADEEEERLCDDGEEQVEDDGENENEEE QKVVKGDKKEGNDEGDVEDEGEAYYEEDDDWDFCECYA ACHE_30102A MESKLLAHFNCLSLDIREAMWANLPADEDGWWGRKTYKTGLAIL RASRTLYNEITTICDSHPQLVFYLTPSPWGWMTISYTAEHHVVPKTGITRKQVEY ACHE_30103S MRHPTILWYGCDHLTLTQLKCPLDVLNMEHTFDEITLACSGDSC CLCTLPAIPNIQELKNNWLSASKVAKFFKVEADDKNMEDLITLFFEMGHHVQCGEYAA LTLDGATASRSPPASESGTPVPEPVIEPTQVPSPRSPVAKQSKRSRPVSQNKQPQNTK RNKTSTSKQSKTTTTVQSQTQSQIAQKPLTSQTTPAIQPNLMATAPGPAPAPNSTQTY AQAQRPVPSQPTPAFQPVPTPQSFPRRSFPTPVSPPLLEAEEDALLAETFLQWKHELD LAGGEFVRVDFEQIAAYIELEERSGIKNGNQDPSQGLTGAGAVFDAELQQVSKPPIAQ PNVQAQGGHNQNPAQACVAFDTTAQQQRMGPVHHATQEFPNANTSAPPPLQKALIRHT ANPSVHAHPVQPTTQTPVNVNGPAQVPLQGAPTQHPSGHDHPQHPTQKPVNVNIHSQR PQQPNTPIQHNVQSVPLHRALPQILPQQNVPQQDVPQQKAPPQAVPQQNVPPQSAPQQ KAPIQHAVPQQTHNAPVRPEQTPYDGTNWGDKGVYLPDENVYFAGDKPHSPNSNTQPY TPLPELDTTFALSESDSLALQERFEEQASFLEFTNIDELCDESGDAMMLDVSASSEQH TAAVQGSQGQNVHQPIDPLLSSQYGNQAVYDRVQTSGSGRSTPSHMNMNMNTEQPLLQ EFVQQPPQIVFYRNDPNAVTQPSSEEVHQIAAATKPKRKGRGRPRKAQTASVTIVDNG NSTTNPNRNAITQDAAPTNTASTTGPSATTTATTTKDPTRGISKATAATARVTKQSTT TTPPSASTTVTRTPRSRSNSNIKTNATTITTGRGRGRSTKKKDNEPKGTLVTIERPPA DDTADPTEDYIQKYSNPVAMLTPCSSN ACHE_30104A MPHSVPVPPTGFQALILCGPGVSLNTFTSNPEEFPKALIPIANR PMLWYPLDWCYRMGITNITLITPPASKAPLEAALSQNPHLTSLPSPSASVLAPADLTL TTGTAELLRLPEVQSCIKSDFLLLPCDLICDIPGESLLEAWMVSQSALGGSTVRDGRH TYGPRSVGMGGEQGGRRGGLGVYYQTQGREESVKGEVTDFVATTPLEQDEAPAVSHPL DGPASIRFGLSKLVLSMPMDTLKEKMEEDKGLLIRHSLVKKHAQVKMLSSYRDAHIYV FPHWVKDMARLNEKFESVSEDLVGWWAKSEWQAGLGEKLRLREIFEPAKQEDGENTGY DGPAIEEEIDLKAMSTTKAGAGTSRDTTAPGSVPELARSRTNSNLEAAAKASSARDLI VPPMLAYVHSSMPSAPLLRRIDNSAILLSITLRLAKLESIEEAGRAASPFAHNQKVAY PAGIAQRCTVTKADCLLAENVTVEEKCVIKESVIGANCHIASGARLTRCLVMDGAVVG ERCQLTGCIVGRRCKIGRESVLKDCEVQDGNVVEEETDAKNEKFMIFEGLDEDDEEDG EGMDVAGEFDGEGDLGF ACHE_30105S MSLPDARRRRGAGIGFSPTGRRTVLGYWVPLAVTVGVATAGIAA WIWSERSDEDEEDDHGEQSRDDLDEQEDVFPAARGPGGEAMPSDIANDDAGMMARMHN TLRRTPSPQQIFDGARRVATAGVAAAGAYVGGLASIREENRGDFEDHSRWSEEVESRD NERSQQVDEAPAMSGALPTPRGATPGKKKSVAIAVSSASSHDLEDSTFEHASILSHLP EHIDENTKIFVLIYAPDLKHGNNKGTSPNKSLSVASSYSNINPEDAASSGELPTVEPR QMDESEGKTPLFNTLYSQALAIVEKENTIMPFSTESGYVHLVRHLSPELVYVQESLTG KDGSAVQHISGWVRQVVVVVGDEGGRGGLIDSDEESFLAENGEKWWQKEGITGIGKRI DVVDVLRTGLDWRRRVRGLD ACHE_30106S MATVITKPASHPPKMKRPPPPLGSQSGLNGVKPPQQSPSSSSPS SASKRLPGSGQLDAANATGSQMANGVNGASFLNSSNNKGPLTRPRRDAQRIADQPTRV QRSMSKAMPTDNDRRVGKKCPEPYVKTTPYILKKYAKHPPSLIVHLHPTHFRFEQQDG SFPYNSEMKVIIEHIRAGTVPHDMMEELLRANVRFYEGCLIVRVVDHKSATAQARKAT ASQSNENNSPFSIHNYNEHITPSPFVPYPKHNQLASEQAAAKTETAPNGTPEQNKEVV KDAPSKEAPPKPKVFTTVLHPTARSLQAELTLLATTPDPRAARQQVINAESSNEQNNG HVAKRQKMLVEPQHLLECESKLTRALAPPLFLEPVDSLGAVQDLLKFMESPLHSDPPP SPKRRKRTVAELEADEALAAEEERFMLIMDERLESSVSNGAGGTKSAVDETSGGAPFE PRFSRFKTLENIRMQHEEKAKREHEIKLKQEMAKRQQQEMERERRRVLEQRQAEEHAR EEARRQQLAQQAQAQLAAQQQQNRLAQANGVSQGQQSSPVVRNQTPLNVSSPMVGNTM ATQGAVPMSITSSAQGGAGSPPRPPSALQHAHPSVIGHPMASSRSQQGGQSRHGTPQM TQGTPAMSHATPIMRNATPRMSHGSPSHTGISQTPVMNQGMMATPQMGAGGMGLTPQQ QQLLFHQRQQAMIAAQQGNLGHSGLTPQQFSQLQANAHAQQNIQQYQQQQQQQRIPNQ QAYQAQLMRAQLNQMQMAQQLQQNQQQHPQQHPQPQNQQAQAQQNGGHQGSPQMTPQQ QQQQILMAAAQANGGHLPQNFQGMNMAQRYTQLYHQRLLRLRQEMAHRFTGQYGPPSQ YPPHIAQQYGLGLERSAKVWVNELMKRERESVQQQRASQLAAVQAQVMQQQQQQQQNM MRNA ACHE_30107A MAYEGTQVEPIPRKDLEATEDTDEMRSQGTIADRLPLELDCPSL GSSECPSMASSFSPLDSPTPTSMYSQTSLTSPGWHEGGSFSVHPNQHYDRHTGSTPMR SAFRLADMTATTGDSMAMPYALDTQDRMPMPEYLPGYDDNVEQFWIPSDMPKTYDNPH GMQYPSGMASYPTMARTHYRHAPYLPESATNPCLSRPIFSQPERMSSSMSMGNMLHWM PSTESMAPQTITPSQAFPQTAPVTPPPSYSDFPTSLNAFKQTPTTSIRSCSLGTPSGT ETSVSRVSGGAGDFHEDFPLSPYRDGMSRPSRQPSRKLSRKSSKNNLTLESLPPIIKQ VQFKCKEPGCKGRFKRQEHLKRHMKSHSQEKPHVCWVPGCHRAFSRSDNLNAHYTKTH SKRGGRNRYVATLDETSPDFDPDFRGQLTPDGRPIYGSKLDDPMDTRELSVDAWDE ACHE_30108S MKLSNLLAMGLIAATQAVPTSRNQPKKQPNFVYIMTDDQDLTMN SPAYMPHVQASIRDKGTDFANHFVTTALCCPSRVSLLTGKQAHNTNVTNVSPPWGGYP KFIERGFNEDFLPVWFQNAGYNTYYTGKLMNAHSVDNYNAPHVKGFNGSDFLLDPYTY SYYNSTYQRNHEPPVSYEGHHTTVVTAEKTLGFLEDALASDRPFFLATCPIAPHANID PSALASDGDTLMTAAIPEPKYEHLFEDAKIPRTENFNPKDPSGANWIKTLPLQNQTIV DYEDHYYRQRLRSLQSVDELVNSVIERLESSGQLEETYIIFTSDNGYHIGQHRLAPGK SSGYEEDIRVPFFIRGPGVPEGRVDNTVTTHIDLAPTLFELAGLPLREDFDGTPMRVA QDSSGIVHEHVTVEFWGSAPVEGEYAGVASPPGTKRNTYKSIRILGEEYNLYYSVWCS NEHELYDLANDPYEIHNLYPGQSANTSSTDPHLFNRDLSTLIPRLDALLMVLKSCKAN TCIKPWNVLHPDGSVQSLSDAMDEKYDRFYEEQPKVSYSKCEEGYIVGSEGAQAVLNW EAWT ACHE_30109S MHKSFLPLAFTASALAALQSCPSDLPLSCHNTTTIPSSDACCFN APGGTLLQTQFWDYDPATGPADSWTIHGLWPDNCDGTYQQYCDTSREYKNITSILQSQ GRDDLLSYMKTYWQDYEGDDESFWEHEFGKHGTCINTIKPSCYNDYTPQQEVGDYFQK TVDLFKGLDTYKALADAHITPDSSKAYELSAVKKALASLNGGYEPHIGCSDGALSEVW YFFNVRGNAIDGEYEPTETLSETQCPDTVKYPPKSS ACHE_30110A MSAKPEDIDLELGDEPYDSAEDEDFELDAAHGEESELSSDSEPE PDVAQQPANKKRKTAPKGKRATAAEHGHKEELDSGDEATIQKAKDRKQRKQKGQQANG KGSDEDEDEEEDVDFDDDEEGGTGGFVRTRAMKMRTQEERKPLAKIDGATVDVNALWE KMNATDSGTVALPTHTEKIDDTPVQEEKKDEAPKKTPAETKEPQQPPKYPEEMVKIKR TYKFAGEMITEEKIVPKDSAEAKIFLAQGENVDAVTTADVEAAANAKAALKLRRPLRK VSRFDPNPTGAIKKSWEKHPVADVTDVRGPKINTVEKSRLDWAAYVDQAGIKDELTVH SKAKEGYLGRMDFLDRVDAKKEEERRNVRLRGL ACHE_30111S MVATKNVALVVGASRGIGRQIAIDLAKNGYAVVVAAKTTSNAYE TVPFPPDPNSSYSTINTVEREIRESGGEASALPVDVRDVTQVENLVHETARIYGKLDV LVYNSGAIWWSSVEKTPVKRFQLMQKVNPEGLYACVQAALPVFAKNEWKGRVIVVSPP IYPRFFRGKTAYAMGKVGMSVLTKGLAMDFVRQGRKEMAITSIWPATAIESAATEMTS SNEDKSNLRQATIFSDAILGMLNAPAESVNGLLELDEDFLRKYYGVSNFSKYSVIPGA SPRRIMPKELPTLTVAEQDDEGTRLDSTALRQTKL ACHE_30112A MGRRCWQNGWKDGSQAIFMESAKSCQLSPSTFSSNLVQVSPSVP VAWGLMIEYRQLGDIGPYLSKPVVGQHEQERIPEIPDCDYELRSDEDDKKKMKESGTS TSKFSTIFAGTL ACHE_30113S MIRACQTISTRVSSSIAPIAFGLGSRYTSSMPLEKLQGVKLPAS ADFHVHLRDGDMMELVTPTIRQGGVNTVFVMPNLVPPITTVDRALEYKQRLQKIEPNV NYLMSLYLHEDVTPETIIEAKKRGITGVKSYPAGVTTNSSSGVVDYSVFYPVFEEMER QDMVLNLHGEAPSTGDVTVLSAEERFLPVLGQLHERFPKLRIILEHCTTAAAIEAVKK CGPTVAGTITAHHLSIIIDSWAGDPFCFCKPVAKTPADRDALLRAAASGNPKFFFGSD SAPHPAASKRGGDKIAAGVFTQPYTTQVVVDSFEQACENGVLKESDITPEILEGFLSK FGRQFYGIGEEKNEFITLEKKGENIANILQSEKLDVVPFRRGQETWSVSWSS ACHE_30114A MNDATTSLLTEHFSYTPLSLIDDVINSINNLIYQAISSLESGLL GTPPERLGFSHANNGSTIPDTDEDGNVVYPEAKLEIENGLHQLETLLESTVDKAYDKF EIYVLRNILTVPEDLISWVRLRHYEGLTFNPGPDTPSPETVLEHRKKLHETRKLNRSL KQERARNDAVISQLRSILSTVSVAKNNEDATATAGKKLDLSFLTSSPAAQQLRVGAVT GPNTHHTPLTTNTTFILSQLPALQAMLKQLRPKLASLPKSAELAERDLKRDERKEYIE SRIRLHLERAGQLAVGSDGDPIVAGRRIGNSEAHALEAVTSILTQGDNKRE ACHE_30115A MPGPSLEFAKSGLGRDAVKAGMVKDDHKLRELGNELSLAEEEHG LLEREMHLIQKPLR ACHE_30116A MTASMEMQGRRRDDVQEPSDPVKSDEVFVESTETGIPTTYADVV SSRISKAHRDYLMERHGTLELDPIPSMDVADPYNWPGWKKLANLILVAFHALMGTFAA AGIIPAYKIISEEYGVTVQKASYLTSLQIAILGGAPLFWKPLSNRYGRRPIFLISLIC SLVCNVGCAKSTDYASTAACRALQAFFISPASAIGSAVVMETYFKNERAKYMGVWTLL VTLGIPSGPFIFGFVTQRAGYVWIYWVLAIINGGQFILYLFLGPETRYIGSSNDPNEP SWKREYLYIRRIDPTPFTWFEFVKPLTMVMYPCVIIPAAAYAMIFCLSNVLATVEVPE LLQQKFELDSEQLGLQFLGPIIGSFIGEQIGGTMSDLWMNMRQKKTQRKPEPEFRLWL SYLGYTLSIVGIIVFLVCTEKAKQGHWNIAPVIGIALGAAGNQIITTVLITYAVDCYS KEAASIGVVITFVRQIWGFLGPFWFPPMFETVGVAASSGVGVALMVGVSVIPTLFLQW MGRTWRPPIDE ACHE_30117S MSLFRTMPSAGDFAPLFRLLDDYDVHRSNRGQVSSLRSFTPRFD VRESDDAYHLDGELPGISQENIDIEFSDRHTLVISGRTEREFHNTNINDTPHSQDAQG ENGDVVKAGEQSVTKSENNKHRYWVSERSVGEFHRTFSFPDKVDQDKVKASLKNGILS VLVPKVTASGPKKITIE ACHE_30118A MASRESPVVPESVNSLANAYALPSLKKRKTWHPPAKNLGSSSIV IRAPATSLSDDRYILDPITLLPRSRVPFSWLDPSSSTLWPVPSGSLFVADAPILENEL RERVEPTVLAVRSTADGGLYVVERVKKGIYAVSRLGPWVQEGDIFVAVKGWSASAAIA DEQTARCQSPVADGTVEWWEVARVEEPLVDVDSLSGKGARLDICDAFLDQEEDNVLPV ESVESQTASIAAPNLERSSSTGARMPSIAMNDTQEQLDAMVPTGVDDQQSPQELLDGL RDQYLQALYISKTSLAYFAKGPQTRCRNAFQSTEPDSPKTPVGLVSFYREAILPAKKM DFKYKETIPATVRDVIFSVSDDDTAQTKKRKSRKKKKLGKNGLYPDEEDFIRAWWKDR SLSENGVAIETSREAGMKKHVADLRLRETQLQILLILETMALESALPDGRKQDGGNGE DSPDEKSKKSKSKKLQDLNVVLELHLDRLCIWHAVSFDDIAIPESIKDTEKKHLSGKK AESDAVRDFCTEVIVPFYASRLPDKCKLITRKFGVSSSTTPVKQSQAKKTPRRESESA AKRQLQQQQQQHLKHLKPRRSLQRVLTDEKSATSQGRHPLQRSKTAPSKPDSRRESME PLLPMASANVRGGIQKAKRVENREVDLNAQARQHETKLKKVQLLADQKKELDAAINAL RRPNRELVAKDIAEDADKRTTSGSGGSSRKQKNPVRNPLGQGVQVMATPKGSRKRDAT FDLPPPPLPINMVRSFPGHSNERSPPPDESDAQMVPGSTVRPNSFSTASGRDMGAVQE TPTRRPTKPFESPCFSKSKSTTYTSNTLFRVPNCPSSTQPTELAPSTPVSSRRIPIAQ PQFNSSIAETPPRIQQQTTVPIPTPTIAEPAAARPTAVLSTPVKKGSVQETPVKKNFL APGDSSTVPVTPEKSIYEQLGWSEEEDLGF ACHE_30119A MSNTKYLLVSLPTSITTSNHRDDALDAISTTVSPDNGSVAPFPI PEFKIGTLDALVQQADELSKLESACQGVVSKVGDALKNILDDEAQIDKMKAVNDKPVD QYLRTFSWNKVKYRADKPLGELIDLLQKEAASIDNDIRSKYSQYNQVKNNLATLQRKQ TGNLSTKSLASVVDPRSVIQDSEYIETHLIAVPATQVKDFLKSYETVSSMVVPRSANL VASDDEFTLYAVTTFKRHSAEFIHKSRENKWIPRDFKYVEGGKEQEQKEVERVGGDER KLWNETLRLGRTAWSEAVMVWIHVLVLRVFVETVLRYGLPLDYVCTIIRAPTPKQADK AKRNMDDKYSYLAGNAFGRDKKGRVKRDDPGEAGGEAGGAEYTAYVYYDFEFN ACHE_30120A MRHFQSSVALRGFPTAATTRIAVRPRLPLPRSVYLRGSRSRYST ETAPVPPHPQQAQQPRSRLRRFIGFTSIAFFAFTAGLFYQTIQTTSRIMAAPMPSDEE TLTSFVPPDAHSQEIEDFIHNHPLSVSLRENPAFTESRPHLKIPEYMRARNLTAGTLA GPNKIVVPPVVFSEEGGKSMISLLYLGSDLSGHPGIVHGGLLATLLDEGLARCCFPAL PNKVGVTANLNIDYRLPAMANSYVIMKAETVKVEGRKAWVEGRLETLPKDGQEPAVLV EAKALFIEPRQAAAMSSLYKVT ACHE_30121S MEQQRSRQLAPAPAKPPPPPSKGPMKRTAEVPRREKITSACKEC KVRKSKCNGGMPCGACDKNNTRCVYDENSDKRRRTIMNQKIQQLEADRDLLIRLVTTL RETDDCRATQLLNLIRSNSSLEEIRVYINERLYDESEKPSGLVDLADRLRPLSESEYL SQRRLLDVRRLTDIPTWKVPAKPWTKVTDDDDFVSHLISLWFTWYHPYFNWIDRDMFI RDMQFGNARNAKYCSPFLVNIILADACAYSDYPEAYSDANDRSSKGVHFYEEAKRLYE EEEGRVTLSTVQGLCVLWACAALIGRDGRGWVYQGQLAYALQDLMDNIEKEHGLGYEP HVVDNAVWGIYNITTASSISCQRFPLIKTPKRPYLRAPDSNREFLDVWYPYPTQSYAV PSHMIHLVNASSDLSRITHDVSTIFFDPQSGLKNMTFSETLQNAQNVHARLQDWKKKL ISCLREESSDVPHVLSLHMYYCAIITTIFGFVEEKAKEHELPQEKYQDALNLRLTSAR KTAQLEGTCCASWGIDRAPAGNVQWITVALSTLLEHLDDNDNREAFISLCIASKAISR RWVLGKAMLRSIHNTARQLNITLPAETSALFSELDNQCTSLRVAESVNSLYSNLVMIH GFNRNDEAEIGKSLESILLNKSSAES ACHE_30122S MATRSLFSCISVGIAGFLAAGANGEDTLDYDVLEYINPLIGSTG GGNVFAGASMPYGMAKAVADTDSPTNQGGFAYDGSNITGFSGLHDSGTGGSPSLGNFP LFPYVKCDGDDINGCVYPKKDRKTGYDPNSVTASPGYFGLTMNSGIMVDMTASHHTSL FRFRFPNESDASSPLILLDLTDLSDSRQDNGTISVDPSTGRMMGHARFLPSFGSGSYV PYFCADFQSEAGLRDNGIFVNSRASTEVKKLNISRSINGYPLPGGAFVRFNYTSDQTI QARVGTSFISSEQACKNAEAEVPDFNFPGTHSVALGMWTEKMAPIRVSRKGVNSSLLT NFYSGIYRTMINPQDYTGENPLWNSTEPYFDSFYCLWDSFRSQLPFLTILDPKSVARM IRSLIDTQKNLGWLPDCRMSLCKGYTQGGSNADIVLADAYIKNLTDGIDWSAGYAAVK KDAEEEPFDWSAEGRGGLDSWKSLHYIPVEDFDYVGFGPMTRSVSRTLEYAYNDFAIA QIARGLGEIGDAEVYEERAGYWTNLFREDQASVVGGEDTGFRGFFQPRYLNGSWGYQD PITCSSIDTSGRACSLQNNAAETFEDSIWEYQFFVPHQMQTLISHLGGPTPFTTRLDY LHNNRITYIGNEPSFLTVFQYHYAGRPAKSTLRAHTYIPSSFSPTPNGLPGNDDSGAM GSFVAMAMMGLFPNPGQDVYLISAPFFEEVSITSPLTGKTARVKTVGFDAGYERVFVQ EAFLDGERYGRNWVGHDFFTQGRELVLVLGESESGWGTGEGDVPPSLG ACHE_30123A MSTLQPLRRGISLLGIRSLTSQSAPVVSNGSRQAFRLRSAATRR PVVSFGPALPQLQVRFASSEAAGKTQLYDLHVARGARMVPFAGFSMPLQYSDLSHLES HNWTREKASLFDVSHMVQHRLSGPGAMDLLMKVTPSSLDKLHANQSTLSCLLEDGTGG IIDDCVITRQGEDSFYFVTNAGRRQEDLAFLQTEIDAYRSTNGADSIKWEIINNALIA LQGPLAASVLQPLIHGSGPEADLTTLGFGNSRTLYLTLPDGSRTAEPLLISRTGYTGE DGFEISIPTTTPTLPQQVVDLLLVNPDQVRLAGLAARDSLRLEAGMCLYGSDITTAQT PPAASLGWVVSKDRRDPATGNTNFNGSSVILPQIASPAKTLSQRRVGFTVEKGSPARE GAIIVDLNDESRTQIGVITSGLPSPSLGGTNIAMGYVKQGMNKKGTEVGVLVRNKVRK ATVVGMPWVETKFYRP ACHE_30124A MAAYDHDQDHDKSKMPTVSPQDDRQSSSLSDKLENDHDHDPAKL KDYDPANAEHRVGGGHSDTEEEIVRQIELESENAIKYRTCSWQKTAALLFSEYICLAI MSFPWSYSILGLVPGLILTVFIAAVVLYTSLIIWRFCLRHPEVRDVCDIGQYLFWDSR IVWYLTAVMFLLNNTFIQGVHILIGAEWLNTISNHGTCTIVFSVVTGIVSWICSLPRT FDALSKVATFSALFTFISVLLAMIFAGIESHPAGYTPAKGDPIYTALPVAGTTFVSAV NAFLNISYTFIGQITLPSFIAEMKEPKDFWKSVTAVTVAEIIVFSVVGAVIYVYTGNQ YMTTPAFGSISDEVYKKVSFSFMVPTLVFLGVLYASVSARFIFFRIFENTRHKGNHTV VGWVSWGAILAVLWVLAFIIASVIPFFNDLLSIMSSLFDSFFGFIFWGTAYLRMRRED YGPGFYKKRGIRGWLGFLLNVGLIGVGLFFLGPGTYASVMSVILNYRAGTVGSPFSCA SNGV ACHE_30125A MAKNNNKGKGSAIPDGGVALNDSNVGGDSLPNFEENAFAGLRQK IEQKLKDQNSKSKNKGKGGAKDAPKNDTPKKDAPAPKNNTKNESAPRAAPKQEPKNDN KGKKRDRNGDVIAREEKSAGKDKVSKASDDTLRQEILALGGTEEDLDLVAGVESEDEV EDVNTSKKSGKKSDDDALRKELSSMLAAAGQVVPEDLKDDEVEEEQEEEADDDEEEEG DGDDESDEQEEAEEEESDAEEEASPEPSANAEEYSKETKKEPVKPEEFVVPKEFAKLV IPPRSDWYATSLPSIKSAKLATTLPRYVVDRLHEHALSLLEKDTKMYNAAQQASASSS HKFYSTIMASGTLSDKISALTLAVQESPVHNTKALETLVGLGKKRSRAQAVEVLRSLK DMFAQGTLLPNDRRLRSFANQPSLMAAFQGVNNWTANDPLPNGLQNSHLILWAYENFL KDQYFEVLKILEVWCNDEIEFSRSRALSYVYELLKEKPEQEANLLRLLVNKLGDPSKK IASRASYLLLQLEQVHPLMKPTVVTAVEEVLFRPGQSQHAKYYGIITLNQTVLSLKEE KTAVKLLDIYFALFVTLLKSKKPVKSQQGKNKPGNRKSIKKAKEEEKGLAQQEEMQDK LVSGVLTGVNRAYPFTSSDTARLAKHIDTLFRITHSSNFNTSIQALMLIQQLTSSHQV GADRFYRTLYESLLDPRVATSSKQALYLNLLFKALKNDVNIRRVKAFVKRLVQILGMH QPSFICGIFYLIRELEKTYSGLQTLLDQPEENESDDEEVFRDVPDEDDEPQQPEEPEV KPQKPQNVYDPRKRDPEHSNADKTCLWELLPYMSHFHPSVSVNAAHLLDHQTMSGKPD LSIHTLSHFLDRFIYRTPKADKGARGSSIMQPLAGGETADRFIGAGKAATHVPLNSEA FWKKKADEVAAEDVFFHEYFNRVGKDKEKSKARSKKDDKDPVAKHEEDGDLSDNEDEI WKALVDSRPELEDGDSDDDLDMDDLESAYDAEENADEGSGDEGVIFNDESDKSDEEPV DEDISEPEPAPKPKSKDSKKKDEEPSDEDDDFDMDVSDDDAFVGSDEELPMDMGDFGE EEEEEEEKPAKEKDSKKRRKLKHLPTFASADDYAALLAGEDDGM ACHE_30126S MVFGLRPNPGRISAVHRVSLGIVRFHRISRCGLHTDGRSRISNF WIPTGGISKRAVEGEKEDANDLLVRGGFLRQAYSGIFHMLPLGLRVQDKLERLIDKHM RSAGASKVSLSSLSSQELWERSGRLKEGSEVFRFHDRKESRFLLAPTHEEEITTLVGS LTKSYKDLPLRVYQISRKYRDEPRPRQGLLRGREFIMKDLYTFDYNVEEALETYKAVK LAYTHLFNELKIPYLVAAADSGNMGGSLSHEFHFPSSKGEDTLISCSNCDHVYNEELA DGKAHGASAVSSPSQAGFATEESSTDGTGTVSTGAWFAISRDKGTLVRGWYPKFFKQP GSREPMEREVSSHAVKSIATAAGIDIDLSVENPLEQWTTYVKSQKTTNGSIPSVLDLY DAQVRVYQRPPLSDLLQGTDRATTEIEYFMLDKFPNTTNGLNLIKVNDGDKCPKCTHG TLKLDTAVELGHTFHLGTRYSDVLSASVMVDKSLLGATNSKDQIVPIQMGCHGIGVSR MITAVADRLADSKGLNWPRVMAPYEVIVVPGKGLEGEAEKVYDQLVSSDPATPVDVIL DDRDKQMGWKLGDADLIGYPIIVVVGKGWKNQQTLEVQCRRLGLREDVPLDQLTSFVR SLLERL ACHE_30127S MSAPASNDGAPPGAINVSSLSVPQLRALQSRLTTELEHLTTSHT KLRAAQTKFQDCVRSINDGVKGSQRKGTDGKDEILVPLTSSLYVKGKLADREKVLVDV GTGFYVEKVGFHSCALRVDLVWLTCGQTTAKATEFYNTKIKGLENNLKDLAKVVQGKD VQLRAIEDVLRQKVLSGEATPANTG ACHE_30128A MKLPVPKLPGYGRGTSTTPGSSKQRTIQAPATLSKSPVNSQKPA TGHSQRLVSSPTPTAKGPTPNSRGYGRGGFRGRGRGNCNIGRGNSSAAASRNINNLFK DSPAKAEWRAGQEANGFIKLPTSWGSFKYDFFAAAKSTLIARKTAAPTGRYEVFEDIA QKTGAFVNPPSSYTQQALYIWGDPAQVASAKEILYKLVGQCKNVWSTKKNKIEWTKIY AHSNMKEADMDLKERRETILKQLRKVPDLPSAFPEQLLFLWPKDGPPLNECLGSHLEA LDVIRAKFGCHLFIPKELPDCVCALGQSHDVMRQIAHRLRTKWSEIIASSNIKSRILL VEPPGPSSMGRQIVVQNNNQFAKAFLHGDSKKGMGLDQWADRAALIKSKNNDRILSAI EKSLRGVAFVRGHLRMRVNIGSFVLDEYRRPKDDKPSYAFEEFREMLMHEQTKGRLVP GLRTNQDELLASCFQATALLESYESETRALERADPAFSVNFEFLGSNNDLLRLEAEFA RCPGAQEYEVTQRRWLRPRSGGQTIDKRSPLQIAVVDFERSDWQLEIKSLDFQEASLI DSALRSFSHSINFRRTENMNDISAPPQRKVIFPATAPVSRFVEKTAIRYRLMGTKYTF EIARYDEYSRTLIPAFPGQDPPTIVGSISEKPSTSWGASVFDPNWDNLLGQHANLPVG HSARYSPNLDTFFPPYFPPQEQSGSGEKHTGFWNFVSLVQQAAELLSPARPLSPVVPK AGASKPSPKSQASSGDKKDETTSNNSSPNIDAKGLTGMINADLGTLF ACHE_30129S MSPEPAVGSADRQSRRRIAEERAQKWMTKGGLVREDSDDELGDE DLPWEWVYEMNHDEHNNNEENVEATPSRRRSARPAAKKGPKIVGARMGTFECRLGQVV LLKSPEPGKDWVGIITQFTEEEDDDEEEMVKSANIMWFASPDEFMSTKNKRRTDALPN EQYLTADFNSNPLTSINGKATVMSKEVFYAKYPNGAAPKGKEALADYNKCIICRRGVN QLQGRYTDEFKWEDVYREDRIFDLIDMIKDGLKAAKKRKTVDDDYIDTKDDGDFEPAT PRKRQKTAIGATPQSRRHKTLTTPSNKRIVVKKPLEFTPLGTRVLAPTHFASPYRQAR NVLHVSTVPESLPCRKNEFDTVYNHLSAAIMEGTGTCIYISGTPGTGKTATVREVVAQ LNTAVLAEEMDDFIFVEINGMKVTDPHQSYSLLWEALKGDRVSPSHALDLLERELSHP SPRRVSCVVLMDELDQLVTKNQSVMYNFFNWPALRHSRLIVLAVANTMDLPERTLSNK ISSRLGLTRITFPGYKHNDLMEIITTRLSNVPGNIVDPDAIQFASRKVAAVSGDARRA LDICRRAVEIAEQAYDSATAKENKPAIDDDTEFLPPTPSKTPARANKRQLAPPKPEPE KPPTKNTPGRVTIATIKHAIQEATSTPLQQSLRTLPLSAKLFLAALLARVKRTGISES TFGDILDEAKRIADAAVAVAGAAGVGIREFLLGGSGGSGARVKAMGFAAMELMNSGIL ALENSAGSRGALGSSLIPNRGDRSSKVRLRVAPEDVRAAFREDVEAKGLGLGSD ACHE_30130A MDIVPPNTTADEAVRTSAKRTAELFGSDYLMVTPSVAGGSIGTS YRRKAEYNDVKELPPALAEKQAKAAAAGRAKRPKTTAQAQAPVDGSGASMSLVKKAPG PTAGGVGGEDMPKSLIQRPSATKQQKPDWHAPWKLMRVISGHLGWVRSLAVEPNNEWF ASGAGDRTIKIWNLATGQLRLTLTGHISTVRGLAVSPRHPYLFSCGEDKMVKCWDLET NKVIRHYHGHLSGVYTLALHPRLDLLVTGGRDGVARVWDMRTRSNIHVLTGHKGTVAD IKCQEADPQIISGSLDSTVRLWDLAAGKSMGVLTHHKKGVRSLTTHPTEFTFASASTG SIKQWKCPEAAFMQNFEGQNAVINSLAVNEDNVLFSGGDNGSMSFWDWKTGYRFQSID TMAQPGSLDAESGIMASTFDKTGLRLITGEADKTIKVWKQDEEATPETHPVTWAPTLG RQRY ACHE_30131S MESDRKDKFAIHEAAREGNVQAVESLLSANPKLARTKDDDDRLP IHWAVSYNRLPVVELLVSQKNFDPDVEDGSGWTPLMIAASLRDSEGDPIIELLLQKEA DVNVKSTSGQNALHFATSKINITTVRLLLANKCSARVKDKRGQLPLHRAAAVGSVPIM KMLLEEGKSPVNATDMDGLTALHHVVAEGHGDAAVFLLKAGAEADKRDSEGRLAIDLV PDQKVRQYILQTAEKEGVELP ACHE_30132A MAQNSQGPKPKWKVGSFLQQAVAGVESKLDLILAEEEEQKQLQQ MQSKNVAAKAQGQQNGGVSRSSSSARKNDRLQERLARAMVNKSNTPGNRTSQSSASAA SSPAASPRPSTDVRPNADIDSGRASPAVDVEEQSKSSSQVETSSIVPKPGRSSEEPAL SSQDAKEVAASAADEPATTEIEESTNTRPSIDESAKPESDESQGAHASRETGRSATES SLEPPKQGYSGDETTIAQLQAEHKAAESQWQEETHGYIERIDALQSKLKYLAKDAAES AKQAAASAESGSVEKQLREKDEKIALLLEEGQKLSKSEMDHRTALKKLRQQLIETSKS QTEARKKTEKLETELSNAEARAQRAEAAEKRANDSLASHTKASRDLEAVKSERNALNE TIQEMKGQLARAVARAETAESKAQSDALEQEKWRRSQLEEELTNAKTEHDLNEEKLNR EINDLKEKVEQEKGRARMLETELKGEQSVLESKMESLRTKAEEASSGAAGESQAKLLR QIETLQTQYAIASENWQTLEGSLLSRLANLEKERDDVAQRESELRRKVREVNLKAKKA DEELENAKEAAHDLDNKLEERTLEMQKLEQKLQKASDDLNTAQKDFTEQKKAYEATWT QKLEDERARWREQIIPPAPAFQSPRNESPVTFNRKAMTLDPPGSFSDSRPTSRRSSVM PFASPDIGTPPRQNSFPASTQGVFSPPVAGSLQNQPITEMPSIHLDPDEMSGFGTPSA YGGQSRGINDIISESTVGAGPSVQLVERMSAAVRRLESERAASKDELARITSQRDEAR KQVVDLMRETDQKKATDARVQELEAQMEELDQRYLTTLEMLGEKSEQVEELQADIADL KKIYRELVDSTMK ACHE_30133S MSLEPPTYLSSLQNNIRARPIPWEGAVRAGNITDDHLKKIKAVD KVRKEQRRQTVDGDLQGYVSLLSGGGDEKSVLDSASKRTDIVQYILVLAVDLINDAPS LASALIAHADPYKPFLPLLRHSTNAEDPIPLLTSTFLTNLVSTSLVSSSKPAARDDEA LPQLYAYLSTLARNQDSGLQDIGVQEYSALLRTARARELFWNQRKDTVEPLVEILRAA AGAKDNGSSTVGGSSVRAIEPGLAGGVGIQLLYRVLLVLWQLSFEAELVGDDLQSDHE FIQLYTQLLRVSPKEKTTRLLLATLHNLLSENQTTLLPVAAFVRLPALLTNLSGRHLT DEDLLEDLKSLSSMLDEYTKTQTTFDQYAAELQSSHLRWSPPHRNPTFWRDNARRILD ESNGAYPKKLAEILAKPWDNDKQVLAIGCNDVGQLVKVLPERRNQLERLGLKTRVMEL MADKDESVRWESLRAVGEWLRYTFDN ACHE_30134S MSRSFTGCKRCKARRQKCDEQRPVCGRCQTAGAQCRYAMQLQWG GRAFSRSRFGACVGDGMQKFEYSPGEFIYTTTANASNANAIVPSPTSPTNNLLTRPVD PFSSLSSEQKSLLHHFIHDASQITACHSGMQTDICKMLIPMALQTPSLLYATTALSAI HLQAVNNRSETVKSAPEIARFMALSLEHFRNELQNPSTRGSDALLATARTLCLAEIHS GAIHPNSWRAHIEGARALMGTTAAGRQGGGGGKAEGFRWYLDRWYRSIVSLTALTGNG PPIGGVTDRAELPAAQPEGSSPDYLDDYWGFTVHLSAIFRGIGAAAWRRHQNRSLQDG SEEASIQNEASALESSVHQLMDREAGTVPTFYPGIVEGLSAECIREFILCNEAFQHSA LIQIHRRLRKTPTSSPAVQASVKRILECTEVIGPSSGLSPWVMLTTPLFIAGCEARGE DREKVRGLLALLHDTIRVPNVLQSLKFLEQYWANQMDEEEDWSHYLDRMRFDFIPY ACHE_30135S MIPPDGPVRPSSPVVSLQTITPSSPSPPTSSPSSHYSPHRTTVS RKPLPDNAATPAASSFPSVSHPPSAPLTPSSAPPAAPPPPPPPPGETPREYPQLQPPI AFAEDPSLALPSPSSLASSASSLVDEDSLLVPRDLDRLPHRGPSADNTSSAPATEHDV DYGSQFSNGIQFHSRLVSEPFIPVLPSPPAGHHRDQPAMALHPPENSRPPPLRIDSAG ARSSSANSFDSKQQPKTPGRKISSFFGWKGPTSPGAESSSTEISDAGRSPLASPMPPS LPSASFSITPSTTVPLDNSKPVRNGSLSSATILDTKVNELENELREISSELAGSIRRE MELEDLVERLQSEMPLDTTNRRTSDYFSDSGTSSTIRYPHDSGRSEDLEKFRRSAEQE RAQLKVELSQKWQEERSKRLATESHVQILESQVQQLRRERVDLSDLSSRNRELEGTLD TTRRKLAEERQIKDNFEDLLTAMRVELEQLRNERDHLRDEVIPQISGGTYKPPSSSAD PSEIERLMGEIEALKIENASLAQLQGTRFASIAEEDDGRPTRGRTSGLGISMSRSNSL ARMHSKPSRPSSLSRSNSFSAKERDTRENLADHMNDVEAQRDALHQTLRNLLARQAIQ AREYEKRSRMLEIELARAQEAGSPRRLGYERDVRNLREEVNYLRQRAEEALEQKWQCE KGLAGLKMDLDRAEQETASLRELLQEHDISLSQNSGATAEGRDGFAEVLATTSSLESA YEQLQADRDQVVAQSPAEATGELAESLNRTEALTTHVRKQLESNSSLRRRLAEAIGQG EKEQQVSAARINELQSQLKSLEDMLLTAQQLSEEEMAKHEEEIRQLQDSHNDQLQRMK NGSRSPAALSPRPPQSPFFGTRSPRLDKTTSGNGVPLIEVVQPETLAKRVRELERLLR NADLEMEEVVGRMNRAQIDVAELQSDRDEALRQTRKLQSEILAERELFKTLLSQ ACHE_30136S MDFIPEYLRPLISQHPLLTNLTTAPEVLSTHLSTVRTTYLDPYI LSPLSSLLTSSTPDLVSVFLLLLILFLSLKILDYTRRMVLFWVWLVFRVVFWGVILAV GYWVYSVGVERAGTEAGRAFGVVKGAWREFERGVDGSTPGGQGFGREL ACHE_30137A MSKFGALVMGPAGAGKTTFCSALIQHLQTTRRSCFYVNLDPAAE SFAYEPDLDIRELITLEDVMEELELGPNGGLIYCFEFLLQNLDFLSEALDPLSEEYLI IFDMPGQIELYTHVPLLPSLVQFLSRQGPLNISLCATYLLESTFVVDKAKFFAGTLSA MSAMLMLEMPHINILSKMDQVRDMISRKELKRFTNVDVQLLQDGEGGEDAAGDPLSKD ALMSGGSFQQLNRAVAQLIDDFSMVSFLRLDAQDEDSVAAVLSHIDDAIQYHEAQEPK EPKDEQEFDLEDGDE ACHE_30138S MGSVAPKNTFLFTSESVGEGHPDKMADQVSDAILDACLAEDPLS KVACETATKTGMVMVFGEITTKANLDYQKIIRGAIKDIGFDSSDKGFDYKTCNVLVAI EQQSPDIAQGLHYDEALEKLGAGDQGIMFGYASDETPELLPLTILLSHKLNHAMKEAR LDGTIPWVLPDTKTQVTIEYAHDNGAVKPLRVDTVVISAQHTDDVSTEEIRATLKEKI IKKVIPNELLDDRTVYHLQPSGRFVIGGPQGDAGLTGRKIIVDTYGGWGAHGGGAFSG KDYSKVDRSAAYVARWIAKSLVSAKLARRALVQLSYAIGVADPLSIFVETYGTSDKTS EELVQIIRNNFDLRPGVIVKELDLAKPIYAATAKNGHFTNQEFSWEKPKALKF ACHE_30139S MSTNITYHASALTRQERSTLRNQRGLTIWLTGLSASGKSTIAVE LEHQLLRDRNVHAYRLDGDNIRFGLNKDLGFSEKDRNENIRRIAEVAKLFADSASVAI TSFISPYRMDRDTARALHEVPTPGEESGLPFVEVHVDVPVEVAEKRDPKGLYKKAREG VIKEFTGISAPYEAPLKPEVHIVNDDQKPVQEAVREIIAYLDAQGYLPPKKE ACHE_30140A MAGLHALSARMPLRHTYLKPSRRFSTSSWRARYAATLPNLKIGA HTRVLFQGFTGRQATANVQESLAWGTKIVGGVKPGVEGEHLGLPVFPSVRAAQQKAKP DASAIYVPGNQTARAIEEAIEAEIPLVVAVAEHVPIHDILRIHSMLQTQSKTRLVGAN CPGIISAIGKCRIGFQPLPCFAPGNVGIVAKSGTLSYETVASTTRAGLGQSLCISMGG DVLAGTNFVDALNIFEKDPDTEGIILVGEIGGTAEMDAAEWVRDYQQRCANPKPIMAL IGGLEAPPGRIMGHAGAWVAPGEPDARAKYQALERVGVTMVNHPEKFGEGMRSLLSRR AATNPSSAINQKRGIHTMRRISLTRHTSPNLSHPQKVQTRSLYIKPHQALDMLKQKAL TVRETPPSELESKSSFSLSLSVDRTALTPCFIASATPDFSPAKSRKFPFPYTTTDLKS KKNPLVKDIAAKLRLPETAHPRLATLVQGLWKIFREKEAFSLEVKVYPSADGKLEVYD ARFGFDDAAYRSAGRQEEVHKLRDMKEEVLEEVVAEKDGIVYVKLDGEGSIGTLVNGA GLAMNTVDALTIHGGHCANFLDTGGKATSQTVKSSFQIITSDSRVKAIFVNIFGGLTR CDMIAEGIILAFRDLGLKVPVVVRLRGTNEEVGQKMIAESGLSLHAFDSFEDAAKKVI SLASA ACHE_30141S MATKSTPVKDSELQSSLRQLSLNDEGSIKDISRPAQDPKPKPSD VRRTKKKVEEVADSWEDEADSDAESGPPTPTAPTSSSTQKTTKLDTGLDNDNENDQEG PRDPPPTPISPQTSQPQQQSWPAPGAPVPAYGNPSGASPRSAGPARRPEKQTAVAGRM IAGALGIRAPKRTEEQRAYDRSVKEQEIRRRNREREEAAKAREEEERAKVAVWDD ACHE_30142A MAAENDFNNPTPASEPPAVEHLNIKVTDNNNEVFFKIKRSTQLK KLMDAFCERQGKQMSTVRFLFDGTRVRPEDTPDTLEMADGDTLEVHQEQIGG ACHE_30143S MGLKEDLNYDPPCHPRACAIQACLTKNSYREDKCQAQIDALYEC CNAFYQKEGDEGKTVSCPKANLLRLKLKQRAEARG ACHE_30144S MAPFAATRGAGGSSAGFAPINANREPNDPEIIEIDDDDDEEEPV NEDEVGEEEVTEEDEMDEDEDVPYSDEIEGEEDEEEDEGDHFAGQVNGIKARVNGYAK AAAEDSRAGETTTPELFTSAGAQQALHPLRRTADRVTRQIEAFAEKLDRFKQKGNRTD DFGRFQAAYQLVKSYQTFTQDAIQDISKQSTLKRAKMGWSASRNETAAQDPKTEEELQ RLQLEANTWQLLLNLISIDNPAGHEMDKEAQETAFQKLHRYSSDREVWEQFLTADPYA RECVIVMKWLEHTAKTQSEDIDSLIADLEKQAERGQGLWAHGWLYTKEAIKGQKRLRA WPQPLEPNDPGITISLLRSDKSGALITQLDPDAITRQKHLLQKQDQFHERATWMTCWK MVRQGENWTKIREWAQERLEHWRAVSLCGSSVDKVSHVGKTPVDDGTTRMMNFRSHGP WQSACSALAHNSTSEDFERAVYALLCGETEAAFKVCQNYDDYLYVHFNSVVLGRYQGF CKQFQRKLAFSPTQPATFVPEPTGYNDTSKFLQAAKGNERIGAEARNPYRTIQAVILG RGYDSFFQRLASAVSHVANSKSDGSSYVPELSSVPVDESLFIAAQDEDSLRIATHLYL VVDSIGYVRSDTQFFENVSVNVTGYISNLEERDLFDVIPLYASLLPQHLAHSALGQIL LQIVDPRERKQQVRLMEKHGIDIEAVFEDQWAWVSGSVEAVEHSTTVKRYSKVLRRSD GSPYLAPVRKDLIGTSITELDDYMIRSLEWLRYIDGQWGKICHLGAQLYRRFFISGKL AAARELSRRMNLSDLSRESFGFDVAEFPMEMEDGVDASTPEPMSPSKIRLPGSAHKGS RSNGITNGAQQSILYQQSQTMRDLEALILAFDALENFATLWEKLDKYGFLFSLQSLQC INVIRNRRRRDTGTTKNLRVELQEALDEIGLNVDAILDEWLIPADNEPNVSELEEIRL TYIPELFLDYHNALYYAAHVLTGEILVQCMNLGMQVSENEHLTRSFVGAKRMRELVDA LAVSSKAMVNTHSEPGKRLLGGEMLGIWNVKVDSEEDDEIRMARS ACHE_30145S MTNPITTYGLTSVPASPSVLLTSYPGPPQHPTALPITALPIPST PLATRINAYALSNLTPQTYNHSRRVYHYGLAIKNHRFPEWEFSDETYFCACMLHDIGA MEKNLTSTRLSFEFAGGILALRVLQDVNADGDVDVDSTTGLDGADADGDIDAEERMHK GQEIAPQDQAESIAEAIMRHQDLCSQGRITALGQLLQLATVLDNTGAHESLIHPSTIE DIAKLFPRMKWSSCFVGIIKREISLKPWAHSTVLGEEEFPKKILENTLMAPYE ACHE_30146S MDILYNQFFETPAYPVAAFTGQTVIVTGSNVGLGLEAARHFARL DAAKVIIAVRNVSAGEEAKQNIEKSTGRSDVCEVWELDLASFESVKAFAARASTLPRL DVVVENAGIATNTYTKSEGHERTITVNVISTFLLALLLLPKLQETAKKIPSSTPHLSI VSSEVHSWYDLKEWKADRVFDTLSDEKTANMSQRYPASKLLEVLIVRHIAPKLAGSGV ILNMLNPGLCHSSLQREIRSNAFANVAIGIAMKIFARTTEVGSRTLVAAAAAGPETHG AYMTNGREGNAALSPFVKSEEGKQAQEKIWNELSEILEGIQPGVTAVLS ACHE_30147S MQLSSILTFTVALLAGHALANPELQKRTTCQACSVGGIEGGAAC CSAHVCFHRNEHVD ACHE_30148S MSNPSNSNTDPEDSRQPTPLRRERAPTITIDTSAVTPDSPQPPQ VQPAAPQPSLRVSTGNGDNSDTSALLNNGSVSPSDGRSPSSIRSFTSSEAREHDSRPT SPHNFSSPASKMTESMQHSNYLAVPGTRSRGNSIESEDTSPSASSYGGDTFVPDRSQN SRSDLTKDTLENDEDALKPEPGHEGEFEVDDNSFAFSPGQLNKLLNPKNLGAFHAVGG LRGLEKGLRTDLVSGLSVDETILEGTVNFEEATAAAAAETPTSLPKSGAQIRQQQVSR PGSSKSRDESYAERKRVYGPNRLPERKAKSIFELAWIAYNDKVLILLTIAAIISLALG IYQSVTATDGEARVQWVEGVAIIVAILIVVIVGAANDWQKERQFVKLNKKKEDRYVKV SRSGKTVEISIFDVQVGEVMHLEPGDLIPVDGIFISGHNVKCDESSATGESDLLHKTS GNDVYRAIEENESLKKMDPFIISGSKVSEGVGTFLVTAVGVHSSYGKTMMSLQDEGQT TPLQSKLNVLAEYIAKLGLASGLLLFVVLFIKFLAQLKDIRGADHKGQAFLQIFIVAV TVIVVAVPEGLPLAVTLALAFATTRMLKDNNLVRLLRACETMGNATTICSDKTGTLTE NKMSAVSATLGTKLKFGEKSQKDGLSADNAANDLQSASGLPSDMSPSEFASSISGPAK ELLLQSIVLNSTAFEGDHEDGGTTFVGSKTETALLGFARTYLGMGSLGEARSNATIVQ MVPFDSSRKCMAVIIKLGDGKYRMLVKGASEVLASKATRIVREPTKDISEAPISEEDR SILDNIMMKYASRSLRPIGMVYRDYEQWPPRGAAMEEDRKAVKFDDIFNDMTMFGIFG IQDPLRPGVAEAVHQCQKAGVFVRMVTGDNLMTAKAIAQECGIFTAGGIAIEGPKFRQ LSTRQMNQIIPRLQVVARSSPDDKKILVSRLKALGETVAVTGDGTNDAQALKTADVGF SMGITGTEVAKEASDIILMDDNFASIVKAMSWGRTVNDAVKKFLQFQLTVNITAVLLT FISAVASGNEESVLTAVQLLWVNLIMDTFAALALATDPPSPHLLNRRPEPKSAPLISL SMWKMMIGQSIYQLVVTLVLNFAGKSIFGYTTEHEADQLETVVFNTFVWMQIFNQWNS RRIDNGFNIFDGIFRNRWFMGIQLIIIGGQVLIIFVGGQAFSVQRLNGPQWGVSLVLG VISLPVAVVIRLIPDEFVAKLIPTFWRRKKGPELLISDTEDQRRFQWNPALEEIRDQL KFMKTLRGGRLHHLKHKLQHPQELLPRSRSGSRSREDSIPSTPTGENGNGSLSPQPPP SPSPESRRRNRSRSSSAFGPAAAMAGVVAGSIAGWSPIERPPEETESIKFSTDAPHRG LDQQQGIEIHPETAADDQVVGEYNATSSTPPSQNPDLIPFFEHAPPPARAPSSRSHRS RSRSSQG ACHE_30149A MHFSKLLLAALVTPILAHPGEKHDPHVLKRELHARDAFAHMGKR ALDTCSTSLEARHLNKKNVQRRAQRVNALREKRGISTKTQHSRRTLAQLQKWETVEHN KTNVVGYTPYMPEDIIFGANTSCILTPTITDGPYYVWGEMIRENVKEEKYSDGVDLYL EVQYIDINTCRPVEGAFVDIWQANATGVYSGISTSGNYAADGYNSTYLRGIQRTNHDG LVNFDTIFPGHYSGRAIHTHLLTHLNATLLPNNTLQAGTGSVAQIGQLFWPEDLRSAV EATYPYNTNTQDIVSNDDDMWSIEQASAAYDPFPEYVYLGEKIEDGLFAWIQIGVNAT ADYTDNDYYSIAAYRGKNGGYANADSKAMGGGGDAGASSAPSGSMSASGIPSSTGA ACHE_30150A MLIFPTGLTGFTPAIDITSIESIDSDPGRPGLSSVRLVGQGSEY QRLRRTFADIDLISALQLVSGVDSQGTLKKLCQALMAEFRDRDWALEPSPTWQDDISP PPQPRPREDSTLSQHISPEARAELARVASNFPRRHGSADSGVSGMDNSMHRRDTLEGI EIGDPVLDPTSEKFDHYKWARMMLKLMDKEGFPRPPSTGVVFENLNVSGSGSALQYQS NVGSVLLAPFRPQEYLSFIRSGPEKQILRNFDGLLRSGELLLVLGRPGSGCSTLLKTL SGELHGLKLRKSSEIQYNGISMEKMHTEFKGEVLYNQEVDRHFPHLTVGQTLEFAAAA RTPEHRLRDISRKEFSKHITQVAMAVFGLSHTYNTKVGDEYIRGVSGGERKRVSIAEM ALSGAPLGTWDNSTRGLDSASALEFTKALRVAANLAGTAHAVAIYQASQAIYDIFDKV TVLYQGRQIYFGPCDQAVNYFTEMGWYCPPRQTAGDFLTAVTNPQERQVREGMEDCVP RTADDFAEYWKKSPQYEALKQEIAEYREQFPPGGQQEVDFREVKRFRQAKHVRPKSPY VISTLMQVRLCTIRAYQRIWNDKPSTLTTLIGRIVMSLIIGSIYYGTPNASAGFQSKG AALFFAVLMNALISITEINSLYDQRPIIEKQASYAFVHPFAEAFGGIVSDIPVKFFSA VVFNIIFYFLAGLVSAIWYSSREARSNQIQRYEPSQFFIFFLFTFISTLAMSGIFRTL AASTKTLAQAMSMAGVIVLAIVIYTGFVIPVPEMSSVPWFSWIRFINPVFYTFEALVA NEFHGRQFTCSQFIPAYPNLSGNSFICSIRGAVPGQRTVSGDAYIESQYTYTYAHEWR NFGILIGFWIFFMATYLIATELNSATTSKAEFLVFRRGHVPPHLRNIDKHQGGENPTE TPETHKEDKPEETTDVIPTQRSIFTWRNVCYDIPVKGGERRLLDNVSGWVKPGTLTAL MGVSGAGKTTLLDVLAKRVSIGVVTGDMLVDGKPLDNSFQRKTGYVQQQDLHLSTTTV REALRFSALLRQPKTVSKSEKYKYVEEVIEMLSMQEFADAIVGSPGEGLNVEQRKLLT IGVELAAKPALLIFLDEPTSGLDSQSSWAICAFLRKLADHGQAVLSTIHQPSALLFQE FDRLLFLARGGRTVYFGDIGRDSRTLLDYFENNGARACGSSENPAEYILEIIGAGASG KSNQDWPSVWNESQQAKEVQDELDKIQQERASAFDEDGADEHAEYAMPFVYQLWHVTR RVFQQYWREPSYVWAKIILATASSLFIGFTFFKPDSSLIGFQSVLFSAFMLTSIFSTL VQQIMPKFVVQRSLYEVRERPSKAYSWAAFLIANVLVEIPYQVLAGIIAWACYYYPIY GASQESHRQGLMLLFVVQFYMFTSTFASLVIAALPDAETGGTIATLMFMLTLVFNGVM QPPDALPGFWIFMYRVSPLTYLIAGLTATGLHKRSIECASEELSVFNPPSGMTCFDYL ETYLQYSPGQLYNPNATQNCQFCQLQNADQYLAGSNISYGDRWRNWGIGWAYIGFNIF GTVVLYYMFRVKHYNPTTLVRWVVDGAKVVCRVFKRRSGITPKGREADNGRLY ACHE_30151S MTDVQRTPFVRDLASSDRKTRDKALDSLTLFLRSRTDLSLIDLL KLWKGLFFCFYHSDRPLTQQALARSLSYSLVPTLPRPTVHRFLRAFWITIGREFHAID RLRLDKYMYLIRCYVGVAFEIFVKGKQQQPGNDDGGKKRKREEEQAAAKSKNQKKQNK RSKGKDNTDEQSNRISNGTTTTTATTDTQTPTTTTDSDAAKWTDLKSYLSIISEGPLN PLNFDPDQDTSINEKTDYVPMPHGPDGLRYHLLDIWIDELEKVLEFEQGDSEEGEETK PRKVISEAPMDLILGPIETLRVEGLHKPVRTRAAEALQDERLFEWGFKERKVESEDED EESEEWGGFD ACHE_30152A MSLSTVRTESPDTGSRLLEHDSTLIVGRSVSLTLGSDSLAIVDE RASRKSDRDGCCGFLKSKSKETHAISLYNVLDADLSPAGLTITYAKPATRDDVTVNAL QYPISDEEKKNADSWMQKLLNLAYGDAMRYKRLKVLINPFGGKGSASALYNRYAAPIF AAARCRVDVQTTSHMGHATEICEKIDVNAYDAIVCCSGDGLPYEVFNGLAKKANAREA LAKLAVTMIPCGSGNAMAWNLCGTGSVSVAALAIVKGLQMPMDLVSITQGSTRTLSFL SQSFGIVADCDLGTDDIRWMGAHRFTYGFLVRLMQRTVYPCDLAIKVVMDDKRAIKDH YLSYTQRELIKRDPQETAGQSGGLPELRYGTVLDELPSDWEVVPGETMGNFYAGKMAI VSKDTNFFPAALPSDGLIDIVTVDGTVPRMTTLKMMSEVPNGGFFDMPEVITRKAVAY RLVPREKEGFISVDGEKVPFEAFQVEVHKGLGTVLSKSGHLYEAEGPRL ACHE_30153A MASFLENAYSLVHQDNTADQPSLQELKLQLEKGTDETKMETMRT IITIMLNGDPMSQLLMHIIRFVMPSRSKPLKKLLYFYYEICPKLDSNGKLKQEMILVC NGIRNDLQHPNEYVRGNTLRFLCKLREPELIEPLLSSARSCLEHRHAYVRKNAVWAVA SIFQHSEPLIPDAPELIQTFLDGETDSTCKRNAFAALMSISHTKALEYLATTFDSIPN TDELLQLVELEFIRKDAVQNSQNKAKYLRLIFDLLDASTSTVIYEAATSLTALTSNPV AVKAAAGKLIELAIREADNNVKLIVLDRVDQLRIRNEGVLDDLTMEILRVLSSPDIDV RRKALGIALEMVSSKNVEEIVMLLKKELAKTVDETYEQNIEYRQLLIQSIHNCAIKFS EIAASVVDLLMDFIADFNNNSAVDVISFVKEVVEKFPKLRASIVNRLVSTLSEVRAGK VYRGVLWVVGEYSLEEKDIREAWKRIRASLGEIPILASEQRLLDEVPDESAAVKEQLN GAKPSAPTGSRKVLADGTYATESALTSQSAAAARLEAVKAAQKPPLRQLILDGDYYLA TVLSSTLTKLVMRHSEVSEEAARTNALRAEAMLIMISIMRVGQSHFVKAPIDEDSVDR IMTCVRSLAEFSEKKELETTFLEDTRKAFRAMVQVEDKKRAAKEAVEKAKTAVQIDDA IPIRQFTKKTDVEGAEEIELDLAKATGGDSTVETVSSKLSRVVQLTGFSDPVYAEAYV TVHQFDIVLDVLLVNQTLETLQNLSVEFATLGDLKVVERPATHNLGPRDFLNVQATVK VSSTDTGVIFGNIVYDGPSSTETHVVILNDIHADIMDYIQPAHCTETQFRTMWTEFEW ENKVNINSKAKTLREFLKQLMESTNMACLTPDASLKGDCRFLSANLYARSVFGEDALA NLSIEKEGDDGPITGFVRIRSRSQGLALSLGSLKGLKASAA ACHE_30154S MAQNDPFYLRYYSGHSGRFGHEFLEFDFRTLGDGRSAAVRYANN SNYRNDSLIRKEMCVSAAMIQEIKRIIKDSEILKEDDSKWPQKNKDGRQELEIRLGNE HISFETAKIGSLVDVTESADPEGLRVFYYLVQDLKALIFSLISLHFKIKPI ACHE_30155A MSTLNVNPEYSDDFEHKPLPLKLYPEYDDEEDLPIKKRLEALVN RDMSPSQAAIDFDTTITEVTNRRQKEVMKRPDLQALTPEERAQGVNMYDLVPNPRLAI HTIFLSIARLCVTTWLLSYRT ACHE_30156S MAAAITSWVLNPIQSLTMSRPRTRELWCALSDGLQKSFPVECVA DQDNINTLKKKIWEEIREKIKDTIPHYSDLKLYSPVVQLNHEEKFRIDDGEFLRPRRM ITTNPLFPESKDPDVDIVVVVSGDTTTRKRKHSESQSGESIVTTWLLSYRT ACHE_30157S MVFLIKKWEELDSGDPWDSFIELVKKRNKELQGVPTTGFTVTSS QSEQDLSWVLTSDTVILVDEAQVTYNDAALWNTIIKERQSLTCLYNFRLCLFCSYGSP RTGPDQTFFTPVTLFDEQRISLTPQNQSCSPPIGLFYDKEEFKDVLSRLLTYKYSERF TFDESAEDYIFALSNGHPGAVESIVNVLFQNYRHDIKYETIRTLTEDHVIWFLEDTAT VFDKLSRESVNRSFPHIKRCTSKILKILNTITEEGSIPFDINDADIKFCYQNGWIHRV ALDGDNIAVLPSRLHEKYIEYSIGTMSQPLPARFNSLTKLCKEILSKFSIMNLRHSAE GCHNLASLVSYLGF ACHE_30158S MSTASQPRPVEAQYQAEFYRGFVHTAGQGVPISTEWSRTRDGRV DFYIPEKKWAVELLRNHIQVNEHISRFKEGGKYHPWLKEKMVKDWIIIDCATSLPTNE FTEPRLWHAVFINDYSVLQLYNHQQSLMMSVHLKN ACHE_30159S MASKQIDVDVLVIGAGPTGLGAAKRLQQLNSASWLIIDSNEKPG GLASTDVTPEGFLFDVGGHVIFSHYKYFDDCLEEALPRDEDWYEHQRVSYVRYQGRWV PYPFQNNISVLDKEDQVKSISSLIDAALDARARVVTDKPANFDEWNRRNVGEHLNEIF MRPYNFKVWAVPPSKMNATWVGERVAAPNLKTLTNNVILNKVAGNWGPNATFKFPARD GTGGIWTAVANTIAKDKTRFGDHGKVINVDADAKNVYLADGTVVHYGSLISTMAVDYL AEALGDTQLQQLCKPLFYSSTNVIGIGVRGTRPERIGDKCWLYFPEDNAPFYRATIFS NYSPYNQPDESVKLPTLQLANGEKPASTDESGPYWSIMLEVSESSYKPVNQDTLLADS IQGLVNTELLRPEDEIVSTYVRRFDHGYPTPSLERNGALTEILPYLQSKNILSRGRFG SWKYEVGNQDHSFMLGVEAVDHVVSGAVELTLNYPDFVNTRRNTERRLKGMTTVIR ACHE_30160S MPTPSDNTPQLSTTSLVDDISSHTTCPPEVERLPARSDSPDPSA GAERDAIVNNAPSPARTTAHPMLMRSEDAATADPMRVDSGAGTSSSSAMKTATKSEEE PAVGRLSPAGEPSCSSQQPSSVANSPSVASLLSYEFSNVRLLPNYTSSFLRPGSKFTG TQQSDRQVYNVDVEIKHVDMAESYLCGYLRIQGLTEDHPTLTTFFEGEIIGTKHTFKT RHEAWGATEKTDMNHWARFPAWRPLARQAKKPDFTYRNFAQREHIFMRWKEYFLVPDH RVRTISGASFEGFYYICFNQIEGTVTGIYFHAKSEKYQQLELKHVDDRGCTPALEFR ACHE_30161S MRFLCLHGMGTNSKVYEAQLAPIRHRLDPTYEFEFVDGLVECGP ATGVPSLFPGPFYCYYNKPTAENLEAAYDLILEIIEEEGPFEGILGFSQGGALAASLL LHHRKTNPHAPELFNLAVFTCASLPFDLQTAHQVKKYNTIIDPHTGEVDVRDWVEGDV VEPAEINGFITASELGDVVLRRYHPDRESARIQIPTVHVMGELDPFLPQSRVTAGLCS QQETIVHNQGHNLPRDARFASKVAVAIQRAISTAMFQH ACHE_30162A METVLREACDNCHRRKTRCPTDGGGPCTNCRASGQVCTFSPRNR TGRPRVRPSRAKRNRARTPSLTQTDGDNNAGSNIPIVFDPVPGCTTPIPSIVLGGAEG VHASLPDESTLANDPLYMHSPWESSLEPFPMSLTEPLIPFDNELPFFLSEEVDSLCKS PSQITDNEVSQRDLAFLSPNKDHSVARTTSPALAPERHPQQSYMEDGPERKENSLITV YGQLSQLLFALHLAHDTFCRGGINDRNSIEQIFSTVSSLCDVMTWLSQSKSPASGSTS SPCPLLIISMVSTVVDIYRRVLDGLQPSMGLMQRPCSLGYALPSPPISITPQSSRQQQ QQQPSDTHTRLRCLSDSITMDFQLGLLDGIFDWGCSETNNEKEVRRKLEDARKELQVF MEEWKKMA ACHE_30163S MGSTDLEALQNSDTVNCQGSNAESSGKLPVAHLTHLSGWKLWIT IFCLCLGLLLSALETSIIATSLVSITSTFLVIFARMSDLFGRKPTLISALSLFTTFSL ACGLARSMEMLIIFRAFQGIGGAGLYSLAISVIAEVTPIQHAGLAVGMMSSVFAVASL LGPILGGAITSHTTWRWVFYLNLPPGVIISILVIAFFPRNAGPLPITWTTIQGIDFIG IAASLAGSVLLIFALESGGTFYAWNSGTVVACFVVAALCFIGFGLAILTAFLTGFPFM MTLIFLPQRLQLQNGLSPVQAGVDMLALLLLSAFGSFLAGMLISIFGIGWHVLVASLF LQIIGLSLMTTLPTTSGPVPSAQFGYQVILGLGFGLALSSLAMTARVGMKEQDISICM GSITQVRVLGGVIGIALGQAITTSRLKSELLPVIGASKLTELLSSTEAVKAFPAAEAA LVKECYGRAFALQDKIMIAFTAVSVIAMMGAYVKDTRSPKDQAAQQSHSSSMESAIIS SSPPRIEMSLGNPTEASLSQEFEKATIGTFIWGKRLSQASTPREPQTGSSMWSTIFSP RGPQIGMAFGNYTEDSLTSQFERATRHFV ACHE_30164S MSSPPGLPPYIVTFGPQANCTLEICPIQYSLYGYRPSLAANSSF IGLFGLAGLVHIWLGIQSKTWFFMGAMSVGCVSALLGYVGRVMMYYNPFNFDAFMLQI ILVTTTPIYFCAAIYVTLAFTINEFSPSLARFRPRYIYWVFINCDVVSLVLQAAGGGL STSTSGKSQIGVDLALAGLAFQVFTICIFCALLGDYLFRYSRSGLLAANPLHSRFLIF FAFLVITILMITIRCVYRLAELHAGYSGGLIRDETLFIWFEGFLILASVYSMMLGHPG LVFQIRKKEGAGPQRPQAAEL ACHE_30165A MLLLQYATSGWLVATAVLSLSLYSVALVVYRLFFSPIRRFPGPR LAAATFWYEFYYDVVLGGQYTFHIAHLHEKCGPVVRINPHELHVHEFDFYNQLYTAGG RTRHKWYWASRAFGADFSTFATERHEDHRMRRSALNPSFSTAQVRKLEPLIQSRVYSV MRRVRQFKEDGSVLPLDVMFGAFSAGRLESTDLARAMTDNPNKDVITEYAFRRPGRKL EAPDFDDDFHTACINGGKQLFLTRQFPWLLKVIRTIPPHMTLKFNPSMSSFFAMHKDI AMQVRSVLDEPSSTNKAPTVFHKILDSKIPEHEKSFNRLASDAGSLVGAATITTSWAL THAVFHLLNNPSCLKKLKTELADALTPASHDHGETILPILEQLPYFTAVIQEALRLSV GVSTRLARVAPDEVLTVKDSTRTEGEWKIPPSTPVSMSQQLILYDERIFPSASAFRPE RWIEDPGLIRYQVAFSRGSRACLGKHLALAEMYLLLGGLFSSYGSPEVRMPGDLGYLE LYETDKSDIECAVDAFLPLPKVGSKGVRCRVLAWEHAK ACHE_30166S MFQSNTTKPSFSGIEEDPVMPIAIIGFSGRFPGDAENPTKLWDM IAAGKSALSDIPKDRFNVDAYYHPHHERHGILNVRKAHFMKRDISAFDAPFFTMSIPE AEAMDPQQRMALECTYEALENAGLRMEDVSGSSTSCFVGCFTRDYSEMLGSDPEDLPL YHGTGTGSAIMSNRISWFFDFKGPSISLDTACSSSMAALHLGCQSLRTGETTMSIVGG TNLILMPGIMGSMTRLHFLSPDGKCQSFDHKGNGYSRGEGAGFCVLKPLDLALKDGDV IRGVIRNSAVSQDGHTPGITLPSGDAQEALIRRVYAEAGLGLADTAYVEAHGTGTPAG DPVEAGALGRTFGSARDTGNPLIMGSIKSNIGHLEGGSGMVQVIKGIMMIEKGEIPPS IWYEKPNPRIPMEEWNLQVATQLMPWPTAGLRRVSINSFGYGGTNAHCIIDDAYHYFQ SHRITGKHNVQAIESASPMGTPDSGVDLSSNRSDTMSWTSVSEYFSNPFASEMPSCPK LLLWSSNDQGGVERNANAFARYLKDKVSDDLTEKEEKRLLAKLAITLANRRSVLPWKS FAVASSCKEAIAQFESLPAQPVRTSSGRTCPKLAFVFTGQGAQWFAMGRELYAQPVFR ASLEAAGRYFVTLGAYWSLLNELFRDEETSRLDSPDLCQPLCTALQIALVDLFKSWGI KPTAVIGHSSGEIAAAYAKGGICREDAWKIAYYRGHLSSCIRGFAPNLHGSMLATGLG AEDSQKYISRLPQGNATVACMNSPASTTISGDSIAIDELEQMIKRDGHFARKLRVDIA YHSPHMQVIAEKYRQALGDIIPLDATETQVQMFSSLTSERVKTNSELGTDYWVSNLIC PVSFSSAMTSLLQYSEKKSRRRNNRAFVEHLIEFGPHAALKGPIKQILISDSVGSLGE MSYQSVLERGKNACETAMAVAGRLFQNGYPVTGDSLFDDLDSSVKGGYLVDMPPFEWN HSLKYWADHHTARHHRFRKNPRTDLLGVETVDGIDAEPRFRNILRNNDIPWAQLHKVQ GAALYPGAGMMIMAIEAMCQRADPLRPIAGYELRDIIISKAIVVPTDESGIETMLNVR PYRQGTQSLDAAWQEFQLYSRKDTWELNCSGLIRIDYKTSPNTVFVDEGGLAAERYAS QYQAVQNACSRLQSPRDFYEQLNSIGLYYGGVFQALTEIRKGDYCGTCQVKVSDTKSI MPHQFEFPHVIHPVTLDSIFQMAVPSSLKADEDLNAPRVPVGIGRLYISADVPKSPGD ILNGYATYKQTGFDQGESNIVVSGEQWDKPLVVLEGMRGRRLNIKTSNDTDLRKIGSH FHWQEDLSLMRPEQLRKLCVSATGHVKKENRQVLIDIEMACMVIIKRVMQECSVEESE SYAWNFKLFYDYMRDYMGLAKAGSLGYQLETPGVDWLNMTPEAEEFLLKRVSKTSTDG RVLIEHGKHLPQILRGEIPPLQILMSDNFLHDFYQSGIGTKQHYAQMTWYVDQLAHKN PDMKILEIGGGTAAAALPVLQTLGGSGGTEPRFESYTFTDISVGYFEKAQRKLAPWVP YMNFAKLNIEEDPVTQGFEEGGYDMIVASNVLHATRSIGKTLQNARKLLKPNGKLVLS ELTQFQKMRFHMVVGSLEGWWYGEDDGRHHGPTLSMDQWNDALLQAGFDGIEVDFKDF PDPRDTGFSVMISGASASEKPLAPKEVIIVLPANPESDVINASEEMKARLQERGSLVL TASLQDTLVLDLQEKSCLCLLDANQGNAFLPAISSEDWDALKHLILTTQNITYVTRGG AVNSENPKSNLMSGLARSIRSENYHLSFTTLDAEYGRHLADEEALTAMLEVFCHASHT KHSDRPDWEYAIRNGMPMIQRVLLEKGINDLSSSWYAAPAPEQAPFKQDGRSLSLGIG TLGRLETLRFEDDRVAAEPLGADDVEITVRAAGLNSQDLMVAMGQLSKPGLGVDCAGI IRRVGRNVKILEPGTPVMTWKMGTFGNIARAPAAMVQRVPDGMDLTTAASLPLIYSAA LYSLSTVARLKPGETILIHGAAGGIGQAAIMLAQSIGATVLVTVSSEAKKALLTTTYG IPESHVLNSRDDSSFVQGVMRLTNNRGVDVVLNSLAGEALRTSWGAISRFGRFIELGH RDIAGNTGLDMAPFLRNVSFHSVNMLDLLDWDVATASRVFADAVDLLRRQVVKPIAPV QAMPFSRVEEAFRLLQTGEHTGKLVLEAHDDDLVSVVPAAAAPVRFRSDATYLIAGGG GGLGRAIALWMVGNGARNILLLSRSGTKKAAAKDLVSRLTAQGARVEAWPCDVSNEEQ VAGVIERCRLESWPQVRGVIQGAMDLQDALYQNMTHEQFTGALLPKVNGTWNLHKHLP EDMDFYILLSSVIGIAGSPCQGNYSAGNTYQDAIAHYRRSKGMAACCIDVGMMLGVGY IAEQGGEGRVHDNAKAWSFLGIHEHEFIGILEATIRGESTPGSVVPPQVITGLGTGGM LTQMGEKYPWWFKEMKFGHIKRVGAHQQSNKSSGKDEISVSTLLGKCQSLDEASDIVT EALVKKLAKSMVVSAEDIEPSKPVSSYGVDSLLAVELRNWIYADIKAEVSVFDLLSSV PITSLAGKIAGTSEMVPKFSDE ACHE_30167A MARPKRLLVVVAGGGYTNAAPLLELSSILAARGYRIDFATLAGR QQWLSNSPFISRLHIVGPAISKEHERESYEQMSQWSTNSICNWDVIFAAKKYLESSWP HVYHNVTQLVLDPATRPDFILADYLVDAVRDVCFEHDIPFAMHWPQMPTGMMHASYIP GTPGLQVEILTSEFATLWQRLKNAVRIYTALPHFLEYQRWVKQMRQSAGVSRQLPLLP RPDYLCLVNSFFALEAAKEIPPNVAAIGPVLADEIDKLTEPFLSFLQSRSRVLYIALG THVLLPHRVLANLLSGAITALQYGVIDGIIWAVSANARRQLDTSALLPSPTSSSDETI LGDLLENEHPSILTADFAPQRPILHDARVAVFITHAGASSTNEAVAAGIPVITLPAYF DQIQNAMRLRDAGVSVPLQKETLSAIDVTAAITRILEDIGVDGPIMTNVRRVCGIARV AAHRKHLAVDLIEEVLIDWQGRQRERRLGVERPRGMHLETAEARMPRWKAGNWDLFGS MALPGLLIAAIVMACFF ACHE_30168S MFFYARKKVYNTVGATVGLVSESITAKKAHKAESDQQQVADPDQ ARELGERDGNEVDEVLHDHERQWDLDEAQDRLLGTAQPPATNEPIDHVHLAESFAQQY PLPPSYERPFLQYPVVLPQRRPRTRARGFVRAYAPDLNAFGINQDMFLDFIDRANQSC RGFEALGMLNFAALVAIPLGSPGIGMAISVVLQLAIKTTIAMDGRRKSNNFFDAANRD FFMPRGLFCLVMTWNPEIEDPYVTFNMNQAVDSTMAGGGSGSFEKLKHKFQKANAESG FIPEFAPLEFPALDKMDVDPETQKKHDTMKAKARRKIEFAAGYRDKRAQARFNAEDPD SALVQGPEPEFTSRYADPNHPASEGSPIALLTGGRLTEKQIFKYTAAGFAYNQGKKAY AKHKERKASQGELADSVHPETESSPTSNGEAKPKEGVSEGLNKVTNKLKKKVVYLMIV NMPSEEELQQAREALQ ACHE_30169S MDEEACTVDKKPVVDTPSLEDGEKPPSKKDLEPFGNEETAEVKY RTMKWWHCGMLMISENVSVGILSLPSAVATLGMVPAAIMIVFISALSWYTGYVIGQFK LRHPEIHSMGDAGELLMGRFGREFLGVGQLLLLIFLMASNIVTFNILMNVLTDHGTCT LVFGVVGLVICFLGALPRTMEKVYWMSVASFLSIFVVVIIAMIAMGVESKGHVPIQAT TTVSFREGFLAVTNIIFAYLAHVAYFGFMSETEDPRTFNKSLAMLQIIDTVLYLVSAL IIYRYAGPSVKSPAINSLSTVTSKVAWGLAIPTTILSGVVLGHVACKYIYVRIFRGSD KMHQRSFLSIGSWVAICLGVWVVSWVVAESIPVFNDLLSLISALFGSWFSFGFPAVFW LHMNYGRYFQNVAKSFLTVVNLAVLAISCAICGLGLYVSGAAINEDSSSSSWSCANSA ACHE_30170S MASRITWIHPVRAIQVLLGIAVFGLIIYVLSVYKYDSVSEFMLF NGIWTGFFATPYLALAPIHFAKLSHRVVVPVVETSTMILWLVGVILLGIDLPSSKKCK SAGCEATLAVVVIAAVECALFAVTVVRAIRSSVQAHLRTAARAQQPAPEAEASGANRA MESV ACHE_30171A MQFLLHICHFLALSHLAKLPVQEGEIARLQGPLGLVARLFGLET GYWSSVSCSQSPRMGPLAYSYYHGYVFEKSDCIVRPLESVSNTVERLSVGLDHYPVLF NERDFNETEVSRYEHVQEALKKFTRLKTVELPICLLVSYDVYPENDVDLSTIWPDTLC EVGLRIDMKASHDNEWDELRMVDWVYDVLLKQRDAAPHLEWITIRFWEPFDDDLWQEY EKRLQAKCEEIGSPFEFMNDDLSRRLWMRTISWLSTSLGS ACHE_30172S MDGVMRPTAAEEHPQGQLLGEPRATWALLQFLANTSVALPQAHL ERAAERARRDEEWGLEALEAGGGN ACHE_30173A MAAAITSWVLNPIQSLTMSRPRTRKLWCALSDDLQQSFSIECFA DQDDIETLKKKIWEDIKEEIKDTTARNLKLYCPVVQLNYEEEFDVKNGEFLRPRRIIT TNPLFPESKDPDVDIVVVVSRDTTTRKRKRSESQGANIPRTLSIAEHQLICPRERTVS KLAAILDDMNIVHVRGTPASGKTRLSELLRDYYRKEGRKAFLIKKWEELDSENPWGSL IELVKKKNKELEGVSTSFTVTSSQSEHDLSWVLTSNTVIIVDEAQTTYSDDTLWNTIF KERLTPNVYKFKLCLFCSYGSPATGPDQTFFTPVRLSNRQCISFTPQGQQNSPPIGLF YDKEEFKDVVSRLLTFQYEERFNFDEGALEYIFALSNGHPGAVTSIVDVLYEAYRQDI KHEHIRTLTEDHIIWFLEDAATVFDKLSTRPVNRSFPDISRATNGISNTLCKITEEGS IPFDINDASIKFCYQKGWIHRVALDGDDIAVLPSRLHEKYIEYSIGTMSITLPARFDS LPKLCKEILSKFSIMNLRHSAEGKKMSTASQPRPVEAQYQVEFYRGFVHTAGQGVLIS TEWSRTRDGQVDFYIPEKKWAVKLLRNHIQVNEHISRFKEGGKYHPWLKENMVEDWII IDCATSLPTKEFSEPRLWHAVFINDYSELQLYNYQKVLMMSVHLRN ACHE_30174S MPPQPFIFSGWETQQQQPDQSTAFNFSAIVPSIEDVDHDTGRDP HSRNAGRRTSSESDFESPDEWIHTPSHSSVAPFEPANSLGISERLRELSLSPGQSTST NAGNTTSLRADTSERHTSLSDPLPSPSQLDLDGDRMNTGSENGSNTSSLDDVPQGPLP QAPVYDSDLQAVLRDVKEHLASICSDMERCSLIADQESDLSKQFEQVRMASQLDCPET RTVGFIGDSGVGKSRLINSLLDINGLARSSAEGSACTSVVTEFRDIDAHHPNWTIEVI YMDSEEVKELLQELLQSFRMYYTDVFREVTKIEEQERIREQSTRAWATFHSMFRNQPD LTHEFLADQTEGAYSRILGRLQQWAQHSCRQRPGGPVLHHSVVLGSFERCQSYLDTLT TDLHGEGQTAIWPFIKLIRVYLSSPILRTGLVLADLPGFRDLNFARVRATDRYLKHSC SEIFVVTTISRCITDQSINEIKSRCNKGQPMRIVCTRSEDVIADEMARKYRDLAPQIG RLERQVRHAGSRLAEALGRSRDGSSDDLRELLNNNHRARFELDRFLIWNRNQRIEAPL RERDEGVRVFCVSNNLYSEHREGSFPYGEDYLNLSGIRQLRHYCQLVPAEAQFRFIAT FLEHRAPAVFRSVKQWTLTGCDNVTAERAKSLRQVLLDVEKVFRETLILQDAGFRTLP RRLEDRFNEEILNVAYERVFEWSESALQTSRVWQGWYHNTYGAFCRKYGTYQTGSVGS HCWNTELLEGTRTAWEGPWLSLERWILSQTNQLNGAVRAAFQKNIECLNDNLRLAPIA LENLIDNMSDREACIIDSVEQLLNRLIEELSLIKEDALYGHASSYMAGLMRPVYNKCN SDSGGGVDARRKGYMRDHLTNHTVFLKLIKAINTDCRSLFEKTQSELRQVINQEMANL CSDLHVTVAEGSEMTEATRFSGVASRLRDKVEAAETKIERAHEIVGRLRSSFS ACHE_30175S MEAQRLRGGSISHASPSGVGNVASQLAETQDMPVTPTPTHWLSG NAMQPVLNDGSMESVSMDENEGQEHWQPGGSSRAPSRASEARSGIRQRKILQRSWIGR PKHQTSLLDVSKQAQVLVGALEAARTQQQDIYQMVQEQVQAHLAEELSNWKAEQQIHE GIYLERITKLELEVSKLHTELAEAQHTIQQIGPMKQDTPTTNAQSSKMNHHDNNKIPR TREVTSQKSKQQPTFADLAALLSTRPGGQEWQEVTVTTWLLSCRT ACHE_30176S METINVYDYLENDHTLINDLIDLNLDSSTAPDSIFETSLYDEQN EQILHDRNTARHDVTPPNQMPPVTVLSHGARNPMTAAKVEYIDFLPDYPASDPNGYAY IINTRALHPDVVGKALTSFQYSHRGVGSSRETYSTFLRCQVKRYALQCTGVRRCEYLS PELQGLSHTHATESIFEHMRDLHAQLIQRDTSMEERRSRSVFRAIQKRFAVNNGCSFP QPGCRPIFGRFSPDIAGVCHPFITCVNRLSADNKTLHFFLNLSSSSVSYDIPLLQSLF ESESPILDNELCGVIEQKTSRRPTCGVSHPQGPGKMTTMGCKVSFRILIPLDLDECSY AVFLSTGTHSHPPPPPSKPPQIILDEILDLMKRMRNPDLTLSTFLRSPQLKEFCQKYD GKELSQIHYSFVNRDKLSALTTKIRALLFPQGRDFNGVVFEHQRNVKYQTYVRRIFAE NDCIMIICGFDQQIQFLASLSSFEVDMSYKRVKGAFNEVIFATFLPDHNKVFTLLRVF INQETAESYRLLFQQVFSLVSEVCGRPVKFHYLHNSGIKAVVVDMDAKQMSGLGHYLQ SLDPLCRPWTWQLKNIIIFCHVHFKRTITRLLGSDRDLGSEELYHSPWFRMKSLLSCE SEDDYYHLIALLQDNESPEIVQWAEHKKHPVIAAGLNKACSLMNPTFFESTRNITNAV EQSHYKSYWMGVYDSLLGATLGSFTIDQRDIDQYNARVAFGIYHNSRDNSLETRYYNH LGNDNTRKRKQANHSDSQQSDIEDDILFQSSSSGFIPARRRGSSHSSRGRGSRSPSVV SLRSRRSVNSPSARSSFHAQTPNLQQTAMANIQFQYQNQQQERDLEYDRERVRLLERQ LELEERLQAIRGRTSSYY ACHE_30177A MARVKANEAYKRYVELGKEKLDLPEFEVTSKGYLVPFVGEVYCR AEGCENVTKFVSLNNLKKHIRTKHTHTYDLLDGESGGRPDQEAESAAVKFYKAVIEKF DAKQSAPALPPLPRRRDGDVHMTEMRRLVRRMGHMVPCESCKDAGKAKLCCKYNKCQH FALFNSGDQEESSQEEASDKSDDED ACHE_30178S MDSKNKNCAECTRRGRKCQKQFHSEREWDSLHRDQEKLAFDLEE AQRLWLEHSQKMQEAMSKIIRLQKQQRFLKERGGRMLEHDSKLMEQLDEEDPLSAEDL QELERLADEEEAARLAAVSNNPSLTQMMNSPSFWENFDSAVAGGIPSPTGDNPSSSR ACHE_30179A MEHPEILHPDLVEPVPSDDLLERHYPIRTTSDYAENYENQIQTP TAAVASSPPQSHGLTPWPNAPITRPRGSSMGAQSALDKMPPVDGHPLAAEREMRPQRP SGPARTPSNTYAPQRRPPQYHSFQDERQRSSSAKRNSRRDANTQYRAQEKAYVQRIRA NPQAWYQRFDEAQNMMPIDSDLEEPSPSSEVPFEDDAYDPDVQLFIARDNQPSIDELK NPKNQERLEWHSMLASVLKGDVVKQEKQRLLGLTDNKRSAAQNSAIWLGVRARTCGRS IALQRKLIEEARAGLGPLIEDIINFEIKGEKEIGKPAVKQVEDVVQQIEKMEMMYSTQ KELEMANPRVASEEYCSSRDAVFAWHNTTALINTELAILQKWVGNNELDFVKPRVKHV NNDLADDSSFLDRIMKEDGLKTLQGRHNMLHGIGEVIQKAKSTLIENASSFAKRHLPP YIEELLTLINFPSRLIQEIIRVRLSYARKMKDPAQQSPILVDQMISQFQILMKVATDI KQRYLDISRPEPGWELPPCIDENFDTVVLDAMKYYFRLLNWKLNANKNTFKEAEILEQ DWEFSNEVGRLLEGGDIEVAEQFSALTAKSLQRLMIHFEREITVREDEDPLDMDKRYK SVLDSTRIRQRKLYRFSRFLRQLFENATEYNVSSDIAYGFFEALLASNHFLVKSDSGP KGIYMFAHHALWGRPVDIQAIMATSFREEDSTKDSPATPYILVVRPEKPITWTGREME VEMLEQPADVRLGKLRLIVEGTQQRLSNARLELAQLTGVQLDMAVEQRANLARVNVEL NKIKKISFKLSMSIMDSVAIIRQQLKEKGVENQELIQACYAFATEFGKRSSNYVDANR HAMNSARLVELSLDWVSFICDDCDAADRKTFKWAVAALEFAMAITSSRHLISMDDVQF GHLRLKVAGCMSLLISHFDIMGARSSLAAQAEKKRIEERVGRGKFGGGRILTDDEATK LVREQRLAHLTALEDSRVEEDAKRQALGRVLEGSNEADRSLTVLSSSATNVTLRWQQG QFIGGGTFGSVYAAINLDSNYLMAVKEIRLQDPQLIPKIAQQIRDEMGVLEVLDHPNI VSYHGIEVHRDKVYMFMEYCSGGSLASLLEHGRVEDETVIMVYALQLLEGLAYLHQAG IVHRDIKPENILLDHNGIIKYVDFGAAKIIARQGKTAVPMDAFNAAGHKEALVPRNAQ YTHQRKNQQTMTGTPMYMSPEVIRGDSSNFVHRQGAVDIWSLGCVILEMATGRRPWST LDNEWAIMYNIAQGNQPTLPSRDQLSDPGIDFLRRCFECDQMKRPTAAELLQHEWIVS IRQQVVLEPATPGSENGGSMSGSASSASGSRNNSFYM ACHE_30180S MDPFQELRNEFSSTIRALQNEIESIKNEPKPLQRPKPCLPDPEK FNGQSLKFDTWLASMKAKLRIDAPAIGDAVAQFYYVYLNLESKVQALVLPQLSYAEDT NTWDYNTILDQLSLVYDNPNKIQEAEDHLLALKQDSGESVAAYIAKFERILYEAKGKD WPDVTKISAFRKGLNPTLRGRLNQQLNLPRSYIEFLRVVQQLGSHSFSSNSTNVSHPQ SHQSGSHTKSDPMDLSVININSLSAASTSLDERNRRRQQGSCVRCGSSNHWVKDCSMK AHKESKQSWNQQMLAKLETNGIDDGGD ACHE_30181S MYVDTSKLATVALATILSANSVLSAPMAGSSSTLLTRDPRGSHG STSHKVSDVTGAISDGTGAIADAMTLQEYLNQKRSPRGHHSGSGSSTGERVSTWTGAL GDITGMGADAATIAEAANNQKRSPRGHHHSGSGSSTGERVSTWTGALGDITGMGADAA TIAEAANNQKRSPRGHHHSGSGSSTGERVSTWTGALGDITGMGADAATIAEAANNQKR SPRGHHHSGSGSSTGERVSTWTGALGDITGMGADAATIAEAANNQKRSPKGHGSSHGG SSSSDKALNGLDAAGNIVGIGADAATIAEAANNQKRSPKGHGSSHGGSSSSDKALNGL DAAGNLVGIGADAATIAEAANNQKRAPKGHGGSSSSDKALDGLDATSNIVGIGADITT IADAANQKRAPKGHGRPSSSSSSSDKALNGLDAAGNLVGIGADAATIADAANQKRSPK GHGSSSSHGGSSSSDKALNGLDAAGNLVGIGADAATIAEAANQKRSPKGHSGSSSSDK ALNGLDATSNIVGIGADLTTIADAASQ ACHE_30182S MSSDSKTIGTVIRIYDNPSETHPYPTGYIHDLSLIAGKKLPTIA STVGGPRITDWASYTEALSGAPVFAMRLSTPGANENILQNHLNPADLQCENMQRATVV GTQYLWDRETRLQSAALLRRTEDESTMIGWLASVLCLGRPDDEVAKAVVFHNYRTRFL VGGDIRGGVSCGLLDAGFLLPEEVRAARIV ACHE_30183S MSAYPVPYNGSETGTGGDSLREDLNIYYNAGDIAWVITSTALVL LMIPGVGFFYSGLARRKSALSLLWLSIMSVGVVSFQWFFWGYSLAFSHTAGEYIGDLN NFGLKGVLAAPSVGSDKVPDILFCVYQGMFACITVAIAVGAVAERGRMLPCLIFIFIW STIIYDPLACWTWNSSGWVYKLGGLDFAGGTPVHIASGSAALAYSLMLGKRRGHGTHE LNYRPHNVTHVVIGTVFLWVGWFGFNAGSALSANLRAVMAAVVTNIAAGVGGVTWCLL DYRLEKKWSTVGFCSGVLSGLVAITPGSGFVTPWASFIFGVVGAASCNYATKLKYLLR VDDALDIFAVHGIGGIVGNILTAFFAADYIAHLDGSTKIDGGWINHNYIQLGYQLADS VSGLAYSFVGSCIILFLINLIPGLGLRAPEENEVMGIDDAEIGEFAYDYVEITRDVIN GVDEGASRHTVTPIEGNSTVETKVVGGA ACHE_30184S MAEPDASVNNFPQDPSDFDSNPRISFSKLDDKYILETEDGNEFE WDTALKRWIQTLDEDLLRKQQEAYKVEGVDENEQITAQQLKKKRKQQALDDESQKAKK QRTNTAVYVTSLPLDATFTEIRDLFSKCGVIAEEIDSGRERIKMYTDDNGAFKGEALV VYFRPESVNLAIQMLDDSDFRLGQTGPTGLMRVQAADFSFKSQREAPVQSNNRDKKKI IARTQKLNSKLADWDDDDPSIMANTSSKLEKVVILKHMFTLEEIKEDPAAILDIKEDI RDECSKLGEITNVVLYDKEPEGVVSVRFQDPEAARACIQVMDGRYFAGTRVEAYVSSG KEKFKKSNEKREALEDMAERGLDAKDEEEESRLDEFGTWLESSHVVENTAK ACHE_30185A MPDSEPVIVGLSNTTSSGDGSPIAYTDSESGVVGLESMSDDPPC IVGMACRLPGDVRSPSQLWDMIINQKTGQGPTPPIRYNVDGYYHPDGNRSGGINVPGG YFINEDIRQFDNGFFGINNLEATYMDPQQRKLLEVVFECFESAGASMQSMSGSNTGVY VGNFSVDYQPMQTRDSDYLHRYTSTGSGATIMSNRISHVFNLHGPSFTLDTACSSSVY ALHQALTAIKVGDCESAVIASANLIMSPELHIGAAKSGVLSPTGTCHTFDASADGYGR AEGVNAIYVKRLSAAIRDGNQIRAIIRGSAVNANGRTPGIALPSGNLQEAVMRKAYQN AGLDFAETDYVECHGTGTPVGDPIEVDAVGRCFFRPKGQAPLLIGSVKTNIGHSEAAS GLTSVLKVVTAFEKGQIPPTHGVVKLNPKLIPILEQRNLKVVTQIDQWPRALRRASVN SFGYGGANAHVILESAESYLSQYFPGRQVMQKRQLENSDQVVVLPVSAASEKSLETRV QDISRAVSQLSDAENLQNLVYTLTSRRDHLRHKSFLLAKYEGSGKLVEAVEDANNTSN REGLPFGFVFTGQGAQYAGMAKELLAHNRQFRDTIRRLDDVLKALPHPYTPDWTLEQT LLDGPTESRINEVTRSQPICTAVQVGLVDLLRNWGVVPTAVVGHSSGEIAAAYAAGLL NSTQAILVAYFRGYSVGKLRSQGTMMAAGVSAETAKSLIETKELQENVRVACVNAPES VTLSGASDGIEALRVEFQDQKKFARKLETGGRAYHSHMMKEIGALYQDLLKPLFADTN LETSAAAKMYSSVGHSPDELRVFDGRTDWAAYWRQNLEQPVQFSGALASLAEKEGGKL HLIEVGPHSALKGPIQQIRTSIGLDKNSLPYAPTLVRKEDADECLKKLAGTLFVHGHA LDWNKVNGLPESGHDLVPLHDLAPYPWDYSAPLNWAEPRASVELRNRKYLRHELLGTF ALTGNGIDFTWRNLIRPKEMPWFSDHKLETSVVFPAAGYLAVAIEAISQVTGTRGKVD VAFEFRNVNISAALIVPADSDPAAKDLELHTTMSQRKLSTVNTSADWHDFAVSSWAAG ETTVHCAGSIRVVEPLTQSSKHVTTTTVDNSDGFEASPTNRWYQKWDEEGLCFGPHFQ SLTSLRTDSERSRSEAIASLRLAPQIPSKSYIDSYPVHPITIDACFQAAILGGTAGHL PSLQAWMPVFISECRIQPSALATTSPDLEAEIHARSEEVGFSSRRIDATLRDANGVPV VNLRDGRMSLYTGKSSGVQSSSDKNSVNPIDKYMQRQPTLRVNWKPDIARLHPGTERQ LQDYVAAFVDQQPLDSDLRDDESIAVIAALVDLAGHKNPRMRVLELGGDDVGYKAKQW LGILNKETAFARCKSWQAGVLDDNGEIVVEGDGEDTSPFDVVVVPRNSSSKHIWSQDP ESIASLVSDNGIVVARKSSAAVDVLKSLKFNVIPLGQSVILAVRPPQLTSLQGRNALI VLGRNPSSTVAEFANTLAAYLRDHAGVALASIVPLDRIDTTDIAENDISISLLETERE FLATISPEDMDRLRAITDVVKDLLWVTGANMLGSVPDPNLTLSNGLSRALMLEQPALR YSVLDIGPVSLLSSTTNAIGTCENALRALVINQEKDDSEFIQRDGILHVSRFGPDEDV NSLFRRRLEPLESLEKQTLATAGIARLSIGRPGATDSMFFQQLSSTTAKTTPGAGYVD VQVKAVGLNAKDVYALAGRVETRNRTTALDFSGVVTAIGEGVEHLSVGDRVVAWAPNH FTTTERVPAGSVHKLLDHEELTVMSTLVTVYGTALYAFNQLAHLRAGESVLIHAGSGG LGFAAITLAQKRGAVVYTTAGSKKKREYLVNELGVPDAHIFNSRDASFVEGILEVTNG RGVDVVLNSLAGDLLHASWACLATFGRFVEVGKRELVDAGKLDMRVFLRSCTFSAFDL SEFFYAEEPHNRAVWDGLMGQVIELYRAGDIQAPPIKVFGVSEITQAYRTFTQHDRIG KIVISLENPQARIPVVPASYLSVFDPEKVYLLIGCLGGLGRSLSRWMMSRGARHFVFL GRSGADKPSAQQLVSRLQSAGANVDVVRGDVSRAADVTAAVAACLATGRQIGGVVQAA MGLHEALFTRMPNEAWHTGIDPKWQGTWNLHNALQDHDDALDFFLLTSSVSGTVGTAT ESNYCAANGFLDAFARWRRSQGKPAVAVGLGMISEVGYLHENPDIEALLLRKGIQPLN EDEFLQVLDLALLSEAAHQPGQAHLLTGLEPAGVRQLKARGFDVSNHGVLTEARAAIL AASLAAEQEVLDEQNSTSSSSSSNNNSPTTAAPWFKALPGTATSIFASEADAESLNAA ILRLIKKRFSNLILMPLEQIDERKALPQFGVDSMIASEFRTWFYTVFKVDIPFLDLMS AQKSLEGLAGVVEGKLVEGWK ACHE_30186S MDITGNAFVVGGGGGIGKACALAFAKEGAAAVVVADLDAKKAVE VAAECQALAPSAEFRAIGVKIDITQEDSVKTATEKVVQVFGRIDYCVNCAGIGVQQGT DIATLSLADFRRFLDVNTTGMFLVTREASVAMRAQESRLVSSESPKRGTTRGAIVNLA SVMSVVAAPEVIPYTASKHAVLGLTKNAALDNVSHGIRVNCVCPSWVDTPMVQQAEEG VQGLTEFIQSVVPMGRIATPEEIADTVIFLASPRSSYVTGCGFIVDGGTTLTAMR ACHE_30187S MAEQTETPPAGYNFVSYGTEQHPIAGLPRVVRHITGHDSEGRSV FLSTDIGDHHRTLGEKQAIANIIYSTKETPVELNGDHDIEFARSTEPGIHVKNGSVAR LIDFAPGVESPLHRAVSLDYGVVIEGVFKMVLDSGEERIMRPGDISVQRATAHKWINI TGNGTLPGRMLFVLLDCNDVYVNGKKMEGYLGSLAKDYEGRGG ACHE_30188A MSTKFALVTGCGQGGIGEALVTEYTRRGIYAIATVLPGESSDHL TRAGITFFPLDVTKEKSVVELKARVQKLTDGRLDVLVNCAGIAYTMTAIDTDVTAVQR MFDINVFGPMRMVHHFHDMIIKATGAIVNIGSIGGVVPYLYGSSYNATKAALQHWSNT LRVEMAPFDVRVITVISGEVATNILKNDAHRRLPEGSYYTPLAEHFQQHVTRTPPGTT DRFQYAAKVVAESLNSSPSAWFWYGSQTTLIRVLDMFCWRTIWDSIFWRMFDLGKLKE AHSAKVKKQV ACHE_30189S MISASSAILLAVLLTALWRLSLIGQRPKDYPPGPPTLPILGNLH QIPKAKRHIQFEKWARQYGPVYSLMLGTKVMIVLNTDDAIRELVDKRGAIYASRPESF IAQDTISGGLRILWMHNGETWKMARKLGHRILNLATARTYVPYQDLETKRMLLDFLEK PESFIEHMRRFSASLTTQMTFGFRTTTIHDPRFKESFDIFDESWELVASPIAGLMDFF PFLRKPCVCVDLVKLQKEESFSDDFAAYIGGSLLQAGSETTAGVLVGFIQAITIFPSV AKIAQAEIDRVCGDGLPDLNDVPDLPYVRACARETLRWMPGFLLGLPHAATRDDVYLG YRIPNKATILMNVWAVHNNPEQYPNPRTFDPRRYMDHEYYPQSAANTEVSRDHFAFGA GRRKCQGIHIAERSLFLSISRLLWAFDFKRTIDPVTKLEIFPNMDDLADGAFTQPNVF PARIVPRSEDKARRVREEWGKVLELLDGDMQWRTVPEGLIWRDYEIVA ACHE_30190A MRRNILTFLACSWLLAAHAASVNLKSLLLDSNIQWASDTVISFP DTPDFEEATVRWNSYNAPTYAGAISPADEEDVVKVVKLAKEHNVPFLATGGRHGCTDM VGLQNGLAIDLSQINSYTLDSDDATITVGAGSTFGQFQNAIYDAGFMIQSGSVTCPGF IGITLGGGIGRYTGIFGLEIDALVSARIVTADGEVLTISEAENEELFWGVRGAGFNFG IVISATYKLHRLADNNNGEILTADFIIPANKTLFYFDWLESLSETLPLHAAGVSRFQF DSTTKEGQIGANWVFIGPEDEGREFLSPILELQPSVSMLSYVPWNKLIETAGGGQGAM LCEARAPRSLYTGQMRKYTASTLQETFDKITTLWETYPGLAHTSLNFEAFPNHAVAAV PDDATAYPWRDAIGWFQFEVISLDGVGSDSFNAGEQTGQALRDSWVRTSGYENHTIYV NYARGDETLEQKYGASKLPRLAALKKQYDPDNVFGWNNALPTEYPGSG ACHE_30191S MAVNFDISPEKEAGILRLFHSQLFVTPPPLTRRDVDLSGKTAIV TGANGGLGLETARQLLDLGCKVILAVRRMERGEAARQELLEGRDARATEIEVWPLDLA SYESVMSFAERAKTLPRLDIVVLNAGLYKVNQTMVATTGYEESIHVNYLANALLITLL APIVKEKKTGSTPGRIVLVSSDLAAWAKFKERKSNPILPTFKQKMTPKWDFLERYGTS KVLGQFFVTELAKRVSPDAVLITTTNCGLCHGSELSREGQGHLIGHIFNVVSRIFGRS CSVGARVFVHAAASPVLGASVHGQYVEDAKLKPMSPLIYKPEGLQLGLKLWEETMDEL SFAGAREIIDSLSK ACHE_30192S MALQTTKTWETLMQLLPSRNHDQDFWWKVTGRQLAVLLEAAGYP IERQYNTLLFHYHWAIPYLGPAPASGVAAKWPSQLSVDGSPIEYSWKWNTKTKAPDVR YTMEPMSEFTGTKLDPLNQLAFRELLHKLSQFVPGVDLAPTDYFMSTLFDHDRSVLMK AVDDGVPLQFSSTALAFEFLSKGLLLKTYYAPRKLETGHFTLADWDKAIRGYYPESKA LDVVYEFLKTSHEGKLLNPYHLAVDNIKGGRLKFYFQSPHRTFTSVREILSIGGRVYR EGLEAQFLALRDLLNAITGQSPDFPEDGEPPIVEEDVTGDMDTDGHPELMSGYLYYFD IAPGATLPEIRFYIPIRRYCKSDLDLAKALTGWMEAHGRGAYCQQYLDLAYSLAEHRD LAKDRGLQRYIACLLAKNGEIEVTTYLAPETYEQVRRAQKSVV ACHE_30193A MDGKTYKLRASCNACNESKVRCSQTKPTCARCERNKTTCVYGLS RRTHKDAPPISMPHSHSHSHSSSHSNSHSGSRRSSVHIPNANATATTTANYTGTTTPF MPLSENPMTSYPPQPSVDQFFAQQQPHHQQPSTAGPGPGILSPTNLDLPSFMTPLPTP NEDHTNSLFSSFGNFAAGVGNVNSSGSNILTPLTNTPGTGTSASTSTDMFQQPQIQNC TCHAGVMEQLACMSQPARNEERRLSLDVQLSQLKRCIIASEASMGCSHHGNGDTEPIN IITVAVLVGRVIDEFELMLNERIGRGSAIGRGRSLSLDEVTISIREPRLSWGVLELED DDEFELRQRLYLLYFRKLERLLGQLNVFVRTLHDARGGGGTCSTTFVMACEYIHLWLE KKAEGVKRLFSAADECTGRIPG ACHE_30194S MREIVHLQTGQCGNQIGAAFWQTISGEHGLDGSGVYNGSSDLQL ERMNVYFNEASNNKYVPRAVLVDLEPGTMDAVRAGPFGQLFRPDNFVFGQSGAGNNWA KGHYTEGAELVDNVVDVVRREAEACDCLQGFQITHSLGGGTGAGMGTLLISKIREEFP DRMMATFSVVPSPKVSDTVVEPYNATLSVHQLVEHSDETFCIDNEALYDICMRTLKLS NPSYGDLNHLVSAVMSGVTTCLRFPGQLNSDLRKLAVNMVPFPRLHFFMVGFAPLTSR SSHGFRAVTVPELTQQMFDPKNMMAASDFRNGRYLTCSAIFRGKVSMKEVEDQMRNVQ NKNQTYFVEWIPNNVQTALCSIPPRNLKMSSTFVGNSTSIQELFKRVGDQFTAMFRRK AFLHWYTGEGMDEMEFTEAESNMNDLVSEYQQYQDASISEGEEDYAEEEPLEGEE ACHE_30195A MCSFTHPLVGPRLRGDRHRCQNKNSPPALIDINFLSTSPYSVQP SIMESNTSVLVYITPLLLAYPLLTSLLRFRRHHNLHERYHFPTRESFSHMTDDQAWEI QKVLAQLEFPFIYIKALQFALFRTYGIPSISTVLTKTTQFSHPATSLKRYSDTSALIQ EYIAHSPSSSRAYTSLARTRFLHAAYRASGTIREDDMLYTLGLFAIQPIRFIEKFEWR DLSDLEKCAIGTFWKSVGDALAITYDALPSGGPNGKGFKDGLHWLEEITAWSDAYEER CMVPHIKNRETADQTTAVLVYMLPRSLHHVGLKFVSFMMDERLRRAMLYDAPPPLYAT VFSALLNTRRFILRYLTPPRPYFMRYMSLTETPDEDNRIFMTQWDAAPYYVKPSLWNR WSPTAWVTWMLGRPLPGDEGERYYPRGYRIEDVGPRYFEGKGGKSMAEFVEELKMART GGCPFH ACHE_30196A MSAIQNQLQQGAVPSSVSNVCPPGTKFHVLEVGWLECDEGFVVR GGNTSMKSNEKESFVNKRREMPMYCILIDHPHEGLILWETGCGKDYPEVWGPAVSDIF ARVKYEPQHELRAAVEATGNKIENVKKIIIGHLHLDHAGGLDEFLDNKEVEVWVHEKE LLSAFWSVATGADAGVYLGHYLELSLNWKTFTDERLDFCQGITLHHLPGHTDGLVGMQ INMLETGTFLFISDHCHVIENWRDGIPQGWLARDHPAWFRSTQRLKHLERITKGQVIP GHDKETFLALQRQAQVFT ACHE_30197S MAPIASLSNLKRSDLFKQKGFIAELWVDSVSGSTFDVVNPATLE AIATLPEMNATDTAKAVTAAHEAFKSYKKTSARQRARWLRQWYALCMEHLEDLALILT LENGKPLAEAKGEVIYAASFLDWFAAEAERTHGEVVPAANLGQRILTIKEPIGVAACL VPWNFPIAMITRKVGAALAAGCTTVWKPAGETPFSALAQALLAQEAGFPKGTVNVVTT LNNVAEVGEALCKSKLIRKLSFTGSTRVGKILVEQSSANLTKLSLELGGNSPFIVFDD AKVETAVDAYATSRGASVLLGGASAKPNNLPGYFLQPTVLSGMSMESLTTTEEVFAPV VGLFPFKTEEEVIEKANDCDVGLGSFIVTESISRMWRVAESLEVGMVGVNLGMLSACE SPFGGVKESGYGREGGRHGIDEYLTVKSILINVSS ACHE_30198S MPPATMRAVVLKGPYEVSVEDRPYPSIREPTDAILKVSSTALCG SDLHFYRGHLKCPVGFVCGHEFVGTVVEKGEAVQDFALGDQVVVPFFTACQECYYCLR GQASRCAKGELFGNSTPANTIDGGQAEYVRVPLAQTTCVRTPKGVPEDLLVLMADIFP TGYFAASRFLKNLERRDREEYTTVVIGCGPVGLCIIACALSMVKTVYAIDSVPERLAE AQKLGAIPINLNDDPLGKVKAASGDRGADVVIEVVGHTDAFMLAFDMIRPWGQISSVG IHTEQISLNGLMCYGKNVTMAFGRCPVRSVFDEALKLFQEIYPKVAFLGGKTMALEDA PQAYKDFEARKTNKIVFKMGA ACHE_30199S MTVEQPSIEQRGEEILNVFGATFSDLLAADPTAFQVKFRKMAAS TFAFYRGSACLFYHDLKQEQHDGPFLNDQTSRVWIHGDLHAENFGTYMNSQGRLIFNV NDFDEAYVGPFTWDLKRFAASIALIGYAKALSDKQITKLVRAYAAAYRERIHTLATSA NQNEVPRFTLDTAEGPLLEALHSARTQNRIGLLDSMTEIVDFERRFTLGGGAVELDSA TREKVAAAFYRYLETLPKSNKGRFESAYRIKDVVGRRGVGIGSAGLPSYNILLEGNSE ALENDVVIYMKQSQAAAVSRHVTNSAASDYFHHEGHRTVISQRALQAHADPWLGWTEI DGAGQLVAEVSPYAVDLDWSDINDPEEMAMVVADLGRATAMMHGAGDDDSSHWELMPF SPEKAIHAAIAIDEGRFADLLVGFAHEYGAQARRDHHIFVDMFRNGCIPGLPTDVDCD ACHE_30200S MRSAAYFFTFSVAFQLAQAAVTANNSQLLTWWHNTGEINTQTPV ADDNVRQSGLYSVQVRTTPGQTSPYYDSFVYEAIPANGMSDQLQYTQSYNQTQAWTSF LYSRDATVKISRNASYSSSNIVIRPTTLNFPVRYDNNSVYITVPYSPHGYRFSVEFDD DLISLAPSGAKQPSRALLIFASPFENSSLKPQSDSSNAIAPKPGRVLGLNTTTASTVI FNPGVYYFTGQDHMVLSPSVTWVYIAPGAYVKGAVEFLSTASEVKVSGHGVLSGEQYV WYADPDVGYQKATGANNNGLRMWRGTLGNSSQTFILNGVTASAPPFNSMDWTGNSLDL ITCRVNDYKQVGAFYGQTDGLEMYPGSIVQDVFYHTNDDGLKMYYSNVTAQNIIMWKE SVAPVVEFGWTPRSTENVLFDKIDVIHQAYQSAYNNPGIFSAVNNYLYAPDGLSSNHS TGNSNMTVRNITWSNFRAEGPSSALFRINPIQNLDNISIKNVFIETFEPSSLNTTDSW MPVWYDINNGKQITVTDFSIESFTVGNTTITANNAASVGQIDGVDPAYAASVHYE ACHE_30201S MSQNLHFLPLTSFLSTFNGFQILPQNPLTAATSPPTTTFISRAF LTLQSPSESAVMSVPVAAQEKYLIYRANIDGPRLDKRVQVDLVFPVFLAQLDELSFQV WV ACHE_30202S MGPDIEKDQRVQQVRTDSQDEPERENPSINLVHKSRYEKWAENI SGLEVRGIEPIPLEERRKPTTWAALEMLVMWFSMGMAINNITAGSMGTLVMKLSYKDA VICAICGNLLGNVAVGYMSTWGPRSGNRTLIVARYFMGYYPSKVCCALNILTNLGYGM MNCVVGGQLLSKVSGGHVSVIVGIIIVALASWIMATFGMSVFQFYERIAWLPQLMVLC IMAGTAWPQFDFDTASIGSPERVNAKRLSFFSLCFSVSLAWVPIAADYYVYYPPDIKR WKTWTVTVIGCFSMMITILMGVGLGTGVAHNPQWAAIYDGTPGGLLMAAYNRLGAFGS FCAIVNMVGVVSNNAPGSYSMSMNFQMLGNFWQRIPRPVFTILTTVIYAGCAIGGRNS LYQIFGNFLPLIGYWVIIWLMIVIEQDVLFNRGKDYDWSIWNNRRKLPVGIAAGISFL VGWAGAIVGMDQAYYTGPVAKAITGGCELGIWLGAGFTILAYPPLRMLEVRFCDR ACHE_30203A MWPPTQELKIRHKQLQRNTSTESAVQKTMNAEIVKVKKRLREVE QKNYIVGKLSQGSDLFSGGKKVKWMFSAGG ACHE_30204A MGCCFSFLSRDSNQPPHAIATAGITTTEEQHQQCTDASPTPEVH AATSSTIVSNTSASQIARPTTRRRGPPVNQFPLSEHFNAPIRPHVWYSKRRAWTRTQL DRERQEFFETRVTGRSEIWAALAAALSFMRESDLATAQSIIDAAGITVPTGDVCQGCY DEQGALYRLPQCIVSDPENMVQADRVDDYSDVDDDDMSKLADDDASGDELISDDLEKR RDEKGKTSERDLISVRARLSDRGGLDLVVSVGKNRSVSFIARKIRQEADIDHHQTVRI AYLGKVLRGHSSLPDQGWEPGHVINAFIAPRPSAS ACHE_30205A MDEEDLREAEEARELQTSSDFAGFGSTDADTMRRAGLMDLFKTG GETMGVKLLKKMGWREGQGIGPKVRRRANLDDRAAQSEETYLFAPDNPPMIAFVQKND HKGLGYEREARLDESHGPGGKDTGEEDSDPFFGGRLKAPGKQEPSKPEGPRRGAFGVG VLNDTGSDDEDPYSIGPQISYNRVIGGDKKKKKKQKEKNGPGLATGSASNPLVSTKPV FISKKAMSARDPGRFRKCHDGRLPLDGFVLADLASLSISSQEKRYTPEVPSDWKSSKT PSQERDTSNYVSTADAAKASSLDPTSRAALLGEAQLPSKSIFDWMTPEARERIVKLTG KKDLPPALGEKAPKGYEMSESQKRQDLWDLVPKLDKQLAVQALTRAVSGWMPYSEDPD KRVRYRSFLEVRAGLRDILPERVPGSTTDEWVSELHEFARAAEVFKPMSGIMASRFTS ASSGPKGSSDEAGSSSDNPLLSKPAEKPEDPAVAAAKIGMFGPMTRSTISFYPGRLLC KRFNVKPPSHVQQDPGEEQQPGGPPGFATGDRFQSAGYQAPTTGPKELVSRDVLNQLM VDSGRAEMGTTETTREQVVVEPERNEALEAERPGEAVFKAIFGSDDEDEEME ACHE_30206S MSTAASSSASPTVVRSHSTSSRPQAHRPPSSDLPHRTRSVVVRP STASQPSQPPHQSEHLQSPAQFRTQAYERHPPPVNQAVFDNLARRDPDSARGSLPPPT RRSYSRERSQERAAAYHTDTAPKRHQRNLSVQGHQRDSIDMATAGPATAEGSSGPPQA GSGAQVQSAASAAPKRRTMITTPSGQWALGKTIGAGSMGKVKLAKNMETGEQAAVKIV PRQSFEEHRSAREAERADRSKEIRTARESAIVSLVNHPYICGMRDVVRTTYHWYMLFE YVNGGQMLDYIISHGKLKEKQARKFARQIASALDYCHRNSIVHRDLKIENILISKTGD IKIIDFGLSNLFSPRSLLKTFCGSLYFAAPELLQARQYTGPEVDVWSFGIVLYVLVCG KVPFDDQSMPQLHAKIKKGNVEYPQGLTNECRHIISRMLVTDPKQRASLTEIMNHPWM NKGFSNPPENYLPTREPVQLPLDSDVVDKMTGFDFGSPEYITTQLTKVIESEEYQNAV NNYREQPPPSLPEKKRGMFDFYKRRNSASRDTLSNPSAEAVQLGNDPLNAYSPLLSVY YLVKEKIDRERAETKPGALGLPHGAGDSMLQMPDLPAPEAAHTNQYQVPGENDTGRRS RPRARTHGDDELGDGVKNLNLGPQSGQAASGQPGSQPGTPAKKESTAAGILRRFSTRR TKDRGRDTERPQFGHSHTPSLNVQPPADSASPLHRGFSMRRARRADPSPTNSGGSQAH NDHLKAPGSAEQASRSNKFLERSTSVSSAENRARRVARRGGDADTLDSSDGRQPPTTS GSDHVAVNDTAGRTSRAPTRTHSTRTVSLGHARRESIQARRARREAARESNVPEETDA DISGAGTALESANEGEDLSKPVYLKGLFSVSTTSSKPLPVIRADIIRVLKQLSVNYQE IKGGFSCRHAPSIDLDKVVDVGPPSPDRQGQVSNHRRRISLGGLLNHDERTQRRSQQQ NPPDRSFVSNSESDEYVTPRNQNVGERVVGETTTQVQSDTGENMILRFEILIVKVPLF SLHGIQFKKVAGGMWQYREMAKKILDALKL ACHE_30207S MVIDLTRDSDSDEQHQQLQPRSTIHSFFSNLIHRSSSYSNPLKR KERGSEDTSSISSSRRFSPAVNGLANHDHNNTNNNTSNNSSNSSNNASKDPSPAPRQE PSKHSISTGPTPIPETQHQKAISVVIPSPSRQLKKEILNSEWTRIDTSSKLTGLSEKY YPTDAYEKRAQKGAYPTKKKNKVNSENRGTLPLDLGHLAPSPILTVRPTTTVHQQLAK SFLRKLSKIQGPPVTLARGDQRMLTGFAANFEFVNAYKIRKGVSPVPESFNGGCDCGP GCDPRQCTCLSEEEHSDDKIVPYRAAADVPGLMVLAPDFMKRTSMIYECSSRCGCRGE CWNSVVQKGRTVRLEIFHTGNRGFGLCSPDTIRRGQFIDCYLGEVITKKTADVREDLA ASAHEHSYLFGLDFLIDDDDMYVVDGQKLGSPARFMNHSCNPNCRMFPVSRTHGDERL YDLAFFSLRDIPPHTELTFDYNPGAAVSGAGARGDAANGKGRKEKIDPSAVKCLCGEK NCRGQLWPNQRKGTK ACHE_30208A MHTKTTLPSPMGHLSLHTSFSSTSSPPNSASYARSDCSTVSPLS SATTSPASSPTSPFRRLCRPAWLPANSSQHDNKIDTSLNTTTTEERSRSRSQSPFRLN FNLANPLRRPSSSLLFLLRRRPSKIDLALSEERSRCDEDAIERQGLGLLEPRPVDPAP TAPASAAVGFCDMSAGERGVGLGSRSSMQSLSAGVLAPATPTQPRFVMGGIEEVLAGE A ACHE_30209A MASNSHDRGNPDDDGPPQQSIPLQNLSRPAEIPLAEDRGVGRRG SVGSRFSPRRSLRRPSSSRNYERVAEDSPIEPSAAATNQRSYPLHEEDETSIEDPAAF AFASVGLSFGDPQPQPERRSRDDGGSDFDSIPLGPYGYNDPDYYFSPTDTREDEAPLT DQRNIQPISGVRGSSDGAPDDRSNLHNVHFATSRTQSARLGDDLPHLENGLGPRGRGS SGPTERSRSLSPSASGSALQRASSMMKNMSQRVVNLSNEPEVVEQSIQREESYKNARL DKPPTLPSLADYAHDAPSNSSQSGRQASKNKPSPYATWWKHSNPLKGKSLGILGPNNP IRVRLCDVLVHPFTEPFILLVIVIQMILLTIDSAKPVKDDPRPDNWGKRTLDYCYFVI FVIYTLEQTAKILVSGLIINPAEYSTLDRSLGFKDAVIEKGKSLITPQRQFSMKKTSM FPTEPQASIIRTFTGGLDNHEVEELIDDPLQRRRVRLAHRAFLRHSFNRLDFVAVAAY WVSFVLQIFGIESRQQLYVFSMLSCLRLLRLLSLTNGTSVILRSLKKAAPLLVHVGFL VSFFWLLFAIVGIQSFKSSLRRTCVWIGQDGQSNYTQEEKFCGGYKAANGTDMPWIGG DGTNSGPKGYICPIGSICIEQENPSGGTVSFDNIVNSLELIFVIMSSNTFTDIMYYLA NSDYLAAALFFAVGIVILSLWMVNLLVAVITHSFQVIREESKRSAFAVQNIDEPDRED VSARKVSSLKRLYDKTEWFWVVVIIFDLIVQALRSASMDHEREEFINNTERVVTLVLL LEIILRFASDWRKFHTKKRNWFDLALAVITSIIQIPAIRDSGRPYAALSLFQILRVYR VVMAFSMTRNLIMVVFRNVVGLFNLIVFVFLITYLAALFATQLFRGQIDKDDVGTTAS FSDIYISFLGMYQILSSENWTDILYSATESTAKFHTAWISATFLIMWFILANFIVLNM FIAVIQESFDVSEDEKRLQQVRAFLEQKQLSGASQGNLALSKIFMLGRNSERYKDPLD HGPAALELLLKDAVVHEFLDDQTSTPAGESGRRESAPLDAMVEEPAQPSFFSRMWTRV TSSIMRREPNPFYSKLQLSRAYEELDPKTMAQEVVTAAERRKRAQRDYLVNHPNYNKS LFLFKPSHPVRKFCQRIVGPGRGHQRVEGVNPYKPVWYAFSAFIYAAIVAMVLLACYT TPIYQRRYFIDPKKGKWFVYTDMAFAILFTAEAVIKVIADGFFWTPNAYFRGSWGFID GVVLITLWINVASSLNEDWGVSRAIGAFKALRALRLLNVSDSAKDTFHSVIIVGGWKV VSAAAVSMSFLVPFAIYGVNLFNGQMVKCNDDEFVGNLAMCVNEFKNTPSNWEILAPR AASNPFFDFDNFGDALFILFQIVSQEGWVDVQESAMEVTGKGYQPQDGAAPENGMLFV VFNLLGAVFVLTLFVSVFMRNYTEQTGVAFLTAEQRSWLELRKHLRQVSPSKRSFQND RTKSWRAWSYRIAVKKHGRWARCVTTILLLHLALLVLEFYHEPPIWEVIRRILFFCFT FFYIANLVIRMIGLGWHRFSRSSWDLYAMLVVPGTFITTILQFAMQDSHAIDVLGKLF LVAITLMLIPRNNQLDQLFKTAAASLTAIGNLLATWFVLFLAFAIAMNQAFGLTKFGD KEDNNVNFRDIPRALVLLFRTSCGEGWNELMEDFATMEPPRCTYSEDFFDDDCGSAAW ARSLFIAWNIISMYIFVSLFVSLIFESFSYVYQRSSGLYVVSREEIRRFKHAWATFDP DGTGFISKEQFPRLLGELSGVFDMRIHDGAYTIGRILDRCRVDPQNSPLGNRRVVDGV DLDALSRILSQMPVKSVQARRERLNTFYEEVLVSADAQRGISFHSCLMILAHHNVIND SKSLRLEEFLRRRARLQRVEEAVQRNTVVGFFDTLYWSREFRRKVDSKKSARMSGVPQ FTVPEIFVDGEYHDGNPDQPDLSRDVSDNVSSDSNNEGRTDGDEDTQPMLSPTLPRGD TIPTTGTGRHNLPRIDTGLAGRMSASNSPATEWSSISPSLVTGRGRAHTTSSYDPAPD PSTEDTGLDTETGGVEHSRQHSAMSVNEVMQSLGNSAWGESIRRSFTQRRSGDRDS ACHE_30210A MSITPILTFKAGICELDSANVAKPQPTPGYIYLYSEDELVHFCW RPRSAPHTEPELDLVMVPSDGSFIPYEPSGQAPTNGRIYALKFSSSSQRYLFWLQSKS QHESGNLSWFSPRDLRLGEIVNSLLQGEELDMEQEIANLPRGPGGSGDDDETMEDVEG VDHDASHNHGGSGTGAGFDATGGDIREEGQESREGGAEGGRAANASSDPSSVVQGFLN SLGGGQSQSESQAQDPFTTLQDLLTPASTLPFVEAADDKTIDNLLSYLPPSLLLLASD VEDVSGEDSEVAEAVMLSLDISQKKDILRKVLHSPQFTQSLASLTVALRDGGLPSISE ALKIPVENGGFLRRGGVPLGGGDAVKAFLEGAKGLVKGQDRMETD ACHE_30211S MSDSTVGQTKQFGKGQRTVPHPAQKAQKWYPVDDESQPKKVRKT IRPTKLRESLQPGTVLILLAGRFRGKRVILLKHLDQGVLLVTGPFKINGVPLRRVNSR YVIATSKRVDISGVDQAALEKVSAPEYFTKDKATEKKTEEAFFKQGEKPEKKQVASAR ASDQKSIDQTVLASVKNEEFLGSYLATSFYLRNGDKPHEMKW ACHE_30212A MDAILSLPVLSVFLIPTLSSYSTSLNLVFFYMTWSTLVLSHPPL RVELFGTIIVRLIFYVIPSLLFFLFDILTPSAAVVVKAQGDAGLPSGSRRGKIRLKEI KIAGWAILNLAFGIALQGGIELIRTKIFVLPSSLKVSMKLPMPGEMLKDMVCATLGRE VLAYIIHRYVLHRGNNIAAQQHQSWYHSLHAPFPLTAHYDHPLAYLLVNFIPTYLPAM LLRFHMLTYVAYLTIVSIEETFAFSGYTVMPTSFFLGGIARRTDIHLLSGAEGNFGPW GIMDWICGTSVGDSIADDMEAEVEEHEIDENIRKAIEASKRRIREVDQKRRRKVRESS RR ACHE_30213A MNPPSVSILSDYSPTTLGISFVFASIIIYTAVTKMLGLGSKNEF EVDDRTVIITGGSDGMGKSVACQLAAKGANIVIVARTTSKLKAALETIKASATHTARQ RFHYISADLTKAADCTRVIDEVTSWNNGAPPEVVWCCAGYCNPGFFVDTPVDTLRNQM DTVYWTAANTAHATLRSWLAPVAAQDRSPRPRRHLIFTCSTLAFVPVAGYGPYSPAKA AIRSLSDTLSQEIEMYNGARSSMHDGPAADIKVHTIFPMGILSPGFDNEEKIKPGLTK QLEEADKPQSPQEVASIAIKALEQGEYLITTMFIGHIMKGTALGPSPRNYLVRDTLTS WLSNLVFLQVIPDLRKKAWNWGVKNGYSIASR ACHE_30214S MGKKRKAAGRPAAHNKPKESERTKFYIEERFDDSEDEFQAGRDQ ILLDEAPDAKRRRRLEEEEEMLQPSDEEIMGYDDVDEDDLDDEDDEEKEGADDYDDYE EDAIGEPSKKPKNKRRDASPSEDSEDEDEEGIAAWGSSKKDLYNADQIETEADALEEE QEAKRLQQKHLRAMNEADFGFDETEWVDAGKEEKDAKKDTTEVTEVLPQVEVSEDMSA EEKLKILNSRYPEFEPLAKDFADLQHTHRDLAQDAKAAEKSKEADDVDDEDAAPVAVV KFRALSAYLGTISMYFMLLTSSQDGSGNPSPMPPAQLRGHPVMGSLVKFRKLWESVKD LQAPEVLESENEEMEESEIIAKPEPPKTEKKKQTKQQRQPNKLSKAERAAQAAQAEAE ARRAERLRETEANLADLSTLATDAKKRKTQKTKASAKNADDSDFGDEDALTAKEAEEK AKQKRSLRFYTSQLAQKANKRSAAGRDAGGDEDLPYRERLKDRTARLNAEAEKRGKQQ ISKEEQLGDDSDEEDYRMAEQIRGDQSDSDDYYDMVAARSKQRKDDKKARADAHAEAA RQGGQVAIQEEVGPDGKRAITYQIEKNKGLAPKRDKDARNPRVKKRKKFEDKKKKLGS IRQQYKGGEGRGGYSGELTGIKKNLVKSVKL ACHE_30215A MNDLQQVSLALKKVTSRSLLLIDEFGKGTLENDGIGLACGILEH LLSLRETPKVIAATHFHEIFENDFLRSRPRLQLGHMEVQVCEESRDVEDQITYLYNFR PGRSNRSFGTICAAINGIHQAVVTRANELASLSARGENLIAACATLTAEETQALEEAD ALARRFLEIDMSDSGETDWRVILEELFESLGT ACHE_30216A MDQSTRKRRQTANSPYITPRSYNHRCDDRDGTPTLDPGSTSRVR FPNSQRSSATRPLISRSSISNVIDDEILQQSIDDDLSQVIVAIDMRDSGTVGCSYYSA QEETLYLMGDIRFAGNETIDTLILQTKPTVLLASARVDYLTNRRQNSGQEDGTEMYLP YQLDIRPSQEFSGSNAKSKLTALEISATHEQRMQFLVPHGGIIGPEEMETEATGFTLQ EGRLLHMSSSVDMENAVTIGCAGAIFTHLQKRRATDIIPGNRASDLFRINSVRMFGLK NTMFINGNALLSLQILQSESHPSMFNQGPGKKSSSSKEGLSIYGIFHRFVSTSQGRNR LRQDFLRPSTNIDTIRERHNFISVLLQSDNFNCLEKMTKSLKHIKNLRPVMINLRKGI STGSAKVTGFKTTVWATLLAFAFYGIDINEALRELSGANALALRSKAMQIFESAQLYR VGRMIQEIVDIDGSDEQSRTIVKPGLDRELDMMKDNYDGLNSLLKQVAMEIATTIPEG FGIDVNVIYFPQLGFNIAIPLNDRGEPSFNGAGEDWELIFITENRAYFKDLRMREMDD RLGDIYGLICGKSRVDVPDR ACHE_30217A MLRTSTSTGTVSAMALRSQLARSSRLRTPTMSSSSRLFTSQKLR SPSQARLLPSQIPSTTNFQSIISVRHYANGRPHPPGGTHRMNLGEEPEKPALEQYGVD LTARAKAGKLDPVIGRDAEIHRTIQVLSRRTKNNPVLIGAAGTGKTAVLEGLAQRIVK GDVPESIKDKRVVALDLGSLIAGAKFRGDFEERLKSVLKEVEDAQGSVILFIDELHTL LGLGKAEGSIDASNLLKPALSRGELQCCGATTLNEYRMIEKDVALARRFQPIQVGEPS VAATISILRGIKNKYEVHHGVRITDGALVAAATYSNRYITDRFLPDKAIDLVDEAASA LRLQQESKPDVIRELDRDITTIQIELESLRKETDVSSRERRDKLQEDLKVKQEEATKL TEVWETEKAEIDNIKNTKEELERARFELERTQQEGDFAKAGELRYATIPALEAKLPKE EEQVSGAQAGLIHDSVTPDDIGNVVSRTTGIPVNKLMAGEVEKLISMEDTLRQSVRGQ DEALSAVANAVRMQRAGLSGENRPLASFMFLGPTGVGKTELCKKMAEFLFSTESAVVR FDMSEFQEKHTISRLIGSPAGYVGYDDAGQLTEAVRRKPYAVLLFDEFEKAHRDISSL LLQVLDEGFLTDAQGHKVDFRNTLIVLTSNLGADILVGADPLHSYKDYGDAEISPEIK SAVMDVVQTAYPPEFLNRIDEFIVFKRLSKEALRDIVNIRIKELQSRLDDRRMVLQVD DEIKDWLCEKGYDPKFGARPLNRLISKEIGNRLADRIIRGQVTSGQTARVTFNDDKTA LQVSAEGSAET ACHE_30218S MGFTDFVSEAGLSLANTFFNNRSYVVGTAPSQADVVTFKAFSGA PDAEKYPNVARWYKHIASYESEFSKLPGDASKAYTAYGPEASETNPKDKPADDDDMDL FGSDDEEEDPEVVKEREARLAEYKKKKESKPKAIAKSLVTLEVKPWDDETNLDELEAN VRAIEMDGLVWGASKRVPTAFSLNKLQINLVVEDEKVSTDELQQQIEEDEDHVQSTDI AAMQKL ACHE_30219S MGFLSRLVTFFGLILLAHAGYSAHEHTVLSSNTRFSTSTALPQD IIVETLVSLVVVSLGLVLGAEKLKPISWSQWAGEIEKEGGARNPYSRLEERYSFWDVR AKRKEFSEWIRGQDAMAAK ACHE_30220S MHFKTTLLVTLSALAVAQQSSQAVGNPATGYLEQTNSGGAITGQ PEAATSQQAAATSQPSVVTSQEAAASTPAGASSGLIPSQTSGQSTLVTSTGASSSAGA SSTATLTSTATKSTSSSGDDDSSSSSSSSSSGSASSSTADGAAAVPTAGSVGLTVGLA GAALAALL ACHE_30221S MPSAFRRLAADHHSLHDELPPNYLFPPDDSSDDLTQLSILLAGP QGTPYMRGLWRLHLKIPEDYPKSPPKATFRTRIWHPNVEETTGAVCVDTLKRDWKPEL TLRDVLITISCLLIYPNPDSALNSAAGALQQEDYEAFARQAKVMTSIHAPVPADMKNA VMEAKLRGEDAGTVIQEQQEDSRALRSRKGTKVQSVTMKRKGNTPQPQPTQPLPAPTT DQDEIMTSSDAENENDENNTSTASKENDPSLSPIKFAPPSPRKNVHGKRPLSVLTMPL DHAHTPFNDQPSPPTTIMDTDEDGNGMMMTASEKNIAANHQDSISEEDENRGDASSRR KSPKLSTLNKGVNTSGRIRDDIFEDTPPTPSTNHRCTKTTGKENHDYDTVADTNKDLG KAARRNYYSGANAATNPNPNTHPIPTLSSASSSGSASLSVSPATAPTRLKGAKAKGAT RSVSGTRKVSKPRIGLRRL ACHE_30222A MDQQGQSGVPGPTGRKLHIAHRRSPSELTPLMMEQLAIQQQIEL LQQQQQQIAATHQQYVNMGLLQPQQLNQVGGSFPSIQGNASVGGVSPQLNAFQFPQLA QQQLGVPVNTQSSHRRNQSALPGLGMGGPPPAPSSGASGYSEFNQQHQPQQSNQRNEG GGHGGRGRGPPGGGHQRRHSLALPEAKKAAELAQQKRTASGFQFPGPSAGGNDENTPT SDGKSTPSASHAPQGLGLQRAGNLRAGGGHGRSQSMAVGGNRGSLSGRGAGGFQFPDS GLGPLPENQRRGSTQGHARSSSRNFDSNWRQPQNQNQDQQRAGFGQQGSSFQPGHRAR ASMNQSVGSIGQFQYQSQPPLLQLPQGQVVMAPQVFGGQQLNPLQLAQLQALQQQNGQ LNGQGLGLQASQHAPQQQLSAQQQQQQQQQQRKTLFTPYLPQANLPALLSNGQLVAGT LRVNKKNRSDAYVTTTDLDADIFICGSKDRNRALEGDFVAVELLDVDEVWSQKKEKEE KKKRKDITDARSGGNGGDKLSRSDSGANGERQEVGPDGSIRRRGSLRQRPTQKKNDDV EVEGQSLLLVEEDEISDEQKPLYAGHIVAVIERIAGQMFSGTLGLLRPSSQATKEKQE AERQARDGGHGRHNDRHQEKPKIVWFKPTDKRVPLIAIPTEQAPRDFVEKHHEYANRI FVACIKRWPITSLHPFGTLVEQLGEMGDLKVETDALLRDNNFGSDEFSDAVHKSIGWD DWSVASEGEALLASRRDFRDEVTFTIDPNGTPELDDAIHAKKVPDGKVEIGIHVADIA HFVKANSLVDREAKKRGTAVYLMDRLVNMLPPRVSTELCSLLPGQERLTVSVVFRANP DTGIVDDDVWIGKGVIKSSGRLGYDEVNAIIGGQNDISVPGITADDIRALNGLASKFR EARFGHRASNLPPLRLLSQLDDENVPVEDNIFDASAAHELVEELSHKANFFVARKLVT AMPEKALLRRQTPPNARRLQAFVDRMNRLGYEMDATSSGSLQSSVCKVSDVEVRKGME VLLVKAMQRAKYYVAGTVQGEQRQHYTLNLPFFTHFTNPSRRYSDIVVHRQLEAILSD GAIEFTDDLESLNKTADLCNNKKDSAHNAQEQSVHIEACRNMDKERQAIGGDLISEGI VICVYESAFDVLIPEYGFEKRVHCDQLPLKKAEFRKDSRVLELYWEKGVPSSAYVPED ERPKPANARAAQAAAAAREAEAARERARERDEAMRKQTETGTMSADDVDALFDDDDDM SEVTEMAAGVSLNNNSNSAERPTQSMPPSPTHNGNGQQGPHRTRSDPKMATSAGDAPE AKLTNKEKYLNLFKLREENGEYIQDVTEMSRVPIILKTDLSKSPPCLTIRSVNPYAL ACHE_30223S MGRQLNYPPQLDSPSKQLMLDLVKDLEELRLHNSELKKVKAYER RSFYETLDRIDHEREVQHNAALDHVAELQGQRREEAEETLRDHLRAEEEARRRKEEEA RQEKERVEREKAEILRREQDEAARKEAERKAQEEAKKKAAEEAEKARKTAQEEQERKQ RERQEEEKRKHEAEAKKAEQDAAQRQENAQRQEQAEKQKQMGGGRQTSEENKAQLRYV ELHRHLKSFRQYLKEQAKASPAVKQCMGDMRRSIKKSVGQLREGKGANKTQLQEIKAT LEKAAAIPGPSVDIRQFVAFPPENIANSDGNTVPALLIYGLNIFSKALISSLITEASI NQGHAEPIGIVAAQIFSQDAFIYNGCHLVDILWAKYRVICPALWGFYGDERTESGRRA VGWKKEGDGTFISEQGHIDRMTALGAGYAALTLRNFGKTPRKNPFPNQMFWYSMYKIL SIPPDQLQETHISLLASMLRSSAERIVGFFGHVGLALMRKAIVDIPNTVPRQTMSVNQ LRLLKDLYIREKSILI ACHE_30224S MALNLPTELIQSILHFTDTETYHSARFTSRHWYNAASTPYMLRK ALEQTPILLPALDSMTEIKWNTLFNEVSRLNLFDHRLRCHKTISKGDLPQGSAASTPL ATSHDGNKIALLKGSQITIYDHNDGSKFDLTLSQSLYPLWTSVCRALLEGGASHGANQ GYARHQLAVSSNSSLVAVGLGKTTQIYDYKDPDNLGSPVEYVLGQTETVFSSSSPAPG PNYRETDGVVESLEFVQDDGDMLLRVAIGKESNPNRTCRVRYLGDLSHSTQEQSALEY WRQNINRVYLDSVALATILPNNDYKTAFNGIRLLPRSPSTTSRSFITALKTQDLQGYC IATVTPSSSPLPDDEEQQIIISHYLPSKTNHLTPLSHSPTSKVTATSDPTNISLIDQT LNDKAVVAQNLHAAHSNRWDTIHLPAVTARNPLISVSDDGRLLVVYEQGTGHSFRYMA GGGLYIFSLDASITGAVGNEEKTVQPWSYLLDIVDVDVERVKIAKSEAGNRTNGYTVT ATALNGQRVMEWRLG ACHE_30225A MKAKNHQTLQSLNEPYSAPSDLYPSVPSVTSTSSPSPHLPAAHT SFPRDESYLSAPITSLSTSPGVSNLSTPGSPGPLERLRRHTITPNPPTLSNFPTYRST GESLTSSPETRRPESSVYYTTAWGSPYAVPSPRTLSLTLSQSQNAGVDRESGASSPAS MPSGTFQGIDTSSNNNNNYNNDNNELLRPSGGESSARNLYSGILNRSPGRDLFGRKGG KSIKDFTQDWINQYLSGQPRTERSNWLSDDSGSEAPSFFTAQNHFADDDWLGLEEDSR DEELLKTPTLADFVSRKKGTGQGESSTNSTTSTARQKIKDYIHKRTETLKQEDFWGFA YDKDPQPIAMDNTGSLPPTDSEANKVALPVEKPLPPPPAEEWIEKTPQPEPKDVENTQ RSENTPKPEAPRPPVLSRTGTSTLQRTRKKIPWRGKACIIALPLEDKRGSEESGYRLL RPEDVKQRLKKWEEKGYDNSGFSISNLNDQYDAAAMELGGSSRPVFPDPTDVQGEWTT GQYEVRFPDKAVWDSYVNFLQEEKLRALGVSLGDDEEAQPSISPAMSQMAPFPGLIAS PPIPTASAAGNPLAVPHPFSPQLNQSTNTPNGIGTLASPASQFSVQTPFLGVDQNLLA GYPLPFQPTPPAQGSFTPQSFLNARQAGAIPGTLPNLSSMLSPVSPLNDQRPFHPGLD GKESFDNQGQQLPRVQTPTEQPDHFHASNVEIAHPTPRGHSRGQNLSETLQKGLDQIN HSDYHLEMSIERQLEEDDRNAGRDGLNNSSLLHSRWAMPEADPNQYHGYNHDGSDIDT NPSLSGAPHGHGPLANDIAWHESKPSMESHRSKLSSSTFNVEAKEFDPMGAFASQNFG FQDNAFQFQGVDPSLFTFGAGFQGTVPPAASYNITAPTFNPPGVNDQKSAGPGEFKFS SASFNVDAPAFNPGGATSSGQPSGEKTKIFGDINISDVSTPAKKSKAIPIVRPDENEH KEKNKGVEGENANAQPAPADRNKRARRGDQPSDGEEQLSVPTRALTEANNVKTSQEST APHKQAEGKENVQPGKETQAASERKDTPVSEASTWAPPEGREQVEETSASPVRHQAPE QSLEKAAEQPRAEKAPTPAPKEQDKGAKQAPAATLKNKFLAAAAKSFEFKPAVPEFVP PSNEDPPSVPEKPANGLMSSRHAVADPPSSPKEKPLPPEPPRPEPAEKDQSQETKAPE TKTPRPEYTSSDESPDEDELNAIMEQLNDDSDVGIERVSTPQPKSKLPEPVAGPSKEK RHVPAEIRSEAPSPSPGRGTLEIPKLDFETRSQLSVTPSKSMIGTITSPVRQLLHDND HISDWDDVISSGEDEKLLNRSIFFDRRINDLVGSAVEERLSPLERALGVIQQSVTSIA SGAQSKWTLQSTSATGEDSDADDEDDEYEDNSSARPRSPGQQQRDRKLDKLKNVIQEA LAARVPPQEVESPATNELLQLKQSFAELRELTSEKLSQDPTANLKSMIQEVVASQLQM QNSRPSDADEIGADSLMLQIDGLKNMLRLADERAEQEYKMRREGQDSMAELQRLLKVA EEDAARHSEAAESAETRFLQFKEEKIPYFEHIQARSDSLSQERESLRLTLAEISSKNI TLQGTIDEHRVSSEHYKRENERQQAELERTNEENKKLQETIEHLQIRLGDGISIRQNL SDKFDRLQEEMVNVTRDITRDQANWRRKEEAYVAEHNELRAAYSRELKLREKLEIDIS ELEQQEREAAKLKFIFGQSQQENARLEELVGSLKLENHNLEAKAARFEREFNEARESS RMEIQRTRTSLETDLEAANSQVNMVRAELEAQIIRLQSQQDNFRMDADTARERYEMLL EAANEAKVEALSSKELALDDQRKAHERVLNDLRERHVRAMHNNSEDKVRHESYMSERL ALSEDKARHLQDRVNHLEEKLEIAKSAARAAAEAAQTAKVNPSASGSQSTPPAKGSDV PEKISPQALRESILVLQDQLQQRESRIDELEQEIGSVDKEAPNKLKERNTEITWLREL LGVRLDDLQDIINTLSKPSFNQHAVRDAAIRLRANLQMQLQERERAMSGQSFPSLPSI TELASSPRNLPLAAAAAWGSWRRTRENSTTDQTPSKPSNASNFLSGLLTPPGSNARQA PRANAPGSRRTSESRPLRSFNPTPRSLSARQGAGVMQEPPKTPPLLRRSSYDQDAEST TYEHEEEPFPEDGEAESIVDEMVSASPKNTTRTTTETPENIETTEMQDGLEPMLEAVS EPFGPGITSD ACHE_30226A MRVSGLLPALLAALPAAATSNDGRGLLGLALGNQNADGSCKATS DYKADFDAIKSVTTLVRTYSASNCDTAKNIIPAAKEKGFKVVLGVWPDYDQSFNEDFN ALKSTVHGNEDVVRAITVGSEVLYRGGLTAEQLLNRIQQVQKEFPDLSVGFVESWNKL NDGSADPILQGGVNYVLANGFAYWQNTPIDSAPKTYFDDMSQAMKHVQQAAGDNAKNI RFGNGETGWPTDGGSDYGDAKAGTKNAEQYWKQGVCGMLAWGVDVFYFEAFDESWKPL SKGDNGQMMDETHWGLFTADRKLKFDISCPKN ACHE_30227A MPVFVVDGKQYKVPFKTLFKGNKTICDQIIADKRASTVLPEVTL EQATATRASNTASTNDQTDQCYRFWTAREDRLLRELVAFRVPWSRISISLGNRPIEDV KKRWDYLRSGKAQSVEVGVDGAFSTDGIPVGNPHLKKFARTTQPEPKPERHVSFADPL VTAGDTDDEEENGASHPPKTKKVYYVDEEFSLEDVVMLHNIAAKWERDRWLAISTRFN DITQRKITPEQAKSVVDN ACHE_30228S MRFSPATLLITALTWITAVTAHNIQLRAHSRECFHEPLHKDDRM TVTFQVGDREFGGSGNLEVDFWVEDPLRNRQYFKQAISSDDYSFTAHADGKYIYCFSN EGWTSNSKEVSFNVHGIVYVPESEAQDPLDTEVRRLSEALAQVKDEQSYIVVRERVHR NTAESTNARVKWWSIFQLAVLIGEGVFQVWWLKRFFEVKRVV ACHE_30229A MSEFSSIKPAFTVWVTIDAPLPVGSASRTNNLMVVSMSDGILRS DPAFEPAIDAEFIGVGNDYIHADPDAQHLRLNAHGVVK ACHE_30230S MIPKLLFSAFFFANAFAILLAGHEQQQQPLGNGVSSSTAHSAGG AQRLTGRFLHITGMLRSKWFTRQLVMGFDILHSSVPDPHPDSHYKRGASSDNNCHRGH GSAGYFGAVGTDCDAPLILMNATFRWIEQNLKGNIDFVLWTGDSARHDRDEKTPRTME EIIYLNEILSQKFIDVFQDSIPVVPTIGNNDIMPHNTMKEGPNRWTKTFLDVWGKFIP ESERHSFVEGGWFTSEVIPGKLAAISLNTMYFYSSNSAVDGCDDKDEPGYEHMEWLRI QLKLLRQRKMKAILIGHVPPARAGSKQGWDETCWQKYTLWLHQYRDVIVGSVYGHMNI DHFIFQDSHDIDIVDLEGDNTGTENFSIQSIANYLDALRDQWSDMPSPPSGLSIEECL DEDFAETDGDGLWTDISKKRKKKKKFLRKIGGPLAERYSVSLVSPSLVPTYFPTLRVI EYNITDLEDTPIWSDIQENTEVSYPDNDDIPDVLEPEDSPIVDIDMKKKKKKKPKKPN FKVPEPPPSSALPGPGYSNQPLSWLGYTQYYANLTRINEEMSLRESMYRDEAGNNVNF TSVDDVFDFEVEYDTQNDHIYKMKDLTVRSFFDLASRIANNIPNTLESPADTNDGDCN SQKKKKKKGKKKKNKAWKTFLDRAFVGYLDINNLEETES ACHE_30231A MARLTCSGLVDCGFLSSLDDILPSSDEYPDLQKRPIDGLNKIGN FMLGAAQWIMWSDECHYVYQQCTKVESVSGLRQMWSMERWREWKRQFAFVAGDERFAQ KYREVAERSHRQMLICEGEDTAE ACHE_30232A MPTLNVNPEYSDDFEHKPLPLKLYSEYDDEEDLPIKKRLEALVN GDMSPSQAAIDFDTTITEVTNRRQNEVMKRPDPQALTPEERAQGVNMYDLVPNPRLAI HTIFLSIA ACHE_30233A MTLEQRRQDLELRQMEAAVKAQEEETRAKQIANEKAELELIKER RKLQEEETD ACHE_30234S MTAAITSWVLNPIQSLTMSRPRTRKLWCTLSHDLQQSFPIECAA DQDDIDTLKKKIWDRLPARFEDTLTDYSELKLYSPVVQLDYEEEFDVKNGEFLNPRRM ITSLFPESKDPDVDIVVVVSGGATTRKRKRSESQSANIPRIQYITENKLICPRERTVS KLAAILDDVNIVHVRGTPASGKTCLSELLRDYYRKEGRMVFLIKKWEELDSGDPWESF IELVKKRNKELQGVPTTGLTMTSSQSEQDLSWVLTSDTVILVDEAQVTYNDTALWNTI IKERRSLTCLYNFRLCLFCSYGSPRTGPDQTFFTPVTLFDKQRISLTPQNQSRSPPIG LFYDKEEFKDVVSRLLTYKYPERFTFDESAQDYIFALSNGHPGAVESIVNVLFQNYRH DIKYETIRTLTEDHVIWFLEDTATVFDKLSRESVDHSFPHIKRCTSKILKILNTITEE GSIPFDINDADIRFCYQNGWIHRVALDGDNIAVLPSRLHEKYIEYSIGTMSQLLPARF DSLPKLCEEILSKFSIMNLRHSAEGKKMSTASQPRPVEAQYQAEFYRGFVHTAGQGVP ISTEWSRTRDGRVDFYIPEKKWAVELLRNHIQVNEHISRFKEGGKYHPWLKEKMVKDW IIIDCATSLPTNEFTEPRLWHAVFINDYSVLQLYNHQQSLMMSVHLKN ACHE_30235S MADIDEDKLPLDFDDCEWLDVWMASHEVDQKALGAFAASTGLED PFLSAMLYKVLGLSVMLSKKLLRARRLRRLDPTRETRSLQMYHHIIWLSREGLLILEE FVLPMVEAYVELKVLAHKLRASFYHIFVLFHNQPAVHSPGIIGLPANGATISGTGSGV LSQEPGTRLSFKSKPEILPVPVELASRWERLSAGRVTQHPPGLAPVQPPKPAASFLLP LQDYTTTATACFNHAATLAERMLPGSHPLRLSVKLEYAAYIHDCLHDPTACRRLAKQA IADVYNAREGMDDESFEDAAEIVRVLGRMVKRGGKTSSSGGSTTQTGTPRGDKSRSEG SETSQTRRGTATTTTATTTTTMVSPKSETPATMTNRL ACHE_30236S MVIAVGADFSRIVKDGSVDTRLRVRATSGQCWPWLCGDSLPRPR PAAVPSSFETASVLPNDAPTVYPWKNASTLIRSVRAFTAFIQQLDDRQLLPSFSTRSV FPVETTLLHPSSNLSVVSSSPLHPLRDDSFEPMVYDNNYTTLMTNKTDTAPRLQFCDR FSGAWQQACHTVHDTVKTFFFPYDAIDSTHLPNSSMHPPVSLSSDTHQRKLPLPHNES ESTVAPKTIPSANAAAVLSGDSQDAGSCRNPKQVRGSCMAIVIGLVMGIIWF ACHE_30237S MSQPHLQLFNCLRDSNENSGFPLFPLLPPELRLKIWNYSLQHPR IITVRFEVRTDRQVDEDGGSTRYRAIVDGHQLMSKLMRVNSEAREAALSFYRVHIPCT LMHGISGEEVMKPGTFYFNPEYDFLWIYPGILAKNTLLDFIYHLKHTHDPCRVGLLNL ALDTHSLNATDLNLLEPANLNAEHRDAFVGTVSQLREVFFVEKVHTGRQVLGLLSGIP TSETMFNRSFPIMATTPNFERLRHDPRHIADDLKRVYVESDPLALLHQWQRLLKKWDI SPSSVKYRLMLTFSPPGGEFPIFDHESAKRWVEKEDWEWTGKWRLDDSLTNANLALRY FDITNQVTEYPIGSLHEKYKNEDLEKAVKPAFGFWLFPMNAFGTLPPEAVSEEAWFRP FTKELLDMTEHWPELGLLNLPRMS ACHE_30238A MADSLNPLSIFTTHPQSQRYIKTTRDETDADQNATTIIETTFDL TLKITKSPDGTPSCIESFPSTEQPPSNEAKPRHYRIDPDYETGFITRSTSDLQPNEER DVTAEHVLKTLPESVQEQYKAWVDAYNGSYKTRIKDTGDEEASVFSTAEEDVAWSVAG YLLVWRLTYAPEVGSVQYYGGNLRSFIVGERELPSTVGFLKAGVEILKSGKPSM ACHE_30239A MPPNQEPEAGYEKWLFLEYFPDNTLWINKPTLDDIRATTQLEQN KTSSAAPYIVSSDTSPKTVEYISDNPEFLGKHVRIFYNRKYRKLVIKLVHHAHEVARS LISREIDIAADRMSIVNGLVPDGSKCVVSGQYTKEPASSWRPATLPPSRDAKWPSLVV ECGDLKSITKLRIEAEWWLTKSQGDVKVAIVLIVWPTWSGISLEKWVPDPNGNSNPND SATGTAKCIQEIGLQCKARNTASIEISGGSLRLGFEEVFLRTPSSPRERDIIVSEEAL KSIMGLVYDEFVI ACHE_30240S MQAANRLQQALRTERGLSFGAWQMLPGANHARFMARTGYDWILV DTEHGNIADWQMHEAVAAIAAEGASPIVRIAANEGWMVKRALDTGAHGVVVPLLYTAD DAQRLVESAKFPPVGRRGFGSPFAMGNTGKVSATEYLQTANDSLLTIVQIETKEALEN VEEIAKVPGIDVLFIGPWDLGNNIGRPVLGEFHEDLSAAIDRIYKAAVDNGKRVGIYC AGGAAAKKYADQGFHMISVVADAVALPTFLSNALETASS ACHE_30241A MFATRALLQAARVTLFTRAGCGLCDTAKHTVVQLNKRKPFNYEE LDIMTPANKQWKDFYEFDVPVLHVQSVKDQQISDPKKLFHRWTEQEVENLVDDMKTQ ACHE_30242S MASKCVHKGCGKEFSNADEDCVYHPGPPVFHEGQKGWKCCKPRV LTFEEFLTIPPCTTGKHSTVDDTPVEPAQPAPENAAAEIPAPQRVTAEPSRPAAAPAV APTPPAPATPVPEEPEDDDPSLEIPANATCRRKACNAGYDPSIPREEEKCVHHPGQPI FHEGSKGWSCCKKRVLEFDEFLKIQGCQERTKHLFVGKGKPAGEEKVETVRSDFYQTP ASVNVSLYLKKIDKEHAKVNFSGNSIDFDLPTTDNKRFKDTYSLFAPIDPEKSQFRVL GTKLELTLAKADGTSWPVLRSDDKWSGERIQIGNAGRV ACHE_30243S MGSTYESGNDVLSSLRYIPQSYNHADSQASALRLVLTLNPDWEG PGNNIELVRFTDGITNTLLKIINRKPEWTEEQIDHEAVLMRAYGNCTELIIDREREMK SHALLASRGLAPALLARFQNGLLYRFLRGTPANHLELAQPPIWRGVARRLGQWHAVLP IQGKPAFPATKELPIVKNPVEIESEISEKPDTNGPLIQPRHPGPNLWTVLQKWILVLP TATEEQQARRQRLQAELERVVKEFDDGKGIGEDGLVFAHCDLLCANVIATEESIESGP DEEVRKVHFIDYEYANPSPAAFDLANHFAEWAGYDCDYSMVPTRFVRRQFLSQYIQSY RYHREIPDSAHEEMVDRLYEDVDRFRGIPGLYWGVWALIQAQISQIDFDYASYAENRF GEYYAWRREQDGSRVQEGEEMPLRERRWAQEA ACHE_30244A MSNRLGIASMSLGRPWIHDLPSKLHQAAVHGYQGIELFFDDLDC LAQTRHNGSHIEAAHQTKRLCDNLNLEIICLQPFSFYEGLLDREQHEQLVTTKLPQWF QLARILGTDLIQVPSNFLPPDSTTGAPRTTGDKDIIVTDLQRIADLGLQQNPPFRYVY ESLAWANHIDTWEKCWDVVKRVDRPNFGICLDSFNIAGRIYADPASPTGKTPNADADI QASVLRLRSNSNKIDLEKVFYVQLVDGEKLSAPLDEKHEFFVAGQPARMNWSRNARLF PFEEDRGGYLPVADIARAIFNDLGFKGWVSLELFSRTLADPSPETVREHARRGRVSWN KLVDVVGLKEPCPAPASTASYTPVSDSYSSTGLQHRL ACHE_30245S MSLRIVIVGAGLIGPRHAQSVLSNPFTELAALVDPASSAETAAS QLQTIYFPSISSMLESIPRPDAAIVCTPNHTHVAVSRELLENGIHVLVEKPISDSIET GLELLKFARKPGNAHLKLLVGHHRRFNPYVLKTKEILDAKSLGQVIAVNGLWTLFKPV QYFEPPGDWRRKQFAGGVIPINLVHDIDVLHYLFGPVVRVHAEKTLPQRPNPPHEAEE GAALTLRFASGVVGTFVVCDATPSPHNFETGTGENPLIPQSPTGSDSDFYRIFGSNAS LSVPDMSRWSYDGRAEKSWNQSLAVERFDVTHATPFDMQLGHFVDVIRGNAEPSCSGA EGLRALIVCQAARKALETGQPVDIDTDLFKAST ACHE_30247S MATQEEPITSPAHLDGVAYLYGHPLLNSLSPPLHQTVYNALGLN WTQIPLSSVTGTSATYPPPYTKSPPIPTFLSSIRANPKFVGSSVTMPWKVNIMMHLDD LTEDARQAGACNTIFLRKEGDGRTSYVGTNTDCIGIREALVQNKLPTTDGDDLFGGKP ALIIGGGGTARTAVYVLRKWLGASKIYIVNRDRAEVEAILKEDGQRNSNPVAPLIHVS EPAVAAGLEKPAAIVSGIPNYPPKTPEEIKSREVIQAFFEGHSPRSVDGYKGVILEMC YHPVPWTEIAQLASDAGWKVILGSEALIWQGLEQARLWTGQNIAAKPEIVQQVKDVIA KSIASRAPSKSNL ACHE_30246A MPFIQAELDEIYAFAVDLGKKAGQLLLDGVEKRIAGASHEFEEK ENAVDIVTQTDEDVEAFIKTALQQKYPFHKFLGEETYAKSQSREYLIDEQPTWCIDPL DGTVNYTHIFPMFCVSIGFIVAHKPVIGVIYAPFTDQLFSSCTGRGAWLNEKRQLPLI RNPSIPPMPANAPRKCIFSCEWGKDRRDRPDGNMRRKIESFMNMAAEVDGRDGKGGMV HGVRSLGSATLDLAYTAMGSFDIWWEGGCWEWDVAAGIAILLEAGGLVTTANPPENID TAPIEDVRLGSRLYLAIRPAGPSKTETGRQTQERTVREVWRRVQELEYTRPGA ACHE_30249S MGKSILLLNGPNLNLLGTREPHIYGHTTLSDVESSCASHAASMG ATLDSFQSNHEGALIDRIHAARDNVDGIIINPGGFTHTSVAIRDALLGVNIPFIELHV SNVHAREPWRHHSYFSDKAAGIIVGLGVFGYKVAVEHVVVNFKSREERAAL ACHE_30248A MSNEMRQASGGSGGKAKRRWTDANEDIRANPADESAAYPKRQRV SRACDSCRSKKDKCDGAQPVCSTCASLCRPCTYKTNPKKRGLPTGYIRTLELLYGIIF TKIQGSEEVVRTLLKAANIPSHLASMGKEAEGSDTLLASWKNSIVLREIESLLILLEQ PEEEQNKGRAPGNSPPDPEANSIISSDTLEWQIPDVLVDRESSLPAILSPVKTPTITS AVKTPTQRTTTKDSGVQAWLPVNEMNYSVPDRSSQRAIDSPATVHHNKDDHHRLPSNA WSLLDIYFTYTQCWLPILEKHDILRTAFRYAEDIQISPTSAGSGGHAALWAALTLASF QEVSIAATRQLTYLPKNHPDPHNLYTTAKSLLPSEEGEYEIGHVQALLILSLIKLGQQ DWATAWMLVGQAVRIAQYLGLNHKSNDSGRSKHVFLGCFVLETLIAAQMHQIPSLQKE DLSVIGPINEDGLEEWHPWEDQTGLRPSKPSSDSFHRGPLHALSTFNRLVSLVSILNE LCCLRHRSTASVSQLEAVERQLQLWVSALPKAYRVDLQSNPTRSAPHVFGLEILYEGV VTVLGLEIASQESNGAMTETPRKRRAIESSKRLLLLLQTYMETYSLSATCPTFGMILT LGIQRPDGAQRMSSSAELEFGLQSKLESFSSHVASVWSVPEKTAGESSTNGVQTTITS TSIPANRQGSFANISPSETSMLSNDIMTQGPSRFQATALDPRSEAPRIDMIDDPRAGI NIDSFLSNPWMRTPASLDDSISLLRTPTPSLTNARTAAETSRQPSQLNGPTPSRTRHS ISTTKPIREASLLSNIPVPFQPATSQCPPTYNDPNLNLDSFVDMDGYGQPRRQRIAPD LDALFDELASLDGTEKADNQPEFMQNLGFVPDAGMPELYPSSDQTEPFLLAQTQQKPG PETSTGRRES ACHE_30250S MSILARPLKRKLREIEDLEERNGPVSRPPNPRNGAPKQAAANWH TPQFQVIEDPHWAASSLRESNSSRPSPVRFDSPLQAQRKFDPHASIVLVGIRGTGKSS LAVMLAATTGNRLIEADRYFQQVTGRSRAAFKNEYGITEYRQQEANVMESILNEHKKR CVIVCGPGFMERSGQMLLREYSKTHPVVLILRDSESIQSYLKVYDNDKIRRFFELSGP IYRACSNLEFFNVSEKCAGEYTGQHLESEVDQRHQNPTPFLTLKRLQRDFLRFIALAT GGATDMCTQHASFPLSMIPVESRTYTYAVSVPISSLLERYMDIEDLECAADAFELKVD AESPPKDPRVDSSFADKITETVATIRRSIVVPMIYHVESNISGQAGGNTRRSEGEYLN LVQHGLRLSPGLVTVDLGYDDALLSQIIASRGSSRVIGHYAARQPLFEGWDGPEYMAL YERAKRLGCDMVRLSQPATTMEDNFAVQRFHHRIKNLSDSHLPLTAYNTAPLGRLSCC FNPILTPVVHPSMASETTSKDLPCITIHEAQSALYSSFTLDPMQYFVFGANVNYSLSP TMHNTAYKLIGMPHVYGIHQSPTLHGLNELVENPHFGGTSVSLPYKTEAIPLLHSMSP HARAIGAVNTLIPIRNLDEKDNTILTSQHSSLYLEKSRAGPIKALHGDNTDWIGICNC FRRGLSPANAVRPASTGLVIGAGGMARAAVYAMIHLGVQNIFVYNRTFANAEKLAVHY NRQKNLASNSSQGYGQGRQTTVHASLKSLQDPWPAGYKQPTVIASCIPAHSIAGQPAP CFEMPSQWLESPTGGVVVELAYKPLNTPLIKQMRSLSHRGWVALDGLDVLPEQGFAQF ELFTGRRAPRRLMRTIVLEEYKAEEGQDDPSLIQSRLENMHGQPT ACHE_30251A MQLGLVANVFLSLCLSVAAYPTNFTRAPIIVDNPSKLFEARLLE KNSTTIRGALNIWARSVGVKVHADFWGLPREKALPYHIHARRVPENGSCEATGPHLDP YNRGQYPPCDATHPETCEVGDLSGKHGPMYTAVFESFEAEYPDHFLSTDPDSPAYFGD LSIVVHGTNGERLNCGNFVLKQTGTEY ACHE_30252S MTLSQNHYIIQLPSTPEAPRFVDPRGVAQQWLNNLQTLLAIGDY AKVPELFHEQSWWRDMLALDWDLHTVQNADRIKDFLSRNQPRFQLSSFRLQHQGRFQP KLENPRGDLNWISSMFFFESRVGNGTGFLRLTVNEAGQWKAYAVYTSLQELKQIKEPL GVNRAEGTIETMPGGLSKGNWIERRKRQVEFLDEEPATLIVGAGQAGLNTAARLQNLG VSNLIVDKNERIGDNWRKRYRTLVTHDPVEFTHMAYLPFPKNWPQFTPKDKLGDWFEA YASIMELNVWLKTSIKSAEYDDSKSQWTVTLTRGDGSERVLYPRHIVWCTGHSGEPKV PTFVGQERFKGQFYHGSQHRDASESDMKGKKVVVVGTGNSGHDIAQNFYENGADTTML QRSGTYVITVEKGVFMMHEGLHEENGAPTEEADIVSESLPFPVQFALAVDFTNRVRAV EKDTLEGLEKAGFKLDFGIDGAGISRQYITRGGGYYIDVGCSSLIIDGKIKLKHSPEG ISGFDERGLILKDGSKLDADIVVLATGYDNMRTTVRKVLGDRVADRLVDVWDLDEEGE LNGMWRPSGHPGFWYMGGNLAICRIYSKFLALQIKAIESGLNSQPSALKL ACHE_30253S MTIQTRRHSSAYKANSNRVPDTIDLEKQPPVARYKRRRRSSFDS DSDFESSPPPQAVATSYQQAQQQPMLAPAALRRTRAGVGFRVPNRIMRWLCLALFSGL VLFIVTLFRFTLASSVMNVHIELPRPMAKPPVWESFPFLKRYQGGLKSLVPRKDNAPE YPGDGSEELGLGAADAIAEEENRIQSRDNGGLPFSSSVFNPYPEYSSPEYVKKYGEKR ECFLDEEQTVKVPQVHYYPGVPRGFPDAVIGSNEVLGIKDDVCFDRFGRFGPYGLGYS VRKGGIGAGLEGHRDGSERVWEDHPPVDFRKVDWAAAQNRCVASNNHRFKDLPEARPD RFLSMPVGVPKHEKPLSTNEQKHPPKTGTDRLPRTAVVIRTWSDFRYTPEDILYLRSL ISELSLLSGGEYVVHFLVHVKDLNLQIWADDEIYERVLNDSLPEEFRGMGTLWSEKQM ELMYPGLEETGMRGLPIYGVYRSTFMCMQYFGHQHPEYDYYWNWEMDARNTGHWYHFF EKVSNWAKAQPRKGLWERSARFYVPSVHGSWEDFKQMVRVQTETGTNSPNNMWTDPSK GGPGIGRGSWQSQGDQSIWGPVRPVEQDILEVEGEGIPPTTMEKDKYEWGVGEDADLI VFNPLYDPEGTTWILRDDVTGYNKDNGMPPRRTVIITASRLSRKLLQTMHKETSLKRH SMFSEMFPATTALHHGFKAVSIPHSIYMDRRWPTTYLESVFNAGRNGASGGARTAIFG EREHNFRGTTFFYSAGFSPNLWRRWLGYRVDNDGGEQEELAGEGRMCLPPMLLHPIKE VDMVIDDGEKSENELQNDQVIKDD ACHE_30254S MGQSQSTDRPELYEFPPPVQTRDELTQRHADRTASGLGEERHWF EKVFNIFCDTSESTKCLLIGVVKTGTIEN ACHE_30255S MEAVPIIHRYLLRLGSFPYQNDPEELLIQRVLRTAVILLSNFLG DVDSAYFSNNERRICFQSMASLASGKVSRNKSLREEADDRDLINVLSGMKNRRRHPDQ PKVMIQGPKQPLPRSSRPLGQEIWINSFQRMSSGRFYV ACHE_30256S MQAFLRESYNPSAHREAPSSGYIFSLPILSQLGIMLPRYLLLEG VSVDWTARINQLDLGKLKDHITETPPIVLLVSGSSQDQQVRFGLFYPKGSVEEERPCI FQLEPVHRVLRTPSSKKENVSIQVDLDKNKNPILAALITWKRESSIAYEPDGEGSALI GRLAIEYDTMSLVVSEEGLGRFTVQRENYPKIDEHFRVDVVELLKCDHQRVFVGDFDY ACHE_30257A MYQKQGRQTFLAKAFDLMKDPGGKPGDKYIGSSWSANSDTWWAD HYNIDDDGKDEDVANWKKDGLADIYKYLQQQGEELQKKSQPYNVIGKVAGNNCITEAF LKTITVDKEKCE ACHE_30258S MTNHYPPIPFLPSLLDLNLNLTFSNDTTTTTTLLTLLTIPLLLF LSHTIRKDYAAFIALGPGGVPQSPKGYIGFCCLRPFALRNPFEPPRLPPTLYPQDGYL ASDALPTRKGPRPVVKGIAPQRQLTQQGNQTTYEMLSQELLTLVRMHTHTHGLYTDTS CFEKHSVGVFVAEKFRSRVTCNGEVCHAHPSDGSMHLCLHPADVKVFLVKGWGQRHPI AREKSWWWRVLRTGKRKLPPNFVMVYAPRDEKELRVIVDIVRAAICWVSGRGLRWERE CVMED ACHE_30259A MGLTFSKLFDRLWGRKEMRILMVGLDAAGKTTILYKLKLGEIVT TIPTIGFNVETVEYKNIQFTVWDVGGQDKIRPLWRHYFQNTQGIIFVVDSNDRDRIVE AREELQRMLNEDELRDALLLVFANKQDLPNAMSPAEITQQLGLQSLTRRAWFIQSTCA TTGDGLYEGLEWLADALRKTNRD ACHE_30260S MKLTSIPPPDLVVIEFKSIAALQQEAEALHRKLNEDGETGNQYL VVKKMPRGASILLGVYRKMMDSVTYRISWEGEKGQIRIIPSPAYAMIIRSLTDKITDA LLDKGVEIFEFGWGSTTAYRSLTGHGGKQPDDCFLPPTRRTLPAEEVKWPTLVMVSGM SESQEKVFEDASWWFENSCGQVRMVITLLINRAKKTVDWEMRQLAKPGQLPPGRSYFT KPYLDMPPLALQHPADQQQYISQMGHISQNREIKNMRMYIHFRALFDRKPGPRETDIQ LSAHDLIDIAKYL ACHE_30261A MTESGFSTSSAFQKPYEYYSSFDKQPHICDKFCDIDDEANFQTY LHLLEGAHTRNFVLDFGNEDAWCAVNLERDDIASLLRSPKPRCFGTRWINIWAPEEQK KTIKTIMSHYGVSERLQGMMCTEPVVQAPTPKPEEPVPISPGASTLRSRRSRRKSIDQ STQTGVDDLESGISMRDLDMDMEEIHRAASFKGLTFAQVANQIWHFCSVDHGPRYTCI GYNSLYVTSKVQISNGKDLPDGKRLWSWLILFDDGTVVSIQENPYPRPAGPLPDEVRT VLGTVRRNIRFIFSGVSRQYSATSESESLVTIRVRGLNSSAAPDQSIKQEDSPSLLFY YIFDDWFSSYSLVAKREHKYGVSLDQLRGDMLNRPAVELVGELHWLGRQLAVLKRVYQ SYELIMWRLLQRQRLLREEAKNRPAFTIGSAFMESDFGDLRQPTQQSNLSLISNYDTQ VGVQLSSAAVARFERLLDRIKLYCLSEIESCLVEKESLTFLNFNLIALKDSQAVEKLT RVTVLLAKVTILFLPVSLMTGYFSTELAEVKGVYTINQYWVSFGVIVFLSFVLLVVFG VASDTVEGKTIYKSLFRTFFRFSKHRMSRPRREGVERN ACHE_30262A MPYSAVQQEGRILLAIDALNKNQIRSIRDAANAFDVKYSTLKHR VRGRVSRVDSTPNGRKLTTTEESTLVNWVLAADGRGLPPRISTVCEMANLLLSNRLKS APSIGTKWVQRFINRHKEIKSKYSRRYDYQRALCEDPKIIMDWFQLVQNTIAKYGIVE QDIYNFDETGFSMGMASTAKVITSQVQSRAKAIQPGNREWVTVIEAIGSTGYLLPPLI IFAGKQHQSTWYQHIPDDWVIGVSENGWTNDKLGELWLKEVFEKHTKMRTIGTHRLLI LDGHGSHATAAFDHFCTENHIIPLYLPPHSSHFLQPLDVACFGPLKRLYGQRVQTAMQ LEINHIDKVDFLAAYQQTRPQAFSLSNICSGFAAAGLVPYKPENVLDELHIQMKTSTP PGSSHSKESSSWTAETPKTTRQLQKQSELIKRLWRQRTHSPPSPISQAVEQVVKGAQR AMQNVLLLEHEVKQLRAANKTQKRKRNTTRTFIAAGGILTGAEGQQRSQEAADLLAGV VDEGGERPRKRAPPRCSNCHQIGHIRSSCTTR ACHE_30263S MSKITVAGVRQNVEQLLNYSQNEKKRNFLETVELQIGLKNYDPQ RDKRFSGTIKLPSVPRPNMSICVLGDQHDLDRAKHHGIDGMSAEDLRKLNKNKKLIKK LARKYDAFVASEGLIKQIPRLLGPGLSKAGKFPTPVSHSEDLSAKVTDVKSTIKFQLK KVLCLGVAVGNVGMTQDELVANIMLAINYLVSLLKKGWQNVGSLVIKASMSPPKRLY ACHE_30264A MNGIALRGRKTPRPQGLVCQFCQFSKPPTPRRAPFARQHVSVSL LHRNGHWGQLRSKNGLKKPSISSPLATRRFESSTFQPSSPLDPETTLQEVAHEASIIH NTDSVPSDASVVQLLEKCQAIAEALVSREQDHQQEASSAPKEEGNAISSLLDLEEKNA KKRPVPAGDTPQLAKSVSRIANDIVKDEKVFISPSVLACYTKVQTLLKNAEHFPEIFH LYAHKPVPEQNTSPVKYHKANPSNIHSAVPSELTNMALDVAIEQRNLSLVLAIIDNTF CTKAFHRSKVFRKASAPLLGMATAPVACYSIASWASSLQNTMDPSTATGIAFAAGLAY VGGVSSIGVLAITTANDQMERVTWLPGVPLRHRWLREEERAAMDKVALAWGFKSIDYR GEEEGEEWEALREFIGMRGMILDRTDLMPGMQ ACHE_30265S MPPPPPPPPPPGGMGGPPPPPPPGNLPSRPSKGQAKDRGALLSD INKGTRLKKTVTNDRSAPVLGGGSGGNTGGPPVAGAPPVPTMGRPPAAPPVPSGNRLR SGSEAGSGVDSGPSAPAAQLGGLFAGGMPKLRSRGGVDTGANKQDSPYTDSSAPKPPV ASAPKPPTVPRPPGARPPPPPPSTESSPAPNPLVAALRKPPPRPASRPSSTVSSRTAP DTPPPRAPPPLPGSSKPPPPPVSSRKPSAPAPPPPPPFSGPSAPTPPPPAAPRPPPPP PGPARSTPPPPPSAPSQNGVGGASIAVQAARNALGHKESAPAAPPPPPPASSAPAAPP PPPPISPPSAPQALPPPPRAPPQETSPYTLSNGGPSSGAGGGHGILEVDDNRFKFQSE GLFPKPRPFSREVRRYRAGRGSSVPLDLSALSG ACHE_30266S MSLNPYINKKVLILTVDGRTLVGTLLSTDQLTNLVLLNTIERII RTPDDPEPSSQIEHGLYLIRGDNVVVCGEVDEGVDNDIDWTKVRGEVVRGTKNA ACHE_30267S MWLYRGVQSAVFYYASCTPCANSIDRRKRLKDAVRSKRERERSE ALVTDQPRPFAQPIPFSTNPGWNEEIALGPGPPARNHRALNRRTDSWNVDGMSDCSLD ADVEGPSSSQKKDKRPLRGDRWSRMRYQREDEVLWGEAEVKGSSVGLSGRGKTDAKEP SKYYIARVPPVNDLHPPIVSGPKSRAETRWMLQPPPSAKVMAGKERSRVSVRCSPPGS SRLDEDDEDVVLPERNQQQRQLQPSALSSVPEKSKPPKPSPITVYPSYHAQRASDPEQ SPRVHRLSSNLHAHGRDESNFVIASLHSPSEPPSPLSSPAESEEGMSWRCPETPVSRP VSKATDESKVYRPAISKTLSTLHRDHSNNKVQLLQLEINDHPDDVGMGQFEQIRPYRW SMDI ACHE_30268A MAGEKDPEKNDGQVVQGAPQSQQNAVDQHDYSVFTVNQKRAIVA AASLASFYSPLSSSIYFPALETIASALGVSTSKVDITVTTYLIMQGIAPMFIAGFSDT AGRRPAYIICFTIYLAANLGLALQNSYAALLVLRCLQSAGSSGTVALANGVVSDVVTS GERGAYIAYASLGGMLGPSLSPVIGGLISQFVDWHWIFWFLLIFAGVFFILLVLFLPE TCRKVVGDGSIPPPALNTSVSDIIRHQRRKRKGLAPPYSKTAEIRKNHTFHLPSPLPT LKVSFDLETTAILLTTGLLFACFYAIMTGATTTLHEVYHFNNIQTALMYIPIGAGGIL SAFTTGYFVDRNYRRHARRAGLPVIRNRGTDISNFNIEKARIEIALPMYYLTSITIIA YGWVMGRQVHLAAPIILLFLCGWALNGTSQVLNVLMVDIWPGRSAAATAANNLFRCEL GAAASAAINHMRLAMGWGWAYTVLALISIAASPALWLVSSHGIRWRQRRAAKERAKAL GKGS ACHE_30269S MSCEACRTNPPVIPQGYTPKGTYQTLASLNTYITGPRDATTAII DIYDIFGLSPQTIQGADILSTRLNALVLLPDFFHGDGAKHEWLPADTEEKMSALMGFV ESKADIKTNVGVLGEAVKVYRETFLGVKTWGALGLCWGGKVAVLASGEGTPFAATAQV HPGRLDISDAEKLTVPHLILASKDEPADAVNGYADIISKNGHGGLVETYEAMWHGWMG ARADLDKEESLKEYVRGYAQAAEFFEKYLK ACHE_30270A MAQIRGTAGYNLGHQNPFGGPGRADATSDPSPLDAIREQTSKIE DWLDTLADPIKPYLPAIGRFLIVVTFIEDSLRIITQWTDQLMYLRDFRSIPWGLTHSF LIFNIISMSICSFLVVVRKHTEAAVAGLLAVVITQGLGYGLIFDLNFFLRNLSVVGGL LMVLSDSWVRKKFVPAGLPQLDEKDRKMYVQFAGRVLLIFLFVGFVFSGQWSLWRIIV SLFGLVACVMVVVGFKAKWSAILLVVLLSIFNVLVNNFWTLHPHHPHKDFAKYDFFQV LSIVGGLVLLVNMGPGQLSMDEKKKVY ACHE_30271A MMNPYLSWAILLVVAGSLGWYYNGPTPKAAAPVKPVVEKPEAAT GAKKPKRKPAKKEKSPEPTPAQRPEEKKQPTVEVKPQEAEKADEEIDNKEMAKRFAAV KSGTIPASGSSKSQKKKKNNKKAATTERSPSTTGADADDDLSSTGSPVVNPTSGGYVS DMLEEPAPAASVLRVTGSVESQPKKQKPQSFKPVETKKQRQQRQKNEARKEQVKEAEE ERRKLLEKQLHSAREAERREAAKIKPAAPATNAWQTKNVNNVANGAPKPAAVPKVELL DTFDESSSAKSGSNNQWSKDLPSEEEQMRLLSGADEWTTVSTKAKKPKKKGGKADESV SEASASESNQPATTASLPAEPKVTVTPTYIPDILRSKQKGHPLDSDWAA ACHE_30272S MPAQKIIIDTDPGIDDILALLLALSAKKEEVEIQLISLTFGNIE VRSCLRNAISMFHILEREMQWRREQGLNEGFEALQAFKPVIAVGAEDPLEAQKMLADY FRTYLCGRIGGCGSMVANLASDGRDGLGGIHASHPHLTPKETWEHLFDPTADDLVIDP VPAGGNISQRSFVPSKRPAHQEILRVLAENDPETVTIVAVGPLTNLALAASADPETFL RAKEVVVMGGAVNMPGNVTPVGEFNAYADAFAAARVYALTSPRPHTTLPPMSNLSLPP YPTQLSKQLTVRIFPLDITERHGVKRGQFRQAVTPFLEKGSPLAEWVSAFMAHSFHTV ETLSPGNEGDAAELSLHDPVCVWYALTAADPGWKPSAASPEDIRIDTTGQWTRGMCIV DRRNRNKVEGEDESPSDHGLWLNTKSGNRILRMDESPVEANFGEILLKRVFGC ACHE_30273S MSRSRLPPTPSMSGEFIVKDQPAIEGTDVFALPPAAYDTTGANR RPSKTADLLPASPAASPEFMALGRSTNTRQQTSGTKRPLEDFDLPPPPTRTRKIIQMK PKPQQSPSTKSGQASSGKASKESGKAAASSTANAAAAAPASGNKKKQPSATSAAGRKI ARKTAHSLIERRRRSKMNEEFATLKDMIPACTGQEMHKLAILQASIDYVNYLEQCIKD LKTAGGAAPSRLPPGPPSPTSPEFLAEMAGSERSASASPEFLPENTQIPDTSPSFSPR TRIPSMSTTYEFAPSILPSPALGPSHPEHQSSWPVSTNTSPALQPQQNNADVDHEASA ALLMLNQDRRGTLDSIIDKVTDSSLKSRNCEGQETGKRMGMSVRDLLIS ACHE_30274A MVGLISIVITDTSTLEQVAVLRSYVTVVAIAGYSLGAPLGGILA DLVGWRMSFAGQVPIVLICLLIVTRDIPSSPPPQDEEAAAQDDISNSNGNKRKPGLRN FDVPGLLTFLITIITFLLFLNIAGQKLPFTHPLILTVAAISITSATLFFLLETIWSPS PLIPLAQIWKNGVAPFCLGQILLFIATVGLVVQIPSFFIRTQSTTNSQAAAHLIPLTI GCAVGGLLSGQIINRTHRYKPLSLFSVSLNTVSHLLVFFTWQYGANFISSLVVFITGL AHGLVISTQFVGMSARLDKTIVASSVSVYYLCQNLGMIGGACLGEAVEGGVFSQVLRK NGLTSEVVKGVLNDNRFAARLPLEVQSIVADCYLYAFRFIPGMYYPTTVLNVD ACHE_30275A MSVDLPRRRRRQGERDTRPKTLSSLPQPTARWQSYVFRWPRSML TPAFEMTQVSASVTQQKTGHEATVQILLATDRVDPSARDNRERTLLWFTVQGGSIAII QMLLAMGKVEFDCEDNDGRTPLLLAAAEGVKEAVEGLLRLNHEGLVDPHLKDTYGRTP QSMAIRKGYTRIARRLFAVEGIDPVLIDSMQNTPLVLGARNGHVEVVELFLSTRRVRI DLRDRNGFTPLMLAK ACHE_30276S MARKAALHPPVIVMSKFRSQRKKSARSHASEGPWKADDPFGPPH IPSSSFPTTGIRRIRNTLSKLSPSARAERQILKQKDRHRHPLTERNVKALVSEQELGD VFRPTENTTEIQVSAWLERVH ACHE_30277S MTGPKKVAIIGAGPSGLVTAKILLHHHPNGTFAPVIFEKRHNIG GLWPSSPTAPMNNNEHHSTPLNENGRNGSTVGPLNPFMRTNLSRFTVAFSDLAWESVF EDTEVPMFPQAWQVGRYLERYAERFLPNGVLRLGQRVVQTSRKVGNGERRRWTIQWVE SSYENENKNQEIHSEEYDLLIVAAGYFASPSIPEVPGLYGFMDRTIHSSSLHNAERLL RKCHGTGGKLVVIGGSLSGTEAASTLALHVSSSKFTQEPTGKDHQVHHISTRPFWTIP AYLPRETTNRPSDKTVSFLPLDLVMYDLDRRPPGPVEYAFGPIPAQKAQKTNDFLHSL LGSDYGKFGNIRGYNEQASQPPWVSIGNDYAEYVRSGAVIPTIGRVSAVQRGHNSELA TIDIKLPDGETTTLDNVAAIVLATGFTPYNSLSFLPSPVLSLLEYSEKDPFIPIVLDG KGTMHAEIPDIGFVGFYRGPYWGVMEMQARHVAASWTRAEAGNCEVSLKKKEEERQRI RGLRNMDTQLYRGQFPMGDYVGLMESFASELGMARTPLLQLVSGEGKRSGPALPARYT FASGSSTERDIDRAITLNSLRSILSPDEFQTSAQSTATATSTAIFRALHGTWKTTRTN NNRTTHGKATFHPRYPTSSTYENEYLYQESEFVPEQQTSSITQATLTKALANRSVYRL RAPERDKTIGPNMPRILVWSVDVAKDPNTAARLSHGINSAMVAERYIDQRVEEGKEAC LGRYTVHAVGSVGKVAQYERREYTFHFQGVSILSWECCVKTDERCIGTMYERD ACHE_30278A MYSQKRLAALYILLGVIFTKPTECLPSTAQRLSNDWTELRCHHP SVSHPGGASNTDTQSVNRPGCAITLNCVPEGKYGPAILDSGLIMPLNVTVALSEDATM DTQRCKKELEELLFGARDDEQEVGASDTQRLDSEQPILLYPRQEETPETSSEGQKYQG IFDYLMSTVQRRGVHAADSVKERKANYQNEVASHSARNSTSNFSMDLKQVIFKKRPFS YYVTQCEESFCNGYDLERRCDPNSRSRRKPRLKNTCEFCYPQRNDDFIKRHCERRIKK EMRAFYGICALLAVSIVVAVILYVLHRTGRLLRINCRLIRGMRRSRFPSNPVTSNRFS SAVFSDNRIGSTRRSEDSTITNPDYDDSTTFSEFQRKFYQLGTTKGYRKRIQDVFDIE SLEPRNFEDEDLKDRVFVLPRAPNATVRRKSADSLRRVRGELRGPRQLEMVEVQSDTV RRVFPHDQSVV ACHE_30279S MPRTYGKKPKQTRLSFNPVGAASPDTQGSPGRQAKLRYGHPSMP VVCTERKRLDFNKQEGQKSRKQRPSSPSPVKSPDADEGEEEFRTVSSQDSKEEKRKPA RKEKKAIAVDEDNTEVEVAQTKRTRNGNRSSKRKRPAISESESNKSQESDAEVVTRPR RKLRRGAASKATIVHDDDEDEEKEDGFEKSQRKEEKEEDGDEDDDEPLVTPARRRRRN IVSEDLQTPRRGSDQDKIDIEEDLEDLQDSLVKETRTRGRLANSARAQRQQHLEMLRR RRAGDRSKDIPESESEPEQLSEEAEDEVEEEAASDGNQSPTDYQTRLNFQRENSDVES AIASNEDLDRYDDDFVLEDEENDTLGVPTGLEDMPFEFTRHAYKQTKEYFRDTVEWMV LNQLNPAFPRSAAMYQAAFTKVEDEVKGWTGSQVMSTVWSLDFYRALMARPHIEITSY PISDNHPCDACNRSKHPASFDIKLYGRAYSMDTLEALVDDDDENTREKDRNGNVLPFE DTRFYLGRNCKARAEMAHPAIHWRYQLNEWVVDYLNRMGYLSDEKILERSHWSRKRRA QYAVEIVAMMDQTDEVKKMWRDFKLHLEAARESGNERFDKF ACHE_30280A MVRLTEVEDEHFTQEKPQASKDNVLLATDDEEEDFTDTESEISN DSEIEVEGETLGDRISALKDILPPSARRQFSSSVSYISSFTKSSVLFSGRALWVISTT AFLLGVPWALAYAEEEQYVQLEREQGMIRGANEMLTPGGEQQAKEAQPTL ACHE_30281A MFLHISLYFDPFDSSTIFIIPHSTKHPKMVSITNGHPGSVNTRN KAILSIFAVMAGSWMLFRAQSPNKEDILLSKADVDTTLKGQTTSGKSGRSMAHQDQD ACHE_30282A MRPSVLRAIESAKPLARVPRSVSRSFSTVNQSTVKDPAELDQIT TLSNGVRVATESLPGPFAGVGVYVDAGSRYEDESLRGVSHIMDRLAFKSTNKRSSDEM LESLEALGGNIQCASSRESLMYQSASFNSAVPTTLGLLAETIRDPLITEEEVLQQLAT AEYEIDEIWVKPELILPELVHMAAYRENTLGNPLLCPRERLGEINKAVVERYREAFFN PDRIVVAFAGVPHEEAVKLTEQYFGDMKARQQGTGPVLSGSGIDTTLSDSESVAREGQ VPTVPQFTPSSTVSSGAASQSTESSFLSKLPFLKSFSGSSYKNSSVSPLDPSMVEPSM LDLKRPSYYTGGFIALPPIPPPANPMMPRLSHIHLAFEALPISNTDIYALATLQTLLG GGGSFSAGGPGKGMYTRLYTNVLNQYGWVESCIAFNHSYTDSGIFGISASCSPTRTTE MLEVMCRELHALSQDSGYWALQPHEVNRAKNQLRSSLLMNLESRMVELEDLGRQVQVH GRKVSVSEMCRHIEALTVEDLRRVARQVFGGDVKNPGQGTGKPTVVLQEGELEGYKLR PFPWEEIQERIARWKLGRV ACHE_30283A MPSIDGLNNHDGPRELWRHSSPQDTKMYDFMKRTSQKHGVPLDG YHGLWQWSVSEPAKFWEDVWHYTAVKAHKSYETVLESDQQLFPRPAFFSGSKLNYAEN LLYPASSPDENAVAVISATELDREYVSWKELRNRVRQCANALKEAGLQSGDRVAGFLG NHANTVVAMLATASIGAFWTGVSPDTGVHAVLERLRQIEPKILFADNASLYNGKLHSA QAKVQQIVSDLPHLERLVVFETVTSHRFNFADLQPQQGKAYRYDEFLSTASNPSAPLE FASLEPDHPVYILYSSGTTGAPKPIVHGALGTLLQHKKEHVLHCDIRPGDRLFYFTTT TWMMWHWLVGALASGATIVTYDGSPFRPFDAEGGNGEMAMLRLIDELQITQFGTSAKY LSILEQASLNPRKHPNRPVTLQTLRAIFSTGSPLAPSTFEYVYSSLHPDIMLGSITGG TDILSLFCGSCPILPVHKGEIQCRCLGMAVHAFDYAGKDISASSEPGDLVCTTPFPAQ PVMFWPPGPAGAEKYRKSYFDVFGPSVWHHGDFVRLNPVTWGVTMLGRSDGVLKPAGV RFGSAEIYNVLLKHFAEEIEDSLCIGRRREGIDTDETVVLFVKLASNTDTNPVLPAEL AARIQGTIRKELSARHVPAVVAACPEIPVTSNGKKVENAVKQILCGMNIKIGASVANA SCLDWYRAWALQNP ACHE_30284S MPRRSAASSSTTDSPNSPSFSTSIPGKGGIPIKAPNRLSQFFSS PKSKSEPQTAQAALQSVSGNTPSPSTPPISSASLSLPTISLSTATADNPNMDEPPTTL FQPPSPEEARRLAKQHAQFGPIGHHSHRYSSRHPGGVFPEPVMDEPPYYYLITTYISY LILIAFGHVRDFFGKRFREENYRHLKPRNGYGALNSDFDNFYVRRLKLRINDCFDRPT TGVPGRTISLIDRKTDDHNKHFYFTGTTTDTLNLSSYNYLGFAQSEGPCADYAEEIMR KYGITAPSTRAEVGTQDLHTEVEDLVARFVGKESSMVFSMGFGTNATIFPALVSKGCL IISDELNHASIRFGARLSGASIGMFKHNDMKDLEVKLREAISQGQPRTHRPWKKILVV VEGLYSMEGSMCNLPGLIALKRRYKFHLFIDEAHSIGAIGPRGRGVCDYFSIDTHEVD ILMGTLTKSFGANGGYIAGDKVMIDKLRATNSGVIFGESPAPAVLAQISSALRIINGE IIPGQGEERLQRLAFNSRYLRLGLKRLGFIVYGHDDSPIIPVLLFNPAKMPAFSHEML RRKISVVVVGYPATPLVSSRARFCVSAAHTKEDLDRILTACDEIGNVLQLKFSTGIAG GALPENGELAPPPEMEKEWRSKRSPSILPPRWRIEDVIRRGVQDSKAPMY ACHE_30285A MLRRSVFVSPFPSPLSRQRLIISDTSVTMPPPLLHYVNSAAAAI LPHHCEPSSSFFAVVSSYLHTCIPTPLALVSSVLGTCSIISWLFAQLPQIYKNYQIKS TSGLSIYFLIEWCLGDASNLVGALLTRQAGWQVVVAGYYVLVDLTLVFQFFWYTYHQN RQVPYESITYPRHGDGAGGVLDGVSVNEDNSVRRSTARETATKSLDTKSANYTVEGVS PSCSSNGHQNSSYSNEKRRPVVKAGNGSPPGFASPRTLLMASMFCAVAANASPTEITP ETPVAQKDSLEIIGQVISWMSTFLYLGSRPPQLYKNYCRKSTAGLSPLLFMAAFGGNL FYSASLLTNPYAWNNFPPYGGGGWADADGSNRLDWISRSTPFFLGAFGVLFFDGFMGV QFLMYGSKDDESVVEVDGEENDDPKDSRGARWTRVRGWMRGWIPSISPVRRQQQHAQE SRALLGGESERYGAV ACHE_30286A MSRPRIVRADTLDLQDQNAPSAKDHTTQNVNPAPVDGGMAPHQE LSIRHADQDTRAEIMHGPTGETDGYGDGIDMYDDEDPDERHHDLGGGSSEDGDLTDGE GDDLMDDDMMDKISSSPSIDDEDIDFEFVYALHNFVATVEGQANAAKGDTMVLLDDSN TYWWLVRIVKDGSIGYLPAEHIETPTERLARLNKHRNVDLSATMLGDNSEKSKNPLKK AMRRRNTKTVAFTAPTYIEASDVEYSTEDEDDGGGFYIDDEDIAEPDDIEEGHDEDIV VEPLRTKSQRDKEAQEPETARESQETERQSPEPRQSAEEVMESEEGGTISRSRNGTVR NTDSFFKDDTAEPKKISLTPNLLRDEGSNATSNENKEDRSSMEAIEKALAADDKKEDK KRKDKKPGMLSGLFKRKDKKNKAPENEGEEQEKLSSETPRSPKASLDSVSSPETRSPN NGKLQKQPPGSISPTKRDPQYESAAQDATRAVQGATSTTKGSQWIRQVVPEEEEKEPV QSPRSRSSEDTRETVSSQNASSPIKQSSPPVQSRNIDSVASPPIEANNRLWQDAFGER APAQQQPITTPPQGIPPTENLGYQNRGLESPIDVSPIDAQAPSGAPGLMLDLSPEKRS DSPVSPPSSPGADTNGPNTDEMRSVTSPQTPTWSDASLRSYLDDDNDIRDLFIIVHDK SNVPPAGPDHPITGSLFKEESKRLREMTGKLDEMLAEWVGRKSQGQLVNRI ACHE_30287S MAQTESNALARLRLDTSFERTHDLGWRSENENRGVQDHGDGIEG GHTNKFYDYDTPRCGTDEDNSAMNGLHSATPPISVPRHEEPSKEDLSYPHKPDDVRAS PLESFIQNRSPSFDFDHNAIRDSAQQEPLGEPLPKRNTSIRNFQRFQPRSSGLRNALS QEDDEASQPKYPAWGFSPRKYQSQRAFPTASPRAVFPTSPNDDLPANATSLTSVSTAS PLAEELRTPPEGFRGMSSPLCMASPNSLDDRSSWSGSAVTPFGSKPRGLRSNTTQSRR STTSSGKSPASMFLSMWSGRPETEPTPQPDDEGQMVGTEYVLGKPIGHGGFSTVKEAY KVEEHGEPRRLAVKIVKKQISDKSEKENDEVQAEFDHEVRVWRYLNHPNILTLDAVYE TDYATFCFTKYAIGGTLFDSIRQNRNGLETSLAKKYTYQLACALRYLHEDARVVHRDI KLENCLLDPVEGTQTSNLVLCDFGMAEWMATDNGPDSPDPYDDDADRPPPKTIGPAES STSVAGSLEYASPELLQSSNGIIDPVVDIWAFGVIVFTVIVGSRPFQDPFKPRVQANI IAGKWDQQSVLGMFEDPHTRQDREDALELIKGCLEMDIEKRWTIREILSSRWLDGFAE CSSPIPWRL ACHE_30288A MPPRLQLLSLPRPQGWFSKTAQPFNSPLSFLLPQLQQQSRNAHI LASLSDNPGAYSKKIRRGRGPASGKGKTSGRGHKGQKQHGKVPTGFNGGQTPENVVHG ERGFTNIFTADLAPANLDRIQEWIDQGRIDPTRPITVRELAQSRCIHQPKEGVKLLGR GGEGILKQPIHIVVSRASASAIAAVEAAGGSVTTRFYTRSAIVRIMNREMHPFVSMAW TTKSGSEDLHKAEGAEGAEALTESNIMKEMGFQYRLPDPTKRRDIEYYRDPAHRGYLS HLLKPMEGPSLFFRSPVGRKSTAGVKKEKVLPENRLW ACHE_30289A MSSRRPPKHISCSRCQSKKIRCNRVDPRCDKCEAADAECIYLSR KPRAKKRSHVTETYKQDILLNILRRLERLEDHCSLDRDAEDPKDAYHSSMSVSSDDSD ISGPNDDETHTPTTRGVVDTVLSRINDQGARSFIRSNVFYHLAHVKSRFFDDERCIET INAAMTDIERMEDTHTEGQPAAGISKELAKKWIHYYYAGYEFEGFRVPLGEDFVMTIP DLLEIPHVQLDYTSQIIYYNILLQGLMLSTDECPGRGGIVQYLYNTCMTLVDGWLDNV KHTPADLYAAILMLSMMLEGCNSELSWKIFGQACTIAKTLGYFSVDENPALPDNPTKK DTEVEKNRKRFEFWHLLRTDCLFRLSFGKPACIQRGSWTVNLPDPSINGVENESTRFI QIHFLASMRLNLIALKYLELVDSKPDPETEAYDKTIDNLIAEVQSIMSDWNTEELSNT TTNHVDMWFCMDVLFSIYQMLIIFYQSKKCNQGSDGLPHRTVDIAKKSLQTFQSLLAS AHQHALFNISLILLHQFVPFFILSLDIIGSPERENVEADIALIVWASETVKKAAEERG DLRPIRTVMAAMALACQRANPGAN ACHE_30290S MHQFNVFTRWRPLSTDNEQETSEIKRVYEKHDKSRISMSIATPD AARQRPWKSEAAFTQLFEASDDNKAVFDAVVAPTLPQVLNGQTCNFFAYGHSGSGKSH TIVGYDFGNSSKFGLCLSAARELSETLEQLNADNCDESSRLGIGFRMYNCARKRHLTC SITTASAISARAMMDRRTSAARLKC ACHE_30291S MPPALAPLPYPYSSIPGSITPTSQLYSQSGTCEKQQQQQSLDSF SNRSHHWTAPWRAGLPTPPSEMMNGVAYNAYLPSNPLTTTTTNTPGSYGSNRNGLSLH SYSNYPSHSRSSYGSSSLSSTSAAVSSKPQYQPTSSAKPVELAGQKKSTSSSLPSYLQ IPSSISDTNGNLAEFAAQMTCLFWFESTAKLNAIENRLDGLPSLVPEVSPALGFQKWV TTVLSTTQVSQNVILLALMFIYRLKKFNAGVKGKSGSEFRLLTIALMLGNKFLDDNTY TNKTWAEVSGISVQEIHIMEVEFLSNVRYNLFASAEEWTEWHSKLRRFFEFYRKASLV PEGSEQLLPKTPPALRISPSLGPTPPLPSLSPVSKLPSPPVNEPLRALPNWNVPMNNG SYAPLPRLGNEIPPSVSSRKRSRDEPAEEHPMKRVAVSTNPPVATLPPSSALPSIPTL PPVLTPTSAPASQAPMARPVSQLPRPNIPAASNLTPTVPSSLSHQLPPVSVRPVPSSY NTPTSASNWASQMPSTTIPSMSSGMYTTPVSLPDPGRHHLGVTSATVSPALSAYSVHT SPTHLSPSFWLANRNSPYRPVRSVNTLLIPPPSASLQQNRPVPYDHMHYQPLGKPAAE RRTGLVPYLHQDAWPQGPIAQPVFHPTPN ACHE_30292A MGSKSPEHENQPNGGHPSDTGNAIAVGGEPRGTHLSRDGDITLG DDGGEEDDDDGDHAGPATLSLTSQATPSTPKKRKRNKKPKKKTSSVKQSSPPRVPLMN LFPSGQYPSGEIQSYGYVVENTARTTAEEDRYLARTHLHDDGFLNNYRKAAEIHRQVR GWVQDSVRPGQALTDIAVGIEDGVRALLDNAGLKARQGLESGMGFPTGLALNNCVAHY TPNPGQKEIFLQESDVMKVDFGVHINGWIVDSAFTMSFDPTYENLLAAVKDATNIGIK NAGVDVRISDVSAAIQEAMESYEVQIGSKTFPVKPVRNISGHNIKRYQIHGGKSIPFV KNKDQTKMEEGEVFALETFGSTGRGYIVDGPGVYGYGKEPDAPKTITSPLASARSLYK TINDHFGTLVFCRRYLERLGVQRYLAGMNQLANKGVVEVYAPLMDVEGSYSAQFEHTF LLGESSKEIISRGDDY ACHE_30293S MAGSTLAMRSSMVTPSTMRISSFSRVARPLRSNGFLQQQSFQRA AARRTYADAAPAPTPTPKPKKKFRFLRWTWRLTLLTGAGLTGMLAYSIYELRHPIEQI EPDPSKKTLVVLGTGWGSVSLLKKLDTENYNVIVISPRNYFLFTPLLPSCTTGLVEHR SIMEPIRNILRQKKGGVRFYEAEATKIDYEKRVVYISDDSEIKGEISHTEVPFDMLVV GVGAENATFGIKGVKENSCFLKEVGDAQKIRKRIMDCVETAMFKDQTEEEVKRLLHMV VVGGGPTGVEFAGEIQDFFNEDLKKWIPEIKDNFHVTLVEALPNVLPMFSKQLIDYTE STFKEESITIRAKTMVKNVTDKFIQAEVTKPDGSKELETIPYGLLVWATGNAVRNVVR DLMNQIPAQKNSRRGLAVNEYLVVNGAENVWAVGDCAITNYAPTAQVASQEGAFLARM FNTMAKTEAIEKELQHLSEIQSQAKNNDERNSVFDEIRERQKQLRRVKQIGPFQYSHQ GSLAYIGKERAVADISWLSGNIASGGTMTYLFWRSAYLSMCFSTRNRVLVTVDWIKAK LFGRDVSRE ACHE_30294A MSGRILSHRLAPLLRAGSLARHAHNAGARTGGLLRADGSAALRG RAWTVGTNSIHNNVPAVRAISFSRMLPKLAVKLVRLPAMFGGAMVASFAYFQYQATQA GNYAIDVFRRAGETASDTASGIFSEIQSIAEQTQRGWQRTTEDTELPEWLQKILRPDE EDSGSSDSSGSPGGGKSPKEGRAGAAAAATTAAFGYDQSGEDDARSSRDIAEDDQMML LTRKMIEIRNILSTVGQSNTLTLPSIVVIGSQSSGKSSVLEAIVGHEFLPKGSNMVTR RPIELTLVNTPNGHSEYGEFPALGLGKITDFSQIQRTLTDLNLAVPETDCVTDDPIQL TIHSPNVPDLSLIDLPGYIQVAGHDQPPELKQKISDLCDKYIQSPNIILAISAADVDL ANSTALRASRRVDPRGERTIGVITKMDLVDPERGLSMLTDKKYPLRLGYVGVVCRIPQ TTTLFSRSTGNITSAILKNENAYFSAHPEFGPDSGVTIGVSTLRTKLMHVLEQTMAYN LAGTRDAISQELEEATYEFKVQYNDRPLSAESYLAETLDSFKHSFKAFAEGFGRPQVR EMLKNELDQRVMDILAQRYWNKPIEDLSPMLFEPDPLSDLPKADPESLYWRRKLDAST SSLTKLGIGRLATTVVANALQAHCDHLLSQSTFASHPYAQKQITDACESILNDRFFST SDQVENCIKPYKFEIEVEEPEWTKGRENVSKVLKEELKACEGALKRVEEIIGKRKIRD VMTFIDKVRKGDIVLEGDGTGGAGGFSSALLETGRDSVFLRDRADLLKMRLLAVRSKQ CATKKNKYYCPEIFLDVVSDKLTSTAVLFLNVELLSEFYYNFPRELDMRLGRHLSDDE VERFAREDPRIRRHLDIIRKKELLELALQKIESIRQLDGRSMHRGQERPLQHEKRGRG GWNLF ACHE_30295S MCRFLVYKGRNDIRLSKLITEPSHSILTQSYDSRLRLDNRRPVN GDGFGVGFYTDPSLGRDPCIFTSTLPAWNCENLERLASKTCSNLIFAHVRATTEGTLS DNNCHPFQHQTLMWMHNGSIGGWKYVKRTLADSLADKWYLGVKGGTDSEWAFALFLDL LEKEGVDPSSDPGPEGFGQALLRKVMMKTIAKINEFIAEIPKKHNVSGVETRSLLNFA VTDGHTVVCTRYISSKTDEPASLYFSSGTKWKEGKDKGHFKMERHDKGADIVLVASEP ITFERHNWVSVPTNSVVTIHKQTVLLHPILDEYYGEDVTQDRSSCYAVSKGLVSTAPG TTVQPGADEPRSLESKSDGPRFDDINRGLSRLQLTVQTGVANQCAMSH ACHE_30296A MEDGDYDTNTAALSMNSNNDNGGANNNGSNNNDGERTGRTRSVS TSTSNSNHRRSMSGSLLSKLSFLRTTNQATVASPEQPDQSIPNGRGYDDESNDVPSGG MSNLRGGKAMASALQQQRRTRRRRGSLRKTALLGTRLESKKMNKGAGGSNNMMDVWRS EDAAKGMSTRPFTNSVSATSGTESMSQSQGSFDRGGRENNMRWGYANAHQRRVSRGSF SRHEPNLTRNKLLGDETVTDDEDMISFTRRDNVENDENNNKKNTAIAAACLKHNPLTS PSSSSDSYFLQPDSTYGAVHRTKSPVATHHHPADHQEIIWDYSETEWWGWIILIVTWL VFVVGMGSCFGVWSWAWDVGETPYAPPELEDDPTLPIVGYYPALIILTAVMSWVWVVV AWVGMKYFKHANISGEDI ACHE_30297A MAENVPASTSTLPQPPQASTGASGQQQYDASQGNGQGTHMPPPP RPPVVIPQNTNPIPTAITSPMSGGMMSPTSAGGFVRRAAPEPNKRALYVGGLDHRVTE DILKQIFETTGHVISVKIIPDKNLVTERAPDLQKFNSKGYNYGFVEFDDPGAAERAMQ TLNGRRIHQSEIRVNWAYQSNSSNKEDTSSHFHIFVGDLSNEVNDEVLLQAFSAFGSV SEARVMWDMKTGRSRGYGFVAFRERSDADKALTSMDGEWLGSRAIRCNWANQKGQPSI SQQQAMAAMGMAPTAPFGHHHFPTHGIQSYDMVVQQTPQWQTTCYVGNLTPYTSQNDL VPLFQNFGYVLETRLQADRGFAFIKMDTHENAAMAICQLSGYNVNGRPLKCSWGKDRP PTGQFDNAAFASGTTYFPQYNGPGGPMAPQGPAPAGRGWDQSAVPAQNYGPGNGAGFG RGQANNGNYGNGFGGYQA ACHE_30298S MTTNYSDPESLATLTATVNQALIETGRFFRSAGSIQSRAQLKRS IPAAHEQFQFALDDLSEQIFLAKAFLERDYEAVRATKTETQMAQDVAMGETGVKPEPE AGEQAPEKTEKEVDIKIEQTTDDVTTNPPAPAAAESSQPNEPDQHVKAEQPGETSAVP DQSTAETKFDTEPNETARGGANDFDLHLDFGNDEIGDQNFLNMNTGGGANEADGSGFG NGIPSGGDAFDLEFEKADAITGEEQRPQQQQPQQQQHEQQQPQLQTGTDSQTGEQSAE DIMAPGESSFDDLFMGSGEMDDQGLLEGDELMNINELDDNWFT ACHE_30299S MMAAHISSHLQQHELAQSISANTNQLAACDSSEPFPPLFSGLFR PRSNRRRSSVSTSTGESSVSSESASKQQRNRRSSFLRKLAGPRENAKRFLRLGGSANS PAIASSAPDSHLEPQLPPLPQLEIPMPRTSRPRPVSEIVISPRDAQMLAAAAPNFEGG RMRSGSSSTIGSGSQPQPVLEQIAAPLGPDLSESFPPLPHEKTVATGSGISVGIALTE PMLFLAGYDHSDPSSKKSAILRGQLHLKVTKSVKIKKISITFRGHAQTDWPDGIPPKK IHFHDKKDLVTHGVVYFNHGDTALMQNDYGAHYYQHAKPITSVTGKDGVTASTKELFS KSGSSTSLNNHQTAKELKRLSLQSGHSHSRSFGKNELPAAPAPQRNYRLFPVGDYLYS FEFPIDGSLPETIKTDLGSVKYDLEAIVERAGAFRPNLLGNLEVPVIRTPAEGSLEQV EPIAISRNWEDQLHYDIVISGKSFPLGTQVPIAFKLTPLAKDECHRIKVYVTENIQHW TADKSVHRFQPAKKVLLFEKRADSPSTSTYPGSSMRVTAGGGIDWDRREAAARGEEIV DRGRSNLLGNLSSDAAVGPTEMEFSVQLPSCHEMKNRDESQRLHFDTTYENIQINHWI KIVLRLSKLDDKEPGKRRHFEISIDSPFHLLSCNATQANIYLPAYTSPNAEPVTPAQE YECGCPGAPLVNRNSAPNYQSVLDRGDTGPPIDINPVTGRAPSRSFTSGSGGLARPPQ AHIANEPESSPRPMHLLRAPSFAPPAFEDVPPPPPLITPPPDYTSIVSEEDREAAFED YFSRATCEDNDDEDDPRGRGRVDVPLTPGGRVNRSMDVSRDWVRLEDSTV ACHE_30301S MTHNIPSITLLCMEKKFISALESALPKHWHAYDSTSPKPKISLT TLHESLRTVPETTKFDLIVSPANSYGLLDGAFDDAISRSFCVRQKLPYETLTHAVQDV LYEKYRGFAPPGSCTLVRFPEKMLEKKHMNEWGCKWIAICPTMRLPTNVVWDREVVYE CVWSLLCEVERHNRGQEEDMHAGRGRKGKDRIETVLMTPMATGIGRVSPERWAAQVVL AMKHFVDAVERPERWGRLTWMELGKDAQEVSNTH ACHE_30300A MDHGLRTERTGKGQRDYFHFESRFPWALESLGTSQLWRRTDVPS EKKPRTLSTGEGVAFISALAVGIALIVLVSVFVIFRRRYGPRYTVRCFVTGNRPKTDR HARSIGLEHPCRETHPIPNRTSTKTNPGDSKCATGTDNPHRMSTLSQAQTETDSRQST AIDPNRLSAPPRDPSPGKTQVENKESGNTGRREPPPPALQRPDLRAATEQLRALAPTS PCSSRFTTTTQSSGPLPSIYRMALESRSHKRNSSNNTNCRSSDQDARSAVESEDRVLS LPSPSPMLRNMLRPSPKPEPSQSHVYRMIQRPLSAHHCDTTVYENDAVLPMLPRSVFA VNDLVVSK ACHE_30302A MPQSSKRLISDVQRKALRDWAHNQPRRPTQKACIAWFYAEYNHR LSQSTVSDILSSQYQYLDSKSNPSTSIRKGTGQWHDLENILYEWQQTLNLRGAYISGD ILVEKARQIWTSLPQYRDQPPPIFSNGWLHRFKQRFNIKQYTHHGEAGSVPEEAEEDM KAIRTIAGNYNEDDIYNMDETGLFWRMPPSQSLSSANRPGVKRDKSRVSIICCVNASG TDRLPIWVIGKARMPRALRNINMSAMGAEWRWNKKAWVDQIVMREWLLAFYSHIGKRA VLLTMDNFAAHLAGLELAPPPPNIRICWLPKNSTSQYQPLDQGIIQNLKIYYRRQWLR FILHHYECNQDPLQTVTLLDCIRWLIRAWNHDILSTTILACFYKSTLVLNPVQLPIES PNLSSLYEHVQQSGRLSNCMDIANFLNPMEESSELAGSEEELSSETLLEQLISRASDT GDMCDDDQEDDSPEPAPLPKPSDALNAVRLLISYMEGQDVSRASLLRSLERLERDLDS EIIASRAQGTLDSWLR ACHE_30303S MPESTGINVNSFFPFSLPTATQQKRSKYWIIYFQNALNNPKKKE CTVKFSQLHPNAVPYQNDNPKQPNHIVSPFLSKKNKILKTGDESKEKEKISVVGAKAP ACHE_30304S MGFGEFNAICQKAAVPLCSLVGPASSISGATGIIPNCYARNIEL ANTIIFEGAASFMHIIALVMTVIMILHVRSKFTAVGRKEIITFFYIYMALTIVSLIVD AGVVPPRSSPFPYFVAVQNGLVSALCTCLLVNGFVGFQLYEDGTALSVWLLRLTSVTM FAICFLVSLLTFKSKGGLSPTNTIGLFLVLYVFNAICVAVYLIMQLFLVMNTLDDRWP LGHIAFGVLVFIAGQVILYAFGDTICNKVQHYLDGLFFATFCNLLAVMMVYKFWDYIT KEDLEFSVGIKPNNWEVKELLPGDERRTSLYQDSDYANSWHHRSSSYGR ACHE_30305A MNKTILIVLIHGFKGDDATFGEFPEHLRSLIRRKLPAVNVVTIV YPKYETRGDLRECVGMFREWLQDKVIDLEVANRTASPTVDPSVHVFLVGHSMGGIVAA DTLLLLASERPITPEESLDDASSQISTNSHDSTVAHCPRLVEPSDYMFPHVQGVIAFD TPYLGIAPGVVSYNAEGHYKTATSAYNAISEVAGFFGWGKSNNESAASQQKATTTVTQ TSTGQTSTATDAAATPSWQRWGRYAMFAGAAGAVAAGGAAAMYTNRDRLTDGWGWVSS HLSFVGCLARPAALRRRLARLSKVRRERNIGCTNFYTALGDGASSLVEPPKEGQTQFG QKIIRSKNRTFCTLPTEEEEAEPGLGWVKAQNNQVKNEVSAHVSMFSQRANPAFHELV DNAGRLLVKSIDKDWYASARGPIEEINEGSSRSRHKDDGRFRHENNCRSRHEGSSRSS RHGSRHESSRSSRNSHHGSSRSNRSSRHGSSHGGSSHSSRVSRPGNRRRDTDSPFMSG DDVVMIN ACHE_30306S MTEIPKEKRLELAIEAFHKGQFPSKTACAKAFDVPPRTLMTRLD GTVSRQHTIANCRKLSNTEEESLKNWILDMDKRGLPLQVSNVRHLAQLLLSARSKPSK DISISEKWVSRFIQRHPELKSKYTRQYDYQRAKCEDPELIKGWFNRVQETILRYGIAE QDIYNMDETGFQMGVASTAKVICGSETRDSHAKSIQPGNREWITIIIAINASGHALPP QIIMAGKKHQSQWYSAIPKEYRISLSDNGWTNDILGFEWLQEMFEKHTASQTAGRYRL LILDGHSSHATASFDQFCTERRIIPLYMCHGALLG ACHE_30307A MDPFQELRNEFSSTIRALQNEIESIKNEPKPLQRPKPCLPDPEK FNGQSLKFDTWLASMKAKLRIDAPAIGDAVAQFYYVYLNLESKVQALVLPQLSYAEDT NTWDYNTILDQLSLVYDNPNKIQEAEDHLLALKQDSGESVAAYIAKFERILYEAKGKD WPDVTKISAFRKGLNPTLRGRLNQQLNLPRSYIEFLRVVQQLGSHSFSSNSTNVSHPQ SHQSGSHTKSDPMDLSVININSLSAASTSLDERNRRRQQGSCVRCGSSNHWVKDCSMK AHKESKQSWNQQMLAKLETNGIDDGGD ACHE_30308A MDVGGNKQQEMYAYIVPRIDGEDLILGLPWLYHQGVSIIANSPL GVPALRLANGDHVPSLQHEPDMEIHQVSAQSFRVWMDRRKKNHSVQIFTASMRDIEKA LEVKHHSDPREKLPKQYHAWLEVFERKKADTLPPHRGPQVDHKIELVGKDEKGNTPEP PWGPLYNMSRGELLVLRKTLRELLDKQFIRVSDSPAAAPVLFVKKPGGGLRFCCDYRA LNAISKKDRYPLPLINETLERIGKARWFTKLDVIAAFHKIRIAKGYEWLTAFRTRFGL FEWLVTPFGLANAPSTFQRYVNWTLQEFLDEFVSAYLDDILVFSSGSLQDHREKVSKV LQRLKDAGLQLDIDKCEFEVQSTKYLGFIIEAGKGIRMDPAKLSAIKDWTAPTSVRGV RSFLGFANFYRRFIRNFANITAPLTALTKKEAKFTWNSDADKAFNQLKEMFTTAPILT QFDPDRATVVEADSSGWATGGVLSQYDDNGVLRPCAFFSKKNSPAECNYEIHDKELLA IINCLKEWESELISTPKFIIITDHKNLRYFMKLRRLNERQMRWADILSRYDFYLQYRP GKLALTPDALSRRDQDMPNDPGDERLQMREKRLLDPNAFVETSECTICCVSAVQVDKS IQILPIHTSNGTTENENTTSDLEQQWSHAEAEDTTMPILCDAIRAGLPHFPPELGIRV SIGECELDSDGRILFRKRRWVPNNEPLRTRLMQEAHDSPLSGHPGSNALYSLLARQLF WPNMSADVKRFVKNCDQCGATNIWRDRRQGLLKPLPIPDRKWRELSMDFIEGLPESNG YSAILVIVDRLTKGTILIPCARTGSDYIVPKFLQHVVAYHGLPAAITSDRGSQFVGEL WERMCSLLKINRRLSTAYHPQTDGQTERMNAVVESYLRNFCNFAQDNWSEILPMAQLA IANQTAASTGFSPFFLDHGFHLETLQLVEPVTEELQQSSSGSAGARIADKLKNALEVA QSELAAAQERQEQYANRYRNLAPHYKPGDKVWLALHNIRTSRPSKKLDVRQAKYTVLA QISPYAYRLNTPEGIHPVFHVDLLRPAANNPFPSQRNDDYQPPAVLVDGEEEYQVERI LDYRQIRRGRGFQRQYLVKWTGYLHPEWTAAHNMENTAALDEWEQRHGSQSPVRDGDD S ACHE_30309A MDLPTWRPAPAAQIDLQKEWNDFRKRVKFDRPEFRVSALVNGEQ VSRTLVDTGCTTYGMVSENFTRKHQLERVTIKPRTIDDYKGPTDDCIREVAKISLNVG GNHQNTAWLYVVPKLGRGLDMILGLAWIDDQQVFIDPNGPKLRFTNGIVVSSMEDQPR MDIQPIGANAFALWNRQKKKDSSVQIFAASLKDIEKALRPKLPTDPRTKLPPHYHKFL SVFDRKEADKQPPHRGPNIDHKIELNKNADGTTLEPPWGPLYNMSRDELLVLRKTLTE LLEKNFIRVSNSPAAAPVLLVKKPGGGLRFCVDYRALNAITKKDRYPLPLINETLERI GKAKWFTKLDVIAAFHKIRVAAGDEWLTAFRTRFGLFEWLVTPFELANAPSTFQRYVN WVLRDFLDEFASAYLDDILIFTDGTLSEHQGHVCKVLGRLQEAGLQIDIDKCEFEVKS TKYLGFIIEAGKGVSMDPAKVEAIMNWAAPTTVKGVRSFLGFANFYRRFIRNYSELTT PLTALTQKDKPFVWDDKCEESFQQLKRMFTTAPILMQFDPDRETVVETDSSGWATGGV LSQYDDDGVLRPCAYFSKKNTPAECNYQIHDKELLAIINALKEWESELISVVNFQILT DHRNLRYFTTMRRLNERQMRWADLLSRYDFTLHYRPGKLAGRPDALSRREQDVPVLGD ERLKHREQRLFDPEILKDGPVEGSSKRGLVEEPHPINVSRILLAPVGTEPCNSEPSAP QGYEQANEPTNLNSERPSLEELLDMTLDEHWARVEPLDEKYGRIREAVQVGAPQFPRE LGIKASISECSIEPNNRLCYRGRRWVPDIESLRTRLLQETHDSVLTGHPGRSAMYAIL ARRVYWPAISEDVRRFVRNCDKCSANNVWRDRRQGLLKPLPIPDRKWRYIAIDFIEKL PTSNGYENIMVIVDHLGKGVIPIPCEKIDTYTVAQKLIQSFIGYHGIPASIVSDRGRQ FTNEMWKRFCELLGIKRQLSTAYHAETDGQTERMNATLELFLRSFCDHTQSNWASLLP MAQLAICSRDAASTGVSPFFLDHGYHVDPFQLEEDVEINLSAPDLGTMRERGERIAAK LRGALDIATTELAVAQQKQEDYANRRRDVAPEYQVGQKVWLDLRNIQTERPSKKLGSR QAKFTVLEKIGSHAYRLNTPGTIHNVFHTALLRPAAMDPFPSQRKDDYQPPAEMINGN EEYMVERILDERFRRWGRGERHEFLVKYIGWQEPEWNDARNMEDTIALDDWETYKTMN GIVIQSALSIPNEPPHAGGRSRRRRGGG ACHE_30310A MSSEDVLDPRESCRPLPFAELMALEPLDDAHQQLKNIANDSKML YRFCSRAVPFPPGDGFMAFGGHVYAQSAYAASKTVDKGFTIHNIAGTFTLPGRLDVPF IYSVRRIRDGNLYCTRTVDARQDGDVCFTCVCSFKRGEKKQTFGHQPEAVQQRYKSIL DGKRPEDHPASPSVDADWWIEHVEEGDITEREFPGVNVRKVDMKGYNDTEEIKRNPEK YRQLSLYSIKGSPDHPTTSESILDLKTRDQSGEFDNLYICAHLYSSDKNSIPLIPRAL GNANFIALASLTLTVMIHQHGEALRMIDWGSVASGDAKDLPKRWFVQEAWTPRSGENR AVHESWLWGPNGALLATTLQDCLLRYPSEEKL ACHE_30311A MLYELIAIVRPGSLHEVREIARNAGIQVLRSGGVVRGYTNWGTF RLPRPTTKHQARYTDGHHFIMRFDASGPVQTAVRRTLGLDPRMIRFSVVKLGDKLEEI KNVGGQVEWNNNRNIADTFR ACHE_30312S MAAVARCVPRFASRILSPKLPIARMSPAMGFPRGSIRSFSQSAF LQAKKYTESHEWVELADNGKTAKVGITAYAAQSLGDVIFVELPEAGLEVAAGEPVGAV ESVKSASDVLSPVSGTVVKGNTLLDDKAKAINESPEGDAWIAEIEVSDPAELDGLLDP ETYNEQNHE ACHE_30313A MDNRGSLFFFLIILYLLLSSQGHPPLVEQSREHEIEVEREQDAL RHLNESRYGDFNPRADRWLPFAGVRKNDSYAWDLLTDAQDRARRQLQFSISDAGLNYP STPSNLSQIRLPVYRNATGKLRGDWVRNKQDSNAQRLNVTAIASEHEYFTHEFSQNIT GSGGALYLDLRDGGGEEFRLGDRRAREIRASLAVESNDYWGHTWYLSLLGIHYPETGG ILLTTTSEKFGGLFALPHFTLSPDSYELAHQLLLKSLSDTISQKDINQPTLFPWSSVV GSEQVEFPAPRCEHIIYLQQHPITFQNYLAEGTVIEQIEKELRYPIGAPVPSPPLMVM SAVVFSPDCGYILETKGAPDYPPSDALYLSGPKLEEYSKYSSRLLFLISGVLVGQIAL LLRQIKEASTPSTRSRISFYTIAMMSFGDAFVLVFLLLELYSAVSFLLITTVSFLTFL SVSYIGMKFMMEIWAVQAPERQEQNRRSNQQSSNTATDSLPPPATARRVGDTGATPVI LTPDQDPPEDEDTQPPNRNVTPSSGNARADVGAMYARFYLVLFLLLITSIWSFLWPVR LGSMYARTLAFIYLSFWCPQIYRNAMRNCRKALRWDFVVGESVLRLSPFLYFLTVRGN VLFIRPDSITALAMAGWVWIQVWLLAIQDILGPRFFVPRGWAPPAYDYHPILRDDTGS EQDLESGGTLPIGSLRADEREPQAEDKPRPKDRKKAVFDCAICMQEMEVPVLAAPGAS GGSSSVTDGATSILTRRTYMVTPCRHIFHSTCLESWMRLRLQCPICRESIPPV ACHE_30314S MASSLPLPVPPRTPTPPPDEQPPVIPEPGPQEYNSSRLSPLVDT FPTLRSPSDTGSQDRLSPTKASFGPSAVEVAAQNASNGDGPFNFQTVTMAKSPVVKSN IGQRRGHKYKHSSISHQIFLEPPPRAPLALPNSLPIPTFKECRTSMSKDQKTRFWWSV CHMFVAAYTLWSAEGSLAMTALSHLILYDSLGALLCVVVDVFGNFEVWRRSSIRHPFG LERAEVLAGFAMCVLLLFMGMDLISHNLQHFLESSGHEPHHSHPHSRVSVGSVDITAV LAISSTLVSAIGLKNHARIGKAMQFAYIDSLPSVLSNPSHFLTLSCSTLLLILPLVSV KLYTWLDSLLSGTIAISMCVIGVRLVKTLGSMLLMSYSGKGVPEVIKDIESDPCVFGI DDARFWQVHYGLCMANLKLRVTGSEENIVRLRERISSLIRNRLGGGYGTGGQKWEVSL QFTVEQL ACHE_30315S MVSFFDLPRSVREEIYRHALVKVRVFVRPFISMEYMLHPDRAEI YDNPNLALLCVARQIYHEAMPIYLGENIFSIVQVDMLAAARMENRRVAKNLRQIRRLE LKFDHRDYKYMAEFLGTEIPTVMTEIDDWADESPVKKLAMRDLSKIRDHFDVSSSIIP SASKLKHKSRLIDTTGRRQRQALNHHWYQHKDDSQETRHDRLTENLKEYLWGRTLTFV RQTFRLTHLFIDIRHCTCPVGCCRLADQVLDWGWVYVWIHGLPGEVQVRGSSRSEKEV IARSLDRQSFHPKLGIEEVYDQSRAQDHRRLMQHNALLRSVYWRLNEM ACHE_30316A MVSKIFFWGGFGIAVRLWQLGIEMRPILAKQGLWAYPVFAGVGG SFGYWLQGVEDRQLKILAQRREAILDKRRRRDEREGLSNIEKEGTLAATP ACHE_30317S MAGPEETPDVKMNGIKRASPEVNFWQRKKFKTEELPLSAAQHAA IENLLHSFKKKGGFDNVRKKIWADFDGGEYKTEFTQLLIELADSQIDREPELLSRERG KAATLIEGAADRNNVYKTVETSIETIATNHVDFILNSVREIRRHEIGEEAAAQEERNG NKTDEDYAAVIQAKRDEREKVHQEAMRKQKEIDDEKRRIRAEEDRKKREIQRQKDEEE RARRRERDEQRRAERERLREEQQKLDEQRDRERDERYERRRREERERYRDWGRERSRS RSRTRDRERERGRDRDRDRNRNWDSYRARDRSPSRRSDRAVPERPRDSKTMSTDPTSA PPRPPPQPLLPVDEKSLEEAALQMLLKEGEELAAKARQKPEFDFEEAEAIENGLKAPT TEQSKSSTPAQDTYVERRRSTAAAAAATTTTDDRQRERKREGSRSRSRTRRHSSRFDG DRRGERSRETSIRPRDRDDGRAPIRGYDRYESYRPGRRSRSRSTTRGQDRDRDRVRDD RYRDDRDRDRIRDRQPSERERDYRHRDRSRERDRERERNRDEYRRRRSPSRARDRDQD RARDRDSERPRERNRDRDRDRDRVRERERERERERERPREKEQDRERHRDRERPREGD RDRERDRDRGRAPSQSRGRASSRKRSRSRVGARSRSRHRSPSVLDIDRYVPPTSRRSR SPRRRVRSPDRPDRPDRDDRGRIDRYIPGGTVSAGGEREQRDREPEKARDVSKRRSPD RSR ACHE_30318A MLESETMSVPQLQLNDTTPITSDDNHNLRDKTSTRKSLHQNIFG KLRPLPFQYHWTVWYDKYTPESDDPSNKNKLYILHDDVADIATFYRVYNNYPWDKVRV RDSVHIFRKGVKPVPEDPENRNGGCWQFRVPKGKALAFFHEAVILCMANEFQAALEEE HDHVLGVSSSVRFNSHLISVWNKLGDNERSIKALERTIIERLSPNLRPSGSHADGYSY RRHGEE ACHE_30319S MPGVSTKRARSDDDPQLSPDESAPSPPKRTQTRKTKILESRKPE KNYHSDGDDETSSDEPTSSSGSSIGSSDDDSEDDEEDEQETRNQEEREDNGAIPFIPG RQKPRIHRIDRNSDIMSRLTAFLPQMKSANEDLERELAAGRGKELQVDGADEEVEGRY IEMNLGLGVLEEKPSDDENNDSSSDGEDERSDNEEGKQHPTELPSRPKDPSVLGKLMG VKNDDSKKPTIEEMNE ACHE_30320A MSTGVDGRVSQGAELYLNPNSNTRIRSSSRPSSFATAYSDESSK YPDSSTYNPSPVTMAQPQRTRYLKTGAIVGVLLLMLFYLSPSRPTVSGLRQAQSPSIT PLTEKCSKPYDSSKPLIQYALMIDAGSTGSRIHVYRFNNCGPTPELENEIFEQTEKKP GGSGLSSYKEDAEGAAKSLDPLMDVAMKNVPDEYKSCSPVSVKATAGLRMIGPEMSEK ILEAVRTRLETAYPFPVVSKEKGGVEIMDGSDEGVYAWITTNYLLGKIGGPDETPTAA VFDLGGGSTQIVFQPTFPKSKAGGMPEHLAEGDHKYSLKFGGREFELYQHSHLGYGLM AARDSIHKAVVDAKLAQSPKDQSWLTQPIPNPCIGPGMERDVVLKYEADHPLGSQVTV KMVGPKEQSAPAQCRALAEKILRKEADCKLAPCSFNGVHQPSLEKTFSREDVYIFSYF YDRTKPLGMPDSFTLDELHQLTANVCGGEDKWKIFEGVEGALGELRDRPEWCLDLNFM LGLLHTGYDMPLSREVKVAKKIKGNELGWCLGASLPLLSQESGWTCRVKEIS ACHE_30321S MKYMRNPFSTTLTFRHLFLSSPSQTTSQIASLQLNRIQNQTSPR SPEQPRRYASYKSARPAEQNQFIDERIRAKFVQIVNENNKLGPPENIVDVLRSIERPT YFLQQVSPSINGQPPICKIVNRVTAKEREKARAKAAHAAKASLKQVELNWAIDAHDLS HRLKQLKSFLLKGRKVEIYMKRKKGKRAPTTEEIKHLMDNVAEAVESVGAAQVKPSFG EPGKQVIWVVEKKSG ACHE_30322S MSNITRTANLLLRTSRSSLLRPRAANPVHHVFSKDRLAARGMAT AFERTKPHVNIGTIGHVDHGKTTLTAAITKYQASKGLANFLEYGAIDKAPEERKRGIT ISTAHIEFSTNDRHYAHVDCPGHADYIKNMITGAANMDGAIVVVAASDGQMPQTREHL LLARQVGVQKIVVFVNKVDAVEDPEMLELVELEMRELLSSYGFEGEETPIIFGSALCA LEGRRPDIGTERIDQLLESVDTWIPTPQRDLDKPFLMSVEEVFSIPGRGTVASGRVER GQLKRDQEVEIVGASATPIKTKVTDIETFKKTCDESRAGDNSGLLLRGIRREDVRRGM VVAAPGSTKAHDKFLVSMYVLTEAEGGRRTGFGANYRPQLFLRTADEAADLSFPGEDQ SGRVMPGDNVEMVLKTHRPVAAEAGQRFNIREGGRTVATGLITRIVEK ACHE_30323S MDSNGHLASVGSASDKAAYEHGVQVIDENKEFNPNLSTYLGIEN VTPAGFNYHLVSVFGSQSTGKSTLLNHLFGTQFSVMSELERRQTTKGIWLSKNRKVSE SSGDASEKMADNILVMDVEGTDGRERGEDQDFERKSALFALATSEVLIVNIWEHQVGL YQGANMGLLKTVFEVNLQLFLKDRSTTHRSLLFFVIRDFVGTTPLKNLQKTLTEDISR LWDSISKPPGLENSSVHDYFDFQFYGLPHKAYQPDKFVEETNKLSLRFREGHKDLNLD PRKGEFSEGGVFLPEYHRRIPADGVSKYAEGIWDQIVNNKDLDLPTQQELLAQFRCDE ILREVMVAFDQAVVPFEDKQAQAARLGEAEILGGLGAAMRDSRTKAVNAFETEASRYH KGVYQRKRAELEGKIDTRLKALFHGQLSAMHKSGVNDFSEAVTGAVKAGQKRGTGYDF AEIVNQETKVALEKFQEVARSTVVEGLEWSNYDNELALYEKELADVSSRLRKDEMRRL ASRIERWVQSRLGESVGLEFNALGSGRAGGGAPEAGDKQIEKAFWDRIWNVFSETVIE AEKKFTDRASSFDASLEEVDVGLWRLRRKSWAVLRTKIDEEMIEGNLLLKLRENFEDK FRYDDAGVPRIWRPTDDIEGVYTRARESTLTLIPFLSRFRLADTSAPPPLDRWVGHTP SSATPADEEDLAPIGGVDEEEGKSLEEETTILSDAKRQDLTVRFKKAADGVYVEAKRS AIGGMTQVPLYFYGILLALGWNEIIAVLRNPAYFFLLFVCAIGAYVTYQLNLWGPIMK MTEAASNQAMQEGKRRLRDFLESSDTGRQAIAMSGSGARSSREEYEMSDLKKGASPKE AEEDL ACHE_30324S MSGTLPERFDDLPDKRRFWPAPPGSQDEGLGMLRILRPEVVADA ARTQIQTGERVCLNWGLEELNPPGFGRKRFEHRIKWVAPDNAFDDEYHFNPQQSSQWD GLRHHNAPGSDGQTKVFYGGTTPEEIQDTSNSRIGIGHWAKKGIAGRGVLIDYLSWAE KKGITVNALEQHTVSLDSVLTIARECNISFQKGDIFFLRVGLTKTWEKMNDDEKMAYS LQRTPKHAGLEQSERVLRFMWDNHFAAVASDAVSFEVFPPLQPEFDLHHHLLAGWGLP IGEMFDLEELAGICKTLGRWTFFVSSSPLNCANGVSSPPNCMAIF ACHE_30325A MNKMHTTNAAATPFDVLIIGSGISGINAAYHLQSELPRCRFAIL EARDNIGGTWDLFRYPGIRSDSDLYTFGFTWHKWNRSNPIAEGGDILEYLDDAITSHR LKDRILFNHRVVSMKWSQHSWTVKVQTKEENLHFSTRFIIFATGYYDYQQPLATEIPG LKNFQGQVIHPQFWPEDFDYTDKKITVIGSGATAITLVPKLAERAESVTMLQRSPTYI VAVPNPVQNKWWTRLLPQSCVYYFRRMWHIVFSQVLFRFCRTFPRAARSLLEKGILKH LPLGVPLDPHFKPRYNPWEQRLCASPDGDFFKALGSRKATIETGTIKTVDEQGIQLTS GRRLEADSIVTATGLKLQVCGGIPIYIDGKKLDLSQKHAWNGLMMQDLPNAVLLLGYV NASWTLGADAGMRLTCRLLKYLQRNDHKAAVPVLSKSGQMDSRPLLDLNSTYLTRAQE SLPKATQQRPWVSRTNYFSDMYFARYGDLSAGLKFI ACHE_30326S MSAQDLSKLGLDTAHSVLAKLPPSVQRALLNSYVQKALGVLVAL RLVKGLNSYLSKRAQNNGQSISKWSPQKELVVVTGGCSGIGKQIMEDLSRMNVKTIIL DLNEPNFSLPPNVFFYAADVTSRAVVKDVAGQIRKDHGHPTILVNNAGVGFPGTILDE PDERIRLTMEVNTLSHFWTVKEFLPSMIQRNHGHIITLASMASFVSFGETVDYTCSKA SALAFHEGLTQEIRHWYGSKKVMSSSVIHPLWVRTPMIDAILKAGKHWTQPVMGPEEV SSAVIEQLVSGNGGQVVVPSSYGLASLVRGLPNWLQERVRDNASKDFVKLRQLEKEIG L ACHE_30327A MFGSTFARDFHRAIHYCHRNSPWLLHEMFIAMDTFIDWARFNRT TSERVDIERGARSLQKLRTAEVTHSQDALAVLMLGQALAAFDAFLTSTGATSILRYSL SLMKPWYSSFEQVQFLDPITISPIFWDIVCCLVYREIPIIQPGARDPSVIDRLAGLCP SVLPILYNLCVIGHTLRGDPKEVNQLDSVEERVRQWTPDHECLESKGFSAIEVASIRT QAIMYRTASLLLIHRLRHPLTCHDDTAVLLANNILAERSTFFADQGNDATLQNVVLPL FLALLEVPCSPLEVWKSSTRLRVRPACVDTLLTFHKFFWERKQSGFNGFLFDLIDNGP KFVPLP ACHE_30328A MVLGIVMMSAMLPTMIGLNEATSGTRDREESRRDSSRKQRCHLV ATCKINEGNQRQREQVHNAKVYAGSDGKLYITKYPKSSMAPFNGGFYTHPAFAPDNTS GMVTVTGENPPTLRWVFLDSGTHEMRWGSRPDSEGHICGPFDWTKDEQRVTLEGWEGW LAVRFPDDEQQEELEAQLDVDDGRGIWRLYFDQHDDGAGLPSGAQGLEIRLKRVIAES ACHE_30329S MADSLQPTVDTSPKGNRKGNRPDEESNKDKDSGNGDKKLVPTSG LEKPGETKEDNEKESLKIKIHLNLHAKVKLDLDAQLYGDVVIGLL ACHE_30330S MYRECVSHEKSDTMAVTINVTGTSTIYHHPEQAVLSVHIQSEGP SRNLVSSYVTTRSNLLHRHLRDLAPRNSSGQIPMEAPVSNIAIGSERSWSTIPRDRNG HPMDRVYHASTDVEITFRNFTKLGKVAKRLITEENVEISKIVWQLTKDTREVLGVESR KQAMKDAIRRAQDYAAVIGKEVEPMLITDRESKSTIGTAKCMAVNAKIGVGEEDSLDL APPDIEFHCSVEVQFRSAASGKCD ACHE_30331S MKSPVEISARPVGDASTIPEPAPARLSRPGSASGQSSQSSSTQV ATPPLVPNNPIDFVDGPATATEYPDVGKLASWRGAIIMLVTGGSQFLDNVFMTSANIA LPSIQKEFNVNSGNLQWMISAYTLTFGGFLLLSGVLSDRYGRKNLLCIGLVWLSAWSI AIGFGNSFIEVAVFRGLQGMGAAMTVPSAIGIISSYFVGPDRTRALSIYGASGAVGFC AGLIFGGFLSSSLGWKYIFRLVVIVTALLAVTGWFVLPKDRLEGTTRARLDYAGAALS TAGLILLSFVLSSGGVYGWSKPFIIALLIVSCAILVVFTLLEKYVKNPIMPLSLWKIR NFAGLWIAGFTVYGSYQTVIYYLVLMAQEVDELSAGETAIRFLPMGAGGFIVSLICGR AIEVVNGKFLLLMGMALSVLAPVPSCLTAQDLNFWTNVLPTSLISVTGVSIAYITAST TMLASVPVNVKSLCGGMINTAFQIGSGVALAVSSAVTQSVDVNKGHPLAQQYQTGLWC SAGLAGVGLLFSIVGIRRKGLNLSESNKEDPVALPH ACHE_30332S MLQELRKINSYNAWVVVFVAVGTIASAYGLAIIGSTVGEPGFYD YFGLAAEGESGYDHTTNMVGALNGVNSAGNIAGAILQSWTAGLFGRKRTIQLGSVVLI IGGALCAGSVHMAMFLVGRFIAGMGSGILTCIVPIYQAEISTAETRGAMVAMTGVMYS MGYSLAGWLGYACYHMPFKSPAVSFSWRFPLAVQVLFPLIVLAGSSWIPYSPRWLLQQ NRREEALSILERLHETPDDPQHIKARREFDMIDEQYALDQALSLNRRFELFRTATNRR RCLIASLLMWGDQFLGVYVMTNYGVIIYGNLGLTGSIPLLLNACWNSFTMIGNSWTAF SVDRFGRRTYLLIGSVGCIVSLVFLCALSAEYLDTSNMSGLRAAVFFMFFYIFWWSFF MDATQYVYVAEIFPNHLRPQGVALGLTIFYLASEVTLVGAPVALNKIGWKFYLVLIIP SACYLVAMYFLFPETKGRTLEEIGALFGDENVANFTEGQARVEEGRSSDEKPDASPEK GHIES ACHE_30333A MVLRSLLTGLVLLGSAFATNETLPARLLDLGNGVIGGLYRPSNP GPRANVAIFVMHAEQDYINFVACTELYKRGYTVLCANNNASKSGKMTDLAFEDMLQNA GSGISYLRNQTYIDKVVALGHSGGGAMLWAYQNIAENGVSACNGPEKLYPCSDDLANL PAADGFISVDANYGLSTMTFLSVNPAIMDENSGMKINASLSLYNPANGYMSNGANYST SFRKAYQSGVVTRWNRIIDHALSRSKAIAAGNGLYADDEPLTIPDANYVGMNNKFFAE DTRFLAHTTHAWPLLHKGGTNSTQVVHSVRVPTAIGSFASKFYDGAIKSSINRFLETL AIRVNDNFEYLPDGLKGIEWNSSQTAPISSAPGVSVPILAMGMTGHWEYLNAEKIYLN SGSRDKSIVFVEGASHTINVCTECESYPGQYGDTVKTCFDHMDQWLRQKGRFL ACHE_30334A MSNMSIPISLPVDNIRQALSSIAPLASWKTLALLLALINLKNLP LVWHIRLLHVFLRNIRWKPDAPFYPNGKSTTINGKPIHPVFASFGLSTRTPLLESDYN LHKSNSTYFSDLDIARTALATRILSPGVGILSKELDQETIEKCRKEGKPVPKKLPWMY IAVGSVYCSFKREIKPFERVDIQSKAVAWDEKWLYVLSFYIRPAKKGGDGKKTLLATA LTKYVVKKGRLTVPPERVLRTSGFLPPRPESAGEAPVLVESKDVSGMGTPAEGEGLKV TAGVDDSLVREVLKIDADQVPGRETLEEQKKANSESWSADEWTWERIEQERLRGLKVV EGYSTLDVKLQQEWEYQ ACHE_30335A MSKATGEEQTHNNAAFSGVDNCINSAVSIEYWNSVPATANGMLA ALGGYPWYSRIDLRGSKSFLAKARRLVPTCSTEGKLSTGVDCGAGVGRVTEGFLSQVC ETVDAVEPVEKFTRALRESTLKESGVIDAIYTIGLEDWHPEKKYDLIWTQFCVGHLKD WQLIEYVKRCRDALTATGILVVKENLSTDPNGDDMYDELDSSVTRTDAKFRTIFKDAE MNLIVSELQLGFPKTTRRLLPVKFYALRPKVT ACHE_30336A MSKYWRVWFPYFNSNLTDEREILYAMLRDIDSHRVRIMPGSSRD REDNFLEVCLIVKTPSCVNAYYEVIPYAHAQVREDLHSGRILPYTRPALHWR ACHE_30337A MDQEINLQARIDEIIALPLLQAIQAILDLTPNLTTLLSPNGQRL VSHPNFTGTADLNSLATFYIRCGSRCTEEHAPLKNRLDYLALDPLFEAFYERTENMLR EAEESGNLTERYQEFEGSCCAHCSGHPAAVIPAGFVEGESLFFEMDEYERFWGDAEST GARYWRDPETNENRGNKKASKEQVEDAIRRGFV ACHE_30338A MFKKKPTIKNLAPLRSSDRRKTADQIIKEYQITVPYNSPIESSA QGPAANSTTPTLTTIRNSLLPENSLSARFITTSGPQHREVQGTLFVGAHPGSEERVLW FRLDQGPGSDGRLYPTVYTLWHNPNLVPLLYTPEIVMKKLHGGADLMTPGIANDPPFP ERAVKGAVVAVAGLNRHTVPLFVGICEIDIAGLGEVQGTKGHAVCGVHWEGDELWAWS SSSRPGQPAPEYLEGWDEEIGEGEEEGGEEVDEVKEGINELALQDGQAGDGEGSMGES PEQLEEAPAVEENEPKAKEIDDAFVNAFIYALYKLKQDNPTVKNHNIVLPMQPSQLIT FITPFLPIHTPQQAQWYNIKKTTWKNVKKYIKHLHKLQLVLAKDRSGGETVILDVDFN DRLVQQFIPYKLPSKNALEKAEKQAAPANRKPAPTGDDPSVGQTLTIQTLYRPTQKLT PTIFPALSATNPSNYYKYSDVSNHLDQYLQSQDPPIISKENRRIISLNPYLANTIFTS SSGEDRSTLARGMTTRDGLLKRLVEDHSFLAPHYVILKSSQTLSDVKPKAGAAPKVHV TLERRTGSKTATKVHGLEIFGIIPNLLAEELQKKCASSTSVTQATGAPKGVMEVFVQG DQRRALETALIRRGLKTQWIEVVDKTKKKK ACHE_30339A MPPQPYEKSNYEMLREGGYHSMHEFLHSHSLKLQPGSYEEAREI LDGFREIDQRAWEEQHGYQSEEDWDEEYYDEQEALEYQYYEQELLEERYNSEEYGDEG EYGQDVSVWGYEDEIGASEEYSEPEYTDQEDWDEGYGYYSDESDDLY ACHE_30340A MPPPFSFPPPLQPYDKSDYQIIKEGDWNNMHDFMSSYDLKAWGQ SDYEMTKQILEGFREVDQQAWEEQYGYHGELEQDYHRDNH ACHE_30341A MSVTKIASLLLGSAAMVAGHGYVSGAVVDGQYYGGYIVTSYAYT DNPPETIGWSTKATDLGFVSPSSFSDPDIICHKNAQPGAISASVAAGKDVELQWTEWP ESHHGPVITYLANCNGDCSEVDKTSLEFFKIDQKGLIDDSDVPGTWATDNLISNNNSY TITIPSDIAAGNYVLRHEIIALHSAGNEDGAQSYPQCLNLKVTGGGSASPSGTLGTKL YNEDDPGILVNIYQQLDSYDIPGPALYSGASSSSNSGSSSSSASATSVAASSASSSQT SATPASQVKAQASSSTPSASSVATSGSLSDYFSSLSAEEFLNVISETLSWLVTDKVHA RDLSTA ACHE_30342S MAPAEAPSPAFPILDPVIVDDEMENAYQDADIDFLDPARLQMSS GNAGQEFDDLLAHTSSSRTLTDSDSACLSPSELSVKRHYAEQDHLRQPRVMTADSPAE SPDNSSRSSSSESPRNQHLRHSSVASSAGESAMMPFGYTSSEDWMNPDLESVKEEPLF GLDSSLQPMDNGLPMDGDLESSNKAMDAAFDFESAASSPSLLKADVTPQPKLQKRFKS QMRKNSSNPTRKSTSPLPTSASPFFPNGLREMSPFPGSNLSAQGKPSPASQWGGQSPS SILEESFGGINMNSGSPMNSSFNFGQNSFSFGMDLAPSPPQNHIKPEPPQRHVLTVHP TSLKSRVETQIPIRLTLFPLPPGVKKLRLPSHTISKPKFLAPASTERSPDTMELHTSL VCTSAMQDQQRLQRAFARARGEVYCNLSSADESQEEDTPLGGGEVKICAGCIQRERKR ASRKKQRKPEEDELFQKDEEKRVIVFNTNELKEWTEASKNSVPSYSDIPPPNVPAGAM QVELPMRIACYCRHQNEKLGFQVIFTVKDWVGNVIAQAITNSIMITDDHKTHAPPAPP APGPSPALPDGTQLPGVGVFHSGTNVDTGKSNAMTQPSFQAASSATDLQGLQQRLNSQ YQATPGSFAIPQNTTNGTSNSQAHRSLSRQTSPNDFQGPMSKRRKHSSSSRLPSELTM TKLENSQPSSSGATSQPMGNGSQFPTTRAFASPSERPFVSPSAMPGQFANGPPTPNSN DNNPFFSLNAQQSRADSLQQLMSAPNSAQPSRPGSPGSSARNNFQEQSLNILGPSPST PMWPPLTNAGNRLPSVIHKLVPAEGSITGGTEVTLLGSGFYPGMEVVFGDTLATTTTF WGDKCLNCLTPPALQPGLVAVVFKHEHPTFGQMQTQPLMPKQQQFFRYVDDRELQMYR LALGILGQKLGSQADAFQTAQQIMGSDPKAMFNMHKDFQNGSGGGHQRQVPGLESQGQ LTDLDSKMLTYLEFVDLDDSPRPPKYNSRCGTGQTLLHFASSLGLTRFVAGLLARGAN PDVQDNTGNTPMHLAALNGHAHIVHRLRLTGANAHARSTRGFTPADLASTLPAHQAAL LPARHYRSRSVGSLGSSRRQHGSSASLHSLWETSSGSSSFDNAIEDSDDSGENDLSDS EADLHTSSRRPSVHQDSQPPVASEGAEPAEVARPFSPPAALVAWRNQLQAQINQFQQS VSNAFPNLPALPPMPALPDYQAHPMMRRITNLVPNPTGAWSTKDGWWDLLKGNGSNSS PTTELPSYEDLYPRKEDEQETETSDMKKTSLMRAATEALVDQHFEAQSSRSQTAPVKD DKEDLKDIRIGRKVISREQQKHLRAQQAQRMKGLGSDRNLYFIWPIFENKLPLKNLHW KSPSRPVRSIESLRIGFVPAAQQDNAERKSSSDTALGGVPHRRHQIPGLRQTPYLKIF LLRCDDNDTYKATARKTVREWIKTQASTTQANTSSSSSTTVPSSQEKHDAFEWLVVHV VQDGDGAEKAASTSKWGQRTTSVLEKLKADFNGSSKSAVDRIAQLRLPKPGTTQKPPE LPDQIEDLVEKMKNAILASFDLRVAQYEEDIKEKDSQRSLPGWNFCTFFILKEGLARG FENVGLFEDALVGYDELSVGLDSAIHEQLEGSGDHHGSALLTHSKDWNGMAKKALESL PAGGDDSKDEGEGKLTPVPEIEPSDFPFDSTKKPYREMILASDISIFDFRTYVFSRQL TLLLRAARAPSVINEDQDSAKKEKKPENLTLLSEICERATEFIALAARTLRCDLEYGL AEVDNAAKADIINNLVSSWTYAAASQILSQTTAPTLTLPDSIRVIEKSMESSSSSAAA EIRPDVPKRSSSLIPPPAPRPARPASQDIFDVLAPVHTRPGSDPKPAPNLNPKTGSEQ LASGRGELYLLSRRVLEDIAGRRGWKERWNELGLLFDSKGAGEGDMKEVSLDDAQSGG TQEPPKSAYPLCGIDLPGLKTALQSRRAFRAQYEELTDQIFRHHIAASRPYSAEMAIA DTALLRYRQADYSAAAAHFHQIAPFYGNKRWNVLEGAILEMYARCLKELKKSEEYARM LLRLLAKFAAHTQANLTGHQQKMLGTSSLLLSVSPYVDELFETSSTLQKEVPASLTDF FADIRVDPVVLHYENKDGFQVQLYLRFLLGKQIDVDAVKVRLVSANGSQSSEHWIETC AKAVVKSTSTRLLVDSSTSLQGKYYVDRIEMRAGNIVFTLGGGKHSAFPLGLRETLGA SDEDSRSYIYCYPPPEGLQAKIVSTHLINLEEMRSLELELHSGRNDIKSGTIRVRPAT AGLRLRSAEAKLVEGEMNITANNESGNIEFSQFGSKSFVRFRIPYTVEENHPTLSARA EVTYETEHGRFSYSSVHNVVSSLPISVNVQDIFKDEVLFSRFTISPAMMVPLRILGCS IPSSDVYQVESNIREKVVWDVFPKQPASLLYKIQPRKDRIASPGAKGSLRLTVEFTCV DDECLDSVERTFRADIKASEFRRHTSLLTSHVVDAFRTQLSTSDMEVVALTREVEMLA YESVRWENLFGALKGPADELRKWLVDWHHQHPTITLPAQQPTIPSRRIVIPVDIPEIQ VVHTAELRLAPLADAQPTTTHAAVGQIIAAELRLRHTRRWCSAEHQEHAGGALEFAYE LHANPELWLVGGRRRGNFLAREGETTTFAVTLLPQKSGYLLLPGLEIKAFIPASSTLT VVTTPSTATSAANANPTATAGSGNAGAPLQRRQIACEVDYRNHGETVQVLPDLRKTTV SLSTSGGSQTGGGSWLVDSERRVISSSITSQ ACHE_30343S MSSTALPKRVALHRNPTTDSSNVSASPSPLDSPRHSPSSTSLSS MASDAEKDSQGKMIDTYGNEFKIPDYTIKQIRDAIPAHCFERSAVKSLSYVARDMVAL ASIFYVFQNFVTPENVPSYPLRFALWGLYTILQGLFGTGIWVLAHECGHQAFSPSKRL NDTVGWICHSALLVPYFSWKISHGKHHKATGNIARDMVFVPKTRPEYASRIGRAAHEL NELLEETPFLTATNVILQQLFGWPMYLLTNVTGHNNHENQPEGRGKGKHNGYFTGVNH FNPSSPLYEAKDAKLILLSDLGLAITGSVLYYIGTTYGWLNLLVWYGIPYLWVNHWLV AITYLQHTDPSLPHYQPEVWNFARGAAATIDRDFGFVGRHILHGIIETHVLHHYVSTI PFYNADEASEAIKKVMGNHYRTEAHTGWTGFFKALWTSARTCQWVEPTEGAKGESQGV LFYRNTNGIGVPPAKVPAN ACHE_30344A MDLLSILPSFPTKPYTHILPPLERNNINTVDLITLDTLEIAKRA HVPPADVRRLSAQIVDALHHDVGFERDIAPEEPESTQSLSLNNDAAIVPGVLNRLDLS QWGMVSTLDPAMDGLLEGGIPAGYVTEVTGESGSGKTQFLLSLLLAVQLSSPKGLNKR AIYISTEAPLATPRLSQYLNSNPYLSTLPPNQRPSLQNVLAINAMDLESQDHILNYQL PVAIARYNVGLVVIDSITANFRAEHSSHDVNSLSTRSGELAKLGHMLRNLAAKEDIAI VVANQVSDRFDPIDNANPYIASRIPATAAPQPTPDSPMPKNRLVPGSGAESNIDLLQV QIQNQHPPSSSPALPSSPFPLEEDPQFDGSYLVGNPVRNETLSLIHQQRFFTGWGDSP SSSLSQRQAPKTPALGFVWTTQIACRIALKKDESGLAFDQDYGDGYMSTQGHRQGTSQ SQSQDEAQLSTDKPDPFSTAKTVRDNPEANITANPKQERPLEQTSTPKPPPSIPSAPA PKPMERTIKRTMKLVFAPWTAGSTIGEDTTATVQDEVEFEIWMGGLRSVGSQPES ACHE_30345A MAGQIAARALLEIATNTIRPGRSRNIDCVFPRGEEIRGERRWGY NKRRECFISLRRIGDYRRDDIPECRLSIPPPAVDHEWNRFVAEGGNDNDE ACHE_30346S MATRMPLMPPENETEAHFSRITREGKRISYKLSVMQQPERARAC GAGAKSSADRRPVDPPPVVELRIFESDPNDDLHKTDITFAYNASFFLYATLQTARPIA HGRVAGAPTTPVLTGVPVAGIAYLDRPSQAGYFIFPDLSVRHEGRYRLTFHLYEETKD LKDADRDPPIPDTTVQPLKPGASTNDAFNFRLLVNSVPFTVYSAKKFPGLATSTSLSR IIAEQGCRVRIRRDVRMRRRTDKRNDDYDEYSAAPRQADNRYSTPDAYTAAAAAAAAA ATPIERPRSASTSTIEPALPYAPDAQRRPSGSDYGYPCAQPYQRAIPVAPQASPYPSH LSFGSGQPQYHAPPLPPTPQATAPVIPYSPHLSYSHTRNPSTEYEPTGYPYPQPRPPT ERTSSYPGPLPPLRPLEPPKGFTQPAGEPRSSDPNAYHQSQALPPAPRSHTPSTGIAP SLPPLSALSSGSEYAHHMPSSMVLNPSHEMTPGKRLYDAPGPKLSKRSHDDSFGPDDR PMQNGMRPDTELYPSMQRKLSEASRAYFGDTRDEMAYKRANGRMAMKIPPTFSS ACHE_30347A MSTVQDPNQLPWNPDSTQFPSRKELPKLPGAPEDAAWVWGKEDG IGRLNLLTPTRVKAAAQEIKTGEIIRLDLPLDVPKTPAFGREVFQHNIKELVPGIAYD DTYNLNTQSGTQWDGFRHFGHIDSGCFYNGAKHSDIYGDDANLKCSIHHWSTHGIAGR AILLDYRHYANTNNISYDPYTPHPITFADLNACAKSQSLDIRPESQGGSIKPGDILMV RTGFIERYNQLTPEARTEGAKRTQFAGVAQEEAILDWLHDSYFAALVGDSPTFECWPP KKENGYIHQQILALWGMPLGEMWNLEKLSGRCRELGKWTFFVTSAPANVAGGVGSHAN ATAVL ACHE_30348A MDMNHLIGQRFNLISKSDIRYVGTLHEINPEASTIALENVVSFG TEGRRGNPAEEIPPSASVYEYIVFRGSDVKDISVAEEKENTQPEPAQVPDDPAILGSM SRTGPQGLPPQSQPPQQPQVPRQAPPGYPQQPQFQGFYPPYGQRFGPPFPPGPGFPPY GMPPAWYPPPGQGFPQAPGAFPQIPIGPPGQHQTPPQQHRPGMPGAGPVNPLKPTSEL PVGETPASKPTSQAPTPAPGAAATAQKPPTPPVETKPNVTEAVQAAPGAAAATPSKVP PTGPRSSRVEPAIPFSQTSRPAVPAVAQPPLASAPGNNMPQGAAQAAVTEATRAATAA VAAAMAKLPQPNVPKKPDASVEHVTKQMNDLKTHDGNRPQRGGYPHRGGRGGRGQYQG QQNKKVEVPQTDYDFETANAKFNKQDLVKEAIATGSPAAEAENVPQEGAAQPEAVDTS STPASAPVNSYNRTSSFFDNISSEARDREEGTGARAVGREWRGEEEKRNIETFGQGSV DGYRGSYRGRGRGRGYGRGRGGYGRGFSRGRGAFRGGRGASQSTGVPTLT ACHE_30349S MTQSSHRDEFFQTSAALGEQERKDAKSQNTNGNPIRLQSKILAV QADPMNPGFVFAAQSAGTVRKVRLETGETAALYKGPTAPVTSICFSPDGRLLFAGCWD KTVWSWDVATRQPKQRYEGHTDFVRAVTSTRLNGQDLLITGGADAQILVFDITSGKRL HVLKGHAKGLQDLAIDPNSLDPESKEMIVYSAGSDREIRRFDISAGSQDLTHTDPLLA HDTSVYKLFFDNDADLWTASADRTAKCLVRDDNWKPNLVLQHDDFVRDVVVYEQGGWV VTACRDEEVRVWNRSTGKLYHTFSGHYEEVTGLVLIGSTVVSVGIDATIRQWSLKPDE LQIAVEKAKMTTPDEDEEKPNPESMLTEEEERELAELMEEE ACHE_30350S MATTSGMHVQTHDGGPETEPKMVNRLRDSKSPYVRAHMHNPVAW QMWDAEAMDLARRHNRLVFLSIGYSACHWCHVMEKESFMSPEVASILNESFIPIKVDR EERPDIDDVYMNYVQATTGSGGWPLNVFLTPDLEPVFGGTYWPGPNSSTLHGQETLGF VEILDKLREVWQTQQQRCRDSAKEITRQLREFAEEGTHSHQGDREADEDLDIELLEEA YQHFSARYDSVHGGFSRAPKFPTPANLSFLLRLGIYPSAISDIVGQEECEKATAMAVS TLIRMARGGIRDHIGHGFARYSVTSDWLLPHFEKMLYDQAQLLDVYVDAFKITHDPEL LGAVYDLVTYLTTSPIQSAAGGFHSSEDADSLPAPNDSEKREGAYYLWTLKELTQVLG QRDAGVCARHWGVLPDGNIAPENDPHDEFMNQNVLSIKATPRQLAKEFGLGEDEVVRI LKSARQKLREHREKNRVRPDLDDKIIVAWNGLAIGALAKCSVLFDQIESSKALHCQEA AARAVKFIKDNLFDKPTGKLWRIYRDGSRGDTLGFADDYAYLIHGLLNMYEATFDDSY LQFADQLQRYLNQNFSAYTGSTPAGFYSTPSTLTPGMPGPLLRLKTGTESATPSINGI IARNLLRLATLLEDEEYRTLARQTCRSFSVEILQHPFLFVGLLDAVVGLETGTRQVTA VYCTADITETKSGHEEGLLDRANAPISARDLVAKQARKEAGLATSSSSATVALVDIRP SHAGDFVGNPSFWLRTRNPMYRELKASEPGKNYLQVCEGGKCRMVDV ACHE_30351S MRSTLLLSAVCAAGVWGTPLDIDKRVYETDWSYVTLTETSTAQP VAAATTTYAPAQAVQETENVVTAAPVTSTSTLPPAPAQTTTLAPAPADNDNNNNANAN TNNVANDVGSVVDSILPTALTSSWSTEWTSTPTQAPTTMSSTTSSAGSQGTNAYQQAI LYHHNIHRSNHSANSLTWSDNLQASAQKLAARCVYEHDTSIDGGGYGQNIGYGVEESN IGQMITNLMYNDEMGFFSDLYGLASPLMALFDSWGHFSQIVWKSTTQVGCATVMCDSL GNIDASRSLPFTVCNYNPAGNTGGEYADNVLKPLGQPMFTA ACHE_30352S MSYSDMAVRFFTAPKPLGDTMASPRRLSQPTLEPKIASSNNPTL TNGDMSAQPKTNGAAPALDISSKEKKGLTFANQDSLPKLPIPELEKTCSRYLEALSAL QSPREQEETKAAVQDFLKTDGPVLQERLKNYASSKTSYIEQFWYDSYLNFDNPVVLNL NPFFLLEDDPTPARNNQVTRAASLVVSALSFVRAVRREELPPDTVRGTPLCMYQYSRL FGTARVPSENGCVINQDPRAKHVAVLCRGQIYWFDVLDENNDLIMSEKDIALNLQVII NDAEQTPIQDAAKGALGVLSTENRKVWSSMRDILTKDEGSNNAESLNIVDSALFMLCL DYTEPQTTSELCANMICGTSEVVRGVQVGTCTNRWYDKLQIIVCKNGSAGINFEHTGV DGHTVLRFASDIYTDTILRFAKTINGQAPTLWATSSPDPAKRDPRSFGNVSTTPRKLE WDMAPELSIALRFAESHLSDLIQQHDFKVLDFEGFGKNFITSMGFSPDAFLQMAFQAA YYGLYGRMESTYEPAMTKFFLHGRTEAIRPVTNECVDFIQAFWGDNPPEQKINALRKA TEKHTAITKECSKGQGQDRHLYALYCLWQRSFDEIASPTASVDATSNGYSSPVENGSV AESPKASDAGLSEDGLSSANSYSLRGMRPIPATPAIFSDPGWDKINNTILSTSNCGNP CLRHFGFGPTSGDGFGIGYIIKDDSISICASSKHRQTARLMHTLELYLLEIRKLLRAT TRKTTSPRTSRAREMEQIAERSDRAEHRRGRIVRGDPNQVYQRGTETPTTDGGELEDD GMGGYGFFDAGMLFHALKGLNAERERSVDRTVKRRFVGKKLHLNDY ACHE_30353S MMAAALEQPLSNKPAASGCPTTTADAAAVSFTPPQSANGKKEVP EGVPSELSDLELDPKNGTAPTSEDDDEEIEPDHYYGGGKIPVFKPTMNQFRDFQKFIN KVDKYGMKAGIVKVIPPKEWADALPPLDEAIKKIRVKNPIMQEFHGSHGTYTQANIEK QRSYNLPQWKALCEESSHQPPARRGERRRNQERVTRAAPIPKPQTTSASAQKRRPGRP PKRANQVKVKEEPADDSLDKTKLEGPPTPVSPESNPVETKSEELSDGEALPAPKPKGR QPKSVNARRKNNRGDAVDFVDEEAFKDFDYRIHDNEDYTHERCEELETAYWKSLMFNN PMYGADMPGSLFDDNITKSWNVAKLPNLLDVIGQKVPGVNTAYLYLGMWKATFAWHLE DVDLYSINYIHFGAPKQWYSISQEDAPRFEQAMKSIWTSDAKSCDQFLRHKTYLVSPS LLKSQYGITVNKMVHYEGEFVITYPYGYHSGYNLGYNCAESVNFATEKWLEYGRIAKK CNCEADSVWIDVDEIERKLRGESTPEYYYDEYYGSDMDDLEGASDLLTPPRSVPEKTA STRGRKRKHDGDLTRTKRMRLHMDVPRRIPCLLCPNDLEYEDLLPTEDGRSHAHRRCA LYTEETSILRDESGREAVCDVDKVPKARMGLKCLFCREVRGACFQCNYGKCTRAYHAT CALLAGVQCEQGSVAVIADDGNQYSIPSVDLKCKYHRQKRHQVVNGDSFEHDRKLLDT ARGLVPGDLIQFQADKEINGAVVLENRPEEYSLLVKMLPRGDAIELPYRWLLIVRKSN FAPLVPGTKPLPAHLARKPENRKELDLPVAGNPFGDGPSPYQWAEFETVSNASHVWVH QLQGQHRQQQQHQHQIWYYLGPSSTECRAQYTHNPSVPVHNPRANFLDSVRSLGAVNH SQQSVAAINAKYAWTNNSPAILQHHAVTRLLPSAANAGAASASSSAYPHHRKHNHHGL QYHASPTTSTSTPAIASAAPHHTHQQHQQLPTQSYLVPAAATAATAATAPPVQRPLAP PPQPPTSLHATTTSPSSSAMPSAYRSLPTQSARHAPYPQITKAHIQQQQQNNNNNNNN HTNSHSRSPSQQQQSQQLQQLPTNTFANVRELIARRRLAQITDHANVFAGYNIVSPEL VVETLLGPMGSVPPSNGLEKLELAMAQQRVQPRAVDGTLLPLQPLNMRSEEVNRLLQM LRFSLVSHRERLDVLQQKKESENNKAESVDRGSVAAAKMAGKYAFLDKQRSQVPTVYQ SPYDHPSGFTKYAEEAFGLIPCEPELPKPSLANDYFASLSQEDQEKIMKTCGGYVQRA IERSASHSRQGSASNSRLASVLAQQTENPTIDITPVEDMPPLSALDLPLHADSPCSSF SRSHLRFQSPNDFTSHGVESHNHDHHELFGDQQANMRFWQNGPWEAGDGNTPNEENRP FFGPHERLKNDYASSDISLGRGPGSLHSVDMAGFGLDATDDICAELSP ACHE_30354S MLSILGLSFAIMAAPFGLSTTLTITLTDGQAVSVIWGWVLVTLI STGIAASLAEICAVYPTAGGVYYWSAMLSTSEWAPIMSFIDGWLTLVGNWTVTLSINF SGAQLILSAISLWREDFVASAWLTVVVFWGVMAFSVLVNVFGKKYLDLFNKVCIFWTA ASVIVIMVTVLVMAGDEGRRDAKWVFGHYDASKSGWPSGWAFFVGLLQAAYTLTGYGM VASMCEEVQNPHREVPKAIVLSVVAAGITGVAYLVPLLFVLPSIQRLLEEPSGQPVGL IFKLVTGSPGGGFGLLFLLLGVLLFAGTGALTAASRCTYAFARDGAIPGFRLWRRVNP KLDVPIYAILLSAAVDCLLGLIYFGSDAAFNSFTGVATICLSTSYGLPILISMIRGRQ AVKHSGFSLGRFGYAINAITVCWIVLAVVLFCMPVSLPVNAGSMNYASVVFAGFAAIS VVWYFAYARKHFTGPPVGEEESGVMTGKAVDNIEAEPEKQKG ACHE_30355S MSDSVDRVFVHALNTVKRIPRTGTARPPTAERLKLYGLYKQSME GDVEGVMHRPVGNTTDVYAECEKWDAWFAQRGVSRTEAKRRYISTLIETMHRYASQTP EARELVAELEFVRDQIKTNTAHLPLSSPSSPGSGSHGMSHTQRVGVPPLSPPEVRQSQ LQAYSQSRLLQHQQQQQQQQRGYANIGGRLAQPYEDMVAIATANNGRGESSRLRVLSP VSQPDEIYQRRGRRMQDYEDNGSQGQHLDDEDEEEEEQYEEAQDTLYDENDDNDQHHS KHTSDEELNQDGENSSSSVQKHHGSKPSHSNDNNNDSTRKRSKTSDTRRWRRRVEQAL TKMTAEIAAVREQMETRAIQQRRRNSAWAWVKWLAWIILRQVLWDLAVLAMLLIWMRI KGDRRVEEKLKKGWSEVRRILGRLRMPRGHSGVMLP ACHE_30356A MANREPRFNQSVLVDTTPMPADIPKVEEVGATSAPLTSAAYFIG DRCRAFNDDYMKCKSEANGRGELECLKEGRKVTRCAASVIKDINTNCLKQFTAHWECL ENNNHNLWECRRPEMELNNCVFEKLGLKKTIPGAPENQTPVHLRPKQLYAQYAGPQY ACHE_30357S MSLFGNTPSAGGGGGLFGSSTANKPSLFGSNTGTTGTQPSGGGL FGSTQNNQGATGGTDGGLFGSTPQNNQQGATGGGLFGSTTNQQSSAGGGGLFGSSTTQ NQPSGGGLFGSSTTQNQQPSGAGLFGSTTTQNQPSGGGGGGGGGGLFGSSTTQTQPSG GGLFGSTTQQKPASGLFGGGGLGGQQQQTGGGLFGGGQQQQQQQQQQPQTGGGLFGTS TQQQPQQPVQQSMFGNTSLGGQPQQGQQPQLGQSTAQQPGSSLWSPGRAVTGVHRTVP MQMQIIKDKWESTNFSSPFRTYLYNNVGEDAAPFYQPGPEDDEAKWEEALRQRPSSGN VPVLVRGFWELGKRAQRQKDFLTMMQNRLHEINNCLSDLLSRHDLKISVKIADCRRKH IVLSKRCLALAAKTQVLRNRGYAMDDSEEELKKKLAQLERSVFDPTLNGRGEEIWARM LAIREHSKRLQVEMDRAGANAVTHSEDELDENTMKTVKKILDDYHAQIHHLQKELSSV KKDYEEAQKAQGLAD ACHE_30358S MSASPGPEKNPTLSADPVAEDEQDAKAATPIAGDNDNDDREGAE LKAQVDGADDDGDEDQDELAKPAPAADDDNEEEEEANGGGSDDESILSEVDEAQFEDF DPENVDIEDRPQLAIDEDNLKLIGRHKRKRTEEGDGERSSRRKKEGRREKKSRRMREL EEGGEDDEGEGKSRKKERKKRDATPDEELLDPETRRRRALDRAMDQALKKPTKRRTKK ADGIDLEQMADAEIESMRKRMTNAAQMDAFARREGKPAMHKLKMLPEVVSLLNRNQYV NSLVDPEINLLEAVKFFLEPLDDGSLPAYNIQRDLMNALARLPIYKEALVASGIGKVI VFYTRSKRPEAGIKRLAERLLAEWTRPILQRSDDYSKRVYQEAAFDPTKLTNRAPSAQ ATAAEARARELLPPRLANRARAEITHTSYTVVPRPTVVQESKFARPLGASGEDRFRRM RARQIAATKGSRK ACHE_30359S MKLLTKEEEDAHYSSVLKGGTLGTLAGLAAGVGGVMFAQKRYAT IRNLTLPMKSFLVTSSGTFVGIISADNASRSFEASRNAERQWYENREQRLREEELQGM SFMDRAFAFARREKYKIVSATWVASMIGSWVFVGRNPYLSGQQKIVQARVYAQGLTLA VLVASAAFEISDQRKGRGMLKQGQEGKADMNAAAKEQAAEEQQGDLWKDMVAAEEQRL KQKHQSLYEKPHAHAQAPADEGEKTEKGGEKKKEN ACHE_30360A MMKEKRSSATNSYKSWLSFKSHLTDASEHKSSERANATVSPVKS TVFSTSSRFLDDDEYERPTAEEENILRRVSGKVPWVAYTIAFVELCERFSFFGTAAVF VNYIQQPLPEGSTTGADGSGQPGALNMGQQAATGLTTFNTFWCYVTPLVGAWIGDEFL GRLKTIQLSIVFAFLGHIILIISALPSVITHPQGALGCFSVGLVIFGIGVGGFKSNIS PLIAEQYKETRPYVRTLAKTGERVIIDPGQTISRIFMYFYCMINVGALVGSISMVYAE RYVGFWLAFLIPTLMFGFCPLVLYVCRNKYSVTPPTGSVLGKACKLWWLALRKKWSWN PRTLVRNCRSRAFWEDTKPSKIDHRPEWMTFDDLWVDEVRRVVKACVIFLWYPLYWLT YSQMTNNLTSQAATMQLHGAPNDIILNLNPLALIIFIPIMDHLVYPGLRRMGIKFTPL KRIYTGFILASLSMVAATVTQHYIYKTSPCGDHPSACKEPAPINVWVQAVPYILVAIS EILASITGYEYAYTKAPKNMKSLVQSLFLFMNAISSAIQQGLTALSTDPLLVWNYGFV AILAFIGGNLFYISHRNLDKEEDELNNIQASCFVGDTRKKDEESQAVLDYEYDTGSYR HAGFDSETESIELGNRRVRH ACHE_30361S MARRQKLVCNACRQRKSRCDGTTPSCSNCAATGRQCHYDKAPSL AYVRALQERIQMLESRQAVPKEGSVPLNFAGQDEESISVDARGDLSYHNLTSAIHETP SEKESASTIGEPPSSSSLSTTATIATPSGTEQVNGAEIRRALVAHTTAQRQQEDQHLD LIATHTDIPSPLARTLLELYWCWLHTSFLFVYRPTFTRDMPLLVGQGDGARRTYCSDT LLKVVYAHSCRFVRDPESTWDPLGRSETFERFADRLMSEAQVALAMETINPPSIPTIQ ALLQQSARDMAYGRSSQAWLYSGMAFRMAIDLGIHVSTERLQRYARWLSPEDIEVRKR LFWSLYAWDKHISLYLGRMPNFLAGTDSIPLEFLDDYTETEPWKPFYGSERLDNRGDY PPTPGHVVSCFTALCKLCKILSRLMLELYSPGTHKPKAEPVQDFPKMAAFVAINEELQ TWRSGLPPFLKIDPQNIPSISPPHYITSLNLMYHTTLILLHRPHVAGQRDLNAPAVQR SWRICKTAMRAIHDILQLYVGTFGFSHITYMNSYCTYTAATTAVYQLEIDDEQQKTIG PTRNSIWTELKFLLDILQRTAVSMTGLNRSIDIIRSRLKKILDRRAASQLQSLFPPAL SSQRMPSQSSQPPSPSSYFQSSEFTFSDARPTLSATPSLLPRQSQSNPLNSGPPTETP LNQVNTNLEAWASWLPAFPGQDVSLGTESLFDCEAGLSPDTRCALMGSNLDPHLSLDY PLTGEAMDEYSRFTEGL ACHE_30362S MTVYHIVLFRLKQGVTSAQLSAWKNTSQGMVGKIPGLISIHANS PLPISVPRAKGFDFGLVAILESPEHVEGYSKHPVHLE ACHE_30363A MSDINVNAAAEQDVQNTLAELKAEQQAPANGAAPEQKEDAEEGR IVEAAAKLGEKSENAEETKSKEGNHGNKGHKGRSNAKFDPSTLQETDDPVEIRKQVEF YFSDSNLVADKFLLSKVGGSTNNSVPLDLLHTFKRMRRFQPFSAVVEALKSSKTLELT DNDTGVRRKNPLPESVTANHDPNVVKVFEDKAMSRSIYAKGFGNEEPSTQFDIEAFFA PYGPTNAIRLRRATDKSFKGSVFVEFESEEKQKAFLELDPKPQWKGQDLIIKSKKEYC EEKAEDIAAGRIKPNRGRGGHRGGRGRGGRGGRGGRGGRGGNRGDDSRDWRERRQEDQ QKGFADDKPRGEKDARGVPVVQSTAEAGQKRAREEEGSEQPAKKVDSKE ACHE_30364S MPAIGGQPPPAPWPVQDIIYVAIVGPVMLAAFFEWVLWLVAFLF CLAKVYTKADHWTVRFLAVVMMILFTLLRAIFLPIMIVTLPLPAQITRYFPTRAVFIL QWFAFWSFAGLLILPFLLCVYRLVTNSLGRAKRVKQVLDDRTAPKTVIVMPVYQEAPE VLIKAIDSVVDCDYPANCIHVFLSYDGGVVDESYLQVIHHLGIPISLKTYPQSIDVTY KGARVTVSRFKHGGKRHCQKQTFKLIDKIYAEYLKRRDDLFVLFIDSDCILDRLCLQN FMYDMELKPGSKHNMLAMTGVITSTTERNSLITVLQDMEYLHGQLFERSVESACGSVT CLPGALTILRFSAFRKMAKYYFADKAEQCDDLFDYGKCHLGEDRWLTHLFMVGAKERY QIQLCTSAFCKTEAVQTFGSLLKQRRRWFLGFVTNEVCMLTDIRIWKQYPLLCLVRFM QHTIRTTALLFFILVISVITTSNKVENLPVGFLAVSLGLNYSLMLYFGAKLKRFKAWL YPLMFILNPFFNWLYMVYGIFTAGQRTWGGPRADAAAADKHTTPEEAVEQARAQGDEF NVDVGTFRLSRCEDRGVPVHPSEKIEGRFAPAAQLPEGGYVNAYNDSGVTVAQAMSPL PGVPRIHLHPRQLSSDSGFTMDSTNPISMPHSVESLMHEDEQIRQYLARKGQGQISHT STEMCADMGDLDGPSDPRAPQQGFEAQPAEAMPEADIASSRPLSTERPGSARSAPNTT PVNGPSGSNSLAEHVISDSQSSNSRLWKEKMRSMGPEDMV ACHE_30365S MSVVGIDFGAQSTKIGVARNKGIDIITNEVSNRSTPSLVGFNAR SRALGEAAKTQETSNLKNTVGNLKRLIGRSFNDPDVEIEQEYNTAALCDVNGQVGAEV SYLGKKEKFSATQLVAMYLTKIRDITSAELRLPVSDVTISVPAWFTDVQRRAMLDAGE IAGLKVLRLINDTTATALGYGITKLDLPNPDEKPRRVMFVDIGHTDYTASIVEFRKGE LNVKSTAYDRHFGGRNFDRALTEHFANEFKQNFKIDVRTHAKAWSRTLAAAEKMKKVL SANPAAPMNIESLMEDVDVRAIVKREELEVMIQPYLERVTAPIEQALAEAKLTADDID FVEMVGGSTRVPAIKEAVSKFFGGKTLSFTLNQDEAIARGCAFSCAILSPVFRVRDFS VHDIVNYPVEFTWEQSPEIPDEDTSLTVFNRGNVMPSTKILTFYRKQPFDLEARYANP DELPGKINPWVGRFSVKGVQADANDDFMICKLKARLNLHGILNVESGYYVEDMEVEEP VPEEGDAMETDKPEGEQPKKTRKVKKQVRKGDLPIAAGSPGIDQSVREAFTERENSMY MEDKLIAETDEKKNELEASIYELRDKIDGVYAEFASEEEKDKLKSKLLDTEDWLYEDG EDTTKSVYVAKMDEIRFIAGPIVQRYKEKVEAERQAVLKAQEEEAAKKRAEQEAQRKA EEEAKKAQEAQQEPKADSEMQDAPAEGEAAPAEEQKQ ACHE_30367S MDASTPNTMELTIHHHGTPHTYHLSPDATLQDLSATLSSTLHIP TENQKLLIAPKPGMQKHPFPPTKLDSILQLDSPKFKITLLGTPTKEIENLNAEGENTK RKLEARAAARLSSAAKPTPTRRTGGIHTLGDSKESYTFHRLLPLSYLPNPDRSLQFLA RLRDDPGIRAAMAKHRFSVPLLTEMNPAEHTTSESRTLGLNRNKGEVIELRLRTDAYD GYRDYRTIRKTLCHELAHCVFSEHDRDFWNLTGQIEKEVERGDWKHGGRSVSGEEFYN PGDWEGVQESGLVVDEKGWTGGSFVLGGLREDAVGSANSAAGGARSVGVEGRREVLAR AAEERMRREKEQGKKEN ACHE_30366A MANSNSPPQMTDRLSSILNHLKPSGNTGVSAITSKNPDDVVITL ALRTPLTKAMKGGFKDTELDYMIHALLKQTLQRSNIDPSLIEDVCLGNVGDGKAAYII RAAALAAGIPHTAGGSSVNRFCSSGLKAVQDIANQIQLGAIDVGLAVGAELMSGNGDR IPKPFHEEVLKNQEAADCMQPMGQTSENVGADFNIERETQDRYAAESFRRAEAAQKAG WFDDEIVPITTKVKDPKTGEEKEVTLTRDEGIRYGTTPEALGKIRPAFPQFGNRTTGG NASQVTDGAAAILLMRRSKAIELNQPILAKFCGATVAGVPPRVMGIGPTAAIPKLLGK FNLTKDDIDIFEINEAFASMAVYCLNNLGLDHAKVNPCGGAIALGHPLGATGARQICT VLNEAKRTQKKVLVTSMCIGTGQGMAGLFVNEQT ACHE_30368S MALEQRTALFNRMDQIGRSVGIVLKAGGKIGSTRDAHRVVYYCQ MNENEKDADTATTNALVEKIFETYHEREMDISDSDVLRYLAVDAGVSREEMVGWLDAS PAVADEEARKNKEEVSREFRFVLSRASIVLMVREIYPSSLRYSARRGSGMQASDNVKD HSTAPFYTVYRRHIQ ACHE_30369S MHAISLLSILLLGAVLALGSSTKIEYSIKGANTSYPVSLNGINL LSASMQDVSSALSNGTVTTLELVDAYVARQKALDHRGLTLRSVIEIAPTAREIARQLD EERANGNLRSQLHGVPIVVKDNYNTEPKLGMNTTAGSYSLLNQTVTGDAFVVSKLREA GLLILGKANLDEFAGMMGKDNSSGFSARGGQASAAYVVGGFAAGGDPSGSSGGSAIAV SAGFAAASLGTDTDDSIVKPACRAALFGLRPSTGLTSRSGVVPLSLSQDSTGPLAKST WDVAALLSIMAVHDENDSYSRAAEPFRKKDYTACLKKDGFKGLRIGVPRYPFFNASIT GARSEANQAVDKALTEMQKLGATVIDPVTFPNAEEFTYAYPGLPERSNNETILLQYDL KEDLATYLQTQLINSTIKSLQDIINYNEAHSDLEFPPGQCCQATFLNSNNLLPRASSA EYWIAQYHQQRLDVEGMQATMRQHNLDLFVVPTEGYSARMGAIGRRPVGTVPLGYDRI NLPFGLAFVGKSYDEGTVLKAMYAFEKAFPKRQVPPTLD ACHE_30370A MSPVAKGFIITVSALVAAGIAVYESPQFRQWIYNQRRKLAQTLH NLGDEVQPRESLSFREEMSMTEEPGEVAEERRRAIREELERRSALLMERSRRKSSGSP PSSFDAIVDKDGRLLDDLENDPELATDILANSTAIDLGSSQLVQRGKQGAASDENKPL PDTPAPQSRSATPVQLTPTSEEPEPDFGLMHSRPESRRSSVGHTEGTSSTQYYAPSAQ PPLNDTHQNLQSPFSEFSDFESVSQGHPERPSTPSTSSDFSHVYKYAADESSDSTLSD LGQLRSGAVTPAGWSEVGSVISNDEVNYNNIWHE ACHE_30371A MEETDDYEMSNVDNRGEGKDTIPNKISGPIALNENKTCKATEKK EKKIERNRALMPASIAKILTFDLANFLTPVGSSISLKLLSFNVYLIGIPQLI ACHE_30372S MSRPASTVQRPLTLTEELEKLEQSITLTLQEIDHNFSQAHRIVT TSILPLVEQYAENSRDVWEGAKFWKQFFEASANVSLSGYEEKPNEEETAVHDEHTATE EADSTALSENPSASYENQSSLDNEDNDDADLTQNKPDDLDLTSLTLTGHSTPRAPTEK HDADPDLTSTSSIAYSSTSPYETLKQQINESKSPFDLEESNLPSTPPGKSSFRQYHGT PMSPGSSPFVPPVSHEAETPTTNRKGGYHYSKPSDPVMHRMPDKTYRVQATPLGKGAG VGRSKFTVTPKNKPSGSKYGFEDSPLSSPEPEAPQLNQEIFSSPIKSAFTPGTDRKRS RPSLSGHLRVTPQPGTSVLTPAKGGASGKRPGFWDSDDEMPEDFEDDGFSPPKTMQFH IPQSRLMKTPAKEASKRIVEDLLVTAGADDLTDSVAEQSPSLIRRAEGLEDESF ACHE_30373A MESPAIYPDSPMEQDDVPYPCKGCGEILEEGKAFELAGNRWHID CFRCSTCGTLLDSDAHLLLLGDGALICSNCTYSCSSCGNKIEDLAILTGEQAFCKRCF RCRNCKKEIDNLRYARTSQGIFCMDCHESLMQRRRKRNRVAAAANKKQPGVKLDKSLP SLPPEEETHSRPADDPAADAYADATTEVASRGAAPALDSAKFDDSTPRQSPNDDLILP SSAYRSNRNSTIPREQSESDGGGEFLIPLAFDPNEDKRASSRTQPPLPSHGSSQKSSR DGLEPSISSETASPHIAYQEKGREPAVLEPVRYRPEEVGTPDTSSAVQQHVTKPSRSG SVLSAQSDIPMHTRETSSFSTPSPESTRSSALHTRDGSTFDSQRSETTVTIPSRPSHE LHKLHENNSVDSSRSLPSSGLQYPPKRGDSLEGKLHHIPRKDFGTSARPPSVSLSQLD EWHGRSTPPLVASQDQRLGAGRGTPKQGESPRAQRSDSYTDPSRKQHGRQTSLGTVQS DTANRPSDRAGSPSFLRYSAGGDFSMDEDMQRIMGLDDSDLIQNESFLRRMSNSVRHG RSFSDKGSRLSRDTRFPRTPVNGATTTPSQDPPTPSVGSPETRSDEIAWLRSELRKER QRIVEREQKIAELEGALNATADVKLANTELSEKRSTIVVLDTQKEIVMRELSVLTDHL EAEKRGASGALDLGKITNHVLREFVDSIQKLKDTFTPQIEELVQKRNDTAEELANLNR LKDKSFQEFEQLSSKNAQLAELNNQLVHQIQELYKANSTEGNRAANGLGIYSHGKDKS LSSFDVMKAASELPSSVSTANMSEEAEATIVPGPQVVSIRKGQPRKFNWKKGGQNVAK GVTKGLKGAFMSSESATAGSQDAAGNLPRSQTQDPSRQGFGFFGNPRNKQGGTKLAQA DSAPALSDVAGTPALFGTDLEQRMEHEKSIIPAIVTRCIQEVELRGMDMEGIYRKSGA SSAIQTIKEGFEKSPQDYDISDPDLDIHAVTSALKQYFRKLPTPLITYEVYEMVIDSG EITPHAARVEHMRKCLQALPRVHRDVLEFLLFHLARVVERESENLMTSQNVAVVFAPT IMRPESLAREMTDVQKKNEVLRFLVETCQEVFNGMQGLP ACHE_30374S MKLSILASLFLAGSAIAAGTTVEKRGSPTIDDNQPCQSDSKTDY CKSGLCIQEPNQPSGTCRPKGQQN ACHE_30375A MSQIEDVPKDGFAQKVKRYLPTKEIWGKSFSYIRLLFSLDYTYV DVILIIFGVIFGIAGGVPFPLLGIVFGDLINDLNSTTCSANSGSSTSDLSSHVRTEVL YVIYITIGNFCSIYIYTCCWCLVSERLARRYRRHYFESVIKQEASFIESLPSGDVVSR LVGDIEVVQAGTSEKVGLVITTISYFVTAYIVAFIKVPKIAAMLISVVPCFFIMSLVG GHYVKKFAGKISDNINAATSIASSSLSHLMLVHAFNANDRLEKRFAMYLAGARMDALK KAATHAWQLGFLYFIAYSANALAFWEGAQMIADAVGSDGGVSVGSVYTVIFVLIDASF ILSQVAPFIHVFASAAGASERLLAVINRPSAIDATSDEGDKSAAFRDEDILFDDVHFT YPSRPDVPVLQGVKFTIPPRRHTAIVGPSGGGKSTVVALLERFYDPKSGNVMIGDTNF RDLNVRYLRGNIGFVQQEPSLLDRSILENIAYGMVASANEAHQSLAQYIYDSTLPELT DKIRSGISEQEALTGFPAEVADIVARVKQAASDANAMNFIAGLPYGLATSVGSAGNQL SGGQKQRIALARALVREPCLLVLDEATAALDSTSEKLIQQALAKVSESVTTVSIAHRL ATAKDAHKIVVVQTGRVTEEGSHAELVAKGGVYAEMVRLQNLGKLSAEDAAIPEDMIG KAGPTNTTTVDEKKEAVGVSDTEISETPPASKSADVLAPAAEKESKWKIWKKKDGDDK DLKAKTKRSGWFTTKYIFSLLRPNMMFIIAGLCMSAIIGGSYSAEAVIFGHTVGALNP CTSPESISHSGNLYGLLFFIMALVEMFANVAGGCAFGWAADKILYRIRVLSLRSLLNQ TIEWHHSEDRSPGTLLTYITGDASALSGITGTTIGLLLATAVNLIAGLVISLAIAWKI TVVLLPTIPVLLVAGMMKLRVQAKFAERHQKAFANATAITVEAVDNIRAVAAFSLEKQ SYAVFGRALVKPYRATMKAIAWGNVWLAAAFSISNLVYALAYWWGSRQIAAGLYSQTQ FFIVLPALLFSTQSCGQMFALAPDISKARVASSNIVDLLTTRSAEEELSPGSTANVQP SSQLLDEKLPDVEANEKAASTTTSSSPPSSSPGDSTALLIPPENGLGAQLRNVHFTYP SRPERPILRGLSIDIQPGQFCALVGPSGSGKSTTFAMLERFYRPAQGAVVIDGTDITR QLGTSFRDDIALVPQENVMFEGSVAFNIGLGARPGHEPSQAEIEAACKMANIHDVIMA LPQGYDTLCSHDGKQFSGGQRQRLSIARALVRKPRMLLLDESTSALDVESEKRIQEAL ATLAGRTTIVAIAHRLNTIHRADRIFLIEEGVCVEQGTHTELIQRSETYRTSVIHQSL ET ACHE_30376A MESKAAELLAALKNVNLSIDAKAAHLTSVKSDIKQKNVPESAVT TIFEALRLALTSQHSSLLGGGFSTLGHFLKRLFIQDQHHLISGQGRMLYPILLERLGD RKERTRAQAAQAFTDMWPAANADVEHYVLEIALVGKNARAREMSMIWLANMTKTHGLL FRAYVPRLVGCLEDADSAVRDTAKMTVVELFQNAPARAKSDLTKQLNAHNVRKSIANS ILTSIGLSSTDGEHSAPSRPASRAEALHQRPVSVMSSRSQYRVESPEPEPVRTQRPVS RLDRERSVGPPQRAAIDEYPDEPHGSQNDSEDIEPLVVASSRDVDDMVRDMLPWFEGR ETEQNWVPRERNVITLRRLTRGNAPHSFPTQFFNGMKTLLDGIFKVVNSLRTTLCTTG CLMIQDLAKTCGPRIDSMVEIIMQNLIKLCSGMKKISAQSGNATVDVVIGNVTFTPRI LQHIASAAQDRNAQLRLYAAGWIKTIIQRQARHKSSMEHGGGLDMLDKSIRKCLSDAN PSVRETTRVTFWVFNSVWPRKGEEIISTLDSKSRSLLEKENPNADRSSANTPSASRKG LSSSTSSAMSSRSALKEAIAAQKRARLTPGHTLPPRPESAQSTFSDARTTDLPSKSST SAVRTVPTGTSVSSLSSAPMRPGSRPRRPEITRPATADPYARRTGEDSRSTKANSAPK VESSPRSLKAKSAATPSSKTPRRDPVHLGPTMSKPKKLDISKSRLHDQSASHSRSGSH DSAAHHGRESPASIQLESPPGSTIQRPVPALEEPVPSLPTLPPPRMQSVERSTPEPSR EPTADLADEQLPSPDVIPNSVRKQPAPVQIYEDPAPAPAETPVETENQAEVVPNEYYI SADTLARDEQEHKDYGEDVGAMPDAPAMTEPESPHEPEQEPAQIDVEQPAPSSASPDI VTHDVPAVTPEPEPVSQEHESPVPETNTNVEQPDLAPHEAEDPDSPSSVIHHPVTVTD VDYYEPPQMPSPSPRARNNENATSLPNKSITISPEIRSAPRHNVLEEIPNNEPARDNR TPRPIAGKLRSPETMPTAAPAVSRRWKKLESTKDRKRSISPRSKDPAKAREMLDKGIQ RIRTKTMDILGYRKLQGLIEYHDAIFDDEAKYDEMLVALLNELESAPEERRAGRSQDV KTQVLVTIRFLLRQNEEYFAAYYPKAMTALVSARKRYASSCHIVSGLEETAEEIIAGC PAAEVIEAVLEQIEHETQDEEGYRAVTMGTSVVSRLVKRVNGGPSKGRMESGLVERIG GFCGRHLTDRQPDVRRQVTDLSVALYRGMEDESRFWEVMGSPRENSRNLLIYYIHK ACHE_30377A MRPILLAILTLWASLAQSAPLSTEDNSRLIGSSFGVPQNATYDY VVVGGGNAGLTIAARLAEDSSISVAVIEAGTFYEISNGNLSQIPAYDTYWSGKDPENV SPVDWGFITTPQDGLLNASVHYARGKALGGCTARNYMAYHIGTIESYEKWADQVGDDS YTYDGLLPYFQKSLNFTPPGPSRAANATPSYDEASLGSGGGPLSVTFSNYANAMSSWV EKGFAAIGIKPIQGFTSGALNGSAYVLETINAADQTRESSETAFLQPALESTSLTVYQ STLAKKVLFDLEKTANGVLVESDGVEYTLSARKEVIVSAGAFQSPQLLMVSGVGPKAT LQKHNIPVVADRPGVGQNMWDHILMGPTYRVNAITSSSLANPDALSEANDLFINKQEG ILTNSGGDFLAWEKIPSSYRSPWPDNTLSALTQFPSDWPEVEYLSMSGFLGYQQNYQR DAPTDGYNYATVSTALVAPLSRGTIDISSADMADAPVINPNWLTHPADQAVVVAGYKR VREMFNSTVMEDILIGPEYFPGEDVQSDAEILEVIRKTASTVYHAACTCAMGKEDDEK AVIDTKARVYGVKGLRVVDASSFPILPPGHPMATIYALAEKIAHDILSS ACHE_30378A MSSNQAAWIPEARQQLTVGPAPTPKPGPGELVIRSRAVAINPVD WKIQDYGILIQNYPFIVGEDVAGTVEEVGEGVTRFQKGQRVIAHLLSLKTANAAHSAF QLYPVAQENATAAIPEPLSFEQAVTLPLAISTASVGLYLPDYLGLPLPSTSPESTGKT ILVWGAASSVGATAVQLAAASGLRVTATASPANHQFVKDLGASVVFDYRSPTVVNDIV NELRGKEIAGIYDSISEEATFEPLAAIAKELGGIPAASVLPYKNPSETFKPKSVSALA IVTPPNEKIGQAIWEEYVPKALASGQLQAKPDPVVVGHGLDKIQHAFDVQKAGVSGKK IVVTL ACHE_30379A MASYLITGASRGIGLAIADLLASRPASEVSTVFAAFRTETDELK QLVSKSAGRVQQVKIDVTDEASTKQAAAEVEKQLDGKGLDVLVNGAGVMNFTPDGIEN MTDLESTFTTNVTSAHLVTAAFLPLLKKGNLKKVANISTTLGSIEWSPRYALFPVPAY KISKAALNMLTVQYAQSFAEQGFTFLIISPGWVRTNLGGDTADLSVEQSSTGVMEVID NATSADNGKFYNIKVPGWENAEGLNRYDGLQAPW ACHE_30380A MSVLSLLPLATATLFTAGVSASALHARNSSTQSAPVAYTCGPKT ANVTCINRYQSVLPPSFSRDADPTVGYSGTLVPDDPSWASLVPNADFVIFDKKRGLEL LGASPKIAHNYIPVLNVIHEAPIYVPELNKLFTTQDGPPGNLTNIMIDLNVDPPKVEA FVTDPPIYQPTGGILHDGMIYWAVQGNNVTLPNGLKQRPGVARVDPKTLKAEWLLNNY YGFFYGGLNDLTVDDVGDVWFTDSDYAYGLGLSNMSNQNQLATYRFRPSTGEVQIADA SLQHPNGITFSRDGKTLYVTDSGLETVGPDASTQGFYNYPIRIYFTSTNARNVYAWDV ERTETGAPILKNKRNIFQSLEGSPDGIKVAANGFLVVGSGLSNGVDILDQYGSVIARI QTNHPVENIAWAGEDLKTLFLVGIGGITKVDFDLQGPDPKNYFIK ACHE_30381S MVDSLDDAHEAAASEPKFTNEVDVEQSFGPERRKVRGFSWFLVV VSILSSIFLYALDNTIVADILPAMINDFSSVDQLGWLSVGFQIGGVAVIMPLSKIYGL FRVKWLYLLSCVIFMAASALCGAAPDINAEIVGRVFTGAGGIGLYIGVMILLSVNTTE QERPMYLSLVGLVWGIGTVLGPVIGGAFEKVTWRWAFYINLIIGAVLAPIWVFLLPNT AHPCKHLSLGQRLRSFDSVGCILSMGAIITTIMPINFGGGLYEWRSAAIITLFTVGGV LWILFGIQQTLNIFTSATDRMFPIQFLRNKEAVLLFILAATCNAAVFIPVYYVPIFFQ FTWGDDALASAVRLLPLIFLLCATILTNGWLMSKLGYYMPWYAIGAALMLIANVCLSQ IDNHTSPSYIYGFEALLGIGGGAFVQAGYAVIQAVVAPEDLGYAVSFMMVAQIGGIAL GLAIASAVFVNGATVQLQDLLPQYPKDQLQAAISGTSSQVLQALSPELREGALSLIVS NMDKVFIVAYAGSAVALVGSLALSRKRVFLPAAAAA ACHE_30382A MKRLQLQRWSSSVLSPQVRTGSRLQHHLYTGLRCQSTVSPVSQA EDEPLTHEQLHVSPIPSPNSQKSKYSLPSPPVEAARESAKLAALHARLYLPSRLPLET LARSLVDASADPNSNFNNASLATLGHDLLTYYTSEHLICTYPRLPMAVVFAAMYAYVG PKTLASMTREWGVEHAATPGGEVDPGFLQFKRIDPGTEIGEGQLNGTTRPNDHRRWRV SMSSRVVYDNEFGEPVQDPTKNDGPPRPLPQGITAEQASANFVRAVMGSIYLHAGRPA AKRFFEQHFLSRHLSLTELFDFSQPARDLSRLCARESFEPPVAKIISETGRKSRHPVF VVGIYSGEDKLGEGAGASLLEARSRAAVAALKGWYLYSPLNVRVPSSTEEESSAPWKP VHVDLGEVIV ACHE_30383S MGGGGKIPYPKEVWSPAGGWYAQPANWKANTAIIGAAVIGIVAA TWSLSAERERREKMPEPGRFFPSRYWSKQIIEHERQQKNES ACHE_30384A MASSNTPGHAPVEFVTMGMFILDDIDFEGLQPNVKNVLGGAASF AVVGARLVTGKKDAQAVSWIVDVGSDFPQDVLDLLKSWDTDCIFREDQNRLTTRAWNG YGPNEKRDFEYLTPKLRLEPSMLSERQVFSKTVHMVCSASRCISIVEEIMHRREQLQQ KGKTPPGVDASKKPFFIWEPVPNLCTPEEQDKFFAANKVVDVVSPNELELGMMFGQPG WSEESEFGRDIVKRILDSGIGPQGEGLISIRAGKDGSYSYTRSQRIWLPAYHQPGTSG ATKVVDPTGAGNSYLGALAQGMVSAGRDPAKVIDSVLAGSENWRKATQDWGKQNHYLP ALIFATVAASFVVEQIGMPRLSTTSDGTKELWNETEFTERVRLYTKRLYRTLEESPQR HLRGQLTH ACHE_30385S MASGGHMHNLTTLIKRLEAATSRLEDMAMSLDDPNAPKPVDSGT IAAAQPAVPAQPQPPAPPAPPAAPQSPPQIDDFDALIKNEVQTFVDLGEKIGGLVGEQ SKAVLQAFQAERTFVYVSLKARKPSPQPPELMTELHNASDTIDNLREAHRDSPYFSHL SAVAEGIVALGWFFQPKPADFVNEMISGIEYYGNKVMKEYKDKDRTHVEYIQGYSKIF KALAAYLKKHYANGLTWNEKDGVDAQDALQQIKGGASTGSAVPPPPPPPVPSLNVPGG APPPPPPPGALLNPAPSAAPAADMSAVFDQLNQGDAITSNLRKVDKSQMTHKNPALRQ GSTVPGERERPGSSDSVSRARSPLPSKKPKPESMRGRKPPRKDFEGNKWFIENYDNPG EIIEIPAAQNHSILISRCNKTIIKINNKANAISIDNCTGLSIIVDSLVSSLDVIKSPK FALQIDGIVPTLLFDQVDGATVYLSQQSLGTEVFSSKSTAVNIMLPPKEGTDEDTKEC PIPEQIKTYIKDGKVVSEIVEHAG ACHE_30386A MPIFQDPDHQRLYDLWSDDHQSHEHQNHQDREDRPWNDAPSWRN DFDYGESKCESLNPEVRDFGYSRLPGPHFSKLHTSDRGELIQYLKRSETSAWIQSRLA DNSSDYSIHVMPCERSHMDSFDRNTGSGRQENETTELASPTSIERPRSALHSGDFREG GGGQQIKGQLQSLSSEHGHGPSHLSLLGSSPTTPWFKTPVFSSSLSLRTPTHDPEPRS PVNRSRAPSFSSSYVLKAPTSPLVYQANNTDLDFSPKVDAMEISESQEKANRRRTLPP ETFRYLQSSPTDQINFGAFDIHQRREEVLPQPSSPRRTLASGYSLQLASSIQAPAQRA RRPSFDVPLKGHAPMVGSYEESILRGRMSMNPSKPLDFTAQIGVLGRSKCKSSLKCPP HVSIPFPAVFYSYPTSGSGRSISDDNPSPYVGHIDLENSLPKDDPATNKRRRRYQSPT GMNNDPVANDAVAPKYSDQDTLRRREKRNRRADSPKCPPGGCYRIPQQGQLQIMIKNP NKTAVNLFLVPYDLSDMEPGTKTFIRQRSYSAGPIIDMPLSARKNYGTDRPEASLSVS EDPKDKPILRYLVHLNICCPSKGRFYLHSSIRVVFANRVPDGKEKLRNETQHPDPKYT PYKPARDPGTKSASEKTDHRRSAAGQGTIPNDTEGIRDLAAVPARHPFQPIPSLRETP LTSPGSESAGEAGIYNKLSRGDAGYGGYQFGSEVGESLLAKRLRGLDVQKHDTRYIN ACHE_30388S MSAKRSRDTEDRPGDSATTEPAAKKSKKKGFSVAPDNLPDGTYR RKAQKIKADLIQKAKVKKAYAKVKAQEEVPTRPSVYELEEQRQREENERRQNEHADPD EPQEAGAKVGGAGMELHPDRLAMLNDPQPEPEPERKPRSEHAQNRREGGRNRKGKRTA FDKEMEIARKRKEEADKRREQKEFKQKDREAMAKAKRPDQNGKKRLGRESTVLLSRVQ RLVGQN ACHE_30387A MNDTDIANHYGLPSEFPETWPEELDESDESDTESLRGDGARSHK SRYFALERSGSQRKNLGSQRGGSGTDSLAQKDEPDPLGSGESVLKILKKRGLPLDEET RLRNRFLLSSTSFSPALFLSQAHSNDSIQSLLDGLGYLSRSIDQKSASLKVLVEANFE RFVRAKATIDSVYTEMRNQGAPPQDDVPLAQIRRRSAHFRSSSAMARGVSPAPESSKN ALTKESEYGMKGIRAPLVEASVKAEEVWGPALGGREREQILKSVVDTMERHRDVYEIG GQLSKAIKQRDYELVFGLFTNARTLANNARNIADMASSDGRSLTDEETHTILAMGRMW MDVDQQIQAFKRDLWRRLGDAPTTSTTATASGPVEEHMELIGALLELGVEDNPIWVWL MNRYDFLKTKITSFCERCKVELEILRRRLASGEVPSPRQVASYLRLAPREGSLDAQAM LDTDQVTELWECIHTYLSRLLSPHGGVLGEVLDFWEAAQSFIDGSKQRMLPVGFEGES RKHHRLSEEETLTLENGVVELVNLIRESVLALFASPPTDDLSILATPISPPSPSTPSF GVTPTESRFKLDPKNMPFPIPKRGEHWEDFAFWPPFSNSLSGIYYLDRFLAIIGMAAG EMAALGPVNRSGNTPDLLKSLVSTARERSVRVVCAAWGKDAEICKMLEDWTRDPEKRD LTKMPGLFVAYESAILGGMQKILYIPEAMAKPLTVEVVTQPPAKLLQMVRMQFVSSVY KALSGLVENAEHPEAPEEDNEWVLVGHATSVNGPDVASSVLVADAVDAENRNVRILLT LSNIKELQAVLVPQLISTFETSFSVKLTDEAKTIRDALHQIDDRLFQSYTNPTVETLN STIFNGITAPDWEPSTNRPEQVRPYVYNTMLALVLVHTEISTTIPSTSLSASSRSSSG IPSPLMKTILTYLLSKVSSSLLAAFSARSEYTLAALMQATLDTEFIAQTLSQYSTDES SMVQSQIYVELDQRTTHEARAKLQAELGEMRGVLKNLRERTKGEFACFRKQRTGTGLK SA ACHE_30389S MISARTFITPARQCLRTTRVSPSFASPVSQFRRYASAADERVAK FKGQKGADGKYTVTMIEGDGIGPEIAQSVKDIFSAANAPIKWEPVDVTPILKDGKTAI PDDAIDSVKRNYVALKGPLATPVGKGHVSLNLTLRRTFNLFANVRPCRSVAGYQTPYD NVDTVLIRENTEGEYSGIEHVVVDGVVQSIKLITKEASERVLRFAFQYARSINKKKVR VVHKATIMKMSDGLFLNLARDIAKEFPDVEFDAELLDNSCLKIVTDPTPYNDKVLVMP NLYGDILSDMCAGLIGGLGLTPSGNIGDECSIFEAVHGSAPDIAGKGLANPTALLLSS IMMLQHMGLTEHAARIQKATFDTLAEGKALTGDLGGKAKTAEYADAIIKRL ACHE_30390A MPPKKKPKLAEASETENGQSSATPASGESAKLETDYDIVADPWT DEQETALLKAIIKWKPVGMHKHFRMIAISEFLKTQGYAPANADHTRIPGIWRKLSTLY NLAALDEREDSLISDVADDGDPSAERYCPFQLPDDEYGDMMFERRLATEDSASPTSGR AESRRGSTVADTDEARSSPAPSRGRKSTRGRPPGTRGTRAKRFQAEESRKSAATVDDE EDSEEEEADEDASDAAKEDSEIEDDEEEAGDSPNPRSTRAQTSRSKRGGGTGTRRTGR RR ACHE_30391S MMEDDYGIDPFSSENLWRLSRFTLQSLQPLEPLPWNERLPDLED GFFSNPARLFDIEGDKLHQLDIFGNEIVIEPEQLLEPITDTSSESQFDEHATDHHDGI YKLDDDIGLLDRLNGYLSYKPPLPSWETYHNRSHREPVSAYFSESGAKGFDAALAHYS MKKRVNVAGRLVRSDVFFQALIRLGLGWGSAFFRYNVEAKTFEKGLNDVRVSGVSLPM LNQVTNELLQCGTDMQRIRVFVRSTSAKNAKLSALSTFASTTAVIIYTLERQLASQST HAVSILQIKSLFSRGGELVNALAGMVDAAEKVSSDAQIMSVVMQEAAYLTHRFGWIEN LMREVVVRVTEPWLGHLEAWVGLRPETPVLNELLTSGKGFAMLERQEENGRVKAGPAS QHYKYQSDEMPSFIPDDQALLIFESGRSLRFLRQSHPQHPLSNDMVRNTEPPRLRCAD SWADIERIQTKAHEYESLLRAEILKYNRGERVNTNVVDGGNDLSNSGENDSGTLEGIY DLLDMEDQRTTGLLTGPDSLQKDKLGLLSSDVGQFEGLDGKFGPEMTFSLYLSFAPLL SSQALLIDFSCLHMLFKEHEIRNHLTLQWRYQLLGDGFFVSRLSHALFDPEMESGERK SGVVRSGVHTGLRLGSRDTWPPASSELRLVLIGLLTESYSAGSGSGDMDDAQHHREKE LPGGLSFAVRELTAEEITKCKDPNAIQALDFLRLQYKPPSVLEIIITQRSLDKYDRLF KHLLRLIRMVSVVKSIVRDSTARNSLSGDTHNVFQKFRVHAQHFVLAVSDYCFHVGVE STWKRFQDTLSRIEYCLDRGDIDSTIDAAHSVPRLRDYHEDILDEILFALFLSKRHAQ AAKLLESIFGTILVFAPISRMDSVKGVRQESEATVSHLYKTFRKQASAFVNYLRGLDG STASMKSVGKAGATFASRSGPTSVFDHLLSRLDINGYY ACHE_30392A MATTTVQTETSVAIFPDQIRLEASPSPELPDIPLPPPSKNPTQI LSADKGTPDEHVPRDPRLIRLTGVHPFNVEPPLTDLYKEGFLTSPELFYVRNHGPVPY VRDEDIPNWEISIEGLVENPLTLNFREILQQFDQVTSPITLVCAGNRRKEQNIVRKSK GFSWGPAGLSTALFTGPMMADVLKAAKPLRKAKYLCMEGADKLPNGHYGTSIKLNWAM DPNRGIMLAHKMNGEALRPDHGRPLRAVVPGQIGGRSVKWLKRLTLTDAPSDNWYHIY DNRVLPTSVSPDEASSNPKWWTDDRYAIYDLNVNSAAVYPQHNEELELSSASPTYTAK GYAYAGGGRRITRVEISLDKGKSWRLANIEYAEDKYRDFEGNLFGGKVDMYWRETCFC WCFWSLDIAITELENSSAILVRAMDESLAIQPRDMYWSVLGMMNNPWFRVAITKEDGI LKFEHPSHPVLPGGWMERVKKAGGELTNGNWGEGQEGEELVVPEPVQEINMKKDGLNK EISLQELQTQSANGVPWFVVNGEVYDGTGFLEGHPGGAQSIISSAGQDVSDEFNEIHS ETAKKMMPDYHIGTLNPTDLAALKNTTPQEESSTEFRPTFLQPRSWTKATLSAIKKVS WDTRIFTLKLEHEKQNLGLPIGQHFMIKVTDPSTRESIIRSYTPISETEKEGFVDLLV KIYFATPTIPGGKMTLALEALPLESIIECKGPTGRFEYLGNGQVLISGRQRHIRSFKM ICGGTGITPIFQVLRAVMQDTSDPTSCVVLDGNRQEEDILCRDELDAFEAVNGEKCQI IHTLSKASNAWTGRRGRISEELLREYAPADSESMVLICGPGAMEKSAKEILLGTGWDE SSLHFF ACHE_30393A MSHPPAATVRGKKGPPQALTRESPVLPVGLRYQKHALTQTNCSN SAEIWLAASGLSADP ACHE_30394S MPLLDGLRNHDGVSGSVSHEVSHVKDIHASNVGNGSGSHGARRQ KIVVVGLGMVAISFIEKVIKQDAERRQFDILVIGEEPHVAYNRVGLSSFFEHRQIENL YLNPKEWYGSFPDRSFDYHLNTRVTDIYPDDKTVKTSTGDVISYDILVLATGSDAVLP THTPGHDAKGIFVYRTIQDLERLIEFASQHKGETGVTVGGGLLGLEAAKAMTDLEDFG SVKLIDRNKWVLARQLDGDAGTLVTRKIRELGLEVLHEKRVRLIKTDEDNNVTGIVFE DGEEISCSCICFAIGIKPRDELGPGAGIQRAGRGGFAINERLRTSVPDIYAVGECASW ENQTFGIIAPGIEMADVLAFNLTNPDKDPKFFKRPDLSTKLKLLGVDVASFGDFFADR DGPKFMPGQRPSLETEQKNGEEEPVKALTYKDPFGGVYKKYLFTMDGKYLLGGMMIGD TKDYLKLNQMVKAQKVLEVPPSQFILGAQNGGEENADDLDDSTQICSCHNVTKGDVVE NIQNGTCKSIGEVKSCTKAGTGCGGCMPLVQSIFNKTMLDMGQEVSNNLCIHIPYSRA DLYNIVAVKRLRTFVDVMKAVGKNPESLGCEICKPALASILSSLFNQHIMDKELHDLQ ETNDRFLANIQRNGTFSVVPRVPGGEITPDKLITIGQVAQKYGLYCKITGAQRIDMFG AKKQDLLDIWTALVDVGMESGHAYAKSLRTVKSCVGTTWCRFGIGDSVGMAIRLEERY KSLRAPHKLKGAVSGCVRECAEAQNKDFGLIATEKGFNIFVGGNGGAKPRHSELLAKD VPPEKVFSVIDRYLIFYIRTADKLQRTARWIENLPGGINYLREVVLDDKLGIGADLEQ QMQDLVDGYFCEWTETVKNPDRRKFFQQFANTDEAVDTVEVVKERGQKRPAYWPKDAA ASEDFKGHQWSSTSWQPMIKADHFSDEHPQISSANVKRGDTQLAIFKIKGKYYATQQM CPHKRAFVLSDGLIGDDDAGKYWVSCPYHKRNFDLNGEQAGRCSNDEAMNIATFPVEE RNDGWIYLKLPPVEELDSLLGTKKWAVKKEESTDPFQRMDKKYKGIRGKKAGEIEGNG QKGVQTNVIDW ACHE_30395S MDFVKLLFVSPEVNPSNRKALSIPVFNPFDKYGRVFTFSWLGFM VAFLSWYAFPPLLTATIKNDLGMNQGQVANSNIVALLATLLVRLIAGPLCDRFGPRLV FVGLLLCGSIPTAMAGLVTNPQGLIALRFFVGILGGTFVPCQVWCTGFFDKKIVGTAN SLAGGWGNSGGGITYFVMPAVYDSLVHSQGLAPHRAWRVAYVIPFVIIVALALAMLFT CDDTPMGKWSERHIWMKENNLQAANVNIVDITPRNPSSRTSGAPSISQVVAVSDVEKK GAETPNNIVDTEAQTTGQVGILHTATTPPTPSHKETLSIMFSLSTLAVAIPYACSFGS ELSINSILGDYYAQNFPYMSQTRTGQWAAMFGLLNIVCRPAGGFVADFVYRYTETVWS KKILLVFLGVIMGAFQLAMGLSDPKDEATMFGLTAGLAFFLEACNGANFALVPHVHSF ANGIVSGTVGGLGNLGGIIFAIIFRYNGSHYARSLWIIGVICIAGNMLLSWIRPVPRG QTTRS ACHE_30396S MSTRRIAQIVHLKPSAVEAYKKCHAAVWPEVLQQIQDCNITDYS IFFDNDRTLFATFKWVGTDWESDMKKMSENLKVREWWAMTDGMQESPIPGAISSAEGP GWWKVLDEVFHTD ACHE_30397A MENHDAPLTASVTVSQRQSSAAVHRPLASSGTASSSSPPRSSFA PPTRRRRSSIARVDIAVGDSDRRPLPTPELQSQRTREQFSETPLPRPQGTLSRVHLYP DRFATIRRGDIVFDESGLPRPNPRRGHRRRSTHVTPADLESFRREVLGIESTPSIVPE EELQSRPNQNPSSDPQFEQLNQAFESANMSMNSGSSPSSGMFSGYVENPNNVGNVPRQ SISGGMSHAAGQVNGGAMPGMNGGLPMNAGHQMDLQHLYDMVLELSDVLKNNRDMTKS IVTSAEDLMNRAATEGANPSIQQVSTEISAARIAELERALAKEKRTVEILKHEQDENT KLIGEYEAAVGTMVEQIRNYCQNNNMHFLAQKRQYNNLLQAERDAHLESRLDRDYWHA QTMRCSEMIRTAYRLRCEEEEVPIRIVAGLQNEVRAYRDALGMEPEKPEEEYGWEILK DVPPSVE ACHE_30398A MDPTRTVSELKASFIRTQVRILSESLEPAENWRAYAVETEADDL SDKVVEDVMQKVNAILKQHNRIVYSSQAIHHVAQQVASLYWSAISQEARSLGLNEKGV EKTVDLSNQLNIAKLPTRLEHPSASEEERMRYRQLRERLAELNEQRQQRQRRLGQLRR LQRLLEPFLEPQQNIQPNLITRDGELVQELEKMRMLVARVGGRIGQKKRTSDYASQTE NVSYPTGSDQKLEALLDLDS ACHE_30399S MAEDKQPVGLLFDIGGVCVVSPFQAILDYEISQNIPPGWVNFSI SRTSPYGSWHKLERGEIKMDADFFANFNKDLRSPELWKQFNARLQQSKAHGTAAAATL PPMPEVDAEWLFWEMMRISRTPDPYMFPALKKLRESGKFVVGALSNTVIYPEGHPYNE DAIGLKSQFDFFISSAHSGLRKPDPKIYEAALKEMDALAKEKRIGRASASDVVFFDDI GENLKAAKKAGMRTVKVMLGKTQDAVKELEKLTGLELLETKDEAKL ACHE_30400S MAFHKTVKNSAYFSRYQTKYRRRREGKTDYYARKRLITQAKNKY NSPKYRLVVRFTNRDVITQIVHSEISGDKVFASAYSHELKRYGITQGLTNWAAAYATG LLLARRTLKKLGLDEQFTGVEEADGEYKLTEAIETDEGTRRPFKAFLDVGLVRTSTGA RVFGAMKGASDGGIFIPHSENRFPGYDIESEELDAETLRRYILGGHVAEYMETLADDD EERYRSQFIKYIEDEIDAGDLEDAYLEAHKAIREDPFKKDEEAGPKKTKEEWKAESKK YRPGKLPREEKKARVEAKIRELAA ACHE_30401S MTELQIQGHTPMEGIETVDVSWLHHSQKDYISRSKSVNDKPGAD QDPPTTLRRKPSTSEKNTISNGHHPQPPSPKSAFPEYKETPNGDTPQTGGAPKANDTN TPQKSGSKPISIRRNSWISNLSSKFSSGSTPPSQSNTKGSQPSPKTANFDPPNPFGAA YSPRDKEEEKKDESNPFTSSSPRGSSFLSNAFRKLSSSGSSGLGKAPSNGVICERRVM NIDRNRDRCKVPDLDQGKLRRVAFCVDVEIAGISRRESEDDDAAPSNARRLFSDSNSS KSKKSSQKLKDKSEAAALKTPEAAAVDKEKQDQAQTNNTPSDSASGERKAAEGEVKEP SRKQEKKKRSEEERRERRERRRRQAVANGSIPLQLNADDESSAPSQSHPTTDPVRIYR RCCQLRESPVLKRIVDEISSPSSTLVESPGTVAALELKSFPMTSEDIATFCDWLAVVP VRKLILEDCGLTDDSIRAILSALLSTKTVEQMQHRRGRPGKAKSQTVTSEERVSVVEK LSLKDNPKIGPEGWRYICLFIHLSKSLKAIDLSGIPFPKASVTATVPADAGSAATELS TVFARSLAERFGGDHLEELLISECRPATDDVRKICDAAIAIGLKRLGFANNNLTKEGL EHVVRYVKTGKCEGLDLGGNPIQDHLDLLNTAMEKDHPLYALSLADCSLTPSVLYPLF QGLTRLSNLRFIDFSHNPGLFSAQPDALAIFRRFLPKMTSLKRIHLADVNLSADHAIA LAEVLPDSPSLCHLNILENPAISALASSTDARGQEEACAVYASLMAAVRVSRTIIAVD IEVPSAENNEVVKALASQIVAYSLRNLQGGAIAEELTDPAEPVADRADVPIPEVLQHI VGNGFGFGDEGDEEDDDLAPDEDYVIGGTGVVKALGVCLGARDHHTLGDQSAPPSGTT TPRHRKSRSLATNTKRPRDMSKNLLETARNIRTRIQSALVREDKAGNEANYRRLQFLD FTLHRMIQRFEDEYPETRVFPPVPRAVADSSSQHSGDSANGSGTNSGGQFNLNNIDNE NAIDDEDTENYAIGLSRASSMTSLHSRAMTSEEGHVHRLGQNLRRDFLSPSIDQEADD DDDASGLDDEYIAALRDKLDRLHEEQERSSRFSDKTFEELGSTVDDLWTTQRQDSEAF ERFKQSQIAAQINSGRRSRPSTANTSQGDPEQKSS ACHE_30402S MDHHSHKHKERIAIIGTGWAGYALAHGLDLNKFSVIVVSPDTTS TMTPLLASVACGLFDFRLAQEPIRRKSRGIKYIKAWVIHIDFEEKTLSCYPAFSHLTM SESNVHEFQVHYDKVVIAPGTRINTFNIPGVEEHAYVLKKVADAQAIRNRISEMFEMA SIPNITEDRQRQLLNVIIVGGGPTGIEMAGELTDLFQNDYSELYPHLRDKMRVSVHTI SHKILSPYDAHLQEYATESLNRNSVWVKFDSHITSVTADTIETQDEGRIGYGLLLWAT GDKSVPLVDSLDVSKTSHSMHRILTDSRLRVLKKDGTVDVNSYALGDAADIDGESLPP TAEVALQKADYLIYQFNTFPTSDIEPGVPFRYKQRQLVTYTGRRDGVVAGKKDYSGYG AWLSWRSGNLMWTRSWRRKFMICATWVLNWLGGRDIARN ACHE_30403S MAAQSKLLLPERSIRRLLSSLSKLYLRNRTRITRTVYLALFAAL VKRIHGAISEQKAASQQQVELRRRPGTSSLGSGATGSGGGDRSRKKVEVNREFFRNLL RLLKVVVPGWRSKEMRLLVGHSVFLVLRTLLSLYVAELDGRLVSNLVRGKGRDFLLGL VWWMIVAVPATFTNSMLSYHQCKLALSYRKRLTDHIHEKYLSNMTFYAISALDDRVKN PDQLITVDVSRFSDSLAELYGNLAKPVLDMAIYTFSLSRSVGSEGLFIMSLVVQLSAN VMRALTPPFGKYVADEAMLEGEFRFLHTRLIDYSEEVALYHGHEAEKDTLDKGYFTLI KHVNRILRRRLYHGFMEDFVIKYFWGALGLVLCSVPVFFKIPGKITQSAGDRTESFVT NRRMLLSASDAFGRLMFSYKEISELAGHTARVSSLLEVMDDLLAGRFEKKLVSTASTE ENASVLSGRGSIEESSSIEFTDVPIVSPNGDVLVRNLSFAIHPGDHLLIVGPNGCGKS SLFRILGGLWPVYGGIVKKPRFDEIFYIPQRPYLSRGTLRQQVIYPDGVREMHHKGVT DDDLAEILSIVEIASVIDRPGGWDAEEEWRDVLSGGLQQRIAMARLFYHKPKFAILDE CTSSVTLEIERVMYETAKKLGITLMTVSHRRSLWKYHKKILQFDGQGSYVFTGLDWER RLKLEDEKDDLELQLRAVPDIQRRIAELSS ACHE_30404A MGSCFSTEATGEMTEQKKRSQMIDRRLEEDSRQLRRECKILLLG SGESGKSTIVKQMKIIHQNGYTVEELALYRLTVYKNLLECARSLIGAYERYDLEPSSP KVQEFIEFLSDYNIDPDPNTPLDSTVGDAITYLWNDPCTSTALEHQNEFYLMDSAPYF FEEAKRIASPDYIPNVDDVLRARTKTTGIYETRFTMGQLSIHMFDVGGQRSERKKWIH CFENVTSIIFCVALSEYDQVLLEESNQNRMMESLVLFDSVVNSRWFKRTSIVLFLNKV DLFRQKLPRSPLSNYFPDYSGGNDVNRAAKYLLWRFNQVNRAHLNLYPHLTQATDTTN IRLVFAAVKETILQNALRDSGIL ACHE_30405S MAEFVRATIFGTTFEITSRYTELQPVGMGAFGLVCAARDQLTGA PVAVKKIMKPFSTPVLSKRTYRELKLLKHLRHENIICLSDIFISPLEDIYFVTELLGT DLHRLLTSRPLEKQFIQYFLYQILRGLKYVHSAGVVHRDLKPSNILINENCDLKICDF GLARIQDPQMTGYVSTRYYRAPEIMLTWQKYDVEVDIWSAGCIFAEMLDGKPLFPGKD HVNQFSIITELLGTPPDDVIETICSENTLRFVKSLPKRERQPLTNRFKNADPEAVDLL ERMLVFDPKKRIRAGEGLAHEYLAPYHDPTDEPEAQEKFDWSFNDADLPVDTWKIMMY SEILDFHNIDQGDDAGQVLVEGVGDGQQAFAA ACHE_30406S MSPVQQSRQDPIVMVIDFHHARGPEIELCIGNERTDPAAENDWS LLPFMALSDGAHASTEEFSYFTLRRVETPTEPATSLFGIACSRQIDSNILLYRPPDVT RSTVQKAVVVVTDSPQRVGQLREKLSVVTSAWFAQRNFSDVDILRKFREGLVISLKSD EAKNDQNLGLSLREMIHEFKYQTLVLFKALLLQPKMLFFGSRCERLCMIQFSLISLIP GLLNHLEDCADPAFDSYTQTAEKPTSLRTSERSSLLAYTGLPLQIFGKGSMFGPYTPL QQLDLLADSGTKSYVVGSTNSLLLQQKDRYSDILINLDEDTVNILSPSFRNALSLSAA DRRWIDFLTQVINETWDDAHPQRPKTHGYMGSEEFIRLQFEEYLLALLSCMKYHEDLN SFNAGETGAKSKAQLEAFNIEGDPALEFNAEFLAHWQTTPNYALFKRLTSDALLFSIV EPRHPCAGGLTIEDVQRRLSQQVAELHLDDRVREGREAINRHLSTGQKKVSAVFNSFW SDIDAMREAQRKKNEEKEKASQSQRSSLERINSPPLNASDTASITSSTGTGSTSWFAN RKAPTVDVAQAQASVSAAGQKAGAYLSSWGSWASEKKKEWQEKKTSAPSSPSPSSSSP TTAAAITSPSTPALGSITEAPTESDRGRTRSMPRYSEDSASTLSRSGSRRKRWSNILL RRDSGEFSLSPSRKDDSNESSEGETTYPKSPLSREAPMLGEDLEKSTTADTLSAQSVG ARHKAENVKAKAEAEHTNGPSSDSSRKEPPEKTPSATSLQETTGNSHANEVKDTTETL HAS ACHE_30407A MKVLSPLVSVALAQSTLCAAQGYTNESEVPYYGLSPPIYPSPVG NGTTSNAWKNAYQHAKAIVAKLTLEEKVNVTGGFDGPCVGNSGAVPRLSIPSLCFQDA PDGIRGQEFASAFPAGIHVAATWDRSLMYQYGNALGKEYHDKGIHVALGPVAGPLGRI ARGGRNWEGLSADPYLAGAGMGAITRGIQDAGVIASAKHWLLNEEEWRRNPGELGESE SSNADDRTIHELYAFPFMDSLRDGVGSVICSYQRVNNSYACQNSKLLNGILKTELGFE GFVVSDWEGQHAGVASANAGLDIVMPDQGFWGDNLTEAVNNGSVSTDRINDMATRILA SWYYLDQNKDYPSNGVYANDEKHDPVDVQGDHASLIREIGAAGIVLVKNTNNTLPFTN STRFLSVYGYDATLPPSPWENPSRYGGGYEVNFGWNTFNGTLVTGGGSGGSTPPYVVS PIQAIQERIARDRGTLRWDFWSENPTPAYVNSDACLVFINAYASESFDRETLQDEFSD NLVKNVASNCTNTIVVIHSAGIRTVDTWITNPNITAVLFAGLPGQESGHSVTDVLYGE TSPSGRLPYTIAKNESDYRHLLNSTISFDAFPQVNFTEGLYIDYRYFDKEEIEPRFEF GFGLTYSTFGYKGLRVVATRAKNGSSSTAELPDFGIPIVQGGHPQLWDELYTVTAAIT NTGNVTASEVPQLYVSIPNAPVRQLRGFEKVSLKAGQTKTVKFPLTRRDLSIWDVEVQ QWRLQKAEYGVFVGASSRDFRLEGSFKIQ ACHE_30408A MPALMPQTPHKDDVDETWTFLEKGINSVMLNLDQGVDMKTYMAL YTAVHDFCTSQKAVSSGQGLQAHRGAHLLGEELYRLLGEYLSRHLDAVYKESESHAEE ALLVFYIREWDRYTTAAKYINHLFRYLNRHWVKREIDEGKKNVYDVYTLHLVKWKDDF FLKVHAKVMEAVLNLIEKQRNGETIEQSQIKSIVDSFVSLGLDENDSTKSTLEVYRFY FEKPFIAATRVYYENESRQFVAENSVVEYMKKAEARLDEEKARVGLYLHPDITKHLTD TCLEVLVTAHSDLLRDEFQVLMDNERQDDLARMYRLLSRIKDGLDPLRTKFETHVRKA GLAAVEKVAADGENFEPKMYVDALLQVHTRYQNLVNEAFNGESEFVRSLDNACREFVN RNKVCKASSTKSPELLARYTDSLLKKGSKATEESELEEMLVQIMTVFKYIEDKDVFQK FYSKMLAKRLVHVSSVSDDAETSMISKLKEACGFEYTNKLQRMFQDIQISKDLNASYK DWQDKVLDDDDRKKLVDSHFQILGTGFWPLNPPSTDFLAPPEIVKTAERFQNFYFDKH SGRKLTWLWQLCKGEIKANYIKNAKVPYTFQVSTYQMGILLLFNENDTLKYEDIQNST SLAPDMLQPNLSILLKAKVLLASPEGSKPGPGTSFSLNHNFKNKKIKVNLNIQIKSEQ KTESDDTHKTVEEDRKLLLQSAIVRIMKSRKKMKHVQLVQEVIQQVKARFPPKIPDIK KNIEALMEKDYIERLEGDEISYIA ACHE_30409S MFRSPSEVRVTSRQIPKWERIPNTSIQSKPLLIYHGAFNASPSE LKSHFESVGEVEPQWVYGMFPQSHFHSTTHEVLGVVSGSARLCFGGEGNPGRFEPTVK KGDLMIVPAGVSHRLLDELENFKMVGAYPKNKEWDMCYGKQGEEEKVKDFERVDWFHQ DPLFGADGPALHV ACHE_30410A MAHDEHNPNSQDPISGDALENQVLEEKSSAERRTGSPDESRNGH DHNALPVEFPSHERNINDSKNGDSHSFVPSSTGLRVSENLNAEEGKSDHQFGFKPRTI PAWIRSVEYEEDETDAGVTSRLLPSQPDDAIIAQHNHSPYTASRPNYSYSEQADGIYV EKSTTPRRESRWQTFSRSIAYPREEGVEEELVTEDWLNENHGDYSQPWRGELEESQDA EDPLKKDRRREMWFKRFHNTLLQSPIVPLIFRLTVWCFSLIALALGGSIQHMSSEYIH PQGPSALMAIIVDAVALVYLVYITFDEYTSKPLGLRSPSAKARLILLDIFFIVFDSAN LSLAFESLSTVRGSCTFAEVNQEITPKNDAICDRQKALASVLLIALVAWLTTFAISVL RYAFPNHKTCMVFLTFHPKQARQEGYSSVKLLILGPELRAIFHRIYFPSFLV ACHE_30411S MRLFLVPISTRRALIYSQPLSKNLSQKLSITDRITNKAAETWAK WEEADRGWKKHLVSWGNSVQQRIPFEEWGLKSVPSLNAQRRLSQSDADKKVDVLFPGN AIRREKLRPVLQAIAVGRQNLHRNKMWWSFIIAPLTAPIALLPVIPNIPFFYLVYRGW SHWRALNGSKHLEFLIKKDLLNPVPYPALEQLYAERVSGALKTTHAEKSAPDVVENSD DKLLLEMSDAKQLATILDAPELALEAERAIVQVEQQLNAQQGRHNADSPKKDS ACHE_30412A MMAGKSNDRGLMPLSASPSCSPRRSEDSQPRTDISNTFSDTVKL EVVRLSGANCWSCLNNDPEFAHVVAQKDGQAHYWIQAGLFPFSFKAAINCIPLCSSCH CAFDRSYDPCWVFLPTDLNFFIRHEIEDQLRRAQVGSPSGRIVPTVKKYHDHLALKGL VSHNATGGLYRGYYLENFLHDGYTPVNFLEFLTTSKPWHGHPLAAIRRGIAILGSARC YALDRTTIDELTTLRRLYFDDKNLIDKKLVQLYHLPPADHKRKRSDDESDHNDRKKLA TDKDNHGTAQNVQDAQNSRDVENAQDAHHVYSLAEVSGLDVGVHTDVYASTEWVFGPS ATGNDAINRFAPLLQSTDVIKLLS ACHE_30413S MEKQLKVQFCWQTIQYFYGVGSARYGSIIDEGYGLVKIDLKADS IVEQGLTPATILEVKDQPNAGSKWDCLREMFLNPSNTKKAMKLMKMANWIWERSKLNE KEAFREINQLREEFIDMNGSEMVISGN ACHE_30414S MSNKKIEQWEIERYWEIFASLSNGQTHLNSSQAASVLRNSRLRD DQLEKVWDLADVDGDGELDFEEFCVAMRLVFDLVNGELRTVPQSLPDWLVPESKAHLV QATRALSRPEEFERIEDEDDTPGLKDGFDWYMSPEDKSKYEEIYTANKNQRGEIAFGS LQPLYDSLDVPDTDIRSAWNLVNPSASPEINKDATLAFLHILNFRHEGFRIPRTIPAS LRASFENNKIDYQLDNNRPAQRWGAHGDTETPSGRKAKFGDTYLSRLGVGNKAGYTPK GTDFSDTIQDEEWEKVRLRRELAEIEKKYESATKASQGSRDQPRHDGGPNWAMIKKEA LQLLEYKEREYRELREGVGRSQDGQNLERLREDIKTVGEQVDGLRGHLAQRNEVLADL RRQVEEEKLSR ACHE_30415A MSNPDIPVTPVDSQDKNQPRPQSTRPSSPMTDADQEMEDSKDSL ANGTEDTTEDVEEMDTKAKALMHLLNTSEVFVAIMADKMKKQQEEARQEAARLQQQAA ADENTKPTPKNESTEKRGTRSSARHSSVKEETAVEAAKSDTEKPKKGPGRGKRAPVKG NNNISNYFQKAADAGVTENKPSVQEALVQAADEFEANPTVLGEQELVATQQPELVTGG KMRKYQLEGLEWLKTLWMNGLCGILADEMGLGKTVQAISLIAFFKEKKVSGPFMIAAP LSTVSNWVDEFVRWTPDINAVLYHGSKEERAAIRRDQMKLKDQKSMNFPVVCTSYEIC MNDRKFLSQYQWRYIIVDEGHRLKNMNCRLIKELLAYNSANRLLITGTPLQNNITELW SLLHFLLPDIFNDLNSFQGWFDFSSMLDNNGQADLVERRKRTLVSTMHSILKPFLLRR VKTDVETSLPKKREYILYAPLTVEQKDLYREILNGTGRQYLENKAKERIMAQNKTLTR ATSRKRAADSSRSSTPNKSIKSSRASTPASNGSSARRRGGPQSYREISDREFLSKLRK IEQGIEDELDIEDPNETEQEELERTNTIKLAKKEIAQKKMQNPVMQARLACNSPHNFY WPWGNDPSTIDESLITSSGKMLLLDRLVPRLLSKGHKILIFSQFKTQLDILQDWATQL RDWHCCRIDGAISQTDRHAQIKAFNTSPAHKIFLLSTRAGGQGINLVAADTVILFDSD WNPQQDLQAQDRAHRIGQTKPVIVYRLATKGTVEQTLLEKADSKRRLERLVIQNGKFK SLLDTGASGTQNDVDDLKKALGEDEFERFEAGADPSSILSGKDLDILTDRSEEAYARA EKGLDQSGPAFLAVETKREGNGLMTEIAGK ACHE_30416A MRLRGTVNAPQRLKDGAAYEEHPRQSLRRSRAPPPHQYVDYNPN LPPAAFPTLDEPRVAEKRDKKAFRQGNTTQRQDEPRREPQNQDSKIHNGSGRKTMKAE VDVKAAPDKLPEGWVLVDGNDCPISVSWVASNGDCNPIYTRNMDIMASANSNTPLHCE VEDSDYEMDHSPDGGGMNEATPIHDPEWSDLSPRIQVEIADNLLQHYNWPTVAHMLGL TAKQCEKTQEYMNQREKQMNLEDAQLGRMREKQLKALLKIDNSALKHDKSHKFVFRRA SRQYGRRLSDAIKMDFFSCYPGELVSAQRFLDKRSIDIGYAGKWSDGIADWRATEDEN KYTVSETGSPLVNGFAPMEGCMDGETPDFDMPTSKRPHLSITILPGQPKDIFERQGDP DLLRLYSIAHRDTLLHPCIKPLKAVTSPLPIIYHLVEPMPSQPSTGRLAELVVRGNPV PVSTWLRDKLNKIGLEVEQGVSRSLEGKMWEDGSDDEWLSAFPLMKPEPMSFESHSQL TPPGRVILERNPDHVQADEQFMDFDDMIEIEENATNDGVMVDSSDSRPSSPSPSSLGF SDNVHSMTSYVETTPEVEVSDCESDEEEDEVVLVPTPTPSPTKELSMGNH ACHE_30417A MAPLFTTKEVPMSEKENSQYVRSQEYTSGKIQPALPASTLLCFG DGGPDTEQSIEITSHDFKEGDFVCPGFFEMVNPDCFVHRFLTQDWNYHMRRVAQPILP FLYLGPMACLRDREYLRREGFTLLLAIRNQRSAQARLVSGDKAASELDIEADSVDVSG NQELITAFPRAIRRINDHIASQGRGGFGSPIQRKVLVFCESGNERSAAVLITYMMVMF NLDAMTALKTLQNRRFCVSIEDDLSQLLMSFESILEAKRDVERVRRVSIADSDLAPPP VSAPKKRNFDSHVDEEIEGGSMDVDESEELDTRKPSAPFQDRT ACHE_30418A MGDGSDYPSPRSEGPPGPASILVTAQESLSPKMNDQIPTSFMEG SRPRLSVRRTRDPPKNAAGQIYCDHPECQQSPPTFRRPCEWNKHMDKHDRPYKCMEPG CDKIQGFTYSGGLLRHQREVHKKNINAKKPLMCPYADCNRSTGHGFTRQENLKEHLRR RHMHTENGPSPELPNLVSELDGTASLSAPPAVKRKRDSLDDPSVEMTEEEENGVDMRN ELKRLRREIGEKDRRLEELERIVAGLQQAIPQPPAPAPQGDGPVEIAS ACHE_30419A MDIRSEDRLASEAASTAGAVGDDRATADFDDINVTHHPRRHDDD EEGSDVDDDVESTTSAQAVENSKISRNVEEEKELPSHACAYCGIHNASSVVKCLACNK WFCSARGNTSSSHIVNHLVRARHKEVQLHPDSSLGDTILECYNCGTKNVFLLGFIPAK SDTVVVLLCRQPCAAMPSSKDMNWDTSRWHPLIEDRSFLSWLVAAPSDQEQLRARHLS PQMIAKLEEMWKENSKATFSDLEKAQAVDDEPAPVLLRYDNPFQYQNIFGPLVKIEAD YDRKLKESQSQDGLIVRWDLGLNNKHLASFILPKLELGDVKLAVGDEMRLKYTGELRA KWEGMGYVIKIPNNQSDEVTIELRTKGEHKSVPTECTHNFTADYVWKSTSFDRMQLAM KTFAVDEMSVSGYIFHRLLGHEVAAAPMKTQLPKKFSVPGLPELNGSQINAVKSVLQR PLSLIQGPPGTGKTVTSATIIYHLAKINGGQVLVCAPSNVAVDQLCERIHRTGLKTVR VTAKSREDVESPVGFLSLHEQVRLNDTNIELIKLNQLKSELGELSSQDEKRLKQLTRS AEREILANADVICCTCVGAGDPRLSKLKFRTVLIDESTQSAEPECMIPLVMGCKQVVL VGDHQQLGPVIMNKKAAKAGLNQSLFERLVILGCSPIRLAVQYRMNPCLSEFPSNMFY EGSLQNGITGVDRLKPEIDFPWPISDQPMMFWSNLGNEEIAASGTSYLNRTEATNVEK IVTRFFKAGVKPQDIGVITPYEGQRSYIVSSMQANGTFKKELYKEIEVASVDAFQGRE KDFIILSCVRSNDHQGIGFLSDPRRLNVALTRAKYGLAILGNPKVLSKHPLWNFLLQH FKERHCLVEGPLTNLQESLIQFSRPKQAYRGPQRFQIAYSHASNVTSGMMNGRNGHRN DFHDTGSMVGYIPDDVSSVHSSSLGGVGLPSGYPPMFQNFADSWPALPGGRQANGSRG KRVPSVAGESVAATESDITTSIIDGKGVDQGGVSLAGLSINDMNKQPSLSQSDRLKRY VESGGREPYKPGVPDNNSIFGGSSASIRVTRGVPGHMHDDDDARSVSTAFASQVGGNY D ACHE_30420S MLRRQARERRDYLYRRALLLRDASIAEKRAQLKASLASGKPLDP SIANDKKLREDFKYDESLPASEQKNKEADLLDIDDEYALTSGLIDPRPIVTTSRNPSI RLSTFAKEIRLMLPTSIRLNRGNLVLPDLVSSANAAAMTDMILLHEHRGNPTAMTISH LPHGPTISFSLHNVVLRADIPNAARGTVSESYPHLVFEGFTSKLGQRVVQILKHIFPP REAGKVGNRIVSFVNKEDSIEVRHHVFVKTGYNHVELAEVGPRMTLRPFEIRGGSLDV SSGDIEWSLTQYTRTSRKKDYL ACHE_30421A MGASDFVDHSPHHPTKAAQLDSATNVVLIDNYDSFTYNVYQYLV LEGAKVTVFRNDEITLEDLIAKKPTQLVISPGPGHPETDAGISSVAIKHFSGKIPVFG VCMGQQCIISSFGGKVDVTGEILHGKTSILKHDSKGVYEGLPESLAVTRYHSLAGTHS TIPECLEVSSWAQLNDDSGKTVIMGVRHKELTVEGVQFHPESILTEYGRSMFRSFLNL TAGTWEENKQHSAQPNSTSAANTAMTANGAAKPEKKVSILEKIYDHRKAAVAIQKTIP SQRPEDLQAAYYLNIAPPQVPFPARLRQSPYPLSLMAEIKRASPSKGMIAAHACAPAQ AREYAKAGASVISVLTEPEWFKGSIDDLRAVRQSLEGLPNRPAILRKEFVFDEYQILE ARLAGADTVLLIVKMLSVDQLTKLYHYSRSLGMEPLVEVNTPEEMKIAVDLGSQVIGV NNRDLTSFEVDLGTTSRLMDQVPESTIVCALSGISGPKDVEAYKKEGVRAILVGEALM RAADTSAFVAELLGGEAQPAQASSSPLVKICGTRTEEGARAAVEAGADLIGIILVEGR KRTVPDDVAQRIANVVKSTTRPVAQGTVTTSADYFDHSAQLLRHPTRALVVGVFQNQS LSYVLAKQQKLGLDVVQLHGSEPLEWASLIPVPVIRKFSLDEPGIARRAYHTLPLLDS GAGGSGEQLDKSQVQKVLKSDEGLRVILAGGLGPDNVLTVMQQLGKLGSKVSGVDVSS GVESNGSQDPDKIRAFIQAVKSLQQ ACHE_30422S MAPLPEAYFPSLDKCFSGDIQLLSWKRAFLYTCNPEGDLDDTGN VHAFLSHPDSIHLLSSALNPFPSRSTKSKSDFESKTSAIHAETTTQASYDLKEIKADA LWLSEKAGIDEITALRIAILEWQNRPAARLLARFSEEEVTSLQSATGVDNLRVSLAGP HFTEILRQEAGSGPNVSDLASEKDRRLRLRDLYISERSHVVKTARKLLTLSLHNSRQD EPVLPQTRGNDRRNSLRELGATIFTSKSGGEDWRKFLQECIDAVRSRLSSLEGDGGWL GTVEGSEEVEDTWRTSTVEEIVHIIQILFLQLQASSEIPTADLMVSWLRLMADYGFLE SLQVPCSDPAEVQLSLQGLVSITSLTFLKLPLSIPFIVDKSQLQASSKPPYFLSKDEI SQLNELFMTACVDFKSAHPAAFSWGLVLHTMRELALNDKETRELEQFHSAVDSFQSNT PHASPGRELELSLYEELLDCARTPKYNVDDSITLLSSDIMKDTVFETVIALATKVGST SAIDDILASRWIRVALLDLIRVVIMFLDYSPEIVESVLAILTGSPLQSPWASDTSPSS SSDPRWIFMKDRLLMDNIFHIARSRFPYETVPFLKLCRALVSKDLVNEDGLPEIVNEL ENLETFTQVVPPDFQGYETIREDENANYVSLMQSLPMFDSSLKRLLPDSQPSNALIVT GSSEIPSTTEGQVVSESKPVVVMWHHRYSCLSFLGSWLEEWNEDGGYSSGWGKDSIAE VIGLLADLVTTAKDVQSPGDVGSGAKRILEMASDGLSRQSDVVSLVFEIFERNLQNVS PRAALDGILDSTIACLRFICSLVTVLPGRVWPLLARSSLLGADGKGGVLTAIVSAIEV PSGDYPFLLTCVNLFERLVNDAASRAVLRRSPNSVATKLPVASDWGAGVPSHIMRGIL LNFVRTVVEVYNSNVNWRFNASEQRLQINTTLARTFENILYYAYGTNQTGKLDTKITG VFSASASYLLDILRPQSAADLPFNQILRFIIDGLQTPPTLYLRYLALVEDQVKSTLAL SIKLLQAAQLMEYPVSLLEDQLFRATPALVKLYALHDAYRLPVISLLDMLITRAAADS VNEPPSLVGHLGAESSCLFLDVLSRFDQPLSHRPLHLAVWHLLSALVSKRQQWLSVYI LTGSSPRQSLKKDDAQKAPAMRGTPFLQIALDKLSNIEQLDLQVAVSLLEFVSNAQEH WPWATPELRKHPDFFNSIVNYVSKLKISSLPVVSQIFATRVAAVVADLCAVYLHSAKE MRDRSFIKTLIPLVSWFAKDAVEVSGYNASLHANLKKNFEMRYAGCKLVDFKRSSLEA RSLGQEYYYDISLGERLLSYDFAWAGNRNQGFAEEFERANINLSLVEAQVSLLHGWKF FAIEHCADFMTDREVQRSMALVVQSSLEANTRSVPQEPIFERIQQTRVDFAQALLQRL VEVEAKGAEVFGLLRFVWDALRTRRATYEEALVNDDTEYYRSLLNVLFLALQFHVDGP SRATPETINKKVELSSDLTQVIEITKVVVAQGFKALTTYLHDDPEKCTPKDFAILTAI LQTCLQVKHADRLLEHIVFHVQDNDTARYATTLFSWADQLAVAGDPIYGDLSISFLVK LSTIPMLAEHLAVEGVLMRLSTCRLTNVLRQPKGFGPFDPVPRLYTIWTGGILPLCLN LLYHVMRTAPEVAAFLNQFEGQLNHAAGSFSAGRATAGTSVSAPRRICLSMASEIYSL ALITFILDRFREAGASAGVDASSIQELKWDKGQVKEDIEELLERKQALRARIVATSDK EVEMARQKSVNASSGAENRLEEKIMGELKAALLCLGGEG ACHE_30423S MPLKSRWQTEKIPDTHLASLLFTSPTHPLSKTRQCYIDADNPET RYFTTHDFRLWCQRFAAGLRKSGLQTGDRVLLFSGNDLFFPVVFLGIIMAGGVFSSAN PTYVARELAYQFQDSGATYLLCAESSLDTGIEAARLAEVSKDRVFVFNSDVYDGLGQG QKGCRHWGELVASAEEGSKFVWEELSTPEMSSRTLALNYSSGTTGKPKGVEVSHKNYV ANTLQTAFMSQLSPEYEAKMAKARSLCFLPMYHAMGQTRFIANALRSGTPVYIMPKFD FIRMLEYVQKFRITELVLVPPIMVRLAKHPAVRSAKYDLSSVEAIRCGAAPVGREICR EIEDLWKPGRVSIKQAWGMTEVTCSFLGWDPRDKADPASVGVLYPNCEAMIIADDGKT ELGTHQRGELWVRGPSVMRGYWQKPQATQETKTVDGWLKTGDIAYMDDDGRFYVVDRI KELIKVKGNQVAPAELEGLLLEHPAVADVAVIGVPVNDDERPRAYVVSKPDHGATADD IIKFMDGKVTAVKRITGGVVFIDAIPKNPSGKILRKELRERARKELKGVTAKL ACHE_30424S MNNEDGTSFIPRDLPITSTQILCPILCLICLLILVQRIKHRSRW PALSRRQMDSQKSLPERFGAGGNKEKTAGTAAVGQQQQPMSNSPPKDLSCDVRAPLPS ACDILQPLSRCTPLPSSGQLAAKLSQERQAGNRPSLTMKPETPTSPESSTHAAPAGSV HASGSHQGFSEFADQEVNRSPRPGSGSSEPVKNPQSAFLPPSTGISNDRRSHVPRAES NVVYTAQKRSETVQHLQDTDENGVRKWKRRVVEYS ACHE_30425S MTLTVFPPSTPTPSTKSPISPASTDADLTLLTITRLFARLEYNL LSSTADLRPLVRDELQRIRVHANIDYARTALNILENSLSGVKRVDRRYEIQTEVGRKR QTLKALEGVLENITAQAEAEANLDDDIGEEDYEGEGELVDISDDEGVDENAPEDAATE KDAQPPSPTKEEQEKGETSRGDFTSINPSPSTLRNRYLQLQRPTATSTSYQTHDQPQP TSPPQPDRTQAQTAGQSLSSSRLEQESLTESLLSLATQLKSSSSAFHTSLEAEKSVLD RAVDGLDTTTTNMSAAEKRMGTLRKMTEGKGWWGRMMLYAWILGLWVVAILIVFLGPK LRF ACHE_30426A MGCGMSTEDKEGKARNEEIENQLKRDKMMQRNEIKMLLLGAGES GKSTILKQMKLIHEGGYSRDERESFKEIIYSNTVQSMRVILEAMESLELPLEDARHEY HVQTIFMQPAQIEGDSLPPEFGNAIGALWRDSGVQECFKRSREYQLNDSAKYYFDAVE RIAQPDYLPTDQDVLRSRVKTTGITETTFIIGDLTYRMFDVGGQRSERKKWIHCFENV TTILFLVAISEYDQLLFEDETVNRMQEALTLFDSICNSRWFVKTSIILFLNKIDRFKE KLPVSPMKNYFPDYEGGADYAAACDYILNRFVSLNQAEQKQIYTHFTCATDTTQIRFV MAAVNDIIIQENLRLCGLI ACHE_30427S MHHEMSHMGPRAFNHDQSNDAEAEYDRLRDLARQEASKRGSCFQ RSQEAYSSGDGAAAKELSEQGKAHGRKMEEYNKQASEFIFRENNANGRVAADTIDLHG QFVEEAEDILEERIKYAKQHGQNHLHVIVGKGNHSANHVQKIKPRVEKVCREMGLQYA TEENAGRIYVNLTGGAADLGAVPSPYDHQHQQSSHQQYPSQQYHQQPHQQQQQQQHGG QNQQQDQVEQVVNAILPRVLRKLEKACCVVM ACHE_30428A MRFSKQDASATNHAADMIIPNAEDYDMDSRPTKLNGGPLQTTDT SVPDSGGRHKRNRSSLDGTKHKDGQWSEENERILMGPYDYMQQHPGKDIRRQLIHAFN AWLKVPPESLTIINRVVAMLHTASLLIDDVEDNSILRRGIPVAHNIFGTAQTINSANY VYFLALQEAQKLNSPTAIDIYTQELLNLHRGQGMDLFWRETLTCPSEDEYLEMVGNKT GGLFRLAVKLMQAQSNTGKDCVSLVNVMGLIFQICDDYLNLSNTTYTKNKGLCEDLTE GKFSFPIIHSIQSDPGNHQLISILKQKTKDEEVKLYATSYMESTGSFAYTRQVVRDLR DRALTLIDEIDSSGNARGDGAMVRAILDKITETTLNEAR ACHE_30429S METLLAHSFDYLSSYEPSKVRKGLRQVEGLLAQICLSKAKQSPI VRKRSMMGMGSPQPAARPLSEIKDDPAFREFFKLQEGFQWNVAMRLVTCLEHLLGRGS NGTNDLLILATLDLIQGALLLHPPSRTLFAREIYMNLLLDLLDPINCPAIQSTTLLTL VTALLDNPANTRTFEQLDGLFTVTSLFKQRATSREVKLKLVEFLYFYLMPETPTNLPA GANASSPGMQRRGPHLRSPKGSRQSANGSRATRTTDEKQALLGRYLNNVADLVEDLKE TAPFGATVY ACHE_30430A MTREGTMSDNVVTRTRDFFSVPAPIKRIFDRFPLTTYPPNDLPE RTGTNAQGNRLFIFTDAASARRGRPSFNPQCLKWQAYLKFVGIDFEIVPSNNHASPTG ALPFVLPALPLNTHVPIPASKVQKWAIEQVHCEEEQQLDVRFDVYASLLDHRIRNAWL YALYLDPENFDAVARRLYVKPATTSSVVRSALSIQLQQAAGDELLKSYKYIDVSDLEA EADNAFEALSTLLGNQQNFFDRPKPGLFDASVFAYTHLILDDGMGWKQNRLGQLLKKY KNLVQHRERLLRYF ACHE_30431S MPLDEEGAKWSCEPCIRGHRSSKCQHFDRLMMKVPKAGRPLAKC PHPKGTCSCKKTYAVMIRIPKGSTCMCRPLYEVPIEDETDNASTRSTTTPVTIAPAIT PSSVAPTPPPTIGKVQKTGRRQSNLQAAPENIARALESIAKAENERSDNGTCNDLPSY ASQNQSFPTPYGPLAHQQPTVIQGQAHDISTPTAPESNSCCSGKAAAKPTQPPTQPPP EQPPEQPAQQGSCCGEQGPKLEQHAASEPRPEESGIDHRAMANGSTANGLSYPSFPAP TVPTWQNFHAPGHGNLVQPFPVHQPRTQAPVYYPPYATAPSYPYQNIPQSVGFTPMAM PAFPPTQPQSLSYTSPTAECSEHVCHCGDGCQCLGCASHPFNNTTRQHVQEMGLIVGL NGEEQNQHSPYSTNAAPTPLDYSVTAMNHYSGQTHSHHMGNGFSPHLSYAPDQFMEPS EYYTLEYPVGLPSSCSDVTGSCQCGNDCSCVGCLTHSGHNGFAFQPVSTEGVSFHASP PAQQSSPRLSRDPSVPSPSL ACHE_30432A MAESNESKPVVPVQRSTKPVSEALLNEKWDRAISSMLIRSSLGL SFGVVFSVLLFKRRAWPAWVGLGFGAGRAWEEADSSFRRGDSPLRDALRR ACHE_30433S MPSFAERPRSSQEALLRLEKGTASPTSQLNEATQIIGLDLALCA SHPEINRAAQIRHNAAPKEEWVLRWLLKKLRTGKNYRVESASFLLLRQLVDLIPPKTL ATTLKDQKFLVILNDTISDLEEDIFASVENGTAEFLCSESESSQTVGDTPEQISKRDK KGTKRKRAGENGDGDQGDAMDIDESPQSPASCFLTFIRLLDCLYSIVTLANRTLELDE IASSHLKHALRGEPESVATLLAKSFRLAALVTTQFSHGRKTTDLQHLLYVLPAILELW ELRSFRRDDSENNSSNDCFAKHSFQHAMRLQHCVRSTQLDTDERAQVLQGVERLIARH VVLPAREGFFKRGGSGINYSAGDPDWTPVKPITDALRPILCDTISANEETADKVDAMK KQDLWKTAELLPNFFEIASRSVPRDTFRLQTHEAPWLETLFVAVAELAFSIAKEESPA TYSSDFVLLLERLFRVVLDRKIQLSLHTLLTHAAYTGLLRNELTQVHWNLTALLIELG VDIFLPNSGLPDAINLLNALLQKILLNWQSNMSHLDPNYELIKSGIVIPLLQGFTAAR DLPTFMQLWYEQLIAVEETRSQNNSLSSFTVWEDDDLCNVYSEAMRNPLTNAHFQAQL RAASVEIQHEDGRVSDSAGSYAQFVILEAGMRKRNLNFEDANEPLQNILGIATSTLSS KQTLHWRWRLWRFARDLLENNLQSTDNPFGHAVMKLTDVSAKTIQRHRKDQMKKPRAA LESFEAYRFSLLAIKESIDSAGLGKFSALTREIADFFKVITKEDAVASMASPWNGRAE TLELQVSLALGYFLALVRSPSVWGLIKYDIRRSLFEHTLSLAASQYHASSTGLERAPF HARFLQAWASVVCHEYLLNAPAITSDLIFVLSERIKSDVSNRKLYVESLQRIPASLVT RRQRAVLLDLLQDVIRQEDNPLEITVGMLSLMAKLADMPKSGAALTSDWEPTWNAAKS VTLQGTEVDLQIMKAFRHLHKAVIAKLLVLSDEERSKLFKKMYRKVTSKASKLKSVDR DSMDCFFLRISLSQLWVHRHQLSGVLDETELAACRQKVFDLVVAEVKSVKDQCKKQKL EDTITLIKVLDALEDFEDLATDNVEVDKFLSKIESYVEKSIDSESPLRRLIRRRVLVG RGGADKDITLPVMQVAETLPLQQLYGEQQQLFIRATLERFRSMSVDDLTGVIQQIRSI GFEGDNAAYRLLVASLAVASMPTVEDKESAAAKELSSLCTAVTESMPHSQSIEHFTFA TECLDMLLRTHTRCIAQWNIDNVLAAIAVAASKAGPRINTDYAAPIYVRLCRLMGVLL GLHRQKLGGRFHLILPAMQRLLNCLFARSRKRTRSMRSEKGSTEQPLWLAPLQASHAV HFTRLLTSLCDPTVSAVSRPTQSGPGGQEGLTDQTKKAKRIAGQYLQYLIMEYAQSSL RGSMTPEVKTAILPGLYTALDVMSRETMRALNAGLDASGRSVFKALYDDYMKFGKWNK G ACHE_30434S MLSILIATLIALCSWYFLSPKRRLPPGPSFISGLIAGNNDFKSF QKWTQQYGPIVSAKIGTRTYIILGTRQAAQDLLEKRGNIYSDRPPSVLLDNYLSKQMG AAFMPYGREWRLNRRLYSSLLSSRAADSYRYLQDIQSRMLLHGFLESNELEKFHQYTS DVMFTLVYGKGQGRNDDDHRRLEHINEMVAFVLQGTSLGTALLELFPVLDRLPHIFMK WRKKAEQLHEKTTDVYTECCNTALGVDCWNWCHEAVQKKDVVIELPWEHLCYALGDLY VAGVHTSKMVMEIFVLASILYPDTVKKAHEELDSVIGSERLPAFEDMERLPYVNAFIL ELLRWKPISPIAVPHAVTQDDEYMGYFIPKGATVVANQYAINMDESAFENPTVFKPER HIGNPDPPISAFGFGRRRCPGERTARSTLFIVISRVLWGYDITCAEGAEQPTEESNAG SVKADFLVRSPEHRRVIEWEFESADKDEKRVLGSIRESMRAKI ACHE_30435S MELDGLSAGLTHTTRVSQRSCNAAAGAVGVVTEGSPALSVAIVV EGAYGGIGGVDDLVRRGGWGGADKFGCGCEGDDSLAGEDYLGEVSSLVSVDIKEVKYG DDSQAYFLL ACHE_30436S MPHLKVKQLFKKLEVANEPGLSNGQLMLTNNDLRPVDPERRQWK WLNFVAFWVADSLNINTWMISSSMIQDGLSWWQSWICVWVGYFIAACFVYLTGRIGAV YHISFPVTARASFGIWGSFWPVLNRVVMAVIWFGVQSYIGGECVTLMIRSIWPSYATL RNTLPSSAGVDTMNFVSFFLFWLCSLPALWFPIHKIRHLFTIKAYYSPAAALAFFAWA IARAKGLGPIVHQPNTVHGSTLAWAVVKALMSCLGNFAALIMNNPDFSRFARKPRDAL WSQLLTIPLGFAVTSFIGIIVSSSASVIFGQLVWNPLDLLGMFLDGASSAERFGIFVI STGFALAQLGTNIAANSVSAGTDMTALLPRYLTIRRGAYICAAVGLAMCPWNLLSSSN QFTTYLSAYSIFLSSIAGVMLCDYYIIRRGYLNIHDLYSAEKSGTYYHFFGFSWHAYA SYIAGILINIVGFAGEVGRDVPIGAQYIYNVNYFSGFIVSGTVYFILTKIVPIPGKSE KWREVDIDEEVTWGWDVVGDDVEKRTSLESGQKSPKIDSKALP ACHE_30437S MGPKHIGPSDLFPAQNDILIEDYAFLFRFVFGIFCFTEDLEQVG GRVLSSITRLAQNQNLTVSDDILRWHSHQCILTNMKGTGRRMWDLSTSEDDY ACHE_30438S MHEQRATWEGYVFNKTNVDAGRIHSYLDDLFTKTPLTQQALKKL CESVSRFGRDLSGRKKWFTMDVLKTVTQALLKSDLLTKDKTIILKEFMRNPAVTQEVV DVLNMRLAALDSWDWPAGEAIPLEMRRQLNGKYRVYMDEDLLDSIMFQFLGLEWSVKF REVFNTFRDSQAWYTLRGHISESEKNRRRYYSIDQGSRVYSTNSVNDHRQKIYKNQYF MTQLPTSMDSSVPDYDGEESVDSALGGTQNEKQKNPLETKHSLLHLLVTESIIQSTLH GQFTAIRSDFKYFGPSLPHETILAVLSYFGVPDNWIRFFKVFLEMPMKFVQDGPNATT RVRQRGVPMSHALSDCFGEVVLFCMDYTVNKHTNGAFLYRLHDDFWFWGNEATCVKAW KAMSDFANMVGLEFNEEKTGTVQITNGNSQAPPGTFSKEQESTDAATVLPAGDIRWGF LKLDAQQGRFIIDQEQVDNHIAELKHQLSSCKSIFAWVQAWNSYFARFFPNNFAKPAV CFGRDHIDMAISTLGRIERAVVQSFTGLPSGVTDYLRKVIADRFGVHDLPEGFFYFPV ELGGLELANPYIPLLAMREDIKQTPQGCLQRVFIEDEARYNAAKKRFEESGPNTSVVG DIYNDSDDEEARPRSSSSTNFPSLDEYRRHPETYSVPLLNAYQDLTRIPEEVSIKQSP NFRGTQSSLQQKLVDDGNVKISGEWDDMSAYWRWTAELYHREMVAKYGGLAAVNREFM PLGVVKTLKEGRMRWEN ACHE_30439S MHIPGQGHPVDNKAFVQYRIHTVFETQPDCPLQPTQSICFCTSW LSVFLQKDSFPRVSEIDNPKRGNPAKREEILIEVQTEALENNAQQENRYIKDARLSRS PGK ACHE_30440S MAYAAASPSALNGETQPDPKVPSISKPKHTKLPRVITSLPLAPA PASAPVPTRTSPLATTPNSLFPTYVPSNASSPSGTPLQTAADGSCCRVPSYPLANPGP FELGDSMMGAPENDNASGDTVIPNPFRGPGLMRRISRGAANKLTRRRASASQNEKRDR SSGPVIMRRRRDSKTSTQGRESALDSSNEDDSMEALDSLGAWRGAEPCSLSNEDILPT PGGAVAAPKADSAIQRGTLLTKVTKKRRKQVQFFLDLDTAKVYWDVSNPTKRFYIDDM KEIRVGADARNYREEHQVSEDAESRWFTIIILDSERSKGRTVKTLHLIAPNRRILELW TTTLEHISRYRIGLMAGLAGSSQSEAVLKAHWQREMSRIFPQGLRPDEEESLNFGAIE SVCRSLHINFSKNMLRAQFSKADVGQKGRLNFTEFKDFLKRLKERKDIKEIYKAQAAD HKAGLTVDEFLSFLRNEQREDVDSDCAHWVSIFDKFVRKSKPRGANVPECPVTQDSPR MGLDAFSSYITSACNGIYASKPPQSRFDRPLNEYFISSSHNTYLLGRQVAGASSTEAY ITALTQGCRCVEIDCWDGADGRPIVSHGRTMTTSVLFADCISVINRYAFVACDFPVIL SLEVHCNPDQQLAMVKIMKDTFKEKLILEPLIAKTFILPSPEELKGRILVKVKTCDEI EGSMRQDSAPFSTATTVATSPITGRKRSSSSPFLRPSAADIPIPASLPPLSSPPTIQP DGPGPMITQERRSLTTTSMSSATDDSDSALMSITQDKKRRQKSKITKPLSDLGVYTKG YKWHSFTSVDSQRYNHVYSFAERSFESICREHENKVMFEKHNRKYLTRVYPSGFRLRS SNFDPLKFWRRGVQMAALNWQTYDIGMQLNQAMFAAGTDRTGYILKPESLRVSDPFDA EKKLKAERKRVEFSVDVISAQQLPRPSSIGPDDNINPYIEIEMFSADDRGQSRVVGEG GMNASARNGISGLGFPHRRRTKIEQSNGYSPIFNDRFKLSLDTKYPDLVFVRWVVWSS PDGRTPGNNSVQLATFTAKLSSLSQGYRYLPLYDGSGDQFLFSTLFCKIAKKEPVPVA RSEVEELRAERMGILRQISQTVFKRGTSTERDRDQQSEKGSESFASPEDRYTTSPVLT PTVSATSTTSSLPLS ACHE_30441S MDPFSAEGELINIHSAFHQGQYQSVLDFDTSALSPENHLPARVL QLRAKIALGQPDQVLSEIQNEDEPDLAAVKALAQHSAGDEETAVKTAQELAENYPENA SVLVCAGTVLAATGREEEALGVLSKHQGSLDAYVSSLHCYGRRFGERLIGYRVALIVQ IHLQQNRTDLALKEVQAAKRWAQDSLLVNLAESWVGMRVGGEQYQSAFYVYEELASAP STTAAVSIAGQAVAELHLGRIPEAEAALSAALEKYPDDVELIANTIVLNVLAGKPTEE LESRLQQVQPSHTLLSDIQEKSELFDTAAAKYAPRVSS ACHE_30442A MKADNKPSSSPGLSLWEKIDLFPALLSILTSALYASVTGLFRGK NGTRTYRLHIFRQLIHKGILRLSFRQTQYLAPSTNKNYEDWSISQKVQPVTISLNHGA FGHWVGKKNAKKVVIYYHGGGFALPGTPEHFAFCTQLLNSLNTTGENIAFFFLTYTLT PNAVYPTQLAQAVDALRYILTIRKPSDVFLGGDSAGGNLAFSVLTHIAHPHSEIEPLS LTDDEEDASLAGVLGIAPWVSFRTDWPTIKTNHYSDIISAANTDKWSSAYLDARNGEG DPWSEPLQVPAEWWRDVRAKALMITAGGDEILLSPIEAFVDKVRSSGTEIEFVVGDRE THAPMMYGGETGTRQGRAIEKWLADRISS ACHE_30443A MQVPLLRLQCGVNSYDWGKIGQESAAARFAATTAAPDFSIEAEK PYAELWMGTHPSLPSKDVETQRTLLDLVQDNQALISTEVSERYGGKLPFLFKVLSIQK ALSIQAHPNKKLAEQLHAKDSRNYPDDNHKPEMTIAVTPFEGLCGFRPLTEIVYFLNA LKPLRELVGEEAASQFENAVKGAEDSEDSDVKQKNKDALRAVFNTLMQSTPEKIEAAT KDTIAAAESSPETFAASNGFPVGSPSSPTELAAIIRRLNGQFPNDIGLFVFFFLNFVT MEPGEAMFLKADDIHAYISGDIIECMASSDNVVRAGFTPKFKDVETLTNMLTYSYAPI EEQKLEPVDYPYAVLNVPAYSSGSSCLLYDPPIEEFSVVKTDLNRTGAKATFDGLAGP SILICTGGKGKIRVANKSEEIKEGYVFFIGATAECIIENTDGGEEDERIFTTFRAFCD LTGKEDMANGN ACHE_30444S MASTNHIITWPMKRLPPNNKLANSAKKAASDIIGQGSRQPGGQP PSQEQLPDNTRFLDQYQTQTLSPNQRQQHCKQPGGQAPDSQKQFTPASERQAKKPRPH SQRQGAARGTRSAASQEIVSAFEWEALKLEKEPQPPTLVASAGNGKKARRSTAKAMSR NRPRGPPLLRDNGLAANEETDMWNKILQDLRKSKEKNDKQRSLAEQIAALNERMGKEI GKTTLNDHDELDNLLRQMAKLCEEERAILLDEPSDVVKNLGLLTALRQASEAEAPLNR AVSLSKSRKKRNELDGSSTESPGPTGPSVSDKASRIKGAVPRSGSVSSTQTRDNREVR DNVAVKIEEGTESTKGTIAERSGHLVIGAEVVFKHNKNKQGVEGEGIQCIIKNIAGEG HKKRYDVQDPEPNENGEQGAVYKTTAASLIPIPHIGSALPPFSPGKQVLARYPDTTTF YRAEVTGSRKDVYRLKFEGEEDDKEMEVDKRFVLEIPGK ACHE_30445S MADVPSHVSPFLSPQSLRPTSSSEAVNGIDSFRPVLPGAESGNK RPDLPQDRASPASSTEHDHVDMTPGVPASLLSPSFTPPATPGGTVNSTQLFQQSRQLP SNGLTSMHMHAKHPKLLPRLPHVECIVRARIPTTTGTEMFLHLYHNDIDNKEHLAIVF GNNIRSRSLDQVRPGETEMDRMIRGAYVGRLHPGRVSSWYDPEHATAAECATDVADDS SESGSSPPPSSASSTSPAALQSSLSQAPLVRIHSECYTGETAWSARCDCGEQLDEAAR LMSLPIDTLSELATQRGLSVPSNASGGVIIYLRQEGRGIGLGEKLKAYNLQDLGSDTV EANLFLRHPADGRSYGLATAMLADLGLGVDSNPHGIRLLTNNPDKVRAVEGPNREVVV KERVAMIPLAWSTGGKTGIKSTEVEGYLQTKIAKMGHMIR ACHE_30446S MATPGPPVDKEEAAPASTPNESSSSNAIPEPLSNDASRPQSTVP PALATNPSADSVKLEDSPAPSRLESAEAETPQADNDSRKRPATAGRKRKLNSISARGV ANLTPDQLAKKRANDRQAQRAIRERTKSHIDALEQQVRDLSSQKPILDLQAALKRNEA IQEENRELRQRLQAVMDIIQPMMGKREPTSNGPTAAPNIQTQLVPPPSNPSPPLDNNR FTPNSQKSAMADQSYPESLASLGTPSPTPTLGGARRSSADGNASFRIALDYQRHNLTH GLDFGADERLGFNFLVDPSKTVPKVDNFRSPAQVNQLPIYAPPVNAMTEQPPPAYLTP VRNSAPTCTLDAILLDFLHTRQQEAAQGVPKQNLVGPPYPSVSSLLNPEKSMYSHPVS KVFTDILRTFPNIAALPEQVAVLYLMFLIMRWQIYPTAENYDRLPDWLTPRPSQLITP HPPWIDYLPWPRMRDRLVMRHAEYQFENWFVPFTETLSVNWPYEATDCLLSTNECDEL LVNPVFERHFRNLGNWSLGPAFAEAYPEMVETTKIKAPWRAP ACHE_30447A MTRIGWYGLGSMGLAMATNLQRHLVTKKVPGMIYSNRTMARGNP LQALGGTPETSFEKVVGQCGIIFTMISNDAVLSNLVSTAINSGQSLRDKIFVDCSTVH PQTVGLTVSKLKEKQASFLSAPVFGGNPIAVDGKLVFAIGGPNDAVRVVKPLIQDVMG RRVIECGEDATKAALLKIAGNIVTVNMMEAVGEAQVFAERTGLGTGPMEELIGEAFGP VAGGYSKRLTTGAYAPPLDSRPGFGVSLSIKDANHALTMAKDHNVELPALQLAQGNME AAREYGGECLDSSSMYGVLRQKAGMEFWNEKSRKGGS ACHE_30448S MADMPIVLDGGTGFLKVGYAAQNFPEHQFPSIVGRPILRTEEQA GDIVVKDIMCGDEAAAARSMLQISYPMENGIVKKWDDMQHLWNYTFYDKMNIDPTGRK ILLTEPPMNPLKNRERMAEIMLEGYNFGGVYVAIQAVLALYAQGLSSGVVVDSGDGVT HIIPVYESTVLNHHIRRLDVAGRDVTRNLIALLLRRGYALNRTADFETVRQIKEKLCY VSYDLELDKQLSEDTTVLVESYTLPDGRVIRVGSERFEAPECLFQPHLVDVDQPGIAE MLFNTIQGADVDVRSSLYRAIVLSGGSSMYPGLPSRLEKEVKQLWLTRVLQGDPERLN KFKVRIEDPPRRRHMVFLGGAVLANLIADKEDMWVTKAEWQEQGARALEKLGPR ACHE_30449A MDSDRSHLLSSGDNAGPAHDHDSDDEMVTSRPPSYRPVDGHSHH PLLKEERRGRSSNSSGHGDVEGRPMLHHASIRTESPGHEADMATRRKYIIAAIFLVLS LVSFVAQTEAAVYIQHDLKYDKPYLMLYLTHGSWIVIWPIQLVILRLQKRKLSWDAFW RRHVYQIRTTAMMAEFQELHPNPHYNRSIIPYLLRTTAFVTTALTIAGGSWYVAVNLT TASDLTAIYNCSAFFAYAFSIPILKDKLRWDKVLSVGVAIIGVLIVAYGPDEHEAKDT PDGTIGQAKDEAEHRLLGNVLIGIGSVLYGLYEVLYKRYACPPEGTNPVRSMIFANTF ASLFASFTVFVLWIPLPILHVLGWERFEWPTGEVGWMVMVSIISNATFSGSFLVLISL TSPVLSSVAALLTIFLVAIVDWMRTGEPLSLASIIGGLLIIVAFFLLSWSSFREMREE QKKKSIEDEMDSDNDD ACHE_30450A MGEDHILPISEEKELKVTPSRLTPPPSSLTVNSGNTVTVRDVHF ALSNETLRDDYHADNRASWYQPEVTYEARVQSGQFNHGVKDPGWRRMVQNFTPSWFSV IMGTGIVSILLNILPYNGHWLRWISIVIFALNVFLFIAGCILSVTRYTMYPKTIKAVI FHPVQAMFLGAFPMGLATIVNMFCLVCVPAWGTWAADFGLALWCLDAAISVMCALSLP FSLMLSNKDTHLSTMTAVWLMPIVTCVVASGTGGIVAQVLPDSNQAFGVIIASYILWG IGVPLSLMVFVIYFQRLTIHKLPPKETIVSVFLPMGPLGSGAFSALKLGLTAKDTFAK TSFLLDKSVIPTVYAMGFMTALVFWAFGLVWLFFASASILRCKKFPFNIGWWGFTFPL GAYALATCQLGLEMDSSFFKVVGTILSLCVVILWMLVSVGTLRGTVSGKLLVDPALAE MRDKQERKRLSAYLR ACHE_30451A MPLIAQNPVPRAILGLMTFGPEGTESKGARLSSLDKCLDYFQQQ GFNEVDTARLYVAGEQEAFTAQAKWKERGLTLATKWYPKTPGDHKANILRENVEVSLK ELQTDQVDIFYLHAPDRSVPFTETLEEVNKLHKEGKFVQLGLSNYTAFEVAEIVTICN ERGWVRPTIYQAMYNAITRSIETELVHACRRYGIDIVIYNPLAGGILSGKYKTKDVPA EGRYSDQSATGALYRSRYFKDATFDALRIIEPAVEKHGLTMAETALRWVHHHSLLKMD GNGRDGVILGVSSFEQLESNLRDIQKGPLPEEVVEALDKAWLVAKPTAPNYWHLELKY TYDTFDARS ACHE_30452S MANQPQFSILVINPNTSTSMTEALKPILESLRYSDIHFDYFTAP STESVTLDQRTIHGISSINSGEDSVASALHCRLFVEPLVSKYDGFLVACYSAHPLVGM LKEAIQKYEETQPTGPRKYVTGIFEASIVTSLSLISSFQMIGEPGLHKAQAPDTFGIV STGNTWETELSYAVKDMLISSGSDIKASNMRFAGVATTGLTAVELHTTPPEEVRRRIS DATERLLQGTSAPVSAICMGCAGMAGMDEAVREGCVKAYGKQQGNRVRIVDGVVAGAG MLVTACKAGF ACHE_30453S MPREIITIQAGQCGNNVGSNFWQQLCLEHGISQDGNLEEFATEG NDRKDVFFYQSDDTRYIPRAILLDLEPRVLNAIQAGPYRNIYNPENFFIGQQGIGAGN NWGAGYSAGETVHEEVFDMIDREADGSDSLEGFMFLHSIAGGTGSGMGSYILERMNDR FPKKLIQTYSVFPDTQAADVVVNPYNSILTMRRLTQNADSVVVLDNGALSRIVADRLH VQEPSFHQTNQLVSTVMSASTTTLRYPGYMHNDLAGILASLIPTPRSHFLITSYTPFT GDNIEQAKTVRKTTVLDVMRRLLQPKNRMVSINPSKSSCYMSILNIIQGEADPTDVHK SLLRIRERRLASFIPWGPASIQVALTKKSPYLQNTHRVSGLMLANHTSVATLFKRIVQ QYDRLRKRNAFLEQYKKESPFSDGLGEFDEARSVVMDLIGEYEAAEREDYLDPDAGKE KEMGV ACHE_30455S MPPRKPRCSFKECKEQAQRIVGDCSFCNGHFCSKHRMLEAHSCS GLEDCKKESHARNADKLNSERTQVIKGV ACHE_30454A MPPGDEYSTGGGGKLKLKGSKVGDGRVEKKKAKKAKKKEKEAAG AASGSEVVPTEGTTPEDGGEKGRRDEYGSETGTVGVGKTETERKYEEVRRKRLQERLK RNGYKTHKERVEELNKYLSGLTEHHDMYVLVFLTQIHGLVADDALGRKLVPVEIEMLS LFCTECWVCWASSVCYGVCWV ACHE_30456S MPFFLRTLFLHIQTHFQGRVDTSLDLLRLLEAALLAVTHGQGTF TLYEKNDPTKLHPTLGDSDVIVDLTYGSLSRCPTASNGQGWICNDGEELVELADYYLF EELCNVQDKVRKGNRKPLTSPAVMINDHRVGWLGGQ ACHE_30457S MTPFHSKKREPWQWQLRSSQSFIVFVVSLAMLTDMFMYGMIVPI MPTAIVTRAGVPDEDRVFWTSVLLICESGTAFLTSPLFGYFVDISQTRQFPFLVGLML LTISMIFLTVARSMTGFIIGRLLQGGAAAMVNVAGLALVVDVVDKEHLGEILGYLGTA SMIGIISGPPLGGLVYHAGGYYAVCTLAFAMIAVDLVLRVVVIEKRAVDRLVKPVDPP DIGNSDDAITEVSRNRYASFPRDQIEDTIPQPGGKGGFAILALLKQPRMLITLWAFVA EGIVTASFDATLVSFVEKTFGWGPFEGGIVFLLLAIPALFDPVFGNLTDRYGVRIMAI LSFATATPVLLSLQFVQQNTLSDKILLPTLVTLAGLATDLAQPALFLETQLVVDSMEA CNPGVFGEKGAVAQAFSLQVMASFAGLMLGPMVGGFLSDRFGWSAMAWSLGLLTAVTV GPMVFLSGAGAGSYSAGAGADDAEAQATEGHGEREPLLEGAQ ACHE_30459S MTSPTLDPITDGRFIFPAESDPHEATILGFPSLVSVPPPYYEPS CAEITSLAAAIAHFEPVRLYTRPEDIPLAQKYVAEIVPPNLKERVTIIPIAINHCWVR DTGPVYVHDASGRYPGERFAISFDFNEWGEKRPWDVSNGNDYGLNWPTPSAAQLEENT LFARRVIEGDVDPKPVRRVVPRIKAEGGAFVVDGDGTLIISESCMIDEPRNPGMSKAD IEEELKRLLGVEKIIWCPGRKNMDITDAHLDAEVRFIRPGVVLWSRHHPDSDQEWLDV SHEVLTALKNETDAKGRKLKIIPVDEVNGDEVPKNDDYEEVVCSYINFYFCNGGVVIP KFGVEPYDSKAKEVIQAAMPEREVRQVYMHAIPITGGVIHCTTQQVPALKKD ACHE_30458A MASDEASMNSESTTKVDLSKKLSELRNTRRKSNATPSSSSSSKE PAPVTPPLPSPPDLSSHEYSRPIRRILSHKDHELFVSSPTYSLVLAFVFGLSDSVRGR AVTEFNDKPVSLSVSNILSVVERMKALVEQHPAIDQGGSRFGNPAFRDLFDDVTAQSA LWHKDILGLQNDAAVDEIKTYLIHSLGSRDRLDYGSGHELNFMMWLLCLRQVGLIAPS DFPVIVFRVYIDYMHLMRQVQSTYYLEPAGSHGVWGLDDYHFLPFLFGAAQLVSHPYI TPLGIHNTAVLDEEGDKYIYLDQVRWVDSVKTVKGLRWHSPMLDDISGARNWFKVESG MKKMFVKEVLGKLPIMQHFLFASLLSAAPGMGEGADELEKAHDHECGNGHAHPNHAHD NFGGDCCGIKVPSTIAAGAEMRRRMGGTGLRPIPFD ACHE_30460S METSNLSAPNLREPSRRSSSSHSTHAQQSQSNLGEEGAVSENTP INSNSIRPDYQSTEGMRSRGSASGTSRGKSRESSQPQSEGTNGVPEAPGDGENHQRPW YIQLANRYGSMELENKGSVARDHLALERTFLAWLRTSLAFASIGIAITQLFRLSNAGN LHQSGTNSSSPLDDMQTAGATERLRNLGKPLGTTFIAVAILILLVGFHRFVESQYWIV RGKFPASRGSVILIAFVAVALIIAALVVILAVAPGAVET ACHE_30461S MASISLPPPSHSTMVSQDFHSSPHQVFQHNRRNLSMSGPFPNPP FVFPARDPDHSKSIPPPATSPAILGSRGPRTPPSLPAFSFNPGSVQASQPSPQHSPTG PSTNRPAGHRRRPSEFVGGDRLVTPPVAETNQTKDEKPLQCPEKLPAPGPGFSAGGPG KRGRHAHRRSAAVSSVDLTAISNALNMQPTVGSAPVVPADRKRDNPTFDEIPRPMSHS ATSLSRCSPPASPAGGTSASHPNLPVPGGLLAPEQLPSSAVPAETPTPAIQPEQATRN SGPPAIEISPSNDEKPRVRPKTADASWLFDQSSSGAAGNGTQSKRPLLSTGHTRHKSL SSGLLDAALKKKNHANEDAHLSDLSGRSSSSDDDSDTSTTENHEEFAEQPSKKKSKSK ARKKKVRSWAGSILTRGKGKRNPSKKEALEDNSPVPPLLTRTNSELGSGLDVDFDDDN MVVLRAPTNTETPANPPPADPGVPQPAPSLESSWKPRSFYEQDLPNDTLTPVIDLDAA LGPFNTPDMNAGQTAGSSFSAATKRMYSGGRRGEFVGPEMRYHRRAESAPEMPPFDRG ALGNHRLTTNSTLENPDVFYEEEEDAFLAATSEPSPEEERRVSQAGSVIYSDEPSTSN KDSSDTVTQQPAEEEGPRAEGLGIQGNVPAQVAAPLWNNLDNERFEQGKVAEQVHSAR NPFANPPRTPVDVIRQESWQHNRAPAPPSPDVSPRFLPADKRPSTSPVDLVPNIPPFS LHGGSSQPTSGFPSPIFTNSPPDGPRSVTTPSTMDRNFFIPPYNNMSAEFPHGSVEDV PSLTSSTSTSTNPLQRFSATFFPRRGSSDRAASFSAAVHRRTSASHAAKRSSLASLSK LVVGPHANERSKLSYEEKPPGDVPEKGKKKGRGIGRLKHFWRTKDKEKHHGDTDQ ACHE_30462S MPYSEVDTLAINTIRTLAVDATFKANSGHPGAPMGMAPVSHVLF NKFMNFNPKNPDWANRDRFVLSNGHGCMLQYALLHLFGYDLSMDDLKNFRQLDSKTPG HPEAHDTPGIEVTTGPLGQGFANAVGLAIAQAQAAGTFNKPGYDLFNNYTYCFFGDGC AMEGVASEAASMAGHLKLGNLITIYDDNGISIDGDTKCAFTEDVMKRYEAYGWHTVHV KDGDNDLEAIEAAIRECQQVTDKPSVIKLTTTIGFGSKLQGTGGVHGNPLKADDCQGV KSLFGFNPEESFVVPQQVYDLYHQHAAEGAAKEQEWNQLLQKYASEHKAEHDDLVRRL SGKLPEGWEKSLPTYKPSDAAIASRKLSEAVLEKIHDVIPELVSGSADLTGSNNTRWK NAVDFQPPEYGIGEWSGRYLRYGVREHAMAAVMNGLAAYGTVIPASGTFLNFVSYAAG AVRLSALSRVRVIHVATHDSIGLGEDGPTHQPIETLTHFRALPNCMVWRPADGNETSA AYYMALTSKHTPSILALTRQNLPQLENSTIESAIKGAYVAVDAPNAAVTLISTGSEVS IAIDAATSLKEKHGVVARVVSAPCFEVFDAQPKEYKLQVLPDGIPVLSIEAASTMGWE RYSHEQFGLNRFGASAPYKQVYEKFEFTPEGISKRALATIDFYKGHSVRSPINRAFQQ IL ACHE_30463A MRLSSSPLFSSFRLRSFPLRRFNSSFPPNHRLLSTKMSEITHPT IKDGWFSEQSGMWPGQAMNLKVNQILHHEKSKYQDVLVFESSDYGTVLVLDNVIQCTE RDEFSYQEMITHLAMNAHPNPKKVLVIGGGDGGVLREVVKHESVKEAILCDIDEAVIR VSKKYLPGMSIGFQHPNVGVHVGDGFEFLKNRQNEFDVIITDSSDPEGPAESLFQKPY FELLRDALRDGGVITTQAENQWLHLSLIADLRKACKEVFPVAEYAYTTIPTYPSGQIG FMVCCKDPKRNVREPVRSWSREEEERLCRYYNSDIHRAAFVLPNFARKALQS ACHE_30464A MVGTADVKNGTYIGPWGALGCPTPQRIATYSLSANRQRPLAGAF HSAIFNTFRRFRHQVLYVAPPFLIAYATMNWAVERNEYLNSKPGRLLEGGDE ACHE_30465S MAANALHNLYRLAIPVATGAALVNASLYDVRGGTRAVIFDRLSG VQETVVNEGTHLLVPWLQRAIIYDVRTKPRNISTTTGSKDLQMVSLTLRVLHRPDVPK LPAIYQSYGTDYDERVLPSIGNEVLKAIVAQFDAAELITQREAVSNRIRTDLMKRASQ FNIALEDVSITHMTFGKEFTRAVEQKQIAQQDAERARFIVERAEQERQANVIRAEGEA ESADIISKAVAKAGSGLIEIRRIDASKEIANTLSSNPNVTYLPGGEGKEGGKSTSLLL GLRG ACHE_30466A MPHRPGNKKSNPNSSKKRAPEKKPQRQHQLQHQRAEEEPTPFDN PTNIIPLTLQQLLLNVFQSALVPNTPASQHDQQNQRDTNENEGELDLKPLIQTIKSHL YNRDFDSAFTDANEELLRAYALRWSASRTLGYAGVFKAVLGLLSEGKEGRNVDGQVVC IGGGAGAEIVALAGVWRDIVTAPTDEGEGRSTGLKVTAVDIADWSGVVGRLDGAMRSP KVLASKSHPAPLLPDGGGDKFGVAFQKADVLSLPEEELKSLYGQGTVMVTLMFTLNEL FSTSIAKATAFLLRTTDVVEPGTLFLVVDSPGSYSSLSLGKTTDTGEKEKVERKYPMK FLLDHTLLSVAEGKWEKILSQDSRWWRRDAARLHYDVGEGAGLEDMRFQVHLYRRL ACHE_30467A MTSPAVHADDEWSPLRAVIVGRAGRSCFPSASKRMIEATMPSEH VHRFQLKSPFPENLVAKAEVELDQFAAILEKEGVKVYRPKGIDWLVVDGYTGAMPRDG LMSVGNTLIEACFAWQCRSREIELGFTPILDELAHDPRVRVVRRPEYAFADTIDDNEG KWAINNIRPAFDTADFMRFGRTLIGQYSHVTNQAGVDYVRQHLPPGYTIEILDVNDPH AMHIDATILPLREGLLVYHPHKVTEEALRRHTVLAEWDLHAYPFTPEGRDEPPLYMTS PWLCLNALVLDGKRVIVEAGDERTAGWFEELGMVCIRCPFQHVNSIGGSFHCATVDLV REQE ACHE_30468S MPPNSTSTSYMPPEWHPHLGIIMAWPGRASHSYWPPGNHEQLNS DVNRVAQAIARFEKVTIYVARAQENEARTTLQNCSEEQAAAIDVRVIEDDDVKPWMRD IAPTFVVVPDSKTVHGVDFHFNGWGAKDRSVSNRALARHILHDHQIPEISTSLVAEGG GIETDGEGTLLATESALVNSNRNPGMDRNAVESELMRVLGVSSVIWLPGVADADTTDC HIDALARFAGPGVVVLSRPPATREEIWTTVYKDAQRVLAAAQDAKGRSLRIVDVPEPD IKAIPGADAEMIPSYVNYLHVKGAMIVPQFGVSETDAGALGVLGELFPNKEIVPVYLH GLPNAGGGLHCITQQVPLVKVDA ACHE_30469A MPGILPMKVIKVGGGSQSRIAQACDRCRSKKIRCDGVRPCCTQC ANVGFECKTSDKLSRRAFPRGYTESLEERVRALESETRELKSLLDEKDEKIDVLSRIY SFSPPSHQQQQQTQQNKKASRSPQAPSPSDSVTQKASDAAEGIIHVKPSSRSTASSDD APSDSGLSSTRGFTDAFTGKLNDQGKLAPEASTQSLTQSPPAVCHIRGPQTIETPPRL VSDQLINIYFQEWAPLYPVVHRPTVLKAYEQYLTNAESMQNTPHDLAQLNLIFGIAAL ASISRTNQDPAFFEKNWTSTLESLSTDISVPTLQCFLLAQIHCMTKADYTSLLRYRGI AVGICHQLRLHQSQKYITNPLIGETRKKIFWCQYVLDRLTAAMTGLPILLREEDIRTE YPEDVDDENITETGFLPTLPGESTRLSSAIALFAASRILNTVLHDLYSSESEYDVYVS KLRAASNQLDEWAQNLPPHLRLEFAQDKPATNVTSSRSPLLSLVYYYIRSLVHRPAVC FADEQIRSPSVLAVSDSSKHIIQILELLDERRLCLSVSINRKEIVLMSGLGLLWQTLG LKRDSKLAKESQKLLSTIIDQLKFESASAATGFSNVAGILVSLDGGKGGVKAQDTTAA AQRSSKSPKKQVQSWKQRLAASMPHQSQRQESQSRRATICGASPSIDHRHIRSSSQTS LPSVRPEHIHSPHYQGTYQVAPSSAMYDSSSRAMSCSGPSDVSNSAITVADWEFVLSD MDRGYSNIFTGIYGGKECGEDTGPFASITAEYGGQKPGQTSMPMTAPQDIQGLSPEAW SATSSDIPLKQEIASQSVLSYSEDSLAGGSDDGVAFQDLHMSPEDHANMMDPFRGILI PTEEEMGGYGLVDGTNWDRRLAV ACHE_30470A MPTERENIEEQIKNAIATYERDKSQKIRPLAEAFDVPYQRLLRR VKGLPGRNSTKPVNYALDKHQENALKHWIERLDQAGVPPTAKRIEKSANLILQRAHTD PTIPPKKVSKEWPYRFLERLGPKYTRLKQRPRDPKRLQSQDLGIIQNWYDRLEILLKQ YQIQPQDLYNFDEIGFMEGQGRGEVVITKYPSRAQHPGASFSRGLISVVECISADGSV LPPCIILPGKGHLEDWYTHSDMPGNWILGVSPNGYISDEIAFEWIKHFDKHTKQRCAG VYRLLLMDNHGSHLTYEFIEYCEKNRILLYSFPPHATHFLQPLDGKPFKQYKHYHGQA VTEAAILGWSDFEKREFLTVLPGIRKETFKTHTIQSAFRDCGIFPFDPSPVMDDLEKQ AEPIPDLQIWDGDSTSSGSAQSSPKTIRQLRKEISKARASLDKIDGHLSALSPGLNRR LERIFSGGLTQAESSDQTAMELDRYLKAAAHQSKPKSRRQVPGLSHSGVLSVQDANRR IGARKKAEEKKEGRRLGQSIRTSLATTHRRYDRLELWMMGIDENADQETIDSILNKNR ACHE_30471S MKCEHLSIESLPAWARLNGVSVSGVAFKRLQSDGTDRGSAIIAE GKQDSSSKDVLLLQIPSDLILSLEAVDTYAKSDRYLREVLEAVGGFGKTARGAILIFL LIQLTYSSPDFANESQRIGLSNPWTEYVKFMPQSIPLPTTYTDEELELLRGTSLASAV DAKLASLDREFTYLRESTDRISWCHKYWWGGETGRLTLDDWKYIDAVYRSRMVDLPGI GHSMVPCIDMANHAPGSATKARYDKDADGNAVLELRGGRELSPNEEVTISYGDDKPAL EMIFSYGFLESERTDANSLVLDVDIPDDDPLKIAKKLYCKKPPGMRLNTAPGSETTTW DSSLVWWACVNEEDGLEFKVLQTTTGEKELRAVWKDEELEDPDDLASVISIDPLWDVI QLRAVVLILERLEIQLQTLRTTEKAIGEICREEKEDNLRPTFRPEIFRTVSKLQRLEG ELLERGIEDLTRRRQELLASEVVISYLSQQAAEMGEDFS ACHE_30472A MDPCLAATNPRRPLHNFHRNIPLQREDPTLTTTPADAEDTTDEE IPPQPPLVDINKGIKLNGAGDQGFEDLILARGSPRWFVKTNRRPYDKVVACVLLRAYM LAPEQFRLRSDGFWDYEDEWGVVRELYGKIWPGEEIRRPWGEEEDDGVGEADDIEGSD LMG ACHE_30473A MLTYPTTVRKGLITEPSSAVYHPDAVHILQDDHFLPDLPHDVCF QPQLQSPFQYTPDVHFVSPPSSAPPSPIFSFSSSPASSPSNPVATEYSTAGGHSNNSS FLEAPSMTPTSSYNPSIQIQQSTSPPASQPYLAPSSQYYQQQPYFFDDASMLAQQQPV TNNHGWDSNLQLLSPAHIPANGLPRVPSPNTKNAHRKSSSSSAPVSKPLPTPVQTPIQ NSFLATPFQSYDPSSQNGNSDAEIAMRQAMLEQQQQQRSHQHQRSDHSLAPSVSTVSH NSPVTPQTSYDDIDDASKVMANGEDLSLGVDTWMNEYLQFDPRSEYNNNFNGSTMGIP KLNRTISDIYQDELYNTAIMPASQVSKKPSGNQQMLNPYRNVFADRLQAANQGHLSAR QSINRERSPFRQNSPLAAEFTNVALQPSSQLNTSMPIAQNGVHMPQTTAPGEPKTMSP KDALLDFNEGEDAAMPPLFSNQSDFNLGDALGQLRRESSSSFRQPQNFNTMESYASQY PTAGVPQQYPFVTPQAASQHERRLSQPQPQPQQNNLLQQTPEFPASLPSLESTGSEGL PSTEMSPPEAPAKVKSLSRPANTSSDSGTYTCTYHGCTLRFESPAKLQKHKREAHRQT TPGGHLLTRDVSARNSQAGPHKCERINPSTGKPCNSVFSRPYDLTRHEDTIHNARKQK VRCHLCTEEKTFSRNDALTRHMRVVHPEVDWPGKQRRRGRE ACHE_30474A MVSSVEESQAPVLVGISIGFAVLTFLVISLRLFARVYVLGKMSV DDYLIILACLLAWAFIAATIVCVKYGMGKHVDDVDPNNLMTYAFTVWLSSMFYLACLG FVKTSVLWFYTRLGDRYLTRLSYTMFGVVTAQALANVLTAAFQCQPVAKAWATTLPGH CVDINVFYLCNAALNIFTDVLTYTLPIKVIFNLQIPRKQKIALVFTLSLGLFACVSSI IRITYIPAMLTSPDSTYVISGAMYWSVIETNVGIFAASIPSFKAIASRFLPRIIGEYS GQRKYGPWSNSEEQGRRYGYGSNFSKVRDPHAITLGTMAGDDQDYGAQVHTAYQSNSS EERMIIPEGKILAQTHISTNVEVQRDDSDNLSFDHGRRPS ACHE_30475S MSFGQAVLSQITVAKVILGLLAYVALRIAYQVVYYRFFHSLSAF PGPFWGSVTRLWIAWHNLKETEVPTVYALTKKYGPVVRITPTMLLVNDPKKLPEIYHR HADKTSHYITGSFGESESLFNMRGHKTHAMFRKHVAGPYSFTNMKKMEPLVDARIAQW DGKLKENFAKTGATFDFSWWAVYLVYDIISEIGFGAPFGFIEQGKDIGGLIQGFHDGL PAFGLLARLYPFTNWMKSTFLKKYLVATPADDSGIGVLMRFRDSLIDQRLKDIEDGKK ITRVDLLQTFLEARTEDDKPLDMEYIRAEVLLVLLAGADTTGTAFQAMVQYLLKSPES FKRMMREIDDADDKNLLSAIPQHNEVVEHLPFYVACVKEAMRLCPSAPNIFPRYVSEP GLDLYGKFVAPGNEISCNPYLVQRDPELYGEDAEEFKPERWLDPERAKLYNKYNFGFG YGSRICLGKDIATMELYKGPLQFFRKYDLEVPQDKPAPQFCVKGGVGFWKDVWLNISK RP ACHE_30476A MSEPTKISILGKESIIADFGIWRNYVAKDLISGCPSTTYVLITD TNIGSIYTPGFQKSFEQAAAGNTSSPRLLIYYAPPGEVSKSRQTKADIEDWMLSQSPP CGRDTVVIALGGGVIGDLTGFVAATYMRGVRYAQVPTTLLAMVDSSIGGKTAIDTPLG KNLIGSIWQPSRIYIDLEFLETLPVREFINGMAEVIKTAAISSEEEFTALENNAEVIL NAIRNEVKPGQSRFEGIQDILKARILASARHKAYVVSADEREGGLRNLLNWGHSIGHA IEAILTPQVLHGECVAIGMVKEAELARHVGVLKGVAVARIVKCIAAYGLPTSLKDARI RKMTAGKHCSVEQLLFNMALDKKNDGPKKKVVLLSAIGRTHEPQASVVSNEEIGVVLA PSVEVQPGVTPALNVTCAPPGSKSISNRALVLAALASGTCRVKNLLHSDDTEVMLNAL ERLGAATFSWEDEGEVLVVNGKGGNLEASPSPLYLGNAGTASRFLTSVATLANATTAD SSILTGNNRMKQRPIGDLVDALTTNGAGIEYQEKKGSLPLKIAASGGFAGGPINLAAK VSSQYVSSLLMCAPYAKEPVTLRLVGGKPISQPYIDMTTAMMRSFGIDVQKSTTEEHT YHIPQGRYVNPAEYIVESDASSATYPLSIAAITGTTCTVPNIGSKSLQGDARFAVDVL RPMGCTVEQTDTSTTVTGVPGGALKPLPNVDMEPMTDAFLTASVLAAVAQGDNPNHTT RIYGIANQRVKECNRIQAMKDELAKFGVVCREHDDGLEIDGVNRSTLRQPAGGIFCYD DHRVAFSFSVLSLVAPQSTLILERECVGKTWPGWWDTLRQLFAVRLVGKELTEAESPA LTRAEKASASVYIIGMRGAGKTTTGHWVAQTLNRPFVDLDTELETSEGITIPDMIKQR GWQGFRDAELNLLQRTMKERATGYVFACGGGIVEIPEARKLLTDYHKGKGNVLLVMRD IKQVMDFLSIDQTRPAYVEDMMGVWLRRKPWFQECSNIQYYSQHSGSGALALPSEDFK RFLHVVTGQLDNLSVMKKKKESFFVSLTLPDLRSSGDILSQVSIGSDAVELRVDLLKD PSSDSDIPSVDYVAEQVSFLRSRISLPLIFTIRTQSQGGRFPDDAHDAAMQLYKLALR SGSEFVDLEIAFPDEMLRAVTEMKGHSKIIASHHDPKGELSWANMSWMKFYNRALEYG DVIKLVGVAKNLDDNTSLRKFKTWAEEAHDVPLIAINMGDNGQLSRILNGFMTPVSHP ALPFKAAPGQLSATEIRRGLSLMGEIKAKKFAVFGSPVSASRSPVLHNTLFKYMGLPH EYGRLETTNVEDVKDFIRSPDFGGASVTIPLKLDIMPLLDEVAEAAEIIGAVNTIVPV PNGEGKPARLVGYNTDWQGMQLSLRNAGVGNAAGLASAAVVGGGGTARAAIYALHQMG YSPIYIFGRSPAKLESMVSTFPTSYNIRVVEDISKLDTVPDVAIGTIPGDKPIDPAMR ENLCHLFDRTEQVDPDRVKSIDKSPRVLLEMAYKPAVTPLMQLASDSGWETVPGLEVL VGQGVFQFKHWTDISPPYNDARDAVLGNSSS ACHE_30477A MGVPALFRWLSNKYPKIISSVIEHLPYEVHGEEIPVDTTGPNPN GEEMDNLYLDMNGIVHPCTHPEGKPPPANEQEMMLEIFKYTDRVVNMVRPRKVLMIAV DGVAPRAKMNQQRARRFRSAQEAKEADEKKQEFQKMLAKQNAGKGDYALEEEVIKKTW DSNVITPGTPFMDILAAALRYWIAYKLNTDPAWEKLKIIISDATVPGEGEHKIMEFVR SQRAAPEHDPNTRHVIYGLDADLIMLGLATHEPHFRVLREDVFFQESKPRTCHLCGQP GHKAEECRGQAKEKNGEFDEKEKGNSLKPFIWLNVSILREYLAAELYVPQQGFPFDLE RALDDWVFMCFFVGNDFLPHLPSLDIRENGIDTLIAIWRDNIPAMGGYLTEDGHVDFR KAQLIMQGLAKQEDAIFRRRRQAEERKAANEKRRKQEAEERSRKKRKSSPSYDSSNRS RGGGDAAPPNGLELITPARGELTREARELTHNMVVNRGAVYKANMANKSAAAVLKSKL MGGAQETPAETSEEATSNGEEISNGAEPTSPSVLGKRKAGEEEQEAGAETPSKAAEQP KDDGLPPDTVRLWEPGYADRYYEQKFGVNPEDKAFRRKVARSYAEGLAWVLLYYFQGC PSWTWYYPYHYAPFAADFDDIADMETSFDKGTPFKPFEQLMGVLPASSNHAIPKIFHD LMSDPNSEIIDFYPEDFAVDLNGKKFAWQGVVLLPFIDENRLLAAMEKRYPLLSEDEK IRNTMGHDVLLLSDGHPLYQDLVANFYSKKPGPEKFKLNMRSSEGLAGKVERNESYIP HSALVSDLEQYGMPSLDQDRSLTVNYEIPKSTHIHKSMLLRGVKFPPPALDNADIQAV KSRAQHSGRSFGGAPFRGGGRGGRMDYGSSHRPNPFAAHLDPSFVPPPAGMPSMPMPS GWVPPVPGAGNFSRGPPPPPRGGSNSYYPPYGGAPQQGYPPQGYGQYDYYGRQNQGYG NIYGQNGRQSGYGGGDYRGGGGYRGDRGGYRGPPRGGRDHFPSRGSGGGYGRY ACHE_30478S MFRILESQAPAKQTATDTINTLSSRLQSVTLLEDRRAAILGLRS FAKIYPASVASESLRPLISSLRNDQEDVDTVKVVLETLLNLFSPDESSPEASDEIALW LADEFTQRQDNITTLLDLLETNDFYSRLYSLQLISLISSARPDRTQECIFTAPLGIPR LVSALNDVREPVRNEALVLLIALTPSSEELQKLVAFENTFETLFSLIEAEGALTHGSE VVADCLALLANLLKLNISNQSYFRETGCVKRLAKLLADVNQEQDQDGPSPWILAHRDK NIWGTLAIIQLFLAKGGINTPANQMAFWHSGVMEQVLNLAFGQRFTVNITSKALATCA DLIRGNPGLQEQFGDVEVLWGSEPTSERTPNGEIIVNGFQRINVIEALLKLTLEPASI QQLDARLAACECIKAFFVNHSGIRQHVLRRAIDGHLSGQDEIPNILSVLLTPPESRGN ADLYQTWMASVLMFHLVFEDAEAKSMAMKVTEGDAESGEEVITCVQTIVGHLITGMQR GDDERISVGYLMLLCGWLFEDPDVVNDFLGEGSSIQSLLQETKHRGVSNVLVPGLSTI LLGIIYEFSSKDSPIPRETLHKLLMEQMGRDPYIDKITRFRESPLVRDFEVLPQTVGA TQHDGGMPDIFFDRTFIDFLKDNFSRLLRAIDREPDLEISVITNGVQRGISREMIDSL RSEVEERNQAVQKLEADLHSLKQKLEQEQSELMKAMDSSSVETARIKQINEALQRNHE QELRRLEEQHNQAKNGLLKQHGDQLRAMDNQLKETSADREKRGQQYESEIAELQETIN LLESDIARSKEQQTHESARLQKIIQSLESGLSQSREQQAGEVAQLQKTIKSLEADLAQ ARQQAGEVANLQQMIQSLESDLVSSQEQHAGEVADLRGTIEKLESDAGQAKEQHATGL ADKDKAIQNLQSDMEALKKQHDKEVTDQKATIDSLRSDLENAKKKSTNDTKAIHDEYA AKLSALEKRAEEAERKAEETEAKAKKQAEEASQKSKSADADAKKNAKEFKDLRAELDK TKSQVKDAEQKGGSANADAKKSAKELQDLRAELDKANNRIKEAEQKGDTADAGAEKTA KELESLREELDQAKSQLKEAEQMGEDADADAKKNVQELKDLRAQLEKANTQIKEAEEA SKSSRSELDKAKSEAKEKEEARKAAQSELEDLLIVFGDLEAKREQDKKRLKELGQEVS EAEDDDDDEEEDDDE ACHE_30479S MSVDFPAEEEIVLQRWREINAFRRQVELSEGRKPYTFYDGPPFA TGLPHYGHLLASTIKDIIPRYWSMKGHYVERRFGWDTHGVPIEYEIDKKLGMSGLEAV EKLGIEKYNEECRAIVMRYASEWRETVERLGRWIDFDNDYKTMNTGFMESVWWVFKQL FDKNLVYRGFRVMPYSTALNTPLSNFEAQQNYKDVQDPAVVVTFPLRDDPETCLLAWT TTPWTLPSNTGVAVNPNFEYIKILDEASGKHYILLESLLRTIYKDPKKAKFKIVDRIK GADMKDWKYEPLFDYFWEEFKDHGFRVLNAEYVTADDGTGVVHQSPAFGEDDYNVAVK SGVIDEKRVPPNPVDERGLYTSEVRDFVGQHVKAADKNIIKHLKATGRLIVDSQITHS YPFCWRSDTPLIYRAVPSWFVKIPPIIPDMLKGIEESHWVPSFVKDRRFSSWIQNARD WNISRNRFWGTPLPLWASEDFKEIVAIGSAQELKELSGYEGELTDLHRDKVDNITIPS KQGKGELRRVSEVFDCWFESGSMPYASQHYPFENREQFEKGFPGDFIAEGLDQTRGWF YTLTVLGTHLFGKLPFKNCVVNGIVLAEDGKKMSKRLKNYPDPSLIMKRYGSDSLRLY MINSPVVRAEPLRFKESGVKEIVAKVLLPLWNSYKFFEGQVALIKKAEGIDYVFDPKA GATNTNVMDRWILASCQSLLKFVNEEMAGYRLYTVVPRLLELIDNTTNWYIRFNRKRL KGENGVDDTLHALHTLFEVLFTLVRGLAPFTPFITDTIYQKLLPHIPESLRGEDDRSV HFLPYPEVREELFDEVVERRVARMQKVIEMGRISRERRSIGLKSPLKTLVVIHQNQQY LDDVKFLEPYILEELNVQDLVLSSDEEKYNVQYSVTADWPTLGKKLKKDAQKVKKALP SLTSDDVKRFVNEKKILVDGIELVEGDLVVKRGVKEDATSQGLEPNADADVLTILDAN LYPELAEQGLGREIINRLQRLRKKAGLVPTDDVKMEYVVLSDPDQVGIDKAFESQSQA IVKAVRRPLEKHEGKEPSADEEGMIMQEEQEVQKATFLLRLLKL ACHE_30480A MTARTRPIDKFAKATAKCSIEAAAYGKCILADYTSVHKDMCAKE FLKLKDCYLAASKKS ACHE_30481S MPPNPRQRRAPSYETPSLSDEDVGLLYQIITRAEGKPEAQQTPF RALFTAYDEIIDEHAVDADPGHVCLHFLFKMGSKGISGDTLFDKFENLLQHMGIVIEL GDETETNEYYNQSSVYGGYSGTVTVDYNHRSYPHRDEDTTQPPRRRRASFNSMYDVGD DPTQRSIANRPSSRSSLSRLEVGKTEFPELTPKPSPRHPAAGIRQHDSPDRTQLIAQF LDVGRRLISRFDSLKPNKDNTGDDTQISVGAQAKSAVHKDRSERLSRRQSRKSLSSNS SGEEEEDDDNDDDDESISFHGDESGPIEKPDVPPEMLYRPSLSDLLRDASTFNMYRER GIKRRLLTQWLKKAIQKRQGSVNMENVAINRDRSTLVRQAFEQWRGIIQDKRQAVRTE RFFKHLEQRAGRARDLFLVTKAFTHWAQVTSEEVARTSAARRRILSIKYFNAWREITA VNELKAQRFAMRKPFDTWRKRIQQVKENETTATANHDRDLKNGVYWQWFWGFCDRRAP QWYEYRLKRRSLLCWLRSFRTNRERNHEIEIRNRRLTIGSAWQILTQRSKGIAGAEQE AVFMQRHKLLKESFGEWKIQACLAPAAYRVSDMVDKRVLQSAFTQWVQRAQMGKQARE MDRKRIMRNSWTSWNDKLRCQALSARIDERLKMETMYKWILAERFCLMQRIRDQRIKR DTFSTFVASIRGTYSRLLHHADIHEDYRSEELLRSKFNTWRDRLVLQRQREYAAFEFY APRLQQESLVAWRSKQQNVARLDTRSKDARFYFLATRTIKHWHTATVQSAKRRRQESY GWFRRKVKMRLATRALENWQSWAGHVADLERQAFEFHRNKSLSIASGLFDLWHDQASK RLQDCHEAEMHYARQAAYGQLMRWTELYLENRDLELQAAGLYRVHILGQANASLRKLS LRIFQINSSSETADSLRERNTRKHGRGMFRHWIDQTRTKLEERDSFAPLVTPGRPSRS FDGTTGPRSGRSLFDPWYPVETPFKMSEFATQSQGPDPGPGPSASPLATPNYMASPSK RAARARVLAQASTTPATPLYTPFAGRVLRAEGMAAKNASTRRRNGRGSALGTSVRFVD EEPTSPSEGKRSGNRRTTS ACHE_30482S MRAVLPGRPPAKLQSFSTALWDGLRIVAYISGHALVILGGPQKL LQTIYVDDTETLEAVAVDEATGKIAVCGGPDVFIYQPYGIQHEALKWSLAHTFRCEND DETIRTLSWGSPDELLLGNSHLTLWFLNDTPRLVWKQKLASPVKFAQFSPDATLVVTT GLYDRIVKVWRRLAFGADDARFDVFYLAHPSIITGIHWRKPYHREQSMDNILYTLCAD KKIRVWTATEHLPSSALRLWTQIDMEASVQPRDANEARTMRRYGFVLDSRDFCVATER AVQRGTGNKGNHALEHIIEVANKFPEICVVIDGQGHMSAWALEDVGSKTPPESKAFNI LHVEGLNFSFMSGVSAEEDYTQLCAFQSETSVDSISILAHHFDGRIEWFDSPVDVLFD PAPRKNRISREGSWSGHDGPIKKLVRNAVGDTLVSRTNDSHALVWKQRRRGSGSTLVR QSSLFSEEHIHRSCIIRNGDYLVNLHHNAISLWDVRSFYAERLHVETFELSSKPLCVL PIPTAEKDTRIAYVATIGTDMNGIAWEVRLPTGESQTNGNGYAQQYHLRQFCTFSLNP KEDISYILPVDPAGLEAKAPGSLDVFSPDIALSYTKTGTIRTWTAKVDKGNSQIDWLL TSTVETGINNPSFASGSSIRKAALVDEDRTRLTVWDTSAAQLEYEENFSQQDIIRDLD WTSTPDMQSILAVGFPHKVILLSQLRYDYLDARPSWTQVREIWIRDLTPHPIGDSCWL SNGNLVIGAGNQLFVYDKEVDVGDRLVSELRIPSHGSSHVDMFEVVSRLNGPLPVFHP QFLAQCILGGKMNLVHKILTTLHRKMKFYTEGDHIDSFLEIALEDFYVDQDTPQKASS KELHASLADFTLEDEPSVIDENAAATLNETLSRIALPQLSSQEQFRLVNTIECVATVE KQRRSMDDNAARYLLFFRQHMLRKAQGVANSDTVSWREIVWAFHSESHDILSDLVSRQ VNNKMVWVSARESGVFMWLSDPNAIRAQLEVVARNEYTKTEEKNPIDCSLYYFALRKK NILQGLWRMATWHREQGATQRLLANDFREPRWKTSALKNAYALLGRRRFEYAAAWFLL ADHLRDAAHVCINQVGDLQLAIAITRAYEGDDGPVLKEILEQRVLPEAASDGNRWMAS WAFWMLGRRDMAVRSLISPIETLLTPPPSSFPQITTTNPTTAVPLHAKSYLTNDPALI LLYTHLRSLTLQTLRGASQIPPLTEWSFILRTARLYDRMGCDLLALDLIRHWEFLKGP PEPKAAKDVEGVLGEGGVDFRRMLRRRSSLVVADLPIPVPMPESERVGQEKEGENEEK PKPKPPPTTFTEPDANSLLDSFGF ACHE_30483A MIAFVLLTRSTQMSRIGFRKDDEWRKHMLRVCGNWRVGHSWKMV LRSGRPERRWNMCLSMMLMVFAENRIFQLPWQRIVNSTEAVAASHETLAQKIDEDVER PLRDYSNKNRDLASMPVVQSDLAGLAKNMETAQKKVEKAKEKGPKGADKLASAIHAAE EVTQQWQSRAPFVFEQLQAVDEGRLNHLRDVLTQLGTHEADQVERCREVAESCLNALL NVETADEIKTFAAKVNGGRPVVARRQELSPSVTPTPAAAPPPVHDDGASQHSETTAPT PKVAPPVPEPRHPLGGLKRLGTVMNSRRKSIAQPVGGSFFSDKKNRSPFASFKRGDSR DMQIPESPPPGADRPGTGQDSFGEPARESQDREVLGVVTPPSTEPQPSVNATNGAAYP ETQVAPSAGAGISTHETRVDSEGFTERPQSIDEITRAQREAASLEEQGMNLTIRDQPI FEDERQAKQAMDDMANTLRMRAQHSGVRRNAGTIRGRRDVRNTVFVPNSPVPGQEPSL PAGFNAPIPTSPTLSARHMTSPSNATEDHAMSDTTSIRSGHTHHSVTGSPVHQDLHEP GLNASIIETVSAWFSEGAVIKSSVVGELALAYNQQPEASLNSVRVRLNNFQVLEKVAA NPHFVTEQRDTVQTEEKRGEYNITLPSISRPSPTVAFKYQIHIDPSNSSAYCPIIFKP AWNIEEFQASVILFYSVNPAFTSSTPVDSVIVKNLILTVNLDTTPDETREVAHATNAV MYPNQGATFRRKQSAVTWKIPELEVKPGADGKFLVRFTTSSSWPKQGKVEAKFDVRTA GAGGRLGISSLSDNVEAKEADPFADEDAEPQPSVTWKEVPTARKLVAGKYVSS ACHE_30484S MASDWKKALGFTDRLTAIQSLTTAYQRASSSAAFVEAQSQAKRF ESEAYAQATSKEDYDRMCQEAIDAAEAKESVAPIISSPHQQQEEPWVGGQTIGHYKSC LPHFDGLHSTIYKSKREDGTLVAVKVITPHMLTAPHDAEREVRLLRAAASPHVIALLE TFNFEGGRLILVFPFLKHDFEQLLRRDMLTASQIRSHLRDMFSALAHLHDLGIIHRDI KPSNILLDSPDGPARLADFGIAWKEDDKGSEPDDKKITDVGTTCYRAPEILFGFKGYG IALDLWAAGCVVAEAVAVGHKQLFDSGPVGSDLSLIQSIFVTLGTPDEEVWPEARILP DWGKIEFYKYAGNSWDDILRGASSNGRDLVSKLIRYESSQRYSAAEALVHPYFSAS ACHE_30485S MGQRHSHRDHMGKRDGVHVVYVTMPADFEGEIGGFQTDDQSTTT QRLMGVGPAVQATRTSSSEQTTQTEEAKTETTQQETHKTNKQATKTEQTVTQPLATNK GNAQTQTTLSTATSEGTKTAATNANNNANNQIAAATAGSSSSQQSSASPSTSAEPTSG ALSGGAKAGIAIGVIAAVGVIAGLIFLFMRKKKSQQLREAEDEKVFGNHGAFPPVSPP TPEPEQPRTPAEPPQLDVRPITQFAPFGVGNSDVLTAVTAAGTAAGASAVASRDNQSP PHTPLTSTTTGPRDPFGDPVNPFQIRNEPPSPVGTASSHSLSAKSIPEAPESVPDATD APGPAVATAAGGAVVAAGVAAATADSNKSEKELPAPPEAENAERSAQPAPDAASPSAS RPLAPPEANLSPPNVPAAAPVVAAANAAGPAPGPGPLNVHRVQMDFSPSMDDELGLRV GQLVRLLHEYDDGWALCVRLDRSQQGVAPRSCLSAHPVKPRPRPPPGGPGPRGPPVMG PNGRVMSSQSPRFYPQDGRPGSPARSMFPARPPAGSPPPLQYPQRPMSPSQFSDPRPM PQRSMSPGPYGPPGLQRPQMPVNQRQRSNSASNAVGPAPRPGAEPSPLSAPLTTSPSG TQNVL ACHE_30486S MFSPAVGYMAAEFGESDQTLLAFSVSIFLLGYTFGPLLLAPLSE IYGRRIVLSAANWFFVAWQIGCALAPNLPALIVFRFLAGMGGVGCLTLGAGVIADLFP VEKRGMATSIWAMGPLIGPVVGPICGGFIGEEIGWRWVFWILLIAGGVLSFGIECLNR ETYAPVLLRWKTAKLAKETGRTDLCSAYEADKESASLPDVLRQGMRRPVLLFIKSPIV FLLSTYMAFIYGLLYLFFTTISSVFQENYGFSTGLSGLAYLGIGIGFMTGLAAVAITN DKVVMALTARNNNKYQPEFRLPAMIIFACILPISFFWYGWSADKHVHWIVPIIGMFPF GVGMMGIYMPIQMYIIDCYPTHAASGNATLTATRSLLGALLPLAGPKMFASLGLGWGN SLLGFIALAFLPAPIVFNRYGQRIREKFPLKL ACHE_30487S MSNAATNALTSSEKKRIRDRKAQKTLREKRDAHVKSLEERVAFC ERHHGHERAQAHGTGWTPSPSPSQSQSQSHTATDVQQLLATVENLRRENEGLRMRQER LRSMITEWGVEDAQAGLHNAGLGLNGHSGSNDAMLSLSCNVASASPSTSPLASTGHDY DAPDTRTAASLQDAATPESIHPTLPAWSLTPINEYGHNLFYFPATCPWLSYPDLIASC PPYPSPLDLLHGTRRNYLAAQIHQLIRRRAFRDSECLALGWLAYLFSKWRASPSPETF ARLAPFQRPVAIQLQKGHPAALDLMPWPQLRANLVKNWMKFDFVEFAGYASCCIKVRW PWGEDVLERDEEDGFRVRREFMEVFMEEGGWGLTTEFIQRYPEFVEGMDVENVRFRFE LPDLETLSFRKS ACHE_30488A MNYRTVNMTADASPSRPMGHSPSSSRAPPRTPHSVREDGYERYY EDIDADIEMERYTRATARSFTPRSLIAGIIIGALITFSNTYFGLQTGWISTMAMPSAL IGFSVFKVLSKYLSYPFTPIENVLIQTVAGAVGTMPLGCGFVGVIPALEFLLKDGPDG PAGDGGEGEGGPLRLSFGKLALWSLGVCLFGVVFAVPLRKEVIVREKLKFPSGTASAL MLRVLHRSGQGDRKAKTRARYSSEVQRDEEEDEVVAAPHESEESGTLLRKDHGGDDDG KDWRSKMRLLVGAFGFSGVYTLFSYFVPQVREIPILGLFLAQNWRWTLNPSPAYVGQG IIMGPSTCMHMLVGAVFGWGILSPLAKSRGWATGPVGDWQTGSKGWIVWVSLAIMLAD SIVSLGWLVVKPLVARAPQIKSRILASRFGHLFAKPDKPRHHSHISYAALSPITEVST PVSHQSPPIDHQAGGDAPPSQLISTRTVVILLPLTLILNVICMHSVFGDVMSPLLSSL ATLLAVLLSIMGVRALGETDLNPVSGISKLTQVIFSLATPAGQFSRRTALVTNLLAGA VSESGALQAGDMMQDLKTGHILGASPKAQFYGQMIGSLIGAVLSTAVYKMYVGVYQVP GPMFQTPTAYTWVMTARLVTGQGLPPMAWQASILAGIAFIAITILRIIGASPIANGGQ HGATAPWRAWIPGGIAVAVGMFNEPSFTLARAIGGLIAWWWARKHAKSNKAKDWDLEF EPPTQAATSGRDEPGSGHGHHPSMLLGPRHMVDGRSAEQTAEEADAVSSTIVVLASGL ILGEGILSIVNLLLASGGVPHL ACHE_30489S MNLQTLALLIFHLTPITAISSARVWTHFYPNCPGEPYTDLESYE HHDQVSHEFDIQAGTCQGISVQALEDRRLQYVDHVSVDAELFWTEPFDQCNITVHEIP HCMDPPLIRKEIHNRVGVSECKERRFAPFNEIWVRLECGEVGGPHPYAEYLRPPPHVQ GHGQGHGPLRNVTMGRVGSVGGVSHSRPLEKPKKRWSKLNLPEPVGRKWVG ACHE_30490A MEPDRESRLSVSSLAEGFSPLPIPRARQSLRQSVRPPQPQKPGP DTEKEELRVQVSTLRYELENIKQERDVTALRHEKELRDLQLKADADFRKAQAAESASH RANHKSETLAKELKDVQDNALNEKVGLERKLRTLQDQNQNLQEEISDAQAQQSDQERQ FKYQINELEAIRSSLQQTIETLQSEVESVKNDLHGAQGRLSEQEASVAELETENIHLK AEGSDAETLAVLKRELSDQVNHIRNLETTNREQTVELRHLRKIQRNVEVVEEQKKSLE NQLQLMKGVESELGTVQIQKQILEDERKSWTSLLQDNDESAELDSPDAVVKALLQERI EKATFVDKLGTVEAQFLEKDEMIQALESEKTNLRQELAKVQSAGGAGNMADSRVKARL ERQRALAIKEIEYLRAQLKTFDTEEITMNEESRFDEQKSEQIANLEKIIDEYREELEK AHEELSKREAPRPEEPRGVKRTLSPAESEAENERLSVLSRKNRSLQESLSKSEQGAKL LHQELKAAKSHLRHLQAKSRTRVLELRDNPTAQAENIKLSTLTTLKAENRDLLAQLRG SSLHVKVVPATTLESMKLDIQDMERSVADKEKRMRRLKEIWTAKSSEFREAVASLLGY KLDFLPNGRVRVTSMFHLSPAYRHGDPGASSDSSGPGSMGSGEENSIIFDGENGTMKI SGGPNSLFAMEIKPLIKFWVEERKDIPCFLAAMTLDFYDKTTRAGRI ACHE_30491S MAKDRAEKKEKREKKDKRSEKDGVKKEKKEKKEKKDKTALTNAV EQELTSKVLDGIDQAEKTNGDVEAEVEQMEVDGARPVGALVPFANPLVEDKSAKKVLK SVKKAAVNKCLKRGVKEVVKALRKSPVPAPNESSPLPNGVVVLAGDISPMDVISHIPL LCEDHGIPYVFVTSRAELGNSAATKRPTSVIMVVPKSAAKGKKGEEADDGEDFSQTYE ELAKLVQKEAKKANV ACHE_30492A MAVDYRHGLSIFALLVYIPTLFIALWMAFHHGFTRSAGWIFFAI FSLIQVIGSCCYLATISNPISENLYITWAVCSSIALSPMIQACISILSRVNDSIKRTA SHSIHPFIFKATGTITLIAIILSIVGTTQTTDFMHNMSNSKTKASLVLFLVAWLGLGI LLLLVGARFSSIEAGEHRLLFAVSVSMPLILIRLVYSYLSVFGQRRDFNMFTGNVTIM LVMVVLEQIGVVVVCLGFGLTLDRKEDVVTTSYRAS ACHE_30493S MVYCGPPSKACRACRQRKIGCDQNPRGCRQCARARRPCPGYRDY VNLLFKDQGNWVRKKVGAHSQRQPSPEEKLCSPATAIDETTSLTLTDRVHSQYCTLVL AAPQQDSVSTAPHFISFLTPSIVPDHRDLGIAVFFQQHTQSRPLQEYSDPNPMFLDFA STIFRTSNNQMISACIKAVGLASLANIRSEKQILTQARKEYVESLRLVNNALRVPSEA VQDSTLLGVMLLNFFEQITCVDGHFVHIWTQHVNGAALLVKLRGTHQFTYKVGLQMFQ HICQSLTTNCIQTGLPVPQHIIELRKQCAQYIDSESPIWLIGDTMIKLTLLRSRVASG SNNDDDMDQILQDALDLDLEFKTITTRLSAEMPYHTCVDPSEPQFYKGTYHIYPTVWT LYAWNSLRSGRCLLHQLIRSQMLKGFSAIPPRFLSPDYTSIFQESLENLLQMINDILC SAAQLIGWIQTPNLTPEKDPITAGARLLIWPFYVVIHICTSMGLFATIPGIDKYMMNV LAEVGRQTGILAATLLSSLLADRNLLQFLPKLKQKGFRMVEG ACHE_30494S MPYILDPGNYIITTTPFFHLMGLTVFLLSVFHDIPFLLAPDKPM SAELATDILNVAKPTAAMFPPSILEDMTKSSASMEALSNLRYVFFAGAPLSPEAGNHI IGHTRLINWIGSSESGYMPTLLPEHEEDWTYFNWNPSYGLEMQPRGEGLYELVIPRPA NIEKHAIFHTFPELTAYNTKDLFAPHPTRFGLWKYIGRNDDVLVLSNGEKLNPITMEK IVEGHHLVSRALVIGQSRFQTALLVEPNWHLWNETKPVNELIESIWPTVQEANQAGPA HGRIMKNKIGVASQSKPFGITPKGSTRRRQTIQDYADEIDAIYNSADDGGPEFELPQD ADLSTVTAYLRNIVSHVLDISNQSDQTDFYAAGLDSLQTMHLSNVLRKALQSHQSPDS PRSIASQDIYANPTVELLARLVYGLMRGSVEGGASRTDKINSLLEKYTNDLPAQTQDV HNTSDQHAVILTGSTGSLGNYILSALLQDPTVMKVYCLNRSEAQERQIKSFAEKGLTF GPDAQNRVEFLQASFGAERFGLSADKYTEILQSVDTVIHNAWRVDFNITVDSFEDVHI RSVRRFVDFSLQSTHHAHIHFISSVATIGAWKASNGPAVPSAPIENSDVVLPQGYGES KHIGERICLESSRRSGVPTTIHRVGQIAGPTSEKGLWSRQEWLPTIVATSKSLGKVPD ALGSTPIDWIPVDSLASIMLDLISTRRETQSHSDSRCAVFNLVNPSVTTWDTLLPAIQ AVYPVQPVPITEWIRELEKIENPTAEEIVEKPALKLLDFYRGLVDDEEGALSVPIDVG ATKEASRTMNSLGAISEELMANWLVQWGF ACHE_30495A MNISPRHEGDWLVVIPLAEGATLLSSGARLYKGFAAVVDDCWDY SPNVPMLFLRPKILVPSPIPPRREWISLQLSEKAQDLREEIKALLPQAGTSWDRNTHE ISYSSDELTKKLCELGGDIVLEKRLIWTVGKFPVPTRVINERSWMIIIPLYENTVLTP RGRLEDGCYTWIPDEPVMPADTPVIFCR ACHE_30496A MGNISSAEDRTELDSPIITKLQELEELLRRAGDMEAAGSLKAIL SARESVGDIRDILILEARRRTARVENSRDWFKTRFRSREGILLSDAHVDHLAEITQAW ELSDYNNRTFANDVRTWAANPAEFWGTTATSASFVERCLLDKQAAEKMKFSPIHRRVI LVLLAELVEEEIHRTREGSKTGNSAKLRSQAAWNIITREYPNLPDEELEKKKEKITRA AWYGKSWLRIQNTEAILALPSTNAKKLEKGRLEDIEIEAINAYESTLKLGDRVELKCA YEAIRNEYKARNRGTATATEPPQSPQVPRGRKRNQGFLSIESKRCRGVTQPLPGKPQP PRFFPALPQMSSRSSTESSTNTLTGKLEPESNYVFNSDGSTQTELPSSQDDVNVHQEI LDIFSQIPLAIPFLPREDLSLYS ACHE_30497S MYFSALILFLISLLSITAALPVSGTGSNNENGRAVNTLFSKNGI WVFHDGSRGSLDHISGLGSINIPPPAIDNPCHHKGPLRCPPLTPNPVTSIPHRNNCVK ESNRFGTEGTLDSLWNVERILTPVGILVVMIWLGFVAVGLVAIVDYVWMRWGRGCSGY PGAEVVEVGHEEKAEAN ACHE_30498S MLIHHWNVNSTKCPVLPSPDRFFFCLCVSLVLIPPQTCTMAEAT PQDPRISSDKELESSTPSSGPEAAAKEDAEKQSTRSDGKRELKESDCYEILAFCWPRW KKWMYLAAIAGVQVSMNFNTSVFPNAVKPLSKAFDISEQHARTAQMIYLVTYSIGCEL WAPWSEEFGRWPILQLSMFLINIWQLPAALAPNWGSILVARGLGGISTAGGSVTLGLI ADLYEPDSQQFPLAFIVLSSCIGTSIGGVIGGPIGRFLNWHWFFWIQLMFGGVVQFIM FFMPESRSTIIMDKEAKRRRKTGEDPNIYGPNELKKPRVSLHEAGKIWIRPFYMFVRE PIVLSMSLLSGFSDALIFTFLESFAIVYEQGWGWGVLGQAWAVIPINVAYFLAYFSYF PWFIRDEHIRQTQGNDAIPAERRLKWLLWLAPLEPIGLFGFAWTSFGRERNVHWIGSM IFSTCVGIANYAIYLSSVDYMVAAYGVYSASATGGNAFARDLLAGISAMYATPMYSNI GNKWHVEYASTVLACLSCVVVAPVYVFYWKGPWIREKSKFAQTLASDRKANQGRRVSQ EPAAP ACHE_30499A MLFNIKSAFFLGLIATTYASPISLNDTDVDLENRSLEVRAAVEP MNCGGKTFTEDQIKDSISQAKSTRSLSSPFHQQEGEGQ ACHE_30500S MENSLFNAIKGNFDPDHLPIDEFNILYNLVRYPNASVEEAVEKV VNLTLKGLTTLDDGFAFNLCALIMDIATNTVPAEQANLVEFVSQLQKTSVRNPKTGEQ VRDQDGQCVWQDLPTLGIYVTDFWNFDHHKFHTQAEIMKWENKNAFLAQLTAAAKVDY DDTKSLHPLDFANFGLYALNDTFDGDRDGQKGEQCETAVRAASLWIKIAADRLLAHCK NKRPFDNDDGCEERGFDVERWDEWKQGVIAARDAPWANGETRQLIKDALEQISRAEAR I ACHE_30501A MLMFTRGAEHNDPKNPDPKGCTNDTHMENIDSKPESPSENMSGW LSYIHPIYLLMHWPNFVRIMAIQEPYINRHTDQVTTYSQMLGNRFDLLIKPTSKDTNV ANMPQVCFFVSKALDPTKWAIQHHTKDLNILTLRTRIGPIHIHNAYNPSPVTGQPKPG ACHE_30502A METFNSDSASLSPVMDYMDCTDWTDVAMKFSMVMAVMAVMAETV FCCPFYRCQQYRLLQCCPPLSSAFYSVLCRPVLNSQYKYIPYAQSLRLPILSGILIQE PGGIGSFGLPSHAAKYSFVSGA ACHE_30503S MYVNTSKLATVALATILSANSVLSAPIAGRSSNLLTRDPRGSHG STSHKVSDVTGAISDGTGAIADAMTLQEYLNQKRSPRGHHSGSGSSTGERVSTWTGAL GDITGMGADAATIAEAANNQKRSPRGHHHSSSSSSTGERVSTWTGALGDITGMGADAA TIAEAANNQKRSPKGHGSSHGGSSSSDKALNGLDAAGNIVGIGADAATIAEAANNQKR SPKGHGSSHGGSSSSSSDKALNGLDAAGNIVGIGADATTIAEAANNQKRSPKGHGSSH GGSSSSDKALNGLDAAGNIVGIGADAATIAEAANQKRAPKGHRSSSSGKALDGLDATS NIVGIGADITTIADAANQKRAPKGHGGSSSSSSDKALNGLDAAGNIVGIGADAATIAE AANQKRSPKGHGGSSSSSSDKALNGLDATSNIVGIGADITTIADAANQKRAPKGHGRP SSSSSSDKALNGLDAAGNLVGIGADAATIAEAANQKRSPKGHGSSHGGSSSSDKALNG LDAAGNIVGIGADAATIAEAANNQKRAPKGHGGSSSSGKALNGLDATSNIVGIGADLT TIADAASQ ACHE_30504S MSFLRNFFGTSATAAKDEKSPIRALPASWYTSQSMYELERRSIF SRKWLLTTHKHRVPNAGDWVRYDVVGYDFVILKDQEGNIKAYLNNDLSPPIHVHIDRN GFVWVNLDASEVPEVAWKDDFDGVDEQPRFDHYNFEDYAFDHTWDMEGEFNWKILADN YNECYHCQVAHPDIPKIADLNSYYVKTKDGHIQHYGAQRQDQIEKGFRIATTYFWPNA SFNVSPNFFFMQRFTPISPTRSVMRYEVYRHKNASDEAFNLISDMYKRIMSEDKYLCI NSQKNLNAGVFINGELHPEMEKGPLHFQKTVREVVMEHHKKEQAAGHEIWPAEQVLPE NTNDTVSQDNIITFNTAMDGCSMEKDDLNEPLKGGLVTTTTIAV ACHE_30505A MDRLPALREVVTIDWEGLPKLKTVDLGDGLDKVGNVTLKSTNLT DVSPLATEKVGKWSILDHPSLKVADLPKITTYEEFSATSNNKTLELVLSGVTAAGMTS LDNLKVVNLDSLETTTGTLYILGYLDDLLTFPALRHAAGIKMELEIKDLKFPVLETIH GNFDVRVTDTKISLPALEGVDGDFILNIDNAKNCTAFSDFKVSGSKYYCQSNGTTHHY NMDGSYTNSVPNVSVGVPDNETFGTENGAVGRGGLWAGLSMVVPLLAAMAVVL ACHE_30506S MSSSQAIKAAGHVWLSGQIPADSQGNLITGSMADKTQAIIRNTE AILEEAGSSPDRVVKVVVYAKDASIMPEFSKVYNPAFPHKPARSMAEVSELPAGVDIQ VDFIAVV ACHE_30507A MSASILCARKPALFTTWNYVSRGGRSPIRTVGYRTMATATGKPK EFLCILPDMPNALDIRKKVRPIHYEGIKPLVETGRLVVGEHPAEGEDALFKGSMIVYT GESVEDVREAINGDIYAKSGVWDLEKVQIIPYVSAVRKPMP ACHE_30508A MDLQGQPIGASRSTKVTTDPKAYQQPISEPAGPVSNDSLAAESS THGGAFSQNRGAEPLGVSGGQSTLNNTSTSGATTLPSAAVGTARENLDRQERYPEALG GQGNYPGAHLPQSGYTGGPTAAKQDLNIGSGQYSTHKVGAAGVSASGSGYQSQYNAGQ APSYVADVTEQFGNTKPHGKNIQEGGFDSDPKYNASFNNQVGNRQDPGRAGLNDLQYR VAQSGPDAGGGPRQKGVDNQQPYEALERDQRA ACHE_30509A MTSHTTAQDLRKQETRTALQHNGTVPKDSEVSALKSMVNENTNK SKEIEDHRNNLPLPEQPPTASDWQSADQRTVNVGSGGREGPLSGEYNSALRDPATASS SVRTDGDEWHHSTAPSGNVGRQAKENLDGLPRDALAR ACHE_30510S MPRDPLIGLVGKPSSGKSTTLNSLTDASSKVGNFPFTTIDPQRA IGYLQIECACQRFNVSDRCKPNYGGCYEGRRSVPIELLDVAGLVPGAHEGRGLGNKFL DDLRHADALIHVVDVSGTTDAEGKATRGYDPSADIEWLRSEIVRWVLGNLMQKWGSIK RRHVAIKATAPETLQGQFSGYGSTVQTVIRCLDRIGLKEPLEEWSDETIEKVVRAFID EKFPTVLALNKIDHPDSDKNISKIAKMQDPQSIVLCSAISEVFLRRLAKQNYIKYVEG SEFLDTREDLIDMGEPDGGGLKEMDEKLKTRVENMKDMVLYRFGSTGVVQCLSRAAEL LGLVPVFPVRNLHTFSSGAGTAVFRDCVLVKKNSTVGDVARKVMGDVPISYVEGVGGT RVSEDEIVAVGKHDVLSFKPGR ACHE_30511A MPLPRTRIASLITLPLQTLRTQIRPTTPRPLQKTQPIRPISISG AYNKLFNKWRGVPPQEHAVDRTKHNADTTDPETKASGLGMSERDRKEGVADRSQSQAT TERGGSGYGRKAKEEHPRAPEPVIGMNDERAQRGQ ACHE_30512A MTDKDVAQSPLLLPPEKRARIWLCEFVDREVRHWATYLRNALKR PINKTDTDTEARRQEEERVEKQKKLDNLIDVYRQLISKASSPTSSLSKWWIDLSLRLV NLLRLGTRENSPSHPRRSNTKTAFITLCIGGACSSRVTESLEWGLVG ACHE_30513S MGTEALEEWRLCEPSESRWCFGFHNSCWRLLLLRLGHGQDDYFQ NETTIAESVFYQLYCTPCLEASSFKFGHDYEGAAQTHKSFGRPKAVDLSLHFYADPCA IPSMDDLKATTFGFCKAPDGSLWKEQDGARPTTATVVGIDYHNEGGNCASSPFGSNDD RHLLPFPDDTREERYKKLERPKHHFFEALSPELKYEIFSYLSFDELLNMRLINGTGLG FSFGPGHL ACHE_30514S MATNDNLEVFELPAEPVMLPPSLPAAAIGKSGVNMNDDGRVSID WDSRFVRGFSKLYSRPFLGRPTTPPPGYSELAPQSADDTIQISGPPPAYPESVVEIEK SWKVKLNIVIQVVGSRGDVQPFIALGNELQRYGHRVRLATHDVFESFVRDSGLEFYPI RGDPADLMTYMVKNPGLIPSMESLLAGDIQRKRIMVREMLRGCWRSCIDPDMHTQVPF VADAIIANPPSLAHVHCAQALSIPVHLMFTMPWSSTKAFPHPLANMSSGNADESLKNY VSYGVVDWLTWQGLGDVINEWRRKDLDLEDVATFEGPHLAEILKVPFTYCWSPALVPK PLDWPSYIDVCGFFFRDTPKYEPPADLKAFLASGPPPVYIGFGSIVLEDPERITATVI NAVNAVGARAVVSKGWSNLGGAHHDNIYYIGDCPHEWLFNHVAAVVHHGGAGTTACGL RYSKPTLIVPFFGDQPFWGAMVAAAGAGPAPIPHKQLTVDALAAGIRYCLSEQAAAAA STIAHKMSSESGVHAAVASFHRNLPLDRLQCELYPSQPAVWSVSVPKSRQKLELSKFA AEALVADGLIDQKNLTIHPIKPLLIENRRWDPITGGASAVMHTTTDLTGSLLGTFYKP VQEYQDYHKRRKDRRSSSMSIQTSHMPTSSSSAGSTSTNIVKGKVAAGAGAASSNTKP RSDQGGGKLFARMAGASAKSLGSFVPTALKGMTVDIPLALTEGLRNIPRFHGEEPRDH GPVTDIKSGFAVAGNGFVWGMTESVSDIVVKPYQGMQQDGAKGAVKGVGKGMSNMVSK SGFAMFGVLIYPSAGIAKSLHASIHSRRRKLIVKARRGEGVWMLESGQYTDTDRDQVV TGFRGISKGKKRG ACHE_30515A MAQCDPLSRADRGALDMSPALENKEIEIHVKLRTSNTSPEHIPT VMDQRAWLTQAWRWITTPRGFFITIYGLNIVAWGGMLFLLICNAAPAMCHPSCNDRYS SRRIWIEITSQILNGLFCVTGFGLAPWRFRDLYWWCCWRMSGRDREKSLAGITRLASI HQAWYRLPIVVSCVGCDGDCGCEQNKSATTEALDLSINGACAPATATWKMDFVVWCNM WNTIFQGCLTGCMLGMNRFNRPSWTTGLFVALACVVAGAAGYMVFRETRRVARSTETK TTDSAVAEKGENCV ACHE_30516S MMSLNPKRSMVWRRVIPDLAVSHPYLMHLLLALGGIHMITEQTG QRRGERDAVDLLVVMDHHQRGLRGFREEVARISNANAEAVYAGSLLLVAFVYASLQVP ELNPFATTLNQQPPGPICKPHLSWLHLVRGVPTVIRDQWPALKASRMRPMVLHFHGAD YWDDLPFVSSLSNLDHCSPRFLSFAQGTCQAIADLRASCDAIQPPDSNGLRLTPGSSP ASSDWAGYAPSGAIDVLETVYSRVVAVLKCSVSERGSPDDSDIQANLEEATVQSWPSL VSDDFIALLDMGEPVDLGWGLSLVILAHFYVVNTLMDCWFLAGFKEEVLKIQTAVSNL HNAQLSQLMMWPVKVATT ACHE_30517S MFLKYQSALKALDIQALFWDMEPDYECRELEQNMAHHRDPNVPR HLNAAGLAEFEQDDEIRALNEKIAELGKRIGGQFETHKDLVLERNQLYSRKAKRLRKK RAEFVKQWWDTAYDEYVAGNDFTERDLTCLFDIYRKYMPERSRIQEALFKEVPLDSEE GKQCLRDMISLCTSTEKVAYYPRINPIDGHCLV ACHE_30518A MGSIGQTNGIPNDIVDLTVLGLNSGTSMDGIDCALCHFYQETPE SPVCFELLKYGEIDLEPTIKKRVMNIILHNQSSPSELSEVNVTLGETFASAVKEFCHN HSVDISTIDVLGSHGQTIWLLSMPEEGEVKSALTMAEGSILASRTGITSVTDFRVSDQ AAGRQGAPLIAFFDALLLHHPTKLRACQNIGGIANVCFIPPDNKGGVDECYDFDTGPG NVFIDAVVRYYTNGEREYDRDGEMGARGTVDQALVDEFLQHKYFKLNPPKTTGREVFR DTLAFDLIKKAEAKGLSPDDVVATVTRVTAQAIVDHYRRYAPQGMEIAEIFMCGGGAY NPNITNYIQKNYPNTKILMLDDAGVPGGAKEAITFAWQAMEAVVGRSIPVPTQVETRQ EYVLGKVSPGKNYRKVMRQGMLFGAGRDHLSPVKDMINYIDGKVLSNKW ACHE_30519S MGYTTFWKRLSTNQLNLVIHTLSLLCIFFEGYDQGVMGGVNASP YYVTEVGIGKADGTVTDTTHQGGLVSVYYLGCIFGCFVGGWLADRIGRINGLFIGSLF AMVGGALQAAIQSSDFMLVARVVTGIGTGALTGIAPVLVSETSSANHRGGFLAYVFIA NYLGISIAYWVSFGLAFINNGYSDIRWRFLLAFQCVPALIVAIFIKMLPDSPRYLAFV GRNNEARDLLNRVRGHKVCQKEIDREYHEVVANAHDSKPSSPIQFVKILVGRGERPGS SLCRRAWLCLFLQIMGSWTGITAVTAYSPTLLSQAGYSEVTQNGLAGGLNTIGVIGTI INANIVDRIGRRACLMAGSAALFSVNLIAGAVFEGSLQNPGKMAQFAPAAVAMLFLFN LCYAASWGTVAFLVPTEMFPSDLRAQGNGFGIAGWAIGVGMTTLVNPVMFGSITTRSY FLLAGLNLLWIPTVFLFYPETCNRSLESIEALFSTSSPFYWEMEKAYKLHGDAYAERE IEKIEDDGKGELEMYQHREFHETA ACHE_30520S MSHRPRKLQRVSRACDFCHRRSIKCSRGNDPLGRCQNCADFEVF CTFDRAAKRRGVKAKTAENVDQVRLQSNKTCEDFDPSLTAPENDSLPSDDPWSSLNDT WFTAIGENESSALQNSWKAFAISSDQMIKTLVQIYFEIVYPIFPLFHRPSFLEKLDNY EHLKDRGLFASTMAVCALASARARDGALYSDRWHPSQLAKPPSEAFYAAAKDSIPRDL TTARGLEYMRACAILAIASIQNGQTKWMHQYAGIYHTLAGMEGLHDEKLWPKQLNPIE IEERRRLFWSIYTLDIYSSIVWGGIIRYREAHSMVRYPSEVDDEFITNSGYGVPPASP ASTRTDHADITLISRQSVSWIRGWNFTTDLYRILEHAVDFHRRRWSQGNGTMQVWSLF GPASMPEGIVLDHVLTLYSALPSQFRETLPVTGDPAKDLFGFQSANIQATLQLLRMVL FSAEDRGVERKCDVAGELLGVFSKVPVEYLKAISSPLLHHLAGIGCILGSVMEGSLSE ASYQRVRGLLLEMADLLRRLESGLHRATDASQWLTSQVDRIDKYMCTQHIINPNSEAQ QDSSAVSNADSLTGQDFQPEGNSVPPYNHNSSAVVGSTIADYGDQLSLFQLPQELLED WPWPFDSMQTEGMFPLGFE ACHE_30521S MLGVEAEVPSAIGSRSEAEDEEEHEDEEEGEKEGTDGEEASERP PLLMKKNGSVHVTDMRKQVVLMGHKIPCSACGNRNDCCKDMESCEYFHLFQHDMD ACHE_30522A MVQVNRYYLPPTKLIPNSPQPLLHYKGLFSESDFRPETIHTKFH QNGWKTQWIFRYGPTQQSHYHSAVHECMIVLTGTATIRFGVADTDPDLDKNTWAGARE NGGVEINAQSGDVFVIPAGVAHKTYDTTPAAPFSLLTPGRGRGIQAENVEDTLAKVEL TGFTMMGAYPENRGGWDFVIGEEDVGRLKAVWNVAKPEKDPVLGDAPNGLVGLWKDTP ELYAKI ACHE_30523A MSGRGKGGKGLGKGGAKRHRKILRDNIQGITKPAIRRLARRGGV KRISAMIYEETRGVLKTFLEGVIRDAVTYTEHAKRKTVTSLDVVYALKRQGRTLYGFG G ACHE_30524S MARTKQTARKSTGGKAPRKQLASKAARKAAPSTGGVKKPHRYKP GTVALREIRRYQKSTELLIRKLPFQRLVREIAQDFKSDLRFQSSAIGALQESVEAYLV SLFEDTNLCAIHAKRVTIQSKDIQLARRLRGERS ACHE_30525A MMSMGAKETFGSICRIGRNLLTSGKRKPISAVVTRLVPLSQTSS RSFKPAATRYVSYSGQDSIPFFCTGHSYHTLSKSQTAMAHLTREVIVFLIILGCIIFV FIGYGVYALATGLEHESDGYRNPSNEQAQYMREVRERNLGVFAFASRRA ACHE_30526S MDTANEKPEELDLWHNGLFRGQKPLEDYIGLLDKYLVLAPYLLP KDANSPLNWPTLRHQDLNPNNIFISPDSSAISCIIDWQHTAIEPHLLVAQYSQAFEDL DIEQFLELKEPKIPADYETLSAEEQLEADELYGRRLIFYYYRIFNGRLNKVHTQALRD PSFASSSTWWIGLVDNGVETSCR ACHE_30527S MAAADKKPNMTDNIESPMPTKIPWWRLVVDQGVLTQEIIDYPYP GSGTDEDPYVVTWMPNDPRNPMLFSQWKKWTITMLVAIATLAVALVSSAYTGGIAQIE QQFHIGSEVATLGVSLFVLGFAIGPLLWAPLSELFGRQILFIVTYCALTAFNSGAAGS QNIWTLIILRFFAGSFGSSPLTNAGGVIADMFPAKERGIAMSLFAAAPFLGPVLGPII GGFLGMNAGWRWVMGFLGAFSGVVWIIGSLLIPETYAPVLLRRRAEKLTKRSGKIYRS KLEIDQGKVDLKESFKVALSRPWILLFREPIVFLLSLYMAIVYGTLYMLFAAYPIVFE GVRGWNQGVGSLPFLGIMVGMLMAVAYSIWDNKRYIRVQDENGGFAPPEARLAPCLIA SIAIPVGLFWFAWTNYPSIHWMAPIAAGVPFGFGMVLVFLGIMNYLIDAYTIFAASVL AANSVLRSCFGAAFPLFTTYMYQDLGIHWASSIPAFLAVACVPFPFLFYKYGPNIRKS CKYAAQSDAFMRKLQEQAQQPEFDQDEKEEEAFDRTEAPAPESHVSDDSSSEESNVGR LGRLPSMPSMQQIRSHASSRTAGSRKVAYDGNPYDIDRVNTRESFK ACHE_30528S MRPLSNKPLPSSLPIKPRQLCRPIRQQRRYTPEPNKKPHDPQPH TQPQSQNPSFSSSPPHPQPSGTVASSAGASPAPLRARSLREVIYAGPLGRLGRSYARV QERRPYATQLCSSVVVYLCGDLSAQVLFPSEPVKGRESENAEGGGYDPWRTMRHLTVG VGSSIPSYNWFMFLHNNFNFPSKFLSILTKVCVQQAVFTPVFNTYFFSVHSLLSGASL EDTWERLKKALPVSVTNSVKLWPAVTAFSFMYVPPQFRNVFSGIIAVGWQTYLSWLNQ KAAREVEVAEMAAASASASVTVAT ACHE_30529S MSYPENTPHQSTPDHSDYGSDFTPEQEALVDELLAKIATESASV TPSTPPPLPQQPSQLPRNTATEVAVNIPTATQDTVGTQDIEDYYAPQSSPRIPRVLGR ETPGTTWQLYKTTTPWTKAPWAGTSAGPGISPTQNSNNGGAELVEHPNSTEGRTRNRE RDIQREQEWVAAMNYAAAGTGTDGDNPAADTTRSPIERFRRSPNKAFSVSDLISPAWC ELQYWYTLTKYGRKRRTAAMKQGTTIHKTLEDEVHTTVAVEVKTKEDALALRIWNIIQ GLRTLREFGMTRELEVWGLVDGELVNGVIDQLSYECPDSELEASAASYYADVEATRAA LPQYQMTLTDYLLSSSQRGQRLDELSWNQNKEVDWSASPLPPPPPEAFEIPRVYLTDI KTKGSGSIPTVKSTSFRPTSLQLQMYYHMLNRLVTSDDVTIESLAARYNLDPNRSFTD AFVTEVSDINNQFFDAMSPQEFDPDFIPTPEDALKKPFSSPASSSTIPPSGSQDSTTV LFENNNLTNLWRLMKDHLRLTFLPSQGSEIRMAPSIPSEVQPSILDSYSTVISPLLTA RYLSASEPRSLGSRSFLYDPVSMNSYLSDQMSWWKGRRDPRGVEVMEAWKCRICEFRD ECSWRQEKAWMLANRNRGRKRAAA ACHE_30530A MASRVLNTSQYHLKDIALIGLIVAVCIWTVDNILNRWKTKQEAT RPSAPDLEKKPLGSKFKKSDRKPGEWTPSAFKRPTAAPYPNWDVHTTKPIPYRPFRYG PKYFITMGLRSMKWDEWIELDNHYFRYHADKTRRIKERGTKSCMTATEAMDGAIELLE ELCTYLPERYPTMFLKTPTGITNLVTNESFNIVQRPLPEDPMATSARLIQDDLALMIE RPDGDYYLLAGAILLPGFWRLQDKFGMRLSEIHTSGDVPQYREKLEKGMMNFFRRLKP EDPVLRNNYFIQVDDNLAWSDSIGPEDSEEVSWNTAQKDKAIEQHFFRSERQSLRRLP RSGAVVFTIRTYFEPITEIVKEPYVPGRLASAIRSWGEDVSRYKGKEKYEGVLLRYLD RKHEEQVAAGLELDKEDDFRSYPF ACHE_30531A MGNCVNSILATKIVFVLGDIVMPNFGLSKHYRHSNLPILIIRST IIGLAIRHPFPHYGPHGSNPASTFVRIFLSSGSEPMVFHVLQGQTSGTDNLDEAPVD ACHE_30532A MSSAGPPAQAAPRTKKQRAGPAPFYLPLNITLYVCLISNSIAAF LAPIQDCDEVFNFWEPTHYVNYGYGLQTWEYSPVYSIRSWLYISLHALVGKAGSLVFR DKVSAFYVVRFALAVVCASCETRMYSAICRTLSPRIGLLFLMIVAFSPGMFHASTAFL PSSFTMYMSMLGLTAFLDWRGGQKTAQGIMWFGLGAIVGWPFAGALLLPLLVEEFVIG FLAGSLKKVVFSVVDGALRCLVILAAEVAVDYVFFQNFTIVPWNIVAYNIFGGEGRGP EIFGTEPWTFYIRNLLLNFNIWFILAMLAAPLLAFQAIFRSQATNKQTILRTVTLLTP FYMWLSIFIVQPHKEERFMYPAYPFLAINAAIGFHMLLSFIGSSNPKELMGRVPAQLK LAVVLSMILLSINAGLLRTIGIITAYNAPLKVLEPLEKTEVQAGESVCFGKEWYRFPS SYFLPNDMRAKFIKSEFKGLLPGEFPDAADYPALFNGASQIPTGMNDRNEEDTGKYVD ISQCSYLVDSYFSGREATELEPHYVDDESQWKKLSCREFLDTSQTGLLGRLIWIPDLP IIPDRFRRHWGQYCLLKRRGDNENL ACHE_30533S MNDQQQPGLPVPGTMNETPPVAVTPGEDGSEFYNTPFDAGTPLN KDENKEDIAKDSTITESNTSQTAPLIPGLNLVNVSLHDVQSNSKPNSAQGTEGDTNMS EGNEIANAKPEIRHVAAQDATEPTQVNQAEPAPQAGEAMEVDEKDVQQKQGGVTNEQL NANASSRTPVHATELAPATEIAQKEDDEHPEWEVDSSPYESSSDDTTDSSEDDSDDDE DYPILSPEEQARILMQAELGSDDEGEGKGKSGSHIKTANEILEEAPPIPDVIVTPEMK VVHLGHIQTIVENNALIEANVSGEYQVLEGGSLLCDEQRKVVGVVCETLGRVENPLYT VRYESVAVMEERGISKGQNIYYVEPHSSFVFTQPLKGMKGSDASNFHDEEIAEDEVEF SDDEAEAEYKRKLKQKRQEKKEARHGDGGPARGRRGPPGPSKLNQTELNYDDDAGEDG YTPLARPKNLHEFMGQGEAPVEGDGPSARGSGFRGGRGRGRGSDRGRGGRGRGGPRDQ HHDRRPYPHESQPQSQPQQQPQPQQPYIQAPFQSNQQPAFGMPQQYPGFTFSQQQQPY PQAQSSTPFQLQMQYMQSFQQQANPYQQTAQMPQMPSNSQFNPQLVLAALQQQQAQQQ QYQQQYQQAQAQQQPLSQQVPGPLGQPPTINFDQVRAQLNLLQQWGNGNQGPPRPPQ ACHE_30534A MDVSGNMLKRPHPEDEENNTQKKTRSNDGSPAPTQGGSSGGGVD LSKMLAEAKARADAIRARLGPVKGASPTTTSSAEPTPSSTPPPNPAMSRLEQMKARVA AATEKANAAALQRPRAPPPPSYPPPEMEEDSTPRARGGLDVGLHPALLGDISTDFRGA KGRQSQAKANRRTESPMPTKQGLDLSGPSLEEIKDNPYFDPSLGPKNTVAKPRQSRQL VFNQKGKYMQQAAALRRQAQLEAMKKRIAERTRQAGIDEDLDVEKAFLVPAPPAVEWW DEGLVNGDDYAAIEDEKNVKIGTPDTIVTSYIQHPVLLDPPQEKHMPQQKPMFLTPKE QAKIRRQRRMADLKEQQAKIRLGLEPAPPPKVKKSNLMRVLGEQAVKDPTAVEARVTR EIAERREKHEIMNEERKLSKDERREKLATQQEKDAEKGIHVSVYRIDSLANGRNRFKI SKNAEQHALTGVCVMHPRLNLVIVEGGAHSINAYKKLMLNRIDWGENPGSNGARENNN REPLASWLEAEDDKGNLKDLSTNSCDLLWEGQAKGRAFRKWLGARVCESDSQAKDVLA RGKMENFWVLAKSAKANEF ACHE_30535S MATFARPVASSIGGIDFGVYSNDDVKAISVKRIHNTPTLDTFNN PVPGGLYDPALGAWGDHVCTTCRLNSWSCTGHPGHLELPLHVYNVTFFDQLFRLIRAQ CIYCHRFQMSRVQINAYVCKLRLLQYGLVDEAGVIDSMGTGKTDKKKSKDEGSDSEDE DEEDLIERRNTYVKKCIREAQASGRLKGLMAGAKNPIATEQRRTVVKEFFKDIVSFKK CTNCSGISPGYRRDRYSKIFRKPLPEKARLAMLQAGFQAPNSLILLQQAKSLNLKEKQ ALDNDELHGAEEEVARGNAVVTQIDEQKQATGETQQFMPSPEVHAAMTLLFEKEGEIL NLVYSSRPILKGESKVSADMFFVKNILVPPNKYRPAAAQGPGLIMEAQQNTAFTQILK NCDIINQISKERQSAGSDSVTRMRDYRDLLHAIVQLQDTVNGLIDRDRSGLSGPAAAS APNGIKQILEKKEGLFRKNMMGKRVNFAARSVISPDPNIETNEIGVPLVFAKKLTYPE PVTNHNFWEMKQAVINGPDKYPGAAAIENELGQVTNLKFKSLDERTALANQLLAPSNW RMKGSRNKKVYRHLTTGDVVLMNRQPTLHKPSIMGHRARVLPNERTIRMHYANCNTYN ADFDGDEMNMHFPQNELARSEVMMLADANHQYLVATSGKPLRGLIQDHISMGTWFTCR DSFFDEEDYYQLLYSCLRPENSHTITERIQLVGPTVIRPKRLWTGKQVITTILKNIMP PGRAGLNLKSKSSTPGDRWGEGNEEGTVIFKDGEMLCGILDKKQVGPTAGGLIDSVHE IYGHEIAGRLIGILGRLLTRFLNMRAFTCGIDDLRLTKEGDRVRKEKISQASSIGREV ALKYVTLDQTKVPDEDAELQRRLEEVLRDDDKQGGLDSVSNARTAKLSSEITAACLPK GLVKQFPQNQMQSMTISGAKGSGVNANLISCNLGQQVLEGRRVPVMISGKTLPSFRAF DTNPMAGGYVCGRFLTGIKPQEYYFHAMAGREGLIDTAVKTSRSGYLQRCLIKGMEGL KAEYDTSVREVSDGSIVQFLYGEDGLDITKQVHLKDFDFLASNHRSVLSSVNLTSDFH NIEKSEVTEWHKGVMKKVRKGKSDDAKDPVLAHYHPGGNFGSTSESFSLALNNYEDKN PDKLLRDRKHLVDGVIGKKAFETLMNMKYLKSVVDPGEAVGIVAGQSIGEPSTQMTLN TFHLAGHSAKNVTLGIPRLREIVMTASANIMTPTMTLILNEEIPKDQASRFAKAISKL SIAEVVDKVQVRERIAAGQGYAKAKVYDVEVTFFPPEEYTAEYAINTKDMQNTLQKKF IPKLVKLTRAELKKRIDEKSIKSHSAAQPEIGVSVGTIEQAQGPDREDEPADDDVEDD EDDAKRARGGQNRSNQVSYEGPEDEEVNMVRQQDASEDDEDDEDNSGAPSTKKQDVEM TGAADDDSDTDEQIKREDDIKGKYAEVTQFKFNPNKGISCTVQLQYDISTPKLLLLPL VEDAARNAVIQSIPGLGDCTFVEADPVKGEPAHVITDGVNLLAMRDYQDIIKPHSLYT NSIHHMLKLYGVEAARASIVREMSEVFEGHSISVDNRHLNLIGDVMTQSGDFRAFNRN GLVKDSSSPLAKMSFETTVGFLKDAVLERDFDNLASPSSRIVAGRSGTVGTGGFDVLA PVA ACHE_30536A MKFFIDNLPVLFPYPRIYPEQYAYMCDLKKTLDAGGHCVLEMPS GTGKTVSLLSLIIAYQQHYPEHRKLIYCSRTMSEIEKALSELKALMKFRAQQLGYTED FRALGLTSRKNLCLHPSVKREKSGTVVDARCRSLTAGFVKEKKEKGEDVDLCTYHENL DLLEPHNLVPPGVFTLDGLLRYGEEHKQCPYFSARRMMPYCNVIIYSYHYLLDPKIAE RVSRELSKDCIVVFDEAHNIDNVCIESLSIDITEDSLRKATRGANNLERKIQDMKSSD AEKLQNEYSKLVEGLREAEQAREEEQFISNPVLPDDLLTEAVPGNIRRAEHFVAFLKR FIEYLKTRMKVTHTISETPPSFLTHVKDLTFIERKPLRFCAERLTSLVRTLELINIED YQPLQEVATFATLVATYDKGFLLILEPFESEAATVPNPILHFTCLDAAIAIKPVFDRF SSVIITSGTLSPLEMYPKMLGFTTVMQESYSMTLARRSFLPMVVTRGSDQAQISSSFQ IRNDPGVVRNYGNLLLEFSRITPDGIVVFFPSYLYMESIISMWQGMGILDSIWNYKLI LVETPDAQESSLALETYRTACCNGRGAILLCVARGKVSEGIDFDHHYGRAVLCIGVPF QYTESRILKARLEFLRENYRIRENDFLSFDAMRHAAQCLGRVLRGKDDYGVMVLADRR FQKKRTQLPKWISQAMLESETNLSTDMSVATAKNFLRTMAQPFKARDQEGISTWSLAD LERHKEKQRAEEDRARREEELANAHQMNGGQNDGVVREEFDDDIDEDLMMMDAE ACHE_30537S MSKKFKSQASSSRAAASTFGSFGGFSSTFSGAGREPSSLTYVAE PPDLSRISEPQLAIAFKNFLKKDEVTRTKSLDDLNDYISSVEARSGTLDDGFLEAWIK IYPRASIDLARRARQLAHTIQGTVASLVGKRIARFLPKVIGAWIAGLYDNDRPVQRSA VESFTRVFTTDEKRLGVWKIYQSSILEFVDDVILQQTPQTLSDERTVKSDDSQAKYGR VAATAILLLNRIIGNTPREELEKDIPAIEVLLSSKSLWSLCSNEDPFVRRSLYALLRS AVAKIPEELDWKIISAAVIGKSLSMAQIGASTELAESLLQTTSARPQLWTEDYAGKTS PTKRLLQYIQKGSQGGQSSFWPNTYQLLQAVPLKTLADIRPETTTENGIGLSSAIALM EAFKEGLSSREEPRVNQTSGWKSYIDTGIWLAKIIPEAERTKLVQERLSPILVQHVKA DPAQSQWSLPPQLAQSVCADYIVTLAKDGYGSELRLLWMGLSDSLLESVKLSSPEQSK DFQASQDAISSQAQRLHSLESAVLMRVSATEYEARISKTFEATGLSLLDSCLQVLRTR NGKPYGAAAVVEESVRHIPQIARHSKELLKFVQEDAPELLFSPSADRIITIILSCRSW HGYGSSFEKIIEQVIQLEPEQSNPLVLQRLLATLDFKEIGDKSGLNLLIMRALDRACK GSRFHWPIIIAVLQNPTSHGELTDSIFLSIIDSLSTEDKVIDTLNGLSQIATSIPAAI MKFQSGANGSKLAGKLLFLSESGDEEVGDLAESLTSTLKQTVVDETSNKSNVEILQHN FDHVNEESLSIESLLSIAEELLQNLTPEEASQTTKSVFPSAQSWEKALGPFLQLPPRS STAITNPIGGTVHLIDSELPETFWEQYNSISRDASHCSAAFRLSYFATKILSSFAVTE HLGAEELEALFYNLPLAIQLIEDDLSIEKCNGITGIQLVEQREEYLEIVKEGRKVIYE WIQSNTEIGGDPLSSRLIAFWISKLDKLDDNSPVSYRIGEAFVKVMAGAESSKTSKSP EDVTQLCRNTRTANAIYSAAWMSVLRQAVISNPAGTRLCNELVADSTGLKVEDEQKDG LQKLALLNLLFAEGQGVASSIPTQRLVFLVKHLISCLQSEIKSHGLRAEIMRTLTFVL PCLNEIYGSHWEDSMEILSSTWRETGGGDEGLPVLETSFRLFACLKLIVDDEESNDDV KDAWSDRRTSLFNDLTSTLKKFDSSTTFHKPRDITVELLCSLLNTIPIKSLEDVNKIF PLLTAKSRAVQRAAYIVLHRYIPEVQEQVSFDVALSKSTARLPLELLSLLLEAPTMES INTSDGEDKMWTGIRSYLLSWKVVFDHFTNASFALQENYVASIKENDSLIPLLEFIFD FLQQSHGKIVDATKFNIRTFEPDQAETAEKETQWLLIHLYFLCLRHLANMTKNWWIDA KKRIKGPVEVWTEKYISPLIIEDSLRGVSDWVSTQDPNEERALAVKISPKTAEIIASI EVDEESPPVAISLSLPPAYPLQPALVVSRSRVLVDERKWKSWLLTIQGVIMFANGNLE DGLMAFRRNVQGALKGQSECAICYSVISTDMQTPNKRCATCKNTFHSVCLFRWFKSSN QSTCPLCRNNFVYV ACHE_30538S MSAPQIPNLNTLRRRGGPGRLRSRGGGVGPDASSASKDSVVQNT DNDASVSRLSAVRLGYLEDVFAQSLTPAGLETRRFPIINRGTYVRTTAIDHLVSRFVE SHPEQHTKRQIISLGAGTDTRAFRLFSSKTATHSDLIYHEIDFPVNTAAKIRAIRSSP ALQGALRNDSSPGISNDVNISDTGDALHSPRYHIHPLDLRSLSRSTPDPITTLQDLDP TLPTLLISECCLIYLPPDQADSVVHHFTTTIFPPTTPLGLLIYEPIRPDDPFGRTMVS NLATRGIHLQTLNKYASLDAQRRRLREQGFEGGQAAADIDFIWERWVSEEEKERVASL EMLDEMEEWRLLAGHYCVAWGWRGDVFHCWGGIEGQE ACHE_30539S MRFDMTSSRAFALLCLFAGAEATHHNHHAHDHIHSDGRMKESLV KKSGKCKFPSDAGLVAITPGAVNEGWAMSPDESCAPGNYCPYACPPGQMSMQWDPKAT SYSYPMSMNGGLYCDEDGEIQKPFPDRPYCEDGTGAVGVQNKCRSPVSFCQTVLPGNE AMLIPTLVNELATLAVPDPDYWCETSAHFYINPPGVQSEIACAWGTSSNPYGNWSPYV VGANTDKDGHTFVKLGWNPVYLEPATPFRNIVPNFGVEIQCEGGNCEGLPCKIDPSTN DVNEITGETSTDGAGGGSFCVVTVPKGEKANIVVWEKDFIGGDDDTSSTTVAIPTSTA SSTSSTSTSTSSSTSSTASTTTQQPSNTPFLSLDLGISIGMSTSVRSASATYTYEPHV FIESGTAATVSATPAVQTANPSPTAQDSTEDSDNGAATTTVSLFVVMLGVCAAVLQL ACHE_30540S MPPSVQTTKLISSLRLVIPRLRLLQKKDTASSKVQRRELAQLLE EGRDASARIRVENVISTDTAVEVMEMVELYCELLLARANVLDQVAFGEKGVRARSRAR EEKARSEVGKRQGVGTGAGAAPKDIKGGDSGSSSRSLFGFPFFGGGQQKQKSEDAQSS LESTKDEDLSPEELCYIDPALDEAAAVVFYAWPRFPHDVRELTIVRTMLADRYGKDFM SLAQENKVEHVKVPERVAKSLRVRPPTQDLVESYLKEIAKAYGISWGEEQQQEDLGSA PEFVDDRPSTPHDQQQDGGNGDNPEERTRRASEANELSKATPPRRPVQSGKSPVSVAP PGPRTDNLHPRVKVPDPSSSGDSNNTANKSTPDTSSAEKDPNRIPEVDELSRRFADLR RKP ACHE_30541A MPRISVSGTTTNRRLSSPLVSPLPTTPAGSSQLHVNRDRNARHS RNTSWSTGRDILSPAVGEFSTTFESSTGSSTTQQRRRRESNYSLASVSERGGDGGNDP DADNDNDYDADETMALRNTSMDWKGHARRRSQSQGQQELPILEPHPSTSATNTPLNPS GPSSSFVSGPPPVSSTSRPGPVTWMSLPRKKQLAILGLCRVFDFLQIASLQAYMFYQL KSFDQDLSDSDVSTQAGILQGAFTAAQFATAIPWGRVADAEWGGRKFVLLVGLLGTAV SCLGVAFSTSFAQAVFWRSFGGAINGTVGIIRTAIAENVKEKKYHSRAFLILPIGFNI ASLFGPVMGGMLSDPVTSYPTLFGQNSSFGGQSGVQWLVKYPYALPMLANAIFLSSCA VFVAIGFEETLDACKGKPGLGVFAMRLFSRVIKMVVPSSSPLYSRLPFRDYDEEGPLL DRPLDRTESYEMEEKAKPARPARVLSFRNIWTKNVLCTLFAQAFFDFQMGAFNNLWLL FLSTPRYDPNDPASLPQKLPFIFTGGLGMLPQSVGFATAILGVIGMLLQFTIYPTING RLGTAKSYQYFLSLFPLAYAFAPYIALAPSSTPPPDQANGVWVWFSIIVVLFLQVTAR TFTLPTSIILLNNCSPHPSVLGTIHGIGQSVSSAFRTIGPIFSGSWYGYGLEIGTVGF AWWLIALVSVFGCVAAIFVYEGSGHEILLPGEEEEMQ ACHE_30542A MFAFNFLAGGLSPLLVAFLLACYTAVAEEVSSFKSRPDLFAPTF TVEVSDPRKLSPGFIFVGPYEKAYSPGPYLYDNYGNLVWSGWGNSGPGNAHGLHVCRY KGADHLCFFQGNQQKGYCRGHGVIMDNQYRIVRSVQPGGGMASSDMHEFKLINNGKSA LMTVYQQRQFDMSPWNVRQGLGWVMESIFQEIDVETGKTLFEWRSLDHVDPSLSYTYP DHTDTSGTGLSPFAPWDYFHINSIDKNADGDYLISSRHTCAIYKISGKDGSIIWQLHG QNPSFTNINFSFSQQHDARWLSENATHTYLSLYNNGYNGFNQTHQYSSGMLILIDHVD MTATQLRDYMPPGKSMLSSSQGNMQVLNNSHVFMGWGNNAYVSEHDADGNLVFWGFLS QDLGFMNYRAQKFEWDANPTDVPALWSYSRSTEPYSPTTLYVSWNGATRVKFWRFYGS MNSTGPYTLLDELPKAGFETAYTDMNFYPWTHAEAVDGHGKVLGKSPNTFTFVPSPEL QGYCTENDCGNALGYGFPDDPNTRPLIPPMGVNTVPWVDPDNPEKLFDWGVSGGQPEE TSSADAASGSVYDYTNWAVGAVVVLIGAVAVQLIVSCCRRPRRGDQTYRDRRDSMDTL DDMSEDEPKPSETGERRRWWDWRTEDYLPLQERERRGR ACHE_30543A MSLTYSDNLAPQPITDVFTSDTCIDRRKCHRTVPMKVLALGVGR TGTASLRIALERLGYLKCYHMMSASMENPPDCLMWHDALLAKYDGVGEFGRKEWDQLL GDCQAVCDWPACAFAKELIEAYPNAKVILTTRDVDSWHASVMKTVWWRVSDWEHSFVS NFSWAAGMYYPMLSKFFQTFFRGDFPNKGKQVYEDHVAEIRSMVPPERLLEYQIGDGW APLCEFLGEDIPDTQFPRGNDMADFFKRCRGRNRRQMLNAALQAFTMGGTILATGLAA TMAFKRFAR ACHE_30544A MVLSFILVQNRQGKTRLAKWYAPYSDEEKVKLKGEVHRLVAPRD QKYQSNFVEFKRSTKVVYRRYAGLFFCVCVDANDNELAYLEAIHFFVEVLDQFFGNVC ELDLVFNFYKVYAILDEVFLAGEIEETSKQVVLTRLEHLDKLE ACHE_30545A MPVYLLYGFRWPRAGFTGIRVYIVLNNLENATAEYLQQPETTRL LLESFKKNESNIVARLPDLRFIEQYDPEDITSETAVSQPYAYVAARVIEMPDPNTPGN NICWDMEELNKDSGLSEEASNAITEFRDKYAAGEKLGWYVVYNGDPERYFPETDEETV EDDDEDMMDEEYTSNDIGSNNNFGEPERPKTFSGSRFSEKITRLFKKNSNSTP ACHE_30546A MLYLVGLGLADETDITVKGLEAVKKAERVYLEAYTSILLVEKEK LEAFYGRPVIVADRELVESGSDEILADADKTDVAFLVVGDPFGATTHTDLVLRARELG IESKVIPNASIMSGIGCTGLQLYNFGQTVSMVFFTENWKPSSYYDRVKENVDLGLHTL VLLDIKVKEPSLENLARGRMIYEPPRYMTVAQCASQMLETEEERKGGAFGPDSLAVGA ARVGAADQRLVAGTLKELAEVDMGRPLHSLVLLGRKTHDLERDYIREFAVDKATFDAC WQKAYGASS ACHE_30547S MSDRTPKYRQEIQQMMFVSGETAEPSVETTTLIEEIVRQQVIEI LIRSTALATRRGVRSISTDDLIFLIRHDKAKVSRLRTFLSWKDVRKNVKDSDDKGGAD AADFAGADDPLAAGAVAGPQDMASKPKNKRAKVGLVWDVNSFYSVQVPERDDEEDEEE EEQNYATLQRLAAADERTKHMTKEEYVFWSECRQASFTYRKSKRFREWAGFGIVTESK PNDDIVDILGFLTFEIVQTLTEEALKVKEREDHEKNRRGPAVNGGENPKKRKRETGLF DPPEEGRTPVEPRHIREAYRKLQATPNRQVAMLLHNGRIPSRFPLRLI ACHE_30548A MTDSISTTNRNAAVDPYKAHNYEDLPLDQKINDLVSFVQGIKYG MLTTKLSNDSDLLASRCMALAGQENGGIDLLFHTNLFSGKTMDLTVHPTETNMSFLDP VSGAWASISGTAHIIGDPKVVEKYYSPVLKTWLGDMGDGVHDGGPADPRIGVIKLEAK LATYTLARKGIVGRAVDEVRGVVKGDVPAINKIREVSQSELAEWRRTHK ACHE_30549S MTGKQPTAAPSSDTAFRKTWDREEYAKKAADDETRRKEEGKARY EAKLLGKKWHAPVDYSTLEATTSRKNRLDVASMVGKTTIVPSGSAVGKRGRGAGFYCS DCDLTFKDNLQLVEHLNSKQHLIATGQSGEVVQAGVEDVRLRLRMLAHQRRVKAEEDR RVWQLDLGERLKEREEQDAKEREEKRRKRNEKRRKGGENGIKQEESWEGRLGIIA ACHE_30550A MSQEMETLEARLASFEAVLQPGRRRNSSAKSTKPITWPHIRPSP AELAHAGFYYEPYETNPDNTRCFLCESALDGWEEEDNPITEHLTLSQNCGWAIMKDIE RHSSNPAEIEDPTSEKISGARSATFGLYWPHDGKKGWICQSEKMVAGGWYFCANEESN DLASCAYCKLSLDGWEPKDDPYEEHYRRSSDCSFFVFAQNPNKKTKASRSKKSRTSKA SRLSTQSTASEAPTVDLEDQVDEILVSETSSKTKNTKKSSRSKAKTTKSKEADTVDTD DQMDVDGTAYEDPEPPKPKRATRGKKRTSEEVSQSYGNEVDTETTEQPEPAPKRRATR TRNSTIQQDYGASNDVTTAVTEERSEVAPQEEEPKKGRRTKKNASTKGRQVSEVSASK TPPTSRTPDDAELDAALEADLEADPPSPEEKRAETTTKQTSKKLKSSKKSKPSPEAQN PTDTPEHDERQNIPDEIPEEDQVEPVETEPRTQAKSSKSSGKRKAKGSKSQEKVSKSR ESPRIEREDAEATAPKRHGPLVSVEISTKKPERLTEAEPPSDEEAPKPKKASAGKSTK PKKQSESPAKPPEPEPEPEQEEEDEGHIEDVQDQEPAQVGSPERSEATPEQEPEHEKQ PEDLSARRSSRRSSGIPPKTIERYSDIPQEKQFAKSLTDSHTSDTPVQQKNTRQFERP ASGSISPFPSTPRESASLSPQSSDAENQPPSTKPSASRNFATSPSKQPSERTPLAVGT PSPSKRNANAGGLKTSRPWTPIDIEGILFARDGDKENASPGGKASGSLTSPEKKMTVE EWILWNAKNGEERLKQECERLVSQFEKEGGRAMRVLEGIECID ACHE_30551S MREVTPLLLNPGRLRSSFPPSSRCYRFPVSRRSISSGLRSTTPS NGILLSGRNAIQHRGGYSALKSVDRELGLPLFSASFSTSPRLSEPDWDANPNLDISAF SELPTKDFGVNQHMVINQEFKEALRQILWQFRAPIRYAFAYGSGVFPQSGSAPGSDGC HPSAPAAIKNMQQGKGKMIDFIFGVSYSQHWHSLNLHQHRDHYSGLGSLGSYVVSQVQ DKFGAGVYFNPYITVNGTLIKYGVVNLDTLCKDLSNWDTMYLAGRLQKPVKILRDHPQ VRLANQINLLSALRVALLLLPSEFSEFELYNTIAGLSYMGDIRMSLPAEDPQKVRNIV SGQMANFRRLYAPLIENLPNVVFDDPRCTQRDWIDDPETNVRISQDMDPVKRGNMVSR LPPAFREKLYFQYQARYQIPRAEFEKMKEENSSKEPETFRRRQGGPFERRIADDSSLK HEVETSIMKTIRWPSTVQSAKGPITAGFGKSWRYMREKQKKYKGSGSHSAASKPDERP SEAESQKPKPKQE ACHE_30552S MPFDLSKCARKNILKLEPYRCARDDYKDDGTNVLLDANENAYGP GLALNAEGALQQSTVNGDATGSSKPDIDFLGLNRYPDPHQVELKQLFCNVRNTHVHTQ KNITADNLFVGVGSDEAIDALLRCFCVPGNDKILTCPPTYGMYAVSADVNDVDIVKVP LDAANGFQLQPEKINETLAADESIKMVYICSPGNPTANLIRKEDVQKVLEHPTWNGVV VVDEAYIDFAPEGSSLAEWVAEWPNLVVMQTLSKAFGLAGIRLGVAFTSPPIARLLNS LKAPYNISSPTSAIAKAALSPNNMSVMRNYREQIVTQRNRLLAELPKIPGVGRFLGGY DANFLLVELLDKPDGQASNKIALATYEAMAEKRGVVVRFRGKELGCEGALRVTVGTEK EVTKFLYELRVVLEGLYKGADIGSLREEVNKAEGN ACHE_30553A MDEDYSTGSADADREMTRLWRTWRTVFEMLQDRGYEVTEEEVQI PLHEFKSKYSDPLGYPDRNKMKVSARPTEAMQAKYTPLPSKSNPDPQPDCGTVYVEFC PDASGVGTKQVRAFNHFVDENNFHTGVFITQTPISPSAVRLLSGIPGRICEHFQEQDL LVNITRHELVPKHVLLSPEEKAKLLERYRLKESQLPRMQVSDPVARYLGLRRGQVVKI IRKSETAGRYASYRWVI ACHE_30554S MAAAANPAPAVDQKVKPPKPDEEAFKSSLAAAEKEHSAVQEKLN QIKAKIETAKPNNQDSPTVKRQQELQSELSSIRQKQQGFKASRSSTQEKINALDSTLK ARLAEQNNSRSRMSFKNVEDIDREIARLEKQVDSGTMRLVDEKKALSDVSTLRKQRKN FASLNEGQKVIDDLKAQIASLRKTLDTPEAKALSERYTEIQKELDAIKAEKDSVFKNL NSLRDERTKLQGQQREKWSAIKEIKDKYYQGRRAYKEYEDEAWRVRREKAKAQRDAIE HEKKRKVADKKLEEASQPAYSDEIFTAQGLIRHFNPSYDFAALGLDDKKDQGSNFRAG VGRTVDDSDMKGVKIVKKEDREDDYFVGTGGKKGKKGKKGGNANAASGAPAENKFNMN IGIIEEFAKIKVDPPMNQADVPAVIEKLAEKITEWKKNQASKTEEVSLLDTGFLLKSY VLIPAQNIAKAKEEIAKLENETSQADQRTTDDAKKPAQQHSGVNGKASAEAEENQEKD AAADAAEDLQKASLEDKA ACHE_30555S MFFLSFSFFNLQVFSFTSSTSYCHSLLCAVLYAILAPLVSVRQH IANYTTAELSQRQLHSSIATSTTMSYNKPDYPPPSYPAPVHDAGPYPPQQSPSGGGAA NDYYNQGGQGGYYPPQQQHYGSPPPQQGYGYGSPPPPGQPMYYPPQGYPQPQPGYYQG QQDRGGSTGGGICAGIMAALACCCCLDLIF ACHE_30556A MDSISSSSSTPSSPLLPSSSSTITTPLLMLRRLLSETTTNTDTN TNNSTILSATTNNGNSTVHTLHRRYQTVSLPATAYGRLDTSPAPGTVAGIVLGTVAGF LLLLYLLYETFIPKKPIDDAATVEVEEVRRPHPRPRRGWDGWGRGGGGGSYGGSEEGG GDFVDVYEEESVESPRRDPVRERERDRGAGRSWWGWGRGRQAEDRSDDGGTVEVMEEG DGNYAPPPPPMPPPAPMQRERERRKKRKSRRARRTDDPYERGSEDWNAAGGSVD ACHE_30557A MKLLTLCAVASLATQTVGAALKHKLNGFTITEHPDPVKRDLLQK YVTWDDKSLFINGERIMIFSGEFHPYRLPVPSLWLDILQKVKALGFNCISFYTDWALL EGKPGDYRAEGIFALEPFFEAAKEAGIYLLARPGPYVNAESSGGGFPGWLQRVNGTLR TADKGFLDATDNYIATIGAAIAKAQITNGGPVILYQPENEYTNGCCGEKFPDPDYFQY VIDQARDAGIVVPMISNDASPDGHNAPSTGKGAADIYGHDSYPLGFDCANPAIWPEGN LPTNFWALHEEQSPTTPYSLVEFQAGAYDPWGGPGFAACADLVNHEFERVFYKNNFSF RVAIFNLYMIFGGTNWGNLGHPGGYTSYDYGSVLSETRNITREKYSELKLFGNFVKVS PSYLLADPRNQTTVYTNTSSLTVTPLKANGSTSYYVVRHTDYSSQESNSYKLRLATSS GNVTVPQLGGELYLNGRDSKVHVADYDVSGTNIVYSTAEIFTWKQFADSKVLILYGGP GEHHELAIASKSEASIIEGSQSGINSKQVGSNVVISWDVSSIRRIVQVDDLKIFLLDR NTAYNYWVPEIPVEGTTPGYSNEKNTASSIIVKAGYLVRTAYLKDADLHLTADFNATT PIEVIGAPEKAQNLYINNEKISYKVDKNGIWTSEVEYTPPEINLPSLEDLDWKYLDTL PEIQSSYDDSAWPKADKPTTDNDHRPLDTPTSLYSSDYGFHTGYLVYRGSFVAQGNET TFFIRTQGGQAFGSSVWLNQTLLGSWTGLNQDSDNNSTYKLPSLQRGKTYVFTVVVDN MGLDENLDVGADVMKNPRGILNYSLSGRSQDAITWKLTGNLGGEDYQDKVRGPLNEGG LYAERYGFHQPEPPNADWESSSPLGGLSKPGIGFYTTNFDLSIPSGYDVPVYFNFGNA TDSNPAPFRAQLYVNGYQYGKYVSNIGPQTSFPVPEGILNHRGTNWVAVSLWALGEEG AKLSNFELSHEKPVKTGLKEVEAAEQPKYEAREGVY ACHE_30558A MGKRGGKKSGRGGGRGGGRAPRQNYQDIPKQNEKLERYYNELGA IPEEEKDAFWEALRRDLPNSFRFTGSRGHALAVQERLREHYIPEITSIQYEGNYVEPP RPVPWYPDQLAWSMTTPKNVVRRFAPFASFQKFLVAETEVGNISRQEVVSMIPPLLID VKPGMTVLDMCAAPGSKSAQLMELLHAGEEDTMLQAAKEIENGTAGPDPIGPEGLSDD GRTTGLLIANDSDYKRAHMLIHQMKRLNSPNLIVTNHDATMYPSIKLPPLPASDGKPQ KNRYLKFDRILADVPCSGDGTARKNYSVWKEWNPQNALGLHATQARILVRALQMLKVG GRVVYSTCSMNPVENEAIIASAIDRCGGSTNVKIVDVSNELAGLKRAPGLKSWKVMDR EGRMWNNWQEVEEQREKEGISGLGRIAVGMFPPTGQNADIPLERCTRIYPHLQDTGGF FITVLEKLSEIKAKPEDSSKVIPKGTVAALSEELDHKKNGNGEPLEKIEALDDLVAPD AQAQEEAAKNETVAQASHQIPYSATLESSVPVTSTKREPDDEPQLPTKRVKVEDGSEV VMGDRPVHAPPPAAGAELETTVPTEAAQPTVPVESQAQPPPKKKPGQPFEEPFKYLDA NHEELAPIFRFYQASDRFPKDRFLVRNAQAIPTRTIYYSSALARDILTQNEGQGIKFV HCGVKMFVKQDAQRNEVCRWRIQTDGLRLFEPWLGPARSVTLTNKETLRRLLVEMFPK VDGESWKELGEIGERVRDIDMGCSILYIEPNGQEDGLKERMVFPLWRSLHSVNLMLPK EERRAMLLRVFGQDVNLVNTTQKRQNADDSPATATPVTEDNAEPAGVAAATEEQALQD ENLILGQDQQEQMEQRETWTKAGDEEDRFNTTV ACHE_30559A MASRRLAYSFQQALRSRQAINAIKPVRRGFASPVSLPSTTQSTT LPNGFTIATEHSPWAQTSTVGVWIDAGSRAETDKTNGTAHFLEHLAFKGTNKRSQHQL ELEIENMGAHLNAYTSRENTVYYAKSFNSDVPKSVDILADILQNSKLEPGAIERERDV ILREQEEVDKQLEEVVFDHLHATAFQGQPLGRTILGPKENIQTISRDNLVDYIKTNYT ADRMVLVGAGGVPHDQLVRLAEEHFGSLPNKPLTSAAQAIQAEQKRAPEFIGSEVRLR DDTLPSAHIALAVEGVSWKDDDYFTGLVAQAIIGNWDRAMGNSPYLGSKLSSLVNYQG LANSFMSFSTSYSDTGLWGIYLVSDNLTRLDDLIHFTLREWTRLCFNVNAAEVERAKA QLKASMLLSLDGTTAVAEDIGRQIITTGRRFSPEDIERTIGQITEKDVMDFANRKLWD QDVAMSAVGSVEGILDYQRIRNDMTRMTL ACHE_30560A MSILITGASGYVGQELAASLLSSLPDASVILTDVVAPSLPAPAA QHASRAKSVQADLTSTKVVDELIKETNQFETVYLLHGIMSSGSEANFELGIRVNLDAT RYILDRLRTTMPGVKVVFTSSLAVYGRAPPGLVIDETNFPPVPSSSYGSQKLIVETLL NDYSRRGFLDGRAVRLPTVTVRAGKPTQAASSFASDVIREPFNGKKAVLPVDKSVEMW ICSPYTVVKNLLHARTIPKEAFGESRSVNLPGLKVSIQEMLDALEQIGGPERRGLVEE KYDADIDRIVQTWTPDFATARALKLGFSEDISMVENIRQYAASLT ACHE_30561A MAFAPRGRGGPPRGGGRGAPRGGGARGGRGGGAPRGRGGPRGGG RGAPRGGRGGGRGGRGGKPGAKGGAKVIVEPHRHGGIFVARGGKEDLLVTKNLTPGEA VYGEKRIAVETPADDGAITKTEYRVWNPFRSKLAAGVLGGLDDIYMRPGSKVLYLGSA SGTSVSHVADIVGPTGNVYAVEFSHRSGRDLIGMATHRTNVIPIVEDARHPLRYRMLV PMVDCIFADVAQPDQARIVGLNAHMFLKEEGGVIVSVKANCIDSTAKPEVVFAREVQK MREERIKPREQLTLEPFERDHCIVSGIYKRSA ACHE_30562A MRPALFLSASLATSSIAAPTLLRGLTNVLSTLTEPIQDIKQLLD TSTTCDTSRISLPTSGSDLPVPDGQMPIYVAVGRGTQNYSCATSTSDSTPEAIGAVAN LYNVTCIAANDPDMVAKLPNMAYKMPSINNYSSILRAANVDLLGHHFFQGSTPVFNLD TTPAQQYGIAFTKVQKKVDAPPDSVQGDNGAVEWLYLSTISGTVGDYKSVYRVNTAGG AAPKTCENMPSVITVQYAANYYFYGEGS ACHE_30563A MDTFAITEGIVPGSNNQDAEKDTGKLPEGANKFQRAIAAWRGID LAGTIAKLDGTASDIVAQQRDSLVQRKDLAQKTKDFKKLDDASKLAEHKGLLKAYQGF IDVLTNQGKSSSSAFLQLYSSLSEAPDPYPLLEASVDSLVVSEETVPKLTSEKEQLQS SVDRLTSQLENTEKRLEEERAARKKLEENQDNRIKEVESSWSAVLTEKTNNWAAKEKS LEEKVENQDRLLKEVKASYEVSQRLDQDNGGDNSRNGATAAELELVSTDLEKTSLRLA EVEARNEQLRLELAQAVSHPQPEQTSVEDDPSYLRLQSENSSLLRKLDAARFDRDSER HSWETKLSQSERQSSKAAAEKEELRSRLEKMADYEDIRRELEMIKSIEFAAGDDDDAA DLENDTVDAASNGAASKKDGNKNNSLEQLLMARNKKLTDELTILRVSHRDLQGQLETL RNDLTSTKQELEKSQGLSTTLENDLLRMQQEAANAFPTSAMSVAGTYTSRHPRSSRRG ETSPTSSIISGFDQTMTSSNTMDSLRTGEPFGGGSGILPMIQAQRDRFKKKNSELEEE LSKMYDTVKSLRQEIASLQRDNLSLYEKTRYVSAYSRGHGASSSVSAYGNKPNTTSVH LSPDTPSGLSLDRYQSAYEAQISPFAAFRGRESARAYKRMSLPERIVFSLTRIILANR TSRNLFAGYCFALHILLFVILYMMSTVEIEKHSSSSLGAAAAMAGTAAGSGGSSDYVA GGQLRGDDWQPEGFNGA ACHE_30564S MMRPEDDAVSPRKRRRITPPQAAPYILRELLADLPLANDDPNAD VHITCVEYWNDNLYIGTSAAEVLHFVCLPADPSDESSESSFILASRLPIFHSQNSAAS TGQGVQQIILLPSVNKACILCNGTLTFYLLPELSPAFGNTKVSNCRWIGGIDLSRAPD DIEPPALMVALQNRIMLVKIGDDARRIRNIEFPGCLVAARRGTIACAADTHAYSLLEV EHQQKIPLFPISSSNEIFESGHVEEMPTALPVPSKTSPSPPNANSPPVEGQHSQSVGS PSVLQDRSSSVTPEPPPASETPRPSNSQDRDENSEDAPQDPQSNTADKKPLPPLPKPL PTRLKPHVVSASANEFLLVTGTAEKEPGVGMFVNMDGDMERSTINFDRYPESVAIDTR DEDNPIQSVGDGKEERIIAVLEYREDGQLCKRLEVQRLDIDPSEAEKQKKWVEIPLDK DSKPVQVGLRHTISSSQLELSEMGGLLRMVRLKTPSLMPHIPATDPRTQESIEQLQKE KELFESQELTDSEGSRRGGGSSERDWEAERNAEEARFARGLGRGQSSLVMWAGSRIWR IMRNPLTVQLDDVLQKAQVADDGGHQVLDRDIIMDIIQSAQNIEPASEADFLGLNYVK QKASLMLFGDLVFMNQESRSDTVIGATEKALVDGNLDPRMVLILIPLLRKEVLQGPQG IWIQAGLAAIADKYIRQSEETEDSSAKTGAADSSVLDMVKRFLLSWQQKRGYGSITDE TYVFDSVDAALLHLLLEQDSASTAEQRVSSPIRSELNRLVDNWKGNFDRAVKLLESYN RLFILSRLYQSQKMSRNVLKTWRRIIEGEEDIGGEVTPSGAELQMRRYLVKIKDAQLV EEYGSWLAGRNPKLGIQVFADGTSRVKLEPADVVGMLKEQAPNAVQAYLEHLVFFKNY SQYADDLVSYYLDTVLSVLQSSPEARTSLSESYSTYRALRPPKPTYMSFITANIPSEP WWQTRLRLLQLLGGGSSTQFSSMSPTPASLSYSIPTVLARIEPFQDELVSESIILDGL QGRHREALRLLTHGLGDYDSAVRYCLFGGPRSTSSTGALTEFAERPVQTELFRHLLDE FLRIEDPTDRLERTTDLLSRFSAWFDVREVLELVPDDWSVEIMSVFLGRVFRVLVSQR REVKIERALSAGLNLRVGTEYIEGMEKTGGWIEDDEGVRKIRGDGGDGDEKQVPGQID GSDFGNMVDAGAHDNDNVLA ACHE_30565A MDPSQVKIPPMKDLTADNITQNVHTINSLCEDERMKYVLERLVT HLHDFARETRLSTQEWMTGLLFLTEVGKICTDVRQEFILLSDVLGLSLLVDSIDHPKP PGSTEGTVLGPFHTHDAEEITTGDSMSHDPKGEPLLVVCTLKDTAGRPIPNVKIDIWE TDSTGHYDVQYPGRDKPDGRCVMRSGEDGVFWFHAITPVPYPIPHDGPVGKLLKKLHR HPYRPSHMHFMFEKEGYDHLITALYLRNDPYETSDAVFGVKNSLVVDIGRAGPEYARK YGVAEDHALLTYDFVLVSDTETSDLRARNSKEALDKLGRKVKIVNGLPVPDLD ACHE_30566A MSGLTIHHLRRSQSERVIWLCEELNLPYNLVCHGRAPTLQAPES YRALHWAGTAPIIEDKTTSGTTITLAETGAIFEYILTKYANGRLSYPPDHPQYPDYVF WLHRANGSFQPALMSIMYNKLRGGSDKDRVSQVMQRRLRANFEAMERQLGRFRYLAGN ELTAADCVTVFSLTTGRLFIPYGLEEYPNIMRYLERIGEREAFRRAMEKGDPGLTPVL SAEAPRETMWKL ACHE_30567A MESRPAPTEPAPGSASKRPRSPSGDFPPIASKIPKTVSNHLQIN YLARQYPDNLPLVAVDDNMSAILHLIGEYDGVLQRHESIAGNLGACPLGPILIKRFER LFDGPPRVLKSHGKDPNAITWLDVVEFAKNKPEQFNLEKTRNGVRVCQFYTKQCRVEI SEEDFVLIASGMPQKMIPPQPIIEDEEKELGALEILEKNLQQIVSVADQVSARARQLN HRLKNRRTAIIARRENDANLHIPRSLSPVWREANGHGAANAHSPSAGFVAVNAHNSRA EPPLATEDAHLPSPFMFSHSNTENVTIINGTSIKGASPTTRAELMKKFFTTADRQARG YEDPPAAAAAATQMSRSSSSRPRPRASEASEYNGLYNPSPATVAIPNTPSSLLPPPKS NQSEKDDGGPFKMEMVARMEELQRGERIMPPCDRCRRLHMDCLKNLTACMGCTKKHAK CSWKDVKEEELRETRSERPLHEVSVDESSRPLKESVSASSPAAPLPTSTSTSTPAAPV PASIPTPASAPAPTPLLTSDYESVRIQNSNARRESAPTTAHGSLEPSMGPDPSPRRAM SEIHGGAAPNHDQRVNNHRDAPEDDDPDANQRLMQAIWDTVDHHTRGTAPGPALDGKS DVSQEREREREREREHKMVKA ACHE_30568S MSERGSFRGGGGGRGRGGGYARGGQRGGGAGGGAGQPHQQQQER PKKENILDLSKYMDKEVKVKFSGGREVSGTLKGYDQLMNLVLDEVKESMRDDEGNETT RALGLIVARGTLIVLISPADGSEEIANPFVQQEE ACHE_30569S MKILHLLPLIPLSTAYVIPSEEVLEDLNINKISNEKIHFTQKEN IQNALDDTLDSFSTAKPKVGTDSRIDSWINRLKDYALDSLEDEDTDEQGYTKDDEDII DSNLPFPLPHLPFSKHHHHDGNSDKTIYQLISSSKYTTNLTKIIDEDESLVHLLNNTN HNFTLFAPTDHAFAKLPPHLPELSKEFIRAVLHYHLAAGVYSALDVFHHQTLPTLLNE TTSGHELPQRVTVRPGLKGLKVNFYGRLVAVDIAASNGLIHGIDSILLPPPPALSLFT IVPTQFSTLTLGLLKTGLAATLEDADKRVTIFAPSNRAFEHLGLHINAFLFSHHGTKY LRALLQYHIVQNRTLYSDVFYAPDGQVRELGTKGYTHLDLPTLLGENGRPGLAVDVSR FGAYTSIKLNGSRKVAFADALARDGVVHILDHVLVPPCKHKGHVAGEDEGENELTVED LKDRLSDWVVDDVPNDVWDHDGEL ACHE_30570A MASTGKSSTEDSRRGMGSPKPKSRVYPENAKDTLCRNVTIYGRC RYEDKGCAFNHDPQKLNPANNTDSNGNRKRFNVDSPSFTPSLLSTNGSTTPTKKTTAT ISPKAANAAPFQPRSVSSRMPSLCVDQKQNWLADWKKGSNSSTPSSRQDTQTPDWTVA EAQEFVPQGFDAPPMTPLQGTGSNGLATTAAFDPFVTAPAPLPGAGVGTVSGNPYSPD TAAVAATAAALNGAAFFANQSGFQQPVQYHLYAPIGPHNQNTLGYQRNIHDLFLPNDF REELQKKAAATLQMLPNTQLPAQVDYFHSLVPLDLNHQKNAATFGFPSWVYKAQSSKD GNFYALRRLEGFRLTNEKAIRSVQAWKRVCNGSVVTIHDAFTSRSFQDSSLIFVTDYH PLSKTLAEQHLGAGNRFQNRHPAHIPEQVLWSYMTQIANALKAIHSNGLAARIIEPSK ILLTAKNRVRLNACAIMDVVQYEAQRSVMDLQRQDLVNLGQLIVTLGANSPSVMGNPT RAMEHFTRAYTPQLKNSVFWLLNGMQKDQERNIDIFITGISSQLMSTFDSSLHLDDQL TSDLSRELENGRLVRMMTKLNFINERPEYDHDRQWSENGERYFLKLFRDFVFHQVDAQ GDSVVDLGHVLACLNKLDAGSDERITLVSRDEQSCFVVSYKELKKALESSFQALMKPG RRLP ACHE_30571S MSSSGTDISQLSDSERVALETYTAVTSQDVAEAIPLLHRSQWNV QIAVSKFFDGEGPDPVEEARAALDNPPPARPTRQTQNLMSEDLSAGRFSPAVEAAPRV HTQPEQQPVYRPPFLLALLFAPFNLIYRLLTSSFRLFGTLFPFLPRLFNLTANPALQG ARRNTTGRRALSPQDTAGRFIREFEEEYGTHSVDFLENGYNMALEKAHRDLKFLLVVL LSPEHDDTSSWVRDTLLSREVTDYLNDPENGIILWGGNVQDSEAYQVSHSLRCTKFPF ATLIVHTPNVSPSAMSIVSRISGTSSPSEFVEKLRTAISQNREPLERIRAIRAEQQAS RSLREEQDSAYERSLAIDRERARQRREAEAEQRRQEEEAAERQAAEEKRHLDHQQWKR WRAQSIRGEPSTDVKEAVRISVRLTSGERVIRRFSPELDIEELYAFVECYDVLQDAEQ VATGGEKPEGFEHKYGFQLVSPMPRTVYEVEAGGSIRDKIGRGGNLLVETIDDDDNES DGEGEEA ACHE_30572S MSSSKSPSPVSTPVLEVPKFDNRNSPSFSTDDTKVDQRREMGKE DDAEREVTRLAQQLTRQSTHYSTSTNLENPFLDVAEGSTLNPHGDNFKARDWMKNLLA LTARDPERFPQRAAGISFKNLSVHGYGSPTDYQKDVFNSILQIGHLFRLLTGTGKQKI QILRNFDGLVKSGEMLVVLGRPGSGCSTLLKTMAGEMNGIYMDESSHLSYQGIPAKQM RNQFRGEAIYTAETDVHFPQLSVADTLKFAALARCPRNRLPGVSKDQYAEHMRDVVMA MLGLSHTINTQVGNDFVRGVSGGERKRVSIAEATLSGSPIQCWDNSTRGLDSANALEF CKTLNLMTKYADTTVAVAIYQASQSAYNIFDKVTVLYEGRQIYFGRTDEAKKFFTDMG FECPDRQTTADFLTSLTSPSERIVKKGYEGRVPQTPDEFAERWKNSEAHAKLMKEIDE YEQEYPLGGQSVAQFTEARKAMQAKSQRIKSPYTVSVKEQIRLCMIRGFQRLKGDASL TLSQLIGNFIMALIIGSVFYNLQPYTTSFYSRGALLFFAVLLNAFSSALEILTLYAQR PIVEKQARYAMYHPFAEAVASMLCDMPYKILNAIIFNITLYFMTNLRREPGAFFIFLL FSFVTTLTMSMLFRTMAASSRTLSQALVPAAILILGLVIYTGFTIPTRNMLGWSRWMN YINPIAYGFESLMVNEFNNRPFKCPPESMIPTKLQYYLDMPLENKICSTVGAVSGSDI VQGTDYLAQSFQYYASHKWRNLGIMFGFMVFFMATYLIATEYISEAKSKGEVLLFRRG HAPRHQGDDIENTSHAISGEKTDESSGKEVTASIQRQEAIFHWQDVCYDIKIKGEPRR ILDHVDGWVKPGTCTALMGVSGAGKTTLLDVLATRVTMGVVTGEMLVDGRPRDQSFQR KTGYVQQQDLHLHTTTVREALRFSAILRQPAHVSRQEKLDYVEEVIKLLGMDSYADAV VGVPGEGLNVEQRKRLTIGVELAAKPQLLLFLDEPTSGLDSQTSWSILDLIDTLTQHG QAILCTIHQPSAMLFQRFDRLLFLAKGGKTVYFGEIGEESSTLSSYFERNGAPKLPPG ANPAEWMLEVIGAAPGSHSEIDWPAVRRDSPEHQGVLDHLAELKSTLSQKSAGSSNAD PGSYNEFAAPFTVQLWECLVRVFSQYWRSPVYIYSKACLSILTALYIGFSFFQAENSQ QGLQNQMFSVFMLLTIFTNLVQQILPNFCTQRSLYEVRERPSKTYSWQSFMTANILVE IPWNTLMAVIIFICWYYPIGLYRNAEPDDAVHERGALMFLLVWSFLLFTSTFAHMIIA GIELPETGSNLANLLFSLCLIFCGVLATPEQLPGFWIFMYRVSPFTYLVSAMLSTGVS GNNAICENVEFLTFDPPANMTCQSYMQSYIDEAGGYLQDKYATSNCNFCSIDETDNFL KQIHSSYSDAWRNFGLMWVYIVFNIAAAVSIYWLARVPKGKKGIA ACHE_30573A MSIQHITKDTTKRRRFQPPITSFFSASTSTTPTANVNDDDYYNG ATSRVSHYHYSAATFSPTPVVPAKVQSSLLSVGMRVRKSVAEGYKTQKELEKQLAATT TPITTANGTNHAELAPFCGYSKTEDLAVQAFSRPSAMNEHDSVTDENDAYSLPSSSQG SFTSSFNGGQKRSYDSDDMEDDEFEGFYDASNRTILAPSLGQQRRRFIALKNQNRIAG QSMDVDDFEEASFLRRREDVEMDSY ACHE_30574A MKQASTVLALASIVSLAHGHGFISSPKPRMPGSAMESSCGQQIY NNQKADNYGNVQGELQVANGQDDYNAEKCDIWLCKGYKFADNKDNVQSYSAGQTVKFE IDIRAPHTGVANVSVVDTKSNTVIGSPLKSWDKYASTETGVTDDDTNFSVTIPENLGS KCSTAGDCVLQWYWFAESIDQTYESCVDFTVGGSGSGPNSASSAGTTTSAAANNKVAS QPSTMATVAKQQATASAAPAASSGVTIPKDGSSEEQLNWVSNLVRSIVNYGV ACHE_30575A MKRVFTSLTRRASSASRSSSSATTYSDDSPEAVILREVAAFCES GNTSSQGTDYVHLPAIVESAESSPNAAKEAAHRIRKILSDPVKTPGHMQYNAIMLIRI LIDNPGHTFARNLDAKFTSTVKDLLRQGRDMNVQQFLRETLEFMDTQRSWDEDLAALV AMWKKEKERYNKARTFLGAGPTSRGQQSQSQQRGQNYFGAHRPTHGTVLPPPDELAAR VEEARNSSKLLLQFVQSTPPAEILESEIIAEFSHRCQSASRAIQAYINSTNPAPDEDT LLTLIETNDEISVALSKHQRAVLNARKVLGSANNQSPAESGDITASSANTRPVPAPPL PQRNVPIAAESSSPAPVPTPVPTTAPAPAPTANPSAGAGRYEYRSEDFQVQNPFADNN GIYGAPSHYDGNQQTNSYGANGPNGQ ACHE_30576A MLGKLLDIRSQDSTLMKLSTGKQWGVSRARWPVKSAHFLADLLK NAEANADTKGLDTGALIVKHIQVNQAPKGRRRTYRAHGRINPYMTNPCHIELILTEGE EVVQKAPVAKETHLSSRQRGTQIRRALIEA ACHE_30577A MAPAALLIGAITHARKEWEDLSSCLTLKEFPSGTREDFIQNCKN GEYNDVVAIYRSNTSTKFTGPFNAEMLSVLPSSLKYICHNGAGYDNVDIDACTKKGIA VSSTPVAVNNATADVGIFLMIGALRQAYVPLTSIREGQWLGKSTLGRDPKGKVLGILG MGGIGREMANRAKAFGMKIQYHNRSRLSSELEGDATYVSFDELLATSDVLSLNLALNE STRHIIGEKEFGKMKDEIVIVNTARGALIDEKALVAALESGKVLSAGLDVYENEPVVE SGLIKNPRVMLLPHIGTMTYETQKDMELLVLNNLRSAVEKGKMITLVPEQKSAFQGMN GTP ACHE_30578S MAAAQSTKALVLHGAKDLRIESRTITPPSGTEVQIAIRATGLCG SDLHYYSHGRNGDFVVREPMCLGHESSGTVTALGPNVTTLQVGDRVALEVGLPCRKCF LCRIGRYNICPEMKFRSSAKVFPHFDGTLMELTNHPAEMCHKLPDNVSYAGGALVEPL AVCLHAIRRSHPPSKEEVALAEEVGEGSSALVFGAGAIGLLLAGALATAENFSSIVVA DIDQARLEIAESLGLGLKTYLIPKEDPSNPAPARDAPHAEQVAYALQSAQRNAAKLKE ANGVKTGFSRVYDCTGVPTCVQTGIYAAGAGGVLVQIGMGNPVQTLPVGAAALREVDV IGVFRYDGYAYPAAISLLESGKIGRVEERVVTHRVKLEQGDRAFGLAGKGVDENGTPV VKVVIES ACHE_30579A MALNEIKGRLALITGASGGIGAACAHQLFQKGVHLALTYSSNKT AMDTLVEELKTKYHTVDDKPLRISAHQVDVASAEQIQAMFKQIDQQHGQRPDILVSNA GYGKRVPQVWDITLEEFDYTINVNLRASFILVKGVVEHMRNQRWGRIVFMSSIAGYGG GINGCHYASSKAGMTGMMKNLSTRLAEHNISVNDVAPAIIGDTGMIPNAQSVPEIASS IPLGRVGTPEEVANVVTMLVTTGYMTGQSLLLAGGLK ACHE_30580A MEQEEQSPRFGNSPMSRARSRSDALKRVSRACLHCRQRKSKCDL DSSGSPGVPPCQRCIRDGRECVLGSSNRGGRRIRKNKLKNVTPGITTQEKSDLDTVSS PSSENRQATYPGPVVFLPPNPPTATTTTTSVSVDDDDTASIGSVPRNPSDAWQCLTGI AKRSADGTTAETIADSLPSGSGGFSSYSTLQGGSGTDFPTNSGIKTYRLVQTRSLDPA TVWQLVVRYAENFHPYLPVVPRKYFDRNALDAFAISEKYLLTAVLTIASKDLVERPEI HEYCSRYMHELISGIAAGADCGVEAVEALLILAEWEPQGLRPRIERVGRGEEDRAAWM HIGLALRSGYFLGLDRTSFRGDASGDTETEARRRLAWTSCYISDRLISVRIGRAFWSR GPGPMTGLVSQDFPSLQPVKEGEEDHARIFQATLDLTQLYGNVHDVLYSGMRTSNQMM LMGDYVKYVDDFRLAILRWKSLWGSLHCSAPIRATLQLSYEYLRLYTNAFAFQATISQ SLASKTNGDAHAQKEHLRRAFNNVASLQDARFIYESLDAAKAYLSILVDLVDPEKHLH FMPLRFYLYGIYAAVFLYKARSFGVMLHSEEMAVRDLVTRTTEVLKRASAGPDDIGSR YSRLLELLWQTKPAPITSPAGTQQSNDLMQTTLSNCLSDQNKYVDFSPANDFSWLDLE AVGDFVSGDQISGAGMGFEAFQNPDLYQTGQDRLQSWQVSTWSGDMSSLLF ACHE_30581S MTDSVTSLFSLRGRTAVVTGGTRGIGQAMAIALAEAGADIVLIQ RDESNTATRDEIINRIGRKASIHVAELSNREAVKGIIPALVSQGIKPQILLNCAGIQR RHPSEKFPDEDWDEVIQVNLSSVFTLCREFGAHLLSLDPSEFSNGHRGSIINVASLLT FQGGFTVPAYAASKGGVAQLTKALSNEWASKGINVNAIAPGYIATDMNVALINDSNRN AGIMARIPAGRWGTPEDFKGVIVFLASRASGYVSGEVITVDGGWMGR ACHE_30582S MGNLRRLVAPRSYGSNATYVYEYSRGLNGVDVPKDVIFTRIILV SILAVAFIVFCGRIAQISHSMLRHITSLGSSKKQQTYWSVEESSLWTNIKKHVLYAPL GRKRHNREIQLSTAVNIGTLPSRFQTVLIMLYIACQFAYCVLLDYKANVREALVAELR GRSGTLAVLNMVPLFLLAGRNNPLIPLLRLSFDTYNLLHRWLGRLVVLESLVHTAAWA VNACREQDFADMLWRIRTVPFFSWGLLGTCSFVCLGLHSPSPIRHAFYETFLHLHQLF AFLAIVGVYAHLHIDNLPQKPWLIAIIVIWLFDRCCRMGRLIYLNLSLKKGSTKLVVE ALPGEACRVTFYLPKRVHINPGSHVYAYIPSISKWMSHPFSVAWVDPSTCVTPTTATE AFTQSNDNSNNPSTSTIDIDPSLLEKQAIVNLDEYLDTTNKPTSVSLIISARQGMTRK LYNAASSAPNNTLHTSGFIEGPYASHSISMASYGTAVLFSAGAGITHHLLFVRDLLIR AAEGRVATHRIYLIWSVRSTDHLTWVQSYMDQILRLPCRREILVIKLFVSKPKSTREI VSPSATVQMFPGRCRPDVVLDEVLPARVGATAVSVCGPGAFADEVRAATRERIGMGAV VDFTEEAFTW ACHE_30583A MPLQGRQRLHALSSPLLSVVILPFLLFILSFPPQAAAAGSAVIG IDVGTEYLKAALVKPGIPLEIVLTKDSKRKETAAVAFKPTRDNAVFPERFYGGDALGL AARYPDDVYANLKTLLGVPFNVDDEMIQTYQQRYPALRVEAAPGERGTVGLRSNRLGE AERKDAFLVEELLAMQLKQIKGNAENLAGTGSDIRDAVITYPAFYTADEKRSLELAAE LAGLNVNSFITDGLAVGLNYATSRTFPSISEGHKPEYHVVYDMGAGSTTASILRFQGR SVKDVGKFNKTVQEVQVLGSAWDKSLGGDALNDLIVKDMVANLVEHKSLKGRVTPATV EGHGKTMARLWKDAEKIRQVLSANTETGASFESLYEEDLNFKYRITRSKFEELAAQHI ARVGGPLEQALTAAGLQLIDVDSVILHGGAIRTPFVQKELERVCGVPNKLRTNVNADE AAVFGAAFKGAALSPSFRVKDIRASDAASHAVSLKWPADGKERQQKLFTSTSQVGPEK QVTLKNLEDFEFSFSQHIASGDAVTESPVLGVETQNLTASVAKLKGDFGCSDANITTK FSVRLSPVNGLPEVVGGSVSCDVEGAKKGIVDDVKGFFGLGSKKEGQAPLGEDGEPSE SITLEAEEAQASTSTASDASSTTTAEAKKATPEAEARVIPVSFKTSSQGIPAPSYAEL TRIINRLSAFDASDRDRILREEALNELESFIYRSRDLVEDEEFVKTLKSDQVSVLKDL VSAASEWLYGDGENAKTAEFQQRLKSLKDIVSPALKRKQESADRPVRVELLQEVLKNS QTIINVMEQQIKQDEDAYSSSASSSATEASSSTTFASESATPSIDPLEDLEQDSYASS SSTKTTSTTTTPKPTGPKYSLFQPTDLTSLSKVHESTSTWFEAKLAEQAKLTESDDPA LTVVELDTRLKELERILTRVYAKLGTASGKNGQSTKKGNGKKSKDKEQKTEEKEPLPT HDEL ACHE_30584A MPMLKDPSKKYRRFKPVHLPNRQWPDKVIEKAPRWLATDLRDGN QSLPDPMDGEQKFRFFQKLVEIGYKEIEVSFPAASQTDFDFTRRLVETPGVVPDDVWL QVLAPCREDIIRRTVESLRGAKKAILHIYLATSECFRRIVFNTSKEQSLEIAVRCTKF ARSITKDDPSMAGTEWHFEFSPETFSDTEPEYAAQVCEAVKAAWEPTEDAPIIFNLPA TVEMTTPNVFADQIEYFCSHMTEREKYVVSVHPHNDRGCAVAAAELAQMAGAQRVEGT LFGNGERTGNVDLVTLALNLYTQGVTPNVDFSDLNSVIQLVEESNKIPVNERWPYGGQ LVVCAFSGSHQDAIKKGFKLREDGTKGGEEPKWEIPYLPLDPEDIGRNYEAVIRVNSQ SGKGGTAWVILRYLELDLPRALQVEFSKVVQRETETVSRELQPKEIVNLFQESYHLKS NPRFNLVDYNITTDRSTSPAPPEPGKALNTKNLKRRFTGIIEIDGVQHAITGVGPGAI SSLATALSSLGIDLDVVDYKEHSIGKKVGDDKAGRDVKAATYIQCTAAGSKETVWGVG IHQDVVQASLIALLSAASSFLTSRAGSPAPFRPIRSHDLTSEDLAALDQLTGSNNAAV EVAPDGTTKPKVDIEELTRQADAQ ACHE_30585A MAPKRNADDDFVLTLSDDENPPVDGEEENDGEDTNGLATSKKRK REAAETSTKGKNKKQKQREQQLMNGKGDKKKNKKGKKDAEREPEPETDEEDDEEEADV GEDDGALNPDFEFDVAGAANQGVVEGFDGWGTGEGEAKNGDKKGVDIDEIISRRQAKK EAESKKKQKKKQDCEDEAEDGDDEDGMEVDFEDDELLAADGFGMGADGEDESEQEDKA GSQKDDDSETGSDSEENDDDAASDNDSVATPVNHPDDEGSDNDSEPESDVDVEEQEKR KAFFAPEEKTLEQSNADAKRSFQEFNLSRPILRGLAAVGFSNPTPIQRKTVPVALLGK DIVGSAVTGSGKTAAFVVPILERLLFRPRKVPTSRVVILMPTRELAVQCYNVATKLAT YTDITFCQLVGGFSLREQENVLKKRPDVIIATPGRFIDHMRNSASFTVDTLEILVLDE ADRMLEDGFADELNEILTTIPKSRQTMLFSATMTDTVDKLIRVGLNRPVRLMVDAKKN TASSLVQEFVRLRPGREDKRLGYLLHLCKEIYTGRVIIFFRQKREAHRVRIVFGLLGL KAAELHGSMSQEQRIKSVENFREGNVAFLLATDLASRGLDIKGVETVINYEAPQNHEI YLHRVGRTARAGRSGRACTIAAEPDRKVVKTAVKAGKAQGAKIASRVVDPAVADSWAT RAENMKEEVDEILEEEKTEKQFAQAEMQVTKGENLMKHQAEIMARPKRTWFETEKQKK EAKKKGATELNGPEASLSKKDKVKLSNKDKKRMDDTRARLEGNPGWKKGKAVREAPPD SKNGKKQGQKGKGKK ACHE_30586A MDEDQNLWAKIKAAKNHLFTQTGFLQQYRVGPSLTGNFPINHNR PSDPLPTTSIPDTTQKKGTEERKSSITVGENESKNSREPLSGYPSSSVAQSESFTSLS TIVPKALPPGRNGLPPRLIPQSESQKSTLNTTSALPLKEEQPVASHENAQTALVKMSD TSDEVMLRNGRFGGNISGRFGSGLKPRQVLEQNITQHESRCSSNPIQNGRSDSRTDIS VKRELAPLSSEANIRRPSADEIPSQNKPHSDVLKGLQKSAPQETLEELKRLQQKIVVK AHQNRFKDLDTPSTNPEALIKVREGPGTDPKSKVYQELSKWRAVPGKSQRNSSVQSPV GALGPKIETGLERYQAQWTAYAETEAVYPVVDDGRLVLLSEPTDSVLVLKASSQEVGL QMDLDAGDLSTALQPAEHNWGDAFYADWEYRPRACSNFEAFRDWFRRWLDTTLTICCY VDIYHPAFFDGTAHPDGESSLLIPDFDDHSTSLDWNDEESRLHCHETVEGYCYNWALR LKREEEEEQERRVRARNAYIESTKCTIPMISPQTPKANLYLRPVEETDISELLDLFNW YIQKSTLTIDVTALVEDEVRERIETAKRERLPFIVAAERRSIHSRDNSSQKILGYALA TDTIGDRTAERFTAELEIFVRPEFKRRGIGKCLMDKLLEVCDPTYNPLGGYFFDASFE DRTGYYSGGRRRLARLMFVISYPYEDRKQYKWVHEWLEQDYGFEQQGLLRGTRVKSNR FLCVNYLVRRVGPIDDDIIRN ACHE_30587S MLSILRKARLKDKEMRILMLGLDNAGKTTIVKKIMNEDVNTVSP TLGFIIKTIDFMGYRLNIWDVGGQKTLRSYWKNYFEKTDTLIWVVDATDRLRVDDCRE ELAGLLLEERLMGASLLIFLNKTDVEGCMSQDEVRERLCLDAIKTHKWTILPCSAMTG RNLHEGLEWVIQDAKDRLFLY ACHE_30588S MASSTPDPDGTEDVNDFLQRIRQLGEQRDKEDEERTKKLEEEIL QGRRERQARRAERARSISPTKDSPLLDATRLSISSIGSRPIDPPEHLEPTTQTTDPES STKPDSMLSDVPEKPKADEYGMDTSPTRPSPPSPLARSRHGTLSWQQRPLSREFGRSL FSTSPTRANRLRSMSSVTGDSQGQERSPSRDQNTHTWSANDTTPAPRQTADRELESPM LQKSTADDVDSNRDQEVSEAARENTAEQEKHSGQQHVDERSRSPSRASSTFGDSNVSN RYSSMSSVSTATGLGSPLPSSNAQRFEPPKAESSTEEQLPPSPTQRRLSPERPSSPTK GMGGFVQSAMMKRSDTVSKRWSAQLPSSLTRNNSISSNRNSIAVPNYGDTISATSPPK LGKDAPPFLSHRPSSSHSEVTVVTNRRGSERPSTPPVPNEGNENSGSNAKTEEGASRP LLTLHARTASTLDKEDRNVDPNPTSPVVSRTMDPKRWSPTKATWLESALNRPDSPRHK RQPSQTSWKDRQSRGSIDLGRSNSFKEVTPAGLMRPVAPGNHYKKPSITGLPDLSGTL DTGRGKVPSGLEPSKESNPATMAKPITEKKEDEEVVPSPSLSISPEKSPVQSTSNVPD RKPVPLTLTPTPNIAPPSPLSARDPASPKPKAQSPVIDFRANLRKREVAKEATPKGEP EPEFKNIFGKLKKTEKNSFTQQNELKDNILKGRAALNATGGPKKNSKPDEVKEGLLKQ KDIRTGGGLSRRNTAGENDAPAKFVPEAIARRHNLSKSSSVRSSNAPSSPSTLEPGTP RDLQSLKSPVFSPQTEGSTENPENLQLSPAPDDSAAHEPELKRQPETQPTDVASPVVE PSSEDKNDVLEEQIKKDDELETKQEDSKAIEEAIQLVRALPSETVAAVATPPAPAATE GLAAKGNKLAGRINPALVGLLSRGPSNAGASDGPKHAVSSGQSGSESAPGAPLTHVTK SRARGPRRRAPGATAASQTKSPAAVQPELPSQPEQSADETESSAPKDEAFAQSEVPIP NDEPLAIQTEQLSSETEPVAPNDESPAAQFELPAQEPEPSAHLSSEAEASAPNDKLSA AEPELNDPKEELQTAQTELHISQPEASPIRTGQSAIEAESNIVRDELVVERESVVSHP ESSVPNDEVSTAQIEFPVSQPEPSAQPELPSSKDEPRTAEFELPTTQLGTLSTQQGQH YLVESEQTVPKDESVVDSEPFATQPESSILKDDVPAGQFEHLVQPELPSPEDEQRTPD FELPTAQREASFVQHEQQSAAEVEHKATILKDEPVIESEPVVTQLRLPVPEHDVPASQ PESELPSQKDEPRMGGFELPVTLPETPFAQHEQQSTAEAEPTVPFVESEPVVTQLESH VPKDEVLVRQPEFELDISNDEPHPAHPDVPLSQPEEFSVHNMQQSATEAERSVLKDDV PVSQSESELGSSNDASHPAQLDLPANQLGTISIQDKQQSTDEAELTIPKDESIVESES VVAQLELKDDASVDAESNYEPHSAQLDLPLSQPETSVRDVQQYSTEVEHSIPEEPSTV RPEPVTREADTSDTKLKSPVPKDDFQFGFPVAQTEQSTVEDEPSVEPSFLQDEQSTTE AERSVSNDEPSIVQSVPVTSETEASDAGLKSPAAKDDFQFKFEHSDAKDESSASQPEF NISKDEPPATRTEPVPVPRDDFSQFEFPVTQTDQSVAKRPSVTEVELDVPKVEPTVLQ TEHTAREYEPATQLEPSVPRDDLSQFEFPVIQTEQSTLKDEASSTQHDLSASDLEPCV LGLPVPKDEPSQAVSPSREPETPASEPKLSTTHQEASSAPKDESLVNQPNALASEPEP VVSNEWPVIQPEPTVKVTEPQSPVPKDESPVTEAPASEPKPPAQENGLSAHPEPAVSE TKLTSTKSESAPKSSFEAARSVFDRSAGDSNSNWPLPDSPPTNKIGQREQSPPSEKGM QDTAHDDTTPQLTPQPKSKIDALSPKSFHSYEDVENLGDSPAQRPAVPPKSPRTPSSE QRWSPRVRHSSQSPSPLRTSYKGNQMDSPVGSPQQRTFSGFGLGSILSSRHKSLPSPP VPPKRSDTLLSTPSSSASLVPQADESLEVIAEFFTAFPKTSDRVDIDPQLMLTTRDSD SKIRTLKKQVWEITGEGKRQDLPINQEYILYEGSMYLCVHTFEFESDSSTRSETHLWC GDDVPDHAIDDAQTFARKVAKEHSCKLEVIKQGKETARFIQAVGGILITRRGSNSRSS LSALYMLCGRKHLKQMVFDEVDFTRRNLCSGFPFVISAPFGKLYLWKGKGSTAEEIGA ARLIGMDLGLTGEFEEVTEGEEPESFFEIFNYRDTEEYLRSDDWQLKPNHEQFRCRLL RVDHELGQKSGFWIRRPGSSSPIIRPNDTVQEIEPYCYKDITPKGIYILDTYFELYVI VGEQAAHRPAEFASAVVFAHEYGILAASLQDRPFIPKSYVSIGGAPNSCRSAFRKWHK EAWKLPPQVFPLNAAIDAIRT ACHE_30589A MENMKTTLRTLPVLTGPFPPLDANPSTFPETPQETFQTWLLHVI TAQIPEPHAMTVSTIDEHGCPDARVLILKNLDDRGWHFAAKGGSPKASQIEGNRNVAL TFYWPGVGRQVRVKGRAVVLVEEECVEDFRERSLGSRVSAMASVQSRVLEDREMLVRR VAEVEAPMVSGKEQEMPEWKVYAVNPVTVEFWQGSSDRLHQRLQFVRKADGAGWTKEL LWP ACHE_30590S MHARGQPKGAKERIAQAIHCSLEIGLHCREFSDVLEVQHPIRAE STRRTWWEIFIIDTLLAAVQVEGVLQYTAETPDVPLPCKEDKYHDGRLPGVAMIVSDL GLYDIFSEHGDLSPFAYRVEAAIVLRRCLLASETHVSQDSLDILDANISAWFHRLPSC KRVILQPDGEVNELDLQATMIMHCASIYLHFPQVISALLPPEHRPDILLSSSSLYIYI SKPPNALS ACHE_30591S MDPVVQRELSQLDPTVPFRASTDHLHHTWAKTFFSRPELFVRPQ SIPEIQKLVTLARRCRRRLVVVGSGHSPSDLTCTSSWLVNLDGFNRILDLDTETSVVT VEAGIRLWQLGEKLEEYGLTLSNLGSIDSQSIAGVIATGTHGSSMQHGLLSECILSLT LMLANGQLVRCSPDTNQALFRAALVSLGALGIVVEVTFQAEPTFKVAWQQRRRPLSRV LADWSNGLWTSHEFVRVWWLPYEKSAIVWHADKSDLPESEPPSSFYGQTLGYHIYHNL LALSNYFPRILPWVEWLVFGLQYGFKAESDVTEAVQPARKGLLMNCLYSQFVNEWALP LDKGPEAIIRLSAWLHGDVETARIPFPVEGVWVHCPVEVRVANSTLNKKPRPFLDPTS HDSPTLYLNATLYRPYLRDPPCRARYYEAFEWLMREMGAKPHWAKNFTASGNQELRAL YGEDMNEWLKVRQEVDPDGMFLGEWHYRNLPLSITAEDDTESRGRPDIYALPLLEREV IRRHAGFKGAGDGIEWVGDKRWQEESDEQQVQRRRRQNVAVMDESEERRYFECETSET GTVASEESFDLMAAGEASITLPERVHDS ACHE_30592A MADKATFQVIVLGPTGGPREDSVTGLLVRSTATQWSSNSMVAVD AGTLLAGIIRTLEQYEMETKDGKRYMKDGPFVGFNLPYNSPQANAAHIFREIIGTILV THAHLDHLSGLAINTPIIEAGAGPKAVAALPSVVAAIKNHMFNDVIWPNLSDEDGGAG LLTYQRLVEGGNPRFGRGDARGYVRAADGLLTRCLSISHGRCKKRYNSESESHHRVGS AVFAADPLMIPSRGISVDHTDGFYSPARSPRLFGKDPTWATVESSAFFIRDQFTGSEI IVFGDIEPDSISMDPRNRRVWEVAAPKMATGNLRAIFIECSYDDSVEDCALYGHLCPR HLVAELKVLATKVTEIRHPSTSTKRKRTASSPGGVSPRSKRAQSLAPGKAQGRRSEPY PYFPGRQTRVDSGEVWGEMPDLVPIPESNGQPASPNFNASSLDGIDGNPTSEPMQVQF SIDNNPPDDENLPWAGLNPPLAGLFVYIIHIKEDLTDGPPPGDRILQELRDRGEAAQL GCEFHITKRGEGIWI ACHE_30593S MLALEMVVTVFNPLNTTRHCQPVTPEKRPASKMADLASLENSTA ALILHSRSKTLSSSQPAAKAKPESTSPPMLIWSAIVANRTMAKSVGTAVVADGARLVE CETQERLKTRGHEKARQIAGMDASAKEHPAENDNLDDIVHKLQHAHVTGVYMSEYRGS LPNNTN ACHE_30594A MRGFEASGPGAIYGLPSTLTTSVLSTNTPTPLPSSFPRPNTDPE IVSHNIENVLRSVSAQLDRDHSLVSVSGNSSGSTGKGILIGILSAFGSAGIAVLILAL FFFFKHTRRGRIILDRIGRPGEYDDEQAFLREEAEALETMDDISRSEYMRAKAFVDAN PPESMQTDISLSQFLAIQEKGVSAWEFQPELEIANCFVEARTEIEFFDSECSVQTNLP VPKQNDVYYWEAKVFEKPETTLVSIGMSTKPYPLFRLPGFHKTSVAYLSTGHRRFNQP FSPTPYGPQLAQGDVVGVGYRPRSGTIFFTRNGKKMEEVVHGQKVPNFFPTIGANGPC SVHVNFGQMGFVFIEANVKKWGLAPMTGSLAPPPPYGSEHGSILLESGRESAAQISHR VYQNANYAQTDSTVRIAPSPSPGPVRSPTDISLAQLAHVPSHEDIGEGSSHVEDDERT HLLSQEDIDSIPPPEYTSPEGSRRGSDASQHPPIPSYDAAVATQSRHLQENQGRDNEN ENH ACHE_30595S MGAVSHPQETILAQSNVNIHSLDTLNIRLEISNGHNKYPAKQHA RKVAAKLGATSGLIYLAGEPTVNWGDSDQVRPFRQRRYFYYLSGVNEADCYLTYDIET DLLTLYVPNFDLHQAVWMGPTVTKEEAEARYDVHRVCYFASLRGDLQQWATQYNKSSP IYILHESQKPVIPSAENLLLNSKQLQPAMDAARGVKDHHEIQLIREANRVSGLAHRKI LETIHEMTNEAEIEGSFLDTCVSHGAKNQAYEIIAGSGENAAVLHYVRNDEPLQGRQL VCLDAGAEWDCYASDVTRTFPLTTTGDWPSDQARDIYHLVEVMQEECIKRIKPGVCFL DLHVLAHGIMIQGLQRLGILRHGSVEEIRQSGASAVFLPHGLGHHLGLEVHDVSERSL MARGDSGFYASVLVSSLTHPPCTLTAPGLEEGMVVTVEPGIYFSRLALDNARKQRQLA RYINLEEAEKYISVGGVRIEDDILVTGTGYENLTTAPKGREMLEILRGRIDH ACHE_30596S MGQRHNRRRSRLRPNRNRNIDTFNSTPIDSDPFSRSLAPTWHYG SLNWTRDRPLRFETEQCRLFGGEPGDDVGLCYRMLEYFGGLDYIDSSQSRLPG ACHE_30597S MSTDYTYDEQGQFFPFFILTLTGLVTLPLTYNLLKPTKNLENTA PRIKSDFTPEHADLIEAQKRKRLRKEYRVKRIITVILGYAVMAWMVYLIIVTARSTPK IWDPYDILGISRSANEKAISRHYKRLSLIYHPDKIRPDPSKNETIETLNERFVELTKA YKALTDEEIRNNYIQYGHPDGKQSFSIGIALPKLIVTEGYGKYVLLVYGGLLGVLLPY IVGKWWYGSQRYTKERVLVASAGNMFREYKDDITDGGIVNALSSGAEFTETLKGSRAD AGLGKLEKLVLAEGSTFLSSQDREAIKQMDESSRRKALALLWAYLGRVDLEDASLNGE KYEVAPIALSLNESFRAIALAFGNLRPMLSAFRTSQHLIQAIAPGASPLLQLPHFTDD VVKSVEGTDANDHLTVQKFMDLSEEKRRSLTVGTGLLSQQQYDTAIQVAKQLPVLEVS RAFFKVMGEKFITPSSLVQLVVKGRFVPPGHANVPEVNPEDLEDVDPDEDDLDALMGR KPAKNKANGGKTDKLEPVQPPLAHAPYFARDHSPRWHIFLADSKQGKMAVPPFTFTTF DKPIVDEAGNPTFDMQTLKMQFQAPPGVGAFTFVMHMTCDSYIGLDMKQEITLHVEDP AKAAALGEEDDISEPDEDSIAGQMQALKTGQPPKKARKPSDSSEEDESDTDGDAGGDT SDTNTETDVDD ACHE_30598S MSKSAQDSPSPAIAETHASKGPEPSRTPTITTTPINLKDADDTT TFYNTHKPLTNPLPPAEESRLVHKNLLYLLGQTWWISFLIHLDRATLSSASTMGIFRD VKMTKNEYNRLFIVFYVGYLVALWPGAWVSQRIGHKRFITGSLFCWALLVGVHPAVRT GREMMAVRFLLGLTESQIVPSTAILHQAFFPPKKSPWVQLLWWTAGSLANVMLTMVAY KLIKDDNAGTLIGGIASWKWLHIICAIVTFAIFLPLVFFLPNTPVEAKWLSTEEKVHT IEMIRRTRAGIVNSTWKWDQVKECFLDIKSWLFIFHMFFNELPNNTSAQTPLILVGFG FTPAQSALFNIAKPLWGMVLILLSATLLYATNLGTGYTCALSYIPCLVGGIIELSSPW SNKVALVVGTQISSFKPSYLLGLNWAGTTTTGHTKKLCLMSSCIVAAAVANMISPEFW QSKYSPRYVLPWSFMTAFWFISPMMCLIIRFYLNHQNKLREKALWLGEQGQEQGSESE EDSRGGFLGDMTDRENPRFVYPL ACHE_30599A MPRQPKLRPKTNIPGLPENLYNLTAVTQLSELECQQEMEEKPQR VFRVKRKHVLKACDRCRIKKTKCDGKQPCNRCAAYNHPCLFRERKATQTRVYSKGYVE LLESSLSMSVKGLQSLHRICVDNNCFPGEPLQTTADGHPLTHDILDRMGLIKQAEESP EDPSEEFEDLQYLRYLSSNSTDGATTDPSPEPATPPQPPSSICSPVESLHKPFKWEVD MLPTTQAEPQQPQQQNYQYSGYSGAGFHAPQHQQQAQSGLIMPRLSLDTTVSLGNDIP SCTSTCTSATLPSAATSHHDAPLASPYIYYAEDPNTRIHPPMMLPTGLDANPHSQPRP HSQTATAGLPIDILNAYQQHLSSLQEQQQQQQPMYAALATGWTFPLDR ACHE_30600S MATSFQSHVHHNFEPPNSDLLFGGRRVSDILRDGNTSISRRSSR KSSTDNFALTELVHSLANRSSSDILPSRLIGADYETILEWVSSERMRKLPAEGSSYDK VLVWARLFVERLHSFDLAVESFAGDNHMAAQLAYVHCASLLGLGEENSGALLDLFGFF YRCSMGLGNLLDRAELFIVSQNIKDQLILALADLVTLVVGVATHFLDSLGQLESGSVS IDIYSTFAAPIESFRTRCEYVAELMWSHQLAQEGVDTKVAKLETIRQWLEPEDPVLGN ITERTAQFAQEREESTCLWLMPYLNRFLKSDQHTMVITGQPGSGKSILATVINDQLQY PIGGINYKSIFVPINSRVPANSTPRAVAKSILSQLFAGRIGNVHLYQILSDACSQSQK TIDNESYDNTLWTALANALQASLKGAKELVLVIDGVDESSCGQSALLSRLRDATANAS NLKVILLASEKESAATAPNAQTTVHITPELIFDDVAAVIRRVFQDCPAFDQMSEEQRE LTVTRITEAANGSFLWGKLATKKIRDENYSNAESLAKAIESLVKANYSVSDLVSHRLG PKLPEDGKKILSWLATASRPMTLPELSALLSVQLDKGTIVEQDSNPLTLLKPVTSLVF YHSNMLYLRHGQIRAAITDASAKGSFLPIVKNRHADLAQRLLLYVKRTVTNGSEPSLT PPDGPSTSAQLEKYPLLDFALRYWVNHVKTAFGCTSDQEINTASKELRPVLPTTPAVP LLETTVWKNKPTPALVNLHTLETSIYDKSLTSNHPATLQAVLCQAHTYRPLRDTAQIQ VSQVFYHAVKTSQTVLSVQHVITMQITKEFLDITSNQVTTSKTDIMTKRIEMLQLLVE CYKIHYGAESEMVVSTLTQLSEHYKSIKEEHKAQEVLNSFQGTSITEPHIRWKSLSTD ESLLVQLHGRRESIQEGTILALDEKEEDELLSWSYNFDAMIKRAEKFTAEGNVRAAER TYVEIWQRTSRDFRLQRSLQLELQNIKVTLMYSKFLQSQKRENEASSVLSGFWEEYEH IMTSSEAVASQLMEVAKVMKSVELSCTALSVFQRCAQNVNRQSSLHKELQSLAQSTSK EVMQSAGTSTSTMSESSLQEMVFGSTSASASKKDRFSSTATTALVQMYISQHRWREAT KTLKKILLIIWSALFVPSVHDVVLPSENVEHCVDLAENLKDCYRYRHRSAKEEDICLR LYHAVRRSRPAGDKLLDRVTKGLLRVYERTTQTEKLISIHQDILNDYSKRFGEGHPTV LRELRILAEMTHSRAESVDYSWRIFKALNKDSNVCHRDAFEPLLIVVTELFNQGRFSD ALQPFTVLFNTLPHSNVNPKLRDQTFVKSVFDNYVQCLRLVHRDVHVIHDVAAQYRKT CTSVFGATASITIQATQTLANVCRESTKYESEAVQLYEELLKIQSNEVDIDHDDIRAT LDAIYEDQCASVSSSTESVSAEQMYKVISIRSRRLSTTRSTNGWAHQDSLSQMDELVA LYVKRGETHAAVSLLQETAIQVLVTETSTTRLTAAAKHIASSFIATGQVHRAKELAQE IYRQIVAKDTVNISTAKFDLTVKHRQTLAFLAQFEYSIREREESSLTVNEIYSSLTAE YLYFEQFRAEISSAKASSFQSVIGTVSRLHGFLRSRGRWSPAARLVDQFTNYFVSTQN EKIQVSAGQAKVFISALLEYFSTHSSHDFLRSVAIASYNRVEQLLSSKDYKTASDLAL TSFKFIRAHDGFSSSISTIKLGFKLGLAVSGRDIQPHPDPPTRKNMLTTSAIIMTDIL GYCKLKKIDLSQLDLLNLNSLIGLLDEQKDYHMLEWVLSSLWNSRERHAPWQQQSSYT LALGRMLVITRYLVGDYMAAIRLAKDMVYNSARVHGPRHPSSIEMTILLSQMYTSVAQ GYQNQKDRRELAYRYYRKAAGLHENALRVFIDPTATEMVETASSGSESEPPSPGEGAE ERTGKYVRQHLHLLKLAVERLGDWPKEYSEYERLSAELFSAFGGDLKGIEGVDKWNLK NFGSGRAEASDDLISPKSYPGMTLEKHAVAV ACHE_30601A MTSKQDNQFINYPEPREGPPAPYQNETQSIPVFRGIPLVIGATL IHNIGFIQSHFWKNAGFDVIHDIPQLKQYTARYDPTVIPVRRDQTETATDLPAPETKR QSKNGYYTSADYHALYKAAELSPVDVVETLLPLIRRDAQPPGKHSVAFLESKVDGIRA AAEASAGRYKNGKPLGPLDGVPVVVKDEVHVEGYDRTLGTKLDFKNGLEGTSWCVRKW EEAGAIVIGKTTMHELGLDTNNNNPNYGTPRNPHNRDYYCGGSSGGSGYAVAAGLVPI ALGADGGGSIRIPSSFCGLWGLKPSHSRVSAAPTLGLAPTVGVYGPMATSIDDLAHAY RVMATPPPASEDSTSSAFPPPSAPINTNTIKKIGLVRDWIDRAEPPVRAAFDNALAYY KKKGYEIIDIHIPYLPEGQRAHVLTIMSEIASGLDPKRQIKHLSAPNKVLMSVAAWQI LSADFLAAQHLRSLLMSHLAHLFQKHPGLLIFTPTTPIPGWKIDGGESDLVHGLSDGK SSVRNMEYVWLANYTGCPAITCPGGYSADGVPMGIMAMGEWGAEEELITFARDGEGLL ESLPESLAPASSKDADKEGKGLRAPGRENGFWEDVIARAGERA ACHE_30602A MDPNYKARKEAFVSDLSGGSILEINAVTLVAPVAVLLWSVLQSR LSFFTPYSVAALVVDFLLNVTAILFATTLYSSAPLLLNLLIASPAFLLLLNTPRPRTQ QKAKPPQKEASNKSQNAANQPESLPIHPFLTIYRAAMMIITCVAILAVDFRVFPRRFA KAENWGTSLMDLGVGSFVFSGGVVSARSLLKSRSNQAKRTPLVQRFMASTRHSIPLLV LGLIRLYSVKGLDYAEHVTEYGVHWNFFFTIGLLAPFVEIFDALAAFIPSYEVLALGI AVLYQVALESTDLKWYIMISDRGPDLLSKNREGVFSFLGYLAIFLAGRAIGARIMSRD PKKIPQARKSALTSLGVQASAWTVLFALNSTYAGGYGANIPVSRRLANMPYVLWVCAF NNAQLFLFCLIETVFFPVPRTPSKEGEAEHTSFATSQIMTAFNKNGLAIFLLANLLTG AVNLSIPTLDVSTVQAMMVLVGYAAVLTGVAVGLDKANIKLTI ACHE_30603S MSPNRDTPTPRKRESRAGTRKVTSLSAEQLERKRANDREAQRTI RQRTKEHIENLELQVAELKAKGQQFDEVVRRNAILEEEISRLRHQLAIVSGRPGYSDP HASYNSPPAPSLPPQLPGPLGLHPASKAPSVLSTPSQVSLAPDWQHYSSARSPSSLCE TSETEYPNRVESYMLGGGQLQAPQIPSQAPASISVAPPQMPGFNASGGTRLPDPTTPS YSQYYPTSNPHPGHGEDLPQHSPQPGAMAYNAAPRSIPDASIPPPDKGPGEYPVFPQQ QQQFQNALGQPQGNQSQYTYPWYQQS ACHE_30604A MASISRALRPLSRATPVRLAARRPASFRPVQSYAFSTTPRRRDA DLSHLTPTPISLLSETESMMQDTVTKFAQEQIGPKVRDMDEAESMDYSIVEQLFEQGV MAIEVPEEFGGAGMNFTAAIVAIEELARVDPSVSVLVDVHNTLVNTAIMKYGSSQLQK EFLPKLATGTVGSFCLSEPSSGSDAFALQTKAEKTADGYKLNGSKMWITNAQESGVFI VFANLDPSKGYRGITAFIVEKGTPGFSIAKKEKKLGIRASSTCVLNFDDVVIPKSNLL GEEGQGYKYAISVLNEGRIGIAAQMTGLALGAWENAANYVWNDRRQFGQLIGDFQGMQ HQLAQAYTEITAARALVYNAARKKEAGQDFVQDAAMAKLYASQVAGRVSGSAVEWMGG MGFVREGIAEKMFRDSKIGAIYEGTSNIQLQTIAKLLQKQYTQ ACHE_30605A MAIQILSDLHLETPTAYDLFDIPPKAPYLALLGDIGNVKDDGLF AFLEAQLRKFQIVFFLLGNHEPHHSNWPTVRTKVKGFSDAVRKRKQFAHLGEFVFLDQ TRYHLSPDITVLGCTLYSRVTKAQEQRVSFGLNDFYQIEDWTVEDHSASHQADLAWLN DQVSEIVRTDPRRKIVVFTHHSPIARDPRAADPAHKNSPISSAFATDLTDEECWKAEQ VCAWAFGHTHYNCDFVEERTGTRVVANQRGYYFSQAKEFDLEKVISM ACHE_30606S MAAPDHDPRLLYSISNITAYHIQNGEETELTPSGPQNLSLLMVP TSTAPQGNGPAEEDFYLHLHLPPELDLALPATTQIYHQPPSSYLIPRWDLGPDAGAFI RIQFPGVGTGPGKVSQDDVDTFETILAQCTAFLERAPAPVSKDHAPYNPADFAPGEGY VSSGAKPTKNAHGQIVLVDEEDGSVVGEMEGYDVVERPGVQPGSKRPVEVQLPQEGEG NQISVDNASEEYLRMARHPAYKNSTIVQSSATASRLVVTGSSYISNVLTSGAEGFTKK VKPNQQPMTFSDTTHARIRKIGNFSHGAADFSAKTVGQVGKYAQNLGASLSRRKEKGE RGADYKPGMLNKSMIAFSTLADGIEQGARNLMTSGSAAASTMVTHRYGQEAGSVAANL TGGVRNVGLVYIDASGVSRKALLKSVAKGMVVGRMKGGQQVVVGSGDGGEVPSEAGAA GPSGTRPQGSGLGLDPVARRPSPNPTPPPAYGASDTRSLGGSAMGGGKR ACHE_30607A MSFKGTCNCGNIQVSLNEQPPNTLICHCRNCTKAGGGPFSINYA VDDSFANINDPHASLKIYEDADTGSGNRVQRQFCGNCGSPIFSKSPKYPGMSIFKAML FEELAPPSMEVFTGSRVEFVKPIEGAEQA ACHE_30608A MKNHRSASISAAPTTPPTTPPAMAPVLVEEEEDDDESESDVGVA ADVDVDIDVDEIVTLVLAEPKIRPGMHTA ACHE_30609S MASGPHMTGDLGYVPWAQAPQRRIQELQDTKQQQQPVQELEGNS EHQVHELPANHR ACHE_30610S MSENPRPQSLPPSTHAASASSSAAPAPGQSSAHRPIYPDLLGGP PVPPPRTSSHRSHRTSPPSEKGSGSRHSQQRSDSGRHHATTASSSDPSKRASWTPGAP VSPSTSANASIMETIPSQGTLVKESSTVINQVLVSDPSVDIEREQVRQSGQSEESSKG AQSSSGLGLVGSEGVDDGGRGGLRSRHDYNGNSTKRKEITFGQYVLGQTLGEGEFGKV KLGWKRDGTIQVAIKLIRREVLGSNPTRLPKIYREISILRDLSHPNIVRLHEMVETDR HIGIIMEYASGGELFDHILNNRYLKDNSARRLFAQLVSGVGYLHKKGIVHRDLKLENL LLDRNRNIIITDFGFANTFDPVDELSEEIEYNLTNKNFVKRMQLDKTNAKGMRRGDLM QTSCGSPCYAAPELVVSDSLYTGRKVDVWSCGVILYAMLAGYLPFDDDPANPDGDNIN LLYKYIVTTPLTFPEYVTPHARDLLRRILVPDPRKRADLFEVARHSWLSEFSHIVSHI TSSTTQVAEIANTTIPQEEQKEPSLARSASVREPPKSYNSPVPTVGGLAHHSGDVSHD QQSDKSKTSRDAKRRTVQVEYVAPQSQTVRGETPTARNSVASNTTPTEATHAAAAAAG AAAPQEEVSAEQSTRAGESNAAETGGSTAVTGPSQPPMPQYTRPTTGGSMASFNAGRL PSRGSYGQPVAPTVAATNAQGRLAQPTNKPYVISAPVPQDPIHAATKSIGRPSAQQYP SRFNITPRQEAPKGHKRSNTISGIGERLFGRSGSIFGGRGTQPGGARQRNSRRYPPTS MKEPFPSDNNTRISVDSRRSGTLGPNRKYGESSGESRPRRFSLLPASFSLKGFGSSSR SQTPDNDSQGSRINVSNRVQQQPSTGALGSRSRAASSGTQDAINSITEGPSDEVFTND ASVNYQAHIDQQFAALHGSQSVGYQPTSYSGASIEQMYPHQQYAQHPYANNSAPSYLD GHNENAPRQSMQGNRRGTGILQKNRKFADAYEYEHHPGSSGAARKVMDFFRRRAKSRV GDDR ACHE_30611A MYSSTPGANFLVLSPIQTASHGSPAPRARSDSCSSTDSSSSNFL VLSPTQAGPHHAEQPRGRSDSSSSVDSNAAARFLVLSPTQSGPHHASVPENRARSSEA ISPSPLPAGFLFLGYDAPARIASSE ACHE_30612A MRLHAAAPSRAETSSVTDNPMSLVDQTGIPTPLDQSMIGVEPFD QSAIQNMNTLLDSPDDLLTWLMPDFGSISALPLPFMEDPTYQPLAQTSNPSEETAKKI QRAPGTMALHQIHALIDDLSKRLNSDLHNTGFTSAFLDTCLQEFINRTLPSLPVIHGP TFNPREIIPPLLLTMAALGSLFVCLPGSVEKGEILWRLGHTAVATSWNTLLNLKGPRD SCDGIQVVLTALLGQSYALLSGNPNIRTTALVVHGLGFYWARTCGMYAVKDTQLDEIP ALNAPQSEKDAAWKIWAASEVQRRAVLGHYVLDGLISQASASPANARHLINSLNTTCS DAVFSAGTADEWILEMTRSAAVQTPFSAVFASVFSNDYPSKPICLSKFSTIVVMEGLQ SLVSDLYETTGPIFGTISASQIIHALLNLYDTNLSQLLIQTNNDDHLQLYIRWHTVCL ELAVSSTTLYHQLCETYKLPRVFGERTSAKRPLTHHDFDLTEWAVSADALRAVLHASA IIRLLNDIPFSRAHAIHIPAAIFASTMVLGSVYLVHGETIHLPKSFQWRDVWAGVLAG SGDANAHYQSQYPGLNVDFHSTLRYLHGKGNGEILILRVLNEINFLHAGLETIVSPWG VSKQMRKVIQCLAVFLHER ACHE_30613S MSIDVNWETATSGPDGEALAERIRSFIHDKFQQVALPRFIRSVQ VHSFEFGTIPPDLEIKDFCEPFTDFYEEDDDDEISEVSEELVSEHGSNTTHDDESQWP GTGPPSFHDGMAMNNQQLRDPFDEPGHHYDYPSALRSPMEHLNSHFLPRAAGTPGLPV GTSTLGYHLMSLGALSGTQTPLAAVAGGSPFANGWSDSGIGPASRMRLEKPSVLAYPH AAMQQHQLLQQQQQQRQQQRQQQQQRQLEADVDSASRPSTGSHPAVVNKEPDDPIIPS PGTSSHLGDPPSSATHPHNHPPPPPQIPLRPPPRMRERKPEDFQVLCHAKYAGDVRLS LTAEILLDYPMPSFVGLPLKLNVTGMTFDGVAVIAYIRKRVHFCFLSAEDADALLGSE EQQQQGDDNNTTGAGLGLDTHNTTSSAQKRQGGLLQEIRVESEIGRKEDGKQVLKNVG KVERFVLAQVRRIFEEELVFPSFWTFLV ACHE_30614A MTLYYSLVFCLLVFEMAVFMGLIIPLPFPIKRKLFTFISESPVV AKLQYGLKITFIFILILFIDSVNRVYRVQLELASFSKEGGAMGAAALGTDRMEVQARK FYSQRNMYLCGFTLFLSLILNRTYTMILELLRLEDKVKHLQGDKQAGGKDSARLASAG DLGEIGRLKEELATKDRDIETLKKQAEGLAREYHNLGDKVSDKPEGPKKDL ACHE_30615A MADSAPALTQPEVNNNASNLEATAKHGPSDDAVAANGAEEPPSK KARLEDHSAQPDERELGRRRGIAPVKAEFVVQTTGRGTHAAQVAESNPDDNAEAAHYD DRDNNDKKDKKKKATGQNTHRSFGRSTDAKGLCPTRIFNPEFSPQECKFADKCRFEHD LRKYLEEYKREDLTTFNGVCPVWDARGKCHAGWKCRFVGSHMTEQETADGRKELVLVE DEERKQKAKPVVPHATEDGFVNVISNEDKVALARKKVGTPRADAYSKWLDKTGRDLEK QLHKRHQEEQNGEQEADEQAKEAKEENRAQYAEQPFLPSEKRRLYFGPETPALAPLTT QGNLPFRRLCSELGAQFTYSEMAMSMPLIQGSKSEWALMRAHESEMLPPTISPSQGVV QGYDRSKDVRLGAQIAANKPWQALKATEVLSKYTPNLRVIDLNCGCPIDLVYREGAGS ALLDHPSKLEKILRGMNAVSEQIPITVKIRTGTRDNAPNAQKLVERLVLGGYESSLLD VGPPGIAAVTLHGRSRQQRYTRLADWSYIAECSALIKRLNEKTDEVTDTIREPDARTQ PNGGKVYFLGNGDCYSHYDYDNHINNAGVDSVMVGRGALIKPWLFEEIQTGQYLDKSA SERLSYIEKFAKYGLEAWGSDEYGIGITRRFMLEWLSFTHRYVPIGLLEYLPPNIQDR PPVWRGRNDLETLMGSDYYKDWIKITEMFLGPAHKDFKFEPKHKSNAYEVEG ACHE_30616S MLGSSGSQSPPPLHHSPSVGDHDRASTRDSTPVTTPLGFGVPSS HRPDREKDYLDPFWSRKPVDSGHHRSYFDSQIPSSSHLDADQDFPLFLSPPTSNNTMT GPAAPIDIATRQTSVSPPGQQASNLTSALQKANNGERTGSISHSSGVGLSVFKAPPPR KDSFNTAAGQWGNGTKPISVTGSNRDKQRRESLAGSLVGGMSWGGVSVGSWIRDDILM TGTSPFTGFQSPSFHSSSYLPKLEANFMRDFSCCGVTLPTLHDLLQHYEEAHATKSPH QGHRPSQGESRAALAAAAIAHQQSQQNNGQNRGLQPDKIMDMQRKLSHTQPSHHHPDL DTIDDMELDEPMGDSDSPSNLFSPPPQNGDQSGFGNSNRPQLNLSMLPGNQGFKSSQP GTPVSSARPLSLQNNPTVSSVNTPTLMANPLQNAQFRSTPDSSAPGTPAEIDESVVGG FGDLTMHGGGTNSQGQGQSQFSRFGSSNDMVDLCIDEPAKRLFSPSGGFNSPNAHFKL SGAQYGPNSDIARRIREQQLLAGVPDTTALLPNEEPKPFRCPVIGCEKAYKNQNGLKY HKAHGHNNQQLHDNADGTFSIVNPETSAPYPGSLGMEKEKPYRCEVCGKRYKNLNGLK YHKSHSPPCNPEFQLMACRSLNFGGGVMQGQNINVAGAGLPGIGEEGLL ACHE_30617A MSNQLVGTVFDLVIQEVCDSSQVDFEESGVDQQTLLDLRQSWQK KLSSLGVAHFPWDPTPQAAPAQSQNQILPPTATVPSNAPRPNPPPQTQQQQQQQQQQQ QHVPHSTPQSVSGTVPPLQAPTPVGAPNTMNQQPYIKTEPGLNGQTGYPPMNNMMAAN PNPQSARERAATLMQQRFGAAAANSVSQLQAQSQTQPPPLTMPGQPRPQNPQPQQQQQ QQYQVSNGQASQIKQEPGYPPMSQPFLGNAQTDGAGDALSDWKAEVARRREAAQREPG QNDRVLREHLKHRMLDMEGGGLLVPLEERQRKPSSSSTRKLASDLAAPDAEPSSSTSI AQAPRVQAQFDGPGGDDEGAEDDEDAINSDLDDPEDHEADENEAEDAVGQVMLCTYDK VQRVKNKWKCTLKDGILTTGGKEYVFRKGQGEFEW ACHE_30618A MATPTVSTPVKSHRGIFASKTAGGRMPLTPSPRPRTESITSNHS SPFTPSRDPSDFSKDDKKSVYGGNLTQYFTKSVSTRASRSYRESPKSNIARIRKSPKH LELGVSEWTLTGTGPSSSQSPSAKERTRKDLPTRKEVSTRTRSGKTTVRVPHNAGDRF IPNRTASEGLATAGTAKPEESQRPKTSGNGKEGSSVLASAASAFDIGGRGSSDEELTA ALDNLGLEDNETSTSSYTRPAPDAVAYESSLADACGVNLNTRILAFKPPPPESSKPID LRAQYNRPLKPSKSQSAQFRRRIQTAPERVLDAPGLLDDYYLNLLDWSSGNQVAIGLE RNVYVWSADSGSVDCLLETSPDTYVSSVKWSGDGAYVGVGLGTGEVQIWDVEEGTKLR SMYGHDSRVGVMGWSKHTLSTGARSGLVYNHDVRIAQHKVAELVSHTSEVCGLEWRSD GAQLATGGNDNLVNIWDARSLSAPKFTKTNHRAAVKALSWCPWQLNLLATGGGSYDRH IHFWNTTTGARTNSIDTGSQVTSLRWSNHYREIVSSSGFPDNSLSIWSYPTLVRNIEI PAHETRVLHSSLSPDGQLLATAAADESLKFWKVFERKAGTSASASREGGVGSKAKMNK SMTIR ACHE_30619S MNHSPMYGQQPYLMPSSPATARRSSADDRPSIKKAQGHVPACLV NASVTYVGNDQIYAFGGFDQYTDEVYNHVLKLDLQTLRWELVDNYGDIPGVRMGHTAT LYQGDKLIVFGGENEHREYLSDVVIFDIPTSTWSQPEIRGPIPRGRNRHAAVIYEDNL FIIGGVTGEHSVILDSLTYLDLKTWTWSRTWNFTPRFDHTAWVWGGRLWIFGGLGPDM ERTTDIWWLDLKNSPSLGIAASQGSTSSSSPSQQLPGRSGSYAPNSGSVQVRNLNRRK PATPGAVSSLRFQSGPHVPALFSGTHFQAYASGVLLDLITPSETVRTYECNLSLLELD TLRWQRLADGHEIFKPGYRWHYCTVNADGTRAWLLGCDLNAGAGQGASDENHMSEILC IDLERYGLLGNEMGAISPDQRKTLASQSEPSCLSGLGNDLSAVFDQAPESGSGADFII TANRDDDGDPDAMVDASSSQQSETTFLSPNADTSPPIHVHRIILQLRWPHFKRLYSSR MAEYHANRMHIPEPYSVVRAFLYYLYTDSISGHPEYCSDIIDVAGMLVMANLYDMPKL RLLCVNRLSRELDVENAAVVWERAGRTNEEWLMRRAAQFCLAHWGRIVRTDGFKSLSK QSLIELCEVADTEGRIITGSELEMVGVWGTDALGLNRDLKRQQLALGNAGMDEDDYDG EDLEGMEIS ACHE_30620S MQGQIPRARSDSLEDILDNTSQSRRRRLHPTDQDSKQKRRDVLP FQGDTEELPPLSWTLIWKGTYSNLFDGTFKDDLRSWGYIMWDAARLERTGAREVLHRQ WKACWKDVDPRNRLRYAN ACHE_30621A MSDIKNKIEAAASTTETDKQHDDYATGDIHDIGAGLYAKAAQFS SEELEQEGARVRKILDWRIMPILYVTYVIQFLDKLSLNYASAYTLIPDLSLQGQRYSW VAAIFNFGYLFWAIPANLLIQRLPIAKYMGGMILIWAVILIAHVGAKNYAGILVLRFL LGMAEAGVSPCMMTITSMFYKRSEQPLRLAIWLSGNGVATMLGALLGFGLGHSHNTQL HSWQLIFLTFGLLNFACGCVFLWLMPDSPNTARFLTHKQRVVAVQRVAANMIGIKTRE IKPRQALEIAYDPKVLSCLAIGIACGIINGGVSNFASALIKGYGFSGINATLLQLPTG AFEALIVPLCGLIATFIPNSRCAVLAGVCLIPFGGLLGIRFTGLDQRWTLVGCTWLQY IVGAPVIISWNILTTNVAGHTKRSVANGLWFTMYAAGNVAGANIFFEREAPRYYSALA GLLACYAGMVVLAGVMYTSMRGENWRRDRDTGSGLQGTLGDGTDAHAQAVLDGLKDLT DMESKHFRYAL ACHE_30622A MASVNMSAKRRRLENATSTLTKPFKSPLRRPVQASETNNQKVDD KSSATTSPHSTTTEKGESNTPAATSTPLPTKTTTIPTTTPGPTFQTRKRKTTTTGINT ITPTKKSPILLDPELSTLQKHYRTLQSRLATLRTDLDTSQQALRIESSDRDTELESLI AKWRAISQDAAEEVFAGAQERVARMGGMGAWREQMRSQQERWKREEMEDWFGSGEAAG GELDYGDAEDGIVRSKEDVLEQLGAAKEKEERKEKGDGNEEFTMDFMLKTLNIDSQII GFDTAGQKWIKN ACHE_30623A MKWLWRFVLPLALLLLQALVVESNDAFASTAIARESAESRAGGA TDARPENEHVSSALKILRDRRLSTVQSDKPSGMLGYTLHYGKSAFRILFMNGPPPDTN TRKINPNVAKAVKELEIAAREDQDPDAMFLLAEMNFHGNFTHPRDFKQAFQWYDNLAY LTGNSTAQYMLAFMYATGIGGGVERDQAKALLYHTFAAEGGNTRSEMTLAYRHHAGIG APRDCDEATYYYKRVADKAIQYYRSGPPGGHNMIREAYRWADEEGGVYGEGASMSSSG PNALRDSQSSTEASLEDVLEYLDLMSRKGELKATFSLGKMHYEGARGMPRNFYKSLKY FKQVARRYWNKDGSINPNHPMGIEKLASKAAGHIGLMYLRGEGVEQNFSTAFTWFKRG LVNGDPLCQHEIGLMYLHGYGVNQDAFKASAYFKAASEQNYPGSATRLGALFLDQGDV STATKYFELAAHWGWMEAFYYLAEMSNNGVGRQRHCGMAASYYKMVAERAEAIHSSFL EANDAYKDGDKERALVASMMAAEQGYENAQANVAFLLDEQRSLLPVDSVLSYGTDARR PQPSSSLLKNAALALIYWTRSAKQANIDSLIKMGDYYLTGTGILADAEKASTCYHTAA EAHHSAQAYWNLGWMHENGVAVAQDFHMAKRYYDLALEINSEAYLPVKLSLIKLRLRS YWNSITNGNINPIQEEENSKPRRTFKEWITAFIENDEEEEARYRAQLYRQRAEEDEML GTSADAHDEGHDDGYYDDMELDIDESLLEGLIIFTLAATLLVLIYIRQQRNRQRPNGN NANNQAPGNGDDRGLFPRPGEPEFNQWVAGGIGH ACHE_30624A MSNVYFPYSKAPLRTIKEIQFGLFSPEEIKRMSVVHVEYPETMD EQRQRPRAKGLNDPRLGTIDRQWNCETCEEGQKECPGHFGHIELATPVYHIGFLTKIK KLLETVCHNCGKIKAKTDDTRFLQALRVRDPKRRFDQIWRLSKDVLVCEADPPEDEDD PFSKEGKEKSSGHGGCGNAQPTIRKEGISLVGTWKPSKSMMEEDDMPQPEKKTITPQM ALNVFRNISFDSVRIMGLSNDYARPEWMVITVLPVPPPPVRPSVLVGGSTSGQRGEDD LTYKLAEIVRANQNVQRCEQEGAPEHVVREFESLLQYHVATYMDNDIAGQPKAMQKSN RPVKALRSRLKGKEGRLRQNLMGKRVDFSARTVITGDPNLSLDEVGVPKSIARTLTYP EVVTPYNIEKLQHLVSNGPNEHPGARYIVRDNGERIDLRHARRAGGQQLLYGWKVERH VMDGDVILFNRQPSLHKESMMGHRVRVMPYSTFRMNLSVTSPYNADFDGDEMNLHVPQ SEESRAELSELALVPHNIVSPQRNGPLMGIVQDTLCGIYKICRRDTFLTKEQVMNIML WVPDWDGVIPPPAIIKPRPRWTGKQMISMALPSGLNLLRVDKDNSALAEKFSPLADGG LLIHGGQLMYGLLSKKTVGASGGGVIHTIFNEYGPEATVGFFNGAQAIVNYWLLHNGF SIGIGDTIPDPLTIQRIENCVRNRKKEVEEITATATENQLEALPGMNVRETFESKVSR ALNNARDEAGSETEKSLKDLNHAIQMARSGSKGSTINISQMTAVVGQQSVEGKRIPFG FKYRTLPHFTKDDYSPESRGFVENSYLRGLTPTEFFFHAMAGREGLIDTAVKTAETGY IQRKLVKALEEVTVKYDGTVRNSLGDIIQFIYGEDGLDGAHIENQRVDVIRCSDDKFR DRFRVDLMDPERSLGPDVLEQANEIAGDMEVQRYLDEEWEALLRDRAFLRTVAKEDEE MMQLPINVQRILEMARNTFRIREGTISDLHPAEVVPQVQSLLDRLLVVRGDDPISREA QDNATLLFKAQLRSRLAFRRLVTEYSMNKLAFQHVIGAIESRFARAAASPGEMVGVLS AQSIGEPATQMTLNTFHFAGVSAKNVTLGVPRIKEILNVATNIKTPSMTVYQEPAKSH DKEGAKQLRSAVEHTSLRSVTEATEIYYDPDIQTTVIENDRDMVESYFIIPEDVTDDS SRQSKWLLRIILSRPSLLDKGLTVQDVASRIKQAYPKDIAVLFSDNNADEQVIRIRQI QDSKEDEEDDDIEYDVTLKKLEQHLLDTLTLRGVPGVDRAFINEKNNVRVLEDGSLFT SRTDPLCKQWVLETSGSSLGEVLAIPGVDATRTYSNQFIEVFEVFGIEAARSAVLREL TQVLAFDGSYVNHRHLALLVDVMTVRGYLTPVTRHGINRADNGALMRCSFEETVEILL EAAAFGELDDCRGVSENLILGQMAPAGTGEFDIYLDQNLLNTVVSNNARYGVMGSIGA KDAIISDGAATQYDTGSPMQESAYIGTPDPESNFSPIRQAGAETPGVFTDYQPSAGFG GFSPGPTSPAGYSPTSPFNTSPTSPGYSPTSSYSPTSPGMSITSPRFMTSPGFSPASP SFAPTSPAYSPTSPAYGQASPTSPSYSPTSPGFSPTSPNYSPTSPSFSPASPAFSPTS PSYSPTSPAIGGAGRHLSPTSPTSPKYTPTSPGWSPTSPQQYSPTSPNFAGSPTSPGG PTSPSYSPTSPAYSPTSPRQ ACHE_30625S MNLSLIDPFVLAQDYPDTLTEKLRSGHATCLRFNRKGDYLASGR VDGTVVIFDVETNGVARKLRGHTRQIQSLSWSRNGRYLLSSSQDWKCILWDMKDGSRV RTVRFEAPVYIAELHPFNHLLFVASLFEEQPTLVDISSPKPVKRILPSAPLRAPEVDP AVAAKQAAQDAKHSTCVTIFTALGNHIIAGTSKGWINIIETETCTTIHSTRLCNGVVI LLRLASNGRDLLVNSSDRVIRTIVMPDLSQLGTDLEAANIKLQVEHKFQDVVNRLSWN HVAFSSTGEFVTASTFMNPDIYVWERSHGSLVKILEGPREELGVVEWHPTRPMVVACG LESGCIYTWSIVSPQKWSALAPDFGEVEENVEYIEREDEFDVHPAEEIHQRRLDQEDE IPDVLTIEQPMTNGADDNNNNSSNAVDAFHMPVLLDISDSESGEDIVAVGPGTMRRRS PGAGREWMTNGEGETGKNGAGTSRGQRGRRR ACHE_30626A MFANGPAGQRPPTMASPPNHPSSQSSSDMGRSRHNSDAMDIYTI TDRDPAERENANGRWGSNASPSVASPTNHRIPEKFQFHEENGRTYHGYRRGVYMLPCD EQEQDRLDIFHKLFTVARVSDGLIYAPHPHNGRFLDLGCGTGIWAIDVADKYPQAFVL GVDLAPIQPPNIERKNCDFYAPFDFESPWALGEDYWDFIHMQMGSGSVAHWPSLYHRI YAHLRPGAWFEQVEIDFEPRCDDRSLDDSALRRWYQFLKQATEQSARPIAHSARETIR NLQEAGFTDIDHQMVGLPLNPWHQDQHERKVAKWYNLAISESIETLSLAPFRRVFGWP IEDIKRIAAEVKSEAFNKGIHSYNILHIYQARKPEAK ACHE_30627S MHTLSATASSSLSLPAENYIYTITAASPGSSFAAISSDDSLRVF DAANIQRVSVVATKTHVDGVTALEPYDQGQGQQLLVTGGRDGKAKVWDLRSGKGNAVV EMDTDKPVLSVACNADINTVVAGTELASHQAVVAFWDIRSPNALRLQYVESHNDDVTE LQFHPTRSNLFLSGSTDGLVNVYDTTIADEDDALVQVINHGSVHHAGFLNEKAIYALS HDEVFSVHPATNPDEQAQDPEPVQFGDVREPLGCEYVAQLCMGSQGQPHLAVGNKAEN RLDLIPLSSDPWHFDQNNLWRLPGAHSEEVVRSVYLDEQTQTVFTGGEDGLVRAWKPT DGQGVQSSGSSLKTSRPKEKGKTKERFKPY ACHE_30628S MDAQAYLLRHGWAGPGNPLNPSRRLGPHAGLGLSKPILVARRSG NEGVGKKTTKDPTNQWWLRGFEDALKGVGQENGAAGGDGGRKPNALTSELYRFFVRGE GLAGSIGVKREETKVEKKEKRKRGEEDDGDGVEKKASRTEKSKKRKTDESSDHKESTE ERRRRKEEKKLKKEAKKKKAEKAASKEDKKVKKAKKAKKAKKESKSEDDYPTPTSTEE SSDQDESVEVKASSKEKKKDKEDKKDKSKKSKSESTTSNENATHKLKKEKKEKKKSTK E ACHE_30629A MANSRFEYVKSFEQPDVLLPNTWIVVRIDGRGFHKLSDRYGFVK PNDCRALDLMNAAAVEVMKELPDLCVAYGVSDEYSFVFHPSCQLFERRNGKLVTTIVS TFTAHYIFLWNTYFPDMPLQPPYLPSFDGRAVIYPTTGILRDYMSWRQVDCHINNLYN TTFWAMVQQGGINNTDAELELKGTVSSDKNEILFSRYGINYNNEDEMYKKGSVVYRQY QLEEPKSKPASGHEDESTPISEKQPSKSQQEKLRKLRRKAQVVIEHVDIIKDDFWERR PWILSNKPGKLPAEADV ACHE_30630A MNKIFRPSTFTNYSNLCLSCPYPRPWRFDAGMYEPLHLTFDAYP SVVPRVRVRGAMALFLAVTILWALIWLLYRAWQVCQTSNEVLVEKLGLDIPPPPELTL EDITAHEIHIAWKQPDFHNSIHKHIIQLNGSKVGETKRAETAVEILNLVPGTIYHICV LSVSAANFQTPSAILHVRTSSSPLSRSDGNDAIGSPTIRASIPRSTTGFAAPSAPVMT REQSGGGLLQSKRPQVGRKQSPMASGVDVSQGQPEEVQKSTAQDDGDETLEQLADRLK SLQHENENVDKSMAEEEEEHIALLKNLERQRDDMRRRVKEKDEASNELRKHVYKLESV NRTAQGEKSKRERLLQQKEAERQKRKNDIIRWQEQIAKMTADAAHVKEEKSRLEEQRK RRTNEVKEKIAKEQSEMKGIDDDIQDKGGRVKKLEEERKGHQGGDNEDGKELDRIDNE RARQWEIKLGNLQSRYAALVALHAQTQQNYQDAQERLKWLASQRPGSTGPFSLPALDL DLSNAATIRPRRHRSSLASNVSSPINFAGIDPSFINYNPPSTSSPTFGPSSAFFNVNN GMALSGVSDLPEALRSDLDLSFNNPQMSPRADSLLPSDLLGDEMESPELPHPAVRSRF PNLERNPQIEPFPHGPPSPGSSGSQPGSLFASPHENHNQQESDSQQVSLGIAEDAPKS ASRRLSGLFGFNRPRGKTLADEPPLLGTLKPGQSQSYPRNMDDMDPIGSRRRRLSHTG NWPNPISLFPRSSTNNVTADSSSDQAPSRRAAFSNIFSSSRFGFGHGASKHGESSDLS TGYNQFSPRHDPIDPSSILGTVRRGSLSPRPSSTFSFDNQLPHPSTDNRHFGWPSADK SDHRSPLGFDWASPSTWSRGLTRRPSYGSSGHLPLGLSGEPDFLQDSSIERQSRPLQA PIGTRPSSSHRPATPKLNPTAPSFTTIFSEESGKDKGKEQDANESFDFQMDDGSPSES RVSRDSRSLSIFTDSYESLDRVPSSSSLDNSASKESFIRKLTRKGSSSKFSSWKDRSG LFSRKGDSSQGDIDEDRASEAQLGKSVDSTTSSAPSADKSTRSLNIFSRKSKKSDKAT SEPSERASEAGDEETPVEATAS ACHE_30631S MQYVRSISGSVSKTWNSINPATLSGAIDVIVIEQEDGTLACSPF HVRFGKFSLLRPYEKKVEFTVNGEKQPYSMKLGEGGEAFFVFETSDDIPDSLQTSPVV SPTGSPRSQSESELSSSLQEPDYLDLDVSPNASESKPADIPLSRNLRASTDLGTITPL SRSFDTPELGMSHLGPLGLGSGKLNRSDSEPPLGDDFEVRSESSHLSETDPVRIARSQ SPPPPTAEEAMSRAISLSKKLSGSNIPSHVTDTGDLMLDMTGYKSNDEDALRAEVIAR KILSEELEGCYDIGSLIGADEHGNLWIYSSEEAKEAANRRATFNAMRPNSAMSENAVT DSGYHSDGDQHIPESLLTRHTRAKSDVQPEVPSALTQDALGEASRNYAKTLRLTSDQL KALNLKPGPNPMSFSVNKATCTATMYLWSGNTPIVISDIDGTITKSDALGHVLNMIGR DWTHAGVAKLYTDIVNNGYNIMYLTSRSVGQADTTRAYIYGVNQDGYRLPKGPTIMSP DRTLAALRREVYLRKPEVFKMACLRDILGLFNGKENPFYAGFGNRLTDALSYRSVNIP SSRIFTINSNAEVSLDLLSLNQYKSSYVTMRELLDHFFPPVSLLVQAGGEDFTDFTYW RDLPPDLEDFSSTDSEDEDQDEEQEEDEEEQEEEMDEEEEDEEADEEDEGDDYELSGD EESEVFDDDEPVEEDLGGSYVSQASANQHPPDPSIDGQSVALEENQGIEGDDEVEPPE ATPKLVKSTTLTDPPPPPSR ACHE_30632S MASPNQTAQPTTPPTTDPISANANTTTNTNNNNASSQTPSANQN TTTTTSASAPASQPTQIPATSLKDSGKSRRPRDVRLIHMLLASLGVTAYQERVPLQLL DFAYRYTSNVLTDAVHLATEGYAGAAGESGTSGGRGGGSGGGGGAHDVNTVSLSALRL SIASRLHYQFQTGLPKEFLMDVATERNRVALPGASRGFDNAGNANRAPQAHQGLMMGG MRLPPERFCLTGVGWDMKEEWESEGEEEIQKSAAGEGEGEGDGEGHGEDDDEDGKMED IFGEDTAMGEGEGDEDGDKAMADV ACHE_30633A MADSQQQGQQQQPPRPKIKYNLRNPLPLSASQEAEVQDLFHKRV RSHCAAEIKAFAECAVNRTVTATWICRQQRLTMNGCMLAHAKPEEEDRAREEWFATVE ERRRARNEEDERVRRRREEVIRMMREDDERKKASG ACHE_30634S MSSMRNAVHRRQHRERGQLQGREKWGILEKHKDYSLRAKDYNQK KAKLARLSEKARDRNPDEFAFGMMSSHSGKAGKHGSASRDSAAARGLSHEAIKLLKTQ DAAYLRTVGERVRREMERVEQEVRLQEGMREVLGGKGGDKKGEEEEMDEDDEFGGFDF GDEVEETKLKKVVFAGDREEQRELKNRRLREEEEDDGEDDDEDMDESFGQRLKQKKSR KQLEGERQALADERRARKLRKRAVEARENKLKHLQKQYADITAAERELDWQRGRMDNS VGGTNKNGIKWKIRERKK ACHE_30635A MAAPLLVSFDPAAPAGLSLQQIAYLGRVLVKVNSLKQAEDFLRQ NFRSLDVYIDATDIPSSGDIVDILNTGAAKVFITLDQSTALSQEQSVPSDRLVVYASS NSQVDAFQEWVAENPERKDAGLCTESATKALADKLGMNLEAQNLYQNYRDSASITEDG LKQTINEGAVSVVPAQKLTFERNPSDGQISAASLVAARAVVDQGNGLYATLVTDERGV SLGFVWSSDESIAEAFRTGTGVYQSRKRGLWYKGQSSGDVQELIRVGFDCDSDCLVFI VNQIGRGFCHLGRASCFGPYNGLSRLQKTLQARKDDAPAGSYTARLFNDPKLAQAKIM EEADELCRANTKEEIAFEAADLLYFALTRCVANGVSLEDIERNLDLKSLKVKRRKGDA KGPWAEKAGLAPAAEPKPAEEKKPEPPAVDVNTRLEMTRVVTASTPENVVRDHLKRPS QKSNEAIVGLVRPIIQDVRENGDVGVLKYTHKFEKATSLTSPVIHAPFPAELMKLSPE TQEAIDVSISNISKFHAAQKGSNDALKMETMPGVVCSRFSRAIERVGLYIPGGTAVLP STAMMLGVPAMVAGCKTIVLASPPRADGSISPEIVYVAHKVGAESIVLAGGAQAVAAM AYGTPSISKVDKILGPGNQFVTAAKMLVANDTSAGVSIDMPAGPSEVLVVADKDANPA FVASDLLSQAEHGVDSQVILIAIDLNEAQLKAIEDEVDAQARALPRMDIVKGSLAHSV TFVVKDINEAMALSNEYAPEHLILQVQDAESVVDLVQNAGSVFIGQWTPESVGDYSAG VNHSLPTYGYAKQYSGVNLGSFLKHITSSNLTADGLYGLSRTVEQLAGVEGLEAHKRA VSIRVAEIKRYQS ACHE_30636S MRLSAFLFIGPSLAATLPSQPFLHQEALSQADAVKEAFQHAWNG YTKYAFPHDELHPVSNGYGDSRNGWGASAVDALSTAILMRKADVVDQILDHIASVDYS TTPSLVSLFETTIRYLAGMLSGYDLLKGPMADLVNDSSKVDMLLTQSKKLADVLKFAF DTKSGVPINDVNITSHGNDGLTYNSLAGMGTLTLEWTRLSDLTGDPEYARLSQRAQEY LLHPQPASSEPFPGLVGSNIDIATGNFTDAAVSWNGGADSFYEYLIKMYVYDPRRFGL YKDRWVAAAHSTIEHLQSHPSSRPDLTFLASYNRGRLGLNAQHLTCFDGGSFLLGGTV LGDDKLIQFGLDLVKGCHATYNETATGIGPETFAWDAQSVPNDQRVFFEEAGFYITNE VYILRPEVIESFYYAYRVTGETQYRDWVWNAFVAINATCRTDSGFAGINNVNVKDGGG KQDNQESFVFAEVLKYAYLAVTGDDEWQVKPGNGNKFVFNTEAHPIRVYS ACHE_30637S MCGPTRPSSGGRIAVLFPASSSAANSYSVLFHNSKENTQATFRA DNNDIESLAALRDCSAIDLRIEKYGDLTSSPTLTSTPLHVFRLRPQPGASKMEMECEL PERLDLGISETGIVGRQVTVVANGRMGMGVVGYD ACHE_30638A MSQFTLPPLPYAYDALEPVISKQIMEIHHQKHHQTYITNLNAAL TAQSTALQSQDVPQLISLQQKIKFNGGGHINHSLFWKNLTPASSPQAKLESAPGIKAA IERKWGSYEAFQKLFSATLLGIQGSGWGWLVANKPKGELEIVTTKDQDPVTGDTPLFG IDMWEHAYYLQYLNNKASYVEGIWKIINWSTAEDRYQNGIEGPAVLKL ACHE_30639S MSEVHLDDFSSLFSLNGKVAVVTGGSRGLGLHAASGLLQAGCSK VYITSRKASACEEAVAALNALPNKRPGAQAISVPADSSRMDELDRLVAEVNKTTDHVD ILFANAGATWGEKFETHPEHAFSKVMDLNVKSVFYSVQKFAPLLSKSATRESPSRVII TGSVAGIGVGSLGENATFSYSASKAAVLHLTRNLALELGPRHILCNALAPGFFPSKMA SGLIEKQGGMKVLEEESPNKRLGRPEDIAGAVVYLGSRAGSHLNGATLVLDGGSVLKS KL ACHE_30640A MWALGIRFMAFTDVSVEPLLGTSYSRLTAQALEQYATAQIDRIR RSTTVYKEAWTISQHRLGGHFGSADKIRRSCAKKSSTPSSQSPNSLPSPSHQTRQRHF LRPSSSLPHQGLRASYPSDKCARSRHNLGEHV ACHE_30641A MEPLEQETMAASALLQSGFPFCPFPEDQLKDLPTDSVLEWVEEK LDAGQLFVVRSFDRLDTRALYCSPERTSSIFYPWNQSLFVTARPDAISAYRRKNCCVP KGLAARTSVKLGNS ACHE_30642S MTLLALSRPLRPLCRSGLRQQKTRFSTTKLALAAQVGHSTAIAQ SDTNRERVIILGSGWGGYSFSRKLSPDSFSPLIISPRSYFVFTPLLTDAASGALDFSN IVEPVRDSKAKVDFIQAAARAVDFNKKTVLCESTVVKSGVTESPRTHEDERLQEEGPE TTNYRPMAEARRWEQGEMFEVPYDKLVIAVGAVSKTFKTPGVRHNAMFFKDVGDARRV KRRVRECFELAVLPTTSPEMRKHLLHFAIVGAGPTGTELAANLRDYIYQDVVRLYPAL EGIPRISLYDVAPKVLSMFDESLSRYAMDTMQKEGIEIKTSHHVKGLRWGAPGDPPPY DMDPKRCLTLTTEEEGEVGTGMCVWVTGNAMNKFVSRALQDLDSLPTESVLMKGGSKP PTEVQNATWHVKKAPKVGALLVDGQLRVQLENEHGQTAVMQDVFAMGDNAMPETGAPP ATAQATFQEAKWLATRFNKGDIQQSEPFSFRDLGSLAYIGDANALMQIPHEKDGSKYL PQGLTGRTAALVWNWAYVTMSISWKNKIRVLFRRLLNKMYGREVSRY ACHE_30643A MSRSRSRSTVGSDAHDRLEPRLQKAAEHNDLEALTIIVNLSREN GQFSDSFLRVGLMRATERGCIEATHYLLRQGAKADIPNLDHTGNRPSPLFRAVERNHV RIAQLLLDYGASPETVDKKGRTALMTAAWRNHWHMLDLLIARGANVNAKDKRGRNALH NLAADKVCDWGDDVVRLLLGTACAVDGEEGRDLLGRTPLHWACATGKIRLAELLLMRA GPFVVDIDAVEFRNKTALHIAAAHGRDDVVELLLRYGANLEARSDGGWTALHNASDKG SVPTVCMLLRAGANVNSQLLNGVTPLHLAAQAGHTAIVECLLERPDLKRRVRDNFGST PFLRAAQFHRKDIVFLLAPFNNVEALSPDAKGACESFEATIVDFGNYHNENRVQKTSV YNLLYGRDKENPRKQAFKALPTDSGVTDFRWIHLPANNMAWVETLLTKAFIEEGLHDV DGFKGLEKSFNYQHRGQWIHSHFMRPICQSTPRATATKSRDEESSSEDTLSPLSPSIT VNGQTIVDGRPRDPRGEKQSHSHPENNGHGHHRRHSSNKSKKKNTGKTDYFADNASAH SKKKGAKHPGTPKGEAKSKPPFGREQHSSTCRDSTASNVCIFMPYLHFETAERRQRMQ EAIQRAELVRRRRLPPPPPVPSFTPDQVIGRSVSRDEMLINAHLTSSSTASLHVRRTL DQFFYPNIDTSTRDQDQVVYRYQTRSDDGRCDDPKIFMVDQLWMWILGPNLIVTSFAQ RWEQPKNDPLNVLDGIIEDINSKTRDPVTSVYDLAIAITNRCSGVFDRHRMDEEYQFL DMFESAVGLATDRETVLFRQFNAASLQASQWLMNHRKLNRWARHVQKQEEQTIKYRPW ILDDTDSWAYHESEEPLFVDKLLDIGQETDLLAEAKDIRDELNIIRTILQHQKDILDD LQDIICGIHTHQNRPQTDIKKRFKEQQRTIDMHLKDIERMDAQAERVYYSITDLLDLK QKQASANEARFARDQAAGTTRQGKIILVFTIVTIIFLPLSFITSFFTINLAEFPHDAD GDTQLHLSYVGRYTFGIGFAISIPLIALALAVDEIGNTFRLVRRYCTEQFSKFAGNDN TKTRAMWKQEKIQDMEYEAAVERIRRKSMETEFAGSLLPVSTRGTGRTGRTGRTAAFR VEGFGRRFYD ACHE_30644A MLSAPVKSAKRISSLFSLGSHKDGSIASSPVSPGFKSSPEQQPQ DATRPRSASRPSRIVSQPNLDTSTDFNLDDPLPPPPSLLAVNQDLANSASTGPDGRPQ SRGRRRSSSRPASAAGLFVPGGGSDSRPSTPSKRRSWIPGRVRASSVDTRGPASPGLT PNQPGAWIAGLDQKIAYDLEPLARGDQIPEIWNENGDTYVYLFPQNTGRPPSFKVHST VFAESPSLTFLARGTDPKAQALEHQARHMSLSSPTNLPDNDNDSSGSNRMAFVDDVAD EPQELHLFLPIPLNCDVSSPTARISQEDTETLLLFRNLFAFLLGQSLIATPRSPSLFS IFMDVASLLSRFEFSNLDGTNFGETATTSFGNYCDELHLGDVRRSREKTIEAIVLGER LRFYPLYLEGFVHGVGKLDELKQLRSPKFGLIHPITQKRLERGFIDLDTRLRVLYSKL NEFDFPSVFSGAANSTTSVESKVVRFKAWKQAFSEFRRFTMQYYRQKYGSWPPKARSK KNHFEESGLNRQVLNDLYRDFCDLYDMMVDRGALTDRTIDHSGEAPQTSDPDELMTRA LRQVMSEYDRATPPVQPPIPFDIPQMPSLKSLHSKPMDAKKEAKRRTKKLKDTDINAV LMGSYTREGLRATPFIESFMQFERRCGHGKSVNDLIDFRCGQWIFLYCVIQALPLLVV DVPNVRYNDAVEYFLCIAPRGGAPWIQNDSKTARSWFGVAGGAGVVSLPSDVVVNGVE GIYRRSHCWQMAEQWADADAILEPPIVEDAYDNESSVSSPYPAQQSSAGSSSEPQPSP YMAPPNALTPPPAAIPRTNSPALRSRAEHRHSIYPGLEALPLPAGVAPIEPPARPISR FNPNMSFDDILKQVPQKKK ACHE_30645S MQLLSTLFLLVQLLGVALASPIQDSSLVSRDSEYWVAGVKHQGL VAFGNSSDYQVFRNVKDFGAKGDGSTDDTDAINEAISSGNRCGQGCDSSTTTPALVYF PAGTYVVSKPVVQYYYTQMVGDALNLPVIKASADFSGMAVIDADPYDDEGNSWYTNQN NFFRSIRNFVVDLTEMPKGSGAGIHWQVGQATSLQNIRVEMVRGGGDANKQQGIFMDN GSGGFMTDLTFNGGNYGMFLGNQQFTTRNLTFNECNTAIFVNWNWAWTFKSLSINNCQ AGLNMSNSPSNQTVGSVLILDSALTNTPTGVVTAFSDDSIPTGGGVLVLDNVDFSGSD VAVASIDGSTLVEGGSVISSYVQGNAYTPSNTIAKRDEPVEVVTETVVETVEYCPTNH VETTLAASRVVPTGFDSDLPMPSVDVSSVMGSFFSGVDTSVSAPAATSAPQAQSSAES TPVPTSVAHGTVPAQKPTSATPVSAHNTAPVSAGVGVSLGLGGSGGHSQGHGACSSQT VTKTRLQTVMSSQAKPSGLLDSNGKIFERSKPLYESYSASSFVSVRSSGAKGDGQTDD TQAIQKILNSATEDQIVYFDHGSYIITDTILVPSGIKMVGEVWPVLMAYGDKFSDEKN PIPMLQIGKEDEKGTVEISDITLQTKGPAPGAILMQWNLAEQEQGGAAMWDTHFRIGG SAGTQLQSDKCAKTPKETTKANSECVGAFMLFHITEKASGYLENAWFWTADHELDLPD HNQINVYNGRGVLIESTGAVWLYGTASEHNQLYNYQVSNAENVFLGLIQTETPYYQSN PDSLTPFSPQSSWNDPDFSNCDSASCRKAWGLRVLNSSETYVYGAGLYSFFENYAQTC LNTEDCQENMVEVDCSDVKIYGLSTKASVNMITSSSGESLVPEDENTSTFCSTIAFFE QSEA ACHE_30646A MEDHVRQSMSNHPSPFTHHHHQFPRDHHSPLSYNEATSTALEPI EQNQQQQYHRSTSDSDEENQPPPRYTPENDPFQLASKIKSEEEIRQIQIQANTSRKRK IAGTGGTAAVAATALKNPKATLAGSSRLSGFYKTQNENIERMLKPVEEHVRAARETNS NNQLRYKIAVYGSFAANVALAILQLYGAIASGSLSLFTTMADAIFDPMSNLTLLLCNK AVNRVNPRKFPAGKARIETAGNICFCFLMTSVSFILIAFSCRELAEGSTSPTGEFHLP SVIAVVVAFVTKLALFMYCWAMRNQVSQIRILWEDHRNDLIINGFGILTSVGGSKLRW WIDPMGAIILSCLVAFLWLYTAYHEFQLLIGVTADTKMQQLITYISMTHSPMITAIDT VRAYTSGPRLLVEVDIVMEPSESLRATHDVAEELQMKLESLPDVERAYVHVDYETTHK PEHFLKKEL ACHE_30647A MVKRKLGALEKVEADLPNLQHKVRRDPKSYIEDFRAQHYQYESH REIFMAAPTAATDTGVISLRELIDFISHVADCYPDITKDFPQQLIDMLTQHHLVLEPE LREKIVGSLVLLRKKDLMDSATLLQTLFPILISTPSKTLRALLFQKILMDLRTSNSKT TNHKLNKTMQTVLFNLVTSDRTSSKGVWAVKLTRELWKRQIWTDSKTVEVMKETCLSE HEKVVTGGVRFFLGGDKEREEIEDESSDEEAIDVGRVKHQVGINKKTKKKSRAVEKAK QTVKRKERKKNQPHPLNFSALHLLHDPQGFAESLFQKHLQNTKSNLKLEQKLQVLQLV TRLVGLHQLHIMPLYSYFQKYLTPRQPSVTSFLASLAQASHELVPPDVLEPLVQKIAN EFVSEASASEVATAGLNAIREVCVRQPLAMNETLLQDLVMYRKSKDKGVVMAARGLLS LYRDVGAEMLKRRDRGKEASMSLRAGDRKERRFGEQEVGGIEGLDLLEKYKEEERRRK NIEKGLPSDAEDDGEENEEENWANWNVDDDEDSDDSGGWINVQDDDEINLSDSDDEND KKDGPPNKKPKQDEDAEKEKVDTEQKMLNLATTRILTPADLAKLQELRTEAAINAHLP TKRGQNNNPASRHADDPLTAAEIEGLNALSAGKLTREEKIAHAKEGKTDRSEFKSSTA KRQERKVAEGKSTTNKEKARRKNFMMTLGKAKSKNKRSLVETRNVLRAHQERQKRGGR RGNNG ACHE_30648A MSDHGEVEVENPTAAYTMLPKDALAEMGSVKLFNKWSYEDVEIR DISLTDYVQIRSPVYLPHTAGRYAAKRFRKAQCPIIERLTNSLMMNGRNNGKKLMAVR IVAHAFEIIHIMTDQNPLQVAVDAIVNCGPREDSTRIGSAGTVRRQAVDVSPLRRVNQ SIALLTIGAREASFRNIKSIAECLAEELINAAKGSSNSYAIKKKDELERVAKSNR ACHE_30649S MAEDNPELQAALRDLDREFEEGDITEKGYQKRRTVLLSSFFGPN NGLDVHAPSPVGQASSMTSSSNTPPAILNVRPPTAESTAYGAHTPSFSGGYEGMQGSG SFGYEQRYSGEMQEPVDGNGVAYYHGDNSMLQPMERMQPTGSYDSLFLPRPQPTSMVP EDSRTATLMSQNYAFNPGVHPEYIDEHAAAYDVGGYEMPMATGRRQSMMLDAQQGYFS DFAGQQHDDYRDSYGGGFHRYSQSDAFSPTANMAPPLIPASELPHGAAVDHLIPLEPR DIPFAVNDPHDKNIPMSNFDNIPTVLRHRARAHAKQPAYWVLDQKGKEIASITWDKLA SRAEKVAQVIRDKSNLYRGDRVALIYRDSEVIEFAVALMGCFIAGVVAVPINSLEDYQ SLNLVLTSTQAHLALTTENNLKSFQRDITTQKLNWPRGVEWWKTNEFGSYHPKKKDDN PPLVVPDLAYIEFSRAPTGDLRGVVMSHRTIMHQMACLSAMVSTVPGNKNARSQGETI MSYLDPRQGIGMILGVLLAVYGGHTTVWLEDRVVETPGLYAHLITKYKATLMVADYPG LKIAAYNYQQDPMATRHYKKNAEPNFGSVKLCLIDTLTVDSEFHEILADRWLRPMRNP RAREIVAPMLCLPEHGGMVISVRDWLGGEERMGCPLTHEMDPAERPEAKREAEEEKKA QEKQGSKSGFGSSLLGGGSRAPAPKEQGKTELGEVLLDKEALKSNEIVVLAMGDEARK YAGSMPHAVRVGSFGYPIPDATLAVVDPETNLLCTPNVIGEIWVDSPSLSGGFWALPK HTEAIFHARPYKFEEGNPTPILVEPEFLRTGLLGCVIEGKVFVLGLYEDRLRQKVEWV EHGQEVVEHRYFFVQHMIVSILKTLPKIHDCTAFDVFVNEEHLPIVVLESYAASTAPT TSGGPPRQLDSVLLESLAERCMEVLYHEHHLRVYCVLLTAPNTLPRVTKNGRREIGNM LCRKEFDAGTLPCVHVKFGVERSVMNLPVGIDPVGGIWSPTALMARQEMLAMQEKQYS GVDYRDVVMDDRTSTPLNNFSNIVDLFQWRVSRQAEELAYCSIDGRGKEGKGITWRKF DLKVAAVATYLRNKVKVRPGDHLVLMYTHSEEYIYAVHACFCLGVVVIPLAPIDQNRL SEDAPAFLHVINDFNVKAIIVNSDVDHVMRQKIVAQHIKQSAQVLRLGVPAIYNTTKP SKQSHGCKELGYTVRDTWLQANQPAMIWTYWTPDQRRISVQIGHDTVMDMCKVQKETC QMTSSRPVLGSVRSTLGLGFLHTCLMGIYVGAPTYLVSPVDFAQNPMSLFVSLARYKI KDTYATAQMLDYAMSAMAGKGFQLQELKNLMISTDTRPRPDIYQKLRLHFASAGLDRT AINMVYSHVLNPMVVTRSYMCIEPVEVWLDLRALRRGLVIPVDPDSDPTALLLQDSGM VPVNTQIAIVNPETCTLSHVGEYGEIWVQSDACAKSFYGSKQEFDTERFNGRIIDGDP SVPYVRMGDLGFLHTVTRPIGPGGQPVEMQVLFVLGGIGETFEVNGLNHFPMDIENSV EKCHRNIMPGGSAVFQAGGLIVVVVEVTRKAYLASLVPVIVDAVLNEHQVVADIVAFV SQGDFPRSRLGEKQRGKVLASWVTRKLRTIAQFSIRDVEGPEDPLAEAPQHRTSRSSK PPSIMGSLRRSVMVPEHEAVGAIRSPAPVPEEYPMHADFEEEQHYQQQPQMEPSIVSA PAVSEAPPSVPQIAEPTAPPPKPPKIATDETHLPENAGTPTTIEQPDLGFNFGDFANT AGATHGHPDPESYNQPQDQPQALPIRTASLSNLSNQQRRFSSIPGGAEQHFSNSRPGS RDVRSQPETVEEDFEDWPQEALMYQSAMGHDDGQAEFYRRPSHGSGIARTAYDGSGYG Y ACHE_30650S MCLSIIFMVIDTCSVLDAFDTAHLATGIQPFWKLSFIFKCLCDT VILDDFKTALDHIRSYHFSRSILAREESFWRHSDQQQVDVENGVCLSPRRSRTAKRDD QLPRVAMREDIGV ACHE_30651A MAATADKPSTGLGLYGKFAFAGAVCCSVTHGALTPVDVVKTRIQ LDPVTYNKGMIGGFRQVVSNEGAGALLTGFGPTAAGYFLQGAFKFGGYEFFKQQCINQ VGIERASEFRTPIYLASSAAAEFFADIALCPLEATRIRLVSQPTFASGLASGFSKILS QEGIGAFYSGFGPMLFKQVPYTMAKFVVFEKVSETIYRNFNKDTLSDGSKTAINLGSG LIAGFAAAIVSQPADTMLSKINKTPGAPGEGTITRLAKIGKELGFAGSYAGIGARLFM VGTITAGQFAIYGDIKRILGATGGVEISK ACHE_30652S MPESLVSDSSSMKNEEQKWKEIEEAAEHLDQMPSWDSADNTLMM QAFEWHVPNDQAHWRRLHNLLPSLKDIGVDNIWIPPGCKAMSPSGNGYDIYDLYDLGE FDQKGSRATKWGTKEELLDLIWLAQDLGVGIYWDAVLNHKAAADHPERFSAVRVDPEE RNIDISQPEEVEGWVGYNFPGRGETYSSMKYHWYHFSGIDWDDSQKTNAIYKIVGPDK GWAQDVSDENGNYDFLMFADLDYSNAEVRADVLRWGEWIGTELPLSGMRLDAVKHYSA AFQREFIDHIRSTVGPEWFIIGEYWKGEVDVLLKYLEEMRYRLSLFDAPLVYRFSSIS RTKGGDMRTVFDDTLVQSKPDHAVTFVTNHDTQPGQSLEAPVASFFKPLAYALILLRS QGQPCIFYGDLYGMKEDVENPLTPACNGKLPILTKARKLYAYGEQRDYFDSRNCIGFV RYGNLHHPFGLACVMSNAEAFEKRMYVGRRHAGEVWSDILEWYPGTVTIDRHGYGDFP VAGMSVSVWVNVEAEGRDGLDACFDTTIYGQPKME ACHE_30653A MTQPQYCTRRRPIPIIPSKRQIHLLPGAAVILNLRILSTGERLL SRAAFICWPVGGSSARNVFVEADCAGAEGAGDGEEGEEECGFHVCIEISICHVHVHED LGMTTPVYGNWKPKPRSCIPEDPVNIDLSFNQPSRIPDPFVFSDHRGHVHDFRYSWYK QEAVYCRITRRLSYISNTIPRGEVLMLRNAQPKKH ACHE_30654S MFSPQYQEIAATPQEQQLAYFTPYQQQHYYPTTTKQEPKVRVRP IWKFFAVLPPNLLLVGYLVLPVAFKEPGPDPEPDSNMHINKAAITIAASVLIAVAYVS SLVLVLAQSHNGTFAITSVYIPCLCSSLLGLFNVVFNIVARNIFPLSSLEKAIPEATV LLTEEELQRRQLIALLEQGRKNGGKSPSPRDLQRTFKVDGPERLNIGNNEWDRYKPSV REGC ACHE_30655S MRTVPSDFAVHSMHCYFVLAGDSQIPILYHVERVRDGRSFITRT VQARQRGRPIFTTTLSFSRANSGGKKKLEHASPKPDIAMPGDTSSLSARKRLENESGG GGPFESQKAGIVNRTSNPEDKRMRRFVRARGHISDDGGHQAHLSALAYITDSYFIGTV SRVHDIPRFSSPEELQKVLNALKNPSDLDDEDIARALKELKEEETTELRRRLEGALSK AMGKQEEHKEVGMMVSLDHSIYFHNPWAFRADEWMLIEMESPWAGEGRGLAIQKIWSK DGVLVATCTQEGVVRLKQDEPPRSKI ACHE_30656A MNNFSLAILSILIFSLILSFRKHLLSWTTYNENATPSGNDLKRI APYPAQPIKGRERYRVMMDVRKLDEQNWLTVDKNYMDEHQIRGQLLDREKSKVLQALP ESYDACLETLEEVVEFLCTRFPNMFAKKTVGEETTVVNKMMGETFAFGGPQNAHVDPL EIAVRLTMEDLSVLLKNEDDEYYLAASATLFPVGWTVAERIGWTISKLHNPVPLWHQQ VASTVSKFLNRLTPSNPMERSNYFVEVKRPDETLFEILYRPTTLSEANHNPAPEDIVI RRERQTFVKLPRSGALIFGVKTVLTTLDELPMQELQNLAKEIRSWPDYVGEYKGTEIW GATALEFAERKSREAEKVEV ACHE_30657A MDNEKIPPGPACPGKVKTRPTTTRLTLSSASNVLDVFRRLREDL SGSGDEVSEEAFLELLARYDIHVSELSSFVSTVEQLDSLFTAVTYNQAARCFWLDPSR GGEDMRFLEIYRSRIPSHIFKGIVDSWDMAITQYGPLQGHGEVARPRVITTLFEKMGA VFKSAVISKPGGLLNRSSIRPRFRKQYEILQELSNVVFLQVSRELASGSSALDVKAWL LAECSVTDYINDKNGHWAPVFAILCDGNNFEFFVVDSAAQIVHSSGWVRGIPMKNDDR ERTLLSIKRITEILFDFFIMAFINGLRSIAHSSTRIPTEISTNALTTAEYAHYLLRKA NAHTIDQQWKEAEDMASAGVERLNTSVRELSEYLPDPRGFLGL ACHE_30658A MDFKFSRFGFRRKNPSSSSSNNTTPTTSAAPQIPPIPNQSTQTL IAPPSNGATSPGSAPSHTSSSSTSLPMNPNPNPNALGRPPSYSYNRSASPMPPQQMPH HPPPLNTNVQYTQPAMQHMGAPPGYGLQQPVAQPVPPMPYVRNPAVEVEGSGRSKAQL IVGIDFGTTFSGVAFAFATNNEAREDIITEWPGAGTHTKQKIPTVLYYDQYQKVVGWG PDIADALAPTGYPKLGVQKVEWFKLQLMLSGNTYIDPINLPPLPPGKSEIDVAADYLY KLRQAMRNQLQKTLGEVFTREERNIRYYLTVPAIWNDAGKAATRAAAIQAGFLRDEND PRLTLISEPEAAALFCAKTGLLNLKVGDAILIVDCGGGTVDLIAYEVEEEQPFSVAEC TAGSGDSCGSTALNRNFSNILRAKIRKMKLPDGSRTAGKVYAKCIMDFENRIKADFRN NGQKWAVDVGIEADFAEAGIEDGYMTFTNEEILQCFEPVVNRILELVRNQIIAIQAQN KSLQNVLVVGGFGASEYLFQQIKLHVPPQYQSKVVRPMDSVAAIVKGAVTAGITERVI THRVARRHYLMATLQPFKEGYHPEQYRVPSLDGRDRCKYTRQIFVQKGERVKIGEPVK VSFFRQVAPGATLMYEDILYACDEDVCPEYTKDPRIKEVVTLTSDLSRKNLETDFERM DTPQGTFYRVYFDIYLTLDGSEFSAELVCQGEIMGRCRAKFK ACHE_30659A MAEPEETWEGSFDPLADPEERRVLFATLDSFRQYRRNAHQNTTH RRRQAFYALPSAHWQMLADPPFSILDHFSRVDDAIDTNADIADAILSVGLASFGLPAS PSVDDPRTNWHGKANSSDVNKAHSTIRQFYRDWSAEGKRERDVCYGPVLRALREEFGD KQQRNQDDEVKVLVPGAGLGRLVFDLCQEGYTAEGNEISYHQLIASNWILNHTAGPQQ HTLHPFALHFSNLQSREQQLRRIQIPDVHPGIAMMEVANNPDPNVQFGTMSMSAADFV ILYKGESNRESFDAVATVFFIDTAPNLIRYIEAIRNCLKPNGVWINVGPLLWHFGEGK NHNEQQPEGIAEPGNVEFTEEEVFCLVENMGFKLEKRTAPEEREMCGYIQDPESMLQN LYRPSYWVARKK ACHE_30660S MATQVEPTSPQIQPKELSFPLPKALHTTAHVHLTTLETCAMVFI TTSTPGDNTGSAKPMGSFVYAMPDRINPKSVLSTTLYISPSIEYTTRLAKILARRMAR PVYVGCSLDPGALGLMVEEEMEGLGRIVDVVMGQSKS ACHE_30661A MTFPLEYAFQKEFYRSFYTVVDGSVMISPEYVVKRGKCGGTIDF LVSSKGLGFELLRNRDKIVEHMNRFEVGGAYYHLIDTRVMQKYIVLDFTCMMPHKQRP EYQAHLYHAVFSDGFGNVSIVGTSNLEVVDRFTLLENSDPL ACHE_30662S MQNPYFSPIQNNPPPSSSSSSSHPHPHHVSYDLPPVQSVTSSPF HHRPSPATLLSAPPSTAATTATTGLSMAHLLQPMSPNNRPNSNTHNAPIISPPLALPV SSSASSSTAPYYPRSYGSSSGSPAEPTAVLPENDHSASANSGTAGPVSGTSGFTLGQP AQPQQHPQAAPAPSTGTPSGLQQKRAYRQRRKDPSCDACRERKVKCDASESSSCTECT NRKVRCQFTKETNRRMSSIKQVQDLEKQLVSTKQQLQQLRSGMLKSDGPSMDLDFVET STGLGQQPLFKLPDISHRPSRRPKAPVAQDLSSVRTNLRSYGRGIWKVPSSYRQQSHR SLLTADEPPLPSKAVADRYLAQYYACIHPVLSVIHWPTFAADYEQVYRTGSLRGAPRE WAAVLFGVLACGSLHTLDRSREQDGKKLIRTSCSIIDVWQDDFPMDQATAALLVSYFL YEVNSKSSSWVWIGSAVRAAQEIGLHLDSGPWPPLESEMRKRVWWGIYTWDRLLALEI GKPVSINDQDCDVDLPCPIVDERYISDGILPDSQQTTPFLAAIHVVRSIGQLTRTLRC PTIAPATLETFERHFDACMGTFPASYHPRSDQPLDPRSLAPIVYLQNARLILHRHNIS PMSPADARSISLDHCISAALDTATLLSRCLQHPLPGGEDGLAVFASAATTILCMHIWR CTLFLLLRQEYAAALVCVQASSQIGDLRAINAFCGRYLAFFVRFLLDRMRRPGPPVDL SRDEELIAYVSGDMQGSSDGSWVWQGSEMGSQLEVLSSPVNSSPIARPETGNDSMDWE GWEWIERTVQYLLSEQQQQQQERPQETQPQEPVKKEIYLSPDTATGEDIARRSSSSHS RMTIASII ACHE_30663A MMQHRMLSKEDEVAAGGEENEVRREDQEKINRFSRLHQRETVLE ALLKGKQKDKEDLEEVTTELELADEDELVPYKIGDTFFQLPLSEAQQLLATSTEDVEG EVTKLEDSLGELREELQELKVALYARFGRGINLEA ACHE_30664A MQIQSSVLSFLFAGLVTATGFDCAHINAGGIKYDLSPLGGVHSL YHIEETEDYVVNTTYVLNICNILKGAAIRGNLKCGTSKNICGFEYRNAVDGSDSETKA FPIVGLDHLGHGAKDPEITRLKQLDPDREGLSVRLGGGQYLDNEKAKKDAGAMIEFQC DPTRSGLEGLSTEEDTAEEQLRATEDGDGQTGDKSRSLQFKSFAPADDNSYVLKLDWK TRYACDDYLSSKKDGSSSHWGFFTWFIVILFLCISAYLIFGSWLNYNRYGARGWDLLP HGDTIRDVPYILQDWFRRVVNTLQGTGSRSGYSAV ACHE_30665S MSFINFPILLPRTNNTTTMQNISLTEDVKEVINKLRIVAADMDA CEIYRNSIGWQYGGYKIEAQLNHLKVELEKKKKKKNNCKVAEIKMIRFLKNANVVNPT NSLILIPVNGDALFGNATVIPDEGYYTDEDQRPLYGCGVDVVLVVLSSK ACHE_30666A MKIAITGARGTVGRDTVQLCANAGHHTVQIDRTPRDEPDDIPNT EHRVADIGNDYNATLKAFEGCDALIHLAAIPDPVEKEDSLVHNNNVNCAFNGFRAAAE HGIYKICYASSVNAIGLAYANRPLRFDYFPIDEDAPQHPTDPYALAKEEAEYQARSFV EWFPGMNIACLRIHEVAPLKDVQKEHEKNWDEAAVKQLWGWVHPNAVARACLLAVEKS EKLGGCQIFNIIAPTTTQKTSSEELARKYYPKAEIRGNLSKNQAFWATEKANRILGWV HDEKE ACHE_30667S MASTTGYCPIEDYGLIGDMHTAALVSKSGSIDFMCWPVFDSPSV FCRLLDKSRGGYFSITANPECNASSKQKYVPYTNILETRWMHEDGVVHVLDYFPIAKG QEAAPTNILTGICPCYERYKAKFDDALQYRHSAVVRKVTCVREKLDMDVELFPAFNYA RDGHEVVCHFSGEAFTRSQTQSLIFKSATETLRVDVHAEVTDERQKTPPGVQLELQDK EGLKGQGLSAKLKLSIGQSVTFIIHSDEVAVPESNVGLCISKLERNTFNFWASWTRQC TYNGHYREQVLRSLLILKLLTYKPTGAIVAAPTFSLPEDIGGSRNWDYRFSWVRDTAF TLYVFLKNGYTEEAEQYMSFTFDNILPSAKAHANEDQFLPIILTIRGDSEIPEMELSH LEGYRGSSPVRIGNAATGHIQHDIYGALFDSIYLYNKHVGPISYDQWRAIRSIVNRVR KLHKTPDMSIWEVRGEKQHFVYSKIMLWVTFDRAIRLAEKRSNLPCPELIEWRTTRDE IYEDVMEHGYNMDRGFFSMSYENKKMMDASVLIAPLVFFIAPDDPRLLSTMKNILQSP EVGGLTTAKMVLRYDHAMAHDGLDGKEGAFVMVTFWLVEAMGRVSASSLVKDFS ACHE_30668S MSFPEPHIHAPTTTYTHTIILLHGRGSFGPKFAEELFSSNTSNN QNLPTALPNVRWVFPTSRDRWDTKFEEEIPAWFDAYSLTDIEERQELQVEGLRESVKY VLEVLEKEIKLVGDAGKVFLGGISMGMAVVVWVVVYYLASGTGKGKALGGVLGLCGWC PFARQVEERLSAADAGEEGGDCGAEFQRLVSSMLGQTIPVAGNQEGVSPASIPVCLLH GIDDAFVSVELGRQAAGRLQRMGMPVEWHEYTGAENEGHWIKEPEGFDEIVKFLCSR ACHE_30669A MAPNKRKPLGLEVPRTAATLESPPGIAALFAIRFDIKTGYVIAW KRSISDVEVEGVVEYKSLPSGLHNVAEDLVYFVHEEYAGLSAFINLPAEEAERNAKMF AVGVLVPLSFGRLGKSWRHIPKLKELVQQYAQDMSNSKPLDEYWDTYQVRGPDVLPPE SPLDSPISVRFNRPDPSHRHRAFSDAMVLESSSRPALTPFHPASSLSHFVDSFGPLIF PLYRAALLRKRILLMTEAPVHEPCNYVYDLSLLASLPNSVLPLLPPHNLPTPRPRPLF NVGIHDIPYLSSFIGCSPRDSERDSAWIACSTDSVLAMKPELFDVLVTVPAPYTKDAA ERIYPKITVQAPSKPGIASIQTELRATQRDARRYTRLRQGLRHLSRDENSQVVDPNDD GASLNDAVDDNSDTASTFSSSPVIEPLSWAQLAYTSFIWWASAGEKREGLSEEEEEQQ IEQDTRLLASVENLSHPDQQPGNTEKQPPEVALVAYFRRLTAQIFIILADVVARHDSD GQESVDDDSQPYHDEPDDEGDANPSVTVARQSTQEGDDDQSPLLQPGRNDKDGEDIYD PNTVIITTEDMTEMGLDVWSATDRVFAEELVRLWWSRQARVDSARIRCCGITIL ACHE_30670S MAAVIPPHPYYPIDASIAGYSPNEASVITLLAVASVSSLVLLGT TWTIVNLAKSSLRYGDRFAILWFVLSGTLHCFFEGYFIFNHDRMGSRQDFIGQLWKEY ALSDSRYMTSDTLVLCMETITVFVWGPLCYLVAYLTFTQHSLRHPLQIIVCMSHLYGD TLYYATSLFDHYVHGVAYCRPEGYYFWIYYFFMNFIWIVIPFYYLWQSVGTISDALKS VQEMANQRKSR ACHE_30671S MSSPAPHRASNRGGNPATTPNKGPSAPKQKPVDIGKQLGLYDTS SVRAKVRKWQQQGGGVVVANDCVYYEEDEENKSAANNSQGRSTARDKQTRTSKIRSES TPRKRVISDEHWKLNRNAPQTPQTKLPPPKRISEYTTNDSLGSPPPRRDRRDTINDDK PRPSQASRSRERSRTAVTPESVRQHRKSKSTHDLSARTGNDTSRLERPRPSYSRPETE VRSLPDERSEISERSRTKSLPPEADMDWATLEENFAELSKKRARGLEPSAAKPRPTPA TSLKPPKGGIFSHMLDESRKMFARPEPPRPKGTRIEAWLSGTPDTFTHDGESDVEIPA PLNMRPNKAKHSSRDSLDRHHTPDSRRREGSHNSEPPSENRSRRRSTHVRRSRDKIEA DEEKSTGELSSLRGPDHTPPPSIRRKSSESKQGRSPANDKESEASEKAREKSAEPEPE DDETEFHPPEEAEASDDKALVPFGRERPFPTTGNRLPTIASAESDKAGDAEKPEEPTT EQGPSEEEAKSIPVQEVPDSEERDQFDPNSLPLVTTQLKRRLTTHDDLISVLSGSNGR SRSLRSARSLRSNKSHAPNATVTDLLRELSADEAKYMRELKTLVGGVIPVLLTCVLSR SESAVAAGLFRPSMDPKDEMNFTRPIVDMGVAIERLKSLHKRIPQDQVDTLLHWATGA QKVYRDYLKAWRLGFKDVIVNLAPLDESEAAKNKDDKEDGNNNNSETRSLDEGMARDE NGDVVDSEGEKVDVAYLLKRPLVRLKYLAKTFKGINMLQPSSKADETASSYQALVTEA RRRAREERARLEDESAASIDPTRARDPATLGALAGVTVDQTRRVRARDFFNLSLYHST GQVIDCRAELLMRDNAPINGAGGDLLICEIDHSDRWLLFPPIDAGCVSARNGDTKGEV VVMIRSPPGQAKAWQEVISLQIEEEEIAFEWIQLLGLHPIPPTICRSQSFIDRARQRQ KQLQQQQEQQEQDTPPTLPTIQETPPSPRHLDVPIGEKATSRAARRSLTPKDPSTEPS ILGSSFALESRDSLSTDITRQSDYVSESARPATASPQPSILHSRDPRAMTPVDDKSPG LKRSKAKRVSRIGESPSPAPEDKSSPSTPKNSESRLPVPSPSPGHGPKSNKEQQRSSP QPPASEHSSLPSPRISSTPSRSLPSIPKIRPSSSYTHVIESLGSPSDEEDEISLAYDD LPETPTRRKSHSPSDSGSSQPEDGDEPPPPPPPHRSPSSSVVNAPVLSPTGGQQRRRG SSPLKHEYEPSTASDSYSDSDSETSTVRRYDMDSASEYSGSEDDSEDEDDSEDELDST LPPTESRDPPRSSEQASIVPSGSSISPSHSASQVGYRTVPPQPTKSSRVMASIFAWSD KGTWESLLSDECVIIVSPGLVEAYEMSVAPSESGHDEGSQSSKVRPMIALELTPLVPI RRGTAIDISIRSPPTDRSKVTWSNNIMFRSRNADECEVLYGLINTSRINNPTYIALQN ARGPFADQPVPLERSNNAAGGMFGWPRRRKSYRASASSPRSLADNSESSVGTMSSAFS ALKRFGNGSKMFNITRSTVTSRNGQEDGTSTAGGSTSGIGRIAAAIKGVDGIGLSNAK IRMYVRETQTKWRDMGAARLTIMPAPASSSARPNTASSDANSNNPDARRSGLQEAQKR IVVRGKTRNEVLLDVCLGESSFERVARTGIAVSVWEDAHAGGAMPEKGGVTGGSYKIY MIQMKSEAEAAYTFGLVGKLKY ACHE_30672A MVFKPFKPPLIRKPQQSQPSSSSNETRTEPVPRETITITDDQDA PPAKKQRLQTESAPRRPLLQVRNQGGLGGGENGEKEKKTIGGGDGNRNGGGEERFFNV LWRKPTTKKNKTWDGDGILTIRDGYAHLQDISGKEMGRIMYGSKLDSGTMLSIGSKEV EVDSEIPRKEYMSGRVFLENNTASVTPSVSTKQFIPLAGQNKTGTRNAATPGVNAATG GSAASVSKSTTAKSTSAYKTPLLETTVIPQKKSLPSPRHDPKAPGALVMKRPDSAPKG KQIVDVVVDPILGKHLRQHQREGVQFLYECVMGLRSFNGEGAILADDMGLGKTLQTIT LLWTLLKQNPIYESPPVVKKALIVCPVTLISNWRKEFRKWLGNERIGVFVLDDNRKRL TDFTMGKAYSVMIVGYEKLRTVQEGLTRGNGVDIIIADEGHRLKTLQNKSGQAIQSLN AAKRVILSGTPIQNDLREFFAAVDLVNPGVLGTFKAFVREFETPIVRSRQPEATRKDI EKGEARGEEFRELTSKFMLRRTADILAKYLPPKTEYVLFCNPTHAQANFYKHVLASPA FQSVLGNSESALQLITILKKVCNSPSLLSAQNVNDTPSETITSLLSSIPPKLARITLN SPGMSAKIRVLDQLLHNLRTKTSEKIVLVSNYTSTLDLLAKLLSSLSLPFLRLDGSTP AAKRQSLVEDFNRLPASVCFAFLLSAKAGGTGLNLIGASRLVLFDVDWNPATDVQAMA RIHRDGQKRHCRIYRVLLKGSLEEKIWQRQVTKIGLADSVMERKDGVAQFSRNELKDL FRLDESSLCQTHELLGCECGGRGVTPPNKHEPEGSSIRRESPERNNEEEDNDDEDEIP DLPDFPTLLKASEVDMEEQERLIRQRQRQRTRPSKSNRDPNTNDNEDEENEPINQKNK MQQSLAAYTHIDPLLMSESKIAEHDVMKAIDDDDVLFPLVQEEDNRVGFIFKKRGAAP TSDTTSVEVGDGDEGAKEVGESSASSPIVLD ACHE_30673A MTTENFAPKPQEKMQSVEAASEERASGELINPSGHRQELERNFS LISICAVAVTTGNTWIAQGGSVTTALSNGGPPGVIYEFIAVSVCYWLVAASIAELASG MPSASGVYHWASITAGKYGRPCGFFAGFWNCLAWILGATSMSSILGQQTVSMYALMNP GFVPKSWHIFVSFVICTWVCCCIVLFLNRILPYIGNLGMFFILAGVFVTIIVCAVMPH VNGQPYATNDFVWHEWQNGTGYQSQGFVFVAGMLNGAYSVGTPDCSSHLAEEIPQPSR NIPKAILAQMSVGFVTGIVYMVAIFYSINDLPKVISSVYGFPLAEIYHQATGTRGGAL GLLIVAFLPTLITCCGCYITAGRTLWTLARDRATPFPKWLGRVNSKMHNPFNATLVCG AIITILACIYVGSSTAFNAFVGSFVQLSSLSYFAAIFPHILTRRSSFQPGFFWMKGFI GFIVNALSCIYIIAFVVIFCFPYALPTDAASMNYACLITGGLTLFVGVWWLFRMRSYE GPKNIPLTDKVVLDDAR ACHE_30674S MSSLSPDFARRFARETWALYAVGMLGVCLRFTARIRRLGIRNLQ ADDYVMMFAVVWYTILCVALNKVVSGGGSNLMTDEDIRNLTPEIYKERVEGSKWVFVS EHSFVGAIWSLKTCMLVIYARITEGLHQRRWINWLAIYVGLGFIATELTLFLICRPLS NYWAVPTSNYQCSSYQYYEIIQGCIHISADIFMLIIAIPLLMKVRVPVKQKLILLFIF GMGAFVIVAAILTKVYCLVPSLISYVYMNWYFREATVAVLVTNIPLVWSLLRDVFPSL KSWTGGSRGRTEQRYRSGRWTFSKGSAFRSTYGPPSRGGLSMHSMHDYRRSMPFTPPQ KVVSEISESPEPSEGREYSEDGSTRGLRIRQDVTVTVERGSHPPALDQWEANRTRAES QV ACHE_30675S MATSTSSFLGLNGLHVFVTGAAGGIGERVVEELLDQGCKVTALE LRLCIPDSATSSEKCSRLNVVTGTVTDERSIESSISQATNRFGPINILIANAGITDEN NDHPIWDMSLDIWEKTYQVNARGIFLVIKHFLCAAKTAQQSLGRELDNLAIVVTGTGI SNGLIRRVNNEVSQLNSRARINAVALDDPEIITRTTAFLSSHRAAGHISGQCLTNTED LTTNPQETETHLSIPPTLTKRNKIRIAISIDLDAVSGWLGTGYHPDNVLADYSAGFFA AKVGVPRLVRMLRKLNLADRCTWFVPGHSAESFPEQVNEVVKSGAEIGLHGYAHEGAY QLTPEQERDILVKCIGIATKLTGKRPVGYRAPLYQLRESTLDLLEEYNFEYDASLTDH DSQPFFAPRRPPLKPIDYSLPASSWMHPVPAPSPSEKPDRRPLVCIPCNYYMEDMTPM QFLPHVHNSQGYTDTRLIENMWKDRFLWIRENEEEPVFPVLMHPDTSGMAHVIGMMER FLGWLRGWERTGEVEFCQSGEVVRWWREKELSTSRT ACHE_30676A MNGVQFTDRANKALLDSSSLAEQYSHSQILPVHLAVSLLNPAPD ESKDQQATAHPSHDGASAPLFRQVIERAHGDPQLLERSLMKQLVRLPSQDPPPETVSV APALAKVIRSATDLSKTQKDSFVAVDHLILSAAQDSQVQRCLSDANIPNVKLIDTAVQ QIRGTRRVDSKTADSESETENLKKFTIDMTSLAREGKIDPVIGREEEIRRVIRILSRR TKNNPVLIGEPGVGKTTVVEGLARRIVNADVPANLAQCRLLSLDVGSLVAGSKFRGEF EERMKGVLKEIEDSKETIVLFVDEIHLLMGAGSSGEGGMDAANLLKPMLARGQLHCIG ATTLSEYRKYIEKDQAFERRFQQVLVGEPSQSETISILRGLKEKYEVHHGVNILDGAI VAAATLASRYLTARRLPDSAVDLIDEAAAAVRVTRESEPEALDTLERKLRQLQIEIHA LEREKDEASKARLEAAKQEAANVAEELRPMREKYESEKARSKSIQDAKIKLDSLKVKR DEAERSGDTQTAADLEYYAIPETKTLIERLETDRANADAERRARQGDHEESLLADAVG PDQINEIVARWTGIPVTRLKTTEKDKLLNMEKYLGKIVVGQKEAVTSVSNAIRLQRSG LSNPNSPPSFLFCGPSGTGKTLLTKALAEFLFDDPKAMIRFDMSEYQERHSLSRMIGA PPGYVGHDAGGQLTENLRRRPFSILLFDEVEKAAKEVLTVLLQLMDDGRITDGQGRIV DARNCIVVMTSNLGAEFLARPALKNGAIDPQTRELVLGALRDYFLPEFLNRISSTVIF NRLTKREIRKIVDLRLAEVQRRLEQNDRNVTISCTEEVKDYLGESGYSPAYGARPLGR IIEREVLNRMAVLILRGSIRDGEVARVVMVDGRITVLPNHELPDTDEDDEDMIDSDEV STDGDMDLYDE ACHE_30677S MSQKPIFVVTHPRACSTAFERVFMTQRNNIQCVHEPFGDAFYYG PERLSERFENDEQARIDSGFSQSTFKTVLDRLEREASHGKRVFIKDIIHYLFPPNGQP ASIAPSLRRIKRGVGTQEHPNGDAPTVDTAGINGNRAEENGKENGATNGSHAEKSPHP AHAKIDSGVDLSAAPVGGNVKPSMATIKERGTKPYPYDTEAEPGNPTVMPTEIWSRFH FAFLIRDPHFSVPSYYRCTIPPLTEVTGFPNYDPSEAGYDEVRRTFDFLRNIGLVGPH VATHDDEESAEGLKPVVNGIGEGKESGVEICVVDADEMLQKPAPTIEAFCRSVGLDYD PSLLEWDTEEDHQFAKDAFEKWRGFHNDAIESRGLTAKKEKPVKSEEEFDAEWRERFG EEGAAIIRKTVDANMPDYLYLKQFSLRV ACHE_30678A MDSPSAIRSSPSKVLNPVSPERMNQQTIPPSPSPSRPSDIMGVH RKSRGLSDVQAKVAFLNNLSRGNSPASPAQTQQSAGNPAALQRAILGREEAESALRNV SEQLSEAQSRERRLSERLETLLEDLQSAKERQSNERSIFEKEIKKARKDAFRAGSAVV KIQEDLKEARAEVRSLREEVQVEQKAKNEANQEAFERAYTLAGLMEEMEVLKKRLRAS ETAIHSHRLENRAQNVGRMSLADGDLALLMTPTPRRPKRSADATVLYSTNDSSTQCTP PKRPRLSDITPKQEEPQNQQNTKQEDIQNEMIEELQRLLDHEKQRRTDAEEMIHFMEM ECQFKRCSCRLAEDSETACVHETTLSHEKRENEIAEAPHGKGEHAVPEPTKAPPARHS LRRSVHKPQHAMDVDNQVKNEEDMEDPVITFSPQTGTFRSMPSPQRPLGKPSIPAHST APEPHVDEESFAQSPLTRGPTERRSNLHDMDLERYPHAPPQAPPQATSCVQYTPSPST ALNRTSQNSRMSVEQNTEFELDTSGYPVTKRVPLRPESRLSNQSTVVPGTPVSREEAL AQIRARRGRANSMKRSVSAGEGLFKGAAPPASSNRTQAQNGRNDQRRSYHR ACHE_30679S MHEIVTLQLGQRANYLATHFWNLQESYFTYQEGEESPVDHDVHF RPGVGADGSETYTPRTVIYDLKGGFGTLRQSNALYELSEDYNPGQGLWDGREVIQQQP AIPQSDYQKSLDAGLPAPQLTSETVRYWSDYNRLFYHPRSIVQLNDYELNSRTMPFED WEMGEELFNNLDKEHDLLDRDVRPFAEECDQLRALQIFTNADDAWGGFAARYMDRLRD EYGKKNIWVWAIESGSKVQRVSTLSSIFPLEPTNQMQHQQIKRDMNKARSIYAISPQS SFYTPIIDPPHRLPNTFSVDFQSEWQTSALISSAMETVTLPSRLRPYCDFESSLAGDD GIHKIFELQSSILTDNEAQHLTKPTNGAGLSEDESSQVKTEFDVDFSYDSRYSNKSHI FNQVQVSRGIDPEQKKNDLPPTEDIDLGMRRKLRLHNSQPMFQSFHTPLRFPILDSFP RNMFPVSEANAGIQVLSSLTASSRTGERIKAMEAVGSRIISVDERESIVNGLGEIRES YETGWISDSDFDDE ACHE_30680A MPFSTTVHFHGIEQQGTPWSDGVPGLSQLPIEPGDEFVYRWKAT QYGSYFYHAHRRGQIDDGLYGAIHILADDSVERPFDKITKDPVELLQMHEAEKNSQPI LFSDWRRLTSEETYRTEQMTGLDGFCTNIILINGKGSQHCLPRKRLNALTSAAQKGLL GNNTVTDTGCMPPIEVLEGHFWRNISLMPKSFYSGCTPTQGPKEVIKVNPAASWVSFD LITSAGVSTPTASIDEHSMYVYAIDGRYIEPMEVNGITISNGGRYSVFVKLDQPAGDY TIRAPNTGINQIINATATLSYDTLLKTQTTPSKPWVNEVGSNTTASTILFDETKVIPF PVLTPALSVDHTYKLTVDHFNASYRWTLGNTSFSMSLEGATPLLFNTSSIPTDLTITT RNGSWVDLIMVVKTPVQPPHPIHKHSNKYFVIGQGNGDFNYSSVAEAMQHIPESFNFK APQIRDTFNTPAAETGPTWLAIRYQVVNPGPFLLHCHLQVHLSGGMAIALLDGVDKWP VVPEGYRLPVLED ACHE_30681A MDEPVCALEFPAQRALMALDDSRPCSSSGDEYPLVPSSFAAAEI PPGLLDHDELDSLQGDDLKSIRDYGYHSSASSTGHSSERDLEDERLLRAPSSTSSHSS VSSIPPSALVHSSDALKLPETVGTRQHTYHHSGAFRRQNSVPSIQIHSGDESDKYETS WRGGGQHHSGFSMRSPGSPPMKRLPYYSPRGSASKQRIRREYPLVLLHCNLLPPSLPV IGYNGVPNQKILKEVLPTKYYRRWKLLGEKLGSGVLRDRGVLISHPEDTYDLLEERLL ESLELQQPRLHHGHFLGQHEYDSDRDDDATDDEQGEVCPDCGGRVVTCNDQNRKWEIK VFAANGLMRAGAWAAAWKEMERVDVEVGLWLPRDVRRELERRLMDDESEHKLPSEPSE PVSEMNWRSCPPSAQEEAHSQLTKSSSTIINSSLSLASPPASQGQEQVSPNINQKSAE EIDLRTLLINYICVLASDRRNVAIGILSIFVAFLAISSARVAPSTSSSKLQAFPQEKV ASVPTSVMSKAQHHGISADVSSVLSSIPSFVADESQVPSTKEDGAAGLQVPYSGMPTT SSELVPASMSTEAEWQDYVAVDDTSAKSPEAFDRPDMELQRTSVSVDQSEEPMVVMEQ ASDQTRNDHSTDSDGEVSGKDVDVQIESLELTGFGKPESNAANSIGEIA ACHE_30682A MSQPSSTKNGCNGIAAQPVPVPPPRQIRFVSTDGQPQTKRRRVN AACRTCRRRKIRCSGEQPVCKTCTDYNHTCLGYSDPLSHVRSQSDSAPRRPNLSSLPS STEAIKPEDTRAVESQSPDPPRRHNGPSHRRRASETLKSTTGPKNAFPFKNPSSYTAK DLGQQQQAAEDSPGSSRSSISANPRAQVPYFRYFGPTAIVPGFKQMLVEIRGSRKSNP SSDSSSFPSRSPKSAGTKLNTMSAISNTSRDDNKIPFYDTNDSSPVSNLVTHLCELFF EHLGCNFPFLQRDRFLRDLTDKRVNTMLVNVVCALSARFSPHPLLGPPQSLTIDGYEE PHDWKMSDRGHPFACRARGALVDSLSCPTLSVAQACLLLAYEEFGANRDSGLWMYLGI SIRMAQDLGIQKRHGPHGPTDDSLGEEPGDDHSELSHSPDGGAQGIEDSKREREDTFW CIYFLDRVISSGTGRPVTLVDEDIQLCFPAQSEAVVSNGWPAPFPPLIRIIHLYGRAT DIINGIQDVNDVTDDTVKRLAGLESDLTGIYSALSSKLYFDAANLQQYAMAKEGTSFI LLHLWFHALIVLLHQPTLLHSFGGTIQQLYPNSRPLAMSSAKTIADIASFSELMDSKS FVGNPFTSQPMYIAACAFLMESAYYASPDSKSGISPQPLLANQSSGFVMPNVGLASGS EQRSIGATQHHLLAKSAKDNYQKCYKALKALEMYWDGTKYILTVLDQKAKGIVDPLLY TAEEAGDAPVQPLTTRKRERPTASDTTLNLESQATPDVAPSTEGRLPRLDPSQAIGWA LTNAADPHNPNLSVLYQNPAAHTSSTPNKPTLPSQYSHGYPNAGQNANTYSQPVESPR HIQTTRSPPILSVHDIPASEANPYLGLNASYPNTDSRNAPSQSSSTYNQSLPPSQLGT AAPEFHYQIPPGPNGHQPSDYYHPGFHSSANDVLIESQNIDMNMLHDPGNLPFLNGDG LAWLEYLPPDVASLFGEHPQYPS ACHE_30683A MIYFEPAFNMRSLPVNILRTFTRFPSKNSLGRQPTLYPLSVNRP FSQTSTMFKVGDDFQKIQASRPAFKRDTEVTFTQPPNPDWKTADGANDGGESLKKNHV EIDPHEEGRPVASNYKLLISGIVPRPIALISTKSKDGQTTNLAPFSYAQVINHDPPLF IVGFVGSLDKAKDTLKNLAETDECVINIISEHFVEAANATAINAPYGVSEWETSGLHQ APCSVVKAARVKESILSIEAKLVRTEEFESRTTPGKKTGVLAVVEGVRFWAREDAINE EKSIIDLKVLKPISRLGGISYGRTSEAMELPRPNF ACHE_30684S MSQQDYDVFKLGDWELQSGEKILDAHIAYKTFGDAESPAIVYPT WFSGSISDNIWLIGEDKTLNPNQYFIIIPALFGNGQSSSPSNQHTPGPFPKCTFYDNV RAQYTLVTQHLGLGHLRAVLGWSMGAAQTYQWATQYPDFMDLIVPFCGSARTALHNQV FLEGVKTALLAAKHVPSGGSGEDGVLDTGEMFRTWADREREVGLKAFGRGYAGWGLSQ AFYREKLYETALGFQGLEDFMLNYWEKWALSKHPENLLVMLRTWQNGDISKQTPYDGN FEKALASIKAKALVLPSKTDLYFPPEDSEYEVACMQPGIGKLDMFPSIWGHWAGGPGE NPEDVKWLDRQLSQFFSGN ACHE_30685S MFSGSSNDDDMTGSDIDTLVLGPKHVSIEDFFSDFPPVLEQMAP SGAIEKVTPVPDAFVPIIKLELSGISIDLLFARLIVPSIPLNLDLKNNDYLRGLDERE ARSLNGTRVTDEILELVPQQKTFRLALRAIKLWAQRRAIYANIVGFPGGVAWAMLVAR VCQLYPQATGSVIVGKFFRIMNKWAWPQPVLLKPIEDGPLQIKVWNPKIYHGDRFHLM PIITPAYPSMCATHNVSLSTKAVILRELARGGDIVDKIFMKQLNWNDLFARHSFFTND YKYYLSITASSKTKEAEAVWSGLVESKLRHLVGALDRKGTIAVSHPFPKGFERTHTVS NDQEAEAVKNGSTKYQAKGTKTETTDEVADVNHQVAAQNGIQHPENVETGEQKTNGET RTIYTTTYYIGLELKPLEPGASRSLDISTDAQIFKSTCTSWAGFQPGINDLSIIHVRN FDLPDDVFEPGDIRPTRPKKKIVKKTEATAQKRNIDSLEVSPRYKGYGIYHMSTVVIC LFNT ACHE_30686A MGITIVLGSQWGDEGKGKITDMLSQEATLCARAAGGHNAGHTIV HDNITYDFHILPSGLVSPKCVNLIGAGTVVHVPSFFKELAALEEKGLTSAGKRIFISD RAHVCFNLHSVVDGLEEAKLGGRKVGTTGKGIGPCYSDKASRRGVRVGEILDEALFER KLRTLDAGYRSRFGELDYNVEDEIALFKEYRKIIKPYVVDQLTFLQEYKNAPNTLVEG ANALMLDLDHGTYPFVTSSSTGLGGAIQGLSLNPTSITHIVGVVKAYTTRVGSGPFPT EQLNEHGDKLQSAGREFGVTTGRRRRCGWFDLVLCRYSQAINHYTALNLTKLDILDDF DEIKVGVAYILPDGTRVDNSFPASAEELEKIQVEYVTLPGWKSNTMGAMKYEDLPPNA QAYIEFIEKGLGGVPVKYIGTGPSRDHMIVRD ACHE_30687A MVKADVRRDYYADLGLGPSAESEDIKKQFRKLALKYHPDRNPGK EVEFIAKFQAIQAAHEILSDPQHRLRYDTDRLRAGYGRQYAAHARANTSRRAPASHSS GASTNSQPFSARGQQANNGPSSGAQRYASYAKAAPQQSWGKKDEGQTRADAFRGFQGM KNGSPNASGWSNFDPKTGRTGTAKANGTSTGHTPRTKSAYEYFKTSSKPTEGAGTTRA QASRRKNGFAPGTAGGDEPMAANTSAYTSTPRDSRHSGYFFDNSIPSPTAKRADGPEE RARSQYAGASGEKTFFSSAWLGRDGTRRASRTNPPSPTPPPSEASRHRSVSPSHLKTN RNRTYAPSTSSSDADDDSETDDPYERNVPSSSHKPKAVPKSRIRRPHYQKPADPRDWS SGTGHDSDSAAAPRNPFAGTFASANFQPEMDPSQSKSSSHGNLRKEFSAKDWQDASQG GWFGFFMPKPSEPDTTRATQSPNTRGRPTTRNSASWARQSAGPSDQDSSRSGSAAQQQ PTPFVGAKFSADDWVEQFKNMSWAAPKNEGKQAQANAARARSPKKQTRPAASKTRPTP QPASVATEAEEAEVAAEDKDEQQFDKVADEGGEAMDLDDDTPVKPTAVPPAPENKQPL KSQPSVNVGKTKEADSKDFNLKDLKDAAPFTSSKGGIDDLQDISVTLPFESRAKVPRK TARDIRPRELACPNPPKRPRRPALNPLGIGSQLVLPRPAWERYVAEMNTYMREWNEFN RRMLRHFNARQEANESGLAPGWISAVGDSSRLKINQDDPDAEDNNDPDNEDSVDDLLP GSAKGGYTAYLRAIEEDVKVRKHWDVACELHRECILELGELREWLLNGGKLM ACHE_30688A MLDAASPVTPMPVGRKRSHILAAEMDSTPVQDRATTSQNPRQQF SPDGSAPSASAHTSSSAFRNVSACHRCRIRKNRCDQRLPRCQSCEKAGVPCVGYDPIT KREIPRSYVYFLEARVTYLERVLRERGVEFMDAVAFGEEMAVHSEEEGESHGVDILHG GDISPENKGRLVMKHKENQEDERAGTDRSRDGDKDDNWKIHNLVSNIGMVSVQGTSDP RYLGSTSGISFARVVFAAVKSSVSGNASERGPMRPSERLPHSATGTGTSTMRDSFFGL QTRPMMKCATFPQRNVAEKLVDLYFEHANPQMPILHRTDFMELLDRTYAVDEKNRSPR SLYTLNIVFAIGAGIIFEDKSVPEEDGQGGGRPRAPSTPKRQKLSDHQCQPEEYHASA VVHLESFLDSSATNDGFGGLEELQAVLLLASFALLRPVAPGLWYIVGVAMRLAVDLGL HYEDGTGVDYVGQEGVDRSSVKGEDGAKPRINTRERGRREWVRDLRRRLWWCVYSFDR LVGCCVGRPFGISDQAISTEFPSLLEDKYITKSGIITPPDRAPSYKHAAQHYFKLRVL QSEIQDVLQYQQARFARQRLPHSANVFTRSDLPSPFLQGFDSLRSWRKDMHRRLVEWK ETAPKHQETGVRFPVEFLELNYWQAVIMLYQQCLTAPAELAGELAPAEDVSSPSFSNI DDAEDEDEIYYKVAEAGQKVIRIYRSMHRLRLVNYTYLATHHIFMAGISFLYAIWHSP WVRSRLTPDDVDFTVLAATSVLGDLMHKCPPAEACRDAFERMSKATVQMSLSTTGFGN QVDLSLHSRANAPQPVGGLYRRYHPMDRRQRVPGLRRQTQPQTRQRPIPRFDMNLEDL FGGDTSTVAQERSDRNTRSSMQPHSTRARGYSELSPAGLVPEQTSQVAAPHQRAASME YTGNYDDSPFSPQYYTNLQQSTSPGRVTTSNPNQQPILTPNQEAGMSMDLLDFDPDNQ LSLGLDGNPDYDEIMPSLGPGVGHSVGIDLGFGMAVGFQHDWSENANYDMLQGFFFGG SGSGAGGEGPTAETDG ACHE_30689A MFKKPSCLQNRRQAGEKGSAGNSNDDWSTFPVRQLFVLALCRIC EPIAFMSIFPYVYHMVESFHVTDNDRKIALYAGMITSSFTFAEFSAGMFWGRMSDKIG RKPVLIMGLIGTALSMIVFGFAPNLATAMIARALGGLLNGNIGVLQTTVAEIVTVKEH QPRAYSIMPFVWCLGSIIGPAMGGALATPCEGYPWLFRRGTIFDQFPFLLPNVVCVVV LLFGIVVGFLFLEETHPEKKLRRDFGLQLGHWLVGKFWGSGVQLSEQENISDNKDYFD VPPPEYSSNESTPRLGPIMSNDDDIEGQLKKEETPKAFTKQVVFNIIAYGILAYHSVS FDQLMPVFLSTPKSDDDVALPFKFTGGLGLQTKTIGFMLAVQGVYSMIAQLWLFPFVV RHFGTLRTFRFVLCVWPPLYLVVPYLILLPAKIQMAAAYVALISKITFHVMAFPATAI LLANAAPSHKVLGSINGVAASTASLSRAFGPTVTGFLHSKGLESHYSVLAWWACGIVC VIGAIQSFWMEETDPKESKPQPEEKVGHHDTKTQFQNTFSPAGKEAAKPEEEQRLLSS TRTSLDHDFDLANMNMKLDVPERPCPVEA ACHE_30690A MLPSGNQTSEIHGRGIINLKRSAKKFKIAQRFLGIGRETRGQEG WEFLSVTVVPFRRLRTLGPLAGKQATDEEAKKDSIAGKIHRHLLRTILSIVCRPPRVL GGGGLPSPDTALIVKTEELNN ACHE_30691S MATAGYTEVDVDVYDAIEKLQQHKEHAIMNMPSVHSFKGYSQQP QDHPDGIPVSL ACHE_30692S MFAGSGGHGCVAFLREKYIEEGPPNGGDGGSGGSIYIQAVENMT SLHKLARRGVIRAERGKNGRGKSKGGKRGEDVLIQVPVGTVVREVSRYDPVAEEEVNH KMRRKPTVEDGVDELDEMGLSSIRHDRWVLYPGAKPSDFLTTVFPDNPPRRPQIAALE PKAPIHLDLSSPMDKPILLAAGAVGGLGNPHFASRTNTKPNFASRGEGGVRLELDFEL KLLADVGLVGKPNAGKSTLLRSLTNSRTRIGDWEFTTLSPSIGTVVIDDYKGRPLVES RSKRTNFTIADIPGLIEDAHLDRGLGLGFLRHIERAGILAFVVDLHAGDPIQGLNNLW HELREYQQVRETELASEDDTTSFGLSTHGLPELEAERQEMRASKSLDGPINVPFSPND PLPSLEHPPIHTKPWFVVATKADLPETQQRYKELREYLSDIEKGEIEHPCGQQDGWKK KLVTVPVSAIRGEGVDRIPKLVMEFLD ACHE_30693S MPQRPTQEMSTSLSSVVAHALSTIAEPTPEDPIRQCTKHNLNAL HDILFKRHDLALNLADAKLRVFPFKDVDTCWRRLYTDASIVKACLVIVENCGALDDEG DNNGKGKYKTGKELCTRWVERLLEQGSGATIKWKIHPNAWLSPTIHMLDKALIMTGAP LREALIESLLSTLQAATSQTANAQDEESDDSDCYHAAKRRKFSPPLFPPDTTPSMRLK SPIPRLSAPSFDAIEHHIQDVRTPLVITDAVEHWPAMSARPWSSRDYWMDRTFGGKRL VPVEVGRSYTDEGWGQKIMEFGEFVDRFVWRDETMSGEDSGQTGYMAQHDLLSQIPAL RKDICIPDYCYVDPPTPEPGTPVYLKKQQEQAEKAKANGAKDSEGQNGTNGHGSNRRN SESSEISLPGDPIINTWIGPAWTISPLHHDPYHNILVQVVGAKYVRLYSPRTPASQIY PRGMEAVTSSPTKTQAGPQEQEPNHQLIDMSNTSQVDLTSIELSPAEEDQWDALWPGF LQAEYVETVLKEGECLYIPVGWWHYVRGLKAGVSVSFWWE ACHE_30694S MPPIQQKALINVDLGEAYGNWSLGPDLELLPMIDIANVACGFHG GDPLIMMDTIRNCKAHNVQVGAHPGLPDLQGFGRREMKLSPEELTAITIYQVGALKGF LDREGVPLNHVKPHGVLYGMMCRDYEVAKAVMEGIPKGIPVFGLAGTNMERAANDLGI EFWAELYGDVKYDSRGMLVIDRKKKPWDLGHAERHIRQQIEDSSVTAVDGTVVQLQLK NYPLSMCCHSDSPGCLEIVSTARRVADEFNQKHGHK ACHE_30695S MPDPAEPPNFHSPANIFLQKEVYRLGSQPGLRSLPPSPERDFIT LTWGPVIYRTTYAPESQRLILLYLRGLNEAIRKSLPRVLEGSPEQLARLEQCYSSKVF SSQETLHGVDEGFVREAFHDWKVALSVPAIELPVRLRVCMLIDDAMLAAMTGIVDEAS REAEDADMSRCPIRVIEENFPDVNHPESVSAAEDEPYSGSTTVVLGALVEMYDGMRQG KSLADYHKQGRVYLGDGMWT ACHE_30696S MDAFVSRKRPRLAQSPQPSTPPTSTTSSDEESTEVKLALLLSVF PNVKQEALLDTLVSCGGSVKGASSVLSTPQNQQQQQRRTASMEPATTTTSSSKKRATP SRIQTSLLSHLKPSPKPNANLPFPRKPQRQEQLLTRKGRTLHLYTPQDIATHTPCTII HNFLPTHTATALLQELLHESPHFSRHRFQLFERTVQSPHSASVYVSTPEEYRQQTSEY TYGGTYRSNVRQVTPHMRDVSAKVQDAVNEEIRRRIRDVYPGGEKLRYQSPKTWRPNA AFVNCYDGPAESVGFHSDELTYLGPRAVIGSLSLGVEREFRVRRVVADDDGDDDSDTT AQKQKQNARADAQGQISIHLPHNSLLVMHAEMQEEWKHAITPAKTVNPHPVSGNRRIN ITYRWYRDSLHPRCTPKCRCGTHAILRCAQRKRGTRGRYMWMCYAVFAPGGKGCSFFQ WAEFDDDGEPLWANKPTEEQAPTLTNFVASQSSDDKGR ACHE_30697A MEDIIPKPLRVIKTQSNSKHEIRSVSRSSYPSIPCRSSSLRLDS RQVSSDSSTSTTPSPPPFSDNSEPIKIRKRSRAYTISSQDRDSEGQSVSYPRGSDEKT DKQTSPETGCRKETKSRFFSGWSFKSWSSTMRRLSFDQTNGRDGSYPIISSKTYSGNG TAEKDSGPLVYPSTDFLINEKADILTPRTIPITGIDMNTATNPPIIGTGPDSIWATVN ISAEASSNEPVNLGWPTPLDVVIILDIVPHIHISMLRQIVVSSLAIVSSLSIDDRFAI AYVDKDSADGFGLLLPLGVHSLDSARIAIDVFSLHQLTSQRSRKIGLQKIIQQISETV YLRDRPALCHAFFVTASPSVRLSTPAMDKGIGFHTISPHFRFPFSGFEIPSGWHIFYD INSYDADSKEAMLRHKISTAIEHMRTGVEPGVVTDLKLSLTAGDRCELQAVLEECHLD LLRPGEKWMVPVQIRVPAASIKQPLQVTDGNTICNSSHPTVDKVMTQLQNLLTDFSHE DITQHIVSARLEYRHTLLPAHNIVHLESSCTVVRDVHEFGIASLGP ACHE_30698A MSSTRLPNIPSLRKHQLLLEFAGLRHAAPPGVYVSLTPGDPTLW SSVLFVRSGPYASAVLRFQIRFPASYPDLPPLVTFSTDVFHPLIVPLTTYTFSTNAST EDPVSATDEERLPPGGFSLRHGFPHWFGRAKRSGVSTASSRAVSIQGRVPVLGEATAS ARESQGNDKTEFAAKGQGEDGTIEQYPEPRKTVPVAELLDYIRSTFEDESVLDSLTLE AAGNPGAWHAWRAHRGGSNIATKNDPKRGSPQTRLPGDWHWDGIWAKRVQSEVEASHS EPMLYGNSARAVGDEMIRFSRIDDASMASIKERIIPRMEEVHE ACHE_30699A MGPPPFQFAVPRRSKCHFRAQLILGLSRFGRLVMEYFDFEGASH DSFTQDDDVASDQLELDEIDAIARQDSLMLDQSLEGLPNDPPEQDATEQQAPDAAVEP PSETGMFPIVRTKEPCDFCARMGFDCFVARRGVMQKACTCCISLYRECSFTTAKKPGK FLDTLHTVSENVDIPTGGLTGKRAMKSLTGVLTPEEMEARSKKNNARLSREAVRVLKT WLYNHREHPYPNEQEKEELKERTGLKRTQISNWLANARRRSKVRSAISASPAQDIPGQ EPDMSLMTPMERWKHSPPEHEPAAKSDILRALMTTPLDPSKPRPSQPVGHVRSLSRKT GSSNDDSSLANSNRQPATGSVSSFETSHSSMSDFSFASAFSHRSSLGSFGSMERKDRR RRRKVSAPVNEFAQQKARSARIFQCTFCADSFPTKYDWQRHEKSLHLALEKWTCSPEG GTEIIDGTRRCVFCMASDPDDDHLISHDFSLCHEKMPHERTFFRKDHLNQHLRLMHNV KFHPSMDKWRSTTTEIKSRCGFCSATFTTWKERVDHLAAHFKNGADMAQWQGDWGFEP SVQSRVESAVPPYLIGHERKTPNPFKTSHAMAPSDSSFNGFSAGLKGRLDDSNCYHRL QLELTAYIHKSLAAGISPTDQMLQDESRRIIYGNDDPWNQTGADNPVWLNALKRDTGL IPNSDQIQFDNLGMQPPFAAQGGLRQPPIETNAFPRTTYQQDPYSPRASLSGFQSPAL RTGRSSTAASAPGSSSGSYACSTGAFPTASNPRTSGEWGGIHSAGISSLSTPVSGSID PFAQMEFDPQFMQQFDEGYDGLQNDLEGLTFEGLEDVGLSGGIEKLPESLDLPSFPAN NEMSAPIDIPSPKQQSDIHAPDAPGMVFQYYGMGDQEMSH ACHE_30700S MLRFRTEGYNGCAVKYSPFFDNRLAVAASANYGLVGNGRLYILE LTPNGIVPVKWFTTQDSLYDLAWSEIHENQVLTASGDGSIKLFDNNLNDFPIQNWREH NREVFSVHWNLVAKDRFCSSSWDGTVRVWSPHRPQSLITLPTHSCTYSAAFSPHSPDI LSCVTSDSYVRIFDLRTPASASNHLILQIPIHAAPVSPIPGKPGVPPAACPPSEALTH DWNKYRPSVLATAGVDRTIRTFDIRAPQQGPMAAMIGHEYAVRKLAWSPHLSNILLSG SYDMTCRAWSDGSDAGMGDMDVMRSGPAPAMGMELGRMGQHTEFVTGVDWCMFGSEGW CASTGWDENLFVWDVRAAMGA ACHE_30701A MGGKKNVGENSKKAAGQARKADAAASKKAAEDAKRAAEEDKQWQ KGAKGGNSKKEEAEAKKAEAARKKAERDAQLAAEEASQPSKGGKGNKQAAPKKSRGLD LSQLDDSPADRKGSALNATGIDNALDALSLTNKDTSKIDRHPERRFKAAYAAYEARRM PEVEEEHPGLRRQQRIDLIRKEFDKSDENPFNQVHVAFDSTREEIAHVRDAERKKVES RLAK ACHE_30702S MQLILRLLLTLSFLFLCILPASTEHTSNWAVLVSTSRFWFNYRH LANVLSLYRTVKRLGIPDSQIILMLPDDMACNPRNAFPGTVYSNADRAVDLYGDNIEV DYRGYEVTVENFIRLLTDRLDEDVPRSKRLGSDAGSNVLVYMTGHGGDQFLKFQDAEE IGAWDLADAFGQMWEKKRYHELLFMIDTCQANTMYTHFYSPNIIATGSSELDQSSYSH HADNDVGVAVIDRWTYYVLEFLETQVTSANSKLTLGDLFDSYDESKIHSQPGVRWDLF PGGEQEGRLRTVVDFFGNVQNVEVENANATDPGSLQEDLAEIARLVEKWRKRDQEYLT TRSDASNQSNSQAPQSNTESRTLSSYFPQRKNVGPAKMNEENCWQKRLVGVSVLGACA AAWFAGSVLGRT ACHE_30703S MYLPRQLISHLYLQLLRSHHPLSPPVLILVALEPDALCACRIFT ALLKRDYIPHKIQPVAGYGDLARAGEELVKPMQTKNGGAGGVVVCLGVGGLVDLTEIL ALSDPEDEMEDLGGVEVWVFDARRPWNLSNVFGGQPVLGQEVDVRARMNTRGVDKGCI TPSYASGNGGIVVYDDGDIEEELSKEREAYSALLEMPEVDDDEEGDLGSDSEDDDQPR PGKKRKSWSGREDEDESDEDDGPPRQRRRSNSGSSFLISSPSRPRQRPHDSSNSSRSV TPTSDSPSPAEPKQPSALSLKRRLIRMKRKHESVLHAYYSAGTSYSEPISSLVYSLAS ELGREDNDLLWLAIVGVSSLELSGRTMSGVGISDSSESGGLAGWGGERGEHIRQILRD EVHRLNPPDPYERDRDLRGEINGVIPTTARSPTDKSIRLSPEPRFILVRHWSLYESML HSPYLSSRLHVWTETGKKRLHKLLAKMGISLSQCHQNYTHMDMELKRVLRQRLLKYAP MYGLDGLVPQEASGHATSREGWGFVRCWGWKACLSATDVGVIIGAILEVGPEELAAGW DTRRLPRPRKSNDDVPDGSTESDLSSLLPRFWSAYDALSLTSDSPTLLLEALPLAQHL HRAILQTGTSLLSKHQIRHLRAFRIAVVKDGPDVRLFTNPGALTKLALWIAEAIRVQE RERSGTVKVGKKRAAGTPLVLAGLDEDRDLYVVVGTGGGGGIVDFSAMHKRQEERLKK KEAKEKKQRERSERRAKRAAERLKRNETGEEEDEEESEEESSSESESESDDEQDIRAD RHLLRNRFGIAFQEVVQETNARVRIDSFEHCVVEVQKEDLGGFLEALSFRSVVG ACHE_30704S MCDGQDITYGLIGHLKKDRKPCDVEYVRSVSEKTLLGLELQHQR GIVHTDLKPANILFSTAGVRQYDELMRPALNPAVLLEGVERDDNAPKYLVSPQQRRVR LDSMKTSNILAKVGDLAGALRSDQFDRILVTPLALHASELIDQRPWDDKIDIWTLGCL SN ACHE_30705S MPSPQVYPVLPRADTLAQLPPEYPTDAQDQITHLLSTSSLNRLV VLDDDPTGTQTCHDISVLAVWDIDTLVEEFQRPSPGFFILTNSRALPPAEAEILIYEI CRNVAQAAKATKQIVDIVLRSDSTLRGHFPLEVDVAQSVFGEADAWILAPFFYQGGRF TISDVHYVAEGERLVPAGGTQFAKDATFGYESSNLSDYVMEKAPGRFKSEQLHSISIG DIRNGGPQGVCEKLLGISGGGVVIVNAAAESDMHVFVAGLLLAEAKGKHFLYRTGAAF VSSRLGIRSKAPIIANELHLPSPRNTGGLILVGSYVSKTTAQVHTLINRRKEDGKELA IIEIKVEELLESHESALRTIQSIVKETEQYLQAGKDTLVMTSRKLVTGDDKISSLAIG TTVAEAVVRVLQEIEVRPRYIIAKGGITSSDAATKGLNIKRALVVGQAAPGVPLWRCD EHSSRHRGAPFVVFPGNVGSESTLYELVEAWH ACHE_30706S MATAITSWVLNPIQSLIMSRPRTRKLWCTLSHDLQQSFPIECAA DQDDIDTLKKKIWEEIREKIKNTILHYSDLKLYCPVVQLNYEEEFDVKNGEFLHPRRM ITSNPSFPESKDPNVDIVVVVSGDTTTRKRKRSESQGANIPRTLSIAEHQLICPRERT VSKLAAILDDMNIVHVRGTPASGKTRLSELLRDYYRKEGRKAFLIKKWEELDSEDPWG SLIELVKKKNKELEGVSTTSFTVTSSQSKHDLSWVLTSNTVIIVDEAQTTYSDDTLWN TIFKERLTPNVYKFKLCLFCSYGSPATGPDQTFFTPVRLSNRQCISFTPQGQQNSPPI GLFYDKEEFKDVVSRLLTFQYEERFNFDEGALEYIFALSNGHPGAVTSIVDVLYEAYR QDIKHEHIRTLTEDHIIWFLEDAATVFDKLSTRPVNRSFPDISRATNGISNTLCKITE EGSIPFDINDASIKFCYQKGWIHRVALDGDDIAVLPSRLHEKYIEYSIGTMSITLPAR FDSLPKLCKEILCEFSIRILRRSAEGKKISSASQPRPVEAQYQDEFYGGFTHVAGRGV PISSEWSRTKDGRVDFYIPEKKWAIELLRNHDKVDEHISRFKEGGKYHPWLKENMVKD WIIIDCATSLPTKEFSEPRLWHAVFINDYSELQLYNYQKVLMMSVHLRN ACHE_30707A MLCAVRRHGVAQALRASTSRSFVARSTPQLLKWQPSTSRLAAFP QIYRSLHVSATRFQAAQAEAAASEEEPTTPERLTEFADLASHGLVDKKIIDQITRFMK IKTMTDVQSMTINETLQGDDVLAQAKTGTGKTLAFLVPVIQNIMKDMQSQSQQSQPRR SYGRRAQPSDIRAIVISPTRELAEQIATEALKVSRGTGIVVQTAVGGTQRREKLRAIQ REGCHLLIGTPGRLKDILSDPTTGVTAPRLSSFVLDEADRLLDDGFAPDIQEIQTLLP NRNDVDRQTLMFSATVPKEVMAMVRKTMKRDFRFLKTVREDEVPTHFRVPQKGIVLPG LENALPAVLEMAQTQWAQRSEDRSQRPFKAIVYFNSTCEVKLAFDAFMHLRRQRKMGG MAIYDIHSRLTQAARTRNADGFRQARSGILLSSDVTARGMDFPEVTHVIQVGVPRDTP TYIHRLGRTGRAGKEGEGLIMFHEGEMRTFRNRLGELPIEMDEISLRTSRVDLTDDQA EHEPAVSEILTQLQDAMENTSASVKEEAYKSQFGSLLPSFYNKSAAVDAMNKLAVYGY GLPSPPHMSPMLLEKMGLNRTRGVRSMDRSMGRGRAIDRSPMRGGMGRPRFIEHGRGR RDDGDSWNDRRRGGEYRERSGGRNRDSWGGRGRY ACHE_30708S MASNKALVFKKIPEGYPIPGEHLAVESAAYDANVPAPENGVVVQ SLYTSFDPYMRGRMRSAHIKSYAPPFHLNEALNSRSIAKVIRSNNDTYKEGDIVVGHI PIQEYIALNGEQTAAAISVLQNPLEIEDIRVYLGPLGMPGLTAYSSLYEIGKPKKGET IFISAASGAVGQLVGQIAKHEGLKVIGSVGSDEKLKYITEELGFDSGFNYKNEKPADA LARLAPDGIDIYYENVGGEHMAAALDALNNFGRIVVCGLISQYNSDPYPIKNIHNVLV KRIDMRGFIVGDPGMGDKYAADHQKYVQQWIKEGSFKPLIHETVGIDNSAEGLVGIFH GKNLGKAVLKF ACHE_30709S MASIARQSTLLRQSCLSAIRAPLVNRNAAGMSQMVAFHASAKKQ ILPPLPQTIQGTVNDPAPVPHTSPSHGSYHWSFERLVCVGLVPLTIAPFAAGSLHPVT DAALCSLLVLHSHIGFQASIIDYFPKQRVPKTRALCNWLLRLTTLTTAVGLYELETND VGITEGLRRIWKA ACHE_30710A MSGLEAHARRYMSVFEDALDIRKILALDREVFPMVGTYHEVFSE YFEYLREKLEAAVEANEDFNDLINGFGQESDHFVVRHVMSLYASRIAKLGKDVEDLKA LTESLRKDKVIKLNDSQVVFEEYISMPLVDEPARAALIPAIFEASSSDTESGSENRFV RIRKDK ACHE_30711A MSEKQALTSNMDPIDAPPTYEAAPPTRTPLPRPPPLSLPFLNDL RNKRVILASASPRRRQIMSLLGLPNIEVIPSNTPEDLPKTLQPFEYVLATASKKAHAV YEQEIANEEKGEPGLILAADTIVVDSSSGAILEKPHSEAGHIAMLKALRNARNHKVYT AIAAMAPLVSARDPGYAVETAIEETSVRFDGSVTDELILAYVRTREGVDKAGGYGIQG LGSILVEGIEGSYDNVVGLPLKTTLRVIEKVMARADDDDRLGDEDGEEEGSELEEE ACHE_30712A MPSAQLPSTSRASSSSEPSYSPAPRSITMNADDGDDRRSSTPAG RSPSSSKQIASSNQANDKDKPRLTEQEKKSNHIASEQKRRAAIREGFDRLTELVPGLE GQGRSESIVLNKTVEFMRLQLRERQELIAEIEGRGGRIDETLRP ACHE_30713S MSSDTGLEPLHGSCSCGRNRYLIRIPEDVTDHARIYFDTSSDNR RHHGTPLTAWLRVPLDWFESHTRSYFPDETHNTIRRTFTPHHAPHTRRNFCGFCGTPL TYWTEAPRDEAEFMSVSIGSLHGRDQHLLEDLDLLPGSSDEEELEEESEVDEEVPATR DPAPVSTTTAAAPSSSSVVVPTLASEPLRSYRYGTMSGIPWFEEMIEGSRLGRLMKSR RGMGVSDDDSTKFAWEVSEWHDDGTTGAIRQTQSSTFRSSSGKRKRSFRGDAGVGSSR QKRRESP ACHE_30714S MCLEQAQRIQKVEYRPPPKCTGPKYPTIMGVTPNRLKPKPKVAE VKKRENRRTRALKTLRNPDRMFLKWKGTKSYARMRMFRRLNGCLKVLQLSGTNQTGTM LADIPEQKPLEIEYKPMYRLKEIRRASMLLARPKPKRPVSELSIASIDSVLSTNRKRY SNASIYSRPMSTAQPSPAFSTENSSGQSSENVSLRASLVPYREWWAFLQSTPNPFREE EPNDERTNCDAQATLIHRHAHNSRREYSLFSSTHRNSLHSSRVFKAPEDNYTTPPSPT GSDLTITPSRYTFAQNMTESKSSSQDLLSNSTRSKGLGVEKAESVSDSKNKDTYHGDK RDSRAQQGQILTMEASKVEKPQSQLSPKDTSTVNDEIAPDSAKIQITRKPTGGTGPRG PRTPAPKTYPGLTKGARATPSAIPISVRRLSTEAADIIQRTSNGGFAGGIRILDKPSG PRSHPAGEPTSPSVPESIPETRKVSDNSTSSSSVGDWDLEYGEEEMQKPPTVFTGEYR TRPTERMGQYRYGPTLKIAGSADNIIMGTADDGSKSSLATQRSSPARVKYIETSKSGS SQDNDDKPKGQADQVKDQHTPEQQSPTRNFCRPQISLENLPKRDISNRELSVARKPLN RPSLSNLLVPSADKLHAETTPPVPKVLVSGDSVHTSSPQSQSPKENEDATPTHTPHQR NASSHVSTPATTPEQMLKNMPLRSHPPPRTSSLQAVADFPMHSESDANPKTPGEESGK ENDTPQLKRDASIVNIPEIKEQDQTRSVGTPRIPDSKGTRMLDSFRNIFKHKSGTEKG RTRKEESGHIPRLTKDQSIVSMKSVKNVDEKAESVKASPTTKPRLSDGVGWSKVTRNP KTSGEYSPALVPTPSSTISTSASIPSPLSRNAPDDRTPSFARPTQSTRTKASTPGSKP QLSVGQDGHTRRVIQGVSASTGSPQRLLRTGTKRPSIASTTNRTALNQPRSTIPVNNQ ENTSPGVAKQPELAPKSFKEIRSFIDELCTKARDESTPAERERHLRLALALQQQLSDY YSVEKEAEEALILMKAKKADKDTAQDTLFNFFVQVRAQIEEQ ACHE_30715S MPPRRKNQRSQGNNPFNNTTPNPDKKRWRRRDNNSSNNHLPGAN EMATGDFYYPNNSNSHTMPFPSPGHPGGHPENAFNPPGHAGVSNSYQSYYGPGSNVWN SERPFALEQAEAQMLEMAAAREDEMKDQEKRLFLEEYRYVGQQTQYEPPHIDVGDLEP TPDEIGMEVEPLSTGIGSRVESVYNGNGMAGKVSETGRGGNTASKGTGFKLRADAPEF VPMDKKSKGKKRQDSVTIVTSWY ACHE_30716S MVYCGKPSKGCGECRSRKIRCDQAKPACSQCTKAHRECPGYRDQ LSLMFRDESQQVVRKAKNSASTTRRAAKTSKRTATVRAIDTPSPTLSEKKASGGEVQL QKTPPAETPSVTVDVLTPSDSGGSSGSGSGSCSNEGSPVQQINRKSINVQPSYYPTQD EAVCYFLRYNAWPGAFWMLDATPDAFMQNNKSSSLQAMRAGVVSVGTAMLARIRRCPS LKLAAENEYGNALRLMGAAVMDVNEARANPTLAAVLLLAMFECVTSRAPKSIENWINH IHGAAALLELRGIQQLQDEDGLRLFVQLRYQIIVSCLQRAARVPQSVLDCSRIAMFLR PQREAYGDRLVSITGKLSNLRADIASQTNTDGRQILATAYAIEAELMTWLAGLPPNFM YKTVKKPVIDAEFLRRSRGFVPYSDQYHIYSELWLAHTWNQYRCSKIIVTHIIMSCLR RLSADSAGGYSSEDLEIHCNGLRATARQLAIDICASVPDHLGLVGEPATSNRSHIGGI VLLWPLFLAGATENKAHALRKWVENCFKLIGHTMGIDQALALIEILGVEPGFFEDIDR KDGIVYRVEDLRDLGSDHP ACHE_30717S MGQRPSKHDRTRGAIQMQTYTSNTPTYLRETDKKEVQIETKLIS SPPSLLTLRPPPPALLSPFTTTSYQPPRQRRSINLGKRNSKRTLAEDEYHDTLTSFLQ EYNLVFVVDDSTSMQDNGRWQEVTDVLASIAPICAKHSPDNTGIDIYFLNHYEVSDTS RIGFSTTARGNKGGYTNLQTASQVREIFDAIEPRGPTPFGARLNQLLQPYLRLVENMR IAYYNYHNSINAGSSSTHSPDARTAAAEYNETERRFYVKPLYVIALTDGAFTDDALKE LVHAGKRLDRCRAVPWQLYVQFLQVGQDEGARVFLEVLEGDLKREREREGMGREMVVF PGKEVEYNYSYGSLSAEGVLRGVVKAVSSWV ACHE_30718S MNSTTLMTFFLRTDPNVRSVKLFGSWDNFSKPYSLEKDRRVCPG LWKGCYTFTGIICDGSSVQPSSPRSGGLKNGGTYWYYYLLNDDIEHYNETEPTTTHCP FLPGQPVNVLQVPILLPDSEPVHTHNSQSLNNTLDIRTMNPEDRYVNPRQPPKPKLPR LRTSLQQPAPSWSFNSSPLSFITNRTTSQPTSAGGKSRGHSSGGSLDSRISRSVSPPR SRGLRAAIQCLNASTPELTTINNSEDEDLVMRPSAAYGPRPLFSPQRDSSPAVKETEL PFRRPSSANHHDSLSIQDRRAMKSKYRDPSPFRSPLTVNTHSDRFESFHQNDMPTLRE LVQEIAVSGDTSATPRPPNFQDKRLPTLPNTPSSVMDEALRDLDDREKALDAENLGSH FSDFSATDESTGDQSPVLERSRFSEWSTDNEQISPESMVSASTFNEERPLSTITDDAK TPGLLQPSHVAECSDPDTPHLTVDSQPSPAISAGDNSPDIPLPAPRVAVSGSPLLNMA DLSISDEDGDHEHSEHPEYVESNPKRRAAFFGELDPVKGLGLSPSPGNSAMLFRDAVE RDLAATPHASVGSVSTGRDSLGSGRLVAGQNATMQEMMDELSYLKNMIQNGQDLNGQN EAPQGMI ACHE_30719S MIEETPLLSLVAEKSLPTKCKPNLLTYCPTMDLIALVSAQDEQL SVYRLNGQRVFGGSFGGDAHGHGYMLDSDEEDEEKRKGEIRGARWKNNGHLLAVACAD NTIRVISSYSGKTVHHYPAYHPHNQQGDDCEDEITTTSQPKVTCLGWGTNFTDSKGAQ RYLQEAAGQISVQDLLAPGVHPSKVAALLKADLPRELALLDVESSLPKLSTLPATGSD DDVFSSRASLDAIFHSAAKNTSDSVDVLAVGFDDGTVHLRIFDCFEIGSFQIGASEGN ANPCSLLQHASHPLSSTHALLASTPSDQSTKALQLLTVDLRFITKSGRYLSLLAYKTT QLQNLLRYINQVQKQIEIEWKNAQEQPMRFMRSAEFDLQEKCHCDFVTAVYHLVVTGD CFAPLKEFLVDILKSQGHKRWDKAVCSGYENIRRLTHECLLPALERCEVLLSRLIGLS KFSKLSDVLGLETSDLNAIVETLDCLHLLSHHILINTNEELSQFISFSKWLRHEIDIQ SAEPMSQTLEELMERTDIMEYPKTLKYIQGPLAKSALRRFIQQLPMLGVPRPPPPAST AGKWAPTGHGRSFYEMFKGLLQQQQQQSLDGGEVEVPKLNDLTKRLGLQFEKVFGQIA LTQRRGILHRSPLMLHGDCDGEVIDMTMRYEDTQEGSFGSIYIATRSIKSKHLFYIYR VVLDSVNGVSSTRDTSIAGFDLQEGDIRQLQFVEDDTLMILWSHHNGSSYLLNFPFQP SSATSSGAEAKPPSDLTVEYEDCNSSTTVVPLYVLAEDSPHASLIRHTFPASAGAKGR VRRIDVNGRKGRRAICALFGDGGRYEVFDMDAEVVEEDESVQ ACHE_30720S MDVTQVLASTLSPDAATRTNAEQQLLHAAEVDFAGYLTTLGQEL ANEASQPHIRTAAGLALKNAFTSRDLATLREVQGRWIQQISPEIKTQVKELALKTLTS KDARAATSSAQFIVSVAAIELPRNEWPELMNTLVQNVATGSDQLKQASLITIGYICES QDPELRESLAAQSNAILTAVVQGARREEPNMDVRNAAMTALGDSIDFVRTNMENEGER NYIMQVVCEATQADDLRVQAGAFGCLNRIMGSYYEKMRFYMEKALFGLSIMGMKSEEE DVAKLAIEFWCTVCEEEIAIEDDNAAAQAEGVTDIRPFFGFARVACREVVPVLLQDMC KQDEDAGEDEYNIARASYQALQLYAQCVQGEVMQPVLQFVEENIRNEDWRRRDAAVAA FGAIMDGPDPKILEPLIKQALGVLIGMMEDSSVQVRDSAAFALGRVCDFCSETLDPEV HLQPLISCLFNGLASTPKIASSCCWALMNVADRFAGEIGAQTNPLSRHFEESVKSLLT LTERQDADNQLRTAGYEVLNSFVTNAANDSLPMVATLSDVMLQRLEQTVPMQTQVVSV EDRITLEEMQTSLVSVVLAIVQRLETEIKPQADRIMHAMLQVLTTVPPKSSVPDVVFA TIGAIAGALEEDFVKYMEPFSPFLYNALGNQEELGLCSMAIGLVSDIARALNEKVQPF CDTFMNHLLNNLRSTANQLKPAILETFGDIAQAIGTQFDTYLPVVAQVLQQASTVTTS NDITIEMLDYIISLREGIMDAWGGILLSYKGTPQVAQLQPFVESIFQLLHIISQDPGS RSEGLMRASMGVIGDLVDAYPNGEFAGFFRQDWVTALVRETRTSREYGPRTIDTARWA REQVKRQINIATAAMS ACHE_30721S MVRTSVLNDALNAMNNAEKSGRRQVLIRPSSKVIVKFLHVMQKH GYIGEFEEVDDHRSGKIVIQLNGRLNKCGVINPRYPVQLRDLETWTTQLLPSRQFGFL VLTTSAGIMDHEEARRKHVAGKLLGFFY ACHE_30722S MPLDTIYLTRHGHRLTFTVDPKTGRYHSQFPTPTHNPADPTLTS HGVQQSHELAAHLSSPGFHPKPFRIYSSPYYRCLQTIKPTVEKLRELQVLPEPLRLGG KIPDDWEDGLLRVKVEDGVGEWFGPTSWFHHPTPEPPDVLSGHFPNLLYENINGATYK PVLEPSTRGETIAQLHHRIALALTAIIADLDLHISHEERELPESERTSKAVLICSHAA PLIAMGRVLTGNMPDDSGEEDFNVFTAGLSTFKRRGPTAPLTGAFGATGHVDESDERA AKKLVAPGTTMLRPRMKRVPEWEGGRGVGGGWDCVGNGDCSFLSGGAERGWHFNGDES FDPAPVSDETPATKL ACHE_30723A MDAIPEDLMMAPKWAQGLGTQLGSTAPVPPQPEALETSKQPQVL EENNQPEVYGGHEGNRDQQAEFSRR ACHE_30724A MTSSTFPEGGPVTSEQSESTPANIALHVLSPSLPPPSRFTLNDL PRSTTVADLKTRISQSIPSQPSSVNQRLIYRGKPLMNQNETLQTILEPPGESEYSIHL VLPPAPAPSAPSPADLPSAAPAPTQEAVGGAPIDTMRNSLSAANANRFAAPNFPHVQG IRFRGNMPTPSRANDADLAHLRPTIEAIRRQVEQLERGGNQQARPVSQATATNPFGSH VQSPSFPQQAAWQRMSHGFSNPSISAIPQHPSSVTTTTSSLYFTMPSTMPSTTSSTAR SSVAESDLSDFTDNQQLQLQILRHQIALGEDQLSRGIAPPFDHVVRLRTQLFQILDDQ YRNPLARRDGSVESLLTRVSNMYNRADQLRILQARASISLQNNLGSSVMNPDHSTAPL YLLSSPDGHQSLITSPGGTGSIQAALRTAHMPTSTTPAHTIGQAPEAHAQPNPAVMEN VVRQAVLNHQLHNRDHQIGLARTIRRMWLFIRLYFFCYMFSEPGTWTRIFFVCLAAII SLLSDTGVPQQLHSMFVAPVQRHMEGVIQIPRLRRPSASQNAAGLTRQPTRDNHRETQ PTGLRHNVRRAERSLLFFMASLIPGVSERYVEVNNAVEAENAQREREEENRRRQEEAT QADADADNADQETVNPHAHPERTVTNANERYTAIPQEEDR ACHE_30725S MAVCLTPCSTICCQKSARALFAVFPHEGRTAVMDIVTFRATGQR RQGAKQYSSSSKNWRQYRHYHHESHNYNESTNRGFGSRLRSALRKTKVEWYPIPVGLG IGLLGLLQFYKSQRTERLRIEREESGEAWEFGKPPPRPRIRPSGPWQVQIMSTLPLKA ISRLWGRFNELELPFWLHAPGFKLYSWAFGVNLDEVAEPDLRTYPNLAAFFYRKLKPG CRPLDPDPRAILAPSDGRILQYGLIERGEVEQVKGVTYSLDALLGAATPSHADHSKKF TDHQSEPSPKDAADMASHEEFARVNGISYTLPTLLAGDQGGANKRTASLDASTSSKPT SEAQVKADLALGDGSSWYSPKPTSNNALFYVVIYLAPGDYHRFHSPVPWVVESRRHFA GELYSVSPYLQRHLPGLFTLNERVAFLGRWRWGFFSYTPVGATNVGSIKVNFDAELRT NSLTTDTAADLAAALAARRGEQFPGFVEATYRHASRTLGGHPLKRGEEMGGFQLGSSI VLVFEAPVGRRLSFDAGWKEGERQGGFNWSIEKGQTIRVGQKLGYVDVDE ACHE_30726S MPPQPNLRRPAAPTPDSPHRHRQPSRPRPRYAVPQPQFQTPPSH ATPQLHPSSGPIQSVQSVHPAAAAQAAIYGLFSRSGRQRPQMMDEDDDESDDGLNDTF AQEDPRRITIDTEGNEVSLSDEEAAGMFDEEMEGGEEDEDELMQDRDRSPTPLPPNLR EISSLASWTVSTHKPGCGVAALRSTNPSEYWQSDGPQPHTLSLHFFKLVAVVRIRVYL DFEMDESYTPTKMVFLAGMGGNDLVEFATWEGDTPCGWVNISLENVGGRSGGWVRKKR RKRVTRANDKRASVSGELLTPGGSRIVCNVSFWFMRGFPRYIKR ACHE_30727S MAVCLTPCSTICCQKSARALFAVFPHEGRTAVMDIVTFRATGQR RQGAKQYSSSSKNWRQYRHYHHESHNYNESTNRGFGSRLRSALRKTKVEWYPIPVGLG IGLLGLLQFYKSQRTERLRIEREESGEAWEFGKPPPRPRIRPSGPWQVQIMSTLPLKA ISRLWGRFNELELPFWLRAPGFKLYSWAFGVNLDEVAEPDLRTYPNLAAFFYRKLKPG CRPLDPDPRAILAPSDGRILQYGLIERGEVEQVKGVTYSLDALLGAATPSHADHSKKF TDHQSEPSPKDAADMASHEEFARVNGISYTLPTLLAGDQGGANKRTASLDASTSSKPT SEAQVKADLALGDGSSWYSPKPTSNNALFYVVIYLAPGDYHRFHSPVPWVVESRRHFA GELYSVSPYLQRHLPGLFTLNERVAFLGRWRWGFFSYTPVGATNVGSIKVNFDAELRT NSLTTDTAADLAAALAARRGEQFPGFVEATYRHASRTLGGHPLKRGEEMGGFQLGSSI VLVFEAPVGRRLSFDAGWKEGERQGGFNWSIEKGQTIRVGQKLGYVDVDE ACHE_30728S MPPQPNLRRPAAPTPDSPHRHRQPSRPRPRYAVPQPQFQTPPSH ATPQLHPSSGPIQSVQSVHPAAAAQAAIYGLFSRSGRQRPQMMDEDDDESDDGLNDTF AQEDPRRITIDTEGNEVSLSDEEAAGMFDEEMEGGEEDEDELMQDRDRSPTPLPPNLR EISSLASWTVSTHKPGCGVAALRSTNPSEYWQSDGPQPHTLSLHFFKLVAVVRIRVYL DFEMDESYTPTKMVFLAGMGGNDLVEFATWEGDTPCGWVNISLENVGGRSGGWVRKKR RKRVTRANDKRASVSGTTKTKASSEAKLDYDYIFSDSEHLEYDEGAYEDDNDETADED DEDDPYAGNVLKAMVIQMKIIENHQNGKDTHVRGFQVYARDDDRRRAGNAPSASADGR VGRQSLRQSSVKDGEEHDAEDDGGRVMGLEEPDWMGEPVIR ACHE_30729A MAPYNIVVFAGDHCGPEVTAEALKVLRVIEKSRDDLSFNLQDHL LGGASIDSTGSPLTDEALEAAKNADAVLLGAIGGPKWGTGAVRPEQGLLKLRKEMGTF GNLRPCNFAAPSLVEGSPLRADVCRGVDFNIIRELTGGIYFGDRKEDNGDGYALDTEP YSRAEIERITRLAANMALQHNPPLPVWSLDKANVLATSRLWRKVVTEVMEKEFPQVQI GHQLIDSAAMIMVKDPRKLNGIIVTSNLFGDIISDEASVIPGSLGLLPSASLSGIPDG KSKVNGIYEPIHGSAPDIAGKGIVNPVAAILSIAMMMQYSFNRMAEAKAIETAVRNVI EAGVRTGDLGGKSTTAEVGDAVAAELEKLL ACHE_30730A MSAKTRRQKAAQAAQSDSDVPSPASNGAVKTPKRSKSKSPSPQP EAKENVFLFAPNLIGYSRVVLTIASLYYMPLHPRTCSILYSVSCLLDALDGYAARYFN QSTTFGAVLDMVTDRCTTACLLVFLSSAWPRWAIVFQGLICLDMASHYMHMYATLSRG GSSQSHKKVDATRSWVLYQYYHSKTVLFICCALNELFFIGLYLLSFSSPTLSPSLLQP TEQPASAQPGNPAHPAPSSLFASPWSAGALEMARANKLDSFWPWVITAISAPIMAFKQ FVNVVQLVNASQWLAEGDLASRREARNGRKR ACHE_30731A MSLSSVKRATVRVRPLADSSCFISISKRKYSTPQSKRRPEFHDY FVTHLPSSSLHPDPRGPLAPFHKLPRSESVPHTGEISHSPAAFQTLTNRDATVVRIPL RSAKHHFGASTSRGTRRSNEDTHQAGVIDLPAFANRPPTSLTIRRGVGPGPRENRAAD SASGDPQVFYFGVFDGHGGTECSTFLKEKLHEYIQNTAAEFELRSSLRNKGEEESKEE PDTLPIVQGANRQQIGELEKELVKNWRSLVGGYFKRFIPPHFSYFGQDDVAEQTDRKS RKEGVTIEEVMEYAFLHADYDFVSAQAARRDDELVKAGQPLQQDEILYGADHPATSKK ISGNTRFKGGSTASVVLISTPTPAPFWYPTTSSSLLVSHVGDTRILLCSTITGEAIPL TSNHHPSSPPEAARLRRYATTFVTDSFGEERMSGLANTRSFGDVQSKRIGVSAEPEIY RLEMAPAEFSFLVLMTDGISGTLDDQEIVDIVKEARTPEEASKNVVSFATEVTKVGDN ATCLVVRLGGWERRLEGGLGSMGTKESREWRRQEATDPRRSRT ACHE_30732S MVKVNVFGTGFALQAAIWVACGMAFILFGYDQGVFSGIVENQNY LETMGHPNDSLTGIIVSIYNLGCFSGCIVNFLLGDWLGRRRAMWFAMTWVIIGATLQC SAYSVPHMMVGRFVCGIGTGIETSTVPMYQAELCEASKRGKLVCSEPLLVGVGIVISY FFDYGMSFVGGQIAWRLPIACQMVWAFIVIVLVFGLPESPRFCYQHERNDEALQILSD VYGKPKDDPQILSEQMEILETISVETENGGFKWRNIFKRDEVSTGYRVLLAYGMQFMN QVGGINLVVYFIPTVLNTNVGLSKNLSQIIGGCVQIMFVIGSLFPTIFVDRVGRRQPM MWGSFGLGICMMMVAILLSFKGQANEHATSSAAVAFFFVYMLIFGASVNCIPWCYVPE ILPLHARTKGTAIGVSSNWIWNFFVVMITPVIINRLQWKAYLIFMCTNFAFVPLIYFL YPETAKMTLEEIDYLFTNPDKGPVKLSKEIAKERRKHGRVGTLGMDRGEAKRETEEDS KSGAGEEHIEKV ACHE_30733A MSGLDVEALLESTAAQPPQQDPTQPQDRDDDRSKSEQSTDRRDR DRSRERERRRRDRSRAGRRDRDADGDEEMRSPRSEHGSANGSHRSNRKRSRSRDSRRG SRRDRDRDRYGDDYRSGGGDFYRGGGRARTRSRSPYDDRYYRPSGGRSRRDDDERRYR RRDSRRRSPTPKGRDKSPELTEDERDRRTIFVQQLAARLRTKELIAFFEKVGPVKEAQ IVKDRVSGRSKGVGYVEFKNEESVPQAIQLTGQKLLGIPIIAQLTEAEKNRQARNPEA TSSNNHGAPFHRLYVGNIHFSITESDLQNVFEPFGELEFVQLQKDETNRSRGYGFVQF RDPNQARDALEKMNGFDLAGRAIRVGLGNDKFTPEAHRSHSAAPPIFQGSSFSGQGGR GVQAGGSNNFDRAGGRENEKGAGASALDDTDVAGVNFNNFSRDALMRKLARTDEPSEP TADDKAKLLRPKTETKPLPVNVNMASRCVMLRNMFDPTEEEGEAWIKELEDDVRAECE DKYGHVVHISLDPNSQGDIYLKFDRVQGGENAIKGLNGRFFGGRQITAQPVVDAVYSS LFSRTKAI ACHE_30734A MPGKILPTLTQAEVESHDNAKSCYVTIGSKVYDVTSFVDDHPGG GDLILEYAGKDVGEIMGDVVSHEHSEAAYEILDDSLVGFLGTDSTTKASANGAASAEE QARAVYESTGMATEEDLSVETDIVQDYQKHKFLDLNKPLLMQLWTSGFSKQFYLEQIH RPRHYKGGESAPLFGNFLEPLSKTAWYVVPIIWLPCIAYGTTVGFSGMSSVSAAAGYW VFGLFFWTLIEYVMHRFLFHIDTLLPDNRVGITLHFLLHGIHHYLPMDKYRLVMPPTL FVLLAAPFWKFAHAVIFYDWHAAVLAYCGGVFGYVCYDMTHYFLHHRNLPSYYKQLKK YHLQHHFADFDNGFGVTSRFWDVVFGTELETPAPTKIVKTQ ACHE_30735S MESPLSDSFTFPGNRLTSYIQTLTETKKGLPHGIPVCVCASHTI TTTSALVQLASSIGPHIAILKVFADIIDDWSDDTVRQLIALARQHAFLIWEGGRILNS TVDVTGTSESKEVRNELVDLVRRKYTKGVIKTAAWAGIATAWASGVAEDNQEADILIP ALKAAARETVANTTQTIRTEITAEKSPNNRPSHDNAEDDDPQHLTSDYVVVDDENLGL PPRKASTISLTQTITQHTEDSTEDFTSLKSDRSDVGDHLSVNGGQKRSSITPDDDAIP PPPLLARGLVLCLPSEYTDAFTPDYRRSCLAAARANQDFVIGFLSAGQWHLVSQQEDL LDMEVPEYDNDLVQEQQPVNDWDEDKPYHLAVFSPISHRLNQMHGKHLEDYNDEDEEN EPLSPTTPIVNTSSADVFNPLSTKLESIVGQALKMRDAIHDDDEVTNEQANNDTKKLP RVMHIPIVSLP ACHE_30736S MNSDYPPLPPSKGPGPESGAESRSIQTLPLREAANTSINTPSVS FEEVQARDSTSQEEPKQDVMVLSSHGDVIIEYADRDGEGFPSASSVCRWLVASEDLIQ NSPYFRALLDPNKFSEGRDFMKQKADLTAAAESETNDDENAAEHPLSMLPSLLATDTD ALRALPTLSLPVDQVTRRLGVNTIELFLRALSYHSLNNGEKHVFNKVLKSFPPSNIAR LIHLADTFNSPHVVREALKRSGYAYGKGRVSVTKFNQSLVKLSEDRIRHIIYIAHFLN EQTVYQVMTHTLIVRGSKYWVNGVEAPLDPEDSTFRWQYFADGLEEELFYRRQYVLNT ITDLQAYFLRAYGALEEATGPKPSTTNYPVTVPATSTTIRPREFQCRCGVVNSNACDA FHLGQMIRFFALRTKTVFLGSSLLDPDFTADFDDEDVPQNTLSAGPPADITAIIASLK QFPDYQIDSSHIGCGIRRRIIPALDCIERFVGDGRGLLGVSLRQENNGSRTPVSANSW TNRSLRRAQRVDIRLSKISGIHYSPPSSSSERSASQEEDARLFFTARKRHWEA ACHE_30737A MSNLPFPSWAEQSTLFKLPYTDTETPRVGTIQTVWVLERWPYQD WQKVQSLLSPRQAGSIAAMSVEHGKREKSKRQLASLSAKVQAYEDVIRKLSSRFGVSD EQLMSIALNVVCISPSHTLEYAFPKRTQEASSDMNMQSDDAASGDLKLLPWSSGSESP SRSSSVGPFEVVAHTEEDFNRDETARATGFIGKSSEINWLQKLSGEISQECDAYNPDM ADSTGLPSPTLTPKAEGKNDQWVASSNYYIDDLDIPATEQVDMYEVPSRDIATKLFNV YLTSVHPSFPIIGISTFASQFQVFFNRPSLKPGNKWLSILNLIFAVAAKYAHLTDASW KGNEDDHHIYFCRARALSIEGQLFQHPDLQQLQVEGLAAFYLLASGHVNRSWKLTGSA IQGAFALGLHLRNVGDCTSDTSKEIRYRVWWSLYTVEHLLSVLTGRPSCIVESSCTTP LPVPFDETDFQKEEVSRLISSPNRGGPSQLACIAVNSSTSSLKASLDCDTNDPTPTAN SKDLDNSGNEYIKNLPPCMSLYFLQLTTLTSIAKRMTNKLYSPEAVRAPWASTEFAIQ SLMLEIDSWFMNLPGPYDFTSTQTSQCPTSQKMSLAFLFYSTKIGITRPCLCRLDLIR PQGDKAYEFCSKTAAECVESACHMLTLFPETSDTSLLYKMCPWWCILHFLMQATTVLL LELSFHSQHVPEKSSTVSKAARKAVEWLSLMSKTSLAAERAWKLCDGFLRRLAPHIGV DMSDLPCSGDSTAEASPEASFDATTSAASAAAAENLAAELDSITCSPMDQDQSGSSPL ETLVSYGLEPPELLGFMKPEKGTVAGRNGYEDYFPYDSATGQMTGSFFPNGTNIDLDL GYFWGDPIC ACHE_30738A MVVLAASICTRGGKAVLSRQFREIARSRIEALLASFPKLADSGT QHTIVEQDNVRFVYQPLDELYIVLITNRQSNILQDIDSLHLFAQVTTSICKSLDEREI LRNAFELLSAFDELVTLGYRENLTLSQIKTFLEMESHEERIQEIIERNKELEASEERK RKAKQLELQRKEAARTGRTPTPRTPSYPVYSPPSRPAVPDTYDSYEAEKKKTFAKPLP TRGKGMQLGKKNTDIYEKIRGDLGPEAEESSPLVTPQASTPVVDKAPSARASLSADRE PVHVTIAETISAALTREGALRSFEVKGDLQLRITDPSFTKLRLDLLATPTHGAQFRTH PNVDKAAFTNSSIIQLKDTSKRFPANNSIGVLRWRVASSGSDNADILPITFTVWVNKG SDSTTVTVEYELTGSDSLRDVVVTVPYGAAEPVVSSFDAVYEVSGDSLDWNIGTVDEN NASGSFEFESADGGDESDYFPMNVRFTKANPFVEVDVTNVALLEMEGESTGFSKDIKS VAEGYTIE ACHE_30739S MTTAPSIAFPTMEVPAADDTMEMASPLPGHADDFDIDLDVMEDQ ASNADKDMMGADDYPDESIEIEYTREGANDADMMDDVAEPTMVDADDQYPETNGNVEM QYSADETYEAEMLEDDYDEDIDVPMPKSDEQGPTTAQDDIGQKSELEIGPLQTNDVVQ EESENHALETHVKSTEEHVTEPAEEPHTEQEQHDHPGSEHVQEAGLQPDKLEGDKIPE TNQTERTDVQSVQPDLNKDGPTDSKQPEEGAGEVTEAQPPIDQPKEQEVGPTEVQDTE KPKEKEQGADLNEHAALHPVKVYYQDNEVSLFPPREGDSSETFFLEDESLAYENFGKL FESFREVLREHIGENDVLVVDIDALNVQLTEDSLNSSKVTLHQIINVYLHLCDNESIG EPESLYITLSTKLTASAAISELAAAANEGKGLSEIFSWDEFDEVEPISAGPDAEPQHD LEFQEQAPEEFQKPPKSREHEEREEPAEHVTEPEPVREEPEAPEEQEKPKASDERQVE AAEATQVSEQDVAEHEEGHNLELQPEVTNEAEPAIQEGAHFEQEDQEKDDDKLPSNQP GEEVEDAALTGKGEDDEYGGFDEGEYEEDAQGYHDPELENQDHPSNDRSYDSEEQKTE STATIAPLPATDRQQDVELSADVTETDGDHGEYDQVEDTGEEDHPNDHTNLDAPEHDE YSEEQGYNNVDGAYDEQNAKELREEPLSKTHDTSDGDEDEDRTPQEDVADEAFQGNED AVHGHPEDGDSDPAQNDARTTPEPADELLGNAESVMDSPSKNAKDNNETADSGEVLHD DAEYVSSATAEEDVKEVTFDGNDDEFFNLDFDDEAGPETNVSDAVADHNVSTKRTRDP EDETDLTETTPDAKRSRSS ACHE_30740S MQASERHGFEGEGFSYLKSPVWWGGVVTLAIGEIANFAAYAFAP AILVTPLGALSVLIGAVLGSYFLQERLGTLGKLGCAMCLLGSVVIVLHAPPDKPVERI DEILHYALQPGFLSYCLAVAIFSTVMIYRVGPLYGKKNPLIYISICSTVGSVSVMSVK AFGIALKLTLGGHNQFTQPSTYVFAIVTGFCILTQMNYFNKALNQFSTSIVNPLYYVT FTTATLCASFILFKGFNTTDAVNTISLLCGFLIIFSGVYLLNLSRHDPDGRQLLNKVD DEAVPTDAIASFQTRRSMQSRRSNEPHRRSSSSLAAFVNGHGDREGLMSSYDVENQAY GLADLAEESDGEPGPTYKRSQDNGRPGKLAQS ACHE_30741S MPGLIRKLLIFAAVDGLILQPYGNGSRHNGGNNDSSSIRIEYKT SKISSFPASALSDEIEEKQQDAGLEAYGLVGLLSVPPYSFLIPITQRQQVAQIQGNPI YAVTNIALIPTSSRADAIRAIAQAKEHLLKDGASDLETDDDDDGSSIADTDTDAGEMD ISSTVPDLDSHHIAGSSSHARGRSITSIAEDVLGKNVRFGRFAASWLSRKTLGLPGFG TVDRDTTEMLLGKDSHSVKDAPEGQSVAGKEAKPEEENVLDEKEQIPLPEGGVLASSD HTIELLPKLLRYVKLLFASSQNFFFSYDYDITRHIGAQEPRNGHRPLHTVVDPLYFWN KHLISPFIGIDAHNYVLPLIQGFVGQREFTVAANEPPSPETEDGTERTEGQILGNKEE TKELKPDAHKRDFLLTLISRRSIKRPGLRYLRRGVDDDGNTANTVETEQILSVPDWNA SRNIYTYLQVRGSIPLYFSQSPYAFKPVPVLHHSTQTNQVAFERHFRDMSRRYGKVQA VSLIDKQAGELKVGEQYEKYAQCLNESGGIDGMPLGLEWFDFHHECRGMKFENVSRLV QRLDTTLDEFNYAVVQDNQIRQGQAGIIRTNCMDCLDRTGVAQSAFGQWALEGQLKKE GIDVDLGGHSASQWFNTLWADNGDAISKQYSSTAALKGDYTRTRKRDYRGALNDLGLT LFRYFNNIVNDYFSQACIDYLLGNVSTQVFPEFAMEMQTADPGISMQKLRQNAIDTSC KIVISEQSEEFLGGWTMLTPRQPNTLRTLPFEESVMLLTDAAVYNCRFDWDTDKVTSF ERIGLESISRINYGTYITSVLTDSQADERSNIGLVIVYHEGDDSANIRRVNTRTVQTQ VDPSIVEPPPPAAAAIGNDEWDLASWFTGNKQPVTCFLAFKALPLHNSVTESIAARRG SATVSETDWVRCICEEIERATGARKPSGQQQKQKEEGEERQLVEKTDIVSLEEAKKRT GILEHLIYDLKKFVWA ACHE_30742S MEWQPQPEPLRQLACCLRDSLNPYNKTAQKQAEQMLVQATSSPD YVNYLTFLFCTPQDPSSLGMDEKTCNTVRVAAAMNVKTKIRMAYHTISQQSMSYIRSA TLIGLRDNNQQVRASAGSIITELLQQAGLLAWPEVLQELLALMDNSSRDVPLVTQEAA MSALAKVCEDNHKILDRDYAGQRPLDVILPKLMEFTSNESPKVRAMALGTIHIFLPHR PQALVSSMDLFLSQLFQLANDASTDVRRTVCQTFAQLVDFAPEKLIPHMEGLVSYIIL QQRNQEDPELALDAAEFWLVAGEQARLQQPLAPHMPRIVPVLLESMVYDEDEVIRLMG EADDADVEDREEEIRPQFAKSKASRLDPSKQPDGQENGNAPEDDDDDDLSDGEIEESE FGDDPEDEWTLRKCSAAALDVFSNVYHEPIFEVILPYLKETLRHEQWPHREAAVLTLG AVADGCSDAVTPHLPELVPYLISLLEDAQPVVRQITCWCLGRYSEWASHLEDPSQRVQ FFEPMMEGILRRMLDNNKKVQEAAASAFASLEEKSDANLIPYCEPILRQFVQCFGKYK YRNMYILYDCVQTLAECVMGELAKPNLVDILMPALIDRYNKVSDLSRELFPLLECLGY IAGAYGDAFAPFAPPLFQRCIKIIYENLQEYVASVNNQAIDEPDKDFLVTSLDLLSAI IQAIDPQKSSELVANSQPRFFDLLCFCLEDPNYEVRQSSYALLGDCAINIFPQLEQFI PSVMPILIKQLDLDLIKDDDRHTGFSVLNNACWSCGEIAVNEKAPLSPYADKLYQGLL VIISNEEIIDSVNENAAMALGRLGICCSDQLAPRLGEYAGPYLKSMAKIDFTREKASA FLGFNQAVMKNPQAMESCLGDYFQAIASFPTKTLNQEDYRDILTSFQQVLQGYKNMIP NFDSFLAQLSPPAVQKLRTVYQI ACHE_30743A MADGGGWSTIESDEGVFTSLIENLGVKGAQFEELISLDADTIRS LSPVYGVIFLFKWLRETTTPATPTAPQDGTYTTTPPENLFFAAQTIQNACGTQAILSI ILNQDTPAPHNNQLPINIGPELQSFKDFTTGFPADLRGEALSNSEAIRTAHNAFAKAS PFVDETARPQDEEEGGDVYHFIAYTPVDGVLYELDGLQPYPISHGECGGDTFPEKVIE VLQRRIARYPEGETRFNLMAVTRDLRMQAQETGDAELMEREERKRRGWAWENTLRRSN FVGFIGEVLKGVVGTKEAQGPEAYNEWVEKAKLDTQRKLARR ACHE_30744A MVGPRGGPSRKSHTKSRNGCKTCKRRHIRCDESFPQCRNCTKHN CRCDYMDSSTAKDTASNSRNAPDLLMSPEIESEIESWHVTGVPPFPELLHCPQNGWHG LSRTDLRLIHHITGLSIDLHRRGFSNCTTWARLMPNFLVVALSNDYVMSSILALSAVH LAWITRNKDTKYLAHRHRGTAIKGLHKAIGTFSNDNSEAILAASTLLSWQTTEWQSWA SLQKGITSVLSTMHPVWRQKSEIAQFLESQRCLGCTNSPVMSGFQFQEKNLASVDRTI TALENAQEQVLHSHQEHYCRIGELLGFLRQFRKNLPKQTPQEAFEYVQPLRQWLFWLP PAMLRGGETDISALAILSQFFATAVTLDAVFPDLGGAYLGPLSMGPIEDIYQIIVARN TTDPFNPELQLALALMDLPQQAVAQYKNRLYLSPRSSIDYTSPPPPSPYAPVQDFQAA SSSSPSTSPSFTPYTPPLHSPPEVSVATSPFEFVDYVTAPTQALYPPSPGLLSESCEG VSDFSSAYPDDFLCSGGLSRTNDTPEMVFGYYPPPETNRDLLAPESNWA ACHE_30745S MLETSSGESVGIHRIAEPSTSTVLNEDVSTSILVKLDNFNDDQY IVKVHDTDDCTENIVGTITGLNGYLNISQNATGKAVQVIPVSSDGTTRGFVTDYQYNL AGKNEK ACHE_30746S MSQEHLPGSLDNTDGHDLVQTELGDSALATNVRNVPNPPEIKYR ASSRTLEETLAEGLSNEDLWMLIRRFNKQIYYVKAAEDAPQKVLDLNRAEDEKYPPEK LRITLERFYTSVVIGFSSFFNHIGRLRSWKEPRRTAKFCAFYLVAWLFDLLIPAISSV LVALIIFPPVRPLLFPEPAEPDTKPGQHESHDSITGVSEGHKGEAAEQEASNLVNMVA TVAVEGAAGKYGQGTAESSTETLAEENPETPSMPGSMEVVPVTAEAPGENGPTEDKTE KPMKKKVSKATNQTMRVISDITDLYERFANVFSPTPPFFAISARLQLVGILVSICLAS LVTSSYVIVKGIGFVIGFGFFGDPIFEWTVDFLNRKVPNWKDNLDIQKTLLKGVPTNA QLTLTLLRIGEMNSSPLPPPPGSSNIEPSWPISRKKSNNLITNGTNGTNSDNNSVNDT NQDSPTAVQKQPSADSLEPPKPSKRRWLTKLFKIFRRTISTAIKSHIALDRAMAIAGS AHTQHLLGMLQRKGFITAPMGPLKFEAKFERKRGTVVIDSSKEPPLLYFTTQNSGALD DLRIENQKDSKVLFQIPVTEIRELKKTEGLGWKGKLIVELTVKSKEVADGLVVCGREV GQCYHLTGMKGRNQLFNRLVAIDAQFWESY ACHE_30747A MNSDTGQGIQPILGTGLNVHSSPKITIDNGNGNMTMTPPDGHVP REHRASVGKRTTARPPVHNEFALDDTEQNGANDPQDTLFSQVYEWLQHEKAKQRGHKL RISGDGNGVDSALASDGDDDDEDTNARPRSATSKNKTLALEGLEKILLEYTTSRYDGS NTSVSSARRFGRRRQGKKGLRRASASESDNLDVEYGVPYVDASLDNSRTLGYSGGAAE DEQDGTVDSKRAKEREAWLTFKTEIVRLAHTLGVKGWRKFPMECAGDIDVVRLSGALT NAVYVVTPPANLPPPPRAEDGSYTLVPRRSPQKLLLRIYGPQVEHLIDREKELQILRR LGRKNIGPRVLGTFNNGRFEQYFEARTLTPEDLRYPDTMKSIAKRMRELHEGIELEKE EREGGPMVLRNWDKWVDRCEQVISWLDKEIQSDHNDAKAQSESWRRRGFVCGVPWPVF RKAVDNYRKWLEDTCGGADEIKRQLVFAHNDTQYGNLLRLEPKSESPLLLPANEHKQL VVIDFEYASANTPGFEFANHFSEWCYNYMDPQYSHYFDITRYPTPAQQHNFISAYVSH RPFNGPSQSAYSVSPSGTPQMRGSTSNPPTFNLDDHDPAQTQKYADAEKAAEDIHEAE VQFFMRQTRLWRVINSAQWVAWGVVQAKVPGMEEGIAAAEAEKTGHENGNESGTSNGP TEHHPEPEPEHNSEAKAPVPEAEADADDFDYLAYAQDRAMIFWADMLAFGLVKEDELP GHVVEHVKTRVLEY ACHE_30748A MAIFSAPRSFQYANSLVSRQLVSQSDTGLITSSQLIANGRHSGH PSFFYLVLLVFEAVLEVVCVSLPGYFAARQGMFDADAQKLVANLNVTLFTPCLIFTKL GSQLTAEKLTDLAIIPLIFIIQTFVSYLCAFVVSKCFRFKKRRSNFVTAMAVFGNSNS LPISLVMSLSQTLKGLHWDRIPNDNDDEVAARGILYLLIFQQLGQLVRWSWGYHVLLA PRERYLEEAEREAGASRIEQGQERYTDNPEQTDPDEPLIRSRSRSQSGSRSDLSRRRT SIQTHNDEEFHSGDQTPVGSYSYSKTSGNDSNHDEGRLGDEEHPDHFPPLIAQPPQGP FLPRQNTEGNILSFPNVELAHGETPQRGCLQQFRTSLRRVGKGITQAWEKQAGAAFRK LPTGLQKGLFGVWNGIRKFVHGAWDFMNPPLWAMLVSIVVASVPSLQKLFFDDGSFVN NSVTRAINQNGQVAVPLILVVLGANLARNTLPEEALKDLDHPKEERNLIIASLIARML LPTIIMAPLLALLAKYVPVSILDDPIFIIVCFLLTGAPSALQLAQICQINNVYVSAMS NLLFQSYVVWILPSTLVLVMCAMEVVEWASATT ACHE_30749S MQTEEKPVRDVPVALPDGDHSDTTDDDVSPAATPSANAPQSSHP SIPSLRPTKDMTAGISASSTHLGQINAARRGPAPRPPASMSAAQSGGLNQDILAKMKA FSLSRQGAPPSLPHAASSPTIPSLSSPGAPKPNGGVQSPPSGGPVAGPLPGRLPPQVR PNAKNWVSSPTVAGSAAANAPKPPGSLAAKRMKPGLKLSDAAGPNGPSPGKPSDGPAP GGESIFSKYSEFVDTKTGTLNFKNKAVIHSGGVEFSSGHSFSISLDEVDRLDELGKGN YGTVYKVRHSRPHMRKPGTGVGGIISRPAGPDDSNSDGIPALKPQDNLSGVVMAMKEI RLELDESKFAQIIMELDILHRCVSPFIIDFYGAFFQEGAVYICVEFMDGGSIDKIYKQ GVPENILRKVTLSTIMGLKTLKDNHNIIHRDVKPTNILVNSRGQIKICDFGVSGNLVS SIAKTNIGCQSYMAPERIAGGGMQQSGTTSTGTYSVQSDIWSLGLSVIECALGRYPYP PETFNNIFSQLHAIVHGEAPTLLETGYSEDAHAFVRACLDKNPNNRPSYAMLLRHPWL SPLMQPPSEDEAAKGPEDSGVETEDQEVADWVNERLAVLASGQEPSPDKPALHAAPLD AVPGSPLLEDAALQQPA ACHE_30750S MLSRSLPTLPIQPLKTRFFQLARSRSSASVSPLGKRTIQTVAAA NAKVTTTSATAPRLASLSRQFSSTSAARSSGNANSDTMGAIEKLSYDYIVLGGGSGGS GSARRAAGWYGKKTLIIESGRAGGTCVNVGCVPKKMCWNFASINETIDAGRHYGYDIP KDIKIDYTHFKRTRDASIQRLNGMYERNWNREGIDLVHGRAHFVEPKTIEVVNEDGSK AQYTAPHILIATGGRPNIPKVKGAEHGITSDGFFELEELPPKFAVVGAGYIAVELAGV LGAVGVDTHMFIRGQNFLRKFDPMIQQTMTDRYVAAGINVHRHHEGFKEVQLVRGGKG KDKLLRLVCKDDSVLEVNELLWAVGRAPEVEDLNLDIPGVKLTDTGYVTVDEYQNTSV EGIYALGDVTGQAELTPVAIAAGRQLGNRLFGPPELKSSKLSYENIPSVVFSHPEVGT VGLTEPEARARFGDDKIKVYKTKFTAMFYSILPDEEKAKNPTQMKIVCAGPEEKVVGL HILGLGVGEMLQGFGVAVKMGATKQDFDSCVAIHPTSAEELVTLR ACHE_30751S MYFKNYAAALTAVLPIVAAQTYSDCNPTKKSCSPDNGQKEYTFS TDFTKDTSLNGWETAAGNVTFDDNGAAFTINQKGDAPTIDTKDYFFFGRVEVEMKAAP GTGVVSSIVMESDDLDEIDWEAVGGYTDRIETNYFGKGDTTTYDRETWVNLDTPQETF HTYTVDWTKDSITWLIDGNSVRTVKYAEAKDGSRYPQTPMKLRLGIWAGGDPDNGQGT IEWAGGETDYTQAPFSMYVKSVTVTNYNPADTYEWSDTSGSYQSIKASNGTSSDSSSS STSSDSSSTTTGGSSTSTATGASTTSDSSSAATSTSDSTSGAGAGSGSSTSTGSGSGS DSASASGSGASASPTTFDGAASAVSNSFVAPALMLALFAAMFQL ACHE_30752A MSTTLSRPQESTVLSESWIVPSVPSLPDEEQQNDSTEIDNPDPR QQSETNKEDDPNTMGSSGSLMSGPELIMPSICEEPILMSHVRSGQSSPSTSQTLKRRR TPKKKTEDREDTHIPSEPSVKENMRIPKNKADSQASGWTWERPIRAITNLLLIAAISH LLVVPEVVYHSRHLCAIPTIPALYPTSCSQLSHPRHYNHRPPPTRYDSVLSLQTQLEI LFNSTLEEIAPYANSLPETESILRDIQTAMKQVQSGPRHELTLEFDGCRQALTTATRK LDSLKADLRSAVDSLMATGGLPQDSQNHHHRVAKDVRLSTQMARREKYLDQLAARMRL KTDSLTGDFATVADHLESLKRIVAQQALLQSPSHDDEAGYDESNVFKNVRSFVDSIIP DWRRT ACHE_30753S MRRLTTLPRLRPLSGRVSYTPLSRLRVQLLSSTPTRNCSCSDPQ SGDNAAKTTAPSNPDYRALGSDLFTSSVYSPGSPLFLPNGTHIINKLVSFLRAQYQQY GFREVLTPTIYKRSLWEISGHWQNYKDDMYEVRGRGATGETDGELGEDESYGLKPMNC PGHCLMFKSQNHSYRELPVRYADFSPLHRNEVSGSLSGLTRVRRFHQDDGHIFCRPQQ IKQEIASALGFVDLVMTTFGLGPYRLVLSTRPEKDFIGSLDLWNSAEDQLREALDSSG HEWALNEGDGAFYGPKIDIQLQDQAGKYHQLSTIQLDMNLPQRFKLEYQVAEGEEDYN PATPGKATPVLIHRANFGSIERFLALLIEQYAGRWPFWLSPRQGIILTVNQDEAVVKQ AHEAAAKISGFRPLLSDNASTASPPKPLSSVNSTFLIDVDTSPQTLGKKIQRAKQMKY NFIFILGSKDIADSSITVDVTGQMQSPPEGNAQIFQEVAGRQLGESLQNPRAMKLKVD QVHDLLVQLEKHFL ACHE_30754S MVVEPSSSSGSGMADIPVKANLPRKSAFSCEACRKRKVKCNGAS PSCSRCAARGETCVYSLAPTLSYTKQLESRVAQLEEALAKFRSQQPSDTELRKATSPV STGGGSSASPSVRQRTIKEEEEGSEQDLSQDFEGLKVETDGRISFHGPTSLFQLPSGV PEASSVFPLGMELGARKERLINNAWRERAFEQLAAMPEPFQYLLDSHWCWIQPLFNFV YRPAFTRDMKINGPYYSDILLNALISHSVRWCKAEPKIGPILESFDGGAQFFHRAISS VHDSLKVGYAGIPTIQTLLLLSAQECGRGNRTQAWLYSGMAFRLLDDLGISIDSRKYS GSAQLNDEDIEIRNRLFWSCYFWDKMVSLYFGRSPTMQHSRVSPPRMICKSTTRILVC LVSNRWIVDDTSEIEIWTPHGVIFPEGMQYPPTQAHSTSCFMKMCGLAEILNQILIHI YDPIRQISEAEFYQCIQEQAKNLADWWEDLPPYLKLVPSDLPPYSPPSHMVILNCLYH TINILLHRPVLCSKTTRESYDQSHLIQCMSSAIATLSLFDLYRRTFGDAHVVLSLAYS VYTAASIFLLEIQALKYAAPGTLDKLKFCINALERVRVANPVINTALGLIYQELQKLQ IDVPVPVPAPTPTTPDPQSQPRHHSHSPQPQGPHLPAMGHILNPSDTDSGHVSPVHHP HHSHHSSHPSSSMGTPGSTASASMLQGYSFPQQTQTGFDLSSHHQSHQPHHHHHSSTG GLPPLGATHLLGGMPNAVMTLDNTGTYEITPEVFEAFSYAEPITTNMPGFG ACHE_30755A MAQAMDRSRWTTNPYYALVILVVACGSIPKGYDEGGYSASVKLD SFTHDYNLISANWKDDPTGLANRKANITSFNVLGAAFGALFSLYLNDRLGRLNAWRLA VLVWASGTFIQVFASGIYGLLLFARIWSGLGAGLLTVVVPLYLSEIAPTRTRGLVVSC YMVILLLILTVGFFINYGAKIHMAPTRMQYRLVQSIPLIPVGIAFIGSFFCPESPRYL ASKQRHDEGRAVLARLRGKSIEDPTIDEEFKTINNQVREKTADLASISHWQAFKETQL NPNYRQRFWLLMAMQTVAQWTGGNGITYYISDIFQYAGVSDDSSLISSGAYGIVKFAF TLAFTWGLIDLLGRRTCALAGLSFQLAAHIYMGAYMGLQPGSANNENASNAAIASVFI YAFGWSIGLCTIPYLYGTEIFPTRIRNISYATSMSLHWFFQFAVVRVTPNMFVSLDVW GAYLFWACICTCGLVILGIWMPETKGVPIERMGDLFDTPWYLRWKAKAREVEEPPSPS SDADGVEYTYERNEAK ACHE_30756S MASLRLCRLQGAIGRPRLDVLPKTRFLEGLPRVSFSFGTHKEEP RDYVYETQKAAPTLPLKHRLPPRSWDSHMHVVEPQRYPIAADAVYQPPSHTLKDAMAF ESSIGVENIVLVQPSIYGTDNSCLLEALKKTGPSRGRGIVVIDPETVEPSTLAVWHTL GIRGVRVNLKSVGKVMDEQELEETLLRHAEIVRPLGWIIQLWVPLHMLPMLERIVPRL GVKVCIDHFGGPELSSITWKDDVDLSFDPYSLPGFSSLVSLLREGKTYVKISAPYRLS KDKQMRDIQAIALELLRVAPQRVIYASDWPHTRFDGMNIRPFTESCLRWCSSQPGLAE RVFRLNTEELLC ACHE_30757A MTRVYLQIMSDLHLETHPSYTSYTFPQTTPNLALLGDIGHVADS QLFTFLEDQLSRYSIVFYLLGNHDPYHMSFQLARKKMREFQAKVDRRKIMLGKFVFLD QTRFDISDEVTILGCTLFSHVPLHQEFAVESRMVDFRDILRWDVDKHNAAHESDLNWL NDQVGRMAVDEPHRRIVIFSHHSPSTDPRSRDPRHEGSEVSSGFVTDLSGEECWRRSN VVAWAFGHTHYNCEFQDEGGKSIMTNQKGYYMYPQKTFDAGRVFTVGE ACHE_30758A MNEAANMCPYPCPNPERYSEENDLPPEPPSVRASNPRPLPGFPS DFQPSSSKRGLAKREQGQKIGLPDRNLISPPYKIRNAAGALSQKTIDTDLVHSGGYVE YDTHNMYGTMMGAVSRKSMLQRRQDVRPLIITRSTFAGAGSHVGHWLGDNLSQWDRYR ASIQQILSFGSLFQVPMVGADVCGFSGNTTEELCARWAALGSFYTFYRNHNDLGYRPQ EFYHWPTVTESARKAINTRYRLLDYIYTAFHHQTQTGEPFLQPLFYLYPEDKNTFSND LQFFYGDAILVSPVTQKGSRSVKAYFPDDIFYDWHTGAPLRGNGTHTTIQNVNITDIP VHIRGGNVIPARSSGASTTTELRKKGFELIIAPGLDGAASGSLYLDDGDSLEQPKTSE IEFEYRDGELKIGGKFEYDGDAVVEAVTLLGEKSEKKEVQVKLNKETSVKI ACHE_30759A MAVSPRLQNFRSFLFGLAIKAVQLRLLPLLSFTSSISFVPYRSF ILRRPTSIMASATTVTVNKANIGVYTNTNHDLWVAEAHPSLEDVQSGKGLKPGEVTVE VRSTGICGSDVHFWHDGCIGPMIVTGDHILGHESAGQVVAVAPDVKNLKPGDRVAIEP NIICNACEPCLTGRYNGCENVAFLSTPPIDGLLRRYVNHPSIWCHKIGDMSYEDGALL EPLSVSLAAIERSGLRLGDPCLVTGAGPIGLITLLSARAAGASPIVITDIDEGRLQFA KSLVPDVRTYKVQANLSAEDNAQGIINVMNDGNGSAPDALRPRLALECTGVESSVASA IWSVKFGGKVFVIGVGKNEMKIPFMRLSTQEIDLQYQYRYSNTWPRAIRLVKNGVIDL RKLVTHRYALEDALKAFDTASNPRTGAIKVQIMSSEEDVKASSAGQSI ACHE_30760S MPATASRAVLRQSQFLTRRTAVRHASSSSEAASKASDKASSAAS KASEGLSRVTSSAGPALTNAAQGLGGALKKVGGRTGKVITFVESMIPPTVYYSRVGLE LGKLVFRGQNMTPPNLATFQSYFQHLLNAARNPSSSPLSSQNILNRIRSANAKEIALA GVTAAEVLGFFTVGEIIGRMNIVGYRGEVAHGEHH ACHE_30761S MDAFKLLTRSTKLKTGGSATPSASARLPSTGKAENPQLFRNAEA EKVLEDAKSGKKRKRGQEQLPEKDDDAELDFFSGAGSKKASSAAVKEQAEEGSDREGS DGTGDEEGGGRMDEVQRRTVLNAHKIKVTDLRDLEEIQPQVQRQSEEPKKKKKKKAKQ EQEAAAATLSKKEQKKARRLFPQPLVSFKELRTRYKISSRLAENIAEQGFSVPTEVQL GSLPLLLGEPMVSQQSKTEEKVEPDLLVVAPTGSGKTLAFLIPVINKIVLQHHQQQDE RGILSVVVAPTKELAGQIVNEGRKLVAGTGVKITMMKKGMRVAEHGDDDDENDENLLD EDSAESSESEEDDNKPKKSKDRQKAPVTKSDILVTTPLMFVNALSANGTKPLATLPLV RSLVFDEADVLLDPLFREQTLDIWRACTHPELRVSLWSATMGSNVEDLTRSTIKERHE TVSNIKSSPLLRLVVGLKDSAIPNIHHKLVYAATEQGKLLGLRQLLHPTAASASDVRL RPPFLIFTQTIPRAVALHSELQYDIPPEAGGSSRIAVLHSDLSDAQRSEIMKGFRKGE IWILVTTDLLARGVDFRGINGVVNYDIPNSAAVYVHRVGRTGRAGREGGIAVTYYTKE DIPYVKSIANIIDVSEKLRGKEGEKSVQKWLLDALPDLSKKNKKELKKHGVKARQSSL KAVKDDKEHRRTRISTKSGFERRQENKKRAIVNASRNRKANAAKDVGSDEEED ACHE_30762A MVLGLLSIASIPTVTGTALAVGEQRKANERKNDARRMAKFHIDA ECTGDTQEDCEVNGRRVVLRDNKVYLQNARSSSTSQAQSQSHTAECFYIDYPETEETK HLKRGLGLVTTISNNPPALGWIYVDKNTHQLKYGNRSQSIEHVVGPWDWTGDEKTVVL EDKSAFVAVEEEDGSGWGVYFDRNGDELEGVLEKQGKLDNAFTPVVLKRNLIG ACHE_30763A MISRDPEEPLHVLDLPQIYTKPSGTELMKAIDLLAIKPRSFSTD AETTKTPSVDPSGVTRYLTSIISSPLSWLETDALRDAVWDAASARLSERCGRTAMPAM SRVFTVPTTGSEHFTLTLHEPSLTADNLGMKTWVSSYLLSHRLLTVLDTTPQLVPSTT TTPHTEGKLRALELGAGTGLVGLSFAALRGNSATIHLTDLPPIVPNLAHNASLNVELL NSTGATVTTGILDWSIIPDPLPTPEEQYDIILAADSLYSPSHPELLVNAITHWLSRGP NARVVLEMPLRESYLPQVEELRQRLGKLGLAVLDEGEETGYDDWETADGSAVAVRCWW SVWGWP ACHE_30764A MILDSGMSGKYNSSSTSSLVTMYAQRPLAYAPTPYSYTPNPSLT ASINLDEEVKLATSSAERDLYESLAEIYSIIVTLDGLEKAYIKDAVTETEYTETCARL LKQYKSSLGDDCVAREFVDLETFKRMWGLECPRATERLRIGLPATVEQATHTAPTAPM GAAMTGPPGGASGSLILTATENFITFLDALKLNMVSKDALHPLLSEVIQSVNKVTDAD FENRGKIIQWLITLNQMRATEELGEEQARELSFDIESAYQGFKATLG ACHE_30765S MVQKKSTKKFEKKHLKDTLERRKASAKIKQRHQQNERRKADNAK QRAENGGAAEEEPEQAKKANAFAEMNVDDFFSGGFDIANADADQSKPSKKKDVSPKIG KRKRSEGQEQDDEASAGLGEEEDAQSDDDASQASGPDDIGEHKNQLEALKEKDPEFYK YLKENDAELLDFGDHGDLSEVDALSEGEEEEGPAKKKKKGKKDEDEDEEMVDNTLNMV TVKKWQKLMEEQYSIRAMRQAVLAFRCAAYLNEADQEEMKYSIRDSNVYHQVLVTALN MVPRVLAHHLPVKETGSGKIKLSLETKKFKTLTPLIKSHTASVHQLLSNLSDAQTLKM TLSSVEPMLPYLLQFRKLLKTLVKIVVGIWADVSTTEATRIVAFLLLRRLMVIGDAGI KETVLKASYEGVVKGSRNTTIHTLAGINLMKNSATELWGIDQNVSYTTGFNFIRQLAI HLRSSITNTTKESYKAVYNWQYIHSLDFWSRVLSQHCDGLVEAQAGKQSALRPLIYPV VQIAIGAMRLIPTAQYFPLRFQLTRALLRLSRATGTYIPLAPSLLEVLNLAEMRKPPK SSTLKPLDFNTTIRAPKSYLRTRTYQDGVGEQLAELFSEFFVLWTKHIAFPELSVPVV VMLKRWLKQASSRHGGNKNSKINQMILLLVQKVEANARWIEERRLSVTYTPRNRAEVE TFLKDVSWEATPLGAFVKNQRKLREERAAVLEEGRREEEKRRKQEKEEKDVPMADGFG PSDDDSEEGENEGDVGASSGGDENEEESEENEEEWENESEEEEEMEGEMEEE ACHE_30766A MIPREWRRPLGRFIMAVLPPCNFIVLHYAYFILTPLICSAIFWS SSTPARSVAYVDSLFMCVSAMTGAGLNSVDLSSLNSFQQSILFALLMLGHAILISITV LFVRNRAFHSKFKGISKNRAPQWLAGRTPESHRPSDIRVQEAPVVVEDREDGLATSKD GNKANVTAQPVLAETRSHQDDDDRIRWADDDQLTIGARQSHHNHHAHRVFPMVGVGAR LDLNNHPRDVTPNLPLYEEEKFGGLKGILRGTHKYFTSKGSVSRNSSFHGLTPAERDK LGGVEYKAISFLSVIVPIYWLSFLILGIVGMGGWLEANHPEIPRANGLSPFWTGAFFA VSAFVNSGMSLLDANMTALQTNTYPLLTMGMLILAGNTLYPCFLRFIVWTMRRLMPDR PAWNLWRVTLDFILDHPRRVYTNLFPARHTWYLMASIIILNGIDWAFFELLAIGNQEI ESLPTGYRVLDGLFQALAVRAGGFYVVTISGLRQGLLVLYVLMMYVSAFPVLVTMRNT NVYEERSLGIYSHDEEVEENNSKPPNFFVSLFRHHILGRQDSNTTEGSRSYFVHQQLR SQLSHDIWWIAMAVLFIAIAESPHFQKDPVGYSTFNIIFEVVSAYGCVGVSVGYPGKN SSFCGSWHTISKLILAAVTLRGRHRGLPVAIDRAVMLPSESLAYAEEEDAALRREQTR TYGMDKMPMGSV ACHE_30767A MAECLLRRRGALSPSLASLSRMTVRPQPRRHSTSATAATAQPKD RIQSTIAYPAPPSPEKVTSTRWSALRSAKPFSEFLTDTFNRQHDYLRISVTERCNLRC LYCMPEEGIQLSPQPRLLTSPEIVYLSSLFVSQGVTKIRLTGGEPTVRKDIVPLMQSI GNLRRDGLRELCLTTNGISLHRKLDPMVEAGLTGINLSLDTLDPFQYQIMTRRKGLDA VLKSINRVLEMNRMGAGIKLKINCVVMRGINDREIVPFVEMGRDNPIEIRFIEYMPFD GNKWSEGKMLSYQEMLAVIREKYPSLEKMADHKNDTSKTYQVPGFQGRVGFITSMTHN FCGTCNRLRITCDGNLKVCLFGNSEVSLRDVVRKANNGEPIDEAVLEELQLLNTVQTA ARASDNGDLVNPRERELLDIIGMAVKRKKAKHAGIGELENMKNRPMILIDTKSTRSRV QLATSSRNNPWSHIPTHILPSIVSYTDQARFYHQRSTDAAEAHARSSTNADRKEKAEA ESALPTASDPDLPHLTPSQNVHMTHISQKTVTSRQATATGLVYFSNSRPWDLLREGQG THKGDVYSVARIAGIMAAKRTPDVVPLCHPGIGITGVEVDVKLLEPVTEGDERMKYGA MSVKATVGCLGRTGVEMEAMTATMGAALTVYDMLKAVDKGMVIGGVQLEEKKGGKSGH WVREREIGH ACHE_30768S MLPLVRAIESISGSASATLTTASTGLLHISGQPRSPVSGEVPAH HDSQVLLLLLNLHQALLAVGGSIAGITRLTLYIVNYNPQQHQQARHVQRFLRSHNPII TSFLSLNSQSLNGDSSSTLKLSSPLPFRGQCPPANRRHGMSLSSALVCPVSRRLINSY TYGYFTKAMLVFKALLG ACHE_30769A MAPSIIATCKQTRFHLLDNNPSQEIDVDGLNVSVVATPDTNDTS TDTSSKPSKPKGKSKAKAEARELVVDAHLRLKPGIHYGFLGRNGTGKSTLLRAMADKL VPGIPHATRIAILQQTDADTNGNLDPGSGNQHATVLERVMGSDTSRNEVVRKMEYLSK SFDSDDPSQPVRAIRTVRHEQLESQLFLAQKNASLKSGARGLQARKDLKAVEGKVQAA RKLMEQVKEEIDADAIKADTQSALDTLQDLQSQFEVMKLVDIEQQARQILIGLGFKEV WFTKPFSDLSGGWRMRCMLASVLIQNPDVMILDEPTNFLDLLGVVWLQNYLQQLRDSS ETTVVLVSHDRDFINAVCEEIMILRDQKLTYFRGNLSAYEKDFEEQKLYWGRMKEAQE RQAAHMEATIRENIKVGKKTNDDNKLRQAKSRQKKVDDWMGIQVSHNGGRFKLNRDLV GWHLNARAEIEVPTDERGASMRLPDAGELRFPGPLISLEGIVFRYKSNEAPVLNGIDF VMHMGDRVGIMGLNGSGKSTLVRLLAGSILPTKGKLTTHSRLKLGYYAQHSIDELQEQ GQADPGLTALGLMTKEVGGAFKEGEIRGLLSSMGLQGRIVSDVPVSRLSGGQLVRLAL AKVVWNSPHLLILDEITTHLDFHTVAALASALSSFNGAILLISHDRFLVRSVVEGKRD EENSLDDDFEGVDDGQEKLQDRRRTVYVMKAGKPHEQQNGVEQFEESLMKRVLKMLPA TR ACHE_30770S MSSNRNPNTSNFAFPPHDQAQKMAQNGGQQSSQGIFGKMDPTKQ NMPSKSGLSGGGFTANSQTAQDSQRRGSRQAYMFDESEMPED ACHE_30771S MSSLHDPLAGILAQPVNPNINASFMPPPQSVYYNYYNTASSNLK RLARRRHKLTILLSNFTLILGIGGGGDAGPGHVQLAGAVSERMIQSGSIHSEYIRHLP QVDGRMVP ACHE_30772A MGAYGEVRFESRDELEKHEDEILDKLDEFGCTITYCPDDLKVEL VRNGGYNIDVDEINAAMEKYGGKVVVTG ACHE_30773S MATLAEHPTVAPSSTTCYDPDIDSFLNLDQITYATEAPRPKASL PSQPAVPSTDFAAGDVRSAGFASNGQTPIAFQAPSHQYDEHKQQTGLPPGALAHAMSF NHANNMGYGSASPGFAMGGDMYPQMKREDPLDFNAIPARNPSEMDMEGDNIGSVPGYF FSPNPNKAQFVDPNALGSQEAVPAGHSTQVGRMYPGMHQQQAAMAKAAQQQRQHEMLR QQQQQQQQQQLQQRRMEEQTQQNNASQSRPQRNSDPVVEERISRLLQQIRQSSMSSPS DSPSPSLLPQMAKAKKEEQDMDEDERLLASEEGKKLSSKERRQLRNKVSARAFRSRRK EYIGQLESEIASRTNESHELRIQNRALYEENARLTDLAHMLLSSPQFSNFMEEMNVSG LPQLQTTSKQQPQQSQPQPQQQQQPAQPSQPPMQASVPEATQNQAPQDFQMQQNMVMV PNQGIDMTSMGLNGGWNSGIDMNYANTPVFAVMEVPEGPALDAEVLSGKSSSLGSILP ESTKQEAPVLERAPQVEEPKKVTGVENPDVEIDESDPAFALFVDSVKSQPHTEESFEG VKSEKAPAFELVVESDSKTTEARFAQLCLSMEASFERVSMVTSHLS ACHE_30774S MVTWCASRLPSAVKASFSPAKLASLRRTGRLFSQASRGYFFPQT PRSFSAAAILLAKSKNKTTFRPFVSEPQWPRLLHFERTPAIPNAYVIRAPRSESRRLF RSSNNNYVIYQPDSCTLNGFDHKRPSPLGIAPMKFYYQVLTTPTGDTPGTTLLLHFPD KRYFFGHLSEGTQRACTERGVKLTYLTDAFLTGRTEWANNGGLIGTILTQADGLINSN LAQETAAQAKGLTAEQKKHGVPYAEKDGQVVAQRGSLTIHGGRNITHSLATARRFVFR KGMPVFMREYDSETLGKRRLAAKNGDAFEEPSWFDNNIKVWAMPVSPSGQDSGEALQG GQQSPRKRSLDEFQEKEDGVDMRDRRLREQIMRQSVVTDMFNSSWRMDALIETPLAEV KMPASMFIRNPETKQLEQYMGPAPGSNQPLPDIKVLVRQPWPGATVEKLPPTTWCNEA LSYVVRNHDVRGKFDPKKAEELKIRKGPDYARLTKGESVESMDGKTVTPEMVLGPPRP GKGMAIIDLPSVEYVENLVNRPEWSSPAVTTDLEAFLWILGPGVGDHPKLREFVEKRP NAKHIVSSTDYCPNYLALPSVAGSSIRLARLKGDSYSIPFHDNVTLPQPGTPTHESEV TKQSIQTSPFEPSEPGLVIGMEPKFEIDRSEVMQRLNPAEVVNKIPRAVEKRVDTIRK RMQKQEFRNKLESVRKDLPGQNVEIIALGTGSSAPSKYRNVSATLLKVPGYGYYMLDC GENTLGQLKRVYNPEELREVLRNLRMIWISHLHADHHLGTASLIKAWYQENYPEGVPS QGQYIEDDVSKILDEKRLFVLSEESMISWLEEYAAVEDYGFSKTVPLSAYPYMDENKR ILTSFVYRHTRADGSYPGQMRDVGKPSNTLLRFDDNNSPLTAQLRTATGLKDFRTTRV SHCRGAMAASLIFPDGFQVSFSGDCRPSQSFAEIGKGSTVLIHEATFQDDMYQSAIAK RHSTTSEALEVGRRMEARTILLTHFSQRYQKVAYVDQKTGAVKHEEAQDTPADADAGE EPETLEDDTPPKSFKDVITLRRPNQHTSRTTRSSVLDRTPTTAVFDYMRLRVGDIPIA QAYNPALEKLFDILERASTEEANQAKKEREEQKTVDSKRGKKKVERQALAQGKQLPVQ EVPAADAETGPSVWSADESESGWSTSEPEDEGGK ACHE_30775A MYVLSRPHLKAPKTNSIQTGLAAYWPYCNVARRMIQMAASSQDM STWDSFAWRRKMETFGGRDEAVVAQGAQGEIEGICQPGELTDKGRGTTFALGQRLRRL YVDQLGFMPEVKSDAEDMYLRTTPLPRALESLQQAFFGMYPRNARTLDFPPPVIVARS ISEETLLPNEGNCRRFRQLARLFADRAAKRWNDSAEMTYLNTLWSKWMPTNSPRVAVD AHPRLSGINDTINATYAHGPATKLPREFYDAKAREITDRIASDEWYSGYQESNEYRRL GIGGLMGDIVDRMVSTAVDGGWRSETSASGSSAENGKAIKFAMSGCHDTTLAAILGSL DAFDGKWPPFTSSVAIELFTKTEAESQSQSQTNDDASSPKKTSGFLSGLLGGSSASTP SLTKPSTLSRTPLSVLPDSARKSMQEKHYVRVRYNDRPMRIPGCATKPENHLPGNDTF CTLDAFKEIVDKFTPRNWTVECTENLGEGLYGKGESEKVQQVA ACHE_30776A MSISSAFCCVGAATTCYWAIQLARYLYCYIRPSSLPRYNPTGKD AWALVTGATGGIGFGFAEELSERGFNVFLHGRNREKLNRRQEELQAKFPNIKYKIVVS DAADLHEDVNLIPNEVGNANLTVLVNNVGGETQAYRGLTELSYQDVRTTINTNATFMT QITRVLLPVLEKNGPSLILNVSSIAAYGMPFVPVYSGTKGFVESFSRALDAEVKARGQ DVEVLAIRVGSVQSQSNDVDVGLLVPDSRTMAAASLERVGCGLPLIFGYWGHAIAGVS LDFIPRPFMIKMLANTMDSLKKQAEERQAKRN ACHE_30777S MAPQKKKWSKGKVKDKAQHAVVLEKSTAEKLNKDVQSYRLITVA TLVDRLKINGSLARQALADLEERGVIKKVVGHHDLDIYTRAVAAE ACHE_30778A MSDLRQRQVASSASKEADNRPVAIDSKSKKQKRNGNKRTGISLL DIIRVLVTLVVASCGLSYYMTSSESVLWGYRPWFTRWPVLVRYIKGPLHLTPSDLALY NGSDPSLPVYLSVNSTIFDVSANRMMYGPGGHYNFFTGRDATRAFVTGCFQEDLTHDL SGVEEMFIPVDDEEEVGRLSSGERKIRREQDVRMARARVRKQVAHWEGFFRNHKKYFE VGRVVGLEEVPKVERELCRAAQQQRPQRKAD ACHE_30779A METSNQALAGKASQSNSKSQDLKPRLLLMGLRRSGKSSIASVVF HKMPPNETLFLESTTRIQKDSIHSFMDFQVWDFPGQLEYFEPSFDLEDIFGSLGALVW VIDAQDDYLDSVARLNRTILTVQQYYPNINIEVFIHKVDGLSDEYRGDTFQDIVQHIS DELSDAGYENAPVHYYMTSIYDYSVFEAFSKVIQKLIPNLSTLENLINTLGDNCGFEK TYLFDVLSKIYIASDTRPIDMACYEMCSDYIDVIVDVSELYSWDHPDRKPKGDQIQEA ESHVVLHDETMIHLMEMNKYLCLVSVLRHPEAKEKKGLIDMNCRTFQDALQDVFTRGW DQDKDQETESQGNQAQD ACHE_30780A MDSTGGTGLSSVVSLSEDGVYAAQMNEKDLIVHLNPASSGFKEV EIVKTKESASKFVKFSRSSASSDRVLWASDFRIVLWQLSPLQQHAEIENIEPGALNID FGGDENEIIVFHAWNTKLTIYSLDTGRSQIIKTPKSSHYINFGYRPKTRQFAIILKPD ANDLLTIHEFRSYELMGRAVLPTVDAQGLKWSPDGRWIAIWDAASTGTKVLIYTADGQ PFRTYTGRPELNDTIDLGVKNIQWSPVAPNTGASEYLAVGKVDGTIDLLKNRTFTCSI SLAHVAPADQNFFVVWREQFTNADGDLEYTEVPGLSAPAMLSETSTPQRGVSIMSFSS EGSLLTTVDQTRPNIVWVWTLGSAPGLFAALYHEHPVKQVVWHPSETAILITTANNVT AAVHYWSPNGPPVVVRVPVSRSESGKYDVRWLSSGQDSDWRFWFGTPDDYVLGDIEDQ GVIPQFRVHYSVNSKAPTGSLGTSF ACHE_30781S MAASAEIQPVSEAQNAPVDVAPQPRKKLLGREFYESIGSPKYIV APMVDRSEFAWRMLTRSFMTPEDAKRLLSYSPMYHARLFREQPAVRSQHFQPTRAAAG QNDESLFLDGNPSIDRPLFVQFCANEPDDFLEAARHVAPYCDAVDLNLGCPQGIAKKG HYGAFLQEDWDLIYKLINRLHTELPIPITAKFRIQETKEKTLEYAKMILSAGANIITV HGRRREQKGHATGLADWSYIRYLRENLPPETVIFANGNNLNHDDLERCLEETGADGVM SAEGNLSDPSIFSKPPPVGSEGREYWRGKNGKGGYRLDAVLRRYLDIIYKYVLETPGP ERKPLYLPSDPKEEEPEPEPAEEPEDGPPKKKQKQSKSKNKRPNSPSLGVMQGHLFQL LRPMIGLHTNVRDALARSRPGDMAAYEHVLSLVEKAVKSGLDEYEQFPERFAESPDAQ LTGSKATIAQYGRPFWVCQPHIRPLPEEALENGALKLKKNAVKNESKENSSEEKATNA GTEQRAADPASASTTPLVSG ACHE_30782A MPVERQPAQKRRRLPFNPPRRASPGEGPSTSAPATKSNTKSTTI PSSNTSKPKPRRKSTTTTTTSSSRKKAPSPTPSASPSDSAAEDNRDPSEDEEPNYMLA EIIHGKESEDVTTSDPAIPGKLLTRLLHHNFQNEKTKIAKDANEVVAKYIDVFVREAL ARAAYERAEGRVGGGSVGDGFLEVEDLEKMAPQLVLDF ACHE_30783A MSTITEIEDACNCQGSWGAGIAEAFRDRYPAAYSIYRSHCLKYS RRKPQYKTVESTDPKGKPVKFLLPTGTALLIPPQEEDYKAQDDEKERQDGRKHWIICL FTSHGYGKRLSRPATILAYTEFAVADLREQLQGRGERSEREGEGNIEPPSELWSCRFN SGLFDVEWGRSRHVLEEAGLEVTVVRPPGEEL ACHE_30784A MSFYRFKRQTYSPTSSTSLSPTGPVMENPITFQALAARIVPDVS LRKKKKQRKPLVLPSMFLEEDADDEDTPPPKLVRVSSVDEKTDNAARTNGGEAHARKN KTQYFDGIFNNRGPEHSSASLLASGSIVVVEIKISTRVKEDEAKLVSDLTFRLAQVYQ RPENFMMVTIQQDVCLHFGNSDRPAYLMKVFAHPYLIAPITNLRNTIFIQKALHEFID IAPNRGVIIYAPVPEENLATDGVTMLGDLVRLERDTRDSSVFKSISRSMSRKLKSSSS SPRLSVATSSCDKADGSHESTSVSDKDGHVKESSGEGDQSQSVRKSKSLRDFVYRRVG DRNSEEETK ACHE_30785A MASYAPLTPPEHEVCPKEHSNEDNSPTPTPSSHENTSSKQVSRE ASPAASETADEVYRSPRSTPRGPESTENRLRPAADVINRIIWDAKFDSEDYIIGYEDR FNGRLEACLGTWKKDLTDEEFIPQHRILYIKRKSDGEIVWDKRRRTDKIFYSGNSAYS WLSFLN ACHE_30786A MTRCGRRAAQRKYQVRDSLSSQLNQAYGVGIFEEGSQRPLHQCQ YPDNPQKFTSCLKGGIMPVAMRKIGQLMSLLTGRLCQKEFDYLKKKELWESTISRYMK KYARTCKKN ACHE_30787A METDETEQLHRLNATGDKQKAEQERQKADIKKRIDQATRRYDQV QAKQSPTTLSEYLRHVQEKLVPLLSVKFDLTDSASEYANMQGKYYPLKIRHLKHFPKT HNRIFGQFVQTISDKPLFPSQLGVRGIERDLFPTRKNEQDFLLYVRSAIEKSAQRVVK A ACHE_30788A MAITSLDMNPKFSASNPSMNKRWPPLADKTSLPYKLTSLSRQKL AREATAPNPDIRRCLGHFRLHCSSMEWAQKDMTTRINSFEMEDDDEDEEEEVQQQQEE KEDKQTKELPLLGADHEDKNKVKGRKDDEDEEGSDRKQLHVRFQISVKPSTTTTTPSQ SSSPSQSPTPSSPSSPSDKETENAKDGLLEKGISCIEKTRQHFWSNPAQCLPIRIAS ACHE_30789S MRLLDAVRGGGLLVPRDILKVEGDLKEWKRKDKEARSGGGAASG ASTGAGTGAKTAGGNKRKRDGEESAPTPKATAKKSKAADSSPAKKTVAKSSAKKSESA AMRR ACHE_30790S MSQTPVASTESSEPVRAPRTKQTARRSKPFFGDRRPTDPLTSRN TQHPLTTRLLNIDYESRDESDDPDDGSGTPLPPLGLVNGKYEIYSSDLNEWPMFPEDD FTLTLGLAGNSIWKSYDFGMFHGMMHLEKRPWSSSYKKVWFTCRERNESEGKMSFGPN CTGWIQLYGEGRNCSQLNCYGNARFSGQRIMARKCSRRGVCRVGVRSGTGTTRWNMIM RIDGNGEGGRRCLASLVNRHDPLRRRVIRQQNRSAAVEMQSKRQWASAVQILDTRRIT QT ACHE_30791S MYDTSHSAPMNGVAGDLVDGSGTINPAALNNASIALHTPAESSN IVPRGIKRSRSPEFNALTDGDHDDGTDEHARRKRGRPPKIQQRPSTADQASSSTPAAS GVQLQTPRMQPQPLPPVGAAVSPPQASPSEKTTPSKATVIKALPTVRDHTTDQLDEQG DEYIPKEFDDAGEKKVDAMGYPQGGREYKCRTFRVAPRGKKLFMLATECARVLGYRDS YLLFNKNRSLHKIIATQVEKDDMIQQDILPYSYRSRQIAIVSARSMFRQFGSRVIVNG RRVRDDYWESKARKQGFTEEDLAGEKRPGAARRDAAAAAEAANANLLPTLTHGDVIYS NALEGMPLPGAPSVSLSQLPIFNMTTTDDPRLREYNSMPRARQEVTGHTYQDRSQPSS AADILNQASHTADFNKILTSQRGFRQRGLEDFYAKQREAAAEAAQSQPGQLDVGQQST SQSLQTTQIAAGGMANSSAPQPVMSHQSAMMGGSQSGFHPPSHPQAAIAPSPAVRAMP PGMRPELMQRPAALPTQTPPYGYPSQPQQMWGQPPQPQPSPLSSTPVAGMPQYAHLHA APQHSPSPLPHNVQQPHPSQSPRNQPRPSVPSMSQPFPIHHPQAAQQTPMAASMGFPG ATAAPYPAMARAMYPATQGGGQPFMTGTPQPGLAMSMSAGGAVPGWPSAAGGTLQSGP PQPGQSGSPMGWSGY ACHE_30792A MGILEFARGSENTATEANVAENKPETKSPEARDLGDINSQPDHA TENAHLGLQKVEAVALVWSKKVVWCTYAWIWVCFFLLALQSAISIIAQQTAFAGFMAA PAISTANILASIVGGVLKLPVGKILNIWGRSEGLCASLVVYLLGLIILASCNGPSSYA AGYVLYWVGYDAIYLILQVFIADTSGLRNRAFAFAFASTPFICTAFTGPLAGENFVNK TGGWRWAYGAFCIIMPAVFLPLAAVFKFHERKGQRLGLYKHVHSGRTIMQSIVHYFRE FDVIGALLLMAGWILILLPFSLASYGRAEYKSATFIAMFIVGFFTLFLFAAWEKFVAR VHFVDYKLIKKRTVLGACVLTTVTNFSFYCWDLYFLNFCTVVFNLSQGMAGYMMQIYN VGSCFWGVVIGIWMRFTKEFKYTCLCFAAPLLILGAGLMIKFRGEGGDDIGYVIMCQI FIAFGGGTLVIGQEMAVMAASDRQGVPMMLALIGLCQSLGLATGGAVQSAIYNNLFVD ALRSKLPGDMKSQAMEISNAGYLVQKEYPLGSVQRNAVNYAWGYSQKYGCIAATAILA LALPSIAIWKNYRLDKKQNKGTVL ACHE_30793A MPKHDVYEDVPPENSDKPYNTTALWPLGENWMALAEMFRIETYE FSYPYSNVETPGSETQLRWQNFQFAIARLTSSELINCGFLCAFADILPSGQSYPDFEK RKVGRP ACHE_30794A MASQDAGLQPLDPKLFSEDDDDDEEDLFWKRKLKALINGDMTPS QAATDFDLCIVEEANTRHAELLKRPDPRSLAAEEEKNGAPITVRSHRIPAAISSLSSR GLPSYSLLSHRITRTR ACHE_30795A MADNRSMQSNQATSYSDLSEATTDVTLFVEMPGDVRATLNSYVP LDANDDTVKLLRETFKYLPSDGRFHLAEDIIQAGTHYKLRQLAQSVVTGLLTPMKVAG AKTAEITPSPRLGIEDSIEHLASMTDESMTRGPQQQLREDTLRRDGSKCVVSGHYDVQ SEELYPDEVTADLETAHIMPFALAKFDNDDERRQIIAVWMNIFRYFPSIRSRLNFYYQ NINSMENVLMLSQPLHKQFGRFSLALEQTDTVHQYRVKTFRRFAKGLRVFLPESGIVT MTAHDGRFPLPSPILLQVHCAVANILHATGRGEKIDRVLCDYDATGGLASDGSTNVSQ LLSVTKLALFPTIRECSMNSKPARPKYSDVQKRRTQTTQASHLPNELKENRIEC ACHE_30796A MDPFQELRNEFSSTIRALQNEIESVKNEPKPLQRPKPCLPDPEK FNGQSLKFDTWLASMKAKLRIDAPAIGDAVAQFYYVYLNLESKVQALVLPQLSYAEDT NTWDYNTILDQLSLVYDNPNKVQEAEDYLLVLKQDSGESVAAYIAKFERILYEAKGKD WPDVTKISAFRKGLNPTLRGRLNQQLNLPKSYTDFLRVVQQLGSHSFSSNSTNVSHSQ SHQSGSHTKSDPMDLSVININSLSAASTSLDERNRRRQQGSCVRCGSSDHWVKDCSMK AHKESNKIWNQQMIARLEANRLDDLNDLDD ACHE_30797A MHLAEHVLTTFDQIVNWARQSSMWPLSFGLACCALEMMQVSMPR YDQDRLGIIFRASPRQSDVMVVAGTVTNKMAPALRQCYDQMPDPKWVISMGSCANGGG YYHYSYSVVRGVDRVVPVDIYIPGCPPTPEAFLYGIFQLQKKIQRTKVTRMWYRR ACHE_30798S MLSHDAHLLYGLEDSAKLESTIDRLTIHLEQLQVSDPMEEAELP KKELFLSKANIIRFVNAFFDNSNHSNCFVYKGSFNVNTASTQLLLAILLLGATCISPE DAATAEKFSERFEYSVFESPEFQRLLYQENHPTPSRENIQLVQAAMLTIVLRPSTGQL ETERRIRIQRVPALVSAVRLLNLTQVLNDTVLDGEKANLDEYIRRETLVRIMAWVYLL DAHCVIFSNSPPQFKIAEADFGLPRHDMIFKTTGLPDLNELISNADLQGPPLSLRSVV QRLMDGKPAGIEELLPQVDSLFALFLVLSGK ACHE_30799A MADNSKEERIKLALEAYKKGLFSSRNAAAKAYDVPLSTFKTRVN GTTCRKESIANGRKLTPTEEKTLSSWIIDMGQRGLPLQISTVRYLAQLLLSARLSSQT AYVGEHWVTRYIQRHKELSSKYSRKYDYQRAKCEDPELVMGWYKCFYNAIEKYGILEQ DIYNMDETGFQMGMTSTAKVICGSETRASNAKAIQPGNREWVTAIIAVNAAGWALPPQ IILAAENHQSQWYHAVPKDYTISVSRNGWTNDGLGLEWLQNVFEPHTASRTLGRYRML ILDGHSSHATAEFDRFCTERNIIPLYMPPHSSHLLQPLDVGCFSPLKRLYGERITKKM QKGINVVDKTEFLYIYPTVHYQALSSSNIRSSFAATGLVPFSPERVLSKLHIPYKTPT PPSSSHSNQSFGAGKTPADINQLEAQKKRINHLQSHQVSPSTMQEAMGKVMRGAEMTM QNAILLRHEVHQLRVENRHQKQRRAAPRAFIQAGGSLTGAKGLQKAQEQEAIVKEAYH PVSRRRKPPTCSTCGKIGHNRLKCPEK ACHE_30800A MSAPSPVDAPQHILQLLSELHRKSLEQEATISKKGKVFSSDILG DLEDKRQSGNPKDEFDQLMLDKFIALDEDKCQFIYQLINAMGATNIVEAGTSFGVSTI YLALAIAKTKAATGKPGTVIATEKEQQKAEIAHKYWAQCGAVVEQEIDLREGDLLETL KYGLPQIDIVLLDIWSALALPTLKTVLPHLRHGAVVLTDNTISGAKGYADLLAYLRAP ENGFQNMTLPFTNGFEMSVYLPKSN ACHE_30801S MAKFLENSRWYQAYKELASQGKLQLPEYEQNENGEFLVKPGELF CRYPDCDKRTTEFSKTVNLRWHLKHHRDVQIANSGTGRFKQVEKDMTNAWYKELVESN QIMDESKDEEQSKEDDQEHQKPYVPWRKDLMDINRIKVRAIAKALGVFPCDACQEAGI SCLSDMNICTIVMHHFDLRSPEELEQMGLNATNPN ACHE_30802A MGIISAGTGVGGPVWSPILTACIQNIGYRNTRRPTGCICTILIC VSGVVLSWEPKIAARLHEDNAKRSWVSGLVKVPLPPWEIFMTRKFFAQCLSAVFQSAA YYMPIFYIAYTKALGYSDTEGSNFTSLSNACNAIGKISVGFIADRIGRLDSFFLTTVE FCVHSRALVA ACHE_30803A MLSNNTLSREGCSGDRKDTAVCGGKKLATQGSFHNCSGKTKGKC CPKNSDGSGSIDVNKGGGEKCGYCFSGTCSG ACHE_30804A MSTTTGIRPDESMALKEFGGQSSGSEESQPLPDKPFSVFSSTSK CLIVFMGSIVSLLSPISSNIYLTALHPIAEDLHVSDAKVNITITTFMIFQAISPVFIA TSSDAVGRRPAFLLCLILYITSNVGLALQTDYASLLGLRCLQSAGSSPTIALASSVAA DIAASKNRGVFLGIVSSLQIVGPALSPVFGGLLTQHFGWHAIFWFLASLTVVIAIPVL LFFPETARNVVHDGSIPPPWWGRSVKQILSKNRHWTSADKSPNSSGKGSRLTNPLPIL LFILTDVESIFVLLLIAQSYCGIYALMIGIPSLMYENYSYNSEQTGYLFLAWSAGTVL SSFTSGPILDWLYRREAGKRRMDSKLDIQDVPDFPIERLRLQFALPLLALSGGCMIIY GWLLEYRYSVVFVYILEVVFGYWAQAIHQMGITLLMDIHPNSTAAAAASANLVRCLLG AGISACTIPLMQRMGTGWFHTFAALAFALGGIPLVWWLSSRGVQLRETRRVKEGT ACHE_30805S MSVHRESVTLGVVIPAAGRGTRSGESCPKSYRRIGGDSALNRVI RLYRSWNKECPIVIVHHADNAALLEASIYRDANVYTAVGGVERQESILRGLRFLSRME RSPSHVFIHCAARPFTTVRLLDSLLESLIQYPTMGVSPAIPVVDTLKQIDSNGFISTT VPRDGIFRGQTPQGFDLKTILELHEQAAIMGKLFTDDSCLFEDAGLPVRVVRGDPQNM KLTYYADFEEADRFLRGYRSPGMEFDVGVGHGCVTHEFAPGEEIPSLL ACHE_30806A MTASKDLENAVVTENPVKKPPNVLSREVEPLATPTSASLTLESQ LVSPPPYHVFSRTRKLQIVYIVSAAAIFSPLSSNIYFPALGAISKSIGVSMSLVTLTV TVYMIVQGLAPSFWGSLSDVLGRRLIFIGTLIVYIIANIALGVSSNYGELMAFRALQA AGSAATISIGAGVIGDITTSAERGSLVGVFGGVRMLGQGIGPVIGGILSQYLGFRSIF WFLAIVSGLSLLSIIIFLPETLRPIAGDGTVVLHGYNKPLIYYLTGQKDALDGALPTT KRAKVTVGTIFAPLTFLGEKDVFITLFFGSIVYTVWSMVTSSTSDLFESSYGLNTLQV GLTFLGNGFGCMSGSYTIGYLMDYNHKLTEREYAVKYNIPTDCQISLKTHPDFPIEYA RMRNTWWIVTVFIMCTAVYGVSLRTHLAVPVILQYIIAYCATAVFTINSALVIDLYPG ASASATAVNNLMRCLVGAAGVAVVQPIIEAISATWTFVLLAGITLCMVPLLVIEMKWG AGWRLERAERLNANVN ACHE_30807A MAKFLENSRWYQAYKELASQGKLQLPEYEQNENGEFLVKPGELF CRYPDCDKRTTEFSKTVNLRWHLKHHRDVQIANSGTGRFKQVEKDMTNAWYKELVESN QIMDESKDEEQSKEDDQEHQKPYVPWRKDLMDINRIKVRAIAKALGVFPCDACQEAGI SCLSDMNICTIVMHHFDLRSPEELEQMGLNATNPN ACHE_30808S MVVLNISYTGPVNCSDPIIPNLAQEQVWKGMEMKVRCPQAFIPS FDDSRVVEEHDNGSYVVREAHVASTLRESPSVGKWTREECRLCKPVMSYFTLPGGSTV QNILSTGPGQSLYLTFTYEWKLPDIEPESREAQKAQDDHMRIAVSSVQGTIKALRKMA DEHKL ACHE_30809A MGVQIAKAMGLRVIGVDTGEEKERLCSQLGCEAFVDHTKVHDLP KAVHNISDERGAHGVIVTASSAAAYRVAPRMVRVGGIVVCVGMPASGMVVAGDDPMFL ILNNLKVVGSLTGSRKDTADALSMAARGLLKPMYESFSIQRLPHAVDRLKRGKVRGRC VLDFNT ACHE_30810A MYLHAPLPELCKAGVVSNHGADFKLTVDDVPVPKPGPGQLLIKL NVTGLCYSDIHYMLEDLPLPRMGDHGVRSPGHEGAGIVVAVGSDVVGWKVGDRAGVAP TWDTCTYQEYIVSPARYTSRIPDGVDDFSAGPIMCSGSTMSRAASGPFQFNRDTIR ACHE_30811S MSPQKTITVSLPQLAKMIDHSFLHPTTTDADILAGLKISRERHV ATACMKPYLVPLAKKELSGTDVLVCAVIGFPHGNSTTEIKVAETIAVQRLGATVSHLK RMRENSGKDVQVKTSGGVRTLDDLLHVMDLGVTRIGATATVAILDEAKRQGIGEKPVE VSFKSMKEEGRTGGY ACHE_30812A MNYIHHPYPYTAVPMDQSMAFDPTTMPHPSMVHPMDGYLYPHPP PFEMMDFYHQPIMDYEEYAENLSRPRLTKEQVETLEAQFQAHPKPSSNTKRQLAAQTN LSLPRVANWFQNRRAKAKQQKRQEEFERMQKAKAEAEEAARAKSDPTDQKESDKTPTQ EADKTSTPKQELSNETASTESVSPTTTPATTHNGSNKHNGHGYNAPLEWEAPKDNSIG WGSQESYGSQRQPFHAQEEWMGHRTSDTGSSYDAAMQYPLQPEGSLTRRTSSDELAHH LEGIDKAGKELDLAARRKRPRPAAIGTSKSHSMLSAAANMSPSSRVPGYGGPHGVRQS KSAQSLNSRYAGVRKASAAQRSPLNFSTFAEAGLNSSKADMASMLQPTVTTNALAPPT PLTPEDMHHLLPTSPSDSYCLSAQPSAQFFPTTQPMQINVASPPATPMTVDLMSSYPY QNAAPPMSAPAHYTSFPDYATSAPCETGPLTARSWSDAPTIPSPDPSYQVQPAEVSPI SYNASGHAGDHAAMSPSMSLTGEEKFMIQEFPDQQEAHRFVAQQLPSQAPKQYTFTNN QTPNDF ACHE_30814S MSSSTLSAKKKSYSSVKKNNLRRRIEEEGFIMTFPCTRCARLGK SCVKSEGSNRCSECVKASNCRCEESEASFSDAEWRRLVRAQQKLEDEEERANEEMATI LARLNRYKKQKKLLHRRAGDFIARDIKEIEELEKLEEQERKEREEQEKFQEQGKDAEV EAQLAAMPNNPSLTQMMDSPSFWENFDSIVAGGIPSPTGGNQSSSQ ACHE_30813A MAHYFPERPRKYNDSCPCWDWNCACRGYLLHPEHSSMHWTACYE DDCIVHFEIKDYYPSPRRLRQPRWQASLSATQRGYHLKFTTPVLNQLARVMVDSGATG NYMDPRFQRQLGILGIEKAQPEPISGLNGENLGSHLTVESGFVPMAVADHEERINFDV TPLGQYDIVLGIPWLRNHNPEINWKTGQMNFANCDCPRTMKGPSQREAGTSPRSTGRR PGRYVKQPRGGLRMNNRETNDTATNIVLAATRASERHWLMNLPGWAPDTTQKYCEYLM DEDIPKRSAPIEEYRSERVDSNQEASDSELGSWEWIDHKELAATTQEPQIPQEYIEFQ HLFKQPERPELPDHGPHDHRIPLMEGKTPTCKKIYPMSERESKILREYIEEQLAKGFI RPSTSPAGHGVLFVPKKDGSLRLCADYRPLNAITIKDRHPLPRVDEMQDRIRGAKWFT KFDLVDAYNRLRIARGEEWKTTIRTKYGHYEYLVMPFGLTNAPASFQRFIYDVLGVYL DIFVIVYLDDILVFSSTFEEHVQHVKKVLQKLEEAKLRLKLKKCEFHVQETEFLGHWI TTEGIQMDKNKVQAILDWPELKNTKEVQQFTGLVNYYRRFLKDYSQFMTPLFKLLKKG QEFQWGPEQRQAFQQAKERIVSAPALVQFDPEKETTIETDASDYAIGMRMTQPGPDGK PRAVAFHSRKLVQAELNYDIHDKELLAIVVAFKTWRVYLEGAQHTVLVKTDHKNLTFF TTTKELTRRQARWAEVLSQYDFKIIHCKGNENGQADALSRRPDYEIKDRTINPAILKT NEDGTISYNHQVLAATMHTSNEPLEKKIIEETQKDKMIQDMIENSAENDKLTTDNNGL VYLHNLIYVPKSMRNEIISMHHDTPPHGHLGTEKTAEQIMRNYYFPNTRKVVQEYVKN CETCIRDKAARHQPYGKMQSPDAPTHPWEWVTIDFITQLPTSQGYDSITVITDRMTKY IHLVPAKGTMTAADMAQIFLKHVITNHGMPQKITSDRDKLFTSKFWTTLTNLMGIEHR LTTAYHPQANGQTERTNQTIEQYLRHYINYEQDDWVRFLPMAQFAYNNAEHSTLKVTP FYANYGYHPVLYEKPRLQESTSEAANETVQKLKNLHQQMSRDIDFMNLRAAIYYDKHH GEGPTLKRGEKVFLLRRNIKTKRPSQKLDHQKIGPFTIEEKTGPVNYRLKLPKSMKRI HPVFHISLLEPAPKNAIPTENIEIESEDDEYEVEQVLDYRQVNGRPCYLIKWKGYDTS ENTWEPIANLTGCHQLVKEYHQRRSNRNSPRRKENPSSESN ACHE_30815A MTEGSFSAPPQIHTFDGVLSDFDGTIVDSTDAIVKHWHKIGDEL GVDPKAILATSHGRRSIDTLALYDKSKANWEYVSYIEGRIPQQYGSDAIEIPGARTLL SALESSRANWGVVTSGTRALVDGWLGVLGLAHPRVLVVAEDVELGKPDPRCYLLGREK LGLENSGSESIVVLEDAPSGIKAGKAAGFKVLALATTHTLAQLRHAGADWIVKDLSSV EVKGVEGGKVALEIRDAFQ ACHE_30816S MGFNIGKTSKRTPTRLRHKIAKASAAKGRKERKLAKKNPEWRSK VKKDPGIPNLFPFKDQLLHEIEEKKRLKEEEQNRIREEARERRRAEKQQQKAQTGAEG QTMIDEELMDDDDDMDADAGDSSNPMAALLASARARAAEYEDQHDDDDDDEEMDEDDD DEDGMDEDEESGGMSLGTSSVTPFDSNPSKESSRRAFDKVFKQVVDAADVVLYVLDAR DPEGTRSKEVEHEIMAAQGGSKRLILILNKIDLVPPQVLKGWLVHLRRYFPTLPLKAS NGTANAHSFDHKQLSVKGTSETLFHALKSYAHNKQMKRSVSVGVIGYPNVGKSSVINA LMSRLNKGSSNACPTGAEAGVTTNLREVKLDGKLKLIDSPGIVFPNTNNSDKKSKKKK ADEHARLVLLNAIPPKQIEDPIPAVNLLLRRLSKSEQLMNKLVEVYQIPAILPGQDQT TDFLIHVARKRGRLGKGGVPNIESAAMTVINDWRDGRIQGWANAPVLPVATTTADGTT KTPAAGEGVDTKQIVTEWAKEFKLEGLWGNGEGDEEMAE ACHE_30817A MDDDFSDASELSSPPSSPIAPPGFFPSPPPSLEDKRQEGPPACK RRRVATSKVPKERVSRELDLTPDSPLSQEEQQSQVDLLVKTLRKHRKIVVIAGAGIST SAGIPDFRSTDGLFKSLQKKHNLKASGKLLFDAAVYQDDTLTALFQDMVRSLSEEAEK TSPTAFHHMLASLGHENRLTRLYTQNIDGIETSMPPLATQVPLNVKAPWPRTIQLHGS LDKMVCQKCRHLGDFDRDMFARPDAPECPECSRTNQFRLETGQRSHGVGKMRPRIVLY NEHNPDEEAITSVMNADIRSRPDALIVVGTSMKIPGVRRLVKSLCHVIKGRKNGVTMW INNEPPTGKEFEDSFDLMVRGDCEEVARLANLRRWDDHTPEFLDESEMEQAKAKVSVV IETPKKKQKTITAFPTPSSSHDEDVELPHKAPAKGAARPNPASRGRNLKDVLGAAKTN PPKKQPAAKKPATKPKAPKKGTKAQPKNSKITKFSAVTKGTAAGADKLSKEEASKAML PLPAGAARTNGPMSQQPGDKLDTIHSPSIPKGFDNLLNRPV ACHE_30818S MPLIMDHGINVDDLFGESGSLELGLSPTAPKGLAQRLDEMRLLG CCQKIAWSRLGCIAYISQDGLTVNVRYLHCQPSDGRWCLSKDTPLFPVTEAHGSHPLV HICWNESGSELAVVDSSGRVSIYSISIALNSIAGQRQAAFDPDDEINQVVGMMWLNTQ RSVHAFLQAAKVNGRWAYSPFRRRPIGPFHPANKAALVCVTRSGLIKLLYQNPDSRWA EISAELKNTSYSDRLLTHASLVATQAGIIVTTHSVCQKICVYRVHVSWNPPQWDPSTP KQSNLFPVPSFRFAHGNVETPSGIWNTYRNTGENEEATSSTNSIYALMHLEIIPGAVD NPAGSTANPWILAVYSNPLAATPHHTGQNGSASIIVRWQLEAASQNLHPKFDEVVSKK NHNAQVKPKMELRRLEDIHFNKYVISVDQAEHGTVLAITYDDSSITFYDPKTMAVFNG TDDNSTVTCLTQAGFHSPPEVSGLQISFSPSACAAVMLDSEGQTQLRLVEHSYGAENG LFDESKFSAAIAALTLAFCRGCGSDINTDDILMLVMRQLSSEAQTTFLNEVYRALPIN CNFTVEQDKLMNHPYIPRCLSLQAALGFRDRYKPRIFTSAVPWAILHLRHGAVLFAYF FQYIKSTQTDPHDSDVLRMVLGNTRWVLDFSQYILNEVFDLADEFESVLSDQEAFTQK LKTTTSLPLIILLSSMSRTFLRFICRGLRGVHSGYNPSAANLVGDSRIYYSETCHLLD ASPVRIDVYEKFLAGVDSAVRHAYHGAGFGDTERPGPEKELLVNARIPPVLVNAVSAI FRSVVPAVRGEVDRLAVYLGDYTWLGVGDDKRTEVYRRTRDVDILKKIPLRAVVADVG SGANNTSTATNDNNKAGAGQRIRRCIRCCEISSDTHPPRSLPAFQMIARLGLLRSCIC GGMWALEARSPAGQLDGSPAPGSGLQAELRTPGLMQGS ACHE_30819A MSTKVKTGQLWGKSKDDLTNQLEELKTELNQLRVQKIAGGASSK TQRIHDVRKSIARVLTVINLNQRAQLRLFYKNKKFLPLDLRPKYTRALRRRLTKNEAA KKTEKQRKKEIHFPQRKYAIKA ACHE_30820S MASSTGTGWAQLRQQARSLETQTESLFHTYAQYASMTKPPPTPA EEELRLESQLKDLLQRRETLIAQLSRLLDSEATLTSSALKQNNLTRHREVLQDHRREL NRLTSAIAESRDRANLLSNVRSDIDAYRASNPAAAEADYMLEERGRIDQSHTMMDGVL SQAYAINENFGLQRETLASINRRIVGAASKVPGVNHLIGKIGSKRRRDAIILGAFIGF CFLMLLIFR ACHE_30821A MYHSKGRGKPWNGAKSRDHADREGDNVVVENITEGPAGKSSITP VNMWKRSLSLSRLSGCSPLQGSRCLSCQFRNPAAAALLRSRLSLRYYSSPSQNNSNES TPSKPSDRVQFNQNPSPSQPTKPNEQDYTPRALDRPIGSVIPPQEGQNTGLDERTLRQ RRDDFVSYDRHIERRKELTKQVAKPYFREWTNMRYHEGKTFLSNLRLFKRDKALYFPN MFGITLASPKQPQNTTSLFRGRISIVNVFSSVWAETQVATFTSEKHNPGLYEILNGVK GGVEVAQKVDINLEENRLKAWLVRMFMWQMRGKFAKEQHERYFLVRKGVTDGIKESIG MMNSKVGYVYLLDENCRIRWAGSGPAQRPEIDALNNGVKRLLEERRISKESNMPTETW RAAEKAKPRVIV ACHE_30822S MGEATTQNTNKEAFFKGLEELDDLMGNDNDNEAGDGDDFARLIS FSRRGVEYLDSTPSFVATSSSSSAGLELSYTNTVPESSFKSQTASRTDEMVSTAKRPP PLKTVKTTGTLSEGKQEGPPCKRRRTYAARTVPEQQQIFRGLVFFFFPNSDVSPVRRL RIQRAQEYGAFWARSWDKNVTHVIVDKGLKYEDVLRHMELDIFPATIALVDEGYPAEC IKFRCVLNPAQSRFRVSGFPLPSVAKEPSFEVEESSGGSLPLKPPKGQLQHTPTESEQ MSSGQANQPVQGQQIEPESANVPAKEGPTRERDALDDIIEETKTTKDLPLDELEPGEE NTDAADSSEGSEFEAEERRKMSSQKPDPVVESWQQRFACMQKHEVNSDSKSPNSRTIE VLQQMLDYYERTADHWRVIAYRKAISALRRQPKRIVTRTQARAITGIGPRLADKIEEI VFTNRLRRLENANCSPEDLILQKFLGVYGAGVSQASRWVAQGFKTLDDLKAKATLTKL QRVGVDHYDDFAQRISRKEVEAHGAIVQKAVHKADPNMQAIISGSYRRGAANSGDIDM LITRPDATIEQIRTLMMEAVVPDLFQQGFLQVNLASTSRGDGSKWHGASALPGSPIWR RIDLLFVPGSQIGAALIYFTGNDIFNRSMRLLASKKDMLLNQRGLYAYVLRGEQRKKL LEGRLVEGRDERRIFELLGVPWRPPEHRIC ACHE_30823S MGRKPNQLILEFFIRGQKLEDASNRYQHTCKACGEKFPKGRIDS LTNHLVKKCQAIPLRDRQRVLLRLHELPDLADGDPNKDPNLARAAKGKGVDDLPFTTR QNFDGLNVLAEASRQVGASDHGKRGQGGNNGNNGGNNNGTPAYTQSTVGGKTVVVDPA LEAEGFQGNAIQPDNLEEDIKPQIPDSPQPSASGIPALPNTSQDQPSSASPQLGETSL TPDPGANARQSQLSMIAASASEMVPQGLSLDHDGAGLGEGLSRLPPWNQQLSTHEQLL FESLQEHDPSLTAATQRAATFPRPIAMNPSSQAKGFVNEFGNSTKPSKPKVRGRFSAA RRREVQEVRKRGACIRCRMLKKPCSGDSPCTTCASVESARLWKHPCIRTRIAEEFELY NANLHATLAYHDVSSIRNQVKFEHYAGRIEVTHFEESMIFVTFSGLQGHKPSVSALDP QLQGLGEDQFQGPLHELHLLDSDADDLPGKLEMYIKKTAPFFYEREASDFMKPTLLLA SELSQVKKDTLLERVLELWVATHILVDSELRWKTYCNPTLPPTSMHSLAQPSDDGRMP IDEITNAESYSLLCSQLRAATEKRASQLSKSVMNDLERRLLQRQQSGWFETFLVALIL LNCVERACWLFRSWDDEAFTQRWPLDKRPPYYSNQGDRFSDILHMLLKMRSLPPKATP RPDTGFLKAVDGSDENAARWFEMVQVSPIYLEQRQAAMFDPNDSRSFDLRYGAKLLPP ANIYA ACHE_30824A MTEQSQAQTLLLIFRKESPDLFHLLNETGLPISATSPLIKSQTQ GKTLVVAPLLLVAVTEAMRANQDVFGGFEYLMSWTANDFRHFYVVLEKRPPPKAKSDD NNNGSSSSPWGDKPEDQKSNAGGWGDNDNNNDTDNSNSNDQNGNSNSAWGDNNNSSSS TNNNNSGGNDAWGAPPSSPVRDNNSNNNAASEFANHAWPADLVSANNPNGNGNRNRGG RGRTASGASDWDVRDWARSPSEVGGAGASAGGGGGWGGGTPATTAPGSSRGKSEW ACHE_30825A MSSRRLLIFQEARNPQNPSELIYLPVNKLGLPICGPGPELPSIL ELPLRILRAFTEIFNQPKFKGWAIMSAGPYHDTSEEGKYYAVVLEQVRDPLNSSGGPR DSVASLAG ACHE_30826A MAATPTDDGHRQSPAIPPSPTLTNPDMILPENEGERESQTPSPP FNLPSLSHLQAFYGYTNNNDNTLNPNNDNDNDNHLAVGGASSSVGVAYSLANTGNTRS ASKKNFSRHTGHRLSDIGEEDGPGPVPPPRSSSRTGRFPVNAPSTGQDWRGMAGSPAM RNRTGEESVTVNGGGQSSQQWQGSDQKAVPGKVHSLHMGVNDMINPNANGRGGTPLAP VAEGGAPGEEFSSVILSSEAERILDNAKKRLTLMEGNLTRARSSMRSPSMSASASPSP QLGLGQPVGGLYRTISNSDRQASAALRPRPMYSTYQDAAGNRHSRVLSETNLPSGQNT STTEANGISRSVSAMGSTTLSRDDRSFRYEPTRAYLTHRASTPSMQQHRSSPALNSNS SRSKQSTPTSPTGLGIVSDGENQTKINNIDDFNSVYPADPPSRTQSQLQVRDLQDQMK GLHIKISSLKVKAQEDGLRRRSLQSLRTPSPLTDAESWYARAMEYKDRRGHLSPNPTG SRLSSESAREVSQKTPSSKSQSPRTVESDEPVTANESKKTDTSPQISEQPSTESLYQD AEEGEFDDSDDTEIDREALDEILREPLDDDLDDPLEGFPGAPNPDATPHEEREDAFDY EHFILHSALGNYSRSKLRRASNISNSSVETTRPVHNRSTRHSRANSGASVSTDATFAT AAEEGDFNGVLYWDRKFNDELRARHRYSPNDEDFPDPDALPEEEMGTPKATRREPPGR ISGHIPTSTGSLEPPPARSDSATTGSATPTALASSLVSTVRAASSSPYSPIEPNTGLN NDDERLLEQLFQSLGNVCMDLQNITTGPEPDEKTVRVLRRRLDAARRVLDGELDA ACHE_30827A MAGLKRAVDTKDERNGKRTKVKGGDVAPQKTKAAAPVKKAVSKP DLKKKDPAESGKTDKKNGKNKKKVEESEEEEDEDEFDIDDLSDFEGEKDENEDIDMGG LSDEGGDDDEEEEEDSEDEEEEKKPKAGSQSNGKASEPEKNNNSRESHAKQKALQQER KAAKPNSDIIARSKQLWERLRRKSHVPLEERKKLIAELYSIIGGRVKDFVFKHDSVRV IQTALKYANTEQRKQIARELKGSYVELAQSRYAKFLIGKLIVHGDTEIRDIIIPEFYG HVKRLIRHPEGSWILDDIYRQVATKDQKANLLREWYGPEFAIFRDKSAVPSADLSEIL TKNPEKRSPVMHFLHELINQLVQKQSTGFTMLHDAMLQYFLNTKTGSTDASEFIELLK GDEEGDLVKNLAFTKSGSRLLCLALAQSNAKDRKLLIRNFRDTVKMMASDLHGHTVIL TAFEVIDDTKLTAKTFFTELLNQNDDAETRNEELMYQVNDLTGRIPILFPFAGDRVKW LLPDSTSEALKEIREVRKETSKKDPEVRRQELVKAASPTLLELITARADSLLETSFGC QLLSEVLFDADGDKTAALTAVAEAAKSRSDTKDSPFVGRLLKSLVQGGRFNAATKTVE KVQPALNFHTYLYEQIQDEIMTWATGSNVFVVVALAESDEFEKKNELLKTLKKNKKAL EKVIVDAAAEAGKGGKKGKAGPTSSGAKLLLEKV ACHE_30828A MVPFRNFLARKSGAFNGAQTENNNNEDERPSTDSQRGTPLSVRT SSDNPEPPEYKLSVVDDNGSYLPPSPPEKQSFWSRYPGSNRSSNNHRNLVNENEPFSI SRESFDSYRRSFDISARSPVSYSDTMPSRTSLDSRFSRITSPSLGHNAFDRPEPMEEE QFEDVGLNDDEVKLPPKKRGVFSRFGDLNFANSNDSQSSAGSKISSSFGFHLPGRKRG QSGAGSELGTVNPPSVDPNVNEQ ACHE_30829S MKFWVGWEMWQKLCFVLSGILAIVLAYSFCVLLRNRRKLRRATA EAYQKAEHDTEAQDGELRPMLTRTSDIPFGARALQRGVQVEGIWISSSEAVDQLPKEP APAISPPSTPVTTGSPLKKASLVDANDDKGILPPTPQVTAPLRALNGNDIGNEGAPSI STNIPRASLHSIRTAATHLEPRASHEPGPRLSRQWFGPRSSWLNKAPETYKRKSGVEG RYVRPSSEEIRRRFSKLFDEQLQAQPLETFQLGPMFLSAECDKKRVSRSSRL ACHE_30830S MAGEVESQNEYLDENGLPGPGAPTPLSALEGVAGLTGRDIKLFV DAGYHTVESIAYTPKRLLEQIKGISEQKATKILVEAAKLVPMGFTTATEMHARRSELI SITTGSKQLDTLLGGGIETGSITEIFGEFRTGKSQICHTIAVTCQLPFDMGGGEGKCL YIDTEGTFRPVRLLAVAQRFGLVGEEVLDNVAYARAYNSDHQLQLLNQASQMMCETRF SLLVVDSATSLYRTDFNGRGELSSRQTHLAKFMRTLQRLADEFGIAVVITNQVVAQVD GGPSAMFNPDPKKPIGGNIIAHASTTRLSLKKGRGETRVCKIYDSPCLPESDALFAIN EDGIGDPSPKDLENE ACHE_30831S MGSALAHAVLADNIPLASFLLADAGVDPNEPRMYGGRPPIVSTA HLGMFEMMDLLMKHGAQVKGTNALFNVMTSDRMDVLSYLVNRKGVDVNTIQPVEESGE LIPGPVLHLAVQMRNSKWVRLLLKKFGANPLVKDQSGKTAVDWARCIGDPAIHKQLDL TYSKCQII ACHE_30832S MDSFNPWDSQGPPFDISNNFVEPSAEISPEIKEPDMLIPLTRAK EYPTTHIHGYTYVIASKGRTQVEMEHLPDTIQYAKRQPFGRKRPVYCPFLGFQVKKWT WKCSGIYACEFLSPFLQSYHHTSVDEGTWQEIQKSQKDVQILESDIRKRNAYSYYRSK ASFFKKGHACIDQLPTCKAVFKRYNQMDVHGEYAPFIGCINGSYGGLTKHHMGQIQGH TAIDLQFLEDLFNKEILPATEECGVFEPLSSRRKYCDRDHPQGSGRLKHTPCDVIFNA LVPTNIEQCPYIIFTSHGVHKHPPPPPSKAPERILGGVKRIIEQIRDPNLTTAQFLRN PQLEEFCRQYNASTLAEIHSSFCNKDRIAAIIQKQRLISYPNGQDINGLIFLQNTDRH LKDYIQEYYHDPQGIMVLCAFREQIQLLSRLSSFEIDMSYKRIRSKDINEVLFATFLP DQCKIITLLRVFTSTDSTEGYYLLFKRVFDLVQRVSSQPVLFDSIHGSGIHGIIVDMD SKQYTGLGQYLSEIDPQHQDIIWHLQRIIVFCRVHFQRSILKAIGTNNQGSPLWSRMM SLLDCRSEDDYDRLLDLLITYENANVQNWAVQKKGKVIKAGLNKACSKIQPHYFDVLR NHTNAVEQSHQKSYASGKYLTLVQAVKNSAKLDRDDIVQYNNFQDFNIHHSYRTSNME ANYLRHMSRERSRKRRRSALSISSEIESGSSASPLLPGNTRSRSQTSSRNGDNESMRS SDLRRTISTNVLNLEQRRQVIELENLEIELQQKKANLKKQEEDIRLQQLQNEKLELDL MERRMRIQEHDST ACHE_30833A MSNSDYSEEFEDKPLPLNLYDENDDERDLWIKPRLESLANGTLT PNQLAIDVDTRITEDTNRKHDELMKRPDPCHLTEEEEEDEMHIVPRPRYHISPLFSAI VRLCTAFPACHPGQTRIVEFLLALQSLPRHEIYAGLPPEDPSEPYLTVPLWRFEEEEG HWQMFPTYFQEEMKVWLAPYRLRNLNVAMARLTALKLCNCARLSALLYILPSNNEYPD LEKRPIDGPNKLGNNLIAAAQWILPQEEGQFVYQECKKFESDPEWRKIWCMAHWREWK RQFAFVAGDERFAVRYREVAERAYRQMLVYEEEDTKGQ ACHE_30834S MHLFSILIPLALLTSPILATPVDLEARAGGTVRCTATNTSKSKH TSKSWTVSVEDAKNLVQRAGVKGADKTGYPHAFGNADKLQWDPAACKKTNIDLLEYPV FWQGAKQINPDKKINEQAHTPIRVVYANAGGTPVYCGIMIHSEVKQEPDDNKSWIGEA GFSKCT ACHE_30835A MVDHHVQALSGNDMNLTSLFTTDHLHAHDGADFLAAELGIFNKT IADIFASHVSSFENSPIQARSSINGKCQGHGNIEDSLSSLQINTICYAIASGAAGGVT AVVGVVDSKVCVEAGTGHPLPSCKSIFAFINTAGTTFTGGMVNTYCPQFLSLFVSCHG SDTQATARSNKAFEMTGFNTQKDYNCKEPHQGEQCVATTVQ ACHE_30836A MKLRRLNERQMRWADILSRYDFYLQYRPGKLALTPDALSRRDQD MPNDPGDERLQMREKRLLDPNAFVETSECTICCLSAAQADRPIHILPTHTSDGTTENG STTSDLEQQWSHAEAEDAAMPTLRDAIRAGLPRFPPELGIRVSIGECELDSDDRILFR KRRWVPNNEPLRTRLMQEAHDSPLTGHPGSNALYSLLARQLFWPNMSADVKRFVKNCD QCGATNIWRDRRQGLLKPLPIPDRKWRELSMDFIEGLPESNGYTTILVIVDRLTKGTI LIPCARTGSDYIVPKFLQHVVAYHGLPAAITSDRGSQFVGELWERMCSLLKISRRLST AYHPQTDGQTERMNAVIESYLRNFCNFAQDNWSEILPMAQLAIANQTAASTGFSPFFL DHGFHLETLQLTEPVTDEPQQSPSSSAGARIAEKLKNALEVAQSELAAAQERQEQYAN RYRNLAPHYKPGDKVWLNLQNIRTSRPSKKLDVRQAKYTVLAQISPYAYRLNTPEGIH PVFHVDLLRPAANDPFPSQRNDDYQPPAVLVDGEEEYQVERILDYRQIRRGRGFQRQY LVKWTGYLHPEWTAAHNMENTAALDEWEQRHRIQSSTGEGDDL ACHE_30837A MDEDTSKRSASIEEYRSERVDSNQEASDSELGSWEWIDHKKLAA TTQEPQIPQEYIEFQHLFKQPEQPELPDHGPHDHRIPLMEGKTPTCKKIYPMSERESK ILREYIEEQLAKGFIRPSTSPAGHGVLFVPKKDGSLRLCADYRPLNAITIKDRHPLPR VDEMQDRIRGAKWFTKFDLVDAYNRLRIARGEEWKTTIRTKYGHYEYLVMPFGLTNAP ASFQRFIYDVLGVYLDIFVIVYLDDILVFSSTFEEHVQHVKKVLQKLEEAKLRLKLKK CEFHVQETEFLGHWITTEGIQMDKNKVQAILDWPELKNTKEVQQFTGLVNYYRRFLKD YSQFMTPLFKLLKKGQEFQWGPEQRQAFQQAKEKIVSAPALVQFDPEKETTIETDASD YAIGMRMTQPGPDGKPRAVAFHSRKLVQAELNYDIHDKELLAIVVAFKTWRVYLEGAQ HTVLVKTDHKNLTFFTTTKELTRRQARWAEVLSQYDFKIIHCKGNENGQADALSRRPD YEIKDRTINPAILKTNEDGTISYNHQVLAATMHTSNKPLEKKIVEETQKDKMIQDMIE NSAENDKLTTDNNGLVYLHNLIYVPKSMRNEIISMHHDTPLHGHLGTEKTAEQIMRNY YFPNTRKVVQEYVKNCETCIRDKAARHQPYCHRLRL ACHE_30838S MSPSTFQGAAYVQGKASGRLLASNIELSFWGGINPQTGEIIDRH HTLSGQFLQDTILAIPGGRGSCSGSGVMLELLLNDKGPNAILFERREDILTLGVMIAE EVFGKSIPVVMLGPEGFREVLGLDGRFVHVVNGRVYSDESLVNLDKHTLETGAIQSLP LASDLELSDIDRAFLDGAYGEAARVSMRIILRMADLLGTRKLMDITQVHVDGCIYTGP GCLIFAEKLRDWGGKVRVPTSLNSISIDQKRWRVQGIDPVFGEAAERLADTYISMGAR PTFTCAPYQLESAPKFGEQVAWAESNAAVYANSVLGAKTMKYPDFLDISIALTGRAPK GGPHVKANRLASVIVTVTGVPGAVKIDDSFYPLLGYHVGAISPCQIPVVVGIESFSPC KDDLRAFGAAFATMSSAPMFHIVGATPEATSLDAVINEKFHPRSIEINLKQLAESWNQ LNSASHPQPVDLVSLGNPHFSLTETRKLAELCRGRAKDKNIAITVTCGRSAYGIASQA GLVEELEAFGVQFITDTCWCMITEPIIPPSTGAIMTNSGKYAHYGPGLTGRPFYFRSL ARCVEAACGGCIADVPGWLSG ACHE_30839S MVRIYKDTRVDLRPYSSTTVVNIPIPTQGSAQRRARFSISALTD NDLPVAKDDEEFTKRHLATQGSVYFRKRKLYPRSFLWRVINDNRVLEIHCVDLTKAGI EHHEYNVTLRLDFQEEILPCGVEFADLEEHEALNVFVITASKQLHTLTLRPEFFRRVS AIDDIVSEWCKTCVPAPLAFSYPHRLHASSPLELFVSLDNGSLLRLTRRSGDDGSNWS PLTFDERTWGSSIRGLVRWHTPSPVKYRGRNLDQNVANAIATTSDQTYVFVVCLNHTL KIWNLATNRLVASKDLLNRQVQQNDANFLSLNPSESSFIRVFNAERALDGEYRYFVAT YSPFEDGRFKFWAVKGGLTSQLVIEDLYPDAPLRPMDPDTTGNMLFWSIADFQVKPAE AGKGMELWVLWKNNSLCQLYTLHFNFDTLERDWYTNWVSTAMESHRQEAPPALVSSDI VDPTEKWLKYLFQPNRFTPEVLETALAIYNEALRPLASTSNSFKKSASLPERLCTTIA GTVCLRKYADDHMDFTRYPTDTDTKWRQFWQTAEDINRRRFEPVSIAYDTYSETPWLL LADSCAVIRECSSTELLLHNAGAELRSEGAKIVDRWSHRDLGSEVGELFEQASHLMKV ASGFRKRFPAELEAACWGAIEAEIFTEPSSSIPDRLDVFRERCDFGERVSNKIFDGLF AAMNEHLSIDNLPSEVFYAILDTIPLGPGKDSDLLATHFGVRATVNGVQEMILQTRQL LVDLLVLVVFLDSEVDQEEGSTFDAADLFSSIIPLLREYEMMHWLSSNVRKSTGKPAS DATDGSDSPFSLKKDTGFNKGERVATILEDLFASDIKPRQAIGRSQSYTLTLGIRDVL SWVTRQGDVAYPNALVYIQCDLIAKNNIDLAWDFLRFQATTSWATYVKGRLYVAMSEY DTAALYFRKAAYLLSCGKPLGNLHEMSSTLLDIVSVDCFHNGLPKYFQHVLSIFEQVR SFSHVADFASLALQTLESELSEQDPEYNVLRTDLLSRLFHASLKTCRFDEAYSALTRY KDVALQRSALNSLITTVLAASGPGSTGLKQILHFPTSLVPNLASYVDETLVSLAKKQS SFNSFLETENNKWTDSTPDFQRILQAYRIARADYRGAAEIAYRNVQRLRQARDNPSSH LALAKAKEADDQKSMVEEDDPESQEIRHELLSLINLLACVDKSEAYILVEREDLSSTQ PTGPGNRPRSRQTQAIDDDGNVSMDDIDPNSPTVRRPSSNASRPSSSSVQGHGYAQNQ NQNQTPQKRVIVTLDHLRREYQGELDRVSRIERGDWEFGALDEDEEDVDGDETMVIS ACHE_30840A MTEHDRASRSSSDEDISSIDDERQHATNGDSTGNGGNLEKQTTA SSLSMFESRAQSVVSRIRSRDPGQTMPFNHPLSHTKTSTDVIVDFDGPDDPYRPLNWP FHKKAITTVLYGLTTMGATWASSIFSTGTAQVDAQYGVGSEVGLLGTALLLFGFGIGP LIWAPLSEVYGRKPAVLIPYFIAAIFSFGTATAKDIQTIMITRFFTGFFGSAPVTNTG GVLGDIWTAEQRGAAIVGYAMAVVGGPVIGPIVGGAVVQSYLGWRWTEYLTGILMMLT LTLDILILDESYSPALLVYKARRLRFSTGNWALHARHEEWDVTYKELANKYLIRPFQL LATPICFLVALYASFVYGILYLCLAAFPIVFQEVRGWNAVVGALPFLGILVGVLFGAA INLTNQKFYIARFKANNNFPVPEARLPPMMLGSVFFAAGLFIWAWTGNSASIHWIAPV IGTVLMGFGFFTIFQAALNYLIDTFQKFAASAVAANTFVRSMFAGSFPLFAQIMFHNM GIGWAGSVLGFIAVALIPIPFLFYIFGKRIRARGKWSRASVYGHD ACHE_30841A MFKVGSWFYGKKPAAQVQSLDSLVELRDLEDALQAATLIMNDDV DGAEDGLSEGTSSFHNLGKGVVAFIRATLGFEQEIMRQASERLVEAETSASNDQHRAQ HNAHAPNSYHSQIYAPGTEFALCQAMAQLMSAVVGVLNESLTESVKGFYKLRKAYLTL DAILKMEEKFMQERQSGQASASTRSSESLNRARGIGKAHTDPTLSHSSDDALSRKLDD LDVSHDSAAASAASVGASTNMLNIDPDSDLFKNQIDVFIHSGANFCFGILLLLISMVP PAFSKLLSIIGFYGDKQRGLRMLWQASKFHNLIGAIAAFAVLGYYNGFVRYCDIMPDA IPGEDGDVEGYPQERLEGLLADMRKRFPNSQLWLLEESRMEGANKNLEKALELLCGEN KSPLKQVEALRVFERSLNAMYLHKYELCAQSFLECFDLNSWSRSLYYYIVGSSHLTLY RQTLQKDPTEAAKHAEKATEYFRAAPPLAGKKRFMARQLPFDVFVSRKVAKWEARAKE WKVPLVEAVGVDPVEEMIFFWNGHSRMTREQLEASLINLAWSESDANRTWAREGLEEK AILQLLRAAVLRSLRKHDEARDLLQTHILNHDKNQFKGHLKDDWICPVAHFEMAANLW MERPTYIAEHGHPTSDPEKTETKSSATDEKIERQKALACKEYLEKAARWESYELDARI GLKVTAAMEAVRKWESTHDPAATAGSS ACHE_30842S MSNTTQDKPELSQQPQQQNPPVLEEDDEFEDFPVEDWDQEEAEK ASVAANDNAHLWEESWDDDDAAEDFSKQLQEELKKVEASS ACHE_30843A MSLSNKVAITDVDLKDKRVLIRVDFNVPLDADKKITNNQRIVGA LPTIKYAVENGAKAVILMSHLGRPDGKVNPKYSLKPVVPELEKLLGRSVVFAEDSVGK ETEETVNKASGGQIVLLENLRFHAEEEGSSKDEQGNKVKADKEKVAEFRKGLTALGDV YINDAFGTAHRAHSSMVGVDLPQKASGFLVKKELDYFATALENPQRPFLAILGGAKVS DKIQLIDNLLPKVNSLIITGAMAFTFKKTLEGVKIGNSLFDEAGSKIVGEITEKAKKH NVEIVLPVDYVTADKFAADAKTGYATDADGIPDGYMGLDVGEKSVELYKKTIASAKTI LWNGPPGVFEMEPFANATKKTLDAAVAAAQAGTIVIIGGGDTATVAAKYGAEEKLSHV STGGGASLELLEGKDLPGVAALSSK ACHE_30844A MLSLVRRRFLDGLDRRYIYGRVPLLHAIIFLIEMVIAARLAAKF NSYYAERPVLTTMVTNAVLGGVADTVAQLISGYRTQVPNRAETDSDLISIEIHELDKK KPPAVGELDFASNRPPPFDFERLTRFMSYGFFMAPVQFQWFGFLSRTFPLTKKNPTIP ALKRVAADQFLFAPFGLVCFFSFMTITEGGGRRALARKFQDVYIPTLKANFLIWPAVQ VLNFRVVPIQFQIPFVSMIGIAWTAYLSLTNSAEEE ACHE_30845A MLGLEVPGEFLRHAVLDTVVPNASDIDLEAALTSALEAGADDLT DVLSYIPQRSVVFFDEFCNARIVLRLSNCSEESLRQHLQHLEVRLDTFAIDPAESVAE NPTPTRDLIFSGAVSGKDEDPLVVYNEFEGENGRGNHVYVIWNVPAFLKRPRIRIQHP SLLFIASASLNPTESRQRESRDDDYLPSLVPASTNILQPLSTDKNRRTDPFLPASRLL RVVPATYSEDPIYNVQQQSGHPIRVVPAAGARIRYSRLNSYSGRPTTVASLDFEVTPF INCDVTFDKAELSLSDGTIETLSDVPGLKPPIRCRPRDDVTLMYKLTPEYGPDPNPST TVMVSTLDISLEAVINLAENCQPRIAMRWRTNVDFSMALNPTFGGPSQALQRSNRPTS LPMTPNQNSGNPPSNRSSFRERAYSVTDVGVTISFSGPASVEVGRPFSWGVFVVNRST VPRKFAMVAIPRRKRTDPRGHVARPSSSSMSNRSKENQVAEAVTDDNIVHAMQKSASG QEAELVCLSTDVRVGPLLPGTCHSAELKLLPLSVGSLHLEAVRLVDVNTNETTDIRDL PDIVATDWKGPS ACHE_30846S MNPINAPSGPASLWQEARNKADGRIYYYNVQTQATQWQKPVELM TPVERALANQPWKEQTLDNGRKYWYHSETKQSTWDMPDVYKEALAQAQVSQQPQSTGP TFVAGGVNSFPSYPQQRERDDYGRGFGDRRGGYGAPDTNGMAAVAPVLGAQTEPEYNS FDEAEGAFMKMLRRHNVQPDWSWEQTMRAIIKDPQYRALKDPRDRKTASEKYAVEVRM QEKDRAKERFAKLRADFNTMLKRHPEIKYYSRWRTIRPIIEGETIFRSTNDDNERRQL FEEYVSELRKEHVEQEAVKRKAAMDELMNIMKSLDLEPYTRWSEAQSIIQSNETVQSD TKFKSLSKSDILTAFENHIKALERTFNDARQQNKAVKQRKERHAREQFIELLKELRSH GKIKAGSKWMNVRPLIQEDPRYLGMLGNAGSSPLDLFWDVLEEEERSLRGPRNDVLDV LDDKRFEVTPKTSFEEFNSVMLSDRRTADFDADILQLIFQRVQEKALRRNEEEKHAAD RHQRRAIDALRSRIKHLDPPVRATDTWDQVQPRVERLEEYKAIESDELRQAAFDKVIR RLKEKEEDADRDRSRDRDRGSRRDYDRDHRYRGERRGAPSRVSRTPEPDAYEADRRKA QADRERSYRKVSGFSPSRDKREDRDRERDRDRERYRERDRERGRDREERDRDRERDWD RERSTRPLSHYDRERRDREDERERLYRTRGDPRGGRDELDYGGDTPSTNERRRRRDSD SESVASRSAKRYRRDPSRERERSRGARRDRDRRERTPASTAGSAAPAPGPVEDAKKVD DKAIHSGSEEGEIEEEE ACHE_30847A MCCGDTHSLQKCRQECKKSCPVVRTGKLCIEVSPESKIAFISER LCIGCGICPKKCPFGAIHIINLPTNLETEVTHRYSANSFKLHRLPMPRPGQVLGLVGT NGIGKSTALKILSGKLKPNLGRYENPPDWEEILKYFRGSELQNYFTKVLEDNLKAVVK PQYVDQIPRAVRGPVKGVKDLIKARTQLDNLDHVMDILELHQVASRDIDQLSGGELQR FAIGMVCVQKADVYMFDEPSSYLDVKQRLAAARSIRELLRPDDYVIVVEHDLSVLDYL SDFICVLYGKPALYGVVTLPASVREGINIFLDGHIPTENLRFREESLSFRLTEAGDDF LADKGRAFTYPSMTKTLGNFKLRIDGGQFTDSEIIVMMGENGTGKTTFCKMLAGAEKP DGEASIPRMHISMKPQKITPKFQGTVRQLFFKKIKAAFLSPQFQTDVYKPLRIDDFID QEVQNLSGGELQRVAIVLALGMPADIYLIDEPSAYLDSEQRIVASRVIKRFIMHAKKT AFIVEHDFIMATYLADRVIVFDGKPSVDAHANSPESLVTGCNSFLRSLDVTFRRDPNS YRPRINKYQSQMDQEQKLHGNYYFLEEES ACHE_30848S MDPTSVVIPSTFDSSTMAAVANGDMATPVFDIASAQDEFAGNES DSNASHPPRDASESYDARSPDADGDEEEFTMENGVQESSPSNDENSSSSEARRGTKRN SSPMDETQFMRQNPDLYGLRRSGRARKTRQVVDSDSDSDAVAPRTQRRRQAAPRQNSK RPAHSATQSSFSSEEEEDQTEDSDSDEYGGPRARASKTKRRRLLQAASNNDPPHGEVR FSTRNASKVSNYREDDDESMFEDDPEDVMENYWVNDTVEEDNRPAVDVVLNHRLKEGV DPENTDLDRHHFEFYIKWQGKSHYHATWETSETLANCRSTRRLDNYIRKILSEEIRLH MDDDVPPEDREKWNLDRERDVEAIEDYKVVERVIGMRDEEEGPEYLVKWKRLFYDSCT WESEELVSQVAQREIDRFLDRSSRPPISDKSESNPATRKKFEAMKGTPSFLQNGELKE FQEKGVNFMAYNWTRNRNVVLADEMGLGKTVQTVAFINWLRHVRHQQGPFVVVVPLST MPSWAETFDNWTPDLNYVVYNGNEVARNVLKEHELMMDGNPKRPKFNVLLTTYEYVLQ DSAFLSQFKWQFMAVDEAHRLKNRDSQLYIKLLEFRSPARLLITGTPIQNNLAELSAL LDFLNPGVIQVDEDMDLNAEAASHQLAELTEAIQPYMLRRTKSKVESDLPPKTEKIIR VELSDVQLEYYKNILTKNYAALNDGAKGQKQSLLNIMMELKKASNHPFMFPTAETKIL DGCVRREDVLRAMITSSGKMMLLDQLLAKLKRDGHRVLIFSQMVKMLDLLGDYMDYRG YSYQRLDGTIAAASRRLAIEHYNAPGSNDFAFILSTRAGGLGINLMTADTVVLFDSDW NPQADLQAMARAHRIGQTRPVSVYRLVSKDTVEEEVIERARNKLLLEWITIQRGVTDK EASEIQTKMARGGIVGEPNSTDDISRILKRRGQRMFEQTGNQEKLEQLDIDSVLANAE LHQTEQAEEIQADGGEEFLKAFNYVDIKVDDLTWDDIIPKEQLEEIKADEKKKADERY LADVIEQNRPRKRNQPTEERDTREERKAKRQARAQVSMDDGHESDNNRSLDPKRPLVE KEYRHLMRAFLRYGDIEDREEDVIREARLLERDRETVKASLREITDKATSLVREDMDK LEALEKAGKVPTKKEKKAVLFDLHGVKRLNAYTIVERPAEMRILRDAAAAVSDFKNFR VPEATKAPDYTCSWGAREDGMLCIGIARHGYGAWSQIRDDTDLGLGDKFFLEEHRVER KTERLTTEDKSTKSPGAVHLVRRADYLLSVLRDKVTNGSSVSARRAVENHHRNNRKNS RAPASASVSASPAPSMSRKGQREVDRSRHRSHTHRDSVDRHHTPDSRARSHHNDRSRR VSDASSEEVRRRKSGENGSSGKEDMSRLFFKPIREDLKKVSAVTKENFSNKLERASEL RRLLGLIGDFIRKTLHGEKSLHSLEIRLWDYVSVNYWPNKDAGGAKLREMYQKLHAHS AKPVSNGN ACHE_30849A MARYQVLIRYEHHKAFMKYDSNIQNIIEDKFGEMGATDIERYHM SPNFPLLLVTTYAGRPSPVPY ACHE_30850A MPDYINYRLLIRYDNYAAYETYDKDMQEILEAKYSELGATDIQR SYVSPSLPLLLINSFKAPEDVPLDELRDIKLGENITTDIQPMDEAGSIDIIDVEVYST ECYRNTGLLVH ACHE_30851A MIRYDNYVVYERYDSRLQRIIETKFGAKGATNIQPCFMNPSLPL LLITSFHAPASVSLVELKSVVLGEGIATDVQPLEEYNRFTLG ACHE_30852A MSEYVQYKLQIRYENYDAYEKYDKDIQDTLETKYGELGATHIQR CYVSPSVRLLLVTSFEAPDGILDELRSVKLGEGIATDIRMEEYRRR ACHE_30853A MPPSKRRRTGLAPQDGNTTPVSITYQDQEDLQPPASPSTSISSD TSGEIPNSPTTLALLGNSQEEDYSGQGSDQVTVCRWEGCDAGDLGNMDDLVGHIHNEH IGSRQKKYSCEWADCNRKGQTHASGYALRAHMRSHTREKPFYCSLPECDRSFTRSDAL TKHMRTVHEAEALRPLEALKGTTSLPVIGGPTATPASKLQRIKLKLSQPPRDDASESA AEEHPPAVPTIDNDLGDIDIDLPEYGPEIGFDEHELSLPPRDLYRLLRRQIHWAEKEG ENLRAEWERTIPKRKQSWLEKESVFDDVIDAELRWFGTIVGVHEREGTINDVTNELFP APPREAERALKRYKLEKGLMPEEEARQLKETDYFYQPGQKFHVDY ACHE_30854A MPTLLGREVGATGYGTMRLTWTPTPPPQSQSFETLNTALAHGAN FWNAGELYGTPDFNSLHLLHAYFSQYPEKADQVILSIKGGLKRGQLLPDGSEENIRRS VDECLRVLDGKKKVDIFECARQDPNTSVEQTVSILGKLVEEGKIGAVGLSEVDAETIR RAAKVCPIAAVEVEMSLWDTSILENDIAKTCHELNIPIVAYSPLGWGALTGAFTSKDS FPEGDFRRNLQKFQDEHMVHNLKLIQEVKSLAERKGVAPVQIALAWILTLSEREDMPT IIPIPGGSTKDKVTQNLNGVPRLSESEMAEIERILKENRVVGKRYY ACHE_30855A MTPLTTLLTLLSLLPLLQAYPISLHHRSPAPRLIPDVSDYLSKY FADIGLQSLYESFYPFDNKHDAFGDISSSLDPSPSSPTSPSPTPEATEYSRANVIPTT TVAPARSSDPTPSTTSTTRTKHSWWANWDLEKMSSSALSSSLTATPTPSPDPSSSRHG WWADSDLKILSSSSALVIPTPTLTPVTVTPVKSTTPTSATPTSSTVASATPTPSADTG KDDGDDLWDSLFDALSGRIRNALDSSDELWLSDE ACHE_30856S MIMASRPNFVSILNNDDHPSFTVRSSPRLIRHHSSSSFDPVTEA ARISSPGSSVCSYDYATSSYGSYFQPNPSSASDSYFPPTSSTAPSEHVRSATSADPPS PSSISAPKDSPSTSTISSFSSTTTTTTETTASAKRGVRKNKYPCPYAASHNCSSTFTT SGHAARHGKKHTGEKSVHCPICNKAFTRKDNMKQHIRTHRNLSEENTTPGAGSGSGRE SGSSTPTSGWSARRTSPAAYQHQHHRPISQSDGKEFLSVRHAPY ACHE_30857S MAYYDRHYYPPRDRNPPPYHGSMDVVPGRSSHDSIPRSEYPSNH DYYGYSHSNGSGYGHGYPPTHSRHPSRVATIQEGSRRPHSGYYDGYDDHGHHRRHRHH RSKDGYYDDRGRSRRHHKAHSVSPSPSRGRSRSRARGGKKSTRSKSEEKMQQAIRAAI TAGAIEAFRVRNEPGEWKGEKGKRILTAAITAGGADGLVDKDPRKHEKRHIIESTLAG LATNHFVNGPRSRSRPRSRDGGHSHRRGRSKSHNRGKELAAAGLVAAAGKKAYDHYRS KSRPRKGGGRSPTRDRAYSDEDGYESYDGSPRHSRGSKRRSKSVSDYINQGLASLGLE DSKESDTSHRGSDRDRDHDRRRRHHHHRRRSSPPRSDDGSYSDDSGTASDDSRRRYRS PPRRSHKEYR ACHE_30858A MVVPKPPMTLEDHCSVIHDNTLYTYSANGFASIALSENATWSNL TMGEPVSGAACVKNKQSLYVVGGTSSSSSDYTGLQRYSFQDKKWKTISPTSEDMSNRT NHGAVFLKSSSSIFVYAGNRDGSSTPTSDTFVINTAEPYNVSSYSGADASPASAPILL PWSDDQAALVGGTTTARKVHLFKFNGTGDWSDSGATLPQALSDDVKAALISGSDSSKV LQSFDMSESPNTVKSLLLVNPGGKPANPAKVLGASSRKRSRRRAISVDNYPKYDDQYA SSKTWSQFSLAQDDDGKLVAISGGSGTNSLALFNQTSNSWMNATKLFYGDKSMQQILP SPSSSTTATPTSSNSTPSSPSAVAGGNGSSSGKVGTIVGATLGSVIGFVVILIAILFL LRHKKDKQKAAGQGRGDSDDKDRLSIQDQGEPLTRSAYPMAKSHAPLGSSSVDSLAIF SGKLGDEKKSQAAPAAHPVYGGKAPTKSPLSTVQSSRELLSGPYTPDADKALEASEAG AGGRPGDRRTDEGWSKYFQDNNATDLVGMQQQDEPTASADLAKSERRGSAWPMTTLTP LNFGFLEEPKPLGRVVTGSPTTEHPSSATEGHLVIPEGQSARISSADSISLASDSDYG RSRYSSGIPAEGTRWVGAGNDDYFGRPVSSMYSQSYYPSTNNVSSMAAPSVNYDGVRS SQARQSSVIIPDTLDENGPSIRNQTNVNTDMSWLNLNADR ACHE_30859A MVSCLPSPQPQLHFTSSPSLSLPFFFSSLFFLYAVSLSSIFLLF LSFSPFHPLFPLSPLLPVLALDFFVSSLGLFPLVTPSFFSSSLIALSFVVDFLSPSAG LKPCVCPLSSIP ACHE_30860A MEASRGPPRVKNKAAAPVQISAEQLLREAVDRQEPGVQAPTQRF ADLEELHEYQGRKRKDFEDYVRRNRLNLNNWLRYAAWELEQKEFRRARSIFERALDVT PTSVVLWIRYIESEMRNRNINHARNLFDRAVTILPRVDKLWYKYVYMEETLGNIPGTR QVFERWVSWEPDEGAWGAYIKLEKRYNEFERARAIFQRFTIVHPEPRNWIKWARFEEE NGTSDLVREVYGAAIEMLGEDFMDEKLFIAYAKFEAKLKEYERARAIYKYALDRLPRS KSMTLHKAYTTFEKQFGDREGVEDVILSKRRVQYEEQLKENPRNYDAWFDFARLEETS GDPERVRDTYERAIAQIPPSQEKRHWRRYIYLWIFYAIWEEMEGKDVERARQIYQECL KLIPHRKFTFAKLWLMKAQFEIRQMNLQAARKTLGQAIGMCPKDKLFRGYITLEQQLF EFLRCRTLYEKQIEWNSSNSQSWIKYAELERGLDDTERARAIYELGIDQPTLDMPELV WKSYIDFEEYEGEYNRVRALYERLLAKTDHVKVWINYARFEINVPEEEEEEEEEEEER PISEEAKSRARKVFERAHRVFKEKELKEERVELLNAWRSFEHTHGSSEDIDKIEKQMP RRVKKRRKLDDDRYEEYMDYVFPADDQSAANLSKLLAKAHQWKQGQA ACHE_30861S MSNVEELMRDFEEDEEDVAQEGLQEGLQDGGAEAEEPGDEEMEQ APAPETTNEFDRAVFTGDELTRLHKVLRDHYSIRFPELETLVANPIDYAKTAAIIKNG PLNDIKTLAESTDNLVGTTLYAVLDKPSVIAVTVEGTTSIGREMTESELKIVLDTCEK IIKLDRERTALTESIQSRMNQIAPNLAVLISPETAAQFLNQTGGLRELAKIPACNLAA QGSKRNEGLGFATNTSIRSQGFLYHSPLIQEIPNDLKRQAIRIVSAKMVLATRADVSG FSPDGSLGEDLKQQCFQRLEKLTEPPPNAGTKALPAPDDKPSRKRGGRRARKAKEAVA MTEIRKAQNRVAFGKEEAEVGYGAGEGTVGLGMLGQQDGGRIRATQIDQRTRAKLSKS NKGWGTATPASSGIASSLRGFGQGGPGGTASVLQAKGLRASGVGTSLGGSGTASTIAF TPVQGLELVDPKVQSELTRKRKAEEDRWFKSGTFTQVGGSQSQNKNNENDGFKVPPLP SRKKVDTGEGKMGPPPPPSK ACHE_30862S MAPGIGNVILPTVSIQHTKQPLPQRRQTTLYHHSQITTDSDALQ FEAAASRQLKLHDGNSNDTQSPEENLLITSPYNSPTHLLDLTTLDTANQLFAKALTIF TPIRPDYAVAPYLESFNWAAVFSFLRELSQAEWYHFPRTSFYVVAFRSRLFPDADGDR LGLLDDYSHREATESGGLLKYWYGRKDGEYRNLATCLWKSREHARNGGMGPWHQKARA AGSEMYEKIEFTTMELFVEDDVSGWEMRDWS ACHE_30863S MVGIQAQNLAVNDGPLTSENAALLRPSDPNMPIEELRRRYEQDG YLFVKGLLPREDVLEMRRRYFDFLAPTGVLQENTDPVEGIFNKTKSPDDYPGIGAGHV GNNGRPGGDSAAQFVDKAIEAHYKDWYAEKFCNHPDLREFVARFTGWGDDTLSFKRTL LRNNPPGSKPIGVHYDQIFLRYGDPTSVTAWVPIGDIKLNGGGLIYLEDGDSVGLKIE EEFTTRAKQAGLTEEEARSAFNSNMMATGLLSDFPAEFAKEHGRRWLASSYEAGDVVL HKPHAIHASTVNNDPDNVIRLATDLRFCDSSKPYDKRWMNHYRFGDGV ACHE_30864S MAAPAQKFKVADISLAAFGRREIELAEIEMPGLMAIRRKYGAEQ PLKGARIAGCLHMTIQTAVLIETLTALGAEVTWTSCNIFSTQDHAAAAIAAAGVPVFA WKGETDEEYNWCLEQQLSAFKDGQKLNLILDDGGDLTALVHDKFPEQLNGCYGLSEET TTGVHNLYRMLKENKLQVPAINVNDSVTKSKFDNLYGCRESLIDGIKRATDVMIAGKV AVVAGYGDVGKGCSDALRSMGARVLVTEVDPINALQAAVQGYEVTTMEDAAPQGQIFV TTTGCRDILTGAHFEVMRNDAIVCNIGHFDIEIDVAWLKANAKSVQNIKPQVDRYTMA NGRNIILLAEGRLVNLGCATGHSSFVMSCSFSNQVLAQIALFRAEDTAFGQKYTEFGA SGKKPVGVYVLPKVLDEEVARLHLDHVNAKLSELTPVQADYLGLNVKGPFKGDIYRY ACHE_30865A MISTMASGDVKSYVEETDITMMYSVVDIAGRNWVLERILGNAAS AISGMAGYYLQNATGNKDGGGGKKRIGITMFGVTTPCVDRVREYFDEQHPGKYEIYVF HATGAGGKAMERLISESQLDAIIDLTTTEVADELVGGILSAGPGRLSAAAAKGIPQVI SVGACDMVNFGTKESVPTQFGDGGRKLYKHNPSITLMRTTEEECRGIARFIGEKLRGA KNSKKVRFVLPTGGVSMLDVPGQAFHDPEADKVLFDTLEQELAETPIEIRRDTRAIND PGFAVAVAESLLELMQLP ACHE_30866S MYSGRERFDTSTLVLEEERRSWWVIVMSGCVVGERPRSRSMTLL LVALGLVRILSRTKRSSSSLVSQVPRRRIVAIVLCCVLVCGGNCIAEELSIRASAAGL RIPSIILSSCFGHFTRPLTLPTLHRVYKMPRPTTRKEVLDSLRKTIADGSIVVGAGAG IGLSAKFIEKGGADLILIYNSGRFRMAGRGSLAGLMPYSDANQVVVEMANEVLPIVDN TPVLAGVCGTDPFRTMPEFLKQLKTIGFVGVQNFPTVGLIDGNFRANLEETGMGYEKE VEMIRIAHELDLVTTPYVFNVDEGERMARAGADVIVVHLGLTTSGTIGAQTAVTLDDG VRMVQEVRDAVVKVNPEVIVLCHGGPVAEPGDAEYVLKRTKGVHGFFGASSMERLPVE RAILENAKAFKNLKV ACHE_30867S MSRSLEGKFGIVTGGSRGIGEAIAHNLASKGCSLLLNYTSESSR ERTESLCKTLSSTHAIVCHAVQADLCDTQTAANTILSAAKEHFSKDGKFQIDILINNA GVSKDRFLNDETKGPIDPDYFYWQYNVNVLAPLLLTQAAAPFLPQNRTGRIVNISSVS SSLGFTGQSVYGGTKAALEAMTRTWARELADCATVNAINPGPVVGDMYFATGEAFWRQ MQGYMDATPLSKVLEGDEKMASLTEEQKTAIQEKMDGRRPAFTSEIAGVVGMLCTGDG GWCTGSVVCANGGMRMGI ACHE_30868S MSLRTTRLTSLLTRAAARAPSTTLRPFLGASALSVQIPSQSSRQ TQPFPLPISNQVQTRGYALGATTGKSQADLLVDELQELYEVAKDEFEIATDSTDNATI YAASDRESCRDALNQLLATYSLYTSSEIRSTAESHQPQQAQPDDSGMDAVGTGYDPGD IEPAVREEVRKRVGQRVRELDNAVGLLEDRAQAD ACHE_30869A MAQNKDDYCLECHWEGFRLDGKESNDTSDPKEKEPEPVCPGEEH HTLSRCDVDETCCEVDDCADNCSITCPSVCDGFVDCDQSDACSVSHCDDNCRSDEPVC FEDHCFDGTENNADHGLESFLGLSAPLNLETSDLLSSTLEHSQLEQSKHTDPPAPANI GPSSHHPNPIDSSSFLPPYSSTPAAHCHSHVPNHFNNCHFHHSVHPSYPVQNGVNPAD VFHMLGMCPDLSSCPIPEEQHCQHNYHLNDTSAFFSCFHAENHHLNHTTHNNNVDTNT TTNNDSNCHHHHRHQPKPVNAGGRAPAKGPCRSHHRCRIHAHGHAHPYSPYLRQSRSS ISSHLISSPGETPPPLDGGASSVITSPEFSPVDREIHVCKWTTTLHGIKHSCGATFAD SGALQRHLISGHMSTVDGAKGNGYYCCWEGCHRPNEPFSQKSKLQGHFLTHSNYKNFK CSVCGKVFARQATLDRHERSHRGEKPYKCTDCGKTFTDSSELKTHSRTHTGEKPFKCT YPGCNFQTGDSSNMSSHRLTHGERRHKCHFSGCSKSFTRPDQLKRHIKSTHKEEMPPF STSPGTDEFALSYGIIA ACHE_30870S MGNICSRSANKPDDPFSHPGRSLASSAPSQHQHHNRAPSARAPN NQKPFTVPGRTLGGGEEGPGTGMDARTRAALAAQARADAAQSSNKGKLGTKLAAQKSM TQAQALNEASQSERAARDADGAAEARRWQ ACHE_30871A MARHGDSRSPSPVGSTYSSSKRSRRDDDRYERSRRDDGRSYRRS RSPGRRYRERDRDLYRRRDRSLDRRDDYRDEPNYRPSRRERSRDRRRSRDRDDDRDYR RRSRERDYRGKRDDSRNRARRRTDDSADLKHKSRRDDSRDRVSDRNSPASKPSTPAAA PTDEGKRAERLAKLEAWKQKQAAEKERKQREQAESGGAKSILEEIDRKSGALPTANVP HATAAPAIEATSAVPTRKFDPKAIAKNATPTTAAPAVLGEDVAVPQAIKASAAQPSVP SAASSSAFKAKGNVGGFGLGSRPSADTEKPSATKTLGFGEEESTRRKLEKLPTPPLDD AKDTVPAADDEDDDVDMQEGGTEEENAAAARAAAERREERLLQVQSNGQPNGDVEMQD VSHQPKESIEAEQEEEVDPLDAFMSELADSGPPKKTTGAKFSKQKEQEPEAIFADEYD MTAVGDGDADDFLAIANKTKKKKDIPTVDHAKAEYEAFRKKFYTEPSDLAQMSEEDAA SLRLELDGIKVRGVDVPKPVQKWSQCGLGVQTLDVIDKLGYESLTSIQAQAIPAIMSG RDLIGVAKTGSGKTIAFLIPMFRHIKDQRPLENMEGPIGLIMTPTRELATQIHKDCKP FLKALGLRAVCAYGGAPIKDQIADLKRGAEIIVCTPGRMIDLLAANAGRVTNLRRVTY VVLDEADRMFDMGFEPQVMKIMANVRPDRQTVLFSATFPKNMEGLARKTLAKPVEIVV GGKSVVAPEITQIVEVRNEDKKFVRLLELLGNLYDDEQNEDARALIFVDRQEAADTLL RELMRKGYPCMSIHGGKDQVDRDSTIQDFKAGIFPVLVATSVAARGLDVKQLKLVVNY DAPNHLEDYVHRAGRTGRAGNTGTAVTFLTEDQDRYSVDIAKALKQSGQDVPEDVQKL VDSFLEKVRAGKEKKSTSTSGFGGKGLERLDQERDAARMRERKTYKTGDEGEEEDEKE EKNEKAEEKFNKVVSQVQSAAALPGVPKGIDLDGKITVHRTERDPASASKNPLDKVGS AVADIHARLSKAGVMRSGVPIDNRGPDAGAFHATLEINDFPQKARWAVTNRTNVAKIL EATGTSITTKGSFYGAGKGPAPGENPKLYILVEGETELSVTNAMRELMRLLKEGTMAA ADSESRAPVGGRYNVV ACHE_30872S MVLNVASRGAGAFRPLHLAKVLFHPRVAFIHGHARRISPATNGL LLNPATKGIFPVGVRAGSLINSYATTAGRGRSKPGAEKKTTKSTKTGKKAAPKKKTAK KTTTTSKAKAKPKPKRKVLTEKQKEAKKAKEFREKVKELKATALEPPKRLPEQPWTVT FQGVYPEAAKNNEKGVASFKAAVELAKSISPEQKERYVAAAHANKASNIAAYDEWLKK HTPLQIKEANHARRRLAQLGKASLPQLHDDRLVKRPRTAYLLYFTERFEHGDFKHMGA KDMITRVAEEWKGLTDAEKVKYQKLQANDIERYRKEYKQTYGQDA ACHE_30873S MAFRMLILALAPLLAFYLYDRFCHIRLKQYAGFPQLKPSLPWGH LKALHEFIARGKTDRHIDIVFLEIKRHLGNAPLFILDLRPVQYVLCVVGNHEVAEQIS KSTKSFPYSMHKSPTMRLYERLLGPRSILTAEAEEWKGLRKRFNPGFAPKHLVTLLPA IMDKAGQFLRNLDRYAASGEAFRLDKLCSNLTFDIIGAVTMGIEFNAQLDEEHQSEFV QLYRELTASYQSGSSSNRSVQWTWLLSPRTALHRRQLDHRIDLLLKKHIQSVFAERQQ SESTGSRKDRSVLSLSLQGADDLDSNILDQTCDQLKSFLFAGHDTTSIVLQWAFYELS RTPHVLQAIRDELDGIFGVDSGIGQMRDKLCSSQGEELISRMYYVSAVIKEIMRLYPP SGTARYMSPGSGFTVQLPDDGGTLCLDGMVVYNCETLVHRDEAVYGDTKDDFIPERWL DSNTHRTPPSAWRPFERGPRNCIGQELAMLEARVIIACAVRRYDFSKVGLGAVKRDGQ ARPELNDKGQYDVESPLYNIMQVTAKPVDGTRMRVSTVGHVGGVSP ACHE_30874S MDPMTSSAGSRTPGPLRVTPHSRLSQKSCHGLADSKYDMVAPRI GSRNLDPWVGLGMNQFPGPSLLNEDDESIAYYALPPPSYHDAVHDLPPEYCSALAPLA QQKCLVHNSAPSRLSKPKSAFNVDFKSPSAIREHKGGKKTKKKPVQYSAPQETPPPNE QPPPDNGKGGGEAGGAGDGNGDDGAGGGGGGGDDDDELGLTATAGKKNKKKKKEEEER LRKEEEERQAKEEEERKAKEEEERKAAEEAAAGKKKKKGKVTTLAEPEQPPDSFQDVN LNEPPPQREAGSDAPATQSKGFSLGGWAGSWLTGRSSKEDSNSNHWSSGAENDTPKRT PGGFDFGNLEQPAVATNNAPEMKQTEEDDWGAFVTTKKDKKKKKKDIIVEEPSKEQEP EPEPEPAPPAPEPEPEPAPEVPPAEEEEPEDNSWGWGLGLLKKDKKKTKRESKMEVPP PAPEPIPEEPTEENAEKVDAWDPPVKKEKKKTSPLDILLGATSSSALNRPLAPKVAGP DDDWSSAWTSSSNQKVGMRGVTRGFVIDEHPTFEEPPPAPEPPPAVQEENDGWSAWDP PKEKRKKKSRFEPDLEPEPEEPAPEPEPEPEPEPPAPTLPDDPLYDNWDNLSSKDRKR REKSLKRRGLPIPGIDFEWPPPVPVPPEAPEPEPEPEPEPEPEPEPAPEPEPEPEPGL KSAPILPDDPLYDNWDDLSSKDKKRREKSLKRRGLPVPGIDFEWPPPPPPPAEPEPEP VLEPEPDPEPVPEPEPEPESEPAPTLPDNPLYGNWDNLSDYDKRRREKVMKRKGLPIP GVDFEWPRPPPAEPQPEPELEPQPEPAPEAEQAPAPSLPDNPLYDNWDNLSSYDKRKR EKVMKRIGLPIPGIDFEWPPPPPPAEPEPEPEAEPEPAPAPTLPDNPLYDNWDNLTSY DKKRREKVMQRKGLPVPGVDFEWPPAPPAEPEPEPKPPAPTLPDDPLYDNWDSLMSTD KRRRERLLKKRGLPVPGIDFKWPPPPAEPEPQPEPEPEPGPPAPTLPDDPLYNGWDNL MSTDKRRRERLLKKRGLPVPGVDFEWPPPAPAEPEPEPEPKPEPKPEPPAPTLPDNPL YDNWDDLSSIDKRRRERSLKKRGLPIPGVDFQWPPVEPLTEPEPEPPAEPEQESPEHE QPAADDWGLWAVPQEKKESRNGKYAEQPPPPAPTPPSLGLFGDPEPIPEHHLGDPYYD EWNTPSKPPKKTEESSKSNGPKGFWATLSAAAMGIKNSTTPEKKDGTPTIPEEPEEEE QNEPKPAEEPLIDVGDEPPAEPAEPVEPEPEAPPAPAADEVDAPAAPPTPTKIPVRSK SSGKTSLLKTLEKARKEKIKEKTPTKSKSRIPELSKKVKAAERDSVPGGFPDAFDDNL EAPPPDPEPTPEPEHGADPPQDPQTEPTPSHAPPPTTLLSNTDKKKKKKDKIVETVPE APPEPEPEPPAEPEPEPEPEPESESEPEPIISWPLFSSKPKKTKKKKAVEPEPEPEPE PEPEPKLEPGSVPAPTLPDNPLYDNWDNLSSIDKRRRERSLKKRGLPIPGVDFEWPPP PVEPELEPPAPEPEPEPEPEPEPEEPEPEPEPILSWPLFSSKPKKTKKSKPVEPEPEP APVPEPEPPAEPEPEPEPEPEPAPPPKRKSKTVKPDPWSVLLTKTEKKKKKKSQPAPE PPPPPPEPEPEPKPEPEPEPEPEPEPAPEPEPESESEEEPIITWPFFVSKGKKKKPKP AEPEPEPKPEPELESQPESESKSLGEEPEPEPEPEPEPKSESEPEPIISWPFFMGRSK KKAPKPAESEPEPEPEPAPDPEPEPQPEPEPIQTKAERKKKQKARAVEPEPEPEPEPV PLTKAERKKKKKSKFAEPEPEPSPDPEPELKPEPEPEPKPKVEKKKRGSRIVEPPELE PEPEPEAEPEQVSESSSESEQEPEPEPEPEPESEPEPEPEKPAKRSKRSKKTATVREP PAEDTQPSEEPEVEHRAPPTPPPEPEPEKRKKKQRARIEQPDAKPSRRDSKYRDAETP RRSKSNRHSKSRGLENEGKMSSGSEIEQEERERQERRERHRETKQNKEMGFSNVFLRE RPQSFGRSKSYRRHESRRPSLELDEPRIPSPPPEDRPMVSDKVARMMGLGGDANGGID GRSKSRKDKHASKRRSRAHSYGNRDDDMVIVNEEDAEGPSPRDSRESRNPKRRHSRKS KPRPDDPPDDVAMGDADQGPEVVSGPDDIAFVEPPPRERRLKRSNTVPKKEGLMGFFQ SVKQKATRQEVPDRRKSRHYHRDEDKYATDPERDDRRRRRDDRRKRHAREDTDAEGFA TERIPGDFPDPEAEEAEARRAARRARRASRYGAPDDMEARDAEERRARRKEKERAARD AHERQLREEEEEERRREEKRARRAAREERRAREEDGAREAEERAEAKAAERRERRRMK DEKMTNGMSRHGTKSRRHRSRAGDRVPDELPPEEYQYHTEPELQPRHYRSHRSVDEGA KRRSRHRSRGPEPPNDPPPMMSGGKREKISSWVHSQADDPPEPPPVVPTLVDVPPPPD EPLNTHSISSDEEARRDLRRRARRRARHPDHEIEERRPRRRDEPHPEHERKSSSGSGD QHGAQAGIGRIMPGWLKRFTVG ACHE_30875A MVSCLMITPAEVLKQNAQVIEKSGGQQTAAIQVFSRFRHKPWKL WSGYAALVGRNLPFTGLHFPLFEYVRSHVVDWRQEEKRKDKGGNGGELGRIQEWNPVL ERAVLTGFSAGLSGMIASIVTTPIDVIKTRVMLAASNGYVSGGEGGDAAPGPDTMKKI GTRGTIDVGKEIWENEGIKGLFKGGLIRAAWTAVSLGLYLSIYEAGRFYLENRRKDRD GKAQEKEGEGVI ACHE_30876A MAEKGAPLAAVKVEALVAMKIIKHGSQSFPTTATGSIVGMDVDG TLEITNCFPFPVVDLPPESHFDTTAPNPAAAAPRAKANVVYQAEMIRMLREVNVDANN VGWYTSANMGNFVNMNVIENQFFYQKEMNERTVALVHDVSRSAQGTLSLRAFRLSPKF MTAFKENKFTAEELQKSNLRYQDIFVELPVEIHNSHLITSFIHQLQTPNPSGPTDLPP SLAALESSPYAKSSVLTPNFDNLSLNVDPFLEKNCDLLFDSIETHHTETNNFQYYQRA LAREQTKINNWQQKRKTENATRATLKQPLLPEDEWQRLFKLPQEPSRLESMLNSRQVE QYARQIDGFVSATTGKMFAVKGNLLPGETAK ACHE_30877S MADQLVEETLRYGSHSLQTITVATVPNGLATGLWVVLIHGGAWR DPTQTADSFLRPAASILKSNPTYSTVFSRITGFASISYRLSAHPNHPQDPQNTDAYEL RNVKHPDHIRDVQAALRLLQNTYGFGEEYLLAGHSCGATLAFQCVMDRLQLHDEEEDI AQPKAILGTEGIYDVRLLRDTHKHITAYQEFSEGAFGSDESVWDAASPAVKGEDGLSG VERGWKSGLVAIVAHSEEDNLVDRGQANAMTNVLYRWEARKEQTRRVIWLQLRGEHDE PWEKGDELARAIAATIQELSRNVIS ACHE_30878S MPLVIPEVSKGDKGEWLSKLAGKKISENTSDVNTFAKTDLPQDH RIIKPNDPVTMDLRPNRLNIHLDEQGVVHDVGFF ACHE_30879A MSSGFVSAGTNEEPVERDDDWQRVQRELEEERKRKADLSKQDGG KSLYDVLQQNKMAKQEAFEEKIKLKNQFRSLDEDEVDFLDSIMESTRAQEAAVKKDTA EQLEVFRKQREEAEKALLEDGSANVVPAAEGEDWKIPGRKRRREKKKDSLIPGKKRKS TAEGTSEDSPQTEKKAEGSQPAIKEEQNTERVSAKSGPAAPQKEPQLSPGSNKPGKPA EGTKATNSKPPETPKPAASLGLADYGSDSE ACHE_30880S MSNLHTQKTYTLNTGAKIPAVGLGTWQSKPNEVREAVKNALLAG YRHIDTALAYGNEAEVGDGIRDSGVPREEIWVTTKLDNPWHHRVPEGIDSSLKSLGLD YVDLYLVHWPSSTDPNDLKKHLPDWDFIKTWQEMQKLPATGKVKNIGVSNFGIKNLEK LLNDPSTKIVPAVNQIELHPNNPSPKLVAYNTSKGIHSTGYSCLGSTDSPLYKDPTLL KLAEKKGKTPQQVLLVWGIQKGWSVIPKSVNKARIEGNFQLDGWDLTAEEINELDNLK DRFKVCGDDWLPIKVFFGDDE ACHE_30881S MMPSSGHLLLPKFWRAARFAYEKAYKAVRSKLPEPTQQILRVQP AYARITPRQPINRAAAIRQARSRPFSTRAASSFISYIRTGLQGERAAYPPSRVATNVG RLTTRAPFASTLRPNLTGGTLGRTAGGYAYGAGRIGGQRFFSHGPAAPAQVINNVSAG VRAFFLSGQKLRFDGIDPISGNKRYKAVSKIQDQAERQMTAIPRTAPGSHIDFQLSPT ITAMAGVQKKFTSVDTADAETLNSEGLMDMLSVDFARALKDFAAVLNDLKRLSSLGDL PIELHDRSTIRVRFPGCDAETVERICDEVGVQRGRIIQDEDFDLHAGADLALRFPFAP SVPASPEMECFFQSEASQVPEEVDWKAMMSSENKSASSRGSSKQIKNEFVLAEDMFGS NPWKSASPSEYSSINISDLGDRAYFPEISSAGVPSSTSGYNSVEGIQKFIAECDRAAV RQ ACHE_30882S MMEDFNSETDSDYTSYWRDWFISSRGNEYFCEIDEEYLTDRFNL TGLNTEVPYYQYALDLVTDVFDLDADDDLREQIEKSARHLYGLVHARYIVTTRGLSKM LDKYKRGDFGKCPRVMCDGHPLLPMGQHDIPNMSTVKLFCAKCEDIYNPKSSRHASID GAYFGSSFHSILFQVYPALDPEKSVRRYEPRIFGFRVHASAALARWQDRYRADMIERL EGAGIEVKYLEDFDFEGESEEEDDFDPLDTRGEGVVGDTASGRMDLGN ACHE_30883S MRTFIFIILAGLLTAVVARPFQREPSPAGDAPVTQTLSLPSTLV FETSTSATLPDGTLAGETGYGVYSLLVSQKRVKPTSIKRIRLVKRQEYHILPEPNDQA VQTTSMSVNETASTNATQTTSSTNSTKTADDPPSTTPVNLVPHWNTEKHAIAFAVIFI IVVVVAFVVLLVLYLKKVKRFFQRCRRDKKSLLPKYDGVTIDGDEVSSIAPLNKESKS DRDAYSFEIDRSLPRSPPPEYIVEEDPEMGSVTRVYRVSRAECNNNFSRRLSAFYEQE QENEEQENEEQENEEQENEEQENEEQENEEQENEEQENEEQENEEQENEEQENEEQEN EEQENEEQENEEQENEEQENEEQENEEQENEEQENEEQENEEQENEEQENEEQENEEQ ENEEQKNVVGMSRPALPPAGKLRESVQKPVLIIPKPLNPLNRVRSFSATTELQQYIYE PVSRASQDDGELPPRNSTTSESRLTRYSDPGPFDKPNRMSFLPRIQRSSSPLFTFDDE ACHE_30884S MSGSDQVTRRLRLYNRILQFGSPVEPRCEFCFLRGHTCIMDSKY QKCAECTRRGRKCERQFHDEKEWNRLEKSRKELRDKIRKVRESIATSYATLNRLERQE EYLNERGSRMLVHDSNMLERLDEENPPSAEDLQELERSANEEAARIAAVSKDLSLSQV MDSPSFWENFDSAVAGGIPSPTGGNQSSSR ACHE_30885A MPSQDVTGWQIQTLQANPQALEEAKGDWQARTESRPCLGDSCSS EDLAGEATWIQEALTAVLNRHAKQLRVTPLSKRWWGTEIKEARRTYSQARRAWQGQEI STTELREVRNNYYRAIRRAKRTCWETFLEGTATHPGSVDTVRCWQALKYTKPRTATTT PTLHGPQGQLASSLEEKEALIRETAFPQVPGDSQEVEISQGSWHSQVDEGIVRHALFY QAVQKAPGIDRLNFRALRLFVTDLTALTSRDNAGS ACHE_30886A MHPLNPFLRAFFRSTVPGQCLPIENHVLLVPTTECLTGSRDRES NLYYSDIVGSEDFLGSHVLRIPLSAGHAGGKDDSNVRDSRGKAKQVSTVNGRTVIIKE SSVYSNKGFKSLTQAQLLSDALYYAPNNESQPWLVYYISRPLIGSFDPGKIISAAVPD MLPRNVESDKETTSTGPVSSPPKKVIRTFGELLLNFPMIARQMQSGLDRLFREFGKEL GKPLPPPPSRSPMLEDDERLRGRTELKADETASNRSLSAFGQEPLPFNSGEYFEDDED LMRRSLETAVTAAIDLFRLVDKQQLSFLGATTDLTGPLVERLIERYVAEQVHEPLLFP RLCSFRKSEDADLDYRIRQMESVDVSQVGITVEGGRDGKRELLQRLGRGVEVFRKMLE AKCPHDMLGTLLETVKVISFPGNYDKAGASASEKKTAPMMVNADILVSLLLVVVIRSQ IRHLQARLLYMQHFIYIDDVESGEMGYALSTFEAVLTYLLTDSAGLRRASVRNRRLWN ATKAGKVSDMKAILEPGTDHESLDDELPVEREGKTVLFKTNEDDEPPRDTSSVHSVPV GFSEDDEPSSSHIEDGSSSEMPPLAHVFPFQTWTGNTSQKETRRPPKRVSMDVRSLSS SSAVSFASRTTTIGSMTSTIEGDSSIETLTKTQDPAGYSIPMMAVESRQPEALEYLLS LEEYYPLQDILTDTNTEGTTLLSAAVQLGHTEVVNILLDFLFRSTDESTLVSYLKKSD VHGRTVAHYLFSTPSVISTLGKLLPWKQRDKHGQTPLFALCRSYDHPDYKFMINDALN AAQDAQGDGKAIRLDDHVDSRGNTLLHIVSDPEVTLRILEGSDCDPNATNEKKFTPLM TASKYGRIDQVRLLFMDPRVDVHLKEIRGLTAVEIAKDDEVRNRIDDLILLSSPPSAY DDPSGRVTTVVRSFFVEDATVRFVLKSGAPHSPENEAESRPGSATYTVTTCRRSFSDF ENLAKGLSLEHPASYIPSLSNFRSPFQIHSKPSRAVLHDMQEKLDRFLKILLTHPTFS THEMLWEFFLVPELHPDMMADRSLQKAAALSETIAEDYAPVTLEGMREAEQFVTHAQE VVRAVHANTRSTIRRGHSLHNATSDLADAVTLCTSVLSTLKEPTNALPPTHIDAFARY AGFLSTSTSDSSPLLQYLTALTSIDNTTAAILSALSRPVNLMSTLATTNRTLSRTHSS LVSSSLPRRFNLSFPGLEESRQKSVRDLENKINEYEAQTAQLSREITWNKDVVVSELA GWTSWKEKVGRDAIKSFARDMLVRERERGKRIERCLRSVRDIGS ACHE_30887A MNSQFTSALARALPSIGRQCQLPPSPLRLVRPFSSSAPRTYESE KQRLRATERQLFDAQNDQSAEGSSPIATLTQMMQGQEKPPAVTEDFEHMRENLESALI KHPYGDKQPPHHLHVYAHKHNTILTLTRPNGDPILVRSCGHLGFRKSQRSGYDPAYQL STHTFGLMQEKGLLFDIQRLEIVFRDFGPGREAFTKVLLGNEGRNIRGLVSRVTDATR IKFGGTRSRKVRRLG ACHE_30888S MIPATRMSATARAAILRFQLSGLKINAVATQQLLNRAYTSTALN AAMNARTTVVNRPVSQLVSPYTALPLTRSFHASTVQWQQQQQQKEKQADEAKEEGSKE EGEGKGEGNEEGQKETPPPPPPHGDKSPWQVFTETLQTEFKASKEWNESTKALASSAH EFSENERVKQARAAYEASRKAASSRTSTAFKTTGQAIGKSAAWTWNTSVVKGLRKGVN ATGSGIEKATRPVRETDAYKTAVGGVKDAIDDGSSSRYGGWIEKEERRKQRQLREEME AKSGRRSTGPMVEDPEAGTNVTVHKDAAWKDSWREFRDSNPMMQKLFTMKETYNESEN PLISTARSISDRVASFFAENETAQVIKKFREMDPNFQLESFLREMREYMLPEVLDAYV KGDVETLKLWLSDAQFHVYAALSQQYTTAGLKSDGRILDIRGVDISNARMLEPGEIPV FVVTCRTQEVHVFRNAKTNELAAGMEDKVQLVTYAIGLTRIPEDVNNPETRGWRLIEL QKAARDYI ACHE_30889A MAPNDSKKRLALAVIDFLGSSLKDGTLTADDAESIEIAQSCIAD TFKVDPSDEAAVKDAVGGQSLVNIYSVYEKLRNRPSGDASTSASASSSSAPAPAAERP TPKPGVPTPESDKLKAEGNAAMASKDYEGAINFYTQALEISKANPIYLSNRAAAYSAS GQHEKAAEDAEYATVADPTYSKAWSRLGLARYDMQDYHGAKEAYEKGIEAEGNGGSSA MKKGLETCKRKIDAAQGMEASNAGNEGARGAGAGAGGMPDLSSLASMLGGGGGGGGGG MPDLGSLMNNPMFANMAQNLMSNPDALNGIMNNPQLRSMAENFGRGGGMPDMSALMND PNIANMARNMMGGGGRGN ACHE_30890S MYWPNGVPRVYAVNGPRIPPALFDEDQPVDHENENSPEQNESVD PADNRPESKPESWADEPISGLCVSRSGHLFATMTDSSIAVWQTKPTAVVAAVARSATS MKTYGPNVALLMRPDSTILAVQTLNGYLLTYTITSDPNSRVYQQHFDQSTQSRRQQLA RLSAGEEANAIREINIRFRMAIKIESGIVKALALDNELVVATVKPPAIQCIRWTPDAS GSQTSSELLGRILGVSKKTTIADMVFDRAMNLLIWVTNEGQAYGIQRISEQQNEPEAT RKLFRGHCFHDPKDDGEKAIRVAVNARFSLLTVSCVNGDVLVYTAKDYMGNVPLSQKL QPPASPSTTGGLTFMSYSPDGYCLFAGYEHGWMTWSVFGKPGGNSFLTDRPHTAANAE DWLSGVSNGCWIGGGSDIILTRQGDRRLWILETARSALTGCFSSANLARGLLQTSTEI ILYRGHDLPDLMTISGKDSLWHHAQYPPTYLHSQWPIRSSVVSQDGRYVAIAGRRGLA HYSVNSGRWKVFEDPRVEDSFAVRGGMCWYRHILIAAIDSDGSYELRLYSRELPLNDN SILHIEYLPSPVVFIGPSGEDSLLVYTYDNVLYHFIINSTHSHITLVPVGQIAFNGIV RAPTRVRAISWVLPEDQMRNGDPSQDVKLASVLLLVDGNLVLLQPSVSETGDLKYDMR IISHDVEYYILMRDQFAFDSSAPDVSLPPSPSADMAFKMYSTNQSLRDSLWTFSGKNL LAWGDVKEVLQLEEVPKPIEVPLDFYPLSVLLNKGIVLGVESETIQRRDVTFAILKFA IRTHLFLPHFLQHSLVQSDMPGALSLSQHFSHLSYFAHALEILLHHVLDDEVDNESRS SKTSDPSQKHQPLLPYVISFLQASLPTKTYLDIVVQCTRKTELRMWRTLFTYLPPPRD LFEQALKLNSLKTAVGYLLVLQAFEDELEGDDAPIEDYVVRLIVLASQRSDWELCAEL ARFLIALDASGDMLRRAVARAGLRNANGLPGNGMNGSGTSVKGLGLALPIRTPSWSSI SPTSSPIPMPSGQLPSDMASNASVGNVAGSMESGVDDL ACHE_30891A MFKKDIAPSTKSKVKSSVQRGLRQNFLDSYPGFEPYIEQILPKK AQLDSIKLPEKSTLYTIDSQPLFYQPQDGPPIPHLKLIHAYPSALPTIQIDRGAIRFV LSGAALMAPGLTSPGGRLPDAEHALEKGTIVAVMAEGKENACLVGALKVGTEEMKSKG KGVVMDDGHYLGDGLWRMPLD ACHE_30892A MPPKKAPVQEKVLLGRPGNNLKSGIVGLANVGKSTLFQAITKSS LGNPANFPYATINPEEARVIVPDERFDWLCSHYKPKSEVPANLTVYDIAGLTRGASTG AGLGNSFLSHIRAVDAIFQVVRCFDDAEIIHVEGDVDPVRDLTIINEELRIKDIEFVE KALENLKKQTRRGGQTLEMKKLREEEATVARVLEWLQEGHDVRKGDWGPKEVEVINPL FLLTAKPVVYLVNLSEKDYIRQKNKYLPKVFEWIKENSPGPLIPISASFEERLALMSD DAAAEEECKKLNTKSGLPKVITTMRQALNLSSFFTTGADEVRQWTIRKGIKAPAAAGV IHTDFEKTFIQAVVYNYTTLREYGDEGAVKAAGKIMTKGKDYAVEDGDILLIKAGAAK G ACHE_30893A MSNHSGTIHTVRFSPNGKYLASGADDKIVCIYSLDSNPPSHAST FGTNEAPPVENWRTIRRLIGHDNDVQDLGWSYDSSILVSVGLDSKVVVWSGHTFEKLK TLSIHQSHVKGITFDPANKYFATASDDRTVRIFRFTSPQPNSSAHDQMNNFVHEQTIS APFNNSPLTAYFRRCSWSPDGMHIAAANAVNGPVSSVAIINRGSWDGDINLIGHEAPV EVCAFSPRLYSMQPVTKQALDQGGAHNLVTVIACAGGDKSLSIWITSNPRPVVVAQEM AAKSISDLAWSPDGTCLYATALDGTILAVRFEDGELGFAMAMEENEKSLTKFGTNRRG AGITETTDGLLLEEKSKADEIKGVEGRMGALMGDGHAAAEGEMNGKPAAAAPPNGTPA RAPSPAPDVQKPNGTATTAAAGATEPEKPDPYQAKLERLKSRPTYTKEGKKRIAPLLV SGAGAAESSLPQARLMASISNNQGKSDAPQSIVDLSKPFDGLPKGGLAALLFGNKRKL AQLEGDEDGHVEKRVAFASQNGATPILAATPDGLLPAQQQPAVTGQQPTPEFIRPAVM NPCMAISQLRLAVPKIRSQILRAIDENGKPTEPPSTISTPGESNKSRIDVVFEARNPS PASLTGRAVDREPVRLTLFRGEQPLWQDFLPRSVLLVTGNQSMWAAACEDGSVYLWTP AGRRLVSALVLEAQPVILECHGPWILCISAVGMCYVWNVEHLSSPHPPVSLQPVLDAA LHSLGTHPTAAPAITNARINSEGRIVVALTNGEGYAYSPALYNWQRVSEAWWAVGSQY WNTTEAPVGNLQLKESQQDPEARKAVSAGIIPFLERNTTNETLLRGRAYFLQRLIKVL LSREGYESFESSVSIAHLENRLAAALSLGAKEEFRLYLSMYAKRLGAEGLKMKVEELL KGLFGGLFEEDDETGPQRRLQANEKEDRNWKESSDTLCGWPREVLLKEVILALGKHRD LQRVTVPYAKLLGVVDEEMESGDAMET ACHE_30894A MSTSTHSTPRSRSDDSRSTPVTEHSDSARFQDVAVVGMSCRVAG GIQSPEQLWQALLGQKVASTEMPPKRWAPYHNRDPRNSKVMSQVPNRGYYIEDIEGFD CKFFGISPKEAEQMDPQQRVSLEVAWEALEDAGISAKTLGGSDTAVFWGVNSNDYGSL ILEDLANIDAWMGIGTAYCGVPNRISYHLNLMGPSVAVDAACASSLVAVHHGVKAIVG GESKVAIVGGVNALCSPGLTTVLARAGVISSEGQCRSFDDTANGYGRGEGAGAVVLKN LSQAVHDGDHIMAVIKGSAVAHNGRNNGIMAPNAKAQELVAQKALNTADISPHTVRYV EAHATSTALGDPTEVSAVAKIYGIGRPSDDPCYIGSIKPNIGHLEAGAGIMGLIKAVL AVQKGILPPQANLQTLNSRINWHEAGLRVVQKPTDWPNPDELRRAAVCSYGYGGTVSH AVLEEFPQYTKPSASDGENIHSTGAQLLLLSAPQEQRLASFAESLSSWIRQQGSELDL AGVCQTMATRRSHHDFRTSAVVEDIDGALAALDCIQQRSSSDPWTAQSRILPSNVRKD VVWVFSGHGAQWTDMGKELINNPVFFDTIQPIDAVIREEIDSSPIEWLQQGDFELSDR VQILTYIVQIGISAVLNSHGIFPQAVIGHSVGEIAASVVAGALSPVEGAVIVTRRAIL YRQVMGYGAMALISRSSEDVCRDLNEKDTSTVTVAIDTSPSSCVIAGPKEDVASIIQQ YQEQGVKTFTVKTDIAFHSSAVLGQLGDPLSVALQDVLQPTQPTVQLYSTSLSDARGQ DTRDTSYWVDNMVRPVRLTSAVGAAIEDGHRRFLEVSSHPVVSHSISETIMDRGIDDF TVLPTLCRNQPAEKSILHAVGRLYCSGADISNSKQHVVSKWIQGIPMSPWMHRPLWRE ATTTGTADMHDVDTHTLLGKRTAVAGTEMVVFSTTLDQETKPFPLSHPVSGTEIIPAA CLINTFLRATGKSTLQNINLRVPVAVGTPRNIQVVVQQDHQVKLMSRLIESEQSDESS WVTHTTGHSFNTQSDATAEVRFDIPAVKTRIGAQLRDNFTIDYLVKVGVGAMGFPWTV TEHHGNTNEMIARVDVAPGVGSINAWNPLSWAPFLDAATSIGSTIFFDMPRLRMPAQI QQVDVFTEQNPPKVGWVYVQQDTSTEYSSHVHILDESGTLLAKFTSMRFSEIEGTPGT SGGNIAGLVHRMAWTPALPAEKPIRVEKAILISNDASMTDIYAKTLPAHIRSLQLSAA VDLGNQLPHDFVPEQGTVVVYIPHEVHSLQEVPVMTEHFTWQLLEITKFVAQKSLPVK IFVLTDDVTRGETPTALAHAPLLGLSRIIASENPDCFGGLIDCEQRVMPLAAMKYIQG ADIIRINDGIARIARLRALSPEDRNHQPSKSLSRPEGTYLIVGGLGVLGLAVAEFLAE QGARRLVLASRRSLPPRRTWNDIHDSDLQSTIAKIQALEQKGVSVHTVSVDIASTSAS SLLGALDRLSLPPVLGVVHAAGVLENQLIVETTQDALNRVLSAKVSGTLTLHEAFPPK SLDFFVLFSSCGQLFGFTGQGSYASANAFLDTLATHRRSLGDNAVAFQWTAWQGMGLG SDSDFVTAELESKGITDVSRHDAFQAWLYLAQYDMDHGVVLRSRVFDEEEPLPTPILN DIAIRRASESSDGNQSKTGSKPALPSSGLELKTYLDEQIRTCVANVLHLSADEIDSKA TLSDLGLDSVMSVSLRRQLQQALKLNVPPTLVWNYPTTHHLVGWYVEKLAQ ACHE_30895S MAENGTHKIGKRLMANVVDDIAEGDPERTVCIMPEKTDSSESFV NLNFRQLAHAVNYMSWWIEKSFGRHNSSPQETLTYLGANDARYLIIVMACNKTGYQPL LSSTRNSQAAQLRLLEMTDCSKLAYSSERKQKAEEIEECRSNLKSAQIPSLGEMLASA TELYPFTETFDEVKDDVAFIAHSSGTTGFPKPIHLTYGYFGALDHGRYVPIPEGRTAG VPDRLTRNDLILSTTPFFHMMGFSLMVMTVFHEIPCVILPEKPLSTDLLTTTLNATKP TAALFPPCILEDMTASPASMDALSGLRQVYFGGGPLSPDVGRKVSERTELVSFLGMTE GGWVLSLVPEDKEDWSYFEWSPTFGIKMESIGEGGLAEMVLHRHERPDLQPIFHTFPD IPSYHTKDLYSPHPTKPGLWKFHGRLDDVIVLNNGEKFNPVTMEKIIEGHPLVARAVV VGLGKFQTALIAEPNWNQEVPGESQFIEEIWPTVQDANHISVAHGRVMKDKIGVATRE KPFSTTPKGSTQRRLVTDSYQDEIEALYNKRASADAWVDAISGATELSDILQVVRNMV SEAISLSPAEFTDKMDLYSIGLDSLQTLQLSTILQVAGFRTLTPQMIYANPSVDKLSN LLYDIVHGVQTEENATARAERVDALVKRHTDDLRQSKASTNGVSNGINGTGKRHAVIL TGSTGSLGTYILNDLLNDNSVSKVYCLNRTTDAQERQIQSFKQKGLTALESPQANKVE FHTISLHKDHLGLPSSIKYTEMLSTVDIVIHNAWRMDFNISVDSFQDQIQAVRRLVDF CTHSTHHAHFYFMSSIGAIGEWKRSDGSTVPEVPFESCDVALRQGYGEAKHVCERICL AASKKAGVPTTILRLGQIAGPTTEAGMWNSWEWLPAIVQTSKTIGRIPRTLGAAPVDW IPVDILSTIIQDLIHTRQIQASNSCAVFHLVNPTRTTWEALLPSIHKAYSNTAIQTVD MKEWIEELERIEKPSAEDLATKPALKLLGFYRSLVHGDGALSVPLSVERTKEASVTMQ SMGPISGELMGNWLRQWQF ACHE_30896S MSQLPPSTYSPSSSAFVMNPALNADTPPPPPPKPSSHDVSRNGT PQTNPALPVPSPQTYQDGYHSQDRARAQSVKAAQSPAPDNLPRPPTVEEGWLPDIVRD KSTTDLQTILQDPSLISALSSHHPSHTAHQQHLESLLKYNRDLATHLLDLQTQLADSR ASTESLLLTHQSLEVSWRKKQTEMDAALAPWSPRALYQRLSASISEQEAICQAVEESF LEEDHHGNVTEKEVGDWVRRVRAEAGRLAARREAKARWDEGRVGGWR ACHE_30897A MRNITPNRLGLSYILDWIIIIIIASIGYTWSNQTPIHRPFSLTD PSISYPETKHEKVPFEIALILALILPALVIALVILLRIPGHTVHKDTPRSIVWRYKLW EINAGWLGLALAVASAYAAAKGLKLLFGKPRPDLLARCDPDLENVAAYVVGGLGQELP GAPVLVTSEICRNRSHGLTKTGFVSFPSDHASLSFAGLTYFSLWLCSKFSITFPYLSL IPPNHWNSHEEQTDSSEPNPHPESALSIREQGAAPPIYMLIAPTIPIAVAFFIVASRW TDHRHHGIDLVFGSAIGIFFAWIAFRLYNLPIRRGGGWSWGSRSRRNAFFKIIGVPSH VGNDNWAAERVESGQLALV ACHE_30898A MPTKFKLNTGAEIPAIGFGTWQDPESQENAVTEAIKAGYRHIDT AQGYGTEEPIGKAIRSSGIHRNELFVTTKLWHNAHHPDDVPKSLQGSLDRLGLDYVDL LLIHWPVAWKRGDEPFPKDSSGNPILEDIDWLDTYKAMEKLISTGKVKAIGVSNFSKA EMERLLQNAAIPPAVHQFEGHPWLQQHAFADWHKSKGIHVTHYSPFGNQNELYSGAGH LGKLINEPILGQIGEKHGKSSSQVALAWAITAGHSVLPKSKTPSRIKSNLEGDFKLDA DDMSKIQQIDRKLRFNDSSGEFGRDFFQDLEGTSV ACHE_30899A MPIRLEGSCQCGGVQFAVDSKTPVPYQLCACSICRKVGGYGGSV NLGGIANSLKVSNGEEFIKKYSAIKARGTPDEQRCSSERNFCSNCSTMLWLWDHHYPE LIHPFASAIDTDLPVPKEMVCVMGGSKPEWVRWPEGAKSTHETFGGDSLEGWHKKHGL FYD ACHE_30900S MGFGSPGTGATNYKPSPPERGSFPLDHEGECKHLIRNYLKCLKT KGGVNDPDCRLMAKDYLGCRMEKNLMAPDDFKNLGLIFEKQESQGRDSAGTGSGENGG PKA ACHE_30901S MSSIVRPPDPCLIAIILIVRSRAGPRFVFHYPPNPLSENGLRPP ASKTRRASRSKSAQGNKSNDSSSSDETGSTSDDEEEENQSHLAGSGMSTTRRMSNFGV EDRHHHSHPLSASPMTSTSAGGGTGGGGSGPGEASQSQRPGSLGSGRALFRKRGPNSD AEDDTGAGSERQEDSSPTNGPFIPPWETLLGLPADVWEKLLSPSRSWHKRRFEVGIND LAFVGWPVFVREDGTWRKQRRKKKSKRRSEAYAKEGESHDESADDRAGREEGIEAMAD STDTLISPKAIGSPELKRTSRTSSKAARNSGGVVDGDDKDSMTMFNVVFVLDPPLLEY SIRLREVYDNIIKKFAKALKWEQARTDYVWKEAQNISHFKEKAKEKRSSVTNLYSELI SHSSLARAIYTLYTSISASKIASLSLSPDVSISLQIPPLTSTHYLPGPTDKAYPGLWL TTADSVTPVDDPTADENTAPHQVLAKHFALLLLDNEASIIKDVEASASALAPALVHYI RCSKPTQSFAQISTASGIPLSTIQMLASHLVYWRRARAIPPLHHRDTYIVSPNCDMSK LDVATAAFHQAFPTCPSLPKMLSALSGTPRPYGSFIPSKDHKDTYFNILAWLLRGGWV TQLRTFGRIKVSSEIKMAVERAVRKEQMDKFLAETGPNSVPEIPTENERDEHVDNDSS SSSSSSLGSQGSGDETPMPGQYHDPMADKMHLSHSLMDHNMPLRTASLILSPHRASPL ESRWLEELVSQFPDDGEQSVDASHNSREHAGSQISLRKAWPTFIKYFNGYDALEKIPV REGLKRKPTWQVLTRLGLAAGQSHVELDPREQVLVAVRHW ACHE_30902S MAATFSYAQAAKGLPATQSPASKPEEQNTDSSAQANEAPATTET DAPAEAEKTIAPSDKEAEPAAAANANQTISGMSSPSVANSSTTAPKDEDSNTVNGSSE STWDKQSQVSGAEKPTEEANEKKDEKKDEKKDEKKDVPPKELKAAPLPSVNVWQQRKE AQEAKAKAVAASKPASSKTGASKTASAASSTTGEPQQELPKAALKKKGADGAPDGAKR NKADGAKGRDEDVPPVADASMWPTPQVAQGEEKKKAQEKLEKADKTEKTEKSAGGRHG KEKWMPVPYVPSAVFNTPLPTAGARRGGRVARGGREAGRHGPHGAAAAAAAAEKTASN QASQGAAKQTASEPNTSRANSFPSKRSNSAEAPVGAPDSRKGADRSRGPKGADETNVG KQVNGDSFPRHGKGFSRNHESAHKGDRTPLSVDPQARNGVTQDRRFENGPKSADFGGF HADRENHHHNHHRERGDSRPERGRGGSHRGRGGHSGHGSQAPFYNPMQHSFVHPKSFG YNKSMNNRVPLRSPSLPNSAMYGVYPFPADINTMYAAYQPMPAGPMTAFPYQPYMEPF SLMSMISMQLEYYFSVDNLCKDLFLRKHMDSQGFVALNFISNFKRIKTLTEDYELLRH VSRQLRNVEHYIGEDGVDRLRPREHWAQWVFPIDQRDPSAQSEGPPPSRVENSPAQNH VEGAVNGVSHHHSLPNGTSKTSLSSAAPEFSPSKPVAPQNEVANAN ACHE_30903S MEVALRQPARLLQTPSNRLVRQPQSLRLYTTLNRTPRPRHVSTT PRRTAPESSLFSLGSGSGGHGGIPTTYFANRTSLPANTVIRFVPQQTAWIVERMGKFH RILEPGLAVLIPFLDRIAYVKSLKESAIEIPSQNAITADNVTLELDGVLYTRVFDAFK ASYGVEDAEYAITQLAQTTMRSEIGQLTLDHVLKERTTLNANITQAINEAAREWGVVC LRYEIRDIHAPEGVVSAMHRQVTAERSKRAEILDSEGQRQSAINIAEGRKQSVILESE AKRAENINHAAGEAEAILLRAQATARGIEAVAKSIEEGKENAHGAVSLSVAEKYVDAF SKLAREGTAVVVPGNVGDLGGMIANAMAVYGKVNEGQAKSIAAKAIGVQEQTSNEAPR ENSEDTSNQQDDSLKQ ACHE_30904A MSQSPDIHPTTTLEAGQEDKQKIQDPPFPDDIQKAETAPYRQDV FGDEEHAEIKYKTLKWWQCGLLMVAETISLGILSLPAAVAGLGLAPAIIILISLGLIA SYTGYVIGQLKWRYPHISSMADAGEVLMGKFGKEILFGGQILYLVFLMASHLLTFSVA MNTITVHGTCSIVFGIVGLVLSLGLSLPRTLKNMSWLSLASFISIFTAVLLTMIAIAI QNPKPSITATVPTNLVTGFTSALNISLSYASHNIFFNVIAELKNPRDFPKALTLLQCI DITLYLVAAVVIYCYAGDSVASPALGSASPLISKIAYGLALPTIVIAGVISGHIACKS IYTRIFAGTDRMHKRDFTAVGSWIGVAVALWVIAWIIAEAIPVFSNLLSLMITWLIMV KDGTLRQLV ACHE_30905S MIFESRFPLPPVPSTDVFNYIFRHGRRSYPWSRVLYRDDKAGET LTLAELEEKSRRFADVMRSEYDIQPKDVVSILAKDRIQYPIAYFAALAAGATVALIPV QQEMSEADIATRLLESHVKLLITDSDLLALAEVSASLADAIRIITLNHTEGQVWASLE TLLPRGRPDTDFFRLKSRVEAAEYDAFLNRTSGSTGKVKSVLTSHAHFIASMEGTIGT IPDNTNPKHDVWLSPFSLGFFINAKLHMGLNILLGIPVVLMQGSLDETTVDVIGRHRI TFLFLSPPVAARLARADLRGAGVDVSSVKWLLTAGAPMHENLRKTVSEQFNGVHLDLE WGTSETMLISIQCNEESRCGGFSGTLVNGIQARVISMRTGQDLGPGESGEILVRNQLC RFRGYQDNEKANRDFDEEGWFRTGDYGYLDKDCNVFIVDRIKELLKVGEGYGTHISAV ELEAVVFEHPAVASVVVVSIRNEFTQLDEPYAFVIPKPGYVRRRQLLIKLEQEILEFA NTRLTGLRRLTGGVRCLSHFPTTGFKINRRALKQMGHAASVQMANGMALPAVQAPVQS V ACHE_30906S MEAPLQPLPVQPPLGNQPQDEFSGTERVYLLPHHQNEIERLKRQ HFFIKAATDDKLIPIDLPTGARVLDSGCADAHDWKTVLANLANAVKPGGVLQLVEAEW VLDSYADELVQRKKLAKVQEWATGSSGMDLHIWKKFSDLLSLGFHDMKIQTYPLGYGA TPKRPQDCIWTAELLPQSFRHLVRKIPAEGIPGVAQTPQEYLSWLDDLVEGIKQIVYT PKLRWLTARKA ACHE_30907A MANPSDLPVINFNSLNEKDAQGQRTSASLSERHHLFLSLRDTGF AYLKHPGVNQTTVDELFSHSRRFFAKSLEEKMEILGKMDKGRGPSQGYSNPLQLAHNP KTSDLKEFFGMYRDDDIEKPNQWLEDAESRAMRADLVRFFDSCHGVILELLSAVAEEV GLPPETFHSFIGEKNHFIACLHYPATERESFQTRVRSAPHTDYGCMTLLFNDSGEGLQ VLCNTGEYEYVPRKDDCAVLNVGDLLSRFFNGALPSTMHRVVEPPATRSSDPQVEIVP DRYAIAFFGHFNLDLLIKPLDALVSATNPAKFESVVAGEHVKARVKQLHVAGHSLKEE KGSESRVPSVSA ACHE_30908S MFPDQQSCLFELVQRQYQLQTTAPAVCARDGDMTHEELERYSSR LAAYLQTRGVGAVSFVPLFFEKSRLTVLCQMAVMKAGGIFVLMDPAHPIRQAQRLCDE LNVNLVLTSDALYNGLETQREVGLRNAAYTGFTAGSTGKPKIFVLEHLSVGQVLQALY SLIPVKPQSHLLQFAGYTFDISIMDHLAALVSGACLCVPSEWERRNSLADAMCGYQVD YACLTTSVLRTLRPADVPCVKTVVQIREAKASDVVDRWSHMCQLINAYGPAECALACS VQDRLGPGSEATNIETCITGALPVVDRDDYQCLLPIGAVGELVVEGPQVGRAYMGDPE KTAAAMKKWLRVVVSYSTDTFIAADALHWWPRILRPVLTRVLRLSGPDTFDPYRFLTL RQTAPDKETYAQATTPSPDHMGWGLGKHACPGRNLVLTEIKIALCHIPLKYEFQLPGD VRPRPSLFGIFLCF ACHE_30909S MYHSALVVHKSEQAFGSYTIRQLPSDDVFVTRRLSSTTRLPLHT TVGAFTVSGSIDTVSLEATVAVDISGINLGLFYGKPSSEFRVDIELEIFKGSVEIELN AFDEIWLHIRPAGNSSKYAAEGSTTHLANLPSLSCAPWV ACHE_30910A MLVTQGHQLAAVRMEVDGVNIARAKPVIIEKLIRHDNGTGDGQD VDLSSYIEQWGYMGPLLMAYYNFYRMDMYAMLMEFATGPGKAEPRALRLHHACASVDY DTGRVTFLNGVTATHDLIVGADSIGVSSSAPRTSASINQYALTVRRPPHPRIFLGKKR ETSTSYHRIIPTSEIHRLNLSTPPRNGLEYWGGQGLDKIIAAACRNGDIHSFYTFFPL TNSSSAGEGWNFAGTREQLQAPFSKLDPTLRALFDHAVDIKPWNLCVHSLYPYWTKGR TCIMGDAARSMLPDQSQGACQAIEDAAALEIIFGPLYSYTTDVGAGLRLYEQIWKPRT SKVQAASARARVDLSERIDFSSQKGSKLYEVADKNKKLTIEV ACHE_30911A MTARSAIPKISASQEREVHKYLPTYLLQGPCSQYISNDAQLNDV VPRSCPDPVLTAFAQMGALKLNAQRAMISLFGRHNQYVLAEATRTLSLQDDADHDPGD ALWVGCCQLDYEQSFCKYVFDLFTSGEWPGKIVVMVPNLKHDARFAQSCDIQGFPYAR FFTSVPIVSPKGVVIGAYTVLDKKPRTQLGPKPAKFLRDMSKTVMDYLQTTRLKSQHY RAERMMVGIGSFLEGKSSLRNTWLESRWEERTASKDQEAMEGQINLAQQTKQMEDEKA QATKATKERSATHHLRPSIKPEIEAASDWGSTTDSLSGQVADAFSRAANIAREAIEVE GVAYFDANFRSYGSLVGLSRSDSDFSGSEGSPLGDTAPTRTSHDSETFTKLSEILAFS TKMSSSVNREPVDDRAPAMSETLLKGLLHRYPRGKIFNFSEDGMLSSSDYTDGAFHDF SNNKSSNSNAQGVKRARKYKQTRQRVRQNDAKALLELAPGARSIIFMPLWDSHKKRWY SGCIAWTRIPQRISTLDDELTFLFGLGNSVMAEVHRLGAEYAEHAKSDLLSSLSHELR SPLHGVFGTVELLSDTTLSAFQYAMVHAINSCASTLLDTIDHLLDYARINRLQQPGRD STASHIGRDSSYADQSKITTSAHLPVAKDISDAFTAIQLDSILEQVVESVFAGYAFLQ DPSMPYRKLSTPMGIFPRSRVQADYTAGKVKVILVLENASDWRFLTDAGKWRLIVLNI LGNALKFTSTGHVCVTLDASSLVDDQSDPGKPEESQVTLTVEDTGVGISKRYAREELF TAFSQEDALSSGNGLGLHVTRRTVLSLGGEIKISSQKNVGTKVVTKLNLQRPPEFAPP SALGLNVLDATREITRGKSVAILRLGWNKADEILCSSLSKICRDWLGMDVHVHIVSAS VSQHLPRCEYYIVTREDMNLSSITPAPGERFAPPVIVLCSSPANASRMYAATQAGGNA NVIEYISQPCGPHKLAKTLKLCSSNQQIKRESAGEQESLSIRPARSSPKVDFMSPEQY TRPLKLPEVRVAQISSPSPATLSPTQTNPNQIDTTQTVLIVDDNDINVRILVAYMKKL AYDYVVARNGLEALNAFKAEPVKFKLILMDISMPVMDGLDSTKHIRDFERQELFANNN PPLRKPVTIVALTGLGQADVQRDAIGSGMDLFLTKPVPLKKLAEIIENTDAFTSAGFG FDGVDMAHEG ACHE_30912A MTQPNAYILAADNPQAVLSLLRQNPSIASQQDEHGYSLLHAAAS YGHVDLLRALVKEYNVDVNLLDEDGETCLFVTERVDVAKCLVEELGVDYNRQNDEGLT ARETIETDGVPEVAAYLREIAGIAPPPEQPADALNPAPPLPPNINVNLGTVSEQEASA GLDEVDPEFKRRIDELASREDFHSEAAQSQLRQLVMDAINGSNIEADGRGVRRRTD ACHE_30913S MVQQKVGEVEQLVNSLEAATKKSKSEGKRTFSCRKSTFPVALTN ISVDSWKFLDHEYKRQDLPTYARGLFTTRRKDGTAEIVTRGYDKFFNVDETNATQWRN IETQTRGPYELSVKENGCIIFISGLEDGTLLVCSKHSTGKRADADVSHAEAGERWVET HVRAVGKTAKDLARELREMNATAVGELCDDSFEEHVLAYDETAAGIYLHGINFNVPYF ATLPGSEVHKFADKWGFKKANFVLFEDLQSAKGFLDNCAETGTWDGRETEGFVIRCQK TEPGSGVYRDWFFKYKFEEPYLMYRQWRECTKSVIAGKVPRIKKHKKITEEYLQYARR QLVKDPRLAKLYNQNHGIISMRNGFLRERGLNGSEIIAMETETEGQEVEHSVVLVPVA SLGCGKTTVAIALTKLFQWGHVQNDNIAQMKNKKKKFVIDITNALAVCPVVIADRNNH MKREREQIIQDIQEIVPNARFVAMQYVHEPKDQMLDGIREVTRRRVLDRGDNHQTIRA GSKSTDEIIGIMEGFLGRFQGVDTERAPDDNFDEVINLDVSASSRENLEKVINALHSA YPSLVPKVPSAQELDQAIDSAMHDYQVEQDLSFSYGKQKQKQDTNKGNNNNNQQQQPA AGLPSPVALAKKIEYFNISLPAIEVSNLLHSLFPPSTPPEKARLYHQLVNSRRVQATF HVTLIHRASKKDHPQLWDRYFKTYINKLHEKHNEDPADTSETPPLASARIRLERLIWD SRLMTFVARILPSDDGTANNGDADNNGGSDDGFWPCANALPHVTVGTVSPNVKPKESN DLLARWLQVGSGGESGIWEAEIPGVKVVQGTVGVVMSRK ACHE_30914A MASTSFRDSVNSLGWSRRDPDLPVRTSNDTSFLSRLQSFNPFGG EGYVQLPTHESPGAPLPAANRRDEEDSILALSRWDRMLIFVACNLGAAVCFFLCFFLF PVLSLKPRKFAILWSVGSLLFLLSWAVLMGPLIYVKHLISGSRLPFTAAYFGSITLTL YFAIGLHSTILTFISSIFQLAALIWYLVSYFPMGSTGLSYMGRFGAQRVTNWVTG ACHE_30915A MPNLQPFKTIVASKNLPTLLLDSRASCVSPPYMVSPPAPASAIA SSCSSYCLFISFPSILEDLRILAHTLSVRHFARLTASQPDTPDAHHFPSRLYCWTS ACHE_30916S MTEASLFIKNEPDENSDSNFFIMSHSGYAMPNQFGNSNEGIDPS DLTMQNSGFMSFPFSSQQNLSSSFNFGNSGIDTDELLDLELSGQNGMPRNDNMNFIQE QQPAGGVSMSHPGQMGHMYSNAPDASMQSPFMQNNFQYDQFRNMNQQAQSIPTHLQRS NSQFDQNFHGAKGRPSMQVIDRSISDSRSPMTPKTPAMGGLALGTPESGSFPSQPIRT ANLHHRHQKTLSNQWDGTPGSGHSFGESPISSPPTQTHHAGISEILKSGKHASLPAKV DNHMPGHMPEQETQEAKRRRRRASHNLVERRRRDNINERIQDLSHLVPQHRLEDDKVR KQLVNNTMSPSGSSTNAATSLLAGGNGRRATAGNITMGLPIEEKEKGPNKGDILNGAV SWMRDLMWSMHVKLQQESELAELITSLGGTWPFEQTEEEKRMRSEILDALERNDPSSF SYTRGPGSGLRVPKHTNFAGDAVSGPGALSPQSLSPSYNSGASSAPSTGPGQAQYWNS SGHAGMSFKEEDEYAMEMN ACHE_30917A MSQTNTQKPLPFGYQFAAGAVAGVSEILVMYVRLLIPCSLFLIT RRYRRRNRIRNRAHWLTCEQVPVGCGQNKSVRLIVPGFQCAVLTVYSQLQTNVAAASE EGYNGMFDCFRKIIKNEGPSRLYRGISAPILMEAPKRATKFAANDSWGSFYRNLFGAE KQTQGLATLTGATAGATEAFVVVPFELVKIRLQDRSSAGKYNGMFDVVRKIVATEGPL AMYNGLESTLWRHILWNGGYFGCIFQVRAQLPKAEVGNKAQQTRNDLIAGTVGGIMGT VLNTPMDVVKSRIQNTTKVPGQVPKYNWAWPAVATVMKEEGFGALYKGFIPKVLRLGP GGGILLVVFTGVMDFFRKMRGE ACHE_30918A MRLIHALAALSLLFETPRAEDNHEGAIQRHNAEIEADLLRDDKP IRGVRKMSSDEGEKFFLDYWSYDGSDGLSMGNHTGTDNDVTDDNIQPRSYPFQPASIK QARGISLLQRDFQCPAGTTGCTSINRPSSCCTTGDICELVQDTGSGDVGCCPQGQTCS GVIGSCQSGYTACPAKLGGGCCIPGYECVSGGCAYVSTVTVTVHSTVFVSTKTYTTPP SSYIPSSTSTGTSKTSSDDLVPPARPTSLSTATTSKSSSETGSICPIGFYACSAVYQG GCCRTGRDCDTTSCPTISSTAVVSNNDETIVAPAVSTGSASCASGWFGCDESMGGGCC PTGFTCGSASCTASTGGSVTATGTVAKETGGGSGRSLDGRILLIVLAIMVLV ACHE_30919A MRSSSSRSQRPHPTGSPGPSRSPPPQHFSTGSGSSVETAMTSQS SAPRSSSSSSSRSQQSRTVVPDKQISQIEKSVTHLLVATKQLLETLTQWSRRQASENE VSDVYVRLGYEFNLACRAFNAIGVDTSDLGPVPDLLRTILEDTLSQDASPQSLDRYLP RIRDIIINLLHGLKKKQARLRSRQQRDDSRSSSGRQGSSGTGQTAVMNQAYEEATTTA TSPRSRTGRRYGSNGSAEDPYTMPRTSSAGHGDSRGTSYSEREASRQEAQHILSQSSP PEDATPKQATPAPSSQAPSPYPAPPPTPKQDDALGALQRSGELERRASRRFSAYQIQK HLGTSSNGVPMLPTQTTPIPNRGRDVRESLNAVRLRGSYAHGRQKSSNRFQDPSSAKG TPSETPATSTPAAEDERSKARAESSTDPATARTKTPDGKRSSTPEPDTLTKPTVAEPT EPSLELPRPPEEPTLGEAFEPTKDSSADSAAAPGTPTSGHRRPSTDVSTPPRGAQYVS DQPSPGKELTLFLQYKSKIKKYVLPEGPSGLTIGRLQLAFIEKFAWNTHNNGVDLPEI YIQDPVSGVRHELEDLADVKDRSVLVLNVDTLEEVRRHFDDGLGGVRRLVEGIKGTLD GHETMMQRVSDRQLEAAKEMARLAAAPPAQVSGSPNIVAGAQKGNISANGSQLAELQS LRRDLAVLRQTYADFSSDITSSMNSIRSKAGSVKSAAADVAVPSYEGDAGRSRINSGK KELADESERLVSRVDDLQDLVEDLRKDVVTRGVRPLPRQLETVSKDIAAVTTEMKKMQ EFLKREKPIWTKIWEKELQMVCEERDQLTMQEDLAADLQDDLEKASQTFALVEQATKQ QAMSNETPNGVTLRPTGRNLAIDPAVDPLKAKDGVLGEVRALQPNHESRLEAIERAEK ARQKELETRRIGKFQKELGAFVEQGKLKKSGGVEETERLRKAKDDRVRKEVWERMQAR AAEMEKTEAEAEAAKAAAAASGEGEAEKPEDSTDDTEKPAEEGESKEAKEGEEAGEKA KESDDEGKDKEEPAKDETA ACHE_30920S MASTANPVSTGNNASGNASSQNPTGRASLRPSASTRVPDSRRPS PVDGGPRRSSSQKAWTQGTNPITQRYSQQNGNMAHQKQSAPTKVPKETNTSDHHAHDR LVFLVTSFIGLNAVVTTKNGEKFTGIFSSSNLEASESSFVLKMVQRTKPDQSRTNGVS EVAAPFLGSPPEHSMVFDAKDVLDISVADVSPADVTIKTPNGASAGFRTDTDISGNLA IRERTLKRWEPAADDIEAPLETSTNTTGWDQFEANERLFGATSNYDEAYYTTTIDRSD PTYKRKEAEAARIAREIEGADTDNPHLREERGLAPAQGAGEDEEEKYSGVRRDEKNFP PLVSGQPNKYTPPARRQAAAAAAAATTTTQQPATTAAAPSSLKQPAAPISTHAKEQVS PKPQPGPSTATAKAATDAQQKSVPDKAAATTTAPPAPKRAPDNATANVEAEVLDHFRQ FANNEKLKMQERRRNQVSYDRNVKLNELMKFSKNFKLATPVPKDLVPILAKDPNKQEQ IISRAQSQTEEEKTTSSRATPVMADQKPTPPRGPGPASGPVPPQAQSNLNRGRQMYPP TGPNAGPSGRFQNQAPQPGRPGSGMLSHRLADNLQQRKGAAAGSVPTPLPIQDARLPP SGPAGERSGVSSPNKTPGSTKFNVRAMEFKPNPAASSFTPGAAASPQPASRGRSISRA ASPSVFFGSKKPRPITERPSLNDQFNAIKRMKKEAAEQADKPSFNGGIPPAYRTLPTW DVPDGNKEKTYLQMFKSPATVPGMFPQHRANSNPQLPHQPQMPFPFQQAAPGMPPVSG PPHGPHLHPQQHSVPPHFDDPHRMQLSSSTSQMFPSPRLQHNHVAYPSPMAPHAQLGF QQPMPQFYMNQGAPPPPHMRHYPGAPQFVNPQGAMAAPMMVQQPSGGPFMGVPQGMAP YTPQMQMYSPNPSHAYPQHAPPPQPHSGFPSPGRGAPMMMHQNSQSGQPPQPAMFMTP GQPGQPMYPAQQAGHMPPVRGNYPQQQPHFQSSPRQAHHYPPHQHRTPSNGLNQVPQM TPQVPPSQPPPAASHPPEAAENEVK ACHE_30921S MDFLKSAVASAIAKGSSFPFSLGDRIDIGDSIWALHNATKREDG SPCSIFTFDIASNKSRLPLAKNAMRKSRTLRHPGVIRVIDTIETETSIYIVTERVVPL SWHVKRRSLSEETAKWGLHTVSSTLKFINEDASSVHGAVRAASVFTSESGEWKLGGFE ILSSMNDEDAIIYTYGSIVPDAARYTPPEIVKGGWDITKRHPLTAVDSYGLGILVFEV FNGGFTGGDQLGKTTNIPPTMQQSYKRLCAANPKIRLSPAHFVEQGKKSGGFFQTPLI RLADDIESLGLKNDAEREEFINELDELSEDFPEEFFKMKVLPELLKSVEFGGGGPKVL GAILKIGSKLSPEEFNSKLAPVIVRLFGNPDRALRVCLLDHLPVMIDNLPQKVVNDRI FPPMTSGFTDVAPVVREQTVKAVLTVINKLSDRTINGDLLKFLARTANDEQPGIRTNT TICLGKIAKNLGQSSRSKVLIAAFSRAIRDPFVHARNAGLMALGATMDYFTEEDCATK ILPAVCSVLLDKEKIIRDQANKTIDLYLQRIRKFTSMMPDTALPSAGPEESTKDAARI GTSNDNSWAGWAISSFTNKITTANGEIEPTTNGKPAEPDNARSASVPRSTTSTPAVEP DPAKKTLRPAAQLNRSVSEQPATVTAKFEESGKADEDVYEAWGAMDDDDEEERPSKDN DPFSPVAQPKPLPSLTTSPKPPAVPYDDGGEPDFAGWLAAQSKAKSKKPLPKGLSKPA TTTAASRTVNTPAAKRKTVVAPAKKIDTTPKDEEEDDGWGDAWD ACHE_30922S MDSEEAPPPPYSAVDPNPNNTRIASGINGGLLHLRGGNSPLSIA TSGSSSSSVLIGSPSSPSSRFTPANFESAVAYFTERPPTVLDDGREILHHHLTIYPRS QAKDFPRRPRCWNSRNGEITQQDWDMFLRYLFPPHLGLASTSGHLSRQLRAQIQRDRK DRPQETDEQRKLRVTAVVTEWNQCFFEPRAARLLFSYITDPGHAPTSSLCPKCYPAAT RANQESRSSRTQSVFGRGRQSSQSSTPPAAQGHPATPPANNGYAHGTYPYPQQYGGAP VPYAQAPYSQAAFYPPAAPHNTYPTHAYSPQPQQPYNYQQPYQWGWNNRQYPPPQSPS SGSSKGGPLGWISSLASHITEQAERYGDKLSAHAEHYGRQVEEQAIAHGRWLEEQAGL SGRKAQSPYAGYPRPDPRYQYYNYYNNYPYHYYHQPNATTNVNPSPVSAPTTPAAAAS ATGTTAVEDDNEAHNANITTQRTIAQPNLRHRSLSIASTTSDSSFTSIDSLSTTSELS SSDLGTVRAQLLSLEDHHDRNLYEAAVGLRRQLDVIRESNRQSRSRNGWGQYDDRRGG SWNQPQRSWTERRAMKDEMRATKKAFRDVLRRAREEQREKRRMRRSRRRQEQQRLQQL QRDGAEVETAPLPASSHSHSRSAELPLEQRLQNLELEREKAKKHASQPTVRSSASFPS TARSISSVESSEVSSISTPSTASLHEGDHQNESRASGEPQTDSNTRRQSKEQKGMKQR K ACHE_30923A MVDIVPLSSYPSYIDLLPSIQTCNITNLPENYFLKYYLYHALTW PQLSFVAVVRPRNGYTQNTGRYAGGKPGSGDLSGQYPKVVGYVLAKMEEEPTDGMQHG HITSISVMRTHRRLGIAERLMRMSQRAMAECYRANYVSLHVRVSNNAALRLYRDTLGF QVDNVESKYYADGEDAYAMRMDLSPMWLDWSAIEKADREREKKENGEAADEGDEVGDL GKKDAEKMVKVKMGRALGVGDLVEKNEAQS ACHE_30924A MRWTTHLFPLFLGAVLPSQAQDETLALTNSAFTLEGTLTSGGGV TVPTGEYQTYSSTITLSDDGDLTSATVTGSGSSMGVESATGNASSSYTTTSDSVTMLV GGMHTTVLGNASASATNATARPTSTPVVNTQPCNGYPEFCAKKYSNVTMVAAHNSPFV KPGNVAANQVLDVTTQLNDGIRMLQFQTHLVNDTMYLCHSSCDLLNMGPLEDYLVTVT EWIKTHPYDVVTILMGNSDYVSPSYFTKPVENSGLKDLVYTPPKIPMSLDDWPSLSSM ILSGKRAVMFLDYQANQTADPWLMDEFSQVWETPFSPTDREFPCTAQRPPNLVPQDAS NRLYIANHNLNLEFNFGSLNLLIPNTALLNETNAVSGYGSLGRMADNCTTNWNRPPNF LLVDYYNIGNFNGSVFEVAAEMNNVTYNGQCCGTTSAASNLAGLNMMTMLLVIAGVQM FTRYL ACHE_30925S MALFRKDNSSPQPSGPGRKRSTVLWHRGLRSLLYLLAWIFLLLV CIGNISNKPVIRDTYFMKLDLTHIIPISVPNAVLINSIARTIGLHDFYQVGLWNFCEG YANEGITHCSNTQSMYWFNPLEIILNELLSGATIALPADITDALQIARVASHWMFGLF IVSTVLSFILIFLSPFAVSSRPPQTISPDPSVNHAHPPHRRRTFVLLRSFPFLLLTFF TALFTIVAAVVATVMFTIFKNVFMSQSSEFNISATLGTRMLAFMWIASAFNLIAFIIQ FGSCCASCCGGRKARKQLKQSPNGTNGSASSGSASPTHEKEDGQA ACHE_30926A MVGSVAEGISKCPSFSQSQDLQELYAPEGLPSPPSFSHMHVNDL GLTPSPGSQQQQQQQQHPADATHASSQYEERDKPNDGEAIVEKNATEDSTQQAEDLSA GAEEPLYDPLFDSDFAFQDLESDFLSHKRAHNEAFPEDSGAEEPLEKRQNQDGSNAGD AFSDEAPSLTPDSSHRPEYIETALNTPAGIERSHTPNSFLDSLDLFPESEFPTSLDNT VLFDNNPIRPGHATPQDLGPESASTKYAQFSTAAQSASDVTQQRFSLDAQDILSNTNR EILQRADDIPQYVSPYPEYRGPLGYLPSTPSLHVKCVEVVDERMNYRMECIRSKNHQL TSERNKYKSFYDEFSTVDQATGKTKHELLHHDNAMLRRNATRHQKRVEAYKQDIEHWK SRLNEVSTLYNNLLYEVAIQRRLPEIAPPSAKYKPRPIQVPPQPPAVPNVRPQLPPYL PPGELPPNQQPPRKPFPRRDAQSTSDTATAIATANQPPPQPPHSCPAPAPTEARSSQA VTIDLTEDDHNTAPNHPPDYSPPPSGANTGSGAEVLQSFRQKKYSWLKGPNQVPSATY RTGSSLNKANADHAATGSGSGHTSTDDPQPFFPQNGNDSHGVEQDELVRMMEEELAQ ACHE_30927S MLGNNVGLLTVLFHHPPRAAIAKVGDFSPFLVEERECYWAAERQ ALQKHEELKMSVILCTAGYDHTIRFWEALSGICSRTIQHPDSQVNRLCITPDKRYLAA AGHHNVKLYDIKSTNPNPIMTFDGHTSNITGVAFHCEGKWMVTSSEDGTVKVWDTRTG SLQRNYAHRAPVNDVAIHPNQGELVSGDRAGIVRVWDLGESVCTHQLIPEEDVAVQSV SVASDGSLLCAGNKKGNVYIWRMIQDVEVTRIVPICTFQAHKDYLIRILLSPDVKHLA TCSADHTAKVWNLDYDYPPAKMAAAAAAKGKAKAISNGAETNSSTSPTAESTDPAVIQ ERNRIQDLNAFRIVAGSRAPAEEPPNPFPAQPDGPPMDPTTGTPFLETVLSQHQRWVW DCAFSADSAYLVTVSSDHYARLWELNTGQVIRQYNGHHRGAVCVALNDYSEPR ACHE_30928A MMLMPRRAINTADAVATEYLQNSHYRFYCHLTALISKVRLFSLC SFLIDSPTSWRSVCCCSLLSPPRAQSTNHWFFKLDRIHIGREILYLFLFIMTAEQRTA NSPLPIEELSKVTTEACETTLAEVKEYDHTKVGDWNSQIINTILKSLIAATAPSTPST PAPYRFTVNSTIVQQGVVDKATAADGEHSNAGKRGMHSAAGAFWDVNRDGMWTFKYPG AEERGLDVVVSVTWFAVG ACHE_30929S MSSQPLLQTAPGKRIALPTRVEPKVFFANERTFLSWLNFTVILG GLAVGLLNFGDRVGRISAGLFTFIAMAAMIYALVTFHWRAQTIRKRGQSGIDDRFGPT VLALALLAAVVVNFILRMKEGSF ACHE_30930A MPLNDHARHLQNNRFLTAKMHVWTFLAALGTIRSLVQADYVDWR SFKANGVNLGGWLVQESNIDSAFWARYGGNATDEWTLCQNLGPQCGPVLEHRYATFIT TKDVDHLAKGGVAVLRIPTHYAAWIDLPGSQLYSGNQTAYLRNIANYAITNYAMHIVI DVHSLPGGLNGLPIGEAMGHWDWFNNKTALDQSLQTIDKVIDFVQRSGSPESYTIAPL NEPADLNKESMSNFGTPTVLSDDGAAWVSKYINAVLDRVSKVNPKIPVMFQGSFKNPD YWYDQIPDDANLVFDVHVYHYEHPPNTTSANLPAQLCADAKEKTGTGKFPVFIGEWSI QAAQRNSLALRERNLNAGIAVFGQYAQGSSYWTAKFTGNDTVNGQGTQQDYWNLGTFI DNNWIHPENENISC ACHE_30931S MFRLLPWPSILGGDITNHTIPNKHNDNNNNDSHNNITDHSNRSL PMLATPPSCASHGVPLQNSLPLSSFKSNSQLKRKRSPSPDAPDTPAPTKLCFGRDPSA NSNNAPVAPLSPSSQSSVSQSAEQSHHQPPSLVASHTKSVNVDKLRETLEAQLSLEVL LKHNELRLIDQELAKCQVALEQMRRCSEIPYPGSQVAGLSPSLANGTGMAVCPPGNGP VPSSPAPWGVTDGPYSRHYAKWLLPDPRFGGGHIDAGTSWGAASSMPGANTIGTTPFE GRSTRGTSGDYAALTKSRPQRGSASGAKLQALSNGCPPVRERAGPMIIRRKSDGVMVK LVCLDCRRDNFSSTQGFINHCRIAHNRNFASHDAAAVASGEPVEVDEAGAVVGESKTE TSSGPAAAPGYVHPLVRSAHVIESSQSSATTPATATAAAAQNAATPRKRSESTRLPSS SMVETPRNAHIRSLSEGNRRTPGMANQASSSFLASPITPHLSSFMQHQGIGVDLGQLV DEAKATIDLEAYSSGDENDEGSEDENPVPPSSSTDLNAGMSEARGGRQPMRTVGASQQ PSTSHDARKPEPLETLNPVRPGASYIPSYGSTPSSTMEDNVDGMDLTVNLSPHTVESN QAPSLVSDDDDDGDARSDSTGSPGPSSSEAEDDDGQDFSHIDVEGDDETTSSTTAAAT EPKSGPPSLANPAPTATPLPKSLRRGSHPHSQNQKKKNDRFISSSTALDSGNNKEEKR VSFVSPQLSPDSSPSKGKDGHGRR ACHE_30932S MSLIPLVLLFLLRLGFASAFFSWHSFLYEFGLLGAHPTTPFRSF GIAPPEVNLLRWDPRCEDSHVLLSPRGHFYPEPGPLIYDNRGNLVWIEKNWGMVMDLN VQRYRDQDYLTFWVGKDDGTRGLGSYYMLNSSYDVAHVVSPANGLHGDVHDFKITDEG TALMTIYDLVETDLTPSGKSGNGWIYEGIFQEVDIETGELIFQWSAGDYYNLDDSYFD IGEKGYAPHQAGAYDYYHINSIEKRADGTYLASSRYMHSVTCISATGEVLWVLGGKRN MFKDLSDDGSATGFTWQHDARWHSDRIITLLDNGANEHEKTADHTRGLMIELDFDNWT ARTLHVYHSPGHFSSHSQGNLQVLPQTGNVFIGWGKPSAYTEFSTDGTEVLCDAHYGP SMFFWFGWIKSYRAQKANWVGKPSWPPSFAVYAEKSSHAVYVSWNGATEIAGWLLQRT TNRASAEFETLGYEPKKGFETRLELAPDAPVDGYFYRVAAVDFKGEELGYTRVFATSG VTTPSPTIAEPDGDGFHQLLIAACAAGALLAAAWKYKRQVLAIYAHCRRFIWGVMDRY RYRSYRSYRK ACHE_30933A MSSNLPAQPNLRLTIIAADGLYKRDVFRFPDPFAVATVGGEQTH TTSVIKKTLNPYWNEMFDLRVNEDSILAIQIFDQKKFKKKDQGFLGVINVRMGDVIDV QMGGDEMLTRDLKKSNDNLVVHGKLIINLSTNLSTPNPTQQNGRSHIPSSSSTGLVPQ VTSHPAGDPSSAAASSASLNQRMSATRPSSVVVPVNGAVQNPHSQSSRTTNLSSFEDQ QGRLPTGWERREDNLGRTYYVDHNTRTTTWQRPSNNYNEQTQRTQREANMQLERRAHQ SRMLPEDRTGASSPNLQEHQAQTPPGGSASAVSMMATGATTAGTGELPPGWEQRSTPE GRPYFVDHNTRTTTWVDPRRQQYIRMYGQNANGNNTTIQQQPVSQLGPLPSGWEMRLT NTARVYFVDHNTKTTTWDDPRLPSSLDQGVPQYKRDFRRKLIYFRSQPALRIMSGQCH VKVRRNNIFEDSYAEIMRQSASDLKKRLMIKFDGEDGLDYGGLSREFFFLLSHEMFNP FYCLFEYSAHDNYTLQINPHSGVNPEHLNYFKFIGRVVGLAIFHRRFLDSFFIGAFYK MMLRKKVSLQDMEGVDEDLHRNLTWVLDNDIEGIIEPNFAVDDEKFGERRTIDLMPGG RDLPVTNENKGQYVELVTEWKIKKRIEEQFDAFMSGFNELIPADLVNVFDERELELLI GGIADIDVEDWKKHTDYRGYQEQDDVIQNFWKIVRTWDAEQKSRLLQFTTGTSRIPVN GFKDLQGSDGPRRFTIEKSGDPGALPKSHTCFNRLDLPPYKTHDTLEHKLSIAVEETL GFGQE ACHE_30934A MATEGQEIQDVPVEETNPQQEGGGDDEEEIAAMKKRVAEMESEA AKLREMQSTLDQQTESLAENKEEIDARSVFVGNVDYGASPEEIQAHFQSCGSINRVTI LLDKFTGQPKGYAYVEFAEPSLVAQALILNESVFRGRNLKVVPKRTNLPGMARGRGRG GFRGRGYGRGGFAPRGYRGGGYRGRGRGYAPY ACHE_30935A MASRLVLVIGDLFIPDRAPDLPAKFRKLLTPGKIGQILCLGNLT DRDTFEFLRGVAPDLQLVKGDFDVDSPNLPLSKVVTHGSLRIGFTHGHTIIPSGDADA LLIAARQMDVDILLWGGTHRFEAFEMEGRFFVNPGSATGAMSTGYWPEGEDPTPSFCL MDVQGDVLVLYVYQLKTDANGAETVAVEKVSFRKNALPAS ACHE_30936S MPDSRQRLSLIARHLSDPEAELNAPFSTERFQKPLLPPLITSYN PQQSSTTTTTSSSTSPSSAANMSTQAPHPTLLIPGPIEFDDAVLHSMGHYAESHTAPG FVKTFGETLTLVRKLFQSSNPAAQPFVVSGSGTLGWDLVASNLIERGENALVLHTGYF ADSFAACLETYGANATQLKAPIGDRPSFEQIEQALKEKTYKLLTITHVDTSTGVLSDV KGVTELVRRVSPDTLVVVDGVCSVGCEEIAFDAWDIDVVLTASQKAIGCPPGLSIVMT SPRAVDRFRNRQTPPASYYASMANWMPVMQNYENLKPSYFATPSTQLVHALHTTLTQI TSRPLSERFAAHTQASDRVKAAVAELGLSQLAPKPENQAHGMTAIYLPEGLLPTDVLP LLLKRGVIFAAGLHKEIATKYIRFGHMGVSVTDPNRNHIDSAIAALKEAVSEAKQAKG L ACHE_30937A MNSLNILSSRVIGQSSNSNRIRQRSRSQGDNVPPSKSPPDDVGK HRSYSDDEVSSNNALEKQDGDISESTEDIADTVGGPVLNEKSPLLLREIKNDGPLATR SSLELIAQRIFDAITETIKFILSTLAAPGVFAAQCFREDDGHYSPMAPVRKLRRSIAR GSHRKGTSKGGSRQGEARNRRSTRKSKSHIPRESIASSTSESEGSVVKGLTSGGKSRT SNKAKISSSGQTSDAPRRSIRIKLHNEEALQRQRQRRSQSADLRQSSHDDTSRSRTVS LDSIKSPTSPSSSVHRITKYPHAPAPPRPLIPPRLPSYTAAPRNAKIPQKTLVLDLDE TLIHSLAKGGRMSSGHMVEVKLSTPMTTALTPGGPPTMLGPQHPILYYVHKRPHCDDF LRKVCKWFNLVVFTASVQEYADPVIDWLEQERKYFQARYYRQHCTFRNGAYIKDLSAV EPDLSKVMILDNSPMSYIFHEDNAIPIEGWINDPTDNGLLHLIPMLEALQYVTDVRAL LALRRGEAEA ACHE_30938S MPSTSLALTPDLASFLKSLKTNPIDTSIDNLISLLKRRQIRHSR SCATATAYLLLRVISACRTTDAVKLIERVQNVGRRLVAAQPREMVVGNIVRRVLGLIR DEAEDDREVDFNLSEAGSESQPHTPRVPDDASDIPSARLDGSAPRTSLTSMFSLLSHP EPEPSLPGTPSSASPSGRMPGPSKDIRAEVMEGINEIIDELGQVDDQISAYALDHIHS NEIILTHTSSTTVQKFLLKAAAKRRFTVIIAESFPNNHEATHATVSGASANDEEYMNT ESFQKPLIAMGITVILIPDSAVFALMSRVNKVILGTHSVLANGGLVAAAGTRVIARAA KVHQTPVTVVSGVYKLSPVYPFDFESLIEYGDASKVIGYEDGDLVDQIDVQNPLYDYV PAELVDLYITNLGGHAPSYLYRIVSDHYRKEDIHF ACHE_30939A MGKGQPRGLNAARKLATTRRDNRWADLHYKKRLLGTAYKSSPFG GASHAKGIVLEKVGVEAKQPNSAIRKCVKVQLIKNGKKVTAFVPNDGCLNFIDENDEV LLAGFGRKGKAKGDIPGVRFKVVKVSGVGLLALWKEKKEKPRS ACHE_30940S MASTSKDSPHHLRKISSQRLPPPSLFQGPPSHNASNLSLPQPPS NVGSRGASQPPPSQRSRTPRAHDSFSTQSHSLSPFRSRAQSKGEVDSSDAIWQEMQSA LSEVELSAVTREHVFGEKHSEALEDLRRKQLTLAQAWARSEADEVVDTPERRPSLQEN PNVTAEDINHRNLDEETERDILLARERREANDRYFDRVNNGVLDVVAKLEEVAQAMRA VERESKDIWSDTDSMTTTTTAPSTTNAG ACHE_30941A MGFFTGFFAGFALTTSVLYITIQTHQTNRINQRNTIREQVQTLN WTSSPVGAYDRRFIPQDQDPRRSTEYGTYRREPPTTKDMLKHRWNKEVETLVRKAHES RWEDARETVAEGWNAAMKYVKRE ACHE_30942S MAGSANKYRHLSRDSAHRQALLRNLVTSLFKHESITTTWPKAKE AQRLAEKLITLGKKNTETSRRTALSTFYTPHDILPKLFGPLRERYAQRPGGYTRVLRV EPKKDDQAPSAILELVDGPKDMRFHLTARTVARQRAQGLDTLGEITTMNVRKVTQFRK DGVEDLESAIKRLELQDGKTQPAASKAEEVLETKRR ACHE_30943A MASESPATGEHRLSFAKIVAMPAPSKPIASVAIDEKDGLQHVET SLPPDTQGSKDSIPSQNSSINSQRNESKSADRDVDALSQAVQDARLMDKNSRQYSEAS GDTHENGVLKRETSFEDDRTHLSSSSTKPTSFDSKSMVSVATFAMDEKDSLRPDDSAS VQAIDEEESVSGVASGAPNSLTGSESGARGFRDASIHKPRVILPHAGSAYNESIQRSN GAINPDSVSNNYILPNPGSTLHGFPQDPDEKLLEAMKSPKDRLLILQLEDKVRNFIQH SKEQSLELPPSNAFGRLLAHKLGDYYHLTHFVDNNVTSVRLHRTPFCRLPAPLSALEP ANNTPPPPVPAMKIMRRTDGERPSTEGSTAASSIPASEAGDSGNERGGSSAGTSAKDR LTLTREEREAKYQEVRERIFRDFPDSKSSDNSNGDQNANMSRSSSTSGRKKAQRQKTP HDDSFEARSQFNAYYPGMHYAQGPVPYNAAMNDPSYPSQPPYVVGPGVAPPGMGYAPS GQNGAMYSGPMHMNSIPQYPMPASPQMSSNVPWQGGNVPQQSPFSGYASMHSPAMMNQ QPSAKSSPALNNYAVPNSMPYQHGPPNWSSPAYHGNFPQPTYRNQPPVHWPNYPSQPM ASNPTSYPYAQYPGQPLNVGMGMQNPHPASFARSPFNPQTRSFVPGGSGPMPRHPGKC NQPGMPHYPAMHPGLQPQWNGFSDTSKLAEGRNASRGVSLNSRDSIAKWGTPSHLPPK PPPSEVPSGFDLKPRNISISSDSHTGNASSSSKNGPLVVSGGTSVPSKPN ACHE_30944S MNNRYRNAPGLRGPTKASASTLCQKCLKRGHYSYECTVSTQERP YLARPSRTQQLQNPKLRPKLSSDTPNDLLRTEGLADKLLAKREEERGRKQDNDVSGWA ESQDQSSRRPRSESAHSMNSVSTISTNRSPSRSPRHGGDGRAGSRHSRTRKRGYSDSS SGRSVSPYSSGERDRSRSHEWARDRNTRRRRRESSPTERGRSANLSRDSRQKYRSRSR SRDRDQIARGRRSLTPHRRRRSRGAAPPEPSQSRSEHASGRRPRHEPGHSAPQSSRDR SLSPFSKRLALTQAMNMGR ACHE_30945A MSSNHASLDAAATERKARLAKLAALKRKQPEPETLTEARAGDDE MTDAAPDVTTTFLSGRNYDPEARGPKLGFERAPTDGQTTLEQQAAEIAKATAEQAKKD EEADQPIDLFQLQPKKPNWDLKRDLDEKMKILNVRTDNAIAKLVRQRIENAQHAAKER GPKGESGEEVGIEGEMLVEGIHMREREEEDDDE ACHE_30946S MSLCPLLRSRFSSGLQSCAPQRRISLRHWHRPRIQSNAAIYSIG HARYSNTAASGVGRVESSAVRQDDIVEHVPPSLQTDHHNPSAFADNDRIGGTCRHDMR ESGSSMPSQHDTQDRTQQPPQPPHEGSRSSEPNDVGATPQILRRGGIPTKYVGWFNRE VKSKIENIADEDVSLRSLSLDPNVTFLYSGRTEFEQWESALNTLLWAKQHNTTRAISE KPAFNLLDDAQDLIVMIQKDCLGSFKTAWEELEPHVKAAHWQRLALWLLQRSPLAIPE FLYITTQSNQKPDCRMVVDCFQFLTTHYPEEWKYWSKGSLTHASVIQTCLDRKNWPIA KVPQNSIRLYMACTDYSGLCNVLQWMKEGHNLGGWNILNLVRGFVKHQDAERALETFQ YITTINDERFNMDSTGVMRHCCKILTLDSVADGPNGRNFRILPRLLEMGIRPDRDMMN LVLLNAFRTGDPQLGLDVLDFMQNRSYEFDAYTYSILLTDAVGRGDRERVATLIKEIE GLPEIRRNSHVASKLMHAYYVFVARNMDADVEPSRIFYAMLDLYVELYDITPLKELLL VPSEYTPTVKGLNEQPTLIALFLVIATYFRCQRRYQHVERIYSRFRELVLQGHKHFAP LAATDHTYNVFLSTLRDNPHGMRLCVRIVQDMLQSPSTIAVNNEFEKRTINHVKPAAQ TWTILLSAFLYNRQLYAAEKVKEMMAKHNIEYNQVVWNTVINGYANAQNIPDLAMAIR TMEEQGFAVDEYTMRSLRYLHNPERLWEVMDEFDQVEAMSRGEENVGEQKPSEPRVTR SPEEEAAREHEELLEQGLRRLIEKKKAKY ACHE_30947A MSALFNFQSLLVVILLIICTSTYAHSIMPGIMDRNQNGFFGIFW KCARIGERLSPYVSICCVLMAISIFFGG ACHE_30948S MAEPRARVARHKGQMNFPSERMLSPRLSYRSIRRSHAHLSTVRL LLLAYGDPSPHPSFPPEPLPETVRVLDEIVTDFILEMCHGAAQYASYSRRQKIKVDDF RFALRRDPNKLGRVQELLRMERELKEARKAFDQNDDQIANLKDAGKKGMDEVEDGDAT SVAGKKNKGKNKRGARRDSDATEETISKKRKQG ACHE_30949A MAGTDSFVHLARPLGPATVGSAPSTAPLNVVIQPQALFSILDHS LRRNADQERVIGTLLGTRSEDGTEVEIRSTFAVGHTETTDQVEVDMEYQKQMLALHLK ANPKEFLVGWYATSSELNTFSALIQNFYSGQGDGTWPHPAVHLTVSTEPGKDIETRAY ISAPVGVTAERAADSAAFVPVPYEIRYGEAEKSGLEAVANAKDAENRTANIFTDIEAL ERAVEEVIGMIDRVSRYVESVIDEEAPASTALGQFLLNALALAPKVEPADIERDFNNH IQDVLVVSYLANTIRTQMDLSNRLATAQLTLGGGEGSGEGQQRGQRGGKGNRGGQQRI QERGGEEARA ACHE_30950S AKKRDHDFLSSIEVVIVDHADALQMQNWDHVDYVLQHLNQQPKQ AHGCDFSRVRSWYLDNQARHVRQMVVASSYVTPEINSVFSSHMQNVAGKIKATPVYNG AITEVPLPVSPKQTFARFDCLRPDKDPDARFKHFTTTILSSLVKDITAGRGRNPTAGG ILIFIPSYLDFVRVRNYFATSQQTINVSFGAISEYTEGRDMMRARSHFMNGRHSVLLY TERLHHFRRFDIRGVKRIVMYGVPENPIFWKEIVGFLGIDPTEVVENAERGVRAIFSK WDAMKLERIVGTERVGNMLRERGGDLFTFV ACHE_30951S MTSPQSYKASLSTQTQSRSIFPSNLDDAQTPLLRSWSQEQDDKS TSRWTLWKKKGHNFWLQSKGMILVLLSQFFGASMNVMTQYLEIDGERGAGMDPFQILF ARMSITVIASYLYMWYAQVPDPLGRRPVIGLLTLRAVGGFFGVFGLYYSVKYLPLSEA TVLTFLAPILSCYATSHFVPHETFTWKQQLAGVVSLGGVVLIARPFSFMNAPSDGPSD KDGAQADELHHLLAILMALVGVLGAACAYTTIRMIGKRSHPLVSVTYFSVYSTLISCI GMAVLPSVDFKLPSTSIEWTLLVALGICGFLLQFLLTAGLAYVPPGQAAGSGNRATSM VYTQMLFALFYDKVIWGNTLSAMSWAGSGLILVSAIYVAMAREPHDDASAQPEVQHAD ERNEGREGPSI ACHE_30952A MSSPISASGKRKRSASQTLSVQVPKPDFLQPSSRDASGEDGGDE STGSAIPSPGRQQQPKTSAPAATGGAVPAAKRARTSSVSNGATDAKSSQDPGEPSETT TPSSDIENDERTPESKREALKPPEKAGLMDPVGYSTNPPPVGRPVRVYADGVFDLFHM GHMRQLEQAKKAFPDVYLLVGVTGDRETHDRKGLTVLSGAERAETIRHCKWVDEVVPN CPWVVTPEFIDEHQIDYVAHDDIPYGAAEGDDIYAPIKAQGKFLVTQRTEGVSTTGII TRIVRDYDQYISRQFKRGASRQELNVSWLKKNELEVKRHVSEIRDNIRYNWASTGQEL SRELRQFWQNSRPGSPAPSARNSLDLGSRGVTSPTGNGPKTHLSRVESISRPESPMGN GRNEDFATGYSLGLVGGVRAWMMRTRRSLMEQPSRPQSPTSEEEHESDHDARRRQSET HANTHANTTQVSS ACHE_30953A MGQTLSEPVVEKTSSEGQDECCIYGVSAMQGWRISMEDAHAAVL DLQAKYTENTDKPTDPAKRLAFFGVYDGHGGDKVALFAGENVHKIVSKQDSFAKGDIE QALKDGFLATDRAILEDPKYEEEVSGCTAAVSVISKHKIWVGNAGDSRSVLGVKGRAK PLSFDHKPQNEGEKARISAAGGFVDFGRVNGNLALSRAIGDFEFKKSAELSPEQQIVT AYPDVTVHELSDDDEFLVIACDGIWDCQSSQQVIEFVRRGIAAKQDLHRICENMMDNC LASNSETGGVGCDNMTMVIVGLLNGRTKDEWYNQIAERVANGDGPCAPPEYAEFRGPR NRNRFVANPDDYDMDLERSRGFSGLRSGRIIVLGDGTEVIPDQSEELFEQAEEDQDEP STTGQAQSESPDTTRNDREDTPGPQAKNESGSANISESPASTTANNTSGAEKKSS ACHE_30954A MSPICIRGGKEHAPSNNDVSTYFGDIKHIQFSLPFSSRPSASIR ISIRASSEPSRTMALLGLIVLAFVLAVNASLSANYPVNAQLPPVARKSKPFEFVFSAG TFGGADANTKYSLEDAPSWLNVESKGRILSGTPQSEDVGEKKFKLVADGPSGSASMEV TLVVSPEDGPKPGKPLLPQLEKFCPTSAPSTIFVRPGDSFSLSFDSETFADTRNSTVY YGTSPENSPLPSWVRFDPVDLRFSGTTPKGGPQSFTFNLIASDVLGFSAVTYTFEMAV RPHILSFNDTLQTFSLSRGGEFKSPAFHEYLTLDGRIPTEEDLTDISVEGPDWLSLDN KTLILSGTSPSDAKNENVTISITDVNEDVANMIVSLQYSKLFLDGVAGCDATIGEDFS YTFDESILTDDSVGLEVNSDERLPSWLHYDAGKKKLHGFVPEDASSQKYTVDLTATKG LTKDTRKFTIDVAEAGQHDHTNTDKSVESGSATGTGGNNHQKKAGTIAIAVIIPCVFV ASAILLFFCWRRKRKGGSSHDDEDFQSQKPPAGPNPQPTLPKCQPFEDTISHSMPPPT QSPPPPSPPPKLELKPFFNATTFEKTDTFVTEKPAEDATADKENVLPRSTVGWDFSSL HERPEQGQEPEDVLTQDKRRSLHASLSVQRQTSQSKRREPLKPIQGRRSLKRNSAASS RSKRLSKRSSGLSSVASGLPVRLSGAGHGAGSFGPAGRGSWQNTYPSMQSDESGMGNL APLFPRPPPRARESIEYARRASMRALARENSTISESDSLEAFVHTRAKSRNSTNPMFS GQSNRRTSSGFRALERKRSTLSRADTESTGNFSTYDQRQSLQERPYSMARSASIYTND NRQSAYLPPASRMSYMQASPAAYPSQSSLAQNYRDVLSPLPHFMSEASLAQGGRRLED VEEHDSMVNSELQYGGGHYGEQRPPALHRLSSSCYDCRRMSTYTNEEELPRGRFQRSP SLPLDDPRGRRMSSLWSAGVGNENEPRGMSSEQTWQTLSMEDSHDFPRDRTGSSFGAF L ACHE_30955A MRCGAGRVVVSGSGEAPRLRVKNLNLSPSPPQNTVRIPPSATAY NWIILHPATDFIEKILNCHSNGLECGSVERMPDITISDIHSLNQKEAFEILAQIARVE KKTFPSNETFFFGQELWRKKPNTRVLYATSAPAPGARPVLIAYAVYVRQKGAALLHKV CVIEALRRQGVGQTLMEYMQQRLQKEGCQYIQLWVDKAREPARALYTRTGFRAREEIA DYYAPGRTGIRMVLDLECDV ACHE_30956S MPSQADDKRQAAREVIDILHEISMLLNTHLDRTELSLCVSLIEN GVNPEALAIVIKDLRREAASQGHYPDEQIMQE ACHE_30957A MSEEDKSAPNKLPVGPNSPKPESPTTARPLDFDDEPQETGVINT SASSGTAQQGDTEAAPPKPPRPLSPRQQAENTLKEAFPTLDASVVKAVLVASNWNVER AFHALLGMTDPNAQVEDVPPAKPPRPSAAQRQVEADEIYARQLAEHYNRRVPQSRWDE EARYRQRRGSDASEERDYSFFDDDLPVIRENLRKGFEDTQTKVSSWVQNLKKRWDGEG MDDEQSGRSYGEEPNYSRPRRSGDLGRRSGDRERYDADPQLLDDDFAALELRDSEAPP PRPPRPLANPDLQKTSSSSPDRRKVSFQEGPPTEIGSSQGASGSAKPAPPSKPSKWQP LTTVEPSPVGEHDPFSLGDSEDEKDTKARDQSTTGEGEQSKKATVEDDNSKAETGKS ACHE_30958S MTSEMDLSTTFIPSLHKPAALLPIARHRRSLLYLIETYPVTIVV GHTGSGKTTQLPQYLDQAGWCADGKAIAVTQPRRVAASTVATRVAEEMRCKVGEEVGY SIRFEDQTSASTRIKFLTDGMLLREALVDPLLSRYSVIMVDEAHERSLSTDVLLGILK KIMKRRPELRIIVSSATLQAEDFLHFFAGEKFKYDADPGELGGKVGRIISLEGRMYPV DTLFLESPAEDYVERAVKTVFDIHLQEDEGDILLFLTGREEIDTAIQLISDHAATLHP KAQALQPLPLYSGLTTDQQMYVFEPAPENTRKVIVSTNIAEASVTIDGIVYVVDCGFA KLRAYSPSTGIETLTVVPISKAAAVQRAGRAGRTKPGKCFRLYTQEAYEHLQDATVPE IQRSNLTPVIMQLKALGIDNIVRFDFLTPPPAELVIRAFELLYSLGAVDDYAKLTKPL GIRMAELAVDPMMGKALLSASSFDCLSEILTIAAMVSLQGAVWVQHEGDRKSSESSRR KFAVEEGDHLTYLNVYQAFVTKGKKDSKWCRDNLLNYRSLQRAVSIRAQLKRFLERFG VKADESLSSSSYRQADLSRKPEQIQRCLTTGYFAHAAKMQPDGTFKTVSGGLTLHAHP SSLMFNRKADWVIFHEIIQTGDKTFIRDVTKIERSYLTEYAPNFYRVQ ACHE_30959S MSGHNHNHHHHGGHCHGEHDHSNDITPAVQSLLYTQIQFDAINT LNEDRPRSGAAIVQKSWAERLNDQPELESDADEQLLMHIPFTGQVKVHSLLIYTAPTP SAPKTLKLFKNREDLDFSTASELKPTQTLEIPQPVPGADVFEMPLNRAHWNTTTSITL FFENNWSDGEEDVTKVGYIGFKGQFMALNREPINFIYEAAANPGDHVSITGINEMGGR IMPGQ ACHE_30960A MGNISSSPKISAQDRAILDLKNQRDKLHQYQKRITVLTTRETKI AKECLARGDRRSALLALRRKKYQESLLAKSDGQLEQLEQLVGQVEFSLVQKDVLFGLQ QGTRVLQAINKEMGGIEGVEKLMGETEEARSYQEEISQMLAGNLSNQDEDEVEEELAA LQGPVVLPEPPTTTPKQPEIPVIATEEGTTEQGEVVRKEARAAVPAS ACHE_30961S MRQANRGGRPTLRQGLDRDVYQVVRKIVDDQIEKDQLRLTVPAI YDSIKKSNSSLNRRPKRVLEDSLERVVELVKVDVFGDDEEDSVEGDFEGLEEQQPPPQ ESNSLNKSLVSMWNTGPKTQTPTEANGTDNTSAPTSTSTKKRERQNKGESSSKRRKAE SQVDRSPPTHVSLTDLGGLDEVIEDLGDLVILPMTRPQVYLSSNVQPPRGVLLHGPPG CGKTMIANAFAAELGVPFISISAPSIVSGMSGESEKALREHFEEAKRIAPCLIFIDEI DAITPKRESAQREMEKRIVAQLLTCLDDLALEKTEGKPVIVLAATNRPDSLDAALRRG GRFDKEINMTVPSEPVREKIIRALTRKMRLADDLDFKTLAKRTPGFVGADLNDLVSTA GAAAIKRYLEILKSNSGEEMDIEDESDEVSPKIKELRRLIMHAKENPVGEESQVVEVS NQDFFTALPKIQPSSKREGFATIPDTTWADIGALGGVRDELATAIVEPIRNPEVYESV GITAPTGVLLWGPPGCGKTLLAKAVANESRANFISVKGPELLNKFVGESERSVRQVFV RARSSVPCVIFFDELDALVPRRDDTVSEASARVVNTLLTELDGLGSSRQGIYVIAATN RPDIIDPAMLRPGRLETLLFVNLPSPTERVEILQTLLRNLSIEFTEDLRKLAEDCEGF SGADLGSLLRRAGYSAIKRRDTIKFEDFVAAKSFIRPSVTDLKKYEKLKRDWSGGVM ACHE_30962A MNPETPEHSANHLAEVAEDADIFAQEKGINNEYQGPNARTLPDA ETIERLGRQRPVHFSNKWTELAFCFSIFMCQILSEYYVSGSNVLLPTLIKELDIPPSQ SIWPATALSLVVTATLLIFGRLADMFGGFVLYFGGMVWLTITSLIAGFSQNWLMLFIF RALQGLGLAAFLPSSIMILGRVYRPGPRKNLVFSIYGACAALGFFVGIFFSGICGEFL SWSWYFFFGAIFSAITAVSSYFSIPSDWTEKRATSKASMDWIGSALLVPGMVLFVFSI ADSAHAPQGWKTSYIIVCLILGVLFLGAFIYVEGWVVENPLMPGDLFSVKYMKPLILA LLCLYGCLGIYLLYACLYMQDFMGASPLQVVAWAVPMAVGGLILSVAGGYLLHMLNGT ILIIIACLGYLASGLLFALIPLGGNYWAFVFPAMIGGTIGIDISFNVTNIFITTNLSK ERQGLAGALINCTLHFGIAIMLAFADIVQVHTEEYLGLRKSYQAAFWFQVGLAGFALA VVIAFVRIESAKSELTVDEKLELEAAARQT ACHE_30963A MDASKGSKKRKAVTRDVEEEAGTFSGDSSDELSKKDLNGALSDD ANDLSSDEDDSEVELVDDFSSDEEEGEGKEDIDSDEIPSDRENLVKKKSGAAVNEPAK DNDDGESSEEEQINFRIEKDANGNDRYLYDEINPDDNSELSEADEDANTIGNIPLSFY DEYPHIGYDINGKKIMRPAKGEALDALLDSIEIPKGFTGLTDPSTGKPLELSQDELEL LRKVQMNEIPDEGYNPYEPTIEWFSSKQEVMPLSAAPEPKRRFVPSKHEAKRVMKIVK AIREGRILPYKAPEEREEEDPSVIKYDLWADEAENANPHMHIPAPKLPPPGYEESYHP PPEYLPDKKERRTWEETDPEDRESEFLPNDFASLRRVPGYENFVKEKFERCLDLYLAP RVRRSKLNIDPESLLPKLPSPEELKPFPTACATIFRGHKGRVRTLAIDPSGLWLATGG DDGTVRVWELLTGRQLWSVTLSEEDPVNVVRWRPGKDAVVLAAAAGDDVFLAVPPIVD PEVEQTSLEILDAGWGYAASRPAPTGADANKKNMPPQWIRPSSSLADSGVYAVIPLRY VAKSLSWHRRGDYFVTVCPGSSTPASVAIAIHTLSKHLTQYPFHRRIKGGGPPQTAHF HPSKPVLFVANQRTVRAYDLSRQLLVKIIHPGARWISSFDIHPTSSTAAGGDNLIVGS YDRRLLWHDLELSPRPYKTLRYHRKAIRCVKFHPGGRYPLFADASDDGSLQIFHGSVT GDMLSNASIVPLKVLKGHKITGELGVLEVDWHPREAWCVSAGADGTCRLWM ACHE_30964S MGRPPKKRMRGDDEDTEFGGLPGIEQWPELDSSQSPEENALADG LFPQVYCAPYRVPHAFPHLLSMDNDYGQSLQAHNTGVMEPLPATESPWPDFSSVSAAT ASSFAKPAGSTDTQSSSSSSAVPQCSCLSYLYLCLSHLSSLSPFPISHHTICSLFIGT KTARDVIRCAECPKTYATGVQNVMLTGTLLNVVADGWLRVSKADPVELGKQAAPPAYV TLLTQSSPNSAESWRQWLHQTVRNAIIGGLVDPAGRVRCSDSPNLLSLVTEFEERQQK WHAGKDAPIWVSPQKSSANFEGQGDLTNGDRQSDEKDYLCLRVIRSIKDVISKFNFEP HEYPDGAHL ACHE_30965A MSSSDEEVVRRPGRSGRAQSPGSEHNNTPVADSMDLDQPNGDGD ADLFGSDGDEGGLEELAEDDQPQRRLDDEQLDSGDDEGRYDRTEGRMDYEGGGEGEFG ETVNIMDLSLGRAPEPVTTNGEVYTMPVPNFLSFETEEFNPETYVAPPYATAATSLCW RHDPNDAALLQSNARIIQWEDGSMTLQLASAPREQYRISTKALAPLDRSGNYDTKLDS HVYLGAAAETSSVFRLTSHLTHGLTVLPTTMETDDAVQRLQESLAAATRGGKKTADGS APVIEVKEDPELAKRQAELAEREKLKAARRRQQLADREMDRGRRAGVSRTGGAGLTVG GLEDDDGLLTTRPRTKTARKPNRRGEIHTDDEDYGRRGRTREDEYDEDDGFLVGSDEE PEVGDDDDEEEEVLDEEEDADAEADEDTAAPERPAAKPRGASPEATPAGEASSRKKNR YVVDDEEEEEE ACHE_30966S MATFFQSVRQGFGRGGNNKNNTNNNTNNNAAPKSPAPLPVAQAP QISPAPNSPSLGSPLPMDSPAAYDPDAPKYFFQEKYAPLNVRGNFLTLCACPKNVELG EWLAHQIVEQYRLLHAMLQVIQEVNGATGLSICNEATCPTMSAGRLTYTWLVDGRAAK ISAPKFINRVEKWIISKIHDPVMFPTEKVVNAPDTFAINEASGAAPSNPPANATAEEW IGKSSGFPPTFYKDCQGIMKQMFRCYAHLYHAHWLNPFWHINKHDILNMAFVHFVTVA KYYKLVSDKEMEPMQPLIDLFIKQQRIPPEAVGGGHWAQQTSS ACHE_30967A MSDQTNPIFTHYTFADAIKELPLSALFAKASELQNSIAHLHRSN DEMKIFITESCESEEDKREIEGYVSENEGVLVRFTERIALLKAEVERRGQQWIEVANT NGTDTNKDNTDAQPSETQPVVNGTVGNEARRDGADQETGNRDAEGQNGIYL ACHE_30968S MSNVFCVAWRVPCPGLRSLSMSPSIAIPLRSACRDPGTSLPSRY SNYTSRQFFGWLAPFTLSTGRPLCVGSNPPPSVAILARPFSSTRYSLSDSTPPVEHGA QLRPEPFSADEIKSIFGKAKVSPIVANRVLAVLQSRREAGTLDLDLPEDITHSVRKPS LDAALKFLRANYPLDEDAAILARIEREEVEEEQKLIHRAEELGLYKPQSGTYDAERGE KDDPSGKSALLEFRKRNEARILEQEEKKRQEWLEGEQQDLEILKQQAEKNKALQTFEN TTALEARPRADPQQRPLLAWIQKHHLRGMDNSVDVSQMTNARRIIPSLAITLLTISLA YVFAQNYEPPLKQDRMFPDTPPAAATAMAIIGLNLGVYLLWKVPPAWRMLNRHFIIVN AWPRATSMIGSVFSHQTLKHLALNMGLLWFIGTRLHDDIGRGNFLALYMAAGALGSFT SLAAHTLLLKDLAATSLGASGAMSSLVAAWCMLHSK ACHE_30969S MSQETSQHDNNANHVTGEDPVPRMRIRSRRPADPMAFLFKLKRN RQAIVESQKPESVESSLSSCEDTFLISESEEEVEPLDGNEAQDKQAAEDKDKNSNNDP NGEVVSDLFKKGGPSKLQAQDSNDSTSVSAFAARVIHPWHIVDYHLYLLQIEGKKDLT ARRNGVLLRDGVECRSLYYDEMFEDDTFDPDLVGPEPSVLFAALPVPEHHIKFNGAAY VLEYDWNLRTLCARHFAWVPEDLRNVECVWNEGIIIYCVPIVEMIDVMKTALEQRYRR LEFLSTNPRCTTSYVAHDDPGMELVVAYSFCQFAVDFAEALIPEETGEGIEIFKSELE EIMEEIRLTLQRASYRAWFAVREGLSMEKFSHKVHFDRYLNDLFTYNKSVEEGSLRGK KWHAPGLDTCKKIRDNDAMKRRASNERRLKDLFAPFDQDEHKEKQERAFQEMLANVDK SQADALIEKPLQAPLTPLWAELNFDEFETSPGSPVVLHSAPLQDDGLETTEYHHAPLL CLLNNVLDLVQEQPELDTLENRGRFGALLTEMGICVKDTLDLFSIAPQQGFENDNWSL VIHDEANNEDDEELTAPVCRLLPSPIFD ACHE_30970S MAESYPGAQGAPIQNGVSHVDVISGPLINFKNMHMQPSSAIWHG SVLIVTKPGQPQPPQLHLRQAGPVGDIHNAQVNGGNVPTQQGMVIEGLRLYEDPGKAF WRFSIAIPVEPYESRWEYDIPGLQYVEGEPANSPWNFVVPGLNQSMRQMFHSCNGFSV GTDMNAWVGPNLWKEVLRVHESRPFHVMIGGGDQLYNDGIRVDGPLKEWTSIANPHKR RAHDFDNDMRARCDDYYYANYVRWYNTEPFRTANGMIPQINIWDDHDIIDGFGSYTDH FMRCSVFRGIGGVAFKYYCLFQHHIAPPLSTYTTDAPQTMSAVNGTAGADPRQLENTY VLENQEEDDSWIVGQRPGPYVEEKSRNLYMRLGRRIAFIGVDARTERTRHQVNYEDTY DLIFNRLEREVAVANGDIKHLVVLLGVPIAYPRLAWLENILSSPVIAPIRLLNKRFGF AGGLFNQFDGQVDLLDDLDDHYTARQHKKERKMFINRLQDFAKAHSVRVTILGGDVHL AAIGRFYSKPKLGVPTGNDHRFIVNIVSSAITNKPPPKAVANLLAQRNKLHHLDDHTD ETLMDFFDQQPGGVEKSASWNKVTMPSRNFACITENDALPTNPEVSGATNGYAPKQVP KDGHSPLHRGEEDVGTTHPAADGVGLSDMYGGLDVSIKVEIDPQNRDGAAHGYGFSIP PLQFNPQADTALRPVSGHAHSLRPPSARPNSDRPPSNRPHTAVP ACHE_30971A MESWAAICGLFAAIVSMVLDVALFWRDKLLSWWRSKSPRDRLLH RLKTARTYEEWEECAFELDELLGTDVWRQNPANRHYDYRLILGRLEALMSAREDEDIL TLANLLRSGLVRNLGNITSPKLYVHAYAGTKLLIDDYITQVALSIQHVTTLQTAPSHD SRFSSQAKLELLHDTRQAFGRTTLLLQGGSIFGLCHLGVVKALHSQGLLPRIITGTAT GALIAALVCVHTEDELLAFLEGDGIDLTCFDYRRRAWWGWSDNGLLGALFQQVKRFIH KGYFLDAQLLEECVRDNLGDLTFEEAYARSKRILNITVATSGKTGIPNLLNYLTAPNV LIWSAAAASNSSTMTNNQPVTIYCKDETGSIVPWPHSQDAVFRPWFNVHYNDGESPLS RIAELFNVNHFIVSQPRPYLIPFLSIELDLLDRRQTGKWNITRSLMRFVTAEIRHRLR QLDYLGLLPQIAGRLLIEETIPGPNLTLVPDLSLSDFSQLLQRPSKESLTNWVLKGEK GVWPAVSALKVRCAIEIALDKGYQVVRRRGPRDTSPVASMSGPRRRPNEGAVRRRRKS DFGFGRSTSTLG ACHE_30972A MRYIHSEERLPIPENVKVHIRSRIVTVEGPRGKLVKDLSHIAVT FGRPEKNVISIEMHHGARKGVATLRTVRTIINNLIIGVTKGFKYKMRYVYAHFPINVN IEKNAETGQYDIEIRNFLGEKYVRRVTAQPGVEVITSPSVKDELQLSGNSLEGVSQSA ADIQQICRVRNKDIRKFLDGLYVSERGNIVEE ACHE_30973A MILQRRLRPLFIAILVLLVILFYYSGDGSKIQNQRFYRSTVKAI EAQRHAENEAAAVKAQPDPKPIVREAPKAEAPKADTDKAKEDSEEMQEIPIAGRTKMT IPKNKDDALKKEKEQAPETDDHAEAKDELNAILKRAPIIVFSKSYCPFSAKAKSILLK KYSIVPEPYVVELDHHKLGRALQSVLGESTGRRTVPNVLVNGKSIGGGDDVSALDQED QLASTLKNLGGKWIQEVARKSQEE ACHE_30974A MDSFQHTPAPSTANGVPAQSALLARRRKSLPSRAHAARKSPSTV DPASPEVISSLISSLSTISVPVQSHFDNVPPIDPDIPSAPSFFQTEFSIPDPPPSSSS NGPGFGVTYGAQKSPGERPESPYLHPDDAADAPVVRMARAQPSPKVKATFDPPASPIR PTSKGSYTSTRKAYEGAAFGIISAEPPPPPISAAPSIASSSSEGRRSLKDALGLLRKT SRGSINDKERKADQVRKTTSYSDTMKYNVPRNRASIRSMYSMVDAAERPVSVGMEART MYAVSAPPSRDNQSLQILKEPAPGGIGSGRTIPARESSLRNSFSPSSKYHRSTRHRRY SSVGSKDAKADKIELEADNNEAEQVTKRIQQLKDQQQKIKSELETDNTPAKATRAATE TPVEPPVPSQKPSEVRQEASSPAKTIAVVDESAPAPAVLTGKSRTMPSTGTPLLSMTD QPQSKSIRESLDKLDQVDKLRHRQSLEPTTPTKRHKRSPSGPTSPARVSVAVDRPSSV DSVDVAVSDYVSSPKLTQRIPHPTTGRMIAFSEVGDPKGHVVLCCLGMGLTRYLMAFY DELARTLKLRLITLDRPGVGESEPYMDETGTPLGWPDDVAIVCNHLRVTKFSLLAHSA GAIYALATALRIPQHIRGRVHLLAPWIPPSQLSSIGSHKEPVPANAVPYSQRILRALP TSILRVANSSFMSATSASITSSLPKSPRRTRYRTTMKETSNNAAPAKANAPQRTQSKI RQQGYELEALENMMPGASYQPTLNANIGEADREATMTEERERQIDYDNRLTHKIWELA TMNANPAIDLLICLERNRSIGFRYVDITRAVVIHHGSKDTRVPVDNVRWLGKTMRRCE VRILEDEGHGLMASAAVMGNVLMEIAKEWEDWMILVQGKRKATTAAAAAARSGIAQRV ACHE_30975S MDAYPDDYVSHNLPFILLSGLEAKLKDEPESVDYPLLREKGLKI CSDFPPVTGMTAEELRRVLLDENATRIPWNLRDDNHERLLGAGYKVKSIGRSYTLPPR KADPPPVSPPTSPSSGQQNDILRVPSFVLHSPISPLTPSSPTFPDGLVPPLWVTKHQS LVPAAVINFFPFSLDPNMNSLRDNQLKIEINGLKKEWQSSGYKTRFMVVLLSEEGDEN NIGDTDDRIASIRRATNLDPKSIFLLPPDATSGELQEFTKSLFTLIQPSVAEYYRDLS KHARRKRNRSSIPPPTAPPTSGTSQTLSLQGWHVRYEFKLGIFAEFRQEMDAALRNYE SAYETLFGQEVFETIAGWNPRFNHARLLADSLAIRIIRCLLWAGQTAAAVRSWVSHRD RTQDIVNRRGKGSRNYGWEAWESRWSMVMADLVRRAEISPQEQYLALASHEKPTFTGD GLYPWEQLHHEGYWLYRSAKHAMKRRALAQQIPDEDRMPPGQSPASQIASKSYLYDTY LAPETHVEAPLSGSKGFDHSSLILSILKAALEEFAKRNQTRKVESLCLEIAEEYVQIG SWSDGLNILRPLWSTLSWRGSGWWPLMERFGSALRECALQMGDGEMVIRVDWELLSKA FHHRPSWHYDIHRSLEGISDKPKPSVVLRAEDVLSSITACLIFEKSEGNVGESLQAQL VISSFAHSSAAPIRLSDVKIGFEGCLRPIKVQSDNNAEPDITTPCTIESISLREASMS TVPSTAQSPNSGPATLKGIADLTIGPLQTKVVNLTCIPREAGEARVASITMSIEEGKF DLSYFITDQSQREFSWWQQTPKGVSKRRVGKGRDVNRCRIKRKPPKIRITTPNLRNIY YTNERIILTIGIHNDEEEDADVSAETRLFGRPESLARLQWADGENNSGKQDTEDNSTD ENDRHFIKRPVGIMEHSSKKELSLVLTDTNDASDYQLEISAVYHLLSDVQTPIIKTET VGLSIIRPFEANYEFLPRLHPHPWPDFFHVDDELLEDGPISKPRGLHQKWCLDTKIVS FALEPLIIDKVSVVLLSAGGGTACNIGSETIAGPERTSEIAPEELRESQFVLDVQKFI LGDRRPTALNLALEIHWRRRGSADDVDQAATVSTLDIPRFVAPAGEPRVLASAITSST LSGLVHMDYVLENPSTHFLTFNLTMEASEQFAFSGAKTTVVQLAPLSRHTVRYNLLAV QRGLWIAPTLVVLDTYFNKILRVLPTDEMRSDKKGILVWVDADD ACHE_30976S MAAQAALIADTIMGMKRALRKEQDFSGPDDSITQPTNRGNKLKA NAQYVREGALGYINSEEYYKQKIEHAGYTRYILQPNPVRYDSEGDELDEDDEDSEADA AAAEENPFSKIALEHLLCPIKHPSELPTHPSMSHAYKSKALRNMTQAIEDKLRQERAL LWRARNLYREFLGDCSWMPCGTVETSEDRWIFEPRILNMEPNTSGTASPVGGSSVAQK NGAQESLSGAMSQEGSETVQGEEDQLSQPVEKDVKMTDVENNETKSEEKNVEQTKEPK SEEIDTTVGDVFQHPGTVQPDGSHANGEYAEDAQLNQNQPPGSEEKSTRNGKSKANDD GMEARSDTQEAEDVEMQDGSPAPYRRMATRAWANTSNPQQEDGFSHRSFTPSNDPFTS LPTPHPLFLVPDSTRPDPNYGLPPNEAEDTRRLLWSYIQKQEETVRGFEHMLESLLRA CRMKEDVLEWCKAEGHVGEMSDGEDWYDHEKWGLAEGEDLKKGADEDEIEAVDESRAT GKRGRGRRA ACHE_30977A MAALTDFVDFSQLSLQVTALSIAFNPIFWNIVARTEYRNHFLTR IFGSPYYGCYFLAFTIFSLGILRDHLYQLALADQPYYAPVHQPILGAVLFGVGSVLVL SSMYALGVTGTYLGDYFGILMDAPVTGFPFNVTGSPMYWGSTLNFLGVALYHGKVAGI ALTAEVFILYWFALQWEDPFTAGIYAKRERERAKQAGKSQ ACHE_30978S MARSRELVVLGGLGGFIAWGLVVQWFPVLRYLGYAVALGAIASF ILLLGLVVLTTRTRDTARLRAKTTPLAFIAPKHWQREVYAIRQRSIYGPKPIYPQSFV VSEAADRTLTLIIRDFISSWYQNISPSPVFVNDVDRMIRVAIGNLQNRLQAEDLVSLI VSRIFPIFTTHLREFDIAERSVRGRNLTRNVTESEELDLAIASKYCEGRLHPAVALSL SDQKLAEQEYLRKISVGLLPQLFPKNILNSRVVAVLIREMFACAVLFPLVSTLSDPDT WNQLMEAYGRTALHDRKTVRKLRAALDEHASPMPHSKRGRSFPKLSPHDSERAFERFV RAIRRCNNLSDARRFRALVASQLKRESMVEEQDQVYLRRLETSKRVLDQKVLKLSAPG GSRVPHATATASHLRRNSTPVYHEMSLVDIMRDPSGLSYFMEFMDRQKLMSLVQFWIV VDGFRNPLEDDFGDETSPSSTTWNATDRNDMALISETYLTKPEIKVADGSRRLVKAFL SAGKRATSDQYHQARTVILTSQSAVLEEMENIHYPKFKKSDLYYKYLSSDQSSNSGGT AAHQPSSTASLEAPERRPLPPLTGRTTSQAGAKSKDLRRAAVSSTDVRSTGKLFDDDV SPRNSLDSERSTPLFDDDYDTDPFAISTHSLGKDSQSAEHEKSQSQVIETMEAALNDI ITDEPPKNGKPEDNNNNHDDLVPPELFGNGRSPTKSPRGSVELPREEIRTDEKSKPSI ASLGLVDESPRKGVFDDDLFPDQQKFIEDEYEEPAETQDKDPADEVHEAAPGDLGLTE AIEVLTTEIEKLGSQELVVDALTRKAELTNNTAELRILRKSKASIQRESHRKEMQRQQ YIIQESDNSLYGRSTVQIKSIVVGKEPDGREYALYVIEVQRNAGEQMPAASWAVARRY SEFHDLHHKLRTRYPSVRHLEFPRRRMVMKLQKDFLQKRRMALESYLQKLLLLPEVCR SRDLRAFLSQRAIISRDETRPRDDETKDLVTRIYNSVTDGMDDFLANFGVLDQLSTAG QNLISAATTSYQTGASSPTANLANAEDSVTAAEAEAELNAFEDRELEPFIKPICDLFL EAFDLNKGNNWLRGRAVVVVLHQLLGGTIERKVREGARSLVQDESLLRYIHLARETMW PNGMLRQNIVRTPSQRSKSRTEANVVLATLVPDLAGNVVGRANAQGAARKIFAMLNNQ RLNTHLVFTILDELVLVLFGGVVPGWL ACHE_30979A MSTEQPELTRVDSAIAGLSTSPKDEKPPTAKIEHRRRSSVAEGV FNIKDLEAQQIELVLPIETQKTGWKLNTSPNSIEDKDILKLYLVNPPVKKIDLHFPLG LEVAARNNKGVTIKDALDAIYKQFKKKSDDEMDAPYLAGFEWNKKECWTRLVVHQKPQ GPVQQSRKKGKKAKEEA ACHE_30980S MSLFGSVGATSTSAAQTNTTGDISKDVALNSPPEDSISDLRFSP ASEHLAVASWDKKVRIYEINEQGQSEGKALFEHEAPVLSCCWAPDGTKVVGAGADKAA RMLDLAAGATTPVQVAAHDAPIRCCDMIPNPAGNSPLLITGSWDKTVKYWDLRQSTPI ASLECQERVYTMDVKNKLLVIGTADRYINIVNLDSPTKFYKTMQSPLKWQTRTVNCFI DSTGFAVGSIEGRCAIQYVEDKDSSSNFSFKCHRETPPNQRDVNNIYSLNAITFHPVH GTFSTAGSDGTFHFWDKDAKHRLKGYPSVGGTISSTAFNRTGNIFAYAVSYDWSKGYS ANTQQLPNKVMLHPVAPEEVKPRAGARKR ACHE_30981A MASDSKILPQKGARNILVTSALPYVNNVPHLGNIVGSVLSADVF ARYHKACGRPTLYICGTDEYGTATETKALEEKVTPEELCAKYNKIHKEVYDWFDIGFD HFGRTPTQRHTEISQAIFKRLYENGYLAEKTAEQPFCEAHGSFLADRYVEGECPRCHY DDARGDQCDKCGHLLDPFDLINPHCKLDGATPVRRETKHIHLLLDKLQPQIEEWSRNA IGKGDWPKNSRVITESWLKEGLKDRGITRDLKWGVPVPLDGFENKVLYVWFEACIGYP SITANYTPDWEKWWRNPEEVQLYQFLGKDNVPFHSVIFPGTQLGTTDKWTMLHHLSTT EYLNYENGKFSKSRGIGVFGNNAKEIGVSPDVWRYFLLKNRPETGDTQFEWRPFVDSN NSELLAKLGNLVNRVIKLVTASYGSTIPEFTIPESFQPNLDEVTGLLRQYIEEMEGVH LRAGVQTAMRIAEAGNGLIQANRLDNSLIANEPERAAAVVGTVLNLIHLLASVFAPYL PSTSKSINEQLDAAFAYIPTLEDIKDGWKPTALKPGHKIGKAKYLFSRIDSKKADEWR EKFGGSQAERQKKEEDAAKAAAKKAASKAKKKEKKAGKPADAAAPTGGVEASAKGGAE ATTATTEGKNDEAVEKVTDGVSQVTIPTS ACHE_30982S MESNNLLESLLDLEEEFYKEGYDLGATDGAQAGYTEGSVFAVEK GFEKFAEMGRLYGKALVWAQRFADSKAFKPLGTDTDNISATNAINRKDLNASLDPSVC AEMATFPPSARLAKNLDILLELVDPASLVMANTEEAVTDADERLKGAVIKAKLIQRAL GEREDTADIHRGAKDTPAGAATSGDGTGSIEDISSLKIRH ACHE_30983S MHSRFAAIFFLLAGITRAQTGLTNPISEDCGPSVVCINKYANVL PYHFFRKLSTADVPSTFGDITYGNGTRLNDVKSADFLVFDKERGLEVLGSKPSYEFMF KVPEVVHEAPVYVASQNKLYLSQLTPGYLPQLVVDLNQEPPKLSEYLSNPPVYAPNGG TFHNGKIVWGASGGNRSIGGSEQRLGLRTVDPKTNKSTTLVNNYFGYYFNTIDDVAVH PNTGDIWFTDPDYSWWNSLTDTAPQLPTASYRYNATSGAVFVVEDSITQPNGIAFNPE GTIVYISDTGAGRNTVDPKFGQHKNTFNSSVGHRTIYAFDLSEDGTRAMNKRPVYLSP EYVPDGLKVAANGYILTGNGRGVDVLDSFGQLLLTVQTNYTVQNFAWTGPELKTLWLM GAGGISRVEWELVGQELK ACHE_30984A MLRLRQLFRMAEETTTKKLKTSPPIIGTHNGHFHADEALAVYLL RLLPTYSSSPLVRTRDPAQLEQCHTVVDVGGEYDHSRNRYDHHQRTFATFFPNHETKL SSAGLVYMHFGRAIIAQHTGLPLDHEDVSILYEKLYTSFIEAIDANDNGISSYDPAAI ASAGIENRFKDGGITITSVVGDMNNPDPTCPEEPQDEDSLFGRASTFIGNVFTRKLHH ASTSWLPARTTVRDAYHSRLDVHPSGRIIVLPKAGIPWKEHLYNFETEASAGQEISPE NEVYYVLYAESTAEDSKWRVQCVSTSESSFESRKPLPESWRGVRDADLDGVIAGEAEK SGKPKIPEGAIFTHASGFIGGHKNKEGAFAMAVRSLEQ ACHE_30985S MWLFRAVSSAFFLTVTVSSIPLAFDVGGRTCGLAFSLSLATFYF LFSLLKVTTPDRSWLRSSLIVVIRSTQWLIIPILLIWSLNRFSIDTDNSTSWVERTFS GKRAQDSSIQEWLFGPDGLVETVTIGNWEKLLRWSTPVFQLVEGFCSLLVIQAAGQIT RWLVNRGGRSDSWMIGLLVMSASIISSSVYFLWRVLQFPEISNVDAALIGVSITCAVI LCAWGIGSGRGNPVESSLLFAYVVLCIYQIFTDYKPSYPVEQIPSPSQAGDFPPLPPI IMASYTTLMHALSLLPSIIHAAFNVINAVFGAVTPSVLISLAYRLLVLYASTRIIPAV RESGARALFQEASLDDSDAAGQFLGFLSYFSPSILISVYTSLLMQHFSSTSEAMGGSG EWWSTQGGGGGNFWRWINLACTLGLYAVELYLGENDSLDTGLAGHWKAD ACHE_30986A MNPHQQNKVDISVSLGSWPPPERIQEETRDLTVVTRPQSLSPDE QRLLRLYGKMPNKKDLLQNKLKERKYFDSGDYALSKAGKASDVGVTNIGSQHPVPENI PHLTATSPGANNPAAASNSGSASSQGQQVPGSSISGHPGSIGFQGRSPAKESSFLQQE TSAEDSEGATDSAAAKGKDTQESNVSPPPARGGVPIKQ ACHE_30987S MSKTPATFVVEHLDPELGAWSALEYGCIARESHAIGAQFLLSSV PPSLQLPQELAAAQGLEVNQRSVEEIFADRKDKVCLLDPAAQVELSPADGDHFEVFLF GGILGDDPPRDRTKELRKKGYTGRRLGPKQMTTDTAVRVTRIVSQDKIALEQIPYVDY PEIRINENERTEMPFRYVKGADGQPIMPSGMIDLIKKDADKGVDDLF ACHE_30988S MMSLSLGRGSRKGASALSLSSMTSWALLLLLSYSIDSASAKSAA DYYVRSLPGAPDGPLLKMHAGHVEVDPDNNGNLFFWHFQNRHIANRQRTIIWLNGGPG CSSMDGALMEVGPYRVKDDQTLEYNEGSWDEFANMLFVDQPVGTGFSYVNTDSYIHEL DEMSAHFITFLEKWFEIFPEYERDDIYFAGESYAGQHIPYIAKAIQERNKNATQSGAS KWNLRGLLIGNGWVSPVEQYQAYLPYAYEENLLKEGSSLAKSLEVSQSVCLSRLEADK DRVGVPECEDVLQEMLKRTVDGNRECYNMYDIRLRDSFPSCGMNWPPDLVNIKPYLRR PDVVSALNINPEKKTGWEECTGAVSSRFTAQNSVPSVRLLPELLESGVQVLLFSGDKD LICNHVGTEQLINNMKWNGGTGFETSPGVWAPRHDWTFEDEPAGIYQYARNLTYVLIY NASHMVPYDLPRQSRDMVDRFMRVDIASIGGSPADSRIDGEKLPQTSVGGHPNSTAAE QQEKERIKETEWKAYAKSGEAALIVVIIGVSVWGFFIWRSRRHHRGYRGIYQKETSHN GSSVLERFHNKRSGANDVEAGDFDESELDDLHSPGLDREHYAVGDDSDEDEQRPSRPG QAQDHLS ACHE_30989A MAGKPAAAITHLSDLTPPSLERTWLTAPHPTLPIVATCSSDKTV RVYSLTNFRLLSTITGGHKRSVRTCAWKPHVQGESVLATGSFDATVGIWRRWDSYGRG EEGGPGGWGLKSGDDNAGEDDGIENDDVDEDEEEWRFAVLLDGHDSEVKSVSWSPSGM LLATCSRDKSIWIWEDLDDGDNNFETVAVMQEHAGDVKSVAWHPVEECLASGSYDDTI RLWREDIDDWGQVACLKGHEGTVWCIDWEGVDSVPHSHSVSTSLAEQWKTNNALSGPR LISCSDDRTVRLWRKQPKEQQQQQSNMPSIIRPTGTDEIWTEEGVLPSAHDLSIYAVA WSKRTGLLASVGADGRIAVYQEKFVNRQQDSLAGEAIYTSEDSTALPSSLQTEWNVIA TLDGAHGIYEINHVAWAKRADRSREEGKDEEILVTTADDGSIKVWTLGL ACHE_30990A MRRNSDIEIHLPDADPAVAPESMDEPDVEMSSEKPTAPLSLPAH IAARFYRKSTAARRSSVASSRRSSISSLHSHHSNASTRGASPTDHIAQHVRRTSILES RKARLADRTLHAEKVRRRATLSKAATKNMHREERALAAHQSRERLLAEITAKCEEEVR RAKKIAEDNREKKAAEHDRLRLEMAEKFAETERRRMLYQRSHRRQRTSSLPVTEEKKM AREVTRSLTQDAAAKTIQRVWKTYHARTIMQQFQTLGINVGNINNMIFEDVGALLSED RVLDTMARVLRLCGLQDMTNGTMGGRGAVRTFLSSYLIFTHPKEVLSSNGEQEQDLIA KARELLAAFEQVTSLLLSGCCSPSTISTELQTLYEAYNVFFSAFHAWKSHDSSVLTEI MLAQFVELELIWQTVKDDRAGGVADDYREGIRQNQITLLARLKRLVGSEKAMQMVRDV LKKAKRAKKRSASKQAIPRSATEGTASNEALTDGVTSPISETFNNVDSSVLRELDQQR ISPHEHFSKMLTALPENRTLVHELLVNKEFRVDETQYTEPRRHIMQHMCAMMRKDVEA GLGVNWTIAMATVVQDRLLRSLRPGNSLHVLISEVLDPKLVENQCKAGAFSYENFFAF MHTILPKLCAPYRDPQVHAFAEDKSGDAIDRLTRLMGIIDLLSLDHTNFMIRVAAPQL IQEGPGYEQRAFDKGLQDGTIDLGKTRRFWRTHRQVIVDEMKKRDPDNVNGEPRPPAP RIYAQGLSDLVLSNAAVSNDLIPETLELDRHRLHVLHATAYRIVATASILLTAKNLLK RDVRSQWKAEADRILSLDFNDITPGRVQSILESTHPMPSSASAQLAATIRRVLGPVAT ACEAASSLPPSLPQTTVEANANGDSSMQDSSSFSGEEGEPANHDSSNGSGSAASSFSD PVARLILSRLRAHVLSRLSASSASERVRTTTTASQSLAGAGMPEFVNAVGKLVEELEK VREVDWLCHGVFYERILDEGVSLGQ ACHE_30991A MSGLISSLLIEPVIRRTRHLSSQTDTSTSGHSGSDSPPSSQCRT EPYPLSDDNGSREITMSDRNQEYMGLGCGYAGPGNDPANLPTTSTLDSGATRDGRRAD TAPSSDLGNGSDNFQSIGDDLVSPGSGPAPSERSAEAFITLTQTSNNHERRRSQSRES DMDESGWQFALPEDDGMGVLRRKIHTIRDMKSSSAEKARMVHELMTEKYNASRGYPDN LHPPLLVSSSSQTPERSSAPIFHREEPSFDHPLSPTVALDQPNDNPYNLTEEDLKPTF FPKDEPESPVLDAEDMDIEEFEETAMLGCQHYKRNVKLQCVACKKWYTCRFCHDDVED HHLIRHKTENMLCMICGHAQPAAHICEKCGEQAAQYFCEVCKLWDNNSKKSIYHCADC GICRIGQGLGKDFFHCKTCSVCLPISIENTHRCIERSTQCDCPICGDYMFTSPETVVV MRCGHSIHHRCLSDYSKSSYRCPICSKTITNMESTFRNLDRTIYSQPMPEEFKDTRAL VYCNDCGAKSVVEYHWLGLKCDMCESYNTAQLRLLHGNLLESPEQDLESRHVSASRAR SSSHGAEEALSQTMAPLRINTNSVQGTSFPQQSLPSSADPSGRFLSYSLTRDRAVSPV ISNYFGLPPNRENDDKSISTSLFGSRTQTDTGNDHGGELRIWGTKLKYSYGFLSRETE SVDGEEAVESSDASVSEDDEDDEEREEEDDDDDDDSIDIFGHR ACHE_30992A MDQRAVVHAYRHLYRQGLKALHYSVPARHVLLNTLRSGFRSSSP NDFDSQRIANTVRFLQQATDVAGLEHKILKNLIIIRYWEQPQVRKNARVLKGIGIEGS EAHARKDANEQFELTLVLLNESLGTCLK ACHE_30993S MVRVFRRHASASARRAPSRTAKRNAQKHKVIMESITQEKKKLRS VISFETKAPPGYTFISAGNPQVTNACKELCRKDGLKIYAVTTTPHMRAHNLSQHVHRI GFHFPSAVVATVCMNLGLSLTAAGRVVPVHGVGGHIRSDSQELSQIEINTEARDALRD LFPNIPDNDLNQIIKTAFQKGQQKVGTALELPLARRAQLAVVAHIRHVYTNYDRLLKM TSFHEARSLVEESTLAKLVAWRGDDENGKTVLEDVFREVIVISDDDDDTESDEDENGP PSTKRDHSVEYVSSRARAVELPTKQIDFADPASRAPIRELSEDEAPSGFRVIPQVPKR NKVDRRGFSRYQAWDRAINRYRDFANATDTRLYGSSTDQQRPYSLQQPPHGVEVGTGS MDQPTSFVYTAVPPIHRVHSSLEHPSQGNPDIAQPTDIAPLRREPVLRDGHDPFRQFG LTDVPILNNPQGFHDGNKFRVGHQPRLLASSHTRNVDAQDRVLPSIENPSSPRRPVSG RLDGLREGIPRSLPIRSVTPQRLPHQNDLHNTSGDNSNGQQFPKRRRIAYYEPVNGNP RSGIAPGVVESVVSNVLTDTPTGPRYAPYEVAPENHSSREDLHLHRNFITPTGLSTTG CWPQHRGSPVHANPSFELRRVDGQKVYAAHSHSAEVSKGSYAIPSTPAHAVAVDDSHR RPVQISFDPKAPPFHLGNHDYSRSRLLEDSQLQAPTWRDRDDERFTDISNRRHLYADD FVRPVPYEPEPLEYTMQRPRVAETSHPSRVWVHDGEHRDGHIDTRAPVTYRDHRPLDH RAAPFHGYATFEDTHRPLGSDRVLGSSSQKQQYEESWNSARHVHSHSQYTPHAQIWER PTYVRRVERPEPPHPIPDNRPIVIVD ACHE_30994A MSDHQYKFNVSMSCGGCSGAVERVLKKLEGVKSFEVKLDSQTAD VVTEPSVSYDTVLATIKKTGKAVNSGEADGQKMTV ACHE_30995A MDEHSTSALQYGHLGKAVYVPETQAWSFSRTLNQPSSILYTGAT KMTVASPLTAPQSFLVENKNLLPRVHPELAACWSLVSNENFSHVITTSEICDSQVSSL LDLGCAVDLENDDSGSRVLPIAVFASGECGNTISFRRLEEDTLELRQQTTFMRVPSIG ETDATEWSVGGVPIRQICFARTIEEKATWMAARCPDSTTIFRPLYHRDPAPRRICRDN DSVLSNDARNSRLDANPLVEISSLRTGGFPHADITFNPWYQRQFAIVDQQGNWSIWEV SGRHRRNKGNWAATCVNSGSLPWLDNGDSPDLDNQPRHDGWGVIEWAGDVNSIVVSDR RCPMLYRMEGDQIWSIPIELGLKRKSEWILDIKRSPSNASHVYVLTTTSIFRLEITEF SGEGDVGSLYPHLSWCHYRDPEDTTLRLSALLTNEDFYLFLYSRLNNFVLGFQCPNAT EEEMNSTVRIPDPFILDIPSTVDVSPESQDSTNSTQFSTLIFKQIAHSPASVGKSYYD SSARLSKLFLLDSRLAVCESVYTEPTISGDTEEQPLGRDALRAKRRQQSARKTRAFRY RNDFVVDDWDESMRSMGATAIPSMGISTVSPHAIPKWTTDYTAVYAVATGRLILGSNE GEFSQDSEELLKNINSLADQIADTALSDQAANTLYVQKLILAKYVLKLTHFRLEVFGS SFLLDDIEKSAQAIEGVILLCKQRESNPQIEHRLSILPILPLMQLTVANESEGKPNLV YIYDRLVSTWLSNLPHNIPGRTRITKERIIRKLAADLVLARINITRKPPDGKHDDYLD NQDDAKLSNPETSFGSHGIVTPRRSSVPVSERDGGGSTSRSDLGATNNGVSDSQPTKR VPVYSTLSSLTTFKNQPSMSWNVESMLSHWVPGMDPAAYDWQRTVFSVEEDESQRMSR SVTPKRKQRKKTPQRTTMTSPAPPPISPAIPGIYGQSSQPTSDGLYRGIPQSSQVTTV DEDLPMTQVERGLFGGREANKKSVMKTRKKKRAAGF ACHE_30996S MSSSFDPSLSTSGMRPPLTTADAPSMADSLPSINFGFEDLRNRM AQFSMQFDAFIERGRKQVLEERNQFRINLAELQEDQRMKQKDIEILNLKSQTHEQTLQ KEAAEAAEMHAAISSITLERDARLVKRDRLRQQIAETQKSISQRLDAQKAHARNLDAQ TRLNVPELDFWQDYLCLRIEGAGREDRLKFIYSHLLEKDWEAEAWFELGTASRDYEVY HTRPKLEREALEQELDILNEDRDFGAFLKRMRKLFVEALK ACHE_30997A MSKQYLSWGSADNAHPIDIFSLAVTDKQILSASGASSIQVHSTT DPDFPLTQTIEGAHKVGCHHIVTDGRGTRAISVGFGGEIQIWICRDGTWSHDDAGPGI ADFSEVWAVALSENGQFLAGVSQDGHIKVWDLNASGEQIQDYETQGSFGTCLDISADG RLIASGHENGSVYIFSTETGRMPFSLSGLVKPVRTVAFSPAGKLLAAAGDSKVIVLFD TSSGEQVANLSGHSAWILSLAWSTTGEYLLSGSFDGKVKVWSIDTKTCVATHSETEKA VWSVKWLPKVGRSEGFATAGANRSIAFYREATGG ACHE_30998A MTLRMLPSTMSSQMFPRLLSFSPAAGQWSRSLQSSSVLNQTLFR PVGLSLTIPGLLSDIWDSVLRAVPKKKVSHMKRRHRQMAGKALKDVKNLNTCSGCGQV KRAHILCPHCVADIKKQWGKTQTA ACHE_30999S MATTTTPSVPTATVFPRSHVGFDSITSQIERKLLKRGFQFNVMC VGQTGLGKSTLINTIFASHLIDSKGRLTPDEPVRSTTEIQAASHIIEENGVRLRLNIV DTPGYGDQVNNDRCWDPIVKYIKDQHSAYLRKELTAQRDRYIQDTRIHCCLFFIQPSG HALKPIDIVVLKKLSDVVNVVPVIAKSDSLTLEERQVFKERIKEEFAFHNLKMYPYDN DELDDEERAMNVQIKDIIPFAVVGSEKNIVVNGQQVRGRQNRWGVINVEDENHCEFVY LRNFLTRTHLQDLVETTSQIHYETFRAKQLLALKESSAAGGHSATSRPISPSADRELS RNSQRATMNGY ACHE_31000A MNYLLGSRTRFLHFSRRLTTFACYSTTTMATSAVHKFRPVVVSG PSGAGKSTLLKRLFAEFPDTFGFSISHTTRAPRAGEQHGREYYFATKEDFLDLVSKSG FIEHAQFGGNYYGTSVQAVKDIAEKERICILDIEMEGVKQVKLTDLNARFMFLSPPSL EELEKRLRGRGTETEESLNKRLTQAKNELEFSKQPGAHDKIVVNDELDKAYVELRDWI VDGGKFGTQQ ACHE_31001A MPEGAKSKKNKKNKAAAKAKEEVGNKNTENGHLEENMENQSDEE APESNPQGTPAASINGKSVSNIATERTASNEDDDSDGESAATTKNDTQQQAKSDAEPP ALDAQSKDRFDALVRDRDSLRAEVTGMRKSLEEIQSRHREDMEALRQRVDDAESKKGQ AETQYQKLLERVNTIKAQLGERLKEDAEEIAQARSQIEDLEGQNSSLTTELDSKSSEL AEIAKENEEKAIEIATLRDRTNLSQQNWLKEKDELLEQESYIQAEFEQAKEAMHNWEV LAMEERSIRESLGEKVIDLEEQLVNLKDAYEKAASELDNQSSTVDGLQRALQEIQTAR KQELRELVESSDAQLEELRQSLRDADNKSSEAEKSLLIAQEELERVRPFEKEVKEKNL LIGKLRHEAVTLNDHLTKALRFLKKGKPEDNVDRHIVTNHLLHFLALDRSDPKKFQIL QLIAALLGWTDEQREQAGLARPGTSNTSNKLRVPGTPVRTPSTPTLATEFMDNGTSHK ESLAELWSNFLEQESQTGNESAAKSGNQGQSKS ACHE_31002A MDWTRTSGNYHSRDFFYLRTRQLTAVSYRSHRFHVDNLSSAHVY LRLRDGESWDNIPQKLVDDCAQLTKANSIEGNKKDNITIIYTPWANLYKDGSMETGQV SFHNPKQVRKVFVKERENAIVNRLNKTRVEKFPDLRAEKEEYMKIKRREERKDREEQK AREKQERREHEQLKWQKDHAYDDLMTEENMEASCNQDRDPDFLDDFM ACHE_31003S MGSTSTEVRRALEIARDSADGHIEPKANALLESAIADLRRKLEA QPDSYVLSGDEFALFNFYRRSKFNDSPSAQKIAQKATERFWNNYRQTSKT ACHE_31004A MMNILTEYLRAQLHLRLLVWSSHLSSQPQTGLAVFTCMVGVSST NITPNHIPLFPQSSPGTKPLAVANVIFAYAGHVAAFIFSELEDLIISETPRNHWRFYN SARRALHSERYRNIRLCRPRSRFSSAQFCQPTH ACHE_31005A MTSRFSRRLVKPLLYTSAAAAAGAGVLYISYRPRNIPGLEAPAV PPPGYREGKLVPPSFPVIKSRLEQIEELKRHSSDDNDYDLLVIGGGATGSGIALDAAT RGLKVAIVERDDFSAGTSSKSTKLVHGGVRYLEKAVWELDYNQYKLVKEALRERKYFL NTAPHLSNWLPIMVPVQKWWQAPYFWAGTKFYDYLAGSEGIESSYFLTKSKAIDAFPM LRRDNVIGAMVYYDGAHNDSRMNVSLAMTAALYGSTVVNHMQVTGLTKDASGKLNGAR VKDVIPGKSGPDAEEFTVRAKGIINATGPFSDSIRNMDEPGIKEIVAPSSGVHVILPG YYSPADMGLIDPSTSDGRVIFFLPWQGNTIAGTTDQPTEITPQPEPSEHDINWILKEI RGYLAPDINVERSDVLAAWSGIRPLVRDPKVKSSEALVRNHLITVSPSGLLTCAGGKW TTYRQMAEEAVDEAIDVFGLKPRHMSDVPDISGVGGSGLVSDGAVLDGSCQTHQVRLI GAHGFSKTLFINLIQHFGLETDVAKHLTESYGDRAWQVAALSSPTENRFPVRGKRISA LYPFIDGEIRYAVRHEYAQTAVDVLARRTRLAFLNAEAALEALPNVIDLMGEELKWDS RRKDVEFKESVHFLRSMGLPGTFLEMSRNDVEGGKVKDVEIAERKTFSRTEPPADMLE PDVQMSIAGPKAAVSKETTPESPKGISPESPANK ACHE_31006S MPLCVEDPKSHLSQSTQSTKREGGKKIMAIAAALSSLLSNFSQS PQQSLGLAVVLVPVIYIIFNEFVRASARVKGIDGPRGLPLIGNLAQIRKNAAEQYRIW SKTYGPVYQIQLGNVPVLVINSAAAAKILFGQNAQALSSRPEFYTFHKIVSNTSGTTI GTSPYSESLKRRRKGAASALNRPSVDSYVQHLDVETKSFVEELLKYGSGGSTPVDPMA MIQRLSLSLALTLNWGVRVASQEEDLFDEITHVEEEISKFRSTTGNLQDYVPLLRLNP FSTNSKKAKEMRDRRDKYLTGLNRQLDDRMEKGTHKPCIQANVILDEEAKLNSEELTS ISLTMLSGGLDTVTTLVAWSICLLSRRPDIQEKAIKEIQKLHGSGQPICDAEDDQKCA YVAALVRECLRYYTVLRLALPRTSIRDITYEGVVIPKGTVFFLNSWACNMDPDVWSDP EEFRPERWFEQPDAPMFTYGMGYRMCAGSLLANRELYLVFMRMLGSFRIQPHDDADWH PVHGNSDPTSLVAIPKKYKVKFVPRDGEALRVGLARM ACHE_31007A MATEWSLDFCLVCDRQTLGGPYCSQTCRLAELDLVSSELPLPSS EATTTTTKSSRSHPSRSLSPSSSQTSLSSLRSNISLNSALSNQFQNELRDYASCFDQV RDLKRRMTS ACHE_31008A MAYTSRPTMLPPGSSGASLRQPSNHQAISQQQSSALATRIASKK AELENLRQLRDLSAMLATQMQILESRIGTLNDGTEAVACVLANWGNVLRAISMASSKA VDLKDPAGSSEDIGDGHTETPLPATLVRIPAEPQEKTNE ACHE_31009S MARLNESTAPTESIEILKRRFVRQNREIARVNSIQSLRIRSLES EVSHLLSENVSLREQTISLGQELERYEAVKMLHDGVYDIKAKLDSKLAELGSLVTDLG ALPRRLGKLCDERAESTGPGHSRLSNLDWRRRATDAEYHAVTEDGKLPVILEDKCYPR KTLDPQELRDIIHNEECSPRSPEIEEVTPIEKEEERIHFNPELPEGLAGYQIMDTDAE KIGTLLPPTLETRKKRKPSPVAVNKMERAFSPDAVPMHHDMNLSLKSGAKRKFMSEEA ELFSSAATEDGDDDFQYSRASHLQSPEDHHTSIYDEFSPSKTQVEKGGGSRDRGSSKR KVLEPKSTNINAALSKRDRAVRDQKAQDKVHLQDTDCQYSTNGRREMSNQKNGMNAEP LAHDVNRSATEDDSVKHSVECRTSKQNSSSAPEIPEDEASSSALSATRPTRRQRSVVS YAEPNLRDKMRRPTSEFADAVTGGNPRRRSNIQSSHPNASDGGDNQINKDLSSKRSSY HFETIDGVQNPSFEIQEEDVASGNPMTTVSQRKRKTLPASSDGPSVEAMAPTHPTRHR SIYNGQLTDNEASLENDLVQKNSASSAKSATRYARRHSSNPRGQILASESGTGSTGEA KRDQSRVNPTGTLALDYDESMLAISNNTSLGPEACTQSMNSQTDVERGMVSGTDGRAR RTGTRRRSMMI ACHE_31010A MPQTRQMPSPAASSSQVLQVPDEQSAIRISGTLRLRGEDNSNSS GINTGSAPNRHIRWSQDVVDNEGMGKKSSKVCCIYHKPRPVGESSSESESDSDSNSDS DSETDHYQNKPNHLQHRLGHNESSHHQHSSEQNSEKGHTACNTKHCGKQGKQRKPSPN AYEKMPKPSKGHHKSQGA ACHE_31011A MSVSIQELDNTVQAFYEGKGDLQKQAQQTLTEFKQNPDAWLIVG NILQESSYPQTKYLALQVLDDVIMTRWKVLPREQCLGIRNFIVNFIIESSKTEESLRT ERALLNKLNLVLVSILKQEWPRNWPTFINEIIASCHTSLSICENNMAILRLLSEEVFD FSQDQMTSVKARDLKTSMTQEFSSIFQLCSEVLGTANQASLVKATLETLLRFLNWIPL GYIFETPIINTLLTRFLEVPEFRNVTLKCLTEIGGLQIGSPYNYDERLVHMFTETLTI VSKTIPLSMDLKQVFSKSNSRDQEFVLNLALFLCSFFSAHLDLIEKLPNRDYLTHAHF YLIRISQIDDREVFKICLEYWTRLVQELYEEMQQLPITDINPLVSMGVSGLSNGGAPH PSTLANYPLRKHKYEEVLSSLRTVMIEKMVRPEEVLIVENDEGEIVREFVKESDTIQL YKTIRECLVYLTHLDVVDTENIMIDKLAKQVDGSEWSWANCNTLCWAIGSISGAMNEE TEKRFLVTVIKDLLGLTEMKRGKDNKAVVASNIMYIVGQYPRFLKAHWKFLKTVVNKL FEFMHETHEGVQDMACDTFIKIANKCRRHFVALQPGENEPFIEEIVRNMRKITCDLSP QQVHTFYEACGYMISAQGQKGLQDRLIENLMALPNSAWDAIIAQANQDPSILQDGETI KIIGNIMKTNVAACSSIGTYFYSQIGRIYHDMLNMFRASSQLISDAVASDGNIATKTP KVRGLRTIKKEILKLIDTYVQKTDDLEMVSINMVPPLLEAVLVDYNRNVLDAREAEVL NVMTTIIHKLHNLMEDKIPLIMESVFESTLEMINKDFHEYPEHRVQFFKLLQAINLYC FPALLKLDATQFKFVIDSCMWASKHDNREVENTGLTMCLELMNNMAETDAQTSSIFFR QFFIPILQDVFFVLTDSDHKAGFKSQAMLLSRMFFFIESGKVQDPIYPPDQVPPGTSN KEFLQEYVANLLQTAFKNLQEIQIKQFVIGLFTFNDDFNKFKTHLRDFLISLKEFAGD NAELYAEEREQALRDAKAAERDRAMKVGGLLKPSEMDQEDEL ACHE_31012S MSTVASAAVVNGVQDSEKEPNADQSPSRFTAVNGREPLVSGTNT PTPNHPPSNEGHRELSETWGKGGYDTQYRQEERPRENGGIGHDQEDRPPQRSPSQYGQ SSINRQKRKRSESGEQQDPQNSYQSTGIPRSPGFRLEDSADSHVLPPGTSGPETGHPG SELKNTPPAMHPRPEAGEGSRTPSGNAPWNDYDSQLITQAQRAQQIDASDAQLAEALQ REAQGHDAVQKGWGSVNRPLESGAQSEQNSPLPTYSQERPQAAVQVAPKRKRVFSNRT KTGCMTCRKRKKKCDEQHPACNNCIRGGFLCEGYSSRSTWQKPSNVKAPVPLQSKEGY ADVGSHYMHDMGQQHDRQQGLTDQLEAGKMRPMVVDDNDRTPTAQYTTSPTGVGSSRG PSWSKRVWSGAGHTAYVSDHLAKNDYREVPPIHELSRDEHTKSDYQVVPSIRELSSHS AHPKPGVSLFQGAIDQRPAHANNMDISSPQAQARMALSIEHQLSNRTVTGEETEKEKM IRGELYRPFDIHLVEERERCKAALWRFNNSCNPVSGLSTKEQNRLLKEVLVPPNSIAN SPSGATASRQTGSIGQGAVVEAPFHCHYGYNIHISEDVMISENCLFVDDCCISIGAHT WIGPRVTILSSMAHANMQERKGSQSRYQGRPVTIEEDCYVGAGCTIYPGVRLRRGAYV APGEVVKTDIVAYGFQGLKPSYM ACHE_31013A MSFSNLVSDIAFRDAHPDDRSSQISHARSQATARSYTSTTATSV SISGDISSQLHAGYSHPLSRSWQAERQLTKEMLIYPLFITDNLDEEAPIPSLPNQHRR GLNRLVPFLKPLVQKGLRSVILFGVPLHPSAKDALGTAADDPAGPVIQAIRLLRSRFP HLYIVTDVCLCEYTSHGHCGILREDGTLDNAQSVDRISDVALAYATAGAHCVAPSDMN DGRVRAIKLKLIESGMAHRVLLMSYSAKFSGCLYGPFRDAAGSCPSFGDRRCYQLPPG GRGLARRAIQRDVGEGADIIMVKPASSYLDIIRDAKELAKDIPVAAYQVSGEYAMIHA GAAAGVFDLKSMAFESTEGILRAGAGIVVSYFVPEFLDWLS ACHE_31014S MAPSIALDNTPDFQYTPGKTLLLSPPSLSSQPDKLNAALLSCGR NATDLQMLDRLALGLVSLPGLTYDSIIILADSNDTFTESLKILGRELFANIVQSLKPG GYLRSQGGTPGLFDSLYQSEAILAGLVSDSERGFQKPSSGQQQAIPLQLGRKKKDRGK LANSFKATQESKFETTIEGNKPDIIEKPAGVGFIDFGDDLDPESVQDNNACSDEFIDE DTLLGDNDLGRPIVQPPECRPKAGKRRRACKDCTCGLSRKIEDEDAGKRANADKALDS MKLDGDDLAEVDFTVQGKLGSCGSCALGDAFRCEGCPYIGLPAFKPGEEVRLLNNDVQ L ACHE_31015S MAIDSHRRMQWHLSHLRQIQSHLGQTLQNLCLYWNHLLCHQCQE VPCLMVYAAHHLTYWLLHQLEPHEVVGELDVLAGVMKTTSIIDLRRIQEGGNEGF ACHE_31016A MSLHNFLNPPNEDMVDIADNDDLNEVISFHLSHNVESEFEMEPE DIPPVQPPSVKQALDCLRTLLLYEEFQEDAQQSDIQCLERLERHLVYQEVDRRMQTTL DGWIR ACHE_31017A MNSTLLAAPPDTYWGHFEEISKYNTHLNLIEKLWTTWYAWMQND VLATGIMSFLMHEIVYFGRSLPWIFIDSLGLLKNYKIQNNKIPSLQEQWNCAKFVLLS HFTVELPQIWLFHPMAQFFGLSTSVPFPSVWTMAYQIAIFFVLEDTWHYFSHRALHWG PLYKAIHKIHHQYSAPFGMAAEYASPIEVMILGFGTVGCPILWCAVTGDLHIFTMYVW IVLRLFQAIDAHSGYEFPWSLHHFLPFWAGADHHDLHHEKFIGNYSSSFRWWDYLLDT EYSPEALKRRRENKAIGTTKKAE ACHE_31018S MTKPHHNKKKRKKEKITVLSASRMIIIKENTCRLDTQLDRFQQQ VIEKIRDDVAMDSIGSVDQFQYRSQQLVALQSAVQSLSITSTAHNLLKPDKLVTLISN VSRRLPKQHGGQLDEYTANELDLFWLVTAKAAIQTLGLLLNSFVEQTRVFNDEILYWD EILDSSWSTWLHLVQTSPLRIWHGVRGLYSYTANRATQDRHYHSMSARWAHFYDLLRQ SVRLHRTYSMRNIISSHLATCRSDVRRKQKSLKTMRDLLASSIGLLMEECLSFNLGND HKISGNQWRDTVLRSVILMETILCNTTEEIGVVDFEHKVFTMTNAEEHFVMEQLNEGL ISEHPGILIDRLVHILQDLLPKYQLSSKVVARKYGHPSRLMRYWLPFSLLLFSASTSL KILANKRTEIIRWILEIGSTAVDFWSNWVVEPIQRLIGTIRHDKASEIAILSKNSLEA DRASLERMVVDFVQDHQTLTKGDPAATDKLTITNSVREGDLTPVLKAYERDLRSPFVG TVRGDLIRALLIQIQKTKVDVEIAMSGIDSLLKSQELVFGFVGITPGILVSYSVFQWI CGLFGSRKGLRRNEEHHQLRHALRNVDRTLAGSSLTTNGILNFKDHGLLICDAEYLLQ RARTVLKGADLRKFNEDVSDLIDIQRGADRQLQVVRRIGYTYSRWFQ ACHE_31019S MAGTRNYDFLIKLLLIGDSGVGKSCCLLRFSEDSFTPSFITTIG IDFKIRTIELDGKRVKLQIWDTAGQERFRTITTAYYRGAMGILLVYDVTDERSFHNIR TWFSNVEQHASEGVHKILIGNKCDWEEKRAVSTEQGQRLADELGIPFLEVSAKNNINI ENAFFSLASDIKKGMDMSKSEQAGSQGVNIDQQGSGLSGSTGGKCC ACHE_31020S MSETRLFTVRPLSKQPRSDFKDAFRVNLSSSSLAALKLRAGDVC NLKPAEGPPKTAIAWTATENIQNTVVQTSRALQDCYRIKIGEKVSIYKADSDLEPIES LSLQDCSDSDRLSKYGQLSHADNPHWIWCLELPLSRCELLAVGLVFELELKGQRRSFK AADIRPQDRSSASTLFRFTENTKVRIGEGFSATVANAVSDLQVQPSGLGGMSRQIDLI NQSLADFNSNEWRAAMPPFYEHSRGILLYGPKGTGKTGLLRQVQAAGWRKTFTIGSST FGRNAGDSEAKIRNVFQEAIRCQPSAVIIDQLEYVAPKRTSFDSQSLSSVLCECLDIV KGSLVLVAAATRHPNDVDDALRTPHRLATEIELQVPTSQDRAEILRAIRGNSTTALSN ELIEMIAERTHGYVGADLFALLQLICRKARQRQLPQKQGPPRVLDQDRVDESEGQDVK EVIVPLEIQEPDVISALQETRPTAMREVFLETPKVRWSDIGGQHDIKKRLQKAVQRPL KFPERMERLNVNSKKGILLYGPPGCSKTLTVKALATEAGLNFLAVKGAEVLSMYVGES ERALREIFRKARSARPSIIFFDEIDAIASKRSSTSQGGVNVLTTLLNEMDGIEELRNV LVVAATNKPDVIDPALMRPGRLDNILYIGLPDFEARKEILNIWVSKSAVHPEVDLVDL ASKTEGYSGAEIISVCETAGDAALDEEEETGQEQNVRWRHFEHALEQVPRQITSEVIE EYEQWRDAREL ACHE_31021A MGLGDELRGFRYPAAQENGGWKSAEPASSILAAQLAPRLSSEGG QTHHLTRETFAQLRQELAGGIYSQLRLDDSVADVNKLICIVLKAGLEPILPVDEGPRD YSLERQIIDCLDIIQMAVEKAPQVLVEISDPGLLGVDIHAPLFTWLFLRLVDLLGNWN NETIEAKTVSTFSSILYPQLRPWLSCQPVSTFLRACTMDILLSLEDLILPIPRGLGRN KPTIPTPGGTLLVELDRLGLPRALFERRVSLGTNSQAIILALRLLDSFHPRFKRNPCM KHEDLLARQNLAWILNGYQRLWKVILNLHQNTVSSALDSTSWIALQFLASLQSKSELE SFRSGLQSDIELLQTWLQCLSEAFRLDGFSQMPDLQLGLSSFLCHLDEATKDPNMPVD CMNYTLLPVLINIRKDSMFLVLDSNLQKSIQGLLEKLIPVNPNEAETYIAGTTGLKKK TMRAVADQYMSQNEDSPPSKRLCLPRPHVIHGTQNTLQQLVANLSALLHCEPCENLQD LRQAISKSFVELAEQEKCEVLNLLGVTACSMAGNPLTRPVGAITKDIPLCQACDSEDF KNDSVPQWRCSDFDELWHIFAYILPNITRAPGLRITAMIALRRALVHAPNSSQMQLVS SVFGEFCLHSLRSSMRELRVITGHSVTAFIRKNLDPEIRRSNFVVILEWLRNLSEKHE TSFHETCILTLCRLARLSDDEEMNIILLHLVEYLGHSNPFVCAVAYAELSKLAQHFSL TPAGLFRPFWRTISVTVVENFQSRPYMAEQLCDLLGMTVDDFLRLTEIYILPYLVLTR KRNLISKVGATYKEVKSPFDICSEKNNLAAILAFLLSQPSPNPEAMAMSVLADVDTAF KGRTLAELVRTEPILIACDLLKGLGDSREAKGNDLQSRFHRALDLLAALVPRKSFHGS ASKKVDLLGHFVEEHVLGIITQFAHAVNDFQVRQPLAEKKRYILAIGEMIKIARGHVS SALPQICACLKSALDIPGLCDHAFRVWGVLIKSLERDEVEPLIDQTLSIVIKYWVRFT EASKRLSYEIIEHIVRDHDDLVQGSFSTMPSLASIPAMAEFENWLNGLKGQMDVRSQF FAFIRRCQSESATVVEQALTELVPYLSKHESFLHSSVLSEQPDPVVAQLMRILLDCCV KFKTSSDSITVLSARCLGLIGCLDPNRVDSVKEKKDILVLSNFDSMEETFDFILFFLQ HILVEAFLSASNTRAQGFLAYAMQNLLRFCKLDSAVIQRHRDVQTDDKYRRWLELPET VRNTLTPFLTSKYTVTVGAIQPNCKYPLFYAGMTHGEWLRTFVQDLLQKGSGDNAQFI FGICSRIVKGQDISIASFLLPFAVLNRIVGGPEEEKLDLQFELTTVLSHPLPEASNHV SEAMILCSQSVFEVLDYLSRWLQGKKKQFNSMSSHGHHSSRFHQETARKSLAGAYSSH IKAVENLLASIPPEVISKRAVECKSFSRALFHWEQYIRQCNNQAVEQEQTNIEPLYQR LQDIYSQIDEPDGLEGISAHLHVLNVDQQVLEHRKAGRWATAQSWYELQLEKEPKNSD AQWNLLTCLKESGQQDAILTRFEVLKANDSALSRLLPFAVEASWITGRWTKLHNYLQL RSEQNTGYFNIGIGSALNAFRQGDKISFGEIVNSLRLNVVKSLTPNSVASLQLCHDSI LRLHALTEVECIANSGSEGANSGSLVTDALNRRLDVLGGYITDKQYLLGLRRAMMELT GHFTDSDIAAAWLTSARLSRKSNFINQAYHSMLHAARLKDKSATVEHARLLWKDGHHR KAIQTLKGAITANEFAENLPLPGDAELNQNQNQNMLAARAHLLLAKWTDRAGQTQSDV IVQRYREAIKLHTRWEKAHYYLGKHYNKILDSEKNKPLGKEAQIYLSGEASKLVIDNY LRSLAHGNKYVFQTLPKVLTLWLEHASTVDQPFDPKRGDNEDFQAHTLNQRKKSLDDM HTQLKKYTMSRMPAVLLFTILPQVVARICHQNWTVYDLLTKIVTRAVNSFPQQGLWTV LAVVKSSSKDRASRGVNCLHKIMELNKKSNMRNMINQGQKFSEEMLQLCVTRIEDKAS RISLARNLGFNHKVAPCRLVVPFQTMLTPSLPASHESEYLKGFSAFPRDPTTIDAVRD DALVLKSLQKPRKISIRGSDGKNYNILCKPKDDLRKDQRLMEFNNMINRFLKRDVESS KRRMYIKTYAVTPLNEECGLIEWVDNLQPLRNPIIRLLRERGIAPNYKEVAHYLAEAC SDISKLPLFTTKVLAKLPPVLHEWFVEMFPETGAWFVARLRYTRSCAVMSMVGYVLGL GDRHGENILFEEGTGGVLHVDFNCLFDKGLTFDKPELVPFRLTQNMTDAFGAYGYNGP FRRTCEISLGLLRQNEDALMTVLETFLHDPTTDFIPRKRRTHASVPETPASVLENVRN KLRGLLPGESVPLSVDGHVDELIMQATDHKNLAAMYIGWCPFF ACHE_31022S MEATHNFLDVSQEDYNHAYFMKQALLMGEKALQANETPVGCVLV YNGQIVGSGMNDTNKSMNGTRHAEFIAIQQMLQSHPRSHLHSTDLYVTVEPCVMCASA LRQYQIRAVYFGCGNERFGGTGSVLSLHSDHAIDSPYPVYGGLFRKEAIMLLRRFYIQ ENEKAPKPRPKKNRELNTSFEGDTEGFDYM ACHE_31023A MASDNKTIVPPRRANTDYPLIDSDPHVRRVFGYARPSDYAIAGG MAAASPFSFWMMERVSPSHVGKGGFAPVMRLATAIGLVGGLHILYQRSCNRFYGFTEN SREVQMDNREMVDKVKKGEPLYGASKLSSYLQGVAARNSRYSELFIHVIPWVNAVNHD QHGIDTAKYYQQAERELEAEKAGSN ACHE_31024S MGSEGTQESQINDLFDYDVGLDDILRDVSTTSKTNAAKPPSGSE GPGLGLGLDEEVKVSKKRQPVAKLDESRLLSQNGIPKLRRTAKTKLRFKGKGHEFSDA ARLLNFYQLWLDDLFPRAKFADGLSIIEKLGHSKRLQTMRREWIDEEKPKSSMDTFKD TLPERSQGNGQPFPNAHISESRANIEGLDGDDTNATMPQDKNRSDEELFMSDDEGGQH TLNESEPEDDDLDVLLKEQEDENPAPNKGSTIPEPSNEDTYEDDLEVLRELEAPGP ACHE_31025A MPPKGAAIAQSKAAAKPKTTTTKKTTTAATKGRTSQTTTTAKKS STATSKAKASEPAKSRKAKTTTEAATTAPASKKRKATSIDREEPVSQPKKARVTKPAT APKPKPPKVVINTAPSTRLNVYVFGEGSSGELGLGPAKNAVDVKRPRLNPLLPADDIG VVQVAVGGMHCVALTHDNKILTWGVNDQGALGRNTTWEGGYKDIDDADNSDSDDDSDD DSGLNPHESTPTAIPAESFPDGTVFVEVVAGDSSSFALTDDGLVYGWGTFRSNDGILG FDAETKVQSTPALIPSLKKIKHLVCGDNHVLALNEKGAVFSWGSGQQNQLGRRIIERN RLHGLQPREFGLPKNIVHISSGAFHSFAIHESGKVYAWGLNSFGETGIREGAGDAEAA IVHPTLVKSLTEKNITQISGGAHHSMAANKDGQCLVWGRIDGYQTGMKIDNLSDEAVI KDERDRPRILIEPTAVPGINASVVAAGSDHSLAIDVDGRAWSWGFSATYQTGQGTQDD IEIATVIENTAVRGKKLNWAGAGGQFSVLTEPANQ ACHE_31026A MDHDLSDASDLSLKSMSSDPDVESPHNETPAQTKDGLAVHSDGG DMEAAYNEPITSRDSAHQDQPPSPAGNEQLPHNDIGEDGDNAGHIIRSSNGNTIANYS LFNNPPNLARIRRVMFECKDPIEISLDEFETYWPFIDNVWVKQRSNSSKEGHCTTDYY MCRLRRPTHRSSETRPLPEGKRPRKKRVREGGICNFQIKVVKFEGAYSTVTISRTPGS STVHSHDLNYIDKVKRNSGLMEFARKEAVKGYLPSSIYTKFQEEPEKLYEAGGKFCTV TDVRNVSAKWRMQNPEVRLVPHDGYEYQKGHGIVRVHGSNDIKRNTSDSNPPQESLNH PLPPDTLQFPQFTLDFLEPYMPDHDEKRQLPHVTLSYASSMDSKISLEPGMQTVLSGP EAKLMTHYLRSRHDAILIGVGTVLADNPGLNCRLEGAGGFGGLGRMWQPRPVIIDPTG RWPVQPECRMLRTAVEGKGKAPWVVVSPGAQIHPQKLMMLKGHGGDFLRIVEYNQNWR LRWEVILHALASEGIKSVMIEGGGTVLSELLNPEYTDFIDSIVVTVAPTYLGRGGVGV SPDSKRDEQGKPNAALNPRDVTWMPLGQNVIMCGKIRPTSPPEVTSSTVDVAMDQQNG ACHE_31027A MASSNFGSLFGATPSTQQRQSQQPQQQPASIFSPNNQSSIFGNT ATTQVAPANSIFGSQPQAQQQPSQGSLFGQSQAQPVRSSGQTTQPAFFNSLLERGKKR PLSTVGQNSSFEELPSLQLGLDDIRRKARELGSGGPKDSQHGLNSKAHYLLAASGVSP GHAIRDLKELDPQATISAPSKEQDAFDPDNQKFLRNMQQRGRQVMIAESLARAHKDFD AFLEEKVDLDWEEQRRKIFQHFGLAQGSDSMGATAKVSGGRSTRQFKQPGSVGQSPGS RRSVFGRSGLEKSVIGAPGTGFARPQIFEDPAERSEGPAVHAPDLRFLREKMGYYADR VQLLNSARLQGHTFPVLHEFSGVEQHAGGDVPRQLFDAYQALICIVNESPKVMSASNP GSIQERRFSEDYLDETLNSRRAINLRKRIVEGSRSFLEKSFYDDVENVIAKNPREAQL GGIPSVINKIRAYVRLRAARKDLAPDGTELQMVGHDYCWILIFYLLRCGFITEAAEYV SQDPGFRSLDHKFVTYMTTYAQNRRLPRDLQQKINGEYQQRSRNAPENTVDPYRMACY KIIGRCELSRRRLEGINQSVEDWIWLQFTLAREDDRAEEVAGDVFGLEDIQTDIIEIG QRVFGKGQEGPGGYGTFFLLQILGGMFEQAVSYLGSYAPVSAVHFAVALAYYGLLRVS DFYTSGEEILSFTVKQHPQINFGYLVTQYTREFRTGFVEAAVDYFSLLCLNADLPGSL GKSQASVCHEALREFILETRDFAKLLGDIRSDGTRIKGVIEQRLGLIKLVDQDEFLKT ITVQAAAVADDKGLITDAVLLYHLAEDYDHVIDIINRALSDAVAVDLGGSGLKLQPLL PRTHTLKDGQEVAEPGSSLSLTAVEDPVVLAKNMIGLYNTNALYYQRIRQINRDTCGL LLRMMEAKAEVEAGKWTPALDAINELNILPLRARSSVSYIRSAAQAFSSFPPIISRNV GHVIMWSIACIGHERERLNSGAYENEIRQGMADELLVMAKDLMIFSGMVKYKLPPRVY ETLARAGAEIGAY ACHE_31028S MPAFQAKTFRRTPTASSPLGERIGAAYRSRLSRHPFILFGLPFI TVIVAASFALTPAAALRYERYDRKVQQLSKEEAMDLGLRGPDGEEGIKRNPRRRVIGD EREEYYRLMAKDLDNWEQKRVQRFKGEPDGRL ACHE_31029S MAGRPENPTLTPSQVNDEDVRKSVPIRKKPTQEKGIKIYPFTID KLCEENARYWFHVMENQLKAQFSWEAIEYYHEVGRKEFSTILREDVEWFKINLKADMI IEQGLQPVTILDIKDLDNAGLKWDRLKEIFLKSSNAKKAMKLMKMANWTWDSTRMNEK EAYREIKQLGKEFVDMNGGNKITIEELVVLWYLRGLGDKYATLRDTVMSSNVTLDEDY ILNRIDDMMHMKSGSTEKGSRVSNHGNKKKKGSKCYVCGRAGHFARECQSKHEDSESD IEWDQQKPKGRREGRQEHRRGGRQESRREGRQGKSSKQKGRLAGEQDDDSSQEELCEF SSYAAERSELGRFTSEKGSQANGSCPSVWCFDSGATSMSTGNRDIFEKLDMKSRGTLT IASGVQMPILGRGTVKFNLPNGSATVRLSNVIYVPGLTENLLSLEALHVAGFESRGSI RGYTLLKDGKIVARGRRIGKSTYLDTVSYTNALYVKPEQARKCVELNAKPDERTILQL LSRRAVRADDETEQRREIIHQRLGHPGRKRFNWCVETMDMDELKVRKRDKLLDDDCEI CVKAKQVKSQSHLPVPRARRPLQRVYMDYWGPYVGGVGEERYYLSLIDDCTRYSWVFI KKDRTSSSVQNTLELWLRQAERETGKMLLVIRTDNAKEFLALEPWAQLKGIQLEFTEP YTPPQNGVAERFNRFILEVTRALLFNSGISKRYWKYAVVTANYLRNRTTGAKGSGGKT PYELWHGYEPDLTHLRIWGCRVLYHQRSNDKLESRVMEGTFLLYGKSDKQYAVLPKGA DEIRLVTNPKFREREPGYLTMDKDSSAFEAPMMEPATNVNDAPRPTPMAIDVESQQRD AAPLGGKEASDQQGVANGQSRETNESTPEVDGSPLKSASKVDNAGNEADTQWEEQREV DAPLGEGHQKKVLLEGEKRQENLPQSDTGAIDEHQVERRHSGRTRQPSSTLMESRQTE KIYGRKRKAEGEDTGNSDRPAQRLRAHLARLAVATELLIGDREYEATEGARAAREKAG IRIPKSYNEAVNDPIYGSKWKEAIHKELSTLIGFGTWELKPRKEAEGTISSTRWVFDV KLGLDGRIDRFKARLVVRGNEQSDDDFDETFAPVFRLDSLRILVAIAALFGLEAHVLD AINAFAGSDLDKPNCMEIPEGLQDFDPEATRGLVLELKKSLYGLRQSANLWHQKISNF LKNIGFKSITADPSIFLNSRGLIIAVYVDDIVIFGKDVRDINTVKQKLKEFHPMTDSG LVRKLLGIRFTWGRDRSIRLDQEPYAQQILEEFGMADCKPASTPIGPSVKLETPDSSL LGRTEHKLFRRLIGRLIFLVIATRPDIAFAVNQLSQYLAEPREVHLAAAKHVLRYVKS TIGYGLTFGAKGSQGLYAYADSAYANSAKNRSTTGFVFSINGTPISWISRKQSVTAQS STEAEYMAVSEAAKQAIWIRHFLYAIGKGSIFCNVPTTIYEDNQGAIKIADNPVDHPK TKHIAVRYHAIRDHIGNGEIQLAYLPTDKMIADGLTKAANHVSQGRLVEDLGLA ACHE_31030S MSAMTGPRHSPSSPWHQDTQNQPLASASTGHHHTVSGHLSPNYF AIGANDASNPHDLKQSLHARNNWDIASQPHTQSTFSSQRPQLLPQKSLYEDIQGDYMR SPTVNEGNCRMSVLHGLPWNPELQKTNSSERTAALHSSPRNSYFQEPEHTVRNSHRPD VSQIDDSRSQDSMQQTSVQPVRPFQLSFQGGLANTVSCQNTPESGSQALHPAHGVNFV SAERCAELVISAHRESIFLDVRPFTHFAQSNIRGSLNLCIPTTLVKRPSFDTRKLENT FTDDSAKKNFARWRHCRYIIVYDAATADPKDAGPLSNLLKKFTVEGWDGEAMILKGGF KTFSNCFPGLTQNQQGEQLQAATTAAPKKPTTMHISLPAVAPVAGGCALPESSATVIP FFGNIRQHMDLLGGVGQMSLQLPKQLTESKRRLLPSWLRDASNPSDRGHGVSQKFLKL EEKELERMKQAFSYGSTSDAVSSKKYRIAGIEKGTKNRYNDIYPFDHSRVQLQNEPIG GCDYVNGNHLKAEYSNRSYIATQAPVPDTFKDFWRVVWEQDIRLVVALTAEFERGQVK CHPYWESGMYGPLQVKNFSQRYVYMDRPGSSLANDSGIKPSAAQVDSNQQNEGDDPCI IVRHFGLSHSAFPFQPLREVTQLQYPYWPDFGTTAQPSHLLQLIEQCNKIIETTSNTA FSSNEAEPQGQRSVLVHCSAGCGRTGTFCAVDSVLDMLKRQRSRAQHSSTNDNNYPTD EWVYNDELDLIAKTVADFRTQRPSMVQNLGQFVLCYESVLEWVVSQMSDGDDISQ ACHE_31031S MLLELPPELLLLVLKNTSTPAYLQATAACRALYEVATSCHSLVL HHVYQTPGVVTGVDSLETKELFQLLIKRSFRQLYGAEFRASCKAYSFESQEIDVQASS LAPSGDTNLALVVKGQPDVFLFHAEYEGSISPRARLKVPEQYEQQLGVMEVLKTTVCG ERDVYVLCRFTPNIDEHGPDANHPFVQQALQSSHNGTVVLFHFEIQSSDHHIRTCYLP DHSEYEALAIAVADRDTFAISWQHPRDDHDFEVVLYNVTDEVSDKDTNIIEISYDSSS LIDESGQRPVGGPVIDLSFNDRSSQLLYYHRAQTLYGSYQHLTTFDLHRNTSRVRFSN SLSLLFSISIPFFGTHRTTSHDGNPACNWRYLSFGIAKHREENWTVACLLKSEAFCRS YNCGHVLNLERGRRLQGWTIVGHFCGYQVPTSSLGGIIAASTRGTRIAMANWNTIYVW ALEPNALIGENSDDFYRPSSRSENTGAVELHPIVLPLEAVCFKLRFLENEDELLALTD RGVVRWNISPLAKGEKTIYHWPYYNGSLA ACHE_31032A MLCSPIILPVLSFLASHVAATSSSEQAATDILYWPVASSQPSTL ARVSYDPTSLESNVLSYFPPAASRKTTDAEDHIDKLVRIGLYTSTPANPKQWVGTVTS FSSLTGVGDGKPTIQLHLGPSDEVYRVSLRLSSSSSSSDATEQIKPGVELVRTESGPR PRLNRPVVVSPDGQGPQEVVEKTFFQKYWWVFLIVTFLAMSGGGEGQQ ACHE_31033S MASPHHRPSQQGYSHLDHFIGIDVGTGSARACIIDAKGDIVGLS SENIGLWQPQQGYYEQSTTDIWRCICLCVQRAIDQNNVAPESIKGIGFDATCSLSVFS NVNNEPVSITGPDFDSDRNVILWLDHRPVEETEVVNATQHNLLRYVGGKMSIEMEIPK VLWLKNHMPKELFDKCKFYDLADALAYIATGDEKRSFCSVVCKQGYVPVGVDGSVKGW QEDFLADIGLKDLTEDNFKRMGGVDGVNGDYLSAGELVGHLSEKAAMELGLPAGIAVG SPVIDAYAGWIGTVGAKVDLESGQLSTDVAKNDKTQAFGRLAAVAGTSTCHLAMSPGP VFVPGVWGPYRDTIQPGYWMAEGGQSATGELLKYVIETHPAYHQAKSIAESYNANIYV YLNEHLKEMAQEQKAPSISYLARHFFFYGDLWGNRSPIADPGMKGSVIGLSSDKTVDG LAIHYYATLEFIALQTKQIVETMNEAGHKLTSVFMSGSQCQNDILVGLVATACNMPVV IPRYVHAAVCHGAAMLGAKAASADDEGKTEDLWDIMDRFSKPGRKVSPSTNQNEKALL DVKYKIFLEQCHKQQEYRALVDQTVNSWK ACHE_31034A MDSYRTGVGSSDPMPSEAENLPSGTGASRRPARPPGQSRRSYDP EEGESSSRMPVSQSPSIAPLQQQSDRPRKPSISRHMSFKRQKPHKRQEFSTDDDVKEV QEDIAIKQANNPQPSPRIRPLRTQSSTLRRRLSARPTTLARADSDTNLADESGLPGPG LGIQEEAESPSQPDDNGGDNSTDHDHEIDDEDTTSDAESFTLKDRQQAINETHPFGIR IWKPALYKKSRSVEKTAEGDIHSSPGGRVSMMLFLTNLLWSLFFGWWLALAALLGAVA CFIFAYSPSAVAYGRVFAGLSWYILYPFGSFVQLETDEHYAEEDEGEGRSISEYEQWQ NGDIEHGGLFFGPRNNRSLVGRRRNSVDSAGEQDSLLGRPQRGHHRDLSLSHPKRRLF GRGKWTLGRVVFYTFFYFLIGPLMLLVSLVCWLLVLWIPMARVTTVLLNHLRRHPLAL SFHSDATSTRPNSDSSSSSSSILLCTYRAAGLRYWKYTVDGTNIFLINLLCVVLFAIF DYYFLSQTLGLQSPLTHPGLIFMLSLISIIPLAYFIGQAVASISAQSSMGLGAAINAF FSTIVEVYLYCVALTEGKAQLVEGSIIGSIFAGILFLPGLSMCFGAIKRKTQRFNVKS AGVTSTMLMFAVIAAFGPTLFYQIYGSHELNCRSCSSVAGAVSSDCRRCYFSQVPAVN DKFFRKAVQPYSWFAALFLFLSYVIGLWFTLRTHAALIWATEAEEKRAVAIAQDPAPY EPRHLLFPDGPQASSSVSPNAKASIRESQLYKRILGQSLKQVGLPDINPDDTLEQSTS SAGRDNNKSPYLVPPRPESAGLKNFSGLSSQDNENLVRQVTEVAATAAAVAARDAARA RKPSIQQPPLRQTNSKDKGTPDPVKAILENEDVGLEHAHASGGHDAPNWSRTKSSIVL LGATVLYAIIAEILVNTVDVVLESVDIDEKFLGITLFALVPNTTEFLNAISFAMNGNI ALSMEIGSAYALQVCLLQIPALVLFSAFYGRLIDQSELLNHSFNLIFPQWDMICVILC VFLLSYVHGEGKSNYFKGSILVLTYLVVIIGFYLSGYSNLETMGVDRFDTLALSADRA EKFYTIGRSSSGNAY ACHE_31035S MALDNYYRNKIESMKLEIIQGQAVLRRLEAQRNDYNSRVRLLRE ELGLLQQPGSYVGEVVKVMSTKKVLVKVHPEGKYVVDIADGVDIGKLTVGKRVALLSD SYKLEKMLPSSVDPLVSLMMVEKVPDSTYDMIGGLDQQIKEIKEVIELGLKHPELFES LGIAQPKGVLLYGPPGTGKTLLARAVAHHTDCRFIRVSGSELVQKYIGEGSRMVRELF VMAREHAPSIIFMDEIDSIGSSRIDSAGGGDSEVQRTMLELLNQLDGFEPTKNIKIIM ATNRLDILDPALLRPGRIDRKIEFPPPSVEARADILRIHSRSMNLTRGINLTKIAEKM NGCSGAELKGVCTEAGMYALRERRVHVTQEDFDLATAKILNKHDDKETALGKLWK ACHE_31036S MAQTELWSTLVTAIDPGNHKAMPAVLQSTIELLETELVKAKAAL QEIQPHVAATAIQRDELAIGAMAAYRQHLIARAPDFYGSRRLSAKEIGLEPVVHEVAS DSEESDVEQPPCTVTVMPPKRASLVDLLSNGLILDHMAPYLSASSLLALSSTSRFIRS MVLDTPYVFRHLDLTQCCGAQAAPLGAAASVPMDEGMVTEDEFYAAPLRGVFDNLARR SILQSVRTLILDGLSVPADVVGDIVLSDRFNVNILSIRDCQHLNERKLMQVLQYAVRP TRPPGTPRVKGIYHFTPMENQPRAPVRPRYRDWWASRCQPPSATPPPVKEPTLEPAQS QVQNAWYRPSGQLLKNNVDEEWARTIEKCEGIIAFDAVLCRAPRHNLVSSTNQPEGRS LRPAIATVALGPQGCDSCNTCPEAPAIWGQSALDNFPLLTPVPLHSSSIKAAKRPVLY SDENPAFIARCTDCITDRRCHRCSKWFCSDCLPQPVRIRANLSPHQTAVQGSGSASGS ASPVPEQELEHGVSKDCWECGPTCMSCKLEVERKCQNCRGEYCIEHNEGCSDHMCDWC NTSARHRLRGFN ACHE_31037S MMAGAEDSLRWSSQFIVTPPPQTPRLPGDKITLPQRALEELLAV APIQEVSPRGALIHTRAFDPFNPHTFAAESRAREQDADRQHQLPHPLTFRVVNPQNGR VIYAGIREFSAPENEVGLSAFLRDALGIEESQTNSEASDVEQSGAMNGVKTQGPTVTV HAKQLPKGTYVRLRPLEAGYDPEDWKALLERYLRDNFTTLTTGEILAVSGGRNESFRF LVDRVEPQGDGICVVDTDLEVDILALTEDQARETLRKRLEKESRAPGSKGGSSIGGAL SLGETITGQVVPGEYVDYELRDWNREDTIAVEIDVLDDDTNVSLFASPFSSRQRNRPR DDEHVFGDFSSQPSKRIKIASTNVELEGAETLYVSAHAFPFSTRDATDAGQKTPAPYK LRIVTNPSESEDIEKEDESGPQDPEDVQCKNCLQWVPQRTLVLHENFCFRNNVVCPQC RNVFQKRSPEWQNHWHCEHDNSYGNDAASKESHNRIFHETCSCSACGFEAEGLPRLAQ HRTTVCPGKPILCRFCHLVVSQQGESEPDLHDPEVLLSGLTPHELVDGGRTTECHLCN KIIRLRDMNTHLRHHDLERLSRPPPRICLNQNCGRTLDARSGGVKNDSLGLCNICFGP LYVDTYDPDGKALRRRIERRYLSQMMTGCGKQWCQNEYCKTGKSNNNTNNTQPPTMTT ANILTATRPLTNAINLQPDSTPNTAPFFFCTDQTGQQRRTMAEMLAAEGDVTSGGKTY DLAWCVAAVEATSGNLDRAKEWLDNWAPSKGEDVRAVA ACHE_31038A MAQYYPQQQPYGAQASAQNLQFFPSSYSSLSGHTTPSQAAYGGG FGTPPYPAAQAYPGGGTGGYGGFGSPAAGVSGRMGEQGGLRTGWLAAFGTEGYEGEPP LLEELGVNFEHIRTKTLTVLNPFARIDQHLMDDSDLYGALLYIVLYGTFLLLSGKVFY GYIYGVAVFGTVALHLILSLMSPALDTSASPNAADPTNYDPHSKPEGSTAVGHFSATL TFPRSASVLGYCFLPLVMTSLIGILAPMDTMFGYLLTTAAVGWCTYSSSGMFCAVARM RGMRGLVAYPLALFYVVFGIMGIFSSRGSGTLAAKTGTA ACHE_31039A MSSPLSAEDDDIFGRLQQRADPKVQEEQQQAMNERVRAIYQKAQ TRLGELIDQNSTLPCAISSIQVVNAPNTRRGFLERIFNPLLSANRNRPYSLAEALREV SARAEKLSRFDLFQEPIQVYLDQSPDADVRSGLANIDVYLAAKEKSRVLLKTGTDLGN TEGSAYGNLLWRNVLGGAETLNLNASLGTRTRSAYQAAFESPILSDPDFRFEIGGIAS STQKSWASHEEVVKGGWSKLRWLNRSGHRHELGYNGFWRQMTGLAEQASPTVRADAGD SVKSSVFHSWANDQRDNPLLPSRGYYAKTFNELAGLGPLKGDVSFWKSEVETQGALPI PIPFMKGDSGISFTTGFRAGILYPLGLDFKSQPQLSRVNDRFFLGGPTDVRGFRLCGL GPHDGADAVGGDVYAAGSANLLFPLPRVGTGKPLRLQAFVNGGRLLPLRTSNQSTPTT SVEVQDAMTSTISELANEMPSVAAGFGLVYAHPVARFELNFSLPLGLRKGEEGRKGLQ LGIGINFL ACHE_31040S MATKAAYKRLTREYQNIQKNPPPYIIAHPSESNILEWHYILTGP PGTPYENGQYWGTLVFPPEYPFAPPAIRMHTPSGRFQPSTRLCLSISDFHPKSFNPAW EVSTILIGLLSFMTSEEMTTGSVSASEPERRVLAARSRWWNSTGGGSHANATPGVTPT AKGINNVKAGDGGLKFRTEWPELDEENWKWMKDNRIDSTTGQLIPDLNATANKCSPET NALRRRAYGTSNRIGNVMEGGHVAREAGQSWVQRNKIWIGLAVIFGYALLTRLVNDVQ G ACHE_31041S MVSGDGTGSDPNTTHLQCIPAQANQLTGTSSSKNANMLIRFTAD ALEVGEIILQENLCAKKYFKYPELTEKLFAGGWMRTEDLAVWHPNGAIQILDRAKDTI ISGKLNPVGYKLNITHLY ACHE_31042S MRDAIQKLQAQGNAEQFDIGQFATDALAFADESLKDPSQTPTTG PCTISYTRRLM ACHE_31043S MQKASVMNAVPTHPFPAVDRPTFTFIDHDQDFSSKRIKNVKSRK AIRSHVMRDVRRRERLAGLKRGSKREAQLQKLPKPAPAHIVPDSSEDSSSDQATSCWS SSSPSSSSSSSSSSPPQVTESSVDLVLRQPKQQFLTQWGASNPLNGSSSNPVSQIIWE LDPFSTLQCVAGMPSMVPELILYYETVYVPTTFPNAQNGSKQANKALTTTSAFSDAGS FFGLMSMCAAHRAILSGRHSDLIDAEGSSRSLYDPDYYIMKDRCIREMEAKVRDPNRA LSNEAFDTIVSLLTSALIVGLFSEIRIHLKGLKHMVDMRGGITARGIRGSSIWAAIVV VDIKAASGLMTTPVFPLAWGSKHVPVDVQERIQPPEFSLTNRFGNALCANTLLSCSLR RVIHAFRGVAFYSEAIQTNPFSLQPKDHEFFRLFICEIEHRFVSYVDSESEVTVLHPI EAVTRTAAICYLNNLLIVSPPSTGLGRALTKHLKSAANKCTLPLLAQLPEENAVLLAW ALFIGAQGSTGQIEHDWFIEQLATIAVICGWSHWDQVSDSLSEYLYVSQVQSPIWKTI WDKVVAFVPTGIIGAY ACHE_31044S MGWFWADPLPKSGPVAPNPLASSDASPPPGCPMHASNATPASPP PSHPEPPSACPVKSLDSPFYTPLKTTPSPSQPPSSSENKPSTLSKLNPLNYMFSSISQ ERAPNQNVDLPVEREISSIPRGDSDGNWEYPSPQQMYNAMLRKGFTDTPQDAVESMVA VHNFLNEGAWDEIVGWERIFAKGFKSAWDKCKRGEENIAMDAFRDEVMGIVDEESTPR LLRFQGRPKELTPKAQILQALGWLYPAKFETSPPFDRHDWYVMRQTPSGPKEVRYVID YYSGPPEPSGEPVFFLDIRPALDTPTAAVERLMRWGGDVWWRASGGSARGKAHD ACHE_31045A MGTAVLFGRFCLHRGGSSTQLLSRFASPSRLRFACPPSWPRRQF SGGGYSGYSKRAPVARTVLLAALTPGAFLELAEEGDSGDKTGELHMLEASRAEVRTGV SKDARGLKRLREQLFVLWYCYIYDPIATGFRFVHLVFIFMPVILTFPVVWFGKRLEDR SGERAGTLWWFQFLVRAMERAGPAFIKLGQWAASRTDIFPPELCSIMSSLHSNAPAHP LHATKKTISKAFNGMPFEDIFEDFQEEPLGVGAIAQVYKARLKRSLASEDKDISQEPE SLRGKVRKNVDVLVKSSPKRVPSSYVAIKVLHPKVERVIRRDLRIMSFFASLINAIPT MNWLSLPDEVGQFGEMMKLQLDLRIEASNLAIFREKFKSRTTAWFPFPYLDYSTREVL VEEFAQGIPLSTFMELGGGVYQQEIASEGLDAFLHMLLIDNFIHADLHPGNIMVRFYQ PSELDLSLRKSTRASEAPTKAEVDVTESVLKRLKPHLKDAQDFENALNQLNAEGYRPQ LIFIDTGLVTQLNESNRRNFLDLFRAVAEFDGYRAGQLMVERCRQPDAVIDPDVFALR MQHLILSIKSRTFALGNIKIGDILSEVLFMVRAHHVRLEGDFVNVVISILLLEGIGRS LDPDLDLFKRALPLLRELGSGASFMQSVRSGDTSMLRVWVGLEARTLLQASIESVENC VKYDLLSPNI ACHE_31046A MASQYEMNTAGERVSAPDTQVQKSAVEFPSVEDILSDSTALPPR LPTERTDSLPAEEQTNLLTSLQEILKNFEGENEADVEAFKDVRSILDKLWSCDSDYLV QAANVLANGSRNPSWRLPYGQSGILDFFLRLIASSEITDNELLLHSLRLGGNSCADTD ENRLTVVKDNYTLAIIRHLLNPGLTQVVIPVLYNICMDFESAHAQVAENRIAYILSKL IKDGVFSDNEALLDYSHELIELAAEQGQGVESSPDGTISLLLELAADKKTTFSQSSCV VNSLVKYLENERFQHVCISNGMVERVLSVLQRSLSLEVNRSSVEEAKALVQLQLKLNQ TLAELSGSELFAKFYPLESPIFQILKSWLTASEDQLQICSCVMLGNLARSDEVCEKMV CDLGLHKELISILKSDARGGVLHSSLGFLKNLAIAGNNRQHLGNAGIIPAIAPLWGYE TVPQVQFAATSMARQLIVSSYENVTRLLEALPEGDASAEKPTYLSLLLGLFEKTDSAP IKTEIGRSVASICRTLIPKAKESETGAPLDSLFSLHEHIARPIGAMITQTQWPVVRSE GWFALALMASCKQGTLAALDCLQNMEAYSLLEKTLSASDSDSATEMEKVQRAKDRDNT IILVKELLSNDGVLEEDWKASLEGLMNDHVSRHLKGSEDS ACHE_31047S MTRAQQTLSVLLLVSSLYLVLYLGLVPLNETVQYEVIPVLPFYA LISFGCYLLGRLGVAIMTFNDVPEAHDELQKEIELAKADLRRGDVDVD ACHE_31048A MPQKVYVTYNQVHKLCQTSAEKILDVFRPNLMIAIGGGGYVPAR ILRSFLKRPGEPNIPIQAIGLSLYEDLGRGDPEEVPGTKVTRTQWLDLSSLEMNNLIG KNILIVDEVDDTRTTLEYAVRELQKDVETAQKQLGREGEKTNFFVFVLHNKNKAKKGE LPEDMMEKYYHASLTTDDVWICYPWEAKDIDEHDRLSKENPL ACHE_31049A MPLNVSTMTMKGVLQRPPPRHMRSRGDEGFRTPTFEDAEHDLST GSNGTLLSQPTAQALRDAHTSALESLQGSSTRVITGTIARKNSKINDTESADYVETDS SSAVNLSWRERIRHFTWAYFTLTMATGGIANALYAVPYRFRGLETIGIIVFLFNVVLY LAIWGLLITRFYLYPYTFKASFLHPTESLFVPACIVSFGTILINVSQYGPENTGYWLA KAVGILYWIDAILAVIFSAGIYLLLWSTQTFTIAQMTPIWIFPAYPMLIIGPHAGILS SKLEPSRCLTIIIGGMTIQGVGFLVSLMVYSAFIYRLMSQKLPKENVRPGMFVSVGPS AFTVSGIVNMASEMKRCFPNNFMGNGALAADVLRFVINFGALWLWGLAIFFFFIASFA HWSTIGHGRIKFSMAWFSFVFPNTALVSATFAIGNAFSCKPILIVGCVMVFPLILMYI FVFSMMVRAIVLRHILWPQKGEDKDEGGFEMNRVQPEIPAIRTMEV ACHE_31050S MAAADNRRSVLITGCSPGGIGNSLAREFHRNGLRVFATARDAKT IEELEALGIDTLSLVVDDEDSVRFCYEEVERRLGEKGLDYLVNNAGRSMYNWFLFWRP AP ACHE_31051S MEADLPEARHTFETNFFSVIHMCKTFLPLLIKAKGTIVQIGSVA GIIPYVFGSVYNASKAALHSWSDSLRVELAPFGVNVTTVVTGGVQSRIARTDRALLPN SLYAPIEDQYLRRVKHSQDGAMPHDAYARSVVAQVLYGSAPWRWLWPWAQGRKSWIWE GNKSWLIWLLVGGWAWSGVFDRMMTGMFKLSRLRR ACHE_31052S MFKLARSRPFATAFRAATGTPSRIAQQQRNLSIHEYLSANLLKS YGVGMPKGEVARSAEEAEAVAKSLGNDDMVIKAQVLAGGRGKGHFDNGLKGGVRVIYS PTEAKMFADQMIGQKLITKQTGAAGRACNAVYICERKFARREFYLAVLMDRGSQTPVI VASSQGGMDIEAVAKENPEAIITTPIDIKVGVTDEIARTIATNLGFSEQCIEDAKTTI QNLYKVFMEKDATQIEINPLSETSDHQVLAMDAKLGFDENAEFRQQEVFSWRDTTQED ADEVKAAEHGLNFIKLDGDIGCLVNGAGLAMATMDIIKLNGGNPANFLDVGGGATPAA IKSAFELITSDPKVSAIFVNIFGGIVRCDAIAQGLINVVQEMGLRTPIIARLQGTNME QAHKLINDSGLKIFSIEDLQNAAEKSVQFSKVVKMAREIDVGVEFTLGI ACHE_31053A MEIYHDHQVEEILIRFQNLRSKSGRRAVYLNVLNELRVDERREL KNHIDKSSFHRDILGSLPLELAVQITSYLEIADLFILQSVCKRWKSLLTNRLVRDSVL NWRYGPGTVDTSSNLAFLQFARDRVMLERGQPVSQSHFSWQLQTYQISMNPMDYCSGR YAWVEMDTFVFVRHLRSGVLQRFCTENRDIFDNVRITDQIVAALSRRGTCHVWHLHAN TEGVFHLPSANFNKFVANGTKVAFGFRENVLQWDLSTQTTHAIRVPTGLHLIILHPTL DRLATVHISNRDTGRFAGSIPTDRAVFTMRRFYRNGSTGAFLDEEPDILPLPSDIGPG GNFYEDLTDRKARCNHRLGSLHINWHNNRDLTEALILTADPRTFQIYMHRTHQGSIHN VGLSMAAIAPDLLYYIRKADGDPTIWISNPRAKRAHRPSPMLSRELLRKGVKWEEFDR KFLILGDECSVLLVDASGLRVWSFDREEQAMGAIPIS ACHE_31054S MSGLADPPTKVTFAPTVTTHAPGKTRNLPVLSSSVTGSNQSSGD PMDVTPSTGGTAPSNSDGANSNANAPNGSTDANNSNTSGSNTNNNTNNNSNGPNQAIG AAAAAQQPKVVQTAFIHKLYNMLEDPSIQHLISWSSTNDSFVMSPTSEFSKVLAQYFK HTNISSFVRQLNMYGFHKVSDVFHTGSPDSALWEFKHGNGNFKRGDLVGLREIKRRAS RHALIHRDSFPGHKTTAASQPGTPAEPVPDATETRLMALEHSLYDMYNRLGRAEEGNM ALNSRCQAMAEGLSRCYHWTYSISRFVQAMVPDRDSPLYRDVVSMQSELERHLDSVRA LENPHDPYLSARQPPYFANVAVEPPGAPLSPRQMPMDDSRRPSVIETSFRPNMIRPPM PPHLAVSPRRYGSVSAAAHPSPNHNRPQVPSVVTPQPPMPHPLSSVSSPPGPNLGRRH TSADIRQHGWPPPGVSPFSTHHPPPPPPHWPPSPHRTPTSSEQQVRDVLAQYEMGAPR RPPHSRNITPPTSADAPSSSMLSADNGWTFGPRYPRHESSLPATRRSSMASNVHSLLN PADTAERPDEDQAMNDDRKRKRLE ACHE_31055A MSSTEIILNRANVALARSQRLVASWLPPQTTEEQSSNTKSEEEL QREEDEIFTAVPETLGVGAPLPSKLPDGSWNRAELDSNDALRRQLLGRNYQNVMKEKE REREREARQRASAANASANGDANNSKSGVNNEAVEEDSYDEEDGRTVAVGRKFVKGQG QGQGLTKNQKKKNNKKRKAAEEQAQSETAAAAAASGDSLDQEGQEVEAQGDDQEGSSA KQDAGTSGSSSRPASRRKKATSFLDEILAERSKKRKER ACHE_31056A MRSYLRVPRTLLSVRNRSSKTEFAFRRFSGACSYNPQRSASYVT HTEPRASGATLHGRRPDFIRVNRSGSPVCYPTGFEPILGLPVSVVGEDHPKDAIEEED PDNDERYGLLPQDSDYNGLGKWLVESPLETIRAEFEKHNLVTVVTHSLILETVVIYSI KCHVHVTGKPVFANCNGRTEEEAARKAALLIVQKMHTHGTLQILNRLREGGSLELAMN TDLLATMRSALPDLMRAGQTPVERRRWFLPRTLARNPSEAAQMQKQPSDQQCQAADLP INAMKDQILDMIQYNTYSIIVAEAGAGKSSQLPQMLLDHAIAGSAAACKIFCVQPYRI AASSLARRVAKERGEELGQSIEYKIHIDDHLGVFGVPITYCTAGTLLRQLRLAPSLLD SVSYIILDEVHERTVDLDLTMLFLRKVINERRVMKAHTPKVVIMSATPDIDRVASYFG DESKAGYAVPHLYIPSPSRSAKKQYLDDLLPSLQTMYQESIASPYLQEHKTRTYLGRH YYQSKKNKMVRKMNPAKSDLTSLENDHFVPIGLVCLTISYVLSTTDEGTILVFLPSLP HITEVHRNLRHYGKGLGIDFADTERVEILRLHSNLPDNYDDVFSDSRPGCRRIILATD IVETSVTLPGVKHVIDTGQTHRRVYDPQARFSRQLCQWVSKSNLARRAGWAERVQDGG YFALYPRSLQYRFDTNPPSEISQDSLQNACLIAKKADPTTRIEVIMEQLIEPPNKSRV NEAVQNLQYIRAMNLRGHPTVLGDILSEIPLDPCHGKLVLLGVIFRCLDPLLILAAMG GDLALFHTSRGIERRMASRDWRIKYSINSWSDHISAINAFKSLRKVWYHQGTVETLAR AFGRSINYDRFREALDIAQETHRILVRAGIIPQQFYTDDSQFRFGGPEMNVNSLHAPL IKALILQSTYPNLAAPVPNDDSVYRTRRHNKSFPHAFGVNGITPPRCILTYNKGYTER FIADRFILGNTSHVTPLAACLFGGQLRGTSNTLCMDEWLEFSIRLSEGSEKDSGQTAK ELIEFRKALDRAIIAAFKTLTIPYENVDEGLPPPRSNVTRDLILDTVSKSVVDVLDRD NEKVPIAAHAQPEN ACHE_31057S MKHAKGLSRLAEFRGLDCYRNEFDSILLKASRGIIIMNSIFSGQ ECFLASERWHLAMKEHSDTFLPAGLGNLIEEFIAYFTFAPSLIHRLYALKQADPASPE TWTQMWETLTRTLEMQHKLDAWYDRYSCIAPPPRETISPSGDKLHPMVLSYSDPTNAS VFCGYYSYMVIIHEIFKACGYPGEHEAMTVYFRDQICKSVEYNGRGLLGPYQMAFPLR VAFEVAGPVVKSWIKGWLIQFSNVYPALQPQRLERSLPD ACHE_31058S MEAIAYVPEQQDEAHFKKKWRKTNALEAIFSDQRRLARLRIGKR HCRTGDANLGIIQISGLSLIFQWCLYSTYAAPANLSTQTMSKTSIARCFGFSCDARTA IPVQIL ACHE_31059A MRRPSGRKTRNLAKSRYVIIGMCIITERISLLFHHQHRSIMEWL PVAARKQSLLGRSLLNRTRPFLSSQASRPHYAFPPSSRRMNSRERTTEADIDGDEEET RQAYPLSGYYQDILSTKSPYRRQAPTSRPVPTKPEKSTPASTVEPQSPKDKMSIVFGS RLQTPGRSSRYDPGSTPPESMWKTINGVAIPPRPSEPDNCCMSGCAHCVWDDYRDDME EWANRLEQAKARGVPKTPDMRQNKRAEVESASMSMDDDGGGSEANWPVEDQKEDIFAG IPVGIREFMKTEKKLKKKHQEATA ACHE_31060S MLSSPAKRRKTSETTAAAIDALQMNQKAHENNGRFHQRRPSFQS PTKASLARSHPEVLARALSRSPTRSARNDSGSNNGQQQEQEQVDSRTFGLRDRKALRP SIAPGASPMDGPTLSPRSAFAVPPRRVSRKIGPLDLAFGTPEAKPKNETADPLPDDTP EDQLAELGNDVNEDNMDQGPSFLDGLEEPELPPTPTQLGLEKPPGRPKGLLSSSPSAR YERRTRQRIDDTPKPSVLKLENFDATGNPTNKALNAYRALLSDAELKRQQTKKELSAE LKRLKDDVAELEAWTEKLNNPNMKTEFRKDLNKLISLLSSENASHKQSSPPTNASISS ILSALLPFSSKAPPKPVHEPPSPTNPFAMKEHAQAKQYLTVFAPLSLTTHSNKVSASE SAPLTESHSLTLSTGPPFPQNLYNITLNYETNPETQSLLSISIPKNDGSSNVPEGLRR WIDTRLSNPLLKLDVSGLCWGINRYWEASISRAQIWSRIEARHSKLLALRKHREPNRK EDTSDLRHVLPHLDRTSMTFCLKDTSKPLRVLISCALTLDEWTSEPRLFPEVSIASPS ASGKKIEQETKKLFNTLLRDEQGADSDSVGDIEPGAIVRAVDAVVGVLFGLDDTHDKG SKGKLPVR ACHE_31061A MAIKHNNQILNQHFRKDWQRRVRVHFDQPGRKHRRRDARLAKAA AVAPRPVDQLRPVVRCPTVKYNRRVRSGRGFTRAELKEAGIPAKLARTVGIAVDNRRV NYSKESLVANVDRLKDYKARLILFPRKSGQFKKLDSSADEVNAAKAAFAAEGKTEGYA TKVGAAFPVNNPTAEEAITEISRDSLPKGEEAAYRKLRDARSEARHQGIREKRAKAKA EEAAAAKK ACHE_31062A MSQDTEPQFSQGSLAPPVEFNIPANSVQRTKLSASPDFTRAESH TSQSEKSKLLSPPPLSASDMTPPPSTQVPGAPLRRSRSRSRSNSLLESPPNMEKSLCA AYGASENLPTVEDINTASEHQLRAISKDLLNIAQEARMSALHFKLQHGLLSFSSNEAI KRAEVEHQLARREVEILQSSEYRNRHGPAEIKPLQPISNAELELALRRNQELERNNDT LDRRLRRAKRLIEQEKVKSDMLNEENQRLKGRIRDNRQHLSLMIEQGSMSLSPHTELH TPRRRSGRHFSDHSNTSIHYGSHQLSREESGLAALLAAGQFADQFSKSQESASVASTP DQNFAHSQHPNGDIYGSHQVLPIPKTPSRSQKAHQQYLTPVGNARQEQYERDSTISAS DIEEAETVQEEDEEEREEEAPGSQASSLATDMLRRNAGSRHQETRSSANVPKTSTLLQ TKLFGQVKKAGAEPAVSLKRKATNEEERGVKKSKAVGLGIGAWGNRA ACHE_31063A MRAFSYILGYSSALLACLPTCLAAPYQSRNAGPYDGAPVSTVFQ LDRNQTWFENLVVQRNGSILATRIDVPELWSIDPATKNGTSSQGVGSLLYKFPNATSL LGIAELERDVFAIVSGNLSLPSTISTPGSYKIWTIDLAGEKPHAKLLAPVPGGVFLDG LVKFSDDLLLTTDAGKGAIWRVNTTTGESSQVLSHPSMKPADGQPILVGVNGLKVQNG YIYYTSTTKEVFARFPVDENATPTGPIEVITSGFTFDDFALADDGTAYLSTNPQNQLL KISPEGKVRLIAGSQVTKAVAGSTAVAFANDEQSILYVSTSGASVEPVLCKTVEPAKI VVVKLRGVS ACHE_31064S MDNQPRTPMKPSGIPRPVPRASRLPLPTSTASKSLKPSPSRERL QADPGLDATKLRRPSEDIVFKKPALPSPSSSLKGTYRRSGVPQTGVSDIARQEAEELD DDASTVYTNGSELDDSDTRGRTMLEKPSLSDRTIETLSKIPPSPAPSRRQSSFFNATS PIRSPSRPTSSMTNYSRSPSVSSFARQPIGSDLLSSQHPSTMRLPSRSRISATPTLPT NSTPTDQDQAVAAPESPSKLRRPSTRTSLYGGTKSTEAATAPKPRQSLSRPPSVKPAD SNMALPAQTLQVKKTRKVPSNSSLKRPPHSADSRKSSATSTAPDLSVKQQSEIETRKT LKSSNALRDSIAKAKAARKAAAQNATQTTAAAPADPFDIADPFNQQPKDSNHGLFRKR VESARTSGILNIAAMSLTEIPQEVMTMYEFNPDSSTDWYECVDLVKFIAADNELTVIP DAAFPDVDPENVDYDSEEKGIQFGGLEILDLHGNLLRSLPMGFRRLQHLHSLNLSNNQ LTTDDLHVVWEMEWLRDLKLAKNQLQGALPSAIGKLRDLEALDLHENSLTELPEELGE LSALRSLDIGQNEMVTLPFEALSRVPLRDIRAPKNKLAGTLIPATVQQLSALQNLDVV NNALEKLSDNDQLELPSVQTLSLSVNRIKSLPNVSTWQALLSLLMEDNSLSEIPQGFI ELNSIRNVDLTGNNIPRLDEKIGLMDSLVTFRIANNPIRERKFLSMTTDEIKQALRNR CEPEIQADTDDDEGSVATQFTLAPETRAETPAETLAVDLPESPAQTTTASATTSTTSW QIRPGGVLDRSYTETRELETEQLEGIANSQDIRCLYLQHNELSNFPVPALNMLAHSLI DLDLSHNPLNSFALFSSSISLPNLQNLTLTSTGLATMEPILTNLSAPLLTFLDVSINR LTGNVPAARQMYPNLKTFIAADNQFDNLEFEAVQGLQVLDVGNNNINALPPKVGLLRA EGSSKNWGGGSALRRFEVAGNTFRVPRWQTVAKGTDAVLEWLKDRISTDELRELESDE EGDFEE ACHE_31065A MPQKLSLVLTTSLTLLDQFQTIIYSPPQKPVPNDTDDAKRKDDA LSLLTASSHALHSQVTKLSLLTITAPFTRSAICTVLSALNESVLPSLVTAALLVNPDD FTKAFHAEIQILAKAALKELAALIGEVKGVADKNDKAQSEGKKEEDLSQAEKDVVTVA TGRVWDACDVLVDVATKGVVGFVIRRVEQWRDLVKDAVEEIEEWDPDEDDDFFDDLLG EDGKGAGDDESDENDDEDEDTAISHARKKSTLRVLKPVAQIFPAISTNRLKNAGNAPV ASNANVRKIESLMVNLYSIPEDIDEVAGALYEANWEKHTRFLGKIKDDAAKAVGLVKA PWGAEGTVDKFTTWSKTWLKVVDEVSKSIDETS ACHE_31066S MGTTKPRLPNKSSTTEARARSLPEKTFIIDNGAYTMKAGYAPES PPSEEPLSTCNTIPNTIAKTRGNRIYIGSQLNAQPTDLNEMMFRRPVEKGYIVNWEAQ KEIWEHSFFDEKTVRSKEFRIASPEETTLVLTEAPNALPVLQKNADEMVMEEWGFGGY LRCVGPSLNAWNEVYSLFGDPISQKPDTIVSPAECLLVVDSGYSHTTVTPVYKGQPLQ RAIRRLDLGGKHLTNYLKEMVSMRQYNMVDETYIMNEVKEAVCFVSDDISRDMERTWK GNRKRDQPDPGEGIVVDYVLPDPNAGKKGFMRPHDPLLGAKKRKSVLSGEAGAEALSE DVLVLGNERFTVPEILFTPSDIGMKQAGISDIILQSLSVLPPGLHPVFLANVLVVGGN ALIPGFMERLQTELRQIATSECTVRVRCPEDPIRSAWLGASRLATNRDELKKVAITRQ EYQEHGSLWAGRKFAGAV ACHE_31067A MDTEQHRCPCPACQNRQASSTVPDEIGQILEGIESPLEKLFFFH FLHSTSHILTLPSDQNEDPVISVVTPMAAEHPMVLKAVLCLGASHMMNHMSSSDTEAC MFAGEKQKLLQETEELQDSRVTALQDLDSRTQERRAEYEALLTSYLLLYLYELSEGTD DESWQVRLGRARDIVFSVWEEQQGESDEGDDEEDEGPDREELEELGFDGFLMQFFLYH DILASVTAQRPKSNLISCRLEASSSPNTDQKEHMFGVYNGLIDIISRIASLRSDANTA PLLQATVIAEAVGIWQDIDDWKLPDNGISEDYRNMCEAWIAAAFIWLFSIVYPDRIAD EKVQTMVDRGLQCLSSVELPGLLSFTQFPVFVIGMACIQQQSRRVSKEQLYRIKEFRQ FRNAQVCRVVIGSAWEAYDTGDGHSWDWIRLMEVQETNVSVT ACHE_31068A MLSSLRMLGQRGPSIASRAFSATSPASAAEVKSLGVIGAGQMGL GIALVAAQKAGVPVTLVDNSQASLDKGLKFADKLLEKDISKERITRDAADSARARISP SLKMEDLSSVDFVIEAVPEVPDLKTSIFSQLAQIASKDAILATNTSSISITKIAAATT KDATDLQAPSRVISTHFMNPVPIQKGVEIISGLQTSKETLDTAVEFVKRMGKVSSVSA DAPGFLANRILMPYINEAIICLETGIGGREDIDSIMKNGTNVPMGPLTLADFIGLDTC LAIMNVLHQEIGDSKYRPSGLLRRMVDAGWLGKKSGKGFYEY ACHE_31069S MEDDGAVFGQNVQVVDPDVRAYVYSLVTALGGFNGEYADRYVLG DDALACLRDIKRWLRLYDEKNNRMDVARCLGESNLVNGDLIPILTLWGSNEKASRHMS RIALACLELLVPMTWPLEIHKEMTVNHARHMPYLQQVQVQYKKGLLSNASAGILRTVI RIGLPSMAVPRSERTNRDEGILKLMLYLLRNVTIITPDTRLVAEGEEEETSRSTTINA FQEQDAFALLLTMCSNVGDDFNLQDVVLLEILFHIVKGINVEKLFMDDEQRSVKRTNE LDDLLRQESSLRREYTKNAPTRHGRFGTMIWVKRDDAKVSTVSGQDVLKGGQATMQKM DQTKKWNKPLQRRRITEVTVNDDFTSPVNISSTASKNLRRFVEEFLDSGFNPLLTHVR KAIEREADRVVNINSRQYFYTVAWFLEAERARRDRQRKKHAKEGKHSKDIEPDSFGLV ASVLNQETFVFLNRAMQYAVDNKDWEDLTAEMRCFTQILLTVQEMALSPLEEDQEIAE NIQSRIFYEETTHDRILSIIRGFKDQGFGYLDACTELAHVFLRMLERYSKTNTDMQVR SRRKARRKAKQDQPAVGNDEEEHASEDEDHEDAERVSRERKFDFTRFSARFCNQKCVD TFVAFTRNYRELDTEQLKRTHRYFYRIAFKQEMTVLLFRVDIINLFYRMIKGPGALES SQPIFREWEELVKHIIRRMVKKMEQRPALVTEMLFSKINATVFYLEYGHERQTISGVK KAPAELEVNPKEATTAEDKLGIVVAAMVKDEQTEFVKWIGEVLGSAADERESWEANEA ERQAEGSQKPDAPNPMIAVTPPDEKIRNAMFSNAKLRLLMTLVKLERLGMEDVAGAQW VVPSALSSQDLKNTKTMIDQSLDKPISDDNDPRELLRRKYGENARGNDSESKGNVNFG SDSEGEDDVPDGFLFPPNLRNKSNALDELKKKRKKKRNQDSEKEPLDDEAIEARRKSR LDNARARQAKIKSDLFIHASDEESGDDEEFFRLEEERRKAQADRVKKALLTGDLGDGP GKKKGGRKRKSDVAGAQSKRQRRPQAEANGDSDEDNDDDILMTGVGDTSEKEDSLAED SRFAPIEDDLDFDDDLAFGRDRRRESDSADQDEMLATKTADTNIAGDNGDEDVVPTAP GRRRIRAGFVIESDSE ACHE_31070S MIPISTSATTPYFTKIEAGHNRHSLSSLVSHNGRLGSRVLSSRF PREETAIEKGQLDDTLQNPMARKVQPRAHATSNTRPLSQLPTEKGSRLSGTLASPDGM ANKMPQPIDNVESGRFYWTRRSSETLKKSSYDTNPTMPPIHQPDEITYRRTTRTQERS RHRTRADRMTQQRTSPLSESQPQEEDNELSHPLHQVSQPQPTQYWLGRFVTLTNAFHY EDSFNQPDTATGFGMLSSYSRPDGSAERNLANYRIKRAFMVLENACLTEEASWSLRAF QSEYIGVKGDRWMG ACHE_31071A MAAAPSRPFDFLEGLPGTVFYKLYQQPSTALAIFRRMLPDLAKC FVMALLYLKDPLPAADLEDWVRSESLRERDNALSILGRLHILTNTTTSNNVRAYMVTN PFAASLRQALMGTEQTQSFGVLSQLGDETAVTISDLDEYAGRQWEGVLGYMVGTSGLG IQRDVNLSKGVKQLLQAGHLVEIRDRRVEITKDGFAFVLQDVGTQVWHILILYVESAE HIGMDSVEVLSFIFLLSSLELGKSYQKKNLTSNQIRTLTDLADFGIVYQESPETTRFY PTRLATTLTSDSSALSNPISGSLTGTDTSSEAGSGFIIVETNYRIYAYTSSPLQISLI ALFSTLKYRFPNLITGKITRQSVRRAVEMGITADQIISYISTHAHPQMRKHNIAKTAN PQAGVPPSVLPPTVVDQIRLWQLERDRVKATAGFLFKDFVSFAEYESPCRYAEDIGVL TWKSDRKRMFFVTRHEQVAAFLRSRK ACHE_31072S MEKSTCTTTSQHTDLYIYIGLGVLSGNLGFRASLQVTAAKPGVV NFELDVQKEHTNRLNILHGGTIASMVDLGGSLAVASRGLFATGVSTDLSVTYLSSGGK IGDKVLAEVTCDKFGKTLAYTNIKFTNPAGDIVARGSHTKFVALAWKDPQNIVEQLKE QNEQNP ACHE_31074S MVADMINILGPLPRRWWDRWEKRGELFLDNRSMRQDSLRLYLAP FRPLSERICSMGRYEFSPEEMACFANLLRAMLMYGSSDHITASVAIESEWMQGWAR ACHE_31073A MGLQTRPAMAQGLDSRPTVEDFREDSAWVKLAKTYWLEASNVRK AKQDVIKKEIWDPLEAEGFNVRSLLTLENLSILEKYLWPTYTEDASNYHVLLIALIVS IKQREHLSIWGIFSDRPDEFSSLFHRILSMSVDSSLAVSSRLSILSFIISAFQSLENT LVRKECAPLVSISIWHNLPSDEARERILSKGPMLKKAWRASAKRYDAGDEPTKAKMRF ERSWLYTMLLDFTRRLNGLSQEQVDDLRYCERFLEFLVDLESQLPTRRYVNTLLKDLN LLSVIRLSQLYRSPENALFRDFCNLLKHFVNFAIDDYSGQALSPQAVYDIHCQELAKL QRTSMKFFKDKLTILALSNYGSIEQRSELEGQLSSLEDSELQSLCSHLGFRTTYPQQS QVTPNRNLYLEILLSFYERKLPFQEAASHLTIVPTEESLYDPALIRNETYDGSRPLAI PKLNLQYLSLGDFLWRSFLLYRSEAFFQIRKDMESVVKRMQPRASRDGRTLTFDGFSR MAIPISKPAIIEVAPPKVGSANPAFVRAEIAIEVGRLADNVRREWESLRPDDVVFLLA VQQTPSNKFGIRDQPEGPSLTYLRSAEVVQVLDEQGRPLREPVGANGYHHRPRVRRLL LNLDTAAFKADKDRNAQGRPDIYPLINVIAKRKGRENNFKSILQTMQKLIVSDITLPS WIQDIFLGYGDPAGARYTELPNRLKSVDFRDTFLNWQHLVESFPGLTIEPSGSEDSSF GPPYILEYVENSAQSASANPPKKRRRDQAEKATEPSSVRASTYKPPNPGPYPVDAPKL NTVRFTPAQVEAITSGTQPGLSVIVGPPGTGKTDVATQIINNVYHNFPSERTLLIAHS NQALNQLFQKIVSLDIDERHLLRLGHGEEELETESNYSKYGRVESFLDNRYYYLSEVA RLAASIAAEGAHGNSCETAGYFNTVYVQPAWAKFWDYARAENTSTEEIISAFPFHTYF SNAPQPVFDASATRDTVLDVAEGCQRHIAKIFSELEDIRPFEVLRQPRDRANYLLVKE ARIIAMTSTHAAMRRKEIADLGFHYDNVIVEEAAQITEIESFIPAALQNMKNGELPLK RVVLCGDHLQNSPIIQNLAFRQYAHFEQSLFLRLVRLGVPTITLDQQGRARPSIAELF RWRYDKLGNLPVVETAQEFKQANSGFQFDYQFINVLDYLGSGEREPTPHFIQNLGEAE YAVAMYQYMRLLGYPASKITILATYAGQTALIKDVLNHRCAKNNLFGMPKIVTTVDKY QGEQNDYVILSLTRTRTVGYMRDVRRLTVAFSRARLGLYILGRREVFESCYELKPAFD ILFQRPDKLMLAPGEMFPTTRSLDDEVQGTPMEGVEHLGQYVFEMTQAKLKAMGEDIM VEDAMPDAGAELVDEDEVMLGAGEEQDEEDYVA ACHE_31075S MADVDVEATRTYRELVDDLLDRAERVKPDKQIEPPLTEAQLGES IWQVQGDDAHVVDGMNQQTQFAAVEIAFREKFYSVLATTSIDDPSFIQIWNLLDIVCI FSDNEQCEPGLIFWLIEELLDSQTIDGCRKVFDYLESRRERNTKKHFKQKSLVILRSC NELLRRLSRAEDTVFCGRVFIFLFQSFPLGDKSAVNLRGEYHTENVTTFDGNTKIASK GNEEADIEMADQKESEEQKAEKDTQQPSGDQETPKTPKVVVSDNTNREAEKKVDLDAL YPMFWGLQAYFSAPTRMFDPQHFATFKTGLESTLSTFKNINTDLESQSNKSAEELRKA MKRKRTSDDTEIASSFNPKYLTSRDLFDLEVNDTAFRRHVLVQALILLDFMLSLTPKA KARLADLTNKSVLYGFVLGDEDAKWATKMRKAIEEYLQEGAGGKFYYRMVDTVLSRDK NWVRWKAEGCPLIEQPPISVSEYIAAREQASKTYSNKRLRPSPMGSLDLKFLSEGEAL ASLDRLKEPNRFDVPTADSFMMGIMDDELDIDMAQSKEEKDNALRSKASKTWRILRLS SRNKLAAFDKIDDGKNLKALFEDPSLPDFEKGAGASQSQTQMQTPAPEGQTQEQTREQ TQEQAQEQAQEQASEQTSQEPTSAPETAPAPEQPAQQQSAPEEASAPVPAPAPAPEQT MEEVATENTEGGNGGSNASAGVSEGDLHPADDG ACHE_31076A MANLAVWSTYCSSTCLCPSINLNGLKNLQSPVLKNISMQPCGTS QLTSHPTEMYSRGALVLPIKSTSSNPETAYPHALISATNRLAERFPCCVPSHYIPPQF VPPRPRCQKDGCQKKQARVRTTANPLIQ ACHE_31077S MSVSGPCLWLPSPAASSHFSAIQTPASAANTWLSEQGLSSNQPE RTGDPVLPAVSSTLSTINTPVQAELMPPKRADDRTVQATTDARLSRTHLEKFNRSEPL LSSVSQDATSSSPATRESSLERKRKHSDSHLHDKEIAEGAMTPSDDGGERQNTPSDGK LEKKKMKRFRLTHNQTRFLMSEFTRQAHPDAAHRERLSKEIPGLTPRQVQVWFQNRRA KLKRLTSNDRERMLKSRALPDDFDTTQVLRTPFDHKSTSETPGLLTNSNRPNDDEYAM SPMSAPSAGGGYFPPTPAAERPQDAYPMAPNRPAIPTSLADLHRAGRGAYPFPRSSSF SDAYSGFPHSYSRFSSPSTESLGHGLPYGRRPMDYGIPRPANAIVPGYDPNRPIEGSV SPTDQQEASIPYSIDQHGQQVPNYHSSMAMPPPKGYGGMDVNASLQPPRQIPALHSVP VSDSPDYRPYSYDPQSYPMNNNIPYTQANTAGMTTYPSDTGHMPPTTAAGRMNPPQMM DPMRARFGSHSLDYASYL ACHE_31078S MVHPNTTCCKTSGDSGCVCAAQAKCSCGKENALHCSCNKASTEN AVSGARCSCRSRPVGQCTCERSTSENQPFSGSSCPCGARPEASCTCERADAVESAIET DFTSFGR ACHE_31079A MLVCPIRCSTSLSLSRRSAPAFRCGGARKNIDAVAVRYPCSPHS NCTNSLKKIPIRISRGIMMARPYTRILDFWFSPKSSPTYLQEKPFWYGSPSDDAYVRH HLSADYESAQSGSLDDWQHLGDGEGALALILLLDQVPRNIFRGSPRAYATDNKAVAVA RYAVLDRGWDRGMPTIQRRYMYSPFNHSEHIKDQEVSVRLFTELGDGYHLHWARNFYE QIKRDGRFKHRDTVLGRK ACHE_31080S MASMRGLVQFIADLRNARARELEEKRVNKELANIRQKFKGGSLN GYQKKKYVCKLLYVYIQGYDVDFGHLEAVNLISSTKYSEKQIGYLAVTLFLHEQHELL HLVVNSIRKDLLDYNELNNCLALHAVANVGGREMGEALATDVHRLLISPTSKAFVKKK AALTLLRLYRKYPGIVQNEWAERIISIMDDPDMGVTLSITSLVMALAQDKPEEYRGCY VKAAQRLKKVVVDNDVAPDYLYYRVPCPWIQVKFLRLLQYYPPSEDSHVREIIRESLQ QIMHVAMDTPKNVQQNNAQNAILFEAINLLIHMDTEHNLMMQISSRLGKYIQSRETNV RYLGLEAMVHFAARAETLDPIKKHQNIILGSLRDRDISVRRKGLDLLYSMCDTSNAGP IVNELLRYLQTADYAIREEMVLKVAILTEKYATDAQWYIDMTFKLLSLAGDHVNDEVW QRVIQIVTNNEEIQAYAAHTLLGYLKTDCHESLVKIGCYVLGEFGHLIADNQGSSPIE QFIALQAKMITSTDNTRAMILSSFVKFVNLFPEIKPQLLHIFRLYCHSPDSELQQRAF EYLSLATLPTDDLLRTVCDEMPPFSERASILLSRLHQRTAGTTERKTWVVGGKDANAD KKEVLMAQNTGLKRTFTTIVNGSSGAASAASPVSSAGDLAGLDLSGPSAAPPPNLASA AHLTPEWEVGYNRCYFADEGVLFEDAQIQVGLRSEFRGHMGVVKIYLTNKSSYPIGSL TTTLDNPSSPQLKIDSKNLPEPHVPAAGQTQQTFFFEAHGAFAAAPTIRISYLAGALQ AYTLQLPIQMHKYMEPSTLSAEEFFKRWRQIGGGPLESQHTFGVTAKGKSITETFTRR LVEGFRWRILDGVDPNPRNIVGCGVYQFAGGKTGCLLRLEPNYERKMYRITIRATQEG VPQSLVKQMELKLSQGTKDGA ACHE_31081A MTEPSPTMITPASSTRTTTLLTNLSAVTSRISAAAASAASTSTS SASTIKTAQQPQPRLIAVSKLKPASDILALHSPPTSHLHFGENYLQELLEKSRLLPPT IRWHFIGGLQSNKCVLLAREVRGLWAVESVDSEKKASLLDKGWGERKYLDSNDVEEKE RKLRVFVQVNTSGEENKAGIEPDAAPGLCRFIKDKCSRLQLQGVMTIGAIARSKATTP ENENEDFICLRETRDRIVKELGLKELELSMGMSEDFEGAIALGSDEVRVGTTIFGDRP PKSEARIV ACHE_31082S MCQQYLTVYEWCRCEENSGNLICAPHKEHECPGVAIEAVRMHCF CHWHASKGWKTEHKLLKKHNKKLKKTQKRHSTLSEKSLAPKRWFSWSSLRSRNSI ACHE_31083S MDPSNRDDLPPVPFASSKAASSHHLNLNPQSLASASVAPAPVSP ALSSPSLLSPSDATPRSASRDNHHNQTAPRRIPSSGSLRDESRKSTPSLQKRSSTASL RSVRNSASSSTGTLSRRSSSNFATSPTTTNNMMSKKAPVQEIIPTAATIAAEHFQKDI DWHHSADLQSRTLVVVHDACYGHRFARPRSSRATLGSIVERPERIRAGVLGVSAAYVR LGRRHAGERYAPHPSLDPRALPAPPFQIRKTARSLALTSPAVAHVHGNKWMDDLQTMC DAAESRLALNGKELVRPRSAGKDDHAPEFHQGDLYLCSESLDAFEGALGGVCEGVDAV FGPSSTKRAFVCIRPPGHHCSSGHPSGFCWINNVHVGISYAAMTHGLTHAAILDFDLH HGDGSQEITWEQNSRAATAPKNAALYKKTRIGYFSLHDINSYPCEMGDFEKVRNASVC IDKAHSQSIWNVHLDPWKTESEFWELYATKYAILLEKARAFLRLHTERLASTPNGPKP KAAIFISAGFDASEWEGAGMQRHKVNVPTEFYAKFTADVARMAEEDGLGTDGRVISVL EGGYSDRALTSGVLSHLSGLSDATASVTEDQQIDHLASEMTDRLDLQDVPQELGINAQ KIQTSSYDPTWWSSSLLEELEAWVYPTPAVKSNGKIPTYLASTQSFTAKVVPSARDRM SVGGYVTCEPELPPLPEVGWATATHELSKILIPSDRQTMSCRPEDLNAEASRIRRERQ AAAEGIPIAPAAPAAPAEPVAREASRMQLRVRKPKQSLPSTPKTEFTPKRQAVKGTRR TTIDPASDLIPSLDNSPATRMDRRKSAASATDKPDDGRAPSCATTTQSRPGTAASNRS TTSRRTSTSRGTTPKCSTSPPQNVPPVPQVPSGLLPTASSETPLPAGAENTRPDDMDS LTAGVRKLNIKLKVPSPEENAAREKKAAEERKKAAKASRSQKSSSALKSSTIKHPGFS ASAPPSTASPLETKQETRGPSPDMASIPSTVTVGRPGSRKTSARSSFAATATTTSTTP PLTPASASTATTQDTFNPRQQSLVSPTASIVQSKYGLPTFTSNDAIPFASASGNPTPF GSRPSTGFSHAVPDESTGDGSYVNVGYDGQVDVYARRE ACHE_31084A MSQPQPWELQARKAKDILENSIPKQWLLPEDKFPPADEKSVIDF PRKSGLLTERELGITELSATALVAEMGAGELSAEEVVVAFLKRSVLGHQLFNFATEFM ADKAIARAKELDEYYQRTGKLVGALHGVPISVKEHVGIKGLTCNAGFVAWADQVATED ALLLQCLEKAGAVFHVRTNQPQSLMHLDCSNNLTGTTLNPHNRTLSPGGSSGGEGAST GFKCAPLGVGSDIGGSIRVPAAFSGSYGLKTTASRNPTTGIKAAGPGQESIRGVIGPL ASQSIEDLELFQQVIIDQEPWDVETSLAPVPWKRAMPTRDITVGIMWDDGTVRPHPPI IRALKYAKEKLMAAGVKIVDWEPYKHDHGWEIVSAMYFADGTEAQQTVLKQSGEPYLP LTKWAFEYSTGTPLTVSENWALNYARDHYRDEYHALMKSRGVDFILAPTYLGVAAVLG EPQYWNYTAIWNILDQPAVVFPSGLVVDEELDKAEEGYQPRNAADEREWKKYRPERYV GAPIGLQLVGKRFKDEEVLAAAKVVEGVLGAEASREMN ACHE_31085A MGDAANNLGVMAAALVIWLTHYEGRYYADPGTSMGIGIMIILTS LPLMRHSGHILLESLPNGVSVDDVRHDLELIPGVLAIHELHIWRLNQQKVLASVHVVV SERTIPEFLKIAKTMNECFHEYGVHSVTLQPEITSHIPVKEDQESEEMQYLRRKSLEK CQVVCSRVCEDLTCCG ACHE_31086A MTTSDAKVVDLQKDTVEIDNKKHMTTDYGIKISNADNWLRVAHE NHSGPSLLEDQIAREKIMRFDHERIPERVVHARGTGAFGTFRLHKSAKDYTTAGVLTD TSRETPLFLRFSTVQGSKGGADTVRDVRGFALKMYTPEGNWDIVGNNIPVFFIQDAMK FPDVVHSVKPEPHNEVPQGQSAHNNFWDFAYMHTETSHMQQWIMSDRAIPRSYRMMQG FGVNTFSLVNSEGKRHFVKFIFTPELGVHSLVWDEALKIGGQDPDFHRKDLMDAINAG QFPKWKFGMQIIPEEKQDNFEFDILDATKIWPEDLVPIEYFGELELNRNVDEFFPQTE QVAFCTSHVVPGIDFSDDPLLQGRNFSYFDTQISRLGPNWQELPINRPVCPYLSLVNR DGAMKHRITKGTVNYWPNRFEANPPAPESQGGFTSYPEKIQGKKARMLSHKFKEHYNQ AQLYYNSLSDIEKIHSAKAFSFELDHCDDPTVYKRMSERLTYIDLGLAQTVAMNVGGD KPTKAPKENKGQKAKGLSQLEYFPETPTIATRRIAVLLADGFDYATYTTIKEVLQKQN AFVFTIGAQRQGVQAVSGETVTPDHHFSGMRSTLFDAVFVPGGKHIEVLRKNGVAKYW ITESFAHLKAIAGLNEAVDFIAKQIDLDAVKFAAASKGNVTESYGVVTGHGSPGDLLK VSDVSKDSKGLAEQFIWHVSRHRNWQRELDGLSDEIAA ACHE_31087A MSRPTARLCADCLPGALRPIALPAPAPRRGTPRRVRIAESRRSL HGVQGRRQGPYIASHDVHQCGNMKGLPRPCENVRQFATASENKGEAKGESKNVPEDGP LKEYEDRIAQGRLRSDPYQRHIIESLQALFENLKSYNPPKVNHPSVESLDLQPKKSFF GSLFGGGRNPDEPTIPKDLPKGLYMFGDVGCGKTMLMDLFFETLPPNIRAKTRIHFHN FMQDVHRRMHQAKMKHGNDIDALPLVAADIAEASSVLCFDEFQCTDVADAMILRRLLD FLMSHGVVLVTTSNRHPDELYKNGIQRESFIPCINLLKTALSVINLNSPTDYRKIPRP PSGVYHHPLGPEADQHVQKWLDFLGDPVNDPPHPATQEVWGRKIEVPLASGRAAQFKF QQLLGSATGAADYIELVRNYDAFIVTDVPGMTINERDLARRFITFIDAVYEGRAKLVL TTEVPLTHLFISESEAKSSTSDGEDLSDAMRMMMDDLGLSVQALKSTSIFSGDEERFA FARALSRLAEMGSKEWVERGLGVGMFVDDKQSEHKM ACHE_31088S MCTLFKYTIITGSFKYKSACSAALRGARSMVMSTTSTTPTEHTP MPSALKFDIHAKCSTTKARASTLRLPHGAVSLPIFMPVATQASLKGLTYDQLKQTGCM LCLNNTYHLGLKPGQAVLDKVSGAHKLQGWDRNILTDSGGFQMVSLLKLANVTEEGVR FLSPHDGSPMLLTPEHSISLQNSIGSDIIMQLDDVIATTSPDHARIEEAMERSVRWLD RCTAAHKYPERQNLFCIIQGGLDLDLRRKCCAEMVARDTPGIAIGGLSGGEAKEDFCK VVDTCTGLLPERKPRYVMGVGYPEDLIVATALGADMFDCVWPTRTARFGNAVTPNGSL DLRHYSFADDFGPVVEGCNCACCTPRDKGGLGITRAYIHHLASKETVGAHLLTIHNVH YLIALMGSARQAIIEDRFPAFLHEFFSKLYGDKLNYPEWVVGALRRVGVDLLAD ACHE_31089S MTVTPEQKAQASSPSPPHNPSHEEHQYLNLIRTILAEGEHRPDR TGTGTRSIFAPPQLRFSLSKPGPTPSSDPIPVLPLLTTKRVFLRAVLAELLWFISGST SSVPLSEVGIKIWDGNGSREFLDKVGLGHREVGDLGPVYGFQWRHFGAEYVDAKTDYT GQGYDQLADVVRKLKETPFDRRIIMSAWNPADLEKMALPPCHMFAQFYVSYPPSAEGE SKKKGTLSCQLYQRSCDMGLGVPFNIASYALLTHILAHATDLNPGTLIHTMGDAHVYL DHIDALNEQLTREPNEFPELKIKRDDRGSGVIDGWKDDQFEVIGYQPHKAIKMKMSV ACHE_31091S MAPISISKGAAKSNTEYTNQLAEKDLETIGRHCQFEFCGQLDFL PFRCGSCEGTFCLDHRSETAHKCSRAGEWARRRAGTDNVKSSTPIEKPNIYNSDQCAH LSCKTLINTLKDPGVQCPNCNRQYCLKHRLKEEHDCTKMTPLGARPAGAGASANETLR SMFSRVRTWSKEKSQAATTTTTTTKSRPSGPATLNTMKRTAKGDAGVPAERRLYLHVV GTCDTEKNEPPAADLYFDTRWKVGRVLDDAAKRLRVQNDNNRVGEEGRLRIFHVESGE FLEFSEAIGGGKVKHGHTIVMLRGAGVMLGK ACHE_31090A MTWRVLSRPRVPYTLLQHRSYIKSPSLFSILHPAYTIFRPTSHL SNRQPKIIDSARKIEEETLPGYVAERYYPAYIGQVFNSRYRVVTKLGFGATSTVWLCR DVRENRYLTLKLHTRTNHPIRELQIMKHLQSIQQRHGGKKYIRVALDSFQVEGPHGIH HCLVYEPAGMDMNELLDVFEGTLPVAVHRTAIRSVLVALSYLHKSNVVHTDVQPNNIL LSLDNDDEFVLDELANSETTNPTPRKHLHNRTVYTSRYMPITTGELVLCDLGEARILQ RNKKQDGLIMPNAYRAPEILLDMEWDEKADIWAVGQTAWSLFEKGHIFPNRPLETDLD HAHRFAEMISLLGPPPFEFLRRSEESLKFWDEHGNWRGAVPIPEGSLEDRECQLEGRD KVVFLGFIRRALCWVPKERASARDLMCDEWVRGWL ACHE_31092S MVKFKMDPNFDRVKHAYKCLVDEIERLSEGVFLWARLVVRSFLK GIGYRVTPEYLIQTLYTVPKELNQLFDQMLGSVDPEDGYQTKLFLIATLAQQPVLKAS PLKNALLYLWLDDKEFPLDRPMRAYSDSNIDERIRDVTCLIDRLSRRLLEIIRQPHQQ DKHFAFRIRFIHRTEYFYILNTQLPHMQKHTTELNLNLATIRLSTRSVQACARKTRGS GVITQGYCYAS ACHE_31093A MNPHSSRMYPHARNYSEDEPPAYSAYGDSASLLENESPPRHGGP TMRLLPTSGTGVDEELDDEDLQDHTSSYQYESEYPSSEYQYQYPDEDGMSRRQYSRGY YQYDGYDDSPSRPPSSLHHIPSIPPPTASAVDAQDYSSRPGSPLRPWSPARSDWTRPP RPPSVSSSQYERADLNGSPRPGTPSTRYGGSPRRPLPPAPLFSAPTASGTGQDTSIDI GDGHDDDPFGGHGRMVDPFDQRASFMSESTIITDEKDAMTKIDLDDDEYDEDEESVDP NLHYGPAPLKQGRRGVRDTQMTKKEVQLINGELILECKIPTILHSFLPRRDDREFTHM RYTAVTCDPDDFTQKGYKLRQQIGNTMRETELFICVTMYNEDEIGFTRTMHGIMRNIS HLCSRTKSRTWGKDGWKKIVVCIVADGRKKVHPRTLNALAALGVYQEGIAKNIVNQKQ VTAHVYEYTTQVSLDPDLKFKGAEKGIMPCQILFCLKEHNLKKLNSHRWFFNAFGRAL QPNICILLDVGTKPAPTALYHLWKAFDQDSNVAGAAGEIKAGKGKNMSGLLNPLVASQ NFEYKMSNILDKPLESVFGYITVLPGALSAYRFFALQNDADGNGPLNQYFKGESLVGQ DADVFTANMYLAEDRILCWELVAKREERWVLRFVKSAVGETDVPDTVPEFISQRRRWL NGAFFAAVYSIVNGKQIWKTDHTFARKILLQIESFYQILNLLFTYFGLANFYLAFYFI AGSLCDKKIDPFGHNVGKYIFIVLRYACILVMCLQFIISMGNRPQGAKKLYLSGIIVY SIIMIYTAFCTLYLVVLELISATGGDVDLPVSDGLMVNIVVSLLSTIGLYFFTSFLYL DPWHMFTSSAQYFALLPSYICTLQVYAFCNTHDVTWGTKGDNALNTDLGTARIVNGSV VELEMPSEQLDIDSGYDAALRNLRDRLEVPESPPSESQMQEDYYRAVRTYMVSIWMVA NVILAMAVSEIYGVDSGGTNVYLAIILWSVAVLALIRAVGSTTYAILFVVQKIVEGKT KFDAGNIANANAAASVNASAVGSSTSTALRYGGGTNVKEKFMEAWWATKRGVGKMMFW RK ACHE_31094A MASYNPFARRESHSTSALSTYRILVPLSWVLVVIVGIYYTVNSP DIKHGHRIFKQGNKHTTPFSLNGTLTGIYWILILLSQLSYVYHLFSRQTALVTSAANI ASHFILNNLALFIWILLWTRNHFWGSEIFLILNFINLHAAYWRHRRLPAFVHLPAIAG PYAWTLFGLFWNGAVAVNSNKLPARIVANVFIWVLFAVGSGHIVSTQDYGLGYCLSWL MLALAVKQLAIKVIALQWIFAFVIFAIFLIESVYLSSTKYYGRDSLFRRVAHPETDRE REPLLN ACHE_31095S MLFLRWLLPFRTQQAAEEGPVLQLTSQNDAEAAKEGFLLWSIIP AAPYARIRELSEETFSDPGGLSRSFQSLRRLANIKVIWECDTPNSQDRDWVGLRENCE I ACHE_31096A MDFSGPYAKELELACLTVQRATLLTKKLLEAVDKGTLGKSDDSP VTIADFAAQALIIGAIHNAFPGDEFVGEEDSTALRQDETLLERTWELASTSRLDDEAS ESLLYTPQSREEMLEIIDLGAKGQCTPRSRAWVLDPVDGTATFMKNQQYAVCLSLVEN GQQKIGVLGGPNLNLENGRIQEEVTDQDGYGHQVLAVAGEGAWIRKMSTGSLLPATKL NPVAQIMDPSKIQFVDCSAATSSDFELHARVAKRLGAPWPPRADLWAAQLRYVAIAIG GCHVLIKVPRKTSYRSKIWDHSGGMLIAQELGVTVTDLSGNPVDCSLGRTLAGCYGMV VAPASIHGRIVEAIRDTMEESDDDE ACHE_31097A MKITTALALSATASGVLGAVLPQQEPLTGHTVHVHHEPEKFLIE LAPYQTRWVTEEEKWALKLDGVNFIDVTEARNTGTYPSVIRTTNTVRYPGKMEHRENV TQLARGLSRQNMEQNLKRFTSFHTRYYKSSTGVESATWLYEQVAGIVTQSGADKHGAT VVQFAHPWGQSSVIARIPGRSEKTVVVSAHQDSINLFLPSILAAPGADDDGSGTVTIL ETLRALLHSEDVVSGKAPNTVEFHWYSGEEGGLLGSQEVWAQYRQDRRDVKAMLQQDM TGYVQGTLDAGREEAIGVIVDFVDQDLTRFIKDVITTYCEIPFVETKCGYACSDHASA SRQGYPAAMAIEGEMENTNKKIHTTDDQIKYLSFDHMRQHATMALGFVYELAFAPF ACHE_31098S MAIHPELHQPHDPRPQGSLTPQQTRAISVWTEQATASLEDLTLS ESVTVNDESRSLRGASLPLTIPLDDIPVSDHRSSRMRLVTRTSAPKEPQEAKVPTVSF RKREPLRRDSLRRREALQKGKDGSRRRQRWENDRLLNNPWAEPPSPNDWVVGPTYPRH EVPYFLAPLWDHHYAHIDKKTTVTKEEKHRVPKELRLRLKHARAARGMLQDLEEDIRQ FIYNWNEKQLLLQREGLQDAPESDDSEDEVVFVGRNGQMHDSPAHKTRLQEMREAMYT HDERDGEKMVLESQVEDRAAGFGRWLVHSIASYYGLHTWSVTVGEPARREAYVGFRPP AADRRGLVSVCHQEAMIKPGETLPPALWTQI ACHE_31099A MPHQVFYVRPYNPLHEFATLAIICTAFTCINGYTTCHPVSSISF TLNLVNGFLGGGAVGLCLCNISFEPTMTWTTEKRVGGSCVDIEAVGDGDSAGAGAGGG SLSGGNSGSGRIVKVKRPIFGFKRFERTFETEDEVWRDGFRYEKAFVRI ACHE_31100A MPPSKQIFYLCLYNPVSFCGSIAVMAITYTFISIDFVFGLKHSP LLTFFLEMLSVVLLGLVIFLSVWAAVIDPALCFITERNIGGMWRGVGRSRLSRLRGLL SKRPLVGNDDLKLEADTPNDSEGVWKDGYRHARR ACHE_31101A MSAATQTFYHRSYYPHRAFIGILFWIITYIFCTSINAFASPSPL FRFGLNFISGAALGSIIVTCVWLILIDPEMTWNTTKWVRGAWDGGEGNRRMVARQIRV KRPIMGFACMKYSVESPEDGEVWVDGYKHEEALLQL ACHE_31102A MPNENVQTFYRRPYSPLSFFVIISFNLVTYICLKFATAFAKPQI AATLTLIRIAEFTFVLGLSFIAILLDPGTTFSRMRKIQRNGNGNGGGGSYKYKVKRPL FGFKNLKYSLDSPAGMADVWWDGVRYERAVFEL ACHE_31103A MASGRFQILYSVPYEPTFLFLLILPTIIIYACAMAMAYVYSPCI CTALTVIRGILLTFIAAVCLLAIILDPKVNYVSKEYIIKSNDEDIIADHHFDHSHPPA TKGKQCTAVMTVEGKIVGYVSTVDHDENSGDKEATVSEDSLGKGAIGDIVRLAVETGV LCGLYDL ACHE_31104A MFYTVNKLTHRPSTVSQIMRNNTPNEDHQTFYSLPHSPRTIFLS ISCADTYILMNNLTKPVSPFMCHISSFICAASLSVILSSCAYAVVFDSETVYTTKRRV EGK ACHE_31105S MRLRCYIRAIMEAYHKSRRACHNCFRPGTSSSTSSEASARSKST APTIYSDHRPTSKQKEKQPVDPEDDDEAEVLESVEYGDDDEVSAIGPKDSASTYASTV PEDIPEEEPRYVVVERRPEFFPTDPLPSNPLSFGDLFPSSRRLLIRHDDSTLDGNMNL RVDTMVPHRAGFQQDITLFHLRMYDLFSRKFSFRRYCRDSGREVCHTERKRASSPGLD KRLTRSWSTALASLRSNHGSPERRRRDSGSKSLNDAPVVPTDEEFDQDDDDREQMPFV DTTMMEFSNYAHVEVKRGISKHYEFEYWTTKYQWRRQCRKEGNLRDLVSYYLVNMQTS KTVAHLVPDIMTPMEAIEEEDKGGWIPPSSLWISESTEYERMTDVADAIVATGLIVLV DDCIRRRWHSSRSAHLLDPMRAFSSKSIDLLGPKRLIDEVFHRRGSA ACHE_31106S MTYGSNSQETGGRWQWLLENFCRNAKMPPPFFEDCSDRRGGRTA WSSVVKVQGRTFRARYWYDGNYVHNAREDAAEVALMVFKREKEMTGTIASAPDQGQIE NQMADANASTPFQGQLRTWPQMWPY ACHE_31107A MKAIRRSLKGDKDPKPHHHHLSLTPKSAIAILPPKKVIKALYDY HPDHSNSQELAFSKGDFFHVISREDDGDWYEACNPLIPSARGLVPVSFFEVVGKTERD SSGAGGRSSSLIDLHQHHHHKKEPHDSGFSDRLLSPLGSSDSAHHSSPSKSSSISFPR LSTMGKGSGAMVYGVVQYDFQAERPDELDAKAGEAIIVIALSNPEWFVAKPIGRLGGP GLIPVSFLELRDMQTGQAVTDPLDAVRRAGVPKVEEWKKMTAEYKNSSITLGHLDSAA ASSGGGGGSGSGVPSITAGMNKMSMSHTSQNGNTYGYHQRNPSKGTLSSHHVSHPYPQ NFHPPPLMAPVAASIPRYCFDNDKYWYIIEAKMEDGRCWELPRYYHDFYDFQIALLTQ FEDEAGNRGKARTLPFMPGPVTHVTDAISNGRRQNLDEYIKKLLSMPPHISRCTLVRQ LFAPRPGDFEIDPSAFGEDARYSAGSHQSSSAQEASRTASRQSSQVHLSAQPDRASHQ RGQGSISQSNGAPPAMNRQPSSLTQVSSSSGNASGGGAMKVKVFFQDDLIAIRVPSDI NLQQLKEKLTDRLKIGDGIVVQYKDEPSGTVVDLNNDGDLDTAIQRNSKLTLVVGVA ACHE_31108A MSSGIPPWRATASATTTATTPFAGHGHATPAYIPVQARRSLAQP KPTPTPSQSTSSEAPRKRVAWPPALRLYVQRCFVPEHQIPSVTRQEMEAKLKSVITEA AEGDKLDAINWDTLPLPQVMVQNERNRILANPSVSAWGEEASRKRKSTEYTPADTKNN ISPPWRQTNNDQKFEDRVTYSPTDKRQRIDYKNPSKSKANLEMRRKRFEEPRSRFGSS PSSPRGESPVATAYQGPIVGRCQDLEKNYFRLTSAPNPDTVRPLSVLQKTLDLLKKKW KRDNNYGYICDQFKSLRQDLTVQRIRNEFTVNVYEIHARIALEKGDLGEYNQCQTQLR ALYTQQLGGHPTEFKAYRILYFIHTRNWTAMNDALADLRAADKRDPAVKHALDVRSAL ALGNYHRFFQLYLDTPNMGAYLMDMFVDRERLSALTAICKAYKPDVNIRFITEELGFE SDEQSARFVLDHTSEELLQEKDGAVKLLTGGRAGQMFEAAKNEAHRVVDIKGQI ACHE_31109S MFLKALDLTTALRPSLLPDETLIFVQDAVGLYEGKYKVPNYQNG HAYLTSHRICYVAVDEPRKYSVGIDLKEIDKPDYQAGFLKSSPKIVLHPKPAKNKLDS SKSVGSSPSIPQASNLQPAKTLTSQLRASQPKSPSPKPVNATWICPICSFSNPVPSNF DPATATASTYLPPCLACGIKPPFTTVLKAAITAATNREPATAQPGPESPVPTDGSQLQ LPSETGTSVSCPRCTFVNHPSLLECEICGAPLASVNALKTLNGDQRRSESPAPVFEQD SIKNTDTAESVKLSFRGGGEKSFYERLKSALIQRKWLLYDAPPVPMPSQAASSPSPGA VASAADTGLPMPARSMAGIAGLERRGLEARKNNEFVIGNAFEDLEALMASAKQIVSLA ETLGRESGMSSGEGTVEANAVLSESAAALGMSTTKDMLGSGAENLYLSELSRNLAEYL TDDRQGVLHKEGGTMSLIDLWAIFNRSRNGVELVSPADFQRAAELWEKLKLPVRLRRF KTGLLVVQRYDWSDEKTLKILQDWMEELRHIPPTEPVAWDWRLFGRAVTAQEAAQRFK WSVGVAAEELEMAEDKGLLCREEGIEGLRFWRNYITSEPSKDIDTGVSLLAL ACHE_31110S MTLASLASSTRAARCPRMLSATNTHHAIRTPTTAHLQQHRTIWQ SHRHSRGTYNGINWVRTERKIRRRVTDPNPKPPHYQNQTPLENSDPWRSWSLGSRSGW AGFDKIGTKDFFEAERLRARQRMEEVKGEIERDPYGVLFGRYGSYSSAMGMGMGLWGK RENTFTDLCRSLFGFEKSDGDTKNVNAKVKDADTTARVKSEGSESNAGGARAKDAPTA KDNVAPVFEASQPQGFVETKGSGLKFDPISGRMVPKPAASATTGESGASSRGGTLNVP GSESNLEDDRLGFSSPTKSSSEATAVTSDPDIDGVQHKEPEQRAGEVARPEDHGVNYD HTLWPSHEAPSTAKSTAIDEAPTGITSAVADPKNEDVSLQHPGAENSSLADTQQPKSL NLDAQPNGGRKMKGFFYVDKKEPEKLKIQDSPQQSEPFLVPENEELDLLRASDIRASY PSKELDIKSDAQSKKVDGTLEEITPADENSVTELKPESQDALGSVDERSTKAANYTET QSSLQETEAPQSTQPQVQDEPQDPTKIIDHIPETHSPIKSASPATYRVLAYDPFTMQV TTADTDSSLAPSDEILRPSDVLPRLSNPAKFLPYFEEMRKEGFEIVSGGGDILVFKKF PDSEKKPSDKPSLEAKEYEDIDMNPVDKYLVEKNALLWPPKSETETSKTETETPRRQR SAFNNAIRRMLFTGTAAAGTCYAFGVVTEYFRTGGSDGRGVDAFTVFESERRRRD ACHE_31111A MNALVRESLFGRLSNFLTNNKFFPYPELEAPKIKALPVSASVSA TSSTSTAPEPIQQFLIEFSGPNDPDTPRNWSKLAKTLVMLDVMFLNFSFYAASAIFTP SITGIEEQLGATTAEGTLGLSLFVIAYGIGPLFLSPLSNLPAIGRTPVYVLGSLVFCL FNIGTALGKNIETILILRFFGGFFGSAPISVGGATLMEVYGPSEVPYAIALYAVSGVC GPILGPVCHPFYHQT ACHE_31112S MDSKSMGTKEKAPPRKKACQNCTSSKARCGLEKPTCSRCRARGK HCRYPAVSHVHRSSPATLDDRNSAEDSLSFEPSLADFSTIPTAAAFPGPVSGYASDIP HIYSQHEPTQTVDTDLNFDYVDLVPMADAEEIRDRWLQPYLFTVTGHVPKLFSPFTVQ FLVCVLRSYPNYLLDEESLPPFIHPLQLSNKPMPRTIANCISLVRMWMNRVAGSEAMV LSTVRQEMERLSREDVTSDFDTLCSFQVYLIYLITAYFSPIENVSLVDDPSMITLQDL AFRTAKAGLTCKAELSRMRPTWESWIIASSKRRTLFTMYLFTNVYNADKGLPNFLSDE LRDILVPESKALWEASDRGSWAREYNRHLSKWEDGIIIIIIIIIHTRICQPYRPSGGR SCGAAG ACHE_31113S MNGLDKKWKELERLTVALEREREEAEIFRKKKRVAALREELMLE GGEEMRKEDVKEDEGIKDQHGFIAFR ACHE_31114S MLPKTVAVFAAFLSMGGLDFVAAQDAPDAQELRPQYLPKAAKRG LIDALTGNSGSQSQHPNEGASSAQGNSGFLDGILGGGSNTPEPTASVPPTVLLPLTLS VDLNGQTHTITGTPSSTAAGASTVTTSIWTSETAVSSAPASSAASSVTPTEETPESAS PSPTSSPAPSSPEPTTSSSPAPSSSDDNDGGVLAGILGGDSGASSTPAPSSSSTSDNQ SGGLLEGILGGDSSSSSAPATSSETSESLTPSSSPAPSSSSTSDDHDGGLLDGLLGGG SSQTTSSPASSTASTTKDNDGGLLDGLLGGGSSQTASSSASSTASTTKDNDGGLLDGL LGGGSSKTTSSPASSTPSATKDSDGGLLDGLLGGDSKTTTNSASSTASTSKEKGLLGG LLGGDDSGTTPTASNPGSPSSTAASSTNDGGLLGLGNILGGDDSSATPTASKTGASNS TTTSSTPTSTNDGGLLGLGNILGGDDSASTSATPSSTPSSSKEKGLLGGLLGGDDSGT TPTASNSGSPSSTVASPAASSTSEGLVDGLVGGLLGDDDKPTPSSSGSASPSPTSKGL VDGLVGGLLGGESTSSKPLIRPSGSASSFRVGSPTPTPSEGLLGGLLGGLLGEPSSSG LQSISVNVPTSTPASATSSEGLLGGLLGSLLGPESSTSATSSGLFGTSKSPSPTGEAA PGSSAHPTPSTGLFGSSKPSVVATSKASPTPTPSSSPTPTSTTEQQTTSSTTQAVTHK PTTTEDNDWVPSTILVEPPSTTHETETHHTATATSQPTQLPGSISPAGGVPDIPANSS LIQIGFNGKLRYSFVASTTLSSSQIFLYIPQGLGYALDVLGDEISMFAIQPYDNSAST GYVATVAMAYIPTDAIEELRDQLHNPGSRLYHQPSPSVNALMSMIDPTIPLVVGESGS SSSGSGSSSGGGSNGSNDDDDDSNSTDDNADGGASGSSSTRASSVGIGVGVVGGAAAY GAGMFWVARRYRKRRQLHQRTNSNVEQQSEGRGGGSLFATGGRLSPHSLRSNRTQMIS APVMAENSLGWN ACHE_31115A MGVTKQTLQPGNGVDYPQKNSLCAIAYTGALYDASKADNYFMGK EFDSSRSRGPLKVNIGMGKVIEGWDQGVLQMSLGEKAILTISSDSAYGPRFVSSHP ACHE_31116A MRGTASLSILGFLTQAIKEKKERLGECGDKIDGSHIDLLSRYIH LQKNNTGFPAWMFSNVIAGSDSVGTVMRTLLFHLLVYSSTLEKLHEELKAADLSRPFP RYNEVRKLPYLDACVQEAAQIHPPFALPFERVVPDGGITVLGRNLPEGTVVAGNPYVV NWDPNTFGEDAVF ACHE_31117A MPFSHHSHSGQFCPGHAKNTLEEVIQTAIAKNFKVFCLTEHMPR TQEDLYPEEIEAGDTYSGMLANEAAYYEEALRLREKYASQIKILIGFEIEWIRPVSST LVQDSLSRYPFEFFVGSVHHMHTEPIDYDRPMYERAREKAGDTDERLFEDYFDAQYEM LKELKPLVVGHFDLIRLKSDDPERSFRQWKGVWERIMRNLEFVAGYGGMLELNSAALR KGMSEPYPKGEICKEFLSLGGRFCLSDDSHGIDQVGLNFHRVLDFIETVGISTLHYLQ LSETDTDTASSADDRFPRTQVASIGVADARALWT ACHE_31118A MQNNNRIDSHKVLSAELTTPDYARVTCRADRNEAGRSTTPIFST LLLQLSISQFPTYTIYLNALNPKQNHHVIEPNSPQYPPLSRIQSHHLQLLLAPLHHPK SEQAKENTMKPHPFPLSLNIGTDIVHLPRIARLVSRPNGYLTRFTRRILSDQEQADFR KRFNLPPGEDVPLESRLYPTHRRLHVQTNVNTNANGSTGGISASAGPTSSLSTEMARW LAGRFAAKEAARKAAPGGAANVGWKDVVVIREDEGTTGFKPESGISTTAEESESASGT GSKGRTKTSVERIREMRRERGSGRPGILYLDANGGPGRMGKLSISHDGEYVVATVLAT G ACHE_31119A MVVYYQIAGKKVGSHVLAMGVLGSTFGGTFLATRGGSKEQQQKQ QGPPVQAGSKDEEDFIQKFLQSADGGDQKAQQ ACHE_31120S MVWESRQESPSSDATLGPVPRRKLPPQLQKLVDHDDGFYDDVYS PYSVNSTDTSYRYAAYANRIRTLLLSAHRYVAYTSDIGESFRPVAHPYLVRSAYAISW TYLLGDVGHEGYKAYLRNRRALAPAGEAYKDAKDLTQEEVLKGMATGDLTTGNNSRPS EYADGNLMPWKTTGIPLIEDYRVVMAKRAVFQGLASMALPAFTIHSVVKYSGRMMKNY KSTLLRTWAPIGIGLSVVPLLPYIFDEPLDEAVEYGFRAAIGSYFGQDAIKSLPTDHE KDSTSLSHFLDSQNPEAIPVSSATDGLSWEEYREERQRAKEQRRQERAEKGSSGPVAM LKELVGAGEKKKGD ACHE_31121A MGGGPEIPQIPAIRARHDALKHTYRTALDNIEEILLGPSVLQNL HIKNVPLPLNNGHPCPAIEPSFFTPLDENITFRNPDDGFMPKKYPSLEGLKPLYKACM ISEYIAEYIRATLEDTEDISLEYWTQWYDTISLLYLTLHAVMTYYY ACHE_31122S MVCLPLLNAFVWILLSLQPDCLFRLNCYRCVYTCSFCTDSALVL PWFSFSLKSPPRPAAMSAPAPSSSDVLKKAVPHVDIDGHNLPPSPAPSSPRTGRKYAI ATELVYTESNDQYNASSVPIYQSATFKQASGDGGGEYDYTRSGNPTRTHLERHLAKVM SAQRALVVTSGMAALDVITRLLRPGDEVVTGDDLYGGTNRLLKYLSTNGGIIVHHVDT TVPEKVSEVLTPKTAMVLLETPTNPLIKVVDIPQIAAAAHQINPNCLVAVDNTMMSPL LLSPLDLGADIVYESGTKYLSGHHDLMAGVIAVNDLALGERLYFPINASGCGLSPFDS WLLMRGVKTLKVRMDQQQSNAQRIAEFLEAHGFKVRYPGLRSHPQYDLHHSMARGSGA VLSFETGDVGVSERIVESARIWAISVSFGCVNSLISMPCRMSHASIDAKTRQERAMPE DLIRLCVGIEDVDDLIDDLRRALVQAGAVHITPDDFQAVNAASA ACHE_31123S MTRNAIPQETPSLTMTALSERSTSSSRKWNTDRLGARLGVDVAS AATAGLLTCPVITVIDRAIIEKAAKGLPIRNTITSCFKSMARHPAGFFLSTPFFLIYT LYTGTYLTANTIDTITSTLRDKPFSTVFPGTAKFLATTSVNMGICVYKDAVFAKLFGA SPSSSTSRSSSSSSTSTPKESFFSQSPSTCHPHGQTKTVPKISYTLFCLRDSITIFAS FNIPSLIAPYIPDTLATSPSTKTALAQFSCPALMQFVSTPMHLLGLDLYNRQPAGGLS FWGDRWPRIRRDYLSSSFARMGKIVPAYGVGGVVNVRMREGLMGLLGG ACHE_31124A MSSSSGLRDGLSPGTSTNTTSRSNSAIRSRTRRLVSFNDDEEDN NGMTRPSSALSSSLPSDRQTARSRGATPSPFTSRGASPLPMSHPSRATESVNNRGRQN GGVGGSSDLLDASWSSLQSLASSVLGSDITRPAANGSPRTHARKPSRPDPWMRNTSRT STPVSWGPSSPGTPEIGSGTREERQAYVQAKKREALLLADAGPSISGNTRHKRRDSGD NPAHLAIDPDQDEEALVYIHHVQKTDTITSVTIRYGCQPAIFRKANGFWPSDSIQSRK TVLLPVDSCSVKGRPIRPTTNTDLLDSTEDLNGSSISPAPDGTTDRKEATSTEVEGDR VWKPESWVQIDNFTDPVEIGRVPRRALGFFPRTRRKSISYSDAESPSTSQGTTTNTAS SSVSSLHDSQSSQSTVVPPSRPHVDPSGSKKPGVRHQRQRSNIQLAGPGVGTLDRSTM APGPALDGLSKFLSQHLPTLAPPPPPATNPDSGAFESTSTTHGTATNSLDNIGGAFEG WFKKVTSRAKAGFNELQQTTSNPGSGRLQPTTTESDLIEMDDGLESRNNYNLLGEPGR KPDYNRTGSSASALGDRGSTGTSRTRTPGGSSYKDD ACHE_31125A MAARSARWQQFLQELVMVAGTSVSAYFLIRYLLSRLDFDPESQK KEEQRRKSAAILRRLDGGDDSDDGPAQKGGKRGRRERKGDLVLTQYEQAIAMDVVAPD DIPVSFEDIGGLSEIIDELKESVIYPLTMPHLYASTSSLLTAPSGVLLYGPPGCGKTM LAKALAHESGACFINLHISTLTEKWYGDSNKLVNAVFSLARKLQPAIVFIDEIDAVLG TRRSGEHEASGMVKAEFMTHWDGLTSANSSGEPQRVVVLGATNRIQDIDDAILRRMPK KFPVALPAAEQRLRILNLVLKDTKIDFDNFDLQYLVKGMAGMSGSDIKESCRDAAMVP VRELIRQKTAEGQMMTAVDPTNVRGLRTEDFFTQAGGVKIISPPGQSQSQTSKQASEK EWSTDDATSEVDARPSTEMAEPPE ACHE_31126S MPKRKATTKLSGLVGSDDEDVMQVNGTDSGPDPDTERPAKRARG RPAAKATESKLPPPMKTRSGNSTVQTQAHEVPAKKKRGRPKGSGGRSSTDGAEQVSEK EEPKQTKRQNSKSQERDDEAGSDDDLQVAQDNAPKATKNAKDVKLTNGRDREKASSNA EKLIKSDGEFQYTPTPRKTKSLDKPKQRTESPVKQEMEVDEADETVPESQKTAADVVD ETIIEEPAPRRSLSPTKSMFHRSSIPRFSLSPQKRRLEDGKTSTEPELRRKIGDLTKK CDTLENRYRNLREIGIVEANSNMEKLRKQCDAMTIASNDLISSLKQELEAQRALGQQS RALQKQLKDRDAEVAQFKSQAEEATSQLAAAQSEVKALQTKLSAARNTAASLESAVVK VPGSAIKGGANRANAAASAEAAHAAQYAQLKEDLYSDLTGLIIRDVKRREADSLYDCI QTGSNGTLHFKLTVPHVSSANFESAEFQYIPLLDENRDRDLVAILPEYLTVDITFSRQ QASKFYTRVIDTLTKRRNSSSASASG ACHE_31127S MARLGRVGFLTLAVIFHLIYAYSIFDIYFVSPIVSGMRPFGVER EPNIEAPAKRLFLFVADGLRADKAFQALPDPSPENPADAEKNENSEPIHLAPFIRSRA LSHGTFGISHTRVPTESRPGHVALIAGLYEDVSSVTTGWKLNPVNFDSVFNRSRHTWS WGSPDILAMFKEGAVPGRVDADMYGEEAEDFTTDATQLDTWVFDRVKGLFGAAKHDPE LNAKLREDKLVFFLHLLGLDTTGHAYRPYSKEYLHNIKIVDQGVNEITKLVEDFYNDD KTAFIFTADHGMSDLGSHGDGHPDNTRTPLVAWGSGVATPRMPQDGTPSGHEDGVSSD WALDSFQRHDVAQADVAALMTYLVGLDFPVNSVGQLPLDYIDASPKEKALASFANARE ILEMYRVKEEQKRAVLLRYTPYEPLADHGETSVEEHLKSIDDLIAAGAYDESITMSSA LMVTALEGLRYLQTYDWLFLRTIVTLGYLGWIAYALTTVIDLHVLHGTSDSNRTLGST MFFSSVLAALFSVLLYQQSSWRYYFYAAFPIYFWEEVIARRKALSAGRAILLGHVNSA AGYLSFGIQIVIFLGVLEGLVQSYFHREIFTVCFVLGALWPVAYGINFVKKHAFLSAT WAIGCCLMSTFTLLPVVKIESITTITQGALLMFFTGLLYLLFEGDILKRSKTQVSDAV NNSGSRIIMGMQIGMVLLATIVTRSSAASLQAKQGLPLGNQVVGWGVLVASLLLPFLH RLYPNGHYIHRLMVLFLTFSPTFIILTISYEGLFYFVFCMTLITWVRLEHAIYVHTAA PANPTGKANNVPKKPSTESATTVSDQTYHYRSLTLSDTRVALFFFFLLQSAFFSTGNI ASISSFSLESVYRLIPTFSPFSQGALLILKILIPFAIISANLGILNRRLQVPPSALFM VVMSISDVMTLNFFYMVKDEGSWLDIGTTISHFCIASFLCTFVAGLEFLSEVFVSGVD IKTASAAVEAVDGSVESDRRH ACHE_31128S MNTAADSYHDSARAASSKASPAPSTAGSTGTSGITVRTGPNGQM SFRRQRASRACETCHARKVRCDAASLGVPCTNCVAFSIECKIPTPKRKKNAKSKEPNG YDTGNDERNDIKPRQTVFPSQEKDAAFGYRKDRMAVDGMPVTTLTDSQAEQQASDNSA YAQLMKPKFARAPIKEAGRVAYLGESSNLSLLVQDRHGTTDVVHYPLPPNVRGSRSTL TDLDSLELDILHQRGAFLLPPKPLCDELVDAYFKWVAPVVPIINRNRFMRQYRDPKNP PSLLLLQAILLAGSRVCTNSQLMDANGSTTPAAMTFYKRAKALYDANYEDDRVTIVQA LVLLGWYWEGPEDVTKNVFYWTRVAMVVAQGSGMHRSVESSQLSKPDKRLWKRIWWTL FTRDRSVAVALGRPIGINTDDSDVGMLTEEDFLEDEIDFPAEYGPDPVHVQFFLQYVK LCEIMGLVLSQQYSVASKSRRMNAMDLTHSDMALADWLQNCPKEVCWQRSRHHFWAAL LHANYYTTLCLLHRAHMPPASSVPSSYRVEEMAYPSRTIAFQAAGMITSIVENLQTHD EIRYTPAFIVYSLFSALIMHVYQMRSSVPSIVATCQERINICMQALKDVSKVWLVAKM VHTLFESILGNKVLEERLQKAAGKRHQRVRPEGQIHHPPPPPRRPDLPPKRKFDDMDL SLPNGGPTPPVSYERSRPQTPAATPSRDELGQHSASNLPHGSPGVPRESLTGTGLSRG NTRPTTPFNGQFSLPATPPDLFLVTRTSPNLSPSLWENFQPEQLFPDGTAFFPELASP HDGAVDPQLQMQSQLQTQNMQQRMMVSNQHMPRTVSGAGTQGSPEMLSAIPAGISVQP QQSQQMFDVENQQTWPMQGLDPPLSTAGLENASQDDNWSSSSRSGPTAPTTLNVEDWF QFFGINGSFGEMAG ACHE_31129A MATNTNNSRFDFIIAGGGTAGNAVAGRLAENPNVRVLVIEAGTA NPHQIEDITTPSKSFGIRGSKYDWAYKSAMIKRDDYERTEKPNTRGKILGGSSCANYF TWIPGSKATFDDWAEFGGDEWTWDNCVEYLRKCATYHDDEKLYPSDLDKIGTGGPVQI AHAELVPELQPFREALTSAWVSKGEPLSEDIYSGEMHGLTHCVDTIYKGERQGSFLYL QNKPNVTILSSCNTKRLLIDGASNTCVGISVINPSTGAEHDFYADREVIVSQGVFETP KLLLLSGIGPAAELAQHGIKTVVDSPHVGKHLLDHPIVPFVLRLKDGYALDDHLLRAG PSNEAAVAAYRRDKTGPAASGLLELVGFPRIDERLEKYPAYREAKAANNGLDPFGPGG QPHFELDFVGMFSTAFQWHYPVPKEGSYMTVIVDLLRPVSEGGEVTLNSANPLIDPNI NLNFFANDLDILAMREGVRWTYDVLLNGQGFKDIVVGEYPWEMPIHSDEAMNKAVLER SQTGFHPCGTARLSKSIRQGVVDSKLRVHGVKNLRVMDASVMPVIPDCRIQNSVYMIG EKGADIIKAQHKDLYERQNIPYFVPSRL ACHE_31130S MKPASNFTLGEGQPLSDPAVSTTLPTFGGGGLVPLQDTLLLETL AHFNRERIPERVVHAKAAGAWGEFEVTHDVSHLTGAKFLQSVGKKTPVLCRISTTGGE KGSPDTVRDVRGFSVKFYTEEGNHDIVGNHVPVFFVRDPVKFPSVNRSHKKHPQSNTA DANMFWDFHVHNPESVHALVHLFGSRGIPASVRRITGFGVHTFKLLAPDGSFKYCKFH LRLLQGVGNASSDEAMRLAGVNPDFHTQELFDAISRGDYPSWGLYIQVMDPKQAERHG LAMFDITKVWNHKDFPLIPVGKMTLNKNPVNYFAEIEQAAFSPSNMVPGITSSPDPML QARMFAYPDAQRYRLGANYQHLPPNQPIAPVNAPYQRDGAATITSNYGATPSYVRNGS TRHGIRSESASTAQAVRHDEWLRGGTTLGLNEVPDTEDDYVQPRELWRRVFDDAERRL WVSNVAGSLEGVSDDLKRGVIEMFGRVDLEMGNMLAARIRETARL ACHE_31131A MAKHLSNVTLCFLGCGNQGAAILKGVLSASSDKAEKPLFTRLIA CVRSQNSEQKLAERFSQHLDKLVVSHSNNVQAVQASDIIILGTDPADIETVLRQPGLR EALANKLLISIAAGWTREKLEQVLYGSETRARNTSERAWVVRALPNIAAQVSESLTAI EMSEPAVPEPYIQITNETMWVKAVLKELKLVNTWWCKQRHDRQEGIRL ACHE_31132A MQAPQLSPSITLDGFRDCHSRWLAITHRAPASHFSFVYGVVSTK IYCRPTCAARPARRANVVFYDTKFEAQRDGFRPCKRCKPDNALFFGDKEEVVLKTLAL LRTQHGGSVMKRGLKELAKEVGVTPSYLCRVFKKTLGITIKVYMMEFERRPGDAEIQR FSHSPNNVGSVVQDAGEGPLTPASTARSPPVPIGDWRNQLAEGYVGNLEVDSASNFNL DEWFLTEEFMDLASSYGDPQVNHDDISQEHASI ACHE_31133S MSPIPHLFVNKQDVIQTEKWESLLLANKDAYKNCVVKSITHVKD VHNTWYHEYLQILIEQTSTGARTRIIAERQQAQDQIIIGRWKWAAKSLASLGCISSSS SGNSLGDTASGVDLPLPLYTIYLQDNSLGVLDLANVLAGTTKEHRNYDFASYNCYWFA EASYWAVQKKWKYVEKTWQYSHLRHKLPDLDKIPSLNPMLDLLRRLERGMRQIAARQF EEERSGGMTISAGAPSGFELSLREVYRNAVLLEADDDTAAWQIIENEVNTLVKKNVEN EATASKLGDPQKLIEDLHIQEAYDAVTQDSESAKYIEVFDKFGNQKQTEEEPKNQIQD STEDEESVISQGDLLKAQTVLKVLVGRWLLESEKEANA ACHE_31134A MASSAEAIEKAFAAKQRRPGHAQGIVGSYELHRELHILDERRFE MTRVLTQDMDMGFGIVAPYKSDHPILQTLSPQTLGNITKNGTIAMFVGGGSLLREICR LNYEAGQIMVGSSANITGSGQKFRVEDIEDEVKDAADLIVDYGLQRYHIYGRPSTNMD FGQMKVLRMGSCYELFRERMRRFWGVELSEDPEYKTD ACHE_31135A MMDMDMSGGSGSGGVPWLDQPVMLHSSRADSCSMTPEQCAYRNY HWRYWYEADHVYSLNTVYFFCATIGVFAIANFLAKYAPVQVKRSAAWKKATAGLRYLA YRGYELPVVRYWSPSLGVILLGLAGTVFCFAMTLGPKPYYWPEVQTGSYGSSPPIATR TGWMALAVLPFVLAFGAKANMISALTGVPHEKLQVFHQWSSYAMFVLGLIHTFPFIVY HIHKGDMVMEWNTSLTYWTGVATLIPQAYLTVMSLPSIRNRYYEFFKATHFIVALLFI LFFFFHCDFRLSSWYDIPLHTLMSANSTRDYFIAAGAIYLLSLFTAQIRTYFIHGVHS ATLDLLPSGLLRVKVPTIISWHPGQHVFVRFFTLGLHSLTAHPFTISSIAYDPERVGK ASEIVFYIKPKHGVTGRLAKIAEKSPSCTRKVLLEGPYGGLSETRLGQFDHILVIAGG SGGGFSLSVLEEALKQPSLAHGNIQIVFATRQQNMADWYIDEVETKLSTFNASKNNSI SVYITSHNQPAPTNKDTTDPDPDAEPSDTKNKDLPEQNVTSASTCSGSYSIAVNRPFR PNLPEIVAAATNRESGKRVGVFVCGPASMLHDTRNAAARAQREVLRGNVEEVFLHTEP FSW ACHE_31136A MAGNERNVYTGPDALKKYFDPDSAPPLPLVELPEHLNPFYKDGV RIYAKMMTMHPANNVKAMPAMNMLQSSVTPSTKTVIEYSSGSTVISMAMIARVMHGID DVRAFLSNKTSEAKLRLMQFFGLDITLFGGPSQPEPFDERGGIQNARSMALGSGEILN PNQYENDDNWGAHVRWTGPQIFKQLPEINVLCAGMGTSGTMTGLGTYFKEAKPSVVRL GVCTAAGDRVPGPRSFALLAPVEFPWRAAVDVIEEVNSYDSFSWSLDLCREGIVCGPS SGFNLKGLFQMFEKRKEAGTLSELAGPDGLIHSVFLCCDLPYQYISEYFSKLGADKFH PIRNEDLTQVDLYRYDESWERSPIVLFTHFYETPKSLTQNLLSNLILRPQCCVLDLRT APDFSTWHLPGSVNIPLQSLNSHTPKPFSDPQVLEAEWRELEGIFSEEKAIAQLKGRH VLVICYNGDTARIATSVLRAKGIEGDSLRGGYQALRDHGLWTEGGVEVPAPVEEKHEM PYPTAVPVASGPMN ACHE_31137S MASEVEAKQASPSTPQSVSQPETPVEGNGNNGGFVFNEQTNYVP KRTIITIFLACSTVDLVALMDQTTLAASLSIIGNALGASDKTSWISGGYFVTSTCFQL LYGRLSDIWSRKLVLFVGLAIFFFGSLAASLAQSATQLIIFRAFTGIGGGGLMSVAQM IVSDVVPLRERGKYQGILGAVVAIANGIGPVIGGALASVNEDSWRWIFRLNLPLTAIC TLAVFFFMPLRPVEGDWKAKLKAVDFVGAGLALGGTAVLLLGLNWGGGEYVWNSAHVI ATLVVGVAVSIGFVLWQWKGASTPLVPMHIFKSRIVNGACLTMFVNGWNFLVQVYYIP TFYQLVFNYSTVKAGAMLLPITLFQTVSSTASGLIVHWVGRYRECILFGWMIWAVGLG LFSTLDENSGVGKQIGYGILTGVGVGNTLQPALIAVQAGVERREMAVVTAFRNFVRNL GGTLGLAIAGTIINNLISSSISSLGLSSAETRSFLASPTNYLSKLPADEAEHARSLII PAYRKGFRIIFIIGAALSAFAFVLAVVLMPQVALNRDDDKKLKEEGRQRIEAAKEKGN RDEEKK ACHE_31138S MTALPKFISSTLLRRPNTTLLAATRFLTPEISTSFFHLPKHDTS LVIPVKIDLFSQYDAVGTVRGLLLAGVTHINAVVFEGGSGTGVRGGGSWANGVNGRVN RDVGRDAEYEEGLRRLFGQVRMFLGGSRFVFLRKESGMDVEGVREVVMTEVGDVASFF QVDVPDGEGEEWTATERILQLMDHNEQGW ACHE_31139A MPLSKEARMQMAITAWKEKKVQSKLKAAQIYGVSESSLRKRLNG IKPRTETRANGHKLTEYEEEVLVKSLLDADKRGFSIRYNRRITYQRAKQEDPKVLKEW FEIVRKVIQEHGIHEDDIWNFDETGFAMGLCTTSKVITAAERSERPRTVIQGNREWVT IIECVSSKGILIPAAIILKGKEHQAAWYEEDNLPLDWKLTNSPNGWTTDAIGLKWLKQ IFDPFSKLHSTGAKRLLILDGHSSHQTAEFDDFCKNNSIICLCMPPHTSHLLQPLDVG VFGPLKRAYGKLVEGMMVAGNNHIDKEDFLHLYPPARKAAFTKGNICSGFAGAGLKPL NQGRVLEKITFQLRTPTPPPNLTEGSISSAFQTPQNPRQLDHKVRSLQRSLRKKRTLS SSPISHIQHLEKAAQMAMNMNLILQEDIKVLRAENERKMKKKARKHTSLGDDLFISVQ EGREHIQQLDTIQQLDAQLNEQLNDATPRQRAPPRCSGCGIIGHTIRRCPSK ACHE_31140A MVLSLSVPSFSRSSSSSSQATPETNNNNNNQNQRRLHRPSTSTK HPWRPTSVISSSTDHHPSSSSNSTSSTILRTPFTTSRTRTLPKTEEQLEEHHREQAEL NTALETLARVFPDVKVEVFREMLVRFDGNSRVEVCVDELIRHKKEWVAGRWNVPDGDN AGSGTAAGAAGGVVGGVGEDEGAVPYEERFRSDEYKAAVKAALGKEFTALSKSTMDAV LAEVNFCYHRARPTLRDLARRTWRATFNNLFPSFKRKKDRDEHPLLMWQRRADGEAVP KLKETGCAELDRELHEALLAPLLRQKQEEQEGRDYQLAEELNESEAKAADALYECECC LADVTFEQISTCSVAGHVICYGCIQRTVHEALFGQGWNKSVDMERSTLKCLAPLSHGN CEGSLHPGIVKQAILLDKAGFETHQKFEDRLASEALLKSQYKLIRCPFCSYAEVDPVY HPSVRGVRWRFRSNGALAAIILVIVYIDLICLLAVPALILWLMDPSAIPAILQNSLLN LCLKTRPKRFTCAHPACRRASCITCQKPWRDPHVCHEPLLLDLRATVEAARTAAVKRT CPRCGLSFVKSSGCNKLTCVCGYSMCYLCRKALGPPLEPALQLRRRRPLRRNQENIPP GEEEDIYEDNDQPEEPEGYRHFCEHFRPNPGSRCTECNKCDLYQSEDEDAVARRAGER AEREWRIRQGMTGGAGAVVPGVGNRNVNLDFSTEGKGKGKRGSEGMWWDNGKSDWNWK YWMVDMWSDGSWRVEGQTAVDWVVERVVVVDEI ACHE_31141A MSDLRFDNQTVVVTGAGGGLGKAYATFFASRGANVVVNDLGGSH AGEGKSSKAADVVVNEIRSAGGKAVANYDSVENGEAIINTAIQNFGRVDVLINNAGIL RDVSFKNMKDQDWDLINKVHTYGAYKCAKAAWPHFRKQKYGRVINTASAAGLFGSFGQ ANYSAAKLGQVGFTETLAKEGIKYNIIANVIAPIAASRMTATVMPPDVLENLKPDWVV PLVAVLVHSSNTSETGSIFEVGGGHMAKLRWERAKGALLKTDASLTPGAIARKWNDVN DFSQPDYPTGPADFMSLLEDGLKLPSATAGEEPNFKGKVALITGAGSGLGRAYALQFA KLGASIVVNDLVNPDPVVEEIKKLGGKAVGNKASCEDGDAVVKSAIDAFGRIDILVNN AGILRDKAFTNMDDNLWNPVVNVHLRGTYKVTKAAWPYMLKQKYGRIVNTASTSGIYG NFGQANYAAAKLGILGFSRTLALEGAKYNIKVNTIAPNAGTNMTRTIMPEEMVQAFKP DYVAPLVVLLCSDNVPGAGTKGLYECGSGWFSATRWQRSGGHGFPVDVQLTPEEVAKN LQKIINFDDGRADHPEDGQAGAERIMANMANRKGGDSEGESSILQNIEKAKKLSADGT PFDYVDRDIILYNLSLGAKRTDLPLVYENNEHFQALPTYGVIPWFNTATPWNMEDIVA NFSPMMLLHGEQYMEVRKFPIPTAANTLTYPKLIDVVDKGNAALVVAGYTTKDAKTGE DLFYNESTVFIRGSGGFGGSPKPAAARPKAATNPYKAPQRQPDAVVEEKTSEDQAALY RLNGDRNPLHIDPEFSKVGGFKTPILHGLCSLGVSGKHVFGKFGQFKNLKVRFAGVVL PGQTLKTEMWKEGNTVVFQATVVETGKPAITGAGAELLEGAKAKL ACHE_31142S MHRHAIRTFSLNNSPSARISLRSATLIYISPLSTSTYTLPPVQH NSGLRTTSKMPEIIDDKSQHCIPFLLDRVKAHQARYASNPDQAPPLFLGLNGVQGAGK TVLVSTLHHTLRNPPYSLPVTTLSLDDIYLTHADQQHLATTNPQNPLLQHRGQPSTHD LSLGLKVFESLREGRPTKIPQYDKSAFGGQGDRVPEGKWEAVNKEGERRVGVVIFEGW CVGFRAWSDDTLRKMWENAVRRKEEENYDGRLGHVRFEDVRAVNEALRGYDALTDQLD ALIHIDAQNIHYVYEWRQEQERTLRATKGTGMTEEQVNHFVDGYYPSYELFTETLRNG AFRKEGVPESKWKGKQLRLVVDKNRRVQEVLLY ACHE_31143A MASTAVTVPTKDQVLVPETLLKKRKSQEQARAVRREELEKKKQA NKEKRSTIFKRAESYVKEYRDTEREKIRLGRVARQQGNFYVPDEAKLVFVVRIKGINK IAPQPRKILQLLRLIQINNGVFIRLTKATQEMLTIVNPYIAYGYPNLKSVRELVYKRG YGKVNAQRVPLTDNQIIEEQLGKYGIVCMEDLIHEIYTVGPNFKQANNFLWPFKLSNP TGGFHPRKVKHFIQGGDTGNREDYINALIRQMN ACHE_31144S MSTRRGVGLGAFTNRTQTTQSYATHGANLRSTHTSSLQTQLSVF QSVLHSFALEHSSTIRSNPTFRAEFARMCNAIGVDPLAASNVRGKNGRRGLGEGGSFW TQIMGGDMNDFYFEVAVRVVELCRETRSENGGLIGVEECRRRVGKGRAIGSGLEVTED DILRAVKSLEPLGSGFSIISVGSKQYIRSVPKELNTDQATVLEAIQVLGFVSVSMLRL NLNWEKARAQTVVDDLLADGLVWLDAQGDENEYWSPQNLLDDSG ACHE_31145S MRVQLASLALLAGFSAAAIAAHEELTVRNANHIFNAIHSSMREW DSTLYHYGMSFFLASVPAGTQLYHGTGTPNPTTGMEWLSFELAQAILFAKLPPPLIES HNPCRAPAHGQGQEPLSSGPEADEESGWLHTYAAARDLRLLYIDGLSAAWSNNGTQDS QKRIFLDDTIHESQIVDEAEAAVEMCRILRETWGDRLDGILRMEIGTEVILCSFERDL KFVRAVRAKPIKSLDKGNRSKKKTLADLQPDVGSWLSVASRYHGIGGNRVRLNYDHFV TAFAHDLDIFGGESKKYRPRLEHLESSSLEPIRQGLETLVMTHDPSDSSFNWQAITDM IVE ACHE_31146S MECCGCGKMIQLQDIANANNPANISSEKDAALALVSDVAQEINP LIEKRVLRKIDLFFMPAMLIGYGMVYYDKAILGSASLFGMTTDLALQVVDHSTSPPSV DTSRLSWATSIFYFGMLAGLYPMTFIFQRFSTRYVLGPVVLLWAIVCAATAGVTSWRG LFVQRFFLGFIESVIPTGFMTIVSSYYTQEEQALRQAWWFSGTGWFTIIGGALNYGFG QITSGSLTRWQYIYILAGALTFLFGLWCCTMPNSPVSAWFLTPEERMVAVERLRKGQT GVRCQRIKLDQIKESLLDFKIYLVAIMMAAAYTINGAISGFGPLIVSTFGYNTLDSIL FQFPVGGVCVIFIPLCGYIPTRVPNTRIPMLIACCLPVIAGCAMIWKSQWGYQPTTPV AGYALTGFFGPVVSLIITIGASNVAGATKKTVMAATVFVAYSVGNIIGPQLVNSGSKA QHYPELWKGLIICYCITIAAAAVLYLVLWRENRARDMLDLDEVQRDKIAFDDLTDKQN PFFRYAL ACHE_31147A MFECEECGDEFWYYEDLTDHLEDYNHWRECETCTRIFTTQRACN QHMNATDHWAPRYECETCTREFMSQNAANQHMNALGHWAPKVPCESCSVKFHTQQAAD QHMKARNHFRNYCRTCDRRFMNENNLRAHLNSKTHRGTNVPCPFCKAKYTSANGLAHH LETGSCPNAPKLNRETIYRMIRERDPHGVITKRQIEWHGRVTAGYTATDRAFNGSYWE CYICHRQFRLRPHLNQHLNSPVHQQKVYHCPKRACAKEFVALAGLFNHLESESCGMMR FENVQRHVGNFIQGRHLIAF ACHE_31148S MNERTIRISAAATQGLTVEEESYRSNLMILPITLSRHEKELLSY AMLDTGAEGKRFIDKEWAQDKGLELLPLKKPIRLETFDGQEAESGPITHYAQMHMRIN DHQERRACFLVTQLAHYPVVLGLPWLKIHDPRIGFAEHTVLFDSKYCQEHCNMPMRPA KIRALHDIPQKTRPKHLPPRPERLKHRDIAAVSMSACCAYARRSYRLFTVTVDDIEAA LNPVPDEEDPMAKLPPEFQDFADVFSPREAERLPPHRPYDHDIKLQDGKVPPFGPLYP MSREELKALKEWIEENLKKGFIRPSSSPAASPVLFVKKPGGGLRFCVDYRALNAITVK DRYPLPLTKETLNNLKGMKYFTKIDIISAFNNLRIKKGLEYLTAFRTRLGLFESLVMP FGLTGAPASFQRFMNDTLRDYLDTFCTAYLDDILIYSKTREEHTRHVCLVLEKLRDAG LFAKLSKCEFAVPETKFLGIIVGRDGLRMDPDKVKTIVDWETPTCVTDVQAFIGFANF YRRFIKDFSKIITPLVNLTKKGIQFKWNTTCELSFNMLKKAFTTAPVLRPFDWNKEVI LETDASDYVSAGVLSQYDDDGVLHPVAFFSKKHSVTECNYEIYDKELLAIIRCFEEWR PELEGTPSPVKVITDHRNLEYFMTTKLLNRRQARWSEFLSRFNFKIIYRPGKQGVKPD ALTRRSEDLPKEGDERLLHQSQTVLKKENLELAPDSSSVTLNVTTRAQAHSAENPIVN PPRTPAQTRRVRFADETNHNVPEPPQDIKNLLDSAYPLDETVQSILEALDKNATRHPK ITLADCQRRGNYLFYRNRLYVPDHGELKAELLRQCHDKPAAGHPGRSKTYELMSREYY WPGMYQYVEQWTQNCHTCRRITPSREARQGLLRPLPVPERSWQDISMDFITHLPPSHG YDAILVVVDRLTKMKHFIPCKGTCNAEEVAHLYTCNVWKLHGLPRTIVSDRGPQFIAQ FWKHLMRRLQITNLLSTAYHPETDGQTERTNAVLEQYLRAYVSYLQDDWSEWLPLAEF AANSTRSETTCVSPFFANYGFHPRMGFEPVQPTNQPTRDAEEFACRMKLITEFVRTEI ISAQARQEEQANRKRQPARCYQVGQYVWLDSRNIRTLRPQKKLDWKNLGPFCITEIVS PHAYKLDLPASMRIHPVFNVSLLRPAARNPAPGQRQEPPPPVEVDGLEEWQVEDILDS RWERRGRGGPRLKYTVKWTGYDELTEEPASYLEHAQEIVTNYHRRYPYKPGPGLDGAR P ACHE_31149A MPTYEAPTISDHEESALSAEPETTDSQETPSTMSQSNDSNSVHQ RPRQILPDPEVFKGDIASYQNFKHLLKAKLHVDRKALGGPYECLWYAYGRLSGNAASH ILPWMIANADSPTMVNDDTVTKLFEHLDFNYMDKELQRKAMYNLSTLKQGNKTINELL ATFDRYLMEAGQQNQPDNMKIFCEYCVQLQGIYDRHQKYQQRSAEHRRPPNRRTTTPM FPPPATSPTATSTQGDPMDWEPTISRARNPQRKRARWVSGKEIEHRKQEGCCFRCGSA GHQISQCPFLPAQRPTPRVAEFTAEDVTDAVLDDTQATPVPDVPSGKA ACHE_31150S MTKGNTMASSPPLESYQIGWICALPIEAAAAEEMLDEDFGPLDE QDNADTNIYTLGRIGKHHVVIACMGGRYGTTSATIVANNMMRTFSKSLRVGLMVGIGG GIPTATNDIRLGDIVISYPTDTCGGVLQHDMGRIGEDGKLTRTGSLNSPPRLLLVAAD KMRTHMLTKDPSYPYYIEKVIQKNARTRRNFGRPEPRNDRLFQTEYEHPPTADTCDNC LAEWEVSRPEREDNEPQPHYGIIASGNAVVKHGKTRERLQKDTGALCFEMEAAGLMLD FPCIVIRGLCDYADSHKTKRWQGYAALAAASYTKELLSYVPHGQVSQEKLVTDTLTIA KELKSLNSSTNSVVKKIDLQGLKTAAGAAFNSYDNQHTECLSGTRVELLREIEDWTKS PDGKSILWLNGMAGTGKSTISRTVAGCLKRQHLLGATFFFKRGEEDRGTAKKLFPTLV EQLINGLPHMFPEVQMAIDDDPNISENELEEQFEKLLIDPLLRIEQGGETTTRVIVID ALDECDCEDDIRVILRLLPQVRRSTSMQLRFFLTSRPELPIKLGFTEITGVYQDLVLH EIPEPVIERDISLYFKDQFSRLRRERSFSSTWPGDATVKILIDKAFPLFIAAATLCRF IGDVNWNPKKRLDEFLSDQTIYVSKMDRIYVPVLKQLLTGQNEEESQQLLEDFKRIVG AVIILATPLSINALSQLLDREREDVECRLGRLHSVLSIPNDFDTPVRVFHLSFRDFLL DHQKVTIEKLESLIQGDKYSEISGFFYDAKRFVRKNRQIVASAPLQLYSSGLIFAPNN STIKGQFHSELAAWGQLPRVEENWSVELQTLEGHSGWVESVAFSPNGQLLASGSNDKT IKLWDPNTGDLHHTLEGHSDWVRSVAFSPNGQLLASGSHDKTIKLWDPNTGDLHHTLE GHSDWVRSVAFSPNGQLLASSSHDKTIKLWDPNTGDLHHTLEGHSDWVRSVAFSPNGQ LLTSCSQDKTIKLWDPIIGNLRQTLEGHSGWVESVAFSPNGQLLASCSQDKTIKLWDP ITADLRQTVQGYSSRVESVAFSPNDQLLASGSHDKTIKLWDPNTGDLHQTLQGHSGTV KSVTFSPNGKLLASGSYDKTIKLWNPRTGKVRQTFRGHYSRVLSVAFSPNGKLLASGS YDKTIKLWNPRTGKVHRTLEGHSGWVESVAFSPNCKLLASGSNDKTVKLWDPNTGDLH HTLEGHSDWVRSVAFSPNGQLLASASGSRDKTVKIWDPSTGDLRQALDGHSSPVLSEI NVDISILENEWLCFRGRRVLWLPPQFRPTCLGFKAGILGLGHSSGRVSFISVTAQLST ALDVRQTPSRWQAIVTMPREQLRRTAA ACHE_31151S MFCLPCWNKLSTENSEECSCIMNPQTVNITSLPISCKTWKTAAV TMRITLHLLPEPMPQLQNPPTLSDPLNTLLHCSLLKTILMLWICLLLTVTQPPVEIVG NASVVAPKTTWSETAHYLIIALWESAQPIYHPRPHSPRKPRTYTCVRTIPFPIPRPVS KRSESGLSRDQTMNERTIRISAAATQGLTVEEESYRSNLMILPITLSRHEKELLSYAM LDTGAEGKRFIDKEWAQDKGLELLPLKKPIRLETFDGQEAESGPITHYAQMHMRINDH QERRACFLVTQLAHYPVVLGLPWLKIHDPRIGFAEHTVLFDSKYCQEHCNMPMRPAKI RALHDIPQKTRPKHLPPRPERLKHRDIAAVSMSACCAYARRSYRLFTVTVDDIEAALN PVPDEEDPMAKLPPEFQDFADVFSPREAERLPPHRPYDHDIKLQDGKVPPFGPLYPMS REELKALKEWIEENLKKGFIRPSSSPAASPVLFVKKPGGGLRFCVDYRALNAITVKDR YPLPLTKETLNNLKGMKYFTKIDIISAFNNLRIKKGLEYLTAFRTRLGLFESLVMPFG LTGAPASFQRFMNDTLRDYLDTFCTAYLDDILIYSKTREEHTRHVCLVLEKLRDAGLF AKLSKCEFAVPETKFLGIIVGRDGLRMDPDKVKTIVDWETPTCVTDVQAFIGFANFYR RFIKDFSKIITPLVNLTKKGIQFKWNTTCELSFNMLKKAFTTAPVLRPFDWNKEVILE TDASDYVSAGVLSQYDDDGVLHPVAFFSKKHSVTECNYEIYDKELLAIIRCFEEWRPE LEGTPSPVKVITDHRNLEYFMTTKLLNRRQARWSEFLSRFNFKIIYRPGKQGVKPDAL TRRSEDLPKEGDERLLHQSQTVLKKENLELAPDSSSVTLNVTTRAQAHSAENPIVNPP RTPAQTRRVRFADETNHNVPEPPQDIKNLLDSAYPLDETVQSILEALDKNATRHPKIT LADCQRRGNYLFYRNRLYVPDHGELKAELLRQCHDKPAAGHPGRSKTYELMSREYYWP GMYQYVEQWTQNCHTCRRITPSREARQGLLRPLPVPERSWQDISMDFITHLPPSHGYD AILVVVDRLTKMKHFIPCKGTCNAEEVAHLYTCNVWKLHGLPRTIVSDRGPQFIAQFW KHLMRRLQITNLLSTAYHPETDGQTERTNAVLEQYLRAYVSYLQDDWSEWLPLAEFAA NSTRSETTCVSPFFANYGFHPRMGFEPVQPTNQPTRDAEEFACRMKLITEFVRTEIIS AQARQEEQANRKRQPARCYQVGQYVWLDSRNIRTLRPQKKLDWKNLGPFCITEIVSPH AYKLDLPASMRIHPVFNVSLLRPAARNPAPGQRQEPPPPVEVDGLEEWQVEDILDSRW ERRGRGGPRLKYTVKWTGYDELTEEPASYLEHAQEIVTNYHRRYPYKPGPGLDGARP ACHE_31152S MDFPFNSLPAKDEEEFCNLVKRPRRVSKTPHPHKTSKTTTTSGP TSLKPQHRQRRETTKRREPYVSFGDQGTTASAGAYGSLLRICRPVNNGSRDPPKSGMI GLESTDTESWFISGRATEFLENAQDVTNGFGLRVQNDLSSDPPTVKFLDGRWPVISYR TKEGFLVTNPELKLQMDPNFSMQDLDYLKRKDNLRTQYYKGIHDHGIIVIQDLPELSS NEERLYDNREHICVLIGLFREGVAQELQWNVIKEERATHPRVKPIPITHPLGQDESVE FTAAFKLHTITFGADWRKFLISSKDIVFDPQTATLADSAALFTTDPNLSWHLCRNLEH IMSVCSIPLDIAGFDGKDSASVDNLKNDGPTIALTSPDGQSNILSRRAPKSRPIRPIA LTCGDFGDHRVSVSGSYFAFMFMLKMHGRLSDAPEVRQRIYEICTGHLQWVSRLGARA AFSSNIWVDGREADQSDATDLPANSPVNMPSHIIKATEYLKVFQNTCDLVFVCRWLRE FALKWFGQLVKTKNHLTPTWQHSAESDIPKYRLSDQVWIWKALKNIEDLVSRVETVQK DTYNETLEKFLHIKDHLYSRGVRKTKAGTELDFTADGMRKQNLRRFTLFNDVVRSHML SVTRSARETRFLLHDRDTVLYYGLEWRFFDGEEKGWKRLVQNQTEHDKDCNDESQWDS PLRYGLAIEMANQNHQFDRDFPASDISMPRRSS ACHE_31153S MSSQYDVLVTGSAGHLGTALMLTLPSLGFNPLGIDILPSPTTTI VGSVSDRAFIASIFAFNPIKHILHTATLHKPHICSHSKEDFISTNILGTLVLLEESSK FTAQIESFIFFSTTSTFGSALSPKPGSPAVWIDESVTPVPKNIYGVTKVAAEDVCSLV QKESNLPVLVLRTSRFFPEEDDDEDRRSAMGDENLKVLELAYRRCDIEDIVGASVCAM RRAREIQWGKYIISAPSPFESDAHTLDALNRDPAAVLNKIFPELDVLFKEKGWKHHER IDRVYDSSRAIRELGWEPRYTFEKTVERLVSGGEWKSELTARVGKKGYHAVSTGVYTK R ACHE_31154S MVQTHCGLLFFLDDCTLGAEEHGHAVSTAGTFDTKTFPQFRSPC SLNGPVEPPEAKTTPQEALRTEVSGLLRIPNIESEPTSPLKMLKFLRDGSFILAEVFP NVT ACHE_31155A MKAFFAILSALVPFTNAMTLSGNNVDILRSAESILHAAQDLPSS GNDHTMHTNDDGLTFLTHIALYSEVHGNLTFADTEVRASHDVEPTIWRLYMDCRDYSK GFEFQALDPFNRQIWWNVNYDRVIVGGGSYDMAARFQLRIGRKNDKQSDGAGTEDKHL SDGNDERQWMYIMNNNNGCIFFNKDGLARTGTLSACHPIKFKQIDEKELPIWEDPLKA CSS ACHE_31156S MEASQAPASPHENVSVNRANTLRSIETRYEQMLLDEVPWYLNLV AEFAHWALLAGYLVIPGTFTSLQKSDALEKSLDKTEAGKAILNTIQNPPLVAIAVFLF VLGAVLTAWLFWERKNNYIWLINRLFM ACHE_31157A MFNEAESQHSSPDFMHDNGDGFFPMDNLSMAIDSLPINIQGPSA TVQMLYSSVKGMSSAIKRLSAEVVSTSLAVKSLNAKTNQIADTIGNLSETVDEIVKRE RIAMERFGKFPSQEGRPSPIAFRRP ACHE_31158S MSERRPVECKRIPSTQKCGHCVRSGRKCERDVHAESEWKRIDRE RERIASQLEEAERQSDELLMKVMRLRKQKRFLESRNLKMLDNDFGALEGMGEESSVPD EDLQEFERLLDAEAAQLAATSNNPSLTQMMNSPSFWENFDSAVAGGIPSPTGGNQSSS R ACHE_31159S MYAILARRVYWPAISEDVRRFVRNCDKCSANNVWRDRRQGLLKP LPIPDRKWRYIAIDFIEKLPISNGYENIMVIVDRLGKGVIPIPCEKIDTYTVAQKLIQ SFIGYHGIPASIVSDRGRQFTNEMWKRFCELLGIKRQLSTAYHAETDGQTERMNATIE LFLRSFCDHTQSNWASLLPMAQLAICSRDAASTGVSPFFLDHGYHVDPFQLEEDVEIN LSAPDLGTMRERGERIAAKLRGALDIATTELAVAQQKQEDYANRQRDVAPEYQVGQKV WLDLRNIQTERPSKKLGSRQAKFTVLEKIGSHAYRLNTPGTIHNVFHTALLRPAAMDP FPSQRKDDYQPPAEMINGNEEYMVERILDERFRRWGRGERHEFLVKYIGWQEPEWNDA RNMEDTIALDDWETYKTMNGIVVQSALSIPNEPPHAGGRSRRRRGRGVM ACHE_31160S MMVGTGSESKMPSKEPLEDPGIKIYSFNIEKLNEENARYWFYAM ESQLKVQFAWQAIDYYYEVGKETYNQIRKDNLKWTKVDMKADMIIQQGLTPTIVLEIK DLPNAGAKWDYLKEAYLKSSNAMKAMQLMKMANWYQGSNVNAKDAYREIEQLGRELID MNGSKKIDIDELVVIWYLRGLREEYAMLKGTVMSSDVNLNKSYVLKRAIDFDQLRGGP TEKASRIQKKGTKCFACGKTGHRARNCLSKRDDHDASADESKRQGGRDGRNKKPNRFS KQKGKSAKEGGSMAGNNNDDDDNDDGGYMSEYGTRAIEVADISEETAMHAAECAVEYT AEDAGSCVGDGHVDEDSDVQDGFTCEEAQFPEEHDACATEDVQASPITEYKIEWAKYI IEGAHRVRMDPSRWCFDSGATSMCSGNRSIFEYLDETSRGHLIIASGTEMPIKGRGIV RFNLPNGMSARLGRVVYVPGLAENLLSLEVLHMAGFQSIGSRKGYVLKKDGKVVAQGK RDGRTTYLHSVRHVNALFIGPKAAKRQQYARMALSADEQTRMKQELIHRRLGHAGRSR FNTCVEYMELDELKLGKQDQLLHDNCEVCAKAKKVKKQSHAPIPRARKPLERVYMDFW GPNREGIGQERYYLSLIDDCTRYSWIFIKMDRRAESVMHTLDSWLRQVERQSGKVLLV IRTDNAAEFVALRPWAEEKGIELEFIEAETPAQNGVAERYNRVIMDIARALLIDSGIS KRYWKYAAVTANYLRNRTLLVKRKEDGHEKVDKDEKTPYELWHGHRPDLAHLRAWGCR VLFYHKPESKLESRAMEGTFLMYGKSNKQYLVLPRGGSELKLVTNPEFRERENGNLSE LSAGQVDIQSLLTSTVLPVGPPTEAPAQASIGMTSKRPTEEPAGAGLPVNEPFHTNEP TINSMPELLNMRLNKEDGEKERNSSSSTGGTTDKPLKLSEVQPEGEVEQRAPVEHTNN EVLEKEPREQADETPKDMPRPEPVPEDGRHRSELEMERRSARVRQPSERMMESRQTEQ MYGRKRKAEGEDTGNSDRPAQRMRAHFARLAVAAELLQTDREYEVAEKACAAREKAGI RIPKSYSEAVNDPIYGSKWKEAIHKELSTLISFGTWELIPRKEAEGTISSTRWVFDVK LGPDGRIDRFKARLVARGNEQSDDDFNETFAPVFRIDSLRILLAIAAQLGLLAHVLDA NNAFAGSDLDKPNCMEIPEGLQDFDPDVTSTRGLVLELKKSLYGLRQSANLWHRKISD FLKKIGFKPITADPSVFINGRGLIIAVYVDDIVIFGKDANDIDVVKQKLKEFHPMTDS GLVKKLLGIRFTWGRDRSIQLDQQSYAQQILDEFGMADCKPVSTPIGPSIKLEAPDSS LLGRAEHKLFRRLIGRLIFLVIATRPDIAFAVNQLSQYLAEPRKVHLAAAKHVLRYVK GTMGYGLTFGAKGSPKGLYAYADSAYANSAKNRSTTGFIFFINGTPITWSSRKQSVTA QSSTEAEYMAVSEAAKQAVWIRHFLYAIGKGSIYRDAPTTIYEDNQGAIKIADNPINH PKTKHIAVRYHAIRDHIGNGEICLEHLSTDKMIADGLTKVTNHASQGRLVEDLGLA ACHE_31161A MKERIKAYFSQIDRKWLLIFDNADDSDMWMKDSGAASALRDFLP YNTQGHIIFTTRNRKLAVKLASSDVVHVRELDEKAGLEFLERSLIEGSLLNDHHAMIT LLEQLTFLPLAVTQAAAYMNENSIGVSDYLLLLQEQEADVVELLSEDFDDDGRYKDTQ NPVAMTWLISFHQVQKLDRLAADYLSLMACVDPRNIPESFLPRPASKKKMIDALGLLS AYSFITIQPGNESITLHRLVHLATRNWMKKADQFTLYIRKTADRLSETFPRNDDTNRQ LWREYLPHALFLLNESVFRQQQGHYTDYIQNVGTCLYSDGRYNEAEKLLVQVMETRKQ VLGPEHPDTLTSMASLTATYWNQGQWKEAKELGIQMMETQKQVLGPEHPDSLASISNL AATYRNQARWKEAEALEVHVMETQKQVLGPEHPSTLISISNLAATYRNQGRWKKAEEL EIQVMVTSERVLGPNHPSTLTSISNLAATYRNQGRWKEAEKLEIQVMVTSERVLGPNH PSTLTSISNLAATYRNQGRWKEAEELEIQVMETQKQVLGPKHPSTLTSISNLAATYRN QGRWKKAEELEIQVMETQKQVLGPKHPSTLTSMANLAATYRNQGRWKEADELEIQVME TRKQVLGPEHPNTLPSVHDLAYILKQLGIIPDALTLIKKSVEPEESTQRDSKLVTGGK WRAFTRIFRRQ ACHE_31162A MRPRSRNDFAIAIICALPLEADAVEALFDETYDRLGKHYGKQPG DANAYINGRIGKHHAVLCYLPGMGKGSAASVASSLRVSYTGIQLALVVGICGGAPPLP KYDEIFLGDIIISDTVMEYDFGRQYPGGFQRKTGVKDTLGRPDREIRIFLKSLQAYNT RVEFQDQVLQLLIFTSIMERLFLSSAAAWGVMYRIVFAKMPWKKIAKILDVTMIK ACHE_31163A MQPSMLLVLLGTHFTVGQQQVFKGLASGVDAHDLDDTKLYHSGL YNGGFQGYDHAPAATAQESQTCPVGHYFNGERCVRNQQGHPCIPGWVYDPLAGECQHQ GGTPPPPPPSNCESEKQKCEANLSQCRNENGLLSNQKQETEQKLTTCERERDECRKQP PLPSNPGKYVPDPSGKA ACHE_31164A MPFYSIALNYDSSWQGDDEGPHNNRFIIITPDRATSDLVFRVIQ DNPRSLTSRISFQEVRRISPQMWSWSAPGHNDYTALEHTIVDINRGKVNCDQKHLEKL RGKVTLDYIGDWTKPLPIIPHLDAADHISGNHFCIRNKRAPTQFWAYPWVSEGKPVTV STARRAKFRIRAIDGSVRNGETLMVDTDMVSLELVFPDRGGTSYWPVTLDKTDGVLIP QRSGSSDTFTLGSLFLGGFSVDFFPKNLDKLYPFKIRDESERGELWELC ACHE_31165S MFQGKDPTNEGTHDPSKDEPSKDPTNTNKPAPQRRPRYGYTNLA REDPNRDKRYEGKEGLKIRVNADLALDLDIKLKGEIYGDLIIGLITSED ACHE_31166A MTTSTETIQKPLEKYVHPPETKHKLDYANLITLDMAEFDRPGGK ERLAAQLKQTAHEIEQVDQQFAIAREFFALPEEERFKFRAPLEEGIYNGYRPLGSIEV LPGLYDNLESYSIFKFIPETQRSQPEVIKRYWADIEKFHRHMHENVSYKILKLLAIIL ELDDEDELVKGHLYEANCDSSLRYLMYRARTEEENTKYKDTYIRGHTDKGSLTFVFQQ PVSALQVKATDESDWEHVRIQAGVVAVNLARMVQMLTNGYLKAGIHRVIAPPEDQACN DRLGLLYFVLPSDRLKMKAMDSPYLRRVGYGKNEGSIDSDIPANEWVRARFRNNWLPF NQRP ACHE_31167S MKISKHSQALFETTKRLLAEIVNEGLVDATIGGSKNDQYLYLHS HLPAAKNASKRFKVGLRPGTVLETRDGKVAAVVRPDSLQPPVVIGNGDGQEEELNPEI LFRFLSPWLIKDADEDTLNEIALELGNSARNQETWLDIGESQKILSLDDNSAAWERAL IYGHPSHPYHRLCYAQPPLQQTQPSDIPEMLTPTLAFLSVPRTELRVTGDFEATIQPL LKTLEIPSPTPDRIIVPCLSRQLPSVHQRFPNAIVLKSIDNIADAQASMRTLTLRPEC DFGYHIKLSLACHITSALRTITPWTTCGGPVQTTLLEKFLPTDLWVFREVAAVSGAQD NFADAKHLSCILRDDLEARADANNERLVIAAALAQQPYNNTRSYAEILYNLHTTTDKQ SWLRTYVTRLFTLVLPPLAQYGIGLEAHGQNLVARICQKTGEVKGFAVRDFGGVRMHV PTLKKFGVNFDSLPPGGATLTDDLHNVWSKVHHALVQNHVGLIVSALGLERDGGWGIV REVLGGVLEQQEEGMGREVAEYFMQDTMPFKCFLRMRMEGKYRDYVEREVPNVLLMGS PRWEEVLQTYEPTLHYT ACHE_31168A MGHGTDKSSFDWQYVTDMMVQRYAHTLESVVSTDVTANTKQLHD DLKLLDPFFNFGGRNITAEAERRATQFIPFRAPTQGVAAHAVRSIADSVCFTLLEAFD EPDYHTAVSIIQDLINYLSWTTLKECQGCADNEVCVILIRPMGISENWGNPTFHDIKN PGVEYWGWDFVPGPTELDDS ACHE_31169A MDCINHHKAEACLELGIAQHGRKLVADSQLKADKYVCIFSFMYL LKDIAIDCIPIQEAGFATNPFDITGLLFNNLLERENDERC ACHE_31170A MEAQELCGGGISHASPPGVDNVASQFAETEDMPVTPTPIRWRSG NMMQPEFNEGSMESASMDENEGQEHWQIRGSSRAPSRAPSRASEARSGIRQRKSLQKT LIGRPKHQTSLLDVSKHAQVLVGALEAAQNQQQEMFQMVQEQVQAHLAEELSNWRAEQ QVHGGLYLERVTKLELEVSKLRTELTEAQNTIQRIKPMKQDTPTTTNAQSSQMNQHNS SKVPKIREATSQKSRQQPTFADLATLLSTRPGGQEWQEVTKKKQKNRQIQAVAAVSQP DPTKLKPAKDTPKEARRFLFRREGGKAAPRSEREDIILAINRAVAKAHFPAFIRVVDA GYTNTGAITILLEKGTLGSMLLPDVTDP ACHE_31171S MTVDPSLPESLQDLEPFALQSSDQEPRYSPGKFFVRPQEINDQD PLVTADDGIIATTTWFKTPSLDRHLTKYLKAVQLFAETHDQYYVGDRALGNWTWLELA IYENASSDTPRVKEGVKLVWQSHKNIMGSNEFVWLPGEKFGQQHDLLTSLEEGNVIAV RACARFRNWQVFGRNARLVLEIGEHENTERPPSYAEAARTTDRMLKLLNAQNIENDAY TPEVKTVSERADTYTGKGKAPLRVLSLDGGGVRGYSSLMILKEVMKSVGRERPCEVFD MIAGTSTGGLIALMLGRLKMTVQECLDEYSALMEQVFGSGWLNDYGGKQVRYIATGDF HSAAKFEEVTKGLLRRRLRVEKPEDALLLDEKDSCKIFLMAVRQESGSNRAPVFLRSY KSDSDPPDPNLANIKIWEAARATSAAPGYFEPMQIGKIKLVDGGLLANNPVGWLWTEV LSEYGQLRAADCFLSIGTGMAPNVPVSQPGLTFYKAMLSFASIATNTESTHLLFSGLI NAFAPNPGKEKYWRLNVAKEAPEKSKWKKIWDGIRGSEQPMLNDYADPGDLDNVKAIE KLKKWTNEWIAVQKDLISGCSAAISKSLEVRDEK ACHE_31172A MADIATSEIQVYNLPTKTVNLTPTGATVVREITTKIQPGLNEIT ILGLDPKVDVDSIRIEGSGPATITDIQTEVVPRQLLFEDVYGEESDDDDSSDSEDPKL KSLRDERTSAEERLDKARNNENMAVRVLGLMDSYGNRMQPQHTESDKLQNFLECYVER YVTESERHRKAKAQVSKEEKEIGSLSDKILKLELQLQRRRDKTQREREQGKSQEMRKR QEQRRFWTYSVGQVVVHLDSQSSFTPGSSRRSSFSVVEQAADIPADSSEREVTLRLSY VVPGAKWFSRYEMSINTPSSTARVTYGAEFQNQSSETWSDTRVTLSTSQTAFSGLHET IPSLQVWHVKLINQDDSNEQPSWEKIVRSVSEGGQSTVDRRTAKEDYQTQLILLEQQN KRRLLMARQEQDALAREAPQAPQAPQAPPPPPQTGGLFGARREPGTSLFGTAAPRSFG ENVPNPFASGGTGSLFGGTASQVAPERQANQFVSQMQQQSAPMAMMQQAAPVAASAPP PPQRLAANSYENNDDNDTNENNEENYDDGIPEPPNLEYQDSTRQEYGLTTTYDLPGRR TLIPSSVNRRHALAKLDLKSITLQHVIVPKHRSAAFFRARIRNTSSIQILRGKVGMTV DNAFLGTTTMPNCAPNDFFDISLGVDPSILVTYAKPTVRRETSGSFFGKEDTAIFRRS CWVKNTKTTPANIIVLDQVPMSNDEKLQMAISEPKGLAREGDKVAIAVEESKGKGDVF LGKDGEVKWVMQLEPGKDVRLVLEYEMKAPRGNDVSVS ACHE_31173S MASTQPQNQIPAATLKARSNSIVVPKMADAKVEHAVTAPAPAAP AESTDANVWTTERQLVSSLAKLQKLESMIHDLRTLLPERLLEPLVPIVNPQVPASGSK ALPKSPQMLYEQLSSTARAGVAEVQSFQDLWRSPELKEIWDHVDAKIQEGNGVLLQPS GMWERDYGVLLEGIEKEEDARGEEARRAQEDSERAQVDGDWRRVVEGFVGRGVPGVRV VGAKERDAVLVVLVKAGMAFEVQSRDGEEGLKWVVSSKVAPGKPVSKLEAAVVDCLNS RQRQWDLAFVLDMIISYTTIKQTPCAKCTKMTDSTAQLPTIRRQSPPPQSTWEAFHPA CL ACHE_31174S MPPIHNIMPIPGRIHIPILIPIPYWNNMPLPPPRPSAMALQISR TMLNPTPRPAVRYGIPPRPEIVPLIRLARCPGECRGVHVSRLLLSRSGCVGVGAGRVY IVPPCPSTIPFPRRTLFDWCREFDLSLLAVPTLVLVLGAADPSNENIRPSVPEDIEEA PAVRPV ACHE_31175A MRFFVFFSVLCMLLGVVASYQGDSGEIARRDDTTTAEAVTGDAA STTEASATATDSDTASATESATEPASESDITGTNTNTNTASATGTASKTQSSSSSTSI DPRMGAGGISMLTPTQGATSYYKIGEKVTFAWNYTSLAVTPSAINVVASCSMNDATYT ISNNMSVAETGKVTWDTGKYQANATVPLLTATYTLIVYDVDAEIGDTASAGHLSSQNQ FSFGMYVPQAYTPLNEYKCATCNGALPELDGHAVRFAMGMVTLTVLSFTWFAGGYGVF AV ACHE_31176A MKLLTSLTTTLLATAATASPLISRASSDEAITTKKFHLKTTASD ASAHNNLYVYAYHTGAGLNDAVLSANADDASKAFVNGTNVQFDLGTPFPWGVNMVGAT NYGAWQPVQINTGYGTSGFELDNAHLKWSEQNGFGGWLVCDWVHNAPQLFYLYEPIKA DVPSSCSKVELQAVYL ACHE_31177A MDHVKEDPEARTEETPLNTIPQDDGPVSSETPELKEEIPPEQAD MDEASRLRELHADVRNQDDLERDITRQADRLLNEQADERDNKRLEKTRHEKEKIEAQI LRLHQRASQPVGTAARARIQNDIQKLGSQDSSLAKDLEEIQQRIDARREGQETASQVT GTGRMPNESRRDYLIRTGKITPFAKMSGGPEEGPLASLRDALVDAEDERDESEALEQM KNRMDVSHRDLRRPGFGFDESTEVSSEAPEDRPKKKRKLQQRSRAEDRHIKREEEEAE VPSDQEDSASYVASEVAGESDDDFVAEEDEEKGKKRAKKVTKPEDGIEDLSVLDDGRE EIYQARLQDWVSRRSAARKRAREARRAAGEDENEDEQPEDEEWFMPHPTVPDLDYDNG YRAPGDVHQYLFDYQKTGMQWLWELHQQHVGGIIGDEMGLGKTIQVIGYLAALHYSKQ FTKPALVVCPATVMKQWVNEFHRWWPPFRVSILHTSGSGMVNVRNESSQEDALLTQKY SGGGARGLTGAQKAARKVTKRVAEEGHVLVTTYAGLQTYAPLLIPMEWGCAVLDEGHK IRNPDTSITFHCKELRTPHRIILSGTPMQNNLTELWSLFDFVFPMRLGTLVNFRNQFD FPIRQGGYANASNLQVQTAAKCAETLKDAITPYLLQRFKIDVAADLPKKSEQVLFCKL TVPQMQAYKAFLGSEEMQSILTGRRQALFGIDILRKICNHPDLLDRKVTSGNTNYGRA SQSGKMQVVKSLLHLWKDTGHKTLLFTQHRIMLDILEKLVRSLPDFQYRRMDGSTPIK LRQSMVDEFNNDPNLHVFLLTTRVGGLGVNLTGADRVIIYDPDWNPSTDVQARERAWR LGQKRDVTVYRLMTAGTIEEKIYHRQIFKQFLTNKILKDPKQRSTFQLSDLHDLFTLG DENGQTETSKLFQDAEVKYENTNSEETQDLSKVTGIASMEKFHEPEPETNSASTPDPN ANDNKTESRIMEGIFSRAGVHSALEHDQIINPNGKRAVRADPKIIEAEAKRVAAEAAE ELRRAGEAARSVPIGTPTWTGQSGIAGRPEDQNRSSMFGGSSSSARRRAAGPSSANIL ANLSARSATITPRSGTSTPTGNTTARGSITSTATDTDTGGFSIHMIRDFILAQGGSAY SQSLVNQFNRFCTTPQRTAEFKEMLKRIAVLERGAGAGGRNGRGKWVLKREYAKK ACHE_31178S MDPQWQPYQDPLMGGRPAQYNNGLTSTPSKYGAQPASSQPPMGY QYEAFQTPAGMPSRALSMNSGSKPMSIASSPTAPPRNRDFVPDADTMMDDSDPYNRAK YSVRTNHYARPSSQYFPTEESTAARRYSPKNALSPSAPYNASPGKSHNPYALPPGQNN NLRRSPTKSSNYMSPPQAYQSPLSSSRPPHLPPLQSTSTEMTPPEQYYPSSATSQLSA PFGYDGRSPRSGSISGGSQQPTPGRGPVPKFQKIKSLQELQPRVNAQPAYRRANPEGG FISPLHALTTHLPASYRICNPNFNYESSRNPRRVLTKPSKGVKNDGYDNEDSDYILYV NDILGSEDAGHKNRYLILDVLGQGTFGQVVKCQNLKTQEVVAVKVIKNKTAYFNQSMM EVSVLDLLNSKYDKNDDHHLLRLKDTFIHKQHLCLAFELLSVNLYELIKQNQFRGLST TLVRVFAQQLLNALSLLNKAHLIHCDLKPENILLKNLESPIIKVIDFGSACDERQTVY TYIQSRFYRSPEVLLGLPYSSAIDMWSLGCIVVELFLGLPLFPGSSEYNQVCRIVEML GLPPTWMLEMGKQSGQFFEKTQDEFGRKSYRLKSLEQYSREHNTKEQPSKKYFQASTL EEIIRSYPMPRKNMKQAEVERELNNRVAFIDFVRGLLSINPLERWSPQQAKLHPFITQ QKFTGPFVPPMNLKYASLNKTVPPGIQQQQQAEAASKQRAAQAAHAHTAAQNAYSMQM NQFHPSHSQPPPMYNGMFTGQQGAPPPYPTQPPGYNQMHLMPGQVPQTQYAPSQSLYA QATTRAGRQRASTMDPQGVPPTIQRVASHLDPNAPIRLQPSPAYYPPPPDGYVDSNSA NQRRRGSRAGATRNRDFIRTLEDGVLGGEGFVGQNQWH ACHE_31179S MSRFFKGTGSDSDSSESEDEVLSEEEEERSEAEEESSEEDSDVE EDEDESEDEEAGGKTGASAFLKDVSESEESEDEEKVTVVKSAKDKRLEELENTVRLIE NAEKIGDWAVISAEFDKLNRQIVKITQAGPTPKIYIKTVADLEDFVTETIAKQKTSAK KMNQSNSKGFNAVKQRIKKNNKEYATLVDKYRAAKDDFMESDEEEAAPQKPAAAPVAA PKPSKVERVQAPAAAVEEDDGFATVGRGGKTLQYTPESILKHLRVILESRGKKNTDRL EQIKTMEKLLEVAQTSYQRIRVYLTLISTRFDLSSSSAANYMSPDQWKLADKELSALL GVLEQNRNFVVAEGAEEWDDDDRQPQPAEGEIFYIPGSIVSYIERLDDELTRSLQQID PHTAEYIERLSDEQQLYSNLVRAQIYVEGISKSDKSDPRQDSVNRIVMRRLEHVYFKP SQVVAILEESAWKALPETLMSATTPREKASDVNNLVQALCNYLFVNSDGIIKARAMLC QIYFLALHDQYYRSRDLMLMSHLTESIANFDVSTQILFNRTLVQIGLCAFRAGLIYEA QNTLSEVCGSGRQKELLAQGIILQRYSTVSPEQERLERQRQLPFHMHINLELLECIYL TSSMFLEVPLMAQTSSSPEMKRRVISKTFRRMLDYNERQVFTGPAENTRDGVIMSAKF LAAGDWQKAANMLNSIKIWDLMPQPDKIKAMLSSQIQEEGLRTYLFTYAPFYDSVSLT TLSSMFDLPAKKIAAVISRMISHEELAAALDQVNDAVVFRKGVELSRLQSQIVTLADK SMNLLEANEKTLEQRTQGMANAFQRDQGAGARGGRGGRGGGQARGGGPRIPGGQQGRR PGGQQFGGGALGGAIKA ACHE_31180S MRTMQNACIICHKKKIKCDLASRRPCSNCYRAGKECVMYTRKRK RYTNSLSPRESAEPQHNQNDITLTQSYTAQTPATQRSRKNDMIETYRGRDRYLGRHVS FDEAIASPDQQDSGPESGSGSRLSPLDLELLTHQGAFQLPPKSVQDDYIATYMQYCNV WTPIVEREWLHGQSVSYLLLQSILLAASRVTKQPSTHGTSADFYRRAKLLFFFGHERN PLISIVSAVLLHWYNPVGPEMISTDTSGFWLRTAEAIAFQIGLHKEPAPGSGTVESKR KRALKRRLWWTLVVRDCIISAGVGRPRTINLCDSDVFPPSLDDFDKDEDTTRPRLFLV YASICQLLGDIVESCLRPQQQPNQHHKSLENALYRWVKQDFRNITTLIPGSSLQYTLE ARQIMLTYFANLIILDRTPTSDGVPSARSLVASSFISGIYKEFLSRDELSRLGPIFAF YALCAGLALIPAIRFPIVRGTAIEELQTLINSLRLLSKQWGSAFGALRALQRLGGEIS QQPNREDPVPVLKEEMVPFFEDFGKGLCRQWNVFFGGEEMAVTGMVPQGMLNNLALET ELPSLGHAGTGTATVDVLLQSAGKTDFGGLDLLSGNWEGVGFDWSGSWLLDNVISHIN ACHE_31181A MSSLFKSRYGLTEIQIGLTFIANGVGSMVGTLVTGRILDADYRR VKAKYEASLDTEQGDQAHQMSQEEDFPLESARLRLVPIFSVLQCFSIILFGWTIQYPH KVHIAVPIVSTFITGWTAVSTQSLIMTYLVDIFPDRSAAASASMNLARCLFAAGGTSF IMPMIDGVGVGVAFTICVAVQLVALIGPLIQWKFAAGWRKKEGEEARVKAEMTG ACHE_31182S MASVTNGTLSFGDNYAVLNLDWMSVLINAAKDTHEGQAMIKNCS KWNDAVHQKSPRPLTVFSTLSFNPGQPEVQPNSPFANLIVPYGEFRNGSPEVQIDGHF KVDEEDVVLQKTRWSATMGNALEQILKARNIKTVIISGLTLSGVVMSTIYRLFDLDYN IYVIRDNVLELPVDQTPAFSEVMLEMLLPKMNIKVVSIEEALGALEHS ACHE_31183S MTDRDVNLESIINRLLKVRGTRPDQYVQLQESEIRYLCHKAREL LVSQPILLELEAPIKICGDVHGQYYDLLRLFEYGGYPPEANYLFLGDYVDRGKQSVEC ICLLLAYKIKYPENFFILRGNHECASINRIYGFYDECKRRYSVKLWKTFIDCFNCLPI AAIVEEKIFCMHGGLSPDLNSMEQIRRIMRPTDIPDCGLLCDLLWADPDRDINGWGEN DRGVSFTFGSDVVNRFVQKHDIDLICRAHQCVEDGYEFFANRQLLTLFSAPNYCGEFD NADIETS ACHE_31184S MRTSRYSTSRQKACQQCSSAKVRCDRKAGNERCTRCTQRGLPCA YPHADVPEAPTMTNPGLNGVQPPSPFSISDMSFASPERGLLATNMYNDPITRPPKSPS TTSSRPLPLLNEGFVNTAARMPESLDDMDFSGLDLVCPISADEIKNRWIQAYIPVPGQ TVKQYPTGVSNFIYHILKSYAVVAVNGRGILPFIHPKQMMAQPTGSPLTTCLSLVRIC SNPIPGTEDAAVKVLQREMHNLYKIRDKYDDKCLFAAFQAHLIYSMILFFQLNHACND HFRDIMTNLQELACASSQQGLLCAANQRRARPRWEEWITVEAKRRTLFVMYLFDSILS TQEGLPTFLGTELQGLPAPASKLLWQASSRFEWERQYNIHMAEWMEGSLTIDELWPPF LGMTASDISRRHARVDRWLENLDEYGTMLYAIMRCTHSD ACHE_31185S MADSPRSSLMEQLLRLRSEASHPDAAHHLSALLSSHSGPWPIRQ LLACFQDIYKSLPESLKIKNDESIIRLRAQVKAAIPNVAELIGTDSRPGFIDVSTGRH LTHGAIRQFVQNFQLPVGPSRHGKPRVAVILPNGPLMAVAVIAFANKYTIVPMAPNTV PEQLHMDIEQVQADAVVALDADIGKLQLDNGIRPVFGVEQLEDLTFRVVSAQRASSAV DFAPNAGDDIAIILFTSGTSGNKKLVPITTYNLVAGTMATMESVELSETDTCLNMMPL NHVGGILRSIFSPLLAGGATICCPSFDPSMFWDAVQSPHMTPTWYYATPTMHQMILGE AEHRPDAVKQSVIQFICNAGGGLPPTLAVQLRDTFRCVILPSYGMTECMPIAAPPKNY TLDRQGTSGRIVGPEVAILEGGKPVPRPEMLGHICVRGSPAFEGYLTPEGKIDLSAFD ESGWFDTGDLGYLDADGYLYITGRSKEVINRGGEIISPVEVEDAVLAAAKDPNSPLFG RVTETLAFSAPDEVLQEVVGAVIVTPPGKTRPDLRQLNEALHPIIHQPKWPAVVVYMD RVPKSNNKIQRIKLAQRLGLETLTPTTPLADRHYEAVCPPNGTPLSASIPKQQCVIND NIIRSVLSEMANTPDVHIQTHPRDGFAQAVLFVDNPDDDHVTPGDLRDQLDGYLIPSR ITLLQGPMPLDPFGNPDQRAIDDAIRARNSDGDLSPIQRRVREIFATALSCGPEDISA LTDFFAAGGDSLSAGRLVSQLRREFGIFLPGDVLFHNSTVGDMERKITEAVEIKAAKG DDGEVELPGCDKTYSSTNPILLVLHLFPIAFFAPMKRAFQWLVFAYVMAECSTRFPIR DVLIGRLVLIVLAVLSARVAAHIVFPFCGIIFKWLVIGRYKEGMFPMWGPYHTRWWLT QKALQVCGKGIFNRYNWSRALFYRLLGAKIGKNVTLSPSAKLGEYDLIEIGDNVVLDT CQVRPFAVERNTSMLLKKIRIGKDASVGIKSIVAPGADIPENTCIGPNSSSWELQDAD ESNRDLLTSRIPAPHWLWSLFIVEPIKILVWVASRLTWMGGLVPMVRQYPVPSADMFL ATLEWFTSGQRIGFHITAKICRAVGGPIVLFIAVLIIKSLLDLICGKPKPGPASKQTT RQKVRSAVLAQILPAGDIHELTRLVGRHYELVSMAIRALGGKVGKRVYWPSVGPAVPD FDLVEVGNDVVFGSRSNIVTSDGYGRDRVVIGDGTMVGDRVVALPGVTIGREAMIGSG ALLRRNGDYASNTIWTGSKGGDAVQFPTSSTTMPSSTAPTVVASSSSSANGDSEDEKR AGEKTSNEKQTPISSKNKTVTDSSTNEKDTCKPFGRAFYRHEANYHVLRIWDIVIYSV LSVVITTVYWLLTILFSLFAVRAALTHSDAAGFKQGPWRPFVLYGLLASILSGVSAAQ AFIALGIVICIKWMVMGRRKEGTFHWDKSSYNQRWQFLLSCETLIKDCYGGTGLLPML TGSAYIVWYYRLLGAKIGKDCAIHANGTPNIFFTEPDLLTLGNRVAVDDSSLVCHLNS RGEFELHTLNVGDRSIMRAGSRLMSGASMGQDACLLEHTLVLSGDHVEDGSTLQGWPA EGFEGKRA ACHE_31186S MEANYLRHMSRERRGHKRQRLSSTAESERQASSQNFEISHENSR GSSSQVADNESTTSSRNLRRVASTNILTIEQRRQELELRDLEAEVKRKEEEVRLKQLQ NEQLELELIVMGRSLAI ACHE_31187S MMEVPRSDSFSRLPVEIILHCFCSLSSFWDALRFAATCQQNRWI WTANVSIIYQHISPKAIQCRRYARTLLADQGGAPADSHVLTTHDVLQLVRNTVVMKKS IEQFNKVYVYRFTTGPNKPNKASWPYFGNKPRPPYLIKTERARFVRGLYQLWSIVILE PKARQQRMESLCLKDLATLLDLTQYDEIMIYDKTVIAMQEVHRGLLETRYGELWGPYL RKLHELLGDPPDSFRREPPYGMGYLGRIAIWNDNVEDLKEVVTMKISPSVPDPDFSEL WYDTPDEDLSD ACHE_31188A MQLQTIIALCLYAGAQQAVAAPVDRDNEVAARDLEARASPGESN ANPIKASVNVQGQNQLPFDADCYAILCKGADRILQRDSDETNPNRKDSGVTKTFSGGS GSGPFRNPQKANVKVPAPKSSWDSGNPFVSPEEFPFASTTQGGNGAFLVPVNQASQNS QGGAINAMYEKYDIDTADCGTASWFELSFTGSLGPYCKALMAGMTSSDPICQKNAKDA VGDWGFDVGEYVYKYDGHSYRAAGKQ ACHE_31189S MSRILTFATTNIPASTIRQLQEESTIPEYAFNLFCFIHTPDQEE VQVKGTPPAEDIVTGFEGQSEAQIRQYFHQFLAQRGTMGKITRVWFAVLDARSAAQST IVLHHSMKKSLWDEIHAEVPETPIPGQHEICDDGNIWWKWRVAFRYAWAAWNSISSCD FEVLELYSRPEYLGSDGVVDAETCDKIVNGIAKDPKGLV ACHE_31190A MSFSQSSDDIRIEFEDDATVLYAVAADAEGNPCDARIVLDDEIG NSDGWFTRSDSRFTESSQEIRLDFREDGVWLVGHLPCADGSYREDQGINLDKHIGNNN GELIWIPIDCVEE ACHE_31192A MARATATARKSTGGRASRKRLGAKAARKTPAKKKGTRKFKPGTI ALREIRRYQKGHELLLPKTPFRRVVQEITSEMMFEKDYRFQSSALDALQEISEAFLVN EFEMTNLCAIHARRVTIQARDMQLVRRLRKHMGLDPVGTV ACHE_31191S MTRKPGIPGPKPGANPGKKRKQDKDLSKNPHTMRGRELLASKSD SEKAVIRRKNNDRAAFVSARLKLRASTSWQEATMEEQEILENSLKDQVMRERYEKGQS AQFFLDQLEGESIDSSVWETVDFENDTELCYHAQLDDIASHETIPTTETAKEAEAAQS SATGQLIKTLHTITWGHFQLSLLRNLASLDKKLKILGKMESCHDPLFYNGIPFCLKSI LPEKVFLKEERAAWSIMSSISSNPWATLPGPADWWEGYSCQSLAKFWGFAPKEEAKAL YRLGIYIIQNKEAPENAGGLIDEVMGLLELLP ACHE_31193S MGRRGPACRRCRGRHLKCNGERPCARCKKSDIECDLSRVNVRFR SHKPRPKPDLTFSPSQEWVGTAGKGEFQYVDVSREYAQNDSSKSNARQTSISSKGART TSSSSSQSGDAHDNGHSPIPEAGPVFSSPGPLFTPDSTGTVSPQSIRHCAVTPLSSIG YNHNFLEQSAYPHQRDKYPIGPLKGLPNSASNQAFVTNIEEACLMRHFVDDLAPWFDT SDRDHNFCYTVPERAMFCPVLRYAILTASAGHLTRSCSPYRNTKNVIVYDGIHLPDLR YDTAISYHDICISYLIQVSNNPSGDFNEDILTAATILRFFEQLDAPSAGTDTGTYLTA VQFIINTQRNQSFYAYRTIAGPPRDLSVHDIPAPSLGHSACLIALRQEIWSACLYQHP CRLPICPYEADIVFDDTASDFIWANRILIWCASLLNFCFRSKPMTHEERVQRWTKFKG FEEKWQTHKPCAFRPIYHEDPAPESGIFFPRIWHINSCQVMAEQHIELARILLAVSNP TISRIGLGASSANATLEAELRSVTRRLVGLGASNPKMPPALVTSAVGISMCGEYFTDP REQEALVDVLMSLEIEHAWPTEATIAALRIAWTSRAHN ACHE_31194S MKDGVRFGNIHCHTQRCQQRYINLLASQSTAYQIGAYPTTANTL LGLIVFSGKFSPLSALTDLRHCYLSACGYYSKGIYDAHKQTQAPILRTGPNALSFGDV RAIHDIYGHGTRCTKDVNYSILGGSHMQLFDVVDKAHNSPK ACHE_31195A MLLSPVILLFAGTYAVFLVLRYLHSQYQHHRQAKSLNCEPAARG GAGFLGIPGFIRLTRAAKEKRWVDFIAEQYTTYGYTLAQRFLSRRLISTIEPENIKAL LATQFGDFSLGTRHREFYPLLGDGIFTLDGAGWSHARGLLRPQFTRDQVADLELLDDH IRNLVSLIRENSGSFDIQRLFFLLTIDSATHFLFGESVGSMGSTSEKSSLLQKSSVGD AQGFAEAFGLAQDYLASRSRASDLYWMVNPKEFQEANKRVHEVVDHYVNLALKSQKEK PPGSRYIFAEALAAETSDPKVLRDNMLNILLAGRDTTASLLSSAFYYMARHPHVWNRL RREIVEQFGDASQPKAEITQVKLKDIPYLRYVLNETLRLQPPVPLNFRVANKDTTLPV GGGPSGKSPVYVRKGCIVAYSVYAMHRRTDFYGPDAHTFRPERWEENARRGWEYLPFN GGPRICLGQQYALTEASYTVVRLMQHFDTLENADPESGEEPVKQSNLTMSHDRGVWIR LFSK ACHE_31196A MRLDMLVTPALGLLGFTTTVSAFQRPLPLVRRSDVTDGGHKPEY ASIPIDHNDTSVGTYKNRYWVNEEHYTAGGPVFVIASGESSGQGIADHLVFNSSGSFG HLLKEFHGMGLVWEHRYYGDSFPFPIQQDPAPEHMKYLTVSQALADLPHFAKTFSRNN LNNIDLTPKKTPWIMIGGSYSGMLAAFTRNEYPDTIFASFASSAPVQARIDMSAYFEP IYRGMVADGLEACTKDVHAAMEYIDEQLSNDETAASIKQLFLGPEAEKNSNGDFADAL SYFYYGFQSIGVQDHPFGIKAWCTYLETDPDTNQTAGPEGMAPSRGGKYVAERLASWP NFVSLVNDADDEMNCKGIDETKPLSCDLGKPAVEPLLISWYWQYCTELGYYQTSNVGP HQLMPKYNDVEYQQEVCNRQFPTAVKSGQFPSKPQVDAVNAEHGGWTIRPSNVYWTEG EFDPWRTLSPFSTEDFAPEGVKYTTDIPKCGVHTTQDTLFGYILEGKEHVADVGIGGT VDSTTETSWNIFKAALHEWLPCFEGQKKGDPT ACHE_31197S MRSRFVGTALLPLCARVASAAFGITNNDDSYVVDAGSANPLKFT VDRGSCDITSINYYGSELQYSGKGSHIGSGLGSATVSATQSGEYIKVTCETDTLTQYF VVHNGDSVIHMATYITEEPSIGELRWIARLDPDLLPNEEPFGGVSTTGGGEAIEGSDV FLVNGETRSKFYSSERFIDDQRHCVSGDDRRVCMILNQYESSSGGPFHRDINSNNAGD STNLYWYMNSGHVTTEDFRVGLHGPYSMYFSRSGTPSTDIDTSFFADLDIQGYVAEGD RGTVTGTASGADSSVDWVVHWYNDDAQYWTYTSSDGSFTSPAMKPGTYTMVYYQGEFK VTETVVTVSAGSSTSKDISGSVETGTTIFKIGEWDGQPTGFRNADNQLRMHPSDSRMS DWSAGTYIVDSSELTDFPMAIFDAVNSPVSIEFTATSSQTGAATLRIGTTLSFAGGRP QATINDYTGDAPSAPTNLNSRGVTRGAYRGYGEVYDVSIPEGTIVEGSNTINISVISG SSGDEFLSPNFIFDCVELFQ ACHE_31198A MACIPNYKTLGADSHQRIRRIADLQVLPLLLIGFATYQLDRTNI SSALTGGFAAAIDVDQSAINLGNQLMFLGVIVLEIPSNMILHSIGPRWWIGGQVLIFG IMAALQIFVQNRAGFLVTRMFLGLAEAGYIPGAMYTLSCWYTREELTKRIAVFFFGMF GGTAVSPLLGAALLMLDRKGGLSGWQWIFLVEGIWSMLVGIMLLAFLPEREQANTPAH NKAPGDEEKQPTDITIQEAPTPQTQHIPLKTVWETLTNIRKWPHFLGTACVFATWSPL TTYTPTIIMSLGYTRIQANALTAIGNFITLPVVLFFAWLSDRTKRRGLTVMLAITCYL IAVVLLRCLQAHVGKWGRFGLWTTVNGLAVGYHPIHNSWIQMNCKSSQERSINIAMCK KYPVPSQTVRV ACHE_31199S MKFLASLAVLAGSVSAARQSNSGHRTLFPAHPPGHDANDLTHLI PGLQQALHYREDGKTEHDVSSFGHILSTFNYPTVLLDHSSHVENVVCRPNGLDVCFTS DVAKRHAEEKWKANHGPLLLGTDHAGCGDYDTGMRAFWKVSSISFGSSKMKNRCAHVN ATEVPLEDAMDGFEMDFGHYEEALQQRDQRAAQRNILRRRDDAIAEDDTVDITDDPDA LADFFQEPITETYPDAPEATPAKYNGTVTPINKRAMLQRRGFFDWVSNAVNTVKNAVV SAVETVANVVKKVVTTVVDAGREIGKFIQDPLGYEWSLGTSVERSFNLGGSGTLRAPS SSGLFGEGSGLVIASSGVIPANISCENCYARGEVSFSGRVSASIKDGLKEGYLQAGAG WDSRLALAMTLQGQYKLEAFKKELLAYNPTPLQIPGFLSIGPQVSVSAVIDLYFQAEA DILIGAKFEITPGKARLDFLDGSKTKVSGLEPKFTPIAKFRGDPKVGVTLDFGLPLAL EFGVDILNGKWKKTVGLVDQPSFMVEAKAGEDESCKGITLGLKVQNYIYISLKLLGLY DHAITTQTLWEKPLGCVGEKTTSKDLTRRALEARDDGTDDEEIKDPEIAVDNKFTNGS ISTKPPKIDHVPVDVDTVEPVTKFSYDNIITDVNQTAVLFAGKEDRLYLAPYGDPDTA AGSPFASRPDASDVVIIGDIYGGYLNYNPSEMEATGVSNLRSSRLTDVPVGSKFITLA EVHTSELEGSPAMYMGAIEDRFYALATCSVIDMGTRMYIVDADRDGLKDLNNNDLADS IVGGDVRGCQYVYLTSNKKGLKLAE ACHE_31200S MARQPCTGTKIKASFSSATYRQVAPYTFRDPDRNGDNMKPLHVF ASRMPHYVFYGILGDAYLAISQFGPLQMQENEEALPRLILFARIVARFGSSVMNKPEG VLESNVTKKGRIEHHSPTFDLVSVVFVEIKRPWMARIWTRKAKCWQSVRHSSCHRTRE ARLLNDLHIPTSDFSHS ACHE_31201A MAATPLYSLFFGTFIHLPRQTSLNGPHTLEINHGVLWVSSEDGK IKGYNWDVRNEDDLREFLAGRIWGNDRITVIRAREERNEFFFPGFIDTHIHAPQYPNC GIFGSSTLLDWLQTYTFPLEKSYGDSKAPDTVPSKAITSYNQVVSRTLSHGTTSACYF TTIHVPATNYLASLCHKRGQRAFIGRVCMDNKDTCPADYRDTSVDEAVTATKANIAYI KSLDPNGDLVKPIITPRFAPSCTPQALSELGALAAEHKPPLHIQTHISENKDEIAWVK ELFPTSANYADVYDKANLLTPRTILAHGIHFSPEEREVVAARKSKVSHCPVSNSAIGS GLCPVRVLRDAGITVGLGTDVSGGYNPGILESVRQAILVSRLLRHTVRDGDTDENAKN VKEGRENLSVEEGLYLATRGGAEVLDMGGELGGFEVGMFWDAQMIQLGSVSLSTFASE TGSASRSGVFGEKTEKGECVSNVDIFGWENWTEKVHKWVWNGDDRNVKMVWVRGGLVH ERDEPEKGGEKRGSSFWEFVGGNVFGMVGIGALAYLGILKMS ACHE_31202A MAASWYALRGTRQLALRAHRLRSAPSPIALRRTTPSPLNACRRT LHTTTQQPPRRAVYTSTLAEHGEPHVQDAFQPLDTFPRRHIGPSPDAAEQMLAKLDPP VASLDEFVAQVLPADILSKKDLKVTDPNADIKLERDNVHGGVGETDMLKLLETYRKQI DVSGKTYLGTGYNPTIVPPVILRNVLENPAWYTSYTPYQPEISQGRLESLLNFQTLTT DLTGLPFANASVLDEATAAAEAMTMSFALLPVSKQKKADKAFVVSHLCHPQTIAVMRS RAEGFGINLVVGDVLADDFKLVKEQGDSLIGALAQYPDTEGGVYDFQSLGEAIHQAGG TFSVATDLLALTVLKAPGEFGADIAFGNAQRLGVPMGFGGPHAAFFACSDKYKRKVPG RVVGISKDRLGNPALRLALQTREQHIRREKATSNICTAQALLANMSAMYAVYHGPAGL KAIAQRIMSMTNVLREKLVGLGYNVPVRTNAADGGVVFDTITVELGSSKDAEALVALA RQNDIFLRHVSPEKIGISVDETTGREELKAILNVFATHGSKGEVSLNENIGIAPVPAT FERTSAYLTHPVFNTHHSETQMLRYIRHLESKDLSLAHSMIPLGSCTMKLNATTEMMP ISWPEFSKIHPFMPADVAKGYIQMIDDLEQQLADITGMAEVTVQPNSGAQGEFAGLRV IKKYQEANGGSKRNVCLIPVSAHGTNPASAAMAGMRVVTIKCDTKTGNLDLADLKAKC EKHKDELAAFMVTYPSTFGVFEPGVKEACELVHQNGGQVYMDGANMNAQIGLCSPGEI GADVCHLNLHKTFCIPHGGGGPGVGPIGVAEHLRAYLPSHPASDHLKSKRAETASPPI SAAPWGSASILPIVFNYINMMGARGLTHATKITLLNANYILSRLKEHYPILYTNDNGR CAHEFILDVRKFKDTCGIEAIDIAKRLQDYGFHAPTMSWPVANTLMIEPTESENKAEL DRFCDALISIRKEIAAVESGEQPREGNVLKMSPHTQRDLLTSEWDRPYSRETAAYPLP WLLEKKFWPSVTRLDDAYGDQNLFCTCGPVEETD ACHE_31203A MAHAISEKTDEKDWGDEKIEDVGQPALNNIDYDEEYTYQEQRKI IHRVDRRLVTMTGLSYCISLMDRTNLSMAAVAGMTKDLALDIGYRYSLVVLLFFVPYV LFQPPMTVITRKLGPTFFLGSIIVAWAAIMVGMGFTKNWKDMLVCRILLGLLEAGYFP GCVYLLSSWYVRYDVQKRFSIFYLIGCVASALSGILAFGLMQADGREGLEGWRWIFIL EGVITGVIGILALIFLVDFPDRAHKSWRFLTEKECAFIVRRINRDRSDGDSEPFSLKR FMKPALDLKIWGFAMIFLCVTAVTYAIAYFLPIILREGMGYGIGASQCLVAPPYGFAG IVMFAGAWVGDKYRVRGAIVAFNALLCILGLPMMGFATGNATRYAGVFFAVAGSNANI PATMAYQANNIRGQWTRALSSATLVGFGGIGGIVSSVAFRSQDAPEYRPGMWTTIACN LLILVIVPALSVWFWYCNKQAERGKRVIEGAPDFRYTI ACHE_31204A MDSSNDSDSESVQSSQSSQSVGERNVNLRSALPEAIKRGSVKDV RRLLKQRGAVRIRFKHHFDRYLNKPDSETTPLMLAAGLGHIDIVDLLLDHGASVDDVT SLDSSAPIHLAALHGQSGVVKLLLDAGAPINDRNADGWTPLELACKWGHLETAKSLIS RGADPNRADTNGWNSLSYAARYGQPHITRYLLDLETDIEENGTVVHKKLVNRNETADK NKYTPLIGAIHYSHIDCVKLLLADSDVDVTIQDSDAETALCMAARKGYISIMLQILGM KVYLPDDPVSGTSCIASSSEHYYVERTLLRRHGQAMRTPEEQARTMYWAVANGCLQLT KSCLQHQPNLAKWSRMGATWLHVAAKYGRHQLLELFAAQGLDICAMASQSMTPLHLAA QGGHRVAVKCILQILRRRPKKAKEPPAGVSSFPVPELVQFILQPNDDGESSLTLSGKS STRGGSDILWGEIEKFAESERNFVESLPIGLEDLLELAAQFERPGKERMLKMLLQQTT SKRFSEESKHWTALHWAVASSRAVIVWWLLSNGAHLRSEEIQTALQIVEKKASYGYGS SEVDLLMTDLLRNPPTISARAVNGDDYHLPELPTFSDDLEEVLDHDGIVVDFYCHDKK SDFKIKRRSLRAIIYEEGPSGVMESVGSYDCSNLEGLKDQLETIRQTQYSGKTTFIEP VTRTLDFKPHSAEIHTTGQESFETSSSHKPTLPFAPAERQHGFRWIHIPAHDIKLAED LITRIIRDSGKKKRQHASLVDLLKQTSVEVAAGGGKRYIKPQCVRGQFDDDVWTSNKS GVSQDAIGEDDNDDDKYDDTNESTDANTYFERSSEEGSAGKQSVALYMPFLTLVNVPG VTTGIDVNESTRQYLKSYAPSQRSHENCSSKIPYGRMTLDQYYYTTIPDSTERDHDQV LSRYLAWQEFRYFKDHGMKLTEVHEQSGKSIKIFAVDQLWLWIVDESTIITATTSDFE DFVDIVFDTLVSADAKGDSPRAQSVHSMMECILKIVTGPRMQSVSVMGKEKLKQPMEV FRESIRHVADVETEMSRNFVNSVARGHFNILPKREMGKEFRLLYEIKDIREELNMLSS LTETQNRVWRQARLPKYPHFQTPHQASLEIKEVVREAESAQDAINTLLELRQKHAGNQ DAEFGRQQANTTMVFTIVTIIFLPLSFLTSLFALDVSAFPHESGDVKYKSAWIFPIIF GCTAAFSIPSIIVAFNINDLILVPYYRWRSSTQPDSNRIMGADTVRQLTEEADISSIW SDAVKEYKSSMSRPKLGIMFILWLLWRPSRHRRRRTTNDCETGGGGKVGDESHLRSGD EKDSRRSDIGN ACHE_31205A MQSRRSARSENTPRRRKIAVACEPCRERKSRCDGQKPICGPCTR RGYPVDRCVFKASNVRSANDEEYLQSLHNRIRELEETCKTVIGSAPGSTGPIPEDHQE TENPIGALSSVPTDPGRHEPEPAQTSTPVCPPRSHLEVPEQDANAYSSSRTDTDQYAE SPFARSNITAMGFIDPVQERPFSRTNEYFGSSSTASLMRLLARGSVRGSKSVRNSPSR HAPGTSQFNTTSNISLPEVEPTQPHHIDSLLLPPRDLADHLLECFWDRIYSLYPFFDR QSFQDAYENLWLPRNQPGKQLNELNIGLGSKSVSGSRSIVFMCSLNLIFAIGCHFANL PDTEKEATSLPFFLRAKQHIGLDLLDVRNIGVVQTLLVAALFLQSTPYPHRCWHSIGV ACRVAQGLGLHEAQLDESQDPLEQEIQRRTWHGCVMMDMIVSMTYGRPSMTSHLSRVP LPGTLEAPDSPSTMAFYISTIELYSILDIILSDVYKAWRGRPNTPSSSTLRQGGLAVI IDLEERLLDYESHLPWFLSWRENIPITGPSHLVLSRQRNVLHARYLYLHLLLYRPIFT QLCDVPRNPGNTLAPNTISSTIITKCAGACVRAAIDLVSLVYETYQTSTTDTWWYNGF YTSTAAMVLIMSYTCHTVTDPDQDTVDTVYHKCEEILRHMEPFSISARNTLNFLQTAR DQVASASRGRVDTSAHVDDQNTQLDINGTAFADESAQDHLFWDTSMFLVDELGFLGPF DFRRAGTIDNLTT ACHE_31206S MLIIEKIQDTWAAICNHYRPGDIEIAGVILSQVVGFIIPATIYL LIDIIFPSFSRKHKLQQRQPTWAQIRHCIKISLMNQIWIAAAHILGVYLQGLDHSFLI MDPKLPSFTMLASDFIFGMAAREILFYYIHRALHHPSIYVYIHKMHHKYTAPISFAAE YAHPVEHVLANVLPIVAPLTIKGTHFLSLMAFTVFELWEAAADHSGYDFLKLPPASIH DLHHEKFRVNYSTLGIMDWIHGTDVVGWDRPKRKEIQFAPGKERKDK ACHE_31207A MEIHPENVRPLAVGVFQVLWKYPYEEYPVPSRLGIRRNLEHEIG QLVSIMGAHSQK ACHE_31208A MPSAENLPPEPEAGSLDLDVLVIGGGFSGCLLLHKIRDELKLNV KIIEAGPSVGGTWYWNRYPGARVDCPVPGYELFSPAVWKDWRWKEKYPGQAELKAYFE HIDDVLSISKDCLFNSMVASAQFSVDEKKWVIRVKDGRVIRAKYFIPAVGFAAKEYTP DWKGLGSFRGTICHSANWPKEGVDVEGKRVAIIGTGSTGVQIAQDWAKEAAETFVFQR TPNICLPMRQKQLDPSQQENKEERLALFEFLFTTNGGLPYRPVPRNTFDDSPEEREAT YEKVYNEGGFSYLGASYQDIMRDVEANREAYRFWAKKTRARLNDHRLHDLLAPLEPPH PIGAKRASLEQDYYEQFNKPNVHLVNVRESPIAELRSNGIATEKEFFEVDIIAIATGF DSVTGGIEKVGLKDADGVDLIKRWRADGIHTYLGMMVSRCPNMFLPYSAHSPSVFSSG PTTIEGQCDWICSVIQKMEAHGISAIDVKKDAEQGWTDEVAAIAQMTVIPYAKSWYMG ANIPGKRVESLFYLGGIPRYRQKCQKALDNDLKDFAKL ACHE_31209S MARLRSVKEGLGVGENYAVIAFGDAASFCLDHFLKPTNASRLCA LVAYYPATIPDTRSRFPPSLRVLTHLAGSTVDVTTVPTALGLQGKKRRRTRQINPGIG TGERLNIGFPTYTYEYVQPGFAEHDLDEYDRLASELAWTRTLHVLRKGFGKDLDLEGR WEEHQEGKFFSSNLSTTMDHYATHLTPTVTYTPTLTGGIGTNSLRRFYEQHFLRSLPP SMRLHLISRTVGVDRIVDELCASFEHTHEIPWMLPGILPTNRKVEIILVSIVSMKGGR LSSEHVYWDQASVLVQVGLLDPKLVPQGVQGVDRLPVVGGEAAQRMLREDPETDDEFH NRLIRRANAQGKSKGNDEKASMGSGVDSKVEATNNEGKGKSVQREGNAAGPETES ACHE_31210A MDFSLQSHKSFIGLPATELPTPSLVLSRPVIEHNIQQLLDDVEQ LNIAFRPHVKTLKSTEVTRMMLGNGRHRRIVASTLCEIRGAIALAQEGILDEILYGLP LPPSALSHLTALMKTIPSLKILLMVDNPQQITHLEKHAESNPTLTPWPVFIKVDVGSH RAGLETSSPSLPDLVKRVEASSAAYVYGFYCHAGHSYACKTNAQAEKVLGDELGGVLR AAGFLGERKGEGKGKVVVSVGSTPTAHVVKALKERVPGGVEVELHAGNYPANDLQQLN TGLVSTSQQAVRILAEVCSVYPERNEALVNAGTIALSKETSDSPGYGRVVDKPQWSVV RMAQEHGILGFTGGDGKEKVGDVFRVGDKVWLYIQHACITAAAHHVYYVVDEGDVVRE TWVPWKGW ACHE_31211A MSLKDVYQRFLGDHRQSTSSLAADASLIYIPTTTKVEGRDAVLN HLTKQQNIVKKKAQDILGVIEGSDSLCLDVETTLEFDAGGGAYLPQLDDNFLTDRVAT FPTIHIVRFNNQNQIQSVRIHWEQASLLKQVEVIGSRSRSWPIREAKDQTRLIKSAIA AIPADVGSAPPPSNNEENPRPVTPGKRRIKDPYAAESLTDLLSPGKDDRTEPVRPPRS AASAKPPPRDYSELFVSQGDDEPDATPSKPTRGPIAPKAGAGKHYHGNRIFGDEDAET HPQIAYKANPKRFDHFEIGGDNSDREVHENLPSRPKSRHEPQWEHNDSFVPEKPRRGL RGQEVSHLPWDDFTPQPTPPPRPHVAHPRRDAETHFELKDPEEQPKSGRIISSFQNKG LHLYRDPVFDSPSPQKENQAPNPSNPSNRKNDFESHWHMTDSPQGNEYTDENHKPVGQ NRTQSAKMMESSWDRYEQSPQRPSVAAPRNGVRNAYQRSWDFGDE ACHE_31212A MFFLKEETKVITLHPSYFGPNMREYLINRLNEEEEGRCTGDHFV ICVMDMVDIGEGRVLPSGGHAEYSIKYRAIIWKPFRGETVDAIVTSVKPTGIFTLAGP LSVFIARKNIPSDIKWEPNTVPPQYTDHADQVIEKGTSLRLKILGVKPDVAAINAIGT IKEDYLGPL ACHE_31213S MALNLERQLLFYGAYHSNPVNVAIHITCVPVLLFTGIVLASNTP ALINVPEALQYEYLPANLGTIGGFIYAIFYILLEPVAGGLIAPVIIGGSAFANYLLST YGTTVNYWAGWIQVASWVLQFVGHGAFEKRAPALLDNLVQAFLLAPLFVWMEILFSLG YRPELRARYHQSVEKEIAAFKKSQNDKAK ACHE_31214S MFRNALRQSSRAVAAASATGRIASARAAVPAVASQQFRNYAAEA KASPTEVSSLLEQRIRGVQEEANVAETGRVLSVGDGIARVHGMANVQAEELVEFASGV KGMCMNLEAGQVGVVLFGSDRLVKEGETVKRTGEIVEVPVGPELLGRVVDALGNPIDG KGPINTKAKSRAQLKAPGILPRQSVNQPVQTGLKCVDSMVPIGRGQRELIIGDRQTGK TAVALDAMLNQKRWNNSGDEKQKLYTIYVAVGQKRSTVAQLVKTLEENDAMKYSVVVA ATASEAAPLQYIAPFTGCAMGEWFRDNGRHAVVTYDDLSKQAVAYRQMSLLLRRPPGR EAYPGDVFYLHSRLLERSAKMNDTHGGGSLTALPVIETQGGDVSAYIPTNVISITDGQ IFLESELFYKGVRPAINVGLSVSRVGSAAQVKAMKQVAGSLKLFLAQYREVAAFAQFG SDLDASTRQTLARGERLTELLKQKQYSPMAVSDMVPLIFAGVNGFLDSIPVGKILDWE ANLLSTIKTNHPEITETIDKEGQVSKDLEAKLKEVIGNFNKSYNA ACHE_31215S MASQTQPPRCKVVLSKNIANGLLAEVQEGVTTLERAPHLVGFLA NNDPAALMYAQWTEKTCQEHGFRYSLREVSRDNIEESILAANADREVDGIIIYYPIFN NRQDQYLQQIVDVHKDVEGLSHRYIFNMYQNVRFLDPETKRQKCILPCTPLAIIKILE YLNIYNTILPYGNRLFGHTICVVNRSEVVGRPLAALLANDGACVYSVDITGVQKFTRE GLKKSTHDVVDMEGLSIKDVAPLCDVVITGVPGEKYKFDTSLLREGAVCVNFSSEKNF GPEVKERASIFVPSIGKVTIVVLLRNLLRLIQNRRLDDVKPAAATERPGTLEAA ACHE_31216A MATPKMPVYSGNDLKSTTDDALSPYLTTLPAPYTFTQDHSLTNI RFLLGYTAVAIAGATFYADRYLGWEATTSPWVIAAVAGYFVLNGIFTYWVWFVEGGEV FRGRRGSGEVISIRSSTKKHSPLYNLRVQYKTPSGKVLEDKVIETPFTTWFSADGAFH PEPLREWLAAEIEVLGLAAKETVKKTGGASSSVAPEDAGDEGVTKRR ACHE_31217S MALQGTEQTILRDPALFYWILIPITIVMILTGILRHYATILMNS PPKPPSTLAESRERYSIFRGVNLRSNGFVLPPESFEVRKSYLISAYKNGAFLKDPESR GQPPANPMTDPAGMDAMMGMMKGNMMMMIPQTLIMSWINAFFSGFVILKLPFPLTIRF KSMLQSGVMTRDLDVRWVSSLSWYFLNLFGLQSVFGFILGSDNAANHMASQMAGMNPT AGMNPFQPGQDPDKLYLNEAENLEVMEHFCIYDGIEERILHNLASK ACHE_31218A MFNANADGPIVADILSKTRLVNTYASLTREFESALSHINNPSKN VTILAPRNSAIHKLPHKPWENPEDYERLGEVKAYEGREGKDRAQGNLKRFVEAHIVGV CPWREGEEAETLCGKKVRWVKEGDKTVIEPGHIEVDYVAEKVSNGEVWVLNGVLDPR ACHE_31219S MSALQTFLLVVDHNKDEAKEIAERVAHDVETRKTTLIEVVQSLG EYINDEDPILRGKAVSYLTAVIKALSSKYLSRQQIQVLTTFFCDRIEDGGAVAGLETL QKLDRFHRELAEEVARATFGYQGLQSRSQSQRFQVYQLLNELMLNHRSALRDMGDDSI VGIVDLMTGEKDPRNLMLVFSILKVVMIEWDISNHAETLFDAVYNYFPITFRPPPNDP YGITAQDLKNRLQDCISSTRHFAPYAIPSLLDKLDSTSPNVKKDALNALIACIHTYDP DAVSRYSITIWETLKFEILNAQEEFLSDISLEALQGIAKRLSEGVTEASPQLPLAQYL RPIMKECNEQLREPQQKQAKPSQQILRYTSAASAVSFILTVQSVVAPLLTIYQEADGI VKQRALLETLVVLFDSAISVFGEWSAHGLDTILMNPLLEFKDQFSEIFGQALMGSAKE EVSFRVTALKGFLRLSTLRDFFQDNEIGLFVQYLDEILLAEESVGRDDLKKEAIAALA EISKYKPRLIMDITFPAFVATLPDADDETISNYLPTLEILAQISVEKDIFETLVRRLL SKLTILLQKEEPGSPAYPRAILLTILYVMDQRKMDQDPNLGLYYKKIVMGFCRSVAAS ASGKAANRILNDATVLDTLGRLCNLIVRSVSKEQQEEVAANVYTLFAPAEEFSPVPFA QSATEDHQRTMIISTYLLAGLSKDCTSIPYTNPNMSDLLSDLIQCSITSTEPATQIAL LRHFSLLVNKFLSKTDLPLATEKFNTLLPFGTEFETLTPEVIKTTFWLSKALVLRLVP TTTTILTSLLSLLSSPDEQTSTTASRGFAILLSDDDVLSPTNGANIRLLSKQRVFTTV IPLIANKIRQVNIAGNTDSESSPAPSHIKPAHLTALSGILSTIPPTLVMPELPTLLPL LLQSLDLQTSDSHAVRAATLETLAVIIRDNGVSVVDECGHVQSLVTRLLNTAAYTAPS AKAAVNPSRLRTDALKCLYLLAQTPSPNAPAVARAGKLSPLLPVKAQVLRALKLVLDD PKRDVRKAAVDARGAWLRGVDDVEEDED ACHE_31220A MNCLLEYSKADDVLVMKGNFEGSSAKLVSVMSDFVEEQKNKDLL DMPRIRQLDVPSMLDEDDQTKIESEKDRKENMLTLVDDSNDEPIPVSLNRATKHWTSE TGGLGFFANFQEVLGDIAAMTGTEISAIDDTLGIQVTGQHQVDVDDALEKLSRIEKPL SLIETPRITNMIMVQPNENTRVRIQNYSELNSVALRRILTDPNLPSNAILDQAFATFL LSLDPDTLKFSLPDNLLNPCKVSNEPGKSRIWNDFTFQEIGKGDEFINMESIIEKDTP DPQAVASGIAPPHPFLSVEKATRVNQWVVERAEMENHDEPEPEPDANIAPQIDQPEGP RETPAPVTGVKRIPGIRTRKAAGVATPQAAPEPTAENTEASPTVPEKKTSTPRKRWKM QYEPTDLLLVKGSDSPRDSTTTVRPESPANKLPMPTFDPTKYGLQRPPQHSAKNSWGS STSPQSSSVNAEDSPVAPEKKPAKREELIDVLTPVSSGKPNSHPMLSFDQPALVPQVA NRVDRTNTQGMQAPDRTKGSTPDLLDLDFEAESRVLGPSPATTTLLSPTGLISDEDLT WQEKRLRDLKSSLREKKDTSGFDDTDSTVSNMSRPPKDRREINMRLARQRIAELEKSV ETVKNTNDETDTREFYRTMNHKAGKPSEKAKTKAEAKAKRQATLEDAWGIPKPAKRAS AETPKTPTNLIVRSKAVSSEAKKQDLQDLQQEEAEIKSVFEALRPALEAAEYFSGLLT LEAQLGLVLIPLLPKTYNQDMITVDEWTRIFQPRNGLPAPTTKFINRLTTTGSDVDHI IDLKTSKAEGKRHIFEQDYTEYSVSYEFHCRTTADQPFIVAIDEQGRHSIRKPTKTLG AVNMHFPAQIWDASMVLSGVIEHIAGTDPELEEAVQHLVDNLWVQPDKSLIRIFSRLP KGNKFVIEKVLMKRWTRHRHIRNADAAAADNDTSPMAETQIVALNDNENDIFLQVTEV QDLLIGSSTSDSQALRARCAPHPEMIKKDRLWYEVSLVSPAIEAFLKANMDVEVGERT EDWRSPDLLGNHATLVADNYGMHSPNISSCPSPSSPSSPPHPAPFLSPVASAVGTAGL GDLLRLTKTVVEKIDGIGFWNYGPGVEAVCLSAITASAGAGAGAGATAAGTVVGANAG ADPLSIPGTPKPPTSSALASAAQQGGLLGFDDYLDGVKEAESLVPSLVPAKSSGVAVA TGMERSSSTSSARVNGVNESDYW ACHE_31221A MVRFGRIKKKTKAEPAQDESRLSSAKSSSQEPYQEHDADTDITV PLPLSGPSPRKHIPRISLPHVDTTLLNMHQVNGLFDSKAPEEQNGSTVIESTDQSISL SPNPPLSPNPSNGDTHSANGDASTTEWSSAVGHATTGKSGRVIHALQEDIARLTRECG VHRSKAEEANNLNDTLKTQVQNIGERLRNLEVANETNLQSISRKDQKIKELRTEVQNE KERRQKAEGTANRTDQLMTEARDEYNRKVAELQEIANYSKTQYDVLAQSAHRDRSEQQ RRFKNIRDDYIALKTEHDKRIGDLERLDTILAEKDHEIEASRERFSKLFETYEAYKKA NEEEMGGLIENGYRNEAKIDTALATMKETEGRMKWVIQVNEAKLSNPEGEKP ACHE_31222A MHFSNAVLAFGACFSQLTVMAQGFAFTAWPKEIHPGQSTTVKWD GASSEPVTIELCRGESTNLHRVKTITAEVSGDDGSFTWVPKDDIEDGDDYALTIKQGD DTNYTGHLKVVHDETHIPPAKGPNPSNATTTTLSAARPTHTSAKSLSDDARVVSGKST VDHEEQTGAASFIGVSVHLALGAVAAVFVFTT ACHE_31223S MSSSSILSSKPSTSSSKSTNSSHPALFNLIYGRFYRASCTTKPP GKPPEPLRLADIDHESTTNEARIRVLQTLMACRTVISTLEATRLRKSRVGFDNWLSFW ERVYQRELARKVASPVVHAYRLIDELFRAVAQELKRATQRCVNYVMQAQSAMDISRSI RLFDPVVRLWCRRRRKRARDILDEMRESIKDIPMHVDDDFYTDVKRGVFALNERCEYH PGDPIAEERERVFRLEVPPDKELRKQALSPQYYRDYQERYMQVFHLHYDERRRQELVE QLEQYHTCTDNEGLIHCPTATEQGNGAGSSHGHPGI ACHE_31224A MPAATHAAVSSKKRKSAKDGSSSSKRRAVATDSNEDATMSKITE LEIQISESRKHYNNIVTLLSMLDVGENAQNPNLPVAVSLCRVFSRLIAAGNFTESSRA DDNEKLIVAWLKERFQEYQKALVTVMREGDASAQVTALTLSMRLVGEQVTHIPSADSY VWSSGLFKNVFEAVIEAPEGQALQAEFIEKFVKVYEDVRFHTFTRISEYASTKRTTQT LETLITILSANDTIPTPSHEFSTFYTKTSASNKKLTSVNSHKKRAQDAWLAVLSNNLS QSQRKSLLRMMVHHIEPWFTRPELLMDFLTDSYDIGGATSLLALSGLFYLIREKNLDY PQFYTKLYSLLDADLLHSKHRSRFFRLMNTFLNSSHLPVSLVASFIKRLARLALNAPP PAIVAIIPWMYNLFKNHPTTTFMMHRANHDAEFKAELDAEGMDDPFDPDEPDPTRTDA VESSVWEIVTLQTHYHPNVAAIARIISEQFTKQAYNLEDFLDYTYQGMLQGELGTEDR PFKRVPVVEYHIPKRIFTDRGLEEDGGIDTAPGSLMRNLWDFT ACHE_31225S MASRFAKTAIGVARLRPTLPTRNLAVTANIAATRSASNVPTEDP KKKAQSILDSLPGNSLVSKTAILSAAAGVSISAISNELYVLNEETVAAFCLLSVFTAV AKYGGPAYREWAEGQVQKHKDILNAARNDHTSAVKQRMDNVNQLSGVVDVTKQLFAVS KETAELEAQAYELEQRTALAAEAKQVLDSWVRYEGQVKQRQQRELAETVIGKIHKELE NPKVLQQILQQSVSDVERIMAAKSQ ACHE_31226S MPLREILQKKDDIHYTTNGQYATGMSAPMIPPGPAVPEIRFVAS DTTTSDEIVTSPVVFDEDDYDRRLDPSRPSSASSSSPRKSMNFLRRLSRSPSNEFSTE GATLASPTSPTGRPRRLSQLLHLDRSGSRSPSPVSAHIPADLPQIDDGMGDAQDREAQ WEKRATVLVQNPRFGGGLSPSSSFYGDQSGSSLGSGSGTRSRSSSRGAVDSSGDVDIQ EAIRLHEAGELEASTKMFGQLADPNGANHALSQVLYGLALRHGWGCTQDPSRAITYLS AAASNSASIESEALQAGYKKGGAAKGELVLAIFELANCFRNGWGVAKDPVAARQYFET AANLGDTDAMNESAWCYLEGFGGKKDKFAAAKYYRLAESKGNKLVGNSWYVHLSPPLP LLSPSPTHNHPSHFSLPSHAHDSQTNLQHLPFATPSSWSVILDKPINWLSTHHPQICL CIPVTISQFLRQPQPKPEPHLIILVDLRPYCVTSFQADMLYLNYDTGSGKINMIPNSS TGYVSYMCQCRSIIIYGSMDLSDDAVAQQCLVLCLLCHIMHLHLNLALILV ACHE_31227A MARYSQPSSFDFYQQSPTALEAKPSLPEEDEMSVLDDKILDSTT SPELSSSISDHRRPSSYDQDAFSHRDSIWSDYSSSSHSRHNSQVGHTMFDSAPSNPFM RLDAPHPAAAAAASSSSYGHHAPWSLSRESGSCTPTALYDHFPGELDSNSSAPFTGGA VGPVSAINFPSMSSYRSMAFAAPGAVAMSPQSSQGWMPASTDMPDAAARAHKSPSYRH TSPLSVRRDGIRKKNARFEIPAERTLSNIDHLISQSTNEEEIKELKQQKRLLRNRQAA LDSRQRKKLHTEKLEEEKKQFTHVINDLEDALQSMKIREAELLREKSEWIGTQQQITQ YIESMHMEKDELIRAHTLETAELRKKNNILKETVEKLERQPKSSAPMSHEFSDFENLT MDNGGWEDFSMANGLPMEQSAGLVVSMNNNSSNEKTAEKATNDLPFSWNAFYMCLLFG AFIASNGASLSQHSVPQLSEEYRAESANVLRAVLNSSPAELAQQPASSAGAALPTTIS GAEMAQLTAGATSNLDELHNSLTMPTKEQQQEQAFALNADQYNSLTTFDDSHVEYKSQ QPSNLQQALSNMRNNAAPKASARPDVYSRSLMWDRVPPKVIRDFQRMVQDYGAAPKDE GKKRRASMIARD ACHE_31228A MTEDQDLLSKISQLAGQINRHKNQSTQGQNDFAPAPYATRHDTS RWAPYRGRHYAPRGRPAPHRHRTLVLNNTAGPGQSSGLSPASTPGAPATENNEAPAST SNGWVAKRDRHMQLINSAVYDKEAQARAKAMEDTRKAKAQKKTQVEQAKVLSYAQGVG RQFPPPTVAAAPAAAAHPAGYQLFFNDIPFRIARGGSKLIRMSNDPNTANNTPKRVSV AGVTFVRSKNGNLHRLGAVTSKRNPTVKKKNELCKRFTTTGTCYKGPSCFYIHDPNKV AICKEFLHGKCSAGIDCDLSHQPSPHRSPTCLHFLRGRCANPECPYAHVRVTPGAPVC RNFATLGYCEKGADCEERHVNECPDYANTGVCNRKHCRLPHVDRAGQMKNAAIRAEGP QDEESDASSEEEEYDEIDSDDVDSDDEDPEFIEGVDTGELSQQQDFVHF ACHE_31229A MAQVKQEDTSTPYIKPDPDTKEGIGSFDDEDDLYEDAGDLDFTN AAQNVWLSRIPRSLWEHWSKLDDDEEIQVGTIRIEGEPNDIKRVSLRINEREDNRDIP KDYTLQRQTMLAGATHSTQNTYVFTEKDIPGVENRLATFGEARSALYEAMKREARKKD HKKKWEPYVRKTVPKQTALVGSVGEEFNTLPVENEEFRMISERKALEALKPKRETVFI DKVPGKLLQPRNALPGDRSAFVQATKPAKGKAQENKTTRMPQNELLDLVYQCFREYKY WPFKALKARLRQPEAYLKQTLEMVAHLVKSGDFAMTWELKPEAKESSYANAFGYGDAK EEAAPGTDLNMDDVSDEDPDEEMQFENVA ACHE_31230S MASQKITSVLAEIETSGNPQNKLQLYNNLLSEIVTTSSEHERAQ DLIYYLDSVLTEDISIVAARPILDAFIAALQKLSAETKIKVGQHAVTLLQSRSTSVEE QDAQLRELLADAYEAEEEYSSAAKTLQGIHVDSSQRLVSDSAKVRLWIRIVRLYLEED DTTSAEAFLNRIKNLPSKIEDHELMLHFKLSQARILDARRRFLDASQEYFNVSLAAGV DENDRLQALAAAIRCAVLAPAGPQRSRTLSTLYKDDRATSVEEFGILEKMFLDRLLNT EEVAAFSERLAPHQLARTADGTTVLDKAVVEHNLVAASKLYENIKTDALGAILGLKAS GEFTAGEKAEAYAARMVEQERLKGSIDQIAGVIYFDSGNVGTAATGRHIRQWDTGVQG VAEDTERVAASIVDAFPDFAAGQMVD ACHE_31231A MPSLNYRGAEMVAIVTVLVGVSFIAVLLRIFARFKRQVRFGVDD YLCFISMVLLFAMLIELGLWCTIGGDGKHQADLDMTTMLNFGKIFLANQFTYFLLCPA IKTSIVCFYRRVFTTQKFQHLTFALNTLIVTWAAGIVIACGLQCRPIESFWDPRVEGK CFDQNKFLVVNQIFNVVIDFVILALPVPMIWNLQRAWQDKLALNGVFALGIFVCFASI YRIVVLFWINPADTTYTVYEATLWTHIEPSIGLICSCLPIIRGLFPKLRFPGGSSRQK YGYGTAPYYLSTSASHFATSTGPRSPGIDYYIKMEEGILSRPETRKEGEAIAYPTDSK LDLSSAGGIAVRTEIDVTQDAASAKSIR ACHE_31232S MSLPTVPLIINGVEEYNPSASFAVTSPYTNQPCWTAAAASPDDA LRAVESASAAFPTWSATKPAVRRDILLKAADILEANLERNAGFMRTEMGADVGASQMF VAPLGIRMLRDLAGRIASICGSVPVVEDEGQSAMVVKEPMGVILGIVPWNAPYVFGIR SAACALAAGNTTILKSSEQTPRCYWAIAQALHEAGLPAGCLNLISCRPQDAPEIVNSM IEHPAVRKVNFTGSTAVGRKIARACGGNLKPCLMELGGKNSAIVCADANIETAVKGVL AGALLNSGQICMSTDRILIHADIAPTFIDALKTALTSSADPTSPPPTLVNQASKTRVE KLITSALDSGAYSLTGSADIPQSDSCVRMPPVILGGVKEDMAVWQEENFASLAACMVV GSDEEAIRIANSSGYGLSASVFTEDLRKAFAMARKIQSGAVHINSMTIQDEPALPHGG VKASGWGRFNTEMGLEEFLVTKSVTWMD ACHE_31233S MAAPPRVIVVGGGLSGLSAAHTVYLNGGNVLVLDKQAFFGGNST KATSGINGALTRTQTDLGIQDSVKTFYEDTLKSARDKARPELIKVLTYKSAAAVEWLQ DVFNLDLTLVSRLGGHSQPRTHRGHDAKFPGMAITYALMQRLEELSEQEPDRVQIVKK ARVTNVNKEGNLVTGVTYELNGESQVADGVVVLATGGYAADFGNKSLLQEHRPDTFAL SSTNGTHATGDGQKMLMEIGANGIDMDKVQVHPTGLVDPKDPQAKFKFLAAEALRGEG GLLLNSDGDRFSDELGHRDYVSGQMWKEKEKNKWPIRLILNSKASNVLDFHTRHYAGR GLMRKMTGQELAKEIGCGPQKLQKTFDDYNAIADGKKKDPWNKRFFHNGPFSVDDTFH VALMEPVLHFTMGGIEINEHAQVLNSEHAPFEGLYACGELAGGVHGANRLGGSSLLGC VVYGRVAGDSASQHLFKKLISGGASTAQNRLGQISLHIDPATPGKIAIEWSGAAGASG AGGAPVGSGATPEVAAATASASPANAAAAAQQASKPTAPKPFEVPEKEFTMEEVAKHN KKDDLWIVVKGCVLDVTNWLDEHPGGANALFNFMGRDATEEFAMLHDDEVVPKYAGHI VIGRVQGQTPSLEF ACHE_31234A MSDNSPPQPPPPSSSSNTTSNNTNDPSTNQTTSCSLNANNTHNE GMTSRLQSSATTLAQNLFTSSPADIARNLQPGGSSSTKAAPPSAAASAAQAFHGERAA PGSSSASGVEGSRGVGGSFRSTTETVAEQDGLMEEGFGFQNGNAYQDGVLESSVPFYE QDADIKGKGKSKAPDQDHQPFENTWNSITTTTTTTQPSQAAHAHAHANPQDGSEVLTL LTSPSFNPDFPDPTTTTTTSNPDSTLIPNDLSDPTPFPLSAQEIQILESFRRTLSPDP THGQNQQRINTASLIPEIDNFLSTVPLQTQNDATALRDAVLTGLPGAEEWVSVEERYQ DEVWGFLKPVLENARREMEERGEQEGRKGEGEDGPAVARLKMILRHMRA ACHE_31235S MGDMLSHVALEHVVDVSPTANAPHHQLTTITTTAPHLHPTSLSS LSLSLLFLPQLHPFCVSWSLSLVSLRSRGPPCPHLPLVPLVQNLSFPPLP ACHE_31236S MVDRDPNSPTWKFTQCFGDKGDVEDITEADIISTVEFDHTGNYL ATGDKGGRVVLFERNETKKTCEYKFHTEFQSHEPEFDYLKSLEIEEKINKIKWCRRQN ASHYLLSTNDKTIKLWKVFDKSLKVVAENNLSNELTPAGAVSGGGAPRPPRIPFKDSS SLKLPRMTHHDTVVAAVPRRTYANAHAYHINSISVNSDGETFISSDDLRINLWNLNIQ DQSFNIVDIKPANMEELTEVITAAEFHPTSCNWFMYASSKGTIKLADMRQRALCDEHS KLFEQEEDASSRSFFSEIISSISDVRFSNDGRYIVSRDYLTVKVWDVNMERQPVKTIP IHEHLRPRLCDTYENDSIFDKFEVVFSGDAENVMTGSYNNNFMIYPTDQNKDTEIVLQ ADKSAFKAKKVGVPTPMNRGANGKKNGSRSGSPVGPGSRMRKETDADQIDFNKKILHM SWHPYEDSIAIAATNNLFVFSAL ACHE_31237S MQHPSPQSINRERERDSRERELIERQRQEEIHREREQREREREQ IERQREQQQHHPVQSHTGSIPLHQPVANKPPTSIHNGLLSNLNPPNGPQGAMQSGGPG GLFGSQVRHGEGTPGSFMQHPAAPPGQPMLGFGGSGPQIPGNVAALTQGQQPILNDAL SYLDQVKVRFVDQADVYNRFLDIMKDFKSQAIDTPGVIQRVSTLFNGHPALIQGFNTF LPPGYRIECGTEDNPDAIRVTTPSGTNTLSMTRPRASMDAAADMGPSGGMGPHGRAEF YDQSRASWQQPQHQPQQGGYSPGSRMMAPGMFGQQHGQGQTHESSYEYPTQQEQQAAA GAAAMAHQQDQRGVSQLQGAASAASAGLGRPSMMQISPAGQALNQPMNSLAGVGSGML QGSQADLNKRGPVEFNHAISYVNKIKNRFSNAPEIYKQFLEILQTYQRESKPIQDVYA QVTQLFNTAPDLLEDFKQFLPESAAHAKQQAAARQAEEAVQTSNVRGEPGYPSAGGLP SQTPNRDVKMPPLGQFNVKDSAKNGKKRPGPGAPSSTLGSLSGPPASEAARMGDPQGR PQALQVGNANKRARVHHPKPSQADAPSVSPTLIPALPEPIPPSNSLTPTQEEFAFFDR VKKYIGNKSTFSEFLKLCNLYSTDLIDRQVLVKRAAGYIGSHPELFAWFKRFMHVEEP EDKIIEIKPKQSPGIVNLSHCRSLGPSYRLLPKRERQKPCSGRDQLCYSVLNDEWASH PTWASEDSGFVAHRKNQFEDALHRIEEDRHDYDHHIEACTRTIQLIEPIVQQFLVMSE AERAAFKLPRGLGGQSEAIYQRVIKKVYDRQRGDRIIREMFERPCHVLPIVLFRMKQK CEEWKASQREWDKVWREQTQKAYWRSLDHQAIASKAVDKKLFVAKHIQSEIQGKFEES RNLRKSGYHVPAHQFEYTFDDPAVLIDATYLLIVFIDRNSAGFGADPQKVIAFIKDII PIFFGMDRESFHAYMNEASSGSSTAEEGDDESLAAEETSSNRSRKTATSKKMDLLRDV LERRGEKGNRSEKGGNSAPGSRAGSRAGTPDAVLVPSVAPPDPTQPVDVTELKWMEHP GQGNFNLQREYTLNENYKKKTYHLYANGNIYCFFRTFEILYSRLLRIKLQEKDAHEGV RRAAMGKPATELGLLDKVPGDFFYDTDPKSNFYQQIVRMCEEVIKGDLENSHLEETLR RFYLRGGYQLYNLEKMFAGIAKFAGAIFNGDSKDRSSDIINIFFKERDREETTHNQEI QYRKQVEKLIKDGDVYRITYHPTSKKTMVQLLTPEDATLQNEELSQEARWSYYVSAYT MRDPTEGVPFSQMRMPFLKRNLPTKLDQEDEYNRYYRPLEHQDGLIIRICANSYHLLY EPGSCEWSWRPTGPTEETAEEAAKEDAAVKERRKDRFVEKFINNPVWAHGLSKDEVDE SNQRFRSWIRGSEPEPSGPEAEAGEGTGDGEDANADNGPGAGTDADADAAANAEAGAG ATTEATKATAADAPPEDGNTDTEKPAEPEDTEMVDAAEPAPSESKEA ACHE_31238S MASALLRSRVKRPSYLNKLAKAEDLVDLFPHGSYIGWSGFTGVG YPKKVPTALADHVEKNKLEGKLKYSLFVGASSGAETENRWAALDMIERRSPHQVGREI AKGLNSGRIKFFDKHLSMFPQDLINGWYTLNKPSGKLDVAVIEASAITENGGIIPGAS VGASPELVQMADKVIIEVNTTTPSFEGLHDIYVPEQAPYSKPMMIMRPEDRIGTPYIP VDPEKVVAIVEGDYPDKTAPNTPEDETSQAIAGNLIEFLKHEVDHGRLPNNLLPLQSG IGNIANAVVGGLSKGGANFKNLKVWTEVLQDTFLDLFDSGNLDFATATSIRFSPDGFK RFYDNWDRYADKLLLRPQGISNSPEIIRRLGCIGMNTPVEVDIYAHANSTCVFGSRML NGLGGSADFLRNSRYSIMHTPSFRPSKTDPTGVSCIVPFATHIDQTEHDLDMVVTEQG FADVRGLSPRERARVIIKNCAHPDYQPILTDYLDRAEFECLKKGMGHEPHLLFNAFKM HKNLAENGTMKINSWD ACHE_31239A MSSPSRSNDYGSDLWIRDSQSQTRRPTSGRGLFAGLQDVKHYNV ENGWAKRSVRDENPGILGFLGRWYVSCPCFWIAFQRVANVNGRCRVWGGYTPPRE ACHE_31240S MRATLLAAAAIAGTAVADGVHMHRRGHEAAHQRRALQNPAPSSV ASSVAEETCGCTTEVITYYGSPTLIPLPETTSTPSPAPVTTSSTVPPADLTTTLESTS YTTVTVVTTTPSASPSPAPEPETSSVPAETPETPVETPVETPSQPPSEAPSSPAPAPS SSTETPVVESSTWVPQTSSSVIPSPVAPVTTPSSVAPATTSTAVVELPTPDITSFTST GVYTIPAKTITVTDSTTVCGAASTELPSGSHTIGGVTTVVETATTVTCPYATVKPTGS TTTSVIETTTYVCPSAGTYTIAPITTYVPTNTAVVYPTPATYTPGTYTKPDRTMTITN TDYTYVCPEESTTSVSTAVSTPAVSTTPAVSTTPAVSTTSAIPSSSTSSSTPIPSAVN GQMGMTYSPYANDGNCQDEATVLKNVGEIAQKGFSHIRLYATDCNGLEYVGKAAKQHG LKMIIGVYIDDSGISGAQEQVTAITKWAQWDLVTLIVVGNEAIQDGYVDVGSLAGFIT SAKQSFKSAGFTGDVTTSEPINIWQQEGSSLCSAIDVVGANIHPFFNAQTTAEQAGKF AKSEVKILEGICSGKDVVILETGWPNDGQANGAAIPGKEQQAAAIKSLVQEVGSQSVF FSFANDLWKDAGEFDVERYWGCIENFN ACHE_31241A MAAKFSLFPLLPAELRTQIWQDALPDKITQPLYFYKKGCWSRRL VTEADPDYDFEDPHLNLNFEFRHELLDDVEFEVPLFYVNREARGFALAWVREQGLKIQ FQNDKGCLVFIRAFDPKHDTLYVPLNKWDEFCREPFDRTFEPDLVERNVNCPAPAFTR IAVSEVLLGEEINPLCELFDYYVSIQEVFVVVDAPPDLDIQPEENSEDDGERLQRRWE IESGAMGARFCWDNDRGEFEWADREGFGDKVSRELIEDATNGISEKLVENGRRRFEVR PVLAFRK ACHE_31242S MLNLKALLLTALLLATQAIASRISYSTGYTTGGVNGHGGNEQKA GGTIPDNQDDLVLHNIGNWSHNRFQAHRNARSHVIIVSSVHRVKTKGEAASANNAAQQ LVNQHVH ACHE_31243A MQFLAALTFLATATVAVALPGINMAQASCQSGAKSCTNLTIADA HGSTCCAPLVCDEASFCRLPYY ACHE_31244A MESIERPRSCYIPRKPLPWNAQLEDAPHRDSFASSNGSWSVVSD SLDSIALGDSFSSASERARRSPRDYRAFSFSRLSTASPGFRLSWLEGYSQSSPAVNPG NAHLRPSDGDKASLFRQKQTFDMYLANVKKTDNATVQYEFALFLLDSIQSQSTESTEM TPDHLRKAAKSILRRLSDRSHPHAQYYLGDGYASGLFSKGTEDYDRAFPLFVAASKHG HVEACYRAALCYEFGWGTRVEAARAAQFYRQAASKNHPGAMLRMAQACLAGDMGLGRK RYREGIKWMKRASESSDMQYNSAPYELGLLHEKGYGDDVFPDASYAAQLFTRSAELGH AEACYRLGDAYEHGKLQCPRDPELSIHYYTCAAEQGHSLAMMALCAWYLVGAEPILEQ DASEAYEWAKQAADLELPKAQYAVGFFTEIGLGCERDPFEANAWYVRAADQGDIRATQ RISTIRAADGFDVDERPAKGKKRTGMPLSTSFRNHM ACHE_31245S MSLPQRPGNIPPRRDDRAVYRDPPRRRRRRDSDTEGDTSDPYHR STSSHRHRRYRYGSSQRSEAPRDVDEERNMPGADTRHRRNLVKPERSRIDPSHPTYYY QQHSQNMPVNPSTTGNEPLPDDDSDSPHSAEDQPVKVTKPIERRQRKRRRVSRKVSKQ AEIEQKRRQDAMEQVRPPSPWTAYCALITFWAPDFVLECFGMPQKAQRSAWREKIGLI SIILMIAAFVGFLTFGFTATVCGTPPLRLKINQINTNYMIFQGSAYDLTKFKHPAAAG IPDNTNLLYDLPHKYGGQDGSFFFQEVNGACKGLITLADGSDVPSNSDGDVAWYFPCR AFNQDGSSELNQTESYYAGWACHTSGMARNQFWSMKSEGDVYFTWEDTKNSSRNLAVY SGNVLDLDLLRWMNGSQVQYPSKFEELRTNSDVRGVDLTYYLQTGQDKQIGKCLSQIV KVGSIDTDTVGCIASKVVLYVSLVFILSIVIVKFAFALLFQWFLAPRFAAQRTSMGSD SKSRNQQIEDWSNDIYRPGPRLADLLSDRPSKRASFLPTTSRFSSPYTGSSAGKQRVP YVTMASQNSTSRLMPPAAASADLYNSPKGSIGDSATDKVIEADTAGGPGGIIHSAVVP QPPPEWQPYGFPLAHAMCLVTCYSEGEDGIRTTLDSIAMTDYPNSHKTIVVICDGMIK GKGEDYSTPEIVLRMMKDPIVPRDAVKSFSYVAVATGSKRHNMAKVYAGFYDYGESSV VPRDKQQRVPMMIIVKTGTPAESKVAKPGNRGKRDSQIILMAFLQKVMFDERMTELEF EMFNGLWNVTGIPPDFYEVVLMVDADTKVFPDSLTHMISAMVKDPEVMGLCGETKIAN KTESWVTMIQVFEYFISHHQSKSFESVFGGVTCLPGCFSMYRIKAPKGGQNYWVPILA NPDVVEHYSENVVDTLHKKNLLLLGEDRYLSTLMLKTFPKRKQVFVPQAVCKTVVPEK FRVLLSQRRRWINSTVHNLFELMLVRDLCGTFCFSMQFVVFIELVGTLVLPAAIAFTF YVVISSIVNKPVQVIPLVLLALILGLPGVLIIVTAHRLVYVLWMFIYLLSLPIWNFVL PTYSYWKFDDFSWGDTRKTAGEEKKGHDVEGEFDSTKITMKRWRDFERDRRLQLQAGK VHHGYSYGHGNYD ACHE_31246S MTPQDALNHPRPHPHTQSLSAFTAVSQYPYAVPSDSEPQPVSEV VNAAVINRDPLPPSTANSRTTETEPETDEETETLRVRPRRPNSSEDFVTNGYGYGQGR RGSGRRRWMIMTAEGGRGSEVEIESPFGDVRSVSPVGILREEDRGAEGDEFMASYPDL FDPVDPRGRRGYGYEYDDGDGSPGIDLFGPRAAGAGMRGFDDEGVGLTRMAQMFDEDD FGEETEGRGGSEMGSQYSMDLLGQEAADEDGLEENEDEDDGQGGEEIVIGGGGMGMLN VGAHNLATFDDEFPVTTYERNFTIDQFVQQWVCQSHAQIGSDQLFIAPQRSSILLSAN RPEKVVRPAGGNRMDFFDLQQIPWWETLRAKRADARTQRDLWYRPYMNLQHTPHETAK RLRPNEFYFREKAMYSKHRASIEHFQLRNLMSVPAYNTVHFASEWRLYSWVPAYDDLT CLMDLSRPTTWENSVFHSPVKISTMKTAHGVSIVGGFAGEYAIRAEGDNTPTTLIPGT TSPASTPSIHGFVTRDPNGITNHIDIIPHRTSRNPMGIFASNDNHLRTLDIETDTFMS DYDLSRAVNCTSTSPDGRLRVVVGDSPDALVIEADTGRPVQPLRGHRDFGFACAWSPD MLHVATGNQDKTTIIWDARMWKPITMLSSDISGYRSLHYSPVGGGPRTLLMTEPADRI AIVDAQTYTSRQVHDFFGEIAGADFSPDGDEIWVANSDDVYGGFMEFERRVWGKRAEA GEREGELGDDGRCVVGSARERGMRFLGGLSWEEYEMLLL ACHE_31247A MSGYGYNGGGGGASDDYYGGGGRPSHGGGSSYGGGSSGGYGGPP QSSGYGGGDSYGGPSHSSGGYGGGDSYGGASGGYGGPPQSSGYGGGGSYGGPSYGGSS GGHGGPFHSSGGYGGGDSYGGASGGYGGPPQSSGYGGGGSYGGPSHGSSGGYGGGDSY GSSHGPSGGYGSSSGGYGGSSSHGHGRHDDDSDDDFNPALSHAQSGGSSGDSSLFSSA LSFIKEQKKKKDYKNDEINDDEMVSAHQSLYGGGSDDRKHDSNSVGMGAAMQALKMFT SSDSGSGSGSSSSSGGMDKNKLIGLAMQQAGDLWEKKNSGGQASGDKQSAVNSAAEMA LKMYMKSNGSGSQGTGGPSSSGASGLLSLASKFL ACHE_31248S MPSTLQPGAPDITLIYYKVSEIAGGTREVKAKIRRGEVARVWVN ILGERFPPRRFFVTHSKEQNKLRS ACHE_31249A MPKNCVLEFHIIQISLTGMRPGQALLDHITVSRATLHIDMVEAA IDGLQKHHFFVRILIFSNPQGGNVGHHIQTLRDAPQQERLAKQFFRLYGPSGKHNIAA APVCTEPVSMELENAACKLGCEM ACHE_31250S MSSNTSSPSNLSTVATLLKTTPPLKIVVLAGAGISTAANVPDFR SAQTGLYAKLAPLNLPYPEAIFDINFFKHTPEPFYAIARARKPRNLRPTLTHAFLALL AKKDLLHFIFTQNIDGLEEDAGVPTSHVLPVHGNWKSQQCYRCKRGYPDDLMERAIET GEVPYCLKEGCVGVVKPDVVFFGQDLSREFEEKEKTKLPEADVMLVLGTSLKVAPCAR LPRGVREGVPRVLINLEPAGDIGNRDGDVVALGQCDEVISALSEELGWAEELDGLWKE AVAAKAHCEDEQGEQPSLDELIARCAEKIGPKPVTAGHRRMLEDHLCTKFAGILPGKV ACHE_31251A MVGVHVKRVTVIGAGPAGAIAVDALAQEKAFGIIRVFERREAPG GCWIGDSSQPPTLSDFASLATRTADTPLPIPEELPAQTPKSDQPRFSESSIYPYLETN IDCLPMQFSQEPIPKERSELSISRYGPETPFRRWDVVQRYIKGLVERRGYSDWISYNT TVERVEKVGAEWKVILRKDGQHSDYWWVEWFDAVVVANGHYWVPYVPSIEGLEEFEKA RPGSVLHSKHFRGQAAFAGKRVVIVGASVSAADIAFDLAEVAKAPVHAITIGHGANGY FGDEAFKNPRIQNHPSIERVSNRTVHLVDGNSITDVDHIIFGTGYSWTLPFLPQVPVR NNRVPELYQHVVWQRDPTLLFIGAVAAGLTFKVFEWQSVLAARLLAGRATLPPLDEMR RWEAERIKARGDGVKFTLLFPDFEDYFETVRRLAGEREEGKGRQLPKFRREWVRAFLD GHDRRKNMWKKLNENSRAALRNTEAIQKRSRL ACHE_31252A MTIKFGALGPNPMTKQAVFHNGGDIEIGPFTLADIAEAIVRILD PANFADTANQAAYIYSAAVTERKLTVIVSKILGVDFGSVENGSVPYVNIGELMEKAKG QLAAGDISGMLNYYYVMMYEEGYGGKDFRKFSWNERLGIRLMSDDELEKAIREILA ACHE_31253A MATTVKKSRDHRGKWATRKPHSYLFSYCTIQRKDSQKLVPAVLQ VVKTELNDEAGLTQAFREQDVFISAVGVPAFENEKIWLDVAIAASVKRIIPSEFTTNL ESPLAIQLPVATEKVKARQYLTSKITSSSAPTT ACHE_31254A MIHVAIARPPISEVVARLRQLEQEVERLHSGSPADGHDVPSSVT SRSDALHANNNEGKLIVKEGKSRYVGDEASVVLGDKDICNNSPPEEDVDESGPSVLQF VSILGNDWVPDALHSSTYICPEHTQELWRIYQINVAPLIAIIHRPSVEEMVYQVSDSL NPDPAHVVLLLSVCYAAIVSMDQDQCIEILGEDSETCIQKYKLAMDQALVRANFIKSQ NIHVLQAAVLFLLCLRRKGDTRLVWAASAVVVRVAQGQGLHRDGQHLGLSPFDTEIRR RLWWHICILDMLCSGNQGTDFQIQPGMFDTQLPSNIEYDCEIFANLYWPNKFIEAKLS RDDQENLVKSLATRLENQYLKHLDLDIPVQWMHATIARLSLSKTWLLVHYKTADGSAI SQEPADEAFNIAIEVVKFAHLLHTNESTSQWAWLCKSYEQWHAVAFILSELCARPITP ETNHAWEVVTEVYRQWQEDNTQTSLILRKPISRLMQRAALSRAAKVEVAPSNQLAGNS SSMGAPLAKFASNSEVLSHDMYDLALPDMEWLWEPLL ACHE_31255A MQPLTKSPRGRRSPPSDDIEKQLIQAVPVPQPLTSDQPAGYPRY SAYIAADETSQIYRRFSTLRTRLLLSKQDSLSVLENKLDKIDRGEKCKVFLGSRRRDR NQERHNVLLQIEAELAGYDELVERSARVARLTQAQRRDVEGLRKWVDGNGCIAREESR YLGHGGDLFTFSSEDEDPLSRLERSVEDALVPFFRSYYKKQASEDTPPDVSTPSAFLA KRVARASMAAIITTVLLLPMLVCSFLPSLIARVAITAISVMVLIAMVSGFTKARSIEV LAASAIYATVMMVLVTNGS ACHE_31256A MGHSRRPVGGEKKSRGFGRSKAAADIGDGRGAGGKPQVKKAVFE TTKKKEIGVSDLTLLSKISNEAINDNLKLRFEHGEIYTYIGHVLVSVNPFRDLGIYTD QVLDSYRNKNRLEVPPHVFAVAESAYYNMNAYKDNQCVIISGESGAGKTEAAKRIMQY IASVSGGSDSSIQQTKDMVLATNPLLESFGNAKTLRNNNSSRFGKYLELEFNAQGEPV GAHITNYLLEKSRVVGQITNERNFHIFYQFTKAAPQKYRDLFGVQQPQSYMYTSRSKC FDVPGVDDNAEFQDTLNAMSVIGMTEAEQDDVFRMLAAILWIGNIQFVEDDQGNSAIA DESVIAYVAYLLEVDSPSVHRAMTIRLMETARGGRRGSVYEVPLNTTQALAVRDALSK AIYFNLFDWIVERINSSLTARSSISNSIGILDIYGFEIFEKNSFEQLCINYVNEKLQQ IFIQLTLKAEQDEYAREQIKWTPITYFDNKVVCSLIEDKRPPGVFAALNDACATAHAD SSAADNTFVGRLNFLGQNPNFESRQGQFIVKHYAGDVSYSVQGMTDKNKDALLKDLLN LVGSSGNPFVHTLFPNQVNQDDKRRPPTASDKIKTSANDLVATLMKAQPSYIRTIKPN DNKAPKEYNIGNVLHQIKYLGLQENVRIRRAGFAYRQTFDKFVERFYLLSPKTSYAGE YTWTGDVETGARQILQDTSIPTEEYQMGISKVFVKTPETLFALEAMRDRYWHNMAIRI QRAWRNYLRYRTECAIRIQRFWRRMTGGLEYIKIRDQGHKILQNRKERRRMSLLGSRR FLGDYLGVANKGGPGEMIRSNAGISGAEDVLFSCRGEVLVSKFGRSSKPAPRILVLTS RHVYIVAQNLVNNQLVISSERTIPVGAIKSVSCSNLKDDWFSLVVGSPQEPDPLLNCV FKTEFFTHLNTALRGSLNLKIADHVEYNKKPGKIATVKSVKDSTVARDDLYKSGTIHT GQGEPANAVSKPTPRPKQVAARPVTTGKLLRPGGPGGGPSKLASRPKPAPAPRPLPGA SVPAPAPVQPKPIPQSVSAVAAAATTHSRTGSSGSVKAPPPPPPAPPATAAAPQKPVA KALYDFSSAQANELSVRAGEIVQVVSREGNGWWLCMNMTTSVQGWAPEAYLEEQAAPA PKPTPPPPPPAAPRAAPSPVSNGAATAAAAKAKPAPPAPPAKRPNMAGRKTAPAPPPA PRDSAVSMNQESNSGRATPTNASLAGGLAEALRARQSAMRGKQDDDDEW ACHE_31257S MTRDYTSPKINTRKVWATLVADINYLPGVLILDYCLRKNESKYP LLVLYTDSFPAEGHAALDARGLHKRSVPLLLPSTGTDFGCNARFVDCWTKLTVFSLVE YERVVMLDSDMLIVQNMDELMDFDLDPPEMGVTGNRVIAASHECTCNPLKKKHHPKYW NSSNCAYTSQHMTPEIAQTVGPPAVGSLGGLNSGLLVVNPSLATYDRMLRQLATPAVL DYAFADETLLSDVLADRWVPLPYIYNALKTLRWQGVHDAIWDDKKIKNIHYVSSPKPW DEMRSLQKEPGKVTGGQDPTHVWWIDYNQKRVDEDRDRGINDGF ACHE_31258A MSLTLKLSSLVIRTLSKPIANQIKAQAREHERFRRICVSMAQSL HRMDMRLRLGLLRDTTTAQRRAAAEAAARKHKPTTPTVKTEAETKAEADAIAKAKAAA TEADKPAPKPHIRPLSESKAIESGASFISESFLFIVAGGLIVYESWRSRRKETTRRED VESRLVELEQSEKAARRALVALETELLQQKAKNGTLSLKAPSRILPREVWEVEQKEEA EDAKEEGWLSQIGSYVSSLWDSVSNPQGPATQENKVPASKETSKAQSESSSVDQADKD TQTKTS ACHE_31259S MEALTPRSTNQVIKPKVAMERKVLDKNAAVAAAKAGQKAPVMKD HHAQPPPAIVVEPDEEGERYTTGGFLGKGGFAICYEGKLMRNGRVFAMKVVKSEMKQK KMEEKFQTELQIHSKMRHPHIVRFHRAFTFHNSIYVVLELCPNGSIMDMVRKRRCLSV PEVRRIMIQLCGAVKYLHKRHVAHRDLKMGNLFLDHNMDIKVGDFGLAAMIMSEKDER RRTTLCGTPNYIAPEILDKTKRGHTQKVDIWSLGVICFAMLTGYPPFQSKTQEEIYKK VRNLVYVWPKGSECANDIPEEAKSLVDSCLNLEEEQRPEPDDIVEHPFFDMYDGCIPA RLDPACSHTKPVWLRSQAPRGDTMMRGYSLEHDERLSGYIEHVEDPIQRYRVCKAAFY TLCGVGRKPDGTARRAAGKNCNKTAYAECAVEEERRLNPVIPLPPDFVYKYPHDPDGD WSLPDPALPSRKDDSTLDSSVVSSRSTSLRHTSNHAATLSRTQTALLAAQQRRKESQS HAATLRQQSSLGRGSTRKTPAINDPAGQTFQPIPEAKSAEQDPAPAAPAPTGGLAERP MRPRRGVAASYSGSIRSADNNAAGAITKSNTAPDMLTVGKTRSQSRRLEAANSQGLTR QATVPERVPSVMTKEVPAKKQPPPSRPTSRMTDVEVLSTPKLECNEEPVKKLPETNPR RSKTEVPTPTTRSASTSGPSTASKPRSSLGAHPLFHARDPCEVMPRTSVSEVNTDLRL LLSHLAPQSAARRRAGSRREPHAYVIKWVDYTNRYGIGYVLDDGSVGCVFKAENGQPA SSVVLRAGEKHIRRKARSQETKSYDYSEADQLVPRNGLPVELYENCDNDPLVPRSAVR RAFIPPSVFEVKRYQNGSGVGIKLRHDLHGEHGPADYEKIKRVKLVDQFGKYMIGSLG RHGDEGLVDDELNSKSDGQFIKFYQRLGNVGVWGFGDGAFQFNFPDHTKLVISPGSSR TSSPWIDFYHLSPSAARYLAAKGKMHPSGFDTRAVASDEAVTFLSIAHGTLNSSVDER IRDVLEANSFLEKIQFMKDVLKVWIKKGRLGGRPSPTTSEDGSPSEMFWEGSQERLQG GSGGKFVWVTVGAPGGDGEYRSISLKEKRSDGEVDTLRERLERLGGKT ACHE_31260A MPLQIQTATPRDSVQLTTVFLAAFSDPFNLTLFPRTPDVRAWWE DKFAREAVAPGQALLKVVDAPDASSSTGGNEGGEGEGEIAAFAIWKFPNGQSREKQKE VGQEEEEDRWPQSSDPTLCQRFFGGMAAKRGEYMGLKPHYYLDMLGTHPSYNGKGIAS MLLKWGLDRADNDKVPVFLSASPAGKPVYERRGFRVVQEEEVAGGYVQAYMVRD ACHE_31261A MSEQRRGRSLFWRLLAALDPEDQGLPRFQPLSQQIPPTNNNHNY NPEQPTDSQSYPQAYPHTHPQPPIQPIRRTVTQTDRNHLIPPEDKLLVFRALTGIDTV PALTIPHHSDRHAPNVGIYERVVRAEQSADSRYRFFSVLINVCLGIQVVAAAAITALG AASGPHSAVTAFGALNTIMAGILTYLKGSGLPDRLKHYQNEWRNIREYIEQRERELCL VGSELDVQEEIQIVEHMYEGVKREIESTKSGESRTPTGEFSRRSFLPRRHYHEEEHPR PRSPESDYGASAEKYRA ACHE_31262S MDAAAIRKTERLLVIFFKRIPPPLVAHINRKFPEAEVTIYHSQK AVPVPRDIWQNATLVCTFTDLPDLEDAGNIKLIHTVSAGIDHFVHHPIIQNSTIPITT SSGIHGPPMAEWTVMNWLASARKFLHTREAQKQHIWGDPDEYMPTCTDQVGKKVGILG YGSIGRQIANVCAALGMTVHAYTASPRPTPASRRDTGYIVPGTGDADGTIPVSWHHGL DKASIHSFLSLGIDHLIISLPLTPQTTHLIGAEEFALLAANSTHPFSKPYLTNISRGK VIDQDALVQSLKSGELSGAALDVTDPEPLPADHPLWDAPGVQISPHVSSLGREYFPRS LDILRVNLDRMKKGEEFVNEYKRGKGY ACHE_31263S MPKSNPRRDRLADKIDKEGFFSPPCLRCSEMSASNMSCECKRIS SNRKCNNCVRSGVKCERDFHNERKWQNLERDRMRLAADLEDAERSNDEALARLSETSA KLARLRKHKRFLEARNKAMLENDVALLEELDSQVSWPVAETASLDAQLAAVTDDPSLS QMMNSPSFWENFDSAVAGGIPSPTGGNQSSSQ ACHE_31264S MELVAGLHVQLSELVLCSSDTIRVVIYCFQMVEHVLRIGIWVHC IIPHAWDDVLSGFTLTTAHHICLSQLRCVKMICIGCKLLTYQEQPVFKSGFFAVSEHW GIRNLCRLAGDGSGGALLCTGSSVHHHSSYLGSEGVSFLISFCFLMLQGDQFTVLLLV LEFQFHYLHILLCGDSIELLVDSEQLVIEILRVSLIFSNKIIIGQVMGSIVRFIMDPQ GLSASIIIQNLAQFGHGGRLGFFVDLSFSSFSFVRRGHATMAPRSLDRANEVGVC ACHE_31265A MPVLKPLKFQKKKPVACQRCHAHKVKCSGDQPCSRCRNVGRGEE CQYSLRDRKLKINESYLDQILAENDQLRAQVQHSRQASSSSDIASGFNQAQRRESSTP PVRNPLLGDRAWFYPYDPSAPPIYMGEAACTTFATRLRQYLTGNPGTAHIARTQYTPE SALVEAETQWPRLPQARLLVRVAFNQLSRVYHLVLRKTTLDQLEDLYKFPQKREDPAW TAKFFALFALGEVYSSRAGSAATAGGRVPGTGYYVQAMGLMSILPERPGVVHMESLLL LSLFSYFLNRRHSGYTLIGTAMRIGLILGLNHNIPERQCPDPIEREHRVRIWWAVYIF DRMWGSKTGFPLQIRDEDIHVDLPKEVSSPAAAEQFSDTAYLVASVQLARIVGLIVEK IYSRKEHRESFLQREQHLLLALQGWMQDLPAHIRLPADEGPPAKHIVSLHLQFNQCVI LATRPILHHALYQHYGTHKNKQPQMPQAVVTLSEACIHAARHSHALVVDEWINGSLPM YGYFYAQYLFSSTLTLVISSLLGSSTIGNTTDIETLETGIEILHRMTDHGNLAAAEFY ENLIRVKQTLNQSANLADKDQCESHIHEHNSNSSIYNTPDAIVDLPDLPNTSTTPGIG FTTEMAFLEPTMQDFLGWSGNEMDLVQPGGLSFGELDSWPTLWTS ACHE_31266A MFRMNLLVAMQMPTGIMGDLGRHDKLEWQEERLRCCRWWYGDVG RVDVHGYSGYA ACHE_31267S MPPNAPVPGANGLDWPDKILAQIPDRRNHAKFWEDGLNTGVRVL EDGRLDIKVRQDEPNLAGLLHHLQHHPHDLPPRRESVIPFSEKERIHPLHLNIVIHVV GSRGDVQPFIALGKELKRHGHRVRLATHLDFKDFVNENGLEFFSIGGDPGELMAFMVK NPGLLPDLRTIRSGAIARRRQEMKEIFSGCWRSCHEMGDGTGEQQIIDNPWSETVDYR SRPFVADAIIANPPSYAHISCAEKMGVPLHLMFTMPWSPTQYFPHPLAQVRARNAKRS VANFASYAIVEMMIWEGLGDLINKFRKRLLGLDPLDGSRAPSLVHRLRIPYSYLWSPS LLPKPDDWGDNIDICGFSFLSSGSDYRPPEDLELFLNEGPAPIYIGFGSIVVDDAAKL TRIVFDAVKETGHRALVAKGWGNIGSEEVDVPDNIFLIGNCPHDWLFKHVSCVIHHGG AGTTAAGLALGRPTVVIPFFGDQQFWGSIIARAGAGPEPVPWKGLTTDKLKDAINKAL ESSTLERANEIGKNMESENGVASAVHCFYRHLDLDNLRCSICPNRPAAWQIRHSDIRL SAFAATVLVEAGLLKPFNVELYLPQEYDTHRDPKGPLSAGAGVLYGAISAFIGNIRDI PTGLVGSTREAGQNQARDNLDAHGPQHQPELEPEAQAEPEPEPRPGPEQSDTDAPSAD PDEPEDNANGEDITRKLTTTTTSAKEKRKEKRAEALSDAGYRAGQCAKHVIDWAIMLP GDITLSLSKGFHNAPKLYHDRTVKRFPKVMGIRSGFRAAGSEFTNGFYQGLTGLITQP HAGLQRSGPTGLLKGVGKGIGCVIFKPVAGVWGLAGYPLDGIHKNLRKSLSRSSTKHF IAARIAQGIEEMCASGAEERAEVIRVWHQMEDGGRV ACHE_31268S MTTFSAEISDHESNDQTDGNMGDNIHSGGTTTPVPQDLVAVIAG LQRQLQQMEERRIEDLRRLKEELTSPPREPLPQPTIEEIAPQPMADPVRRPRPKLTDP EVFDGRNRSLYRPFRSKLRAKLEVDKEALGNAYDRMWYAFGRLTDGAAMQVLPWMERF AKKGATESQLDGMLDQMDFIFLDRNLEEKAVRDLASLKQNNKPFTVFLTEFNRLLMEA DGHNWPENTKRSYLDNALNREMNTRLETVEKKNGFEDYCRQLQQIADRMEKNQLRYSR NNKHTTSTSPAHPVNTTRASSPPQDMDWEPTTTTSARSQPRRVAKHVSREEMERRRQE RRCLRCGDSTHFISHCPYDSPRNSTRMARSHIHGPELEDEEEQLREQPKLGKE ACHE_31269A MEANYLRHMSRERRGHKRQRLSSTAESERQASSQNFEISHENSR GSSSQVADNESTTSSRNLRRVASTNILTIEQRRQELELRDLEAEVKRKEEEVRLKQLQ NEQLELELIVMGRSLAI ACHE_31270A MSIRGISLLAASALSVFAAPVRVLNTDFPDPSLIKTDDGWYSFA TAGNGVHVQVATSSDFKTWELLKGHDAIPGPFPDWTKKDGPEVWAPDVIKRDDGKFVM YFSALTSKDTSAHCIGTATATSIKGPYTPNDTPLVCPLDQNGAIDAVGFQDDDGTRYV IYKTEDADTTIHLQPMKSDGITPNGNTTTLLKKEPEDGILIEAPSLVKKDGTYYLTFS SHRFDTAKYDAKYATAKNVAGPYTRQGQILKTGDKTNNGTVTSPGGADFSEDGKKIVF HAHRNGKDVSQGRAMYRADISLSDGKITIN ACHE_31271A MSVSYIPRARPRLSTTAMNTTFAQSPSKLALLSHHKLQQESSAE EPDLRRCLGHNAVLSKTMTAARRDFSRYRKTSSSSRFYEDDGVDGYINRKEDQGSVVR AQLAKAVRGWIRRRSMAADANAKITTTTAATTVATTPTATKAPTTTTTTPPNDNALAR VAANNNRDTGNKWSIFSSLVSIKKRQCVARLVPGRKFWAQPVLMQASAG ACHE_31272S MNSSFYHIHPKLDDLLDDKAPYPYTLSALIAFLSKTHCLEILEF VLEARRYRNSFQRMGDHSSRRTLHLQWQRILQMYIIPGAQREINISDGIRDDLVATES KPKKQEDYPPDPTLLDSALQEMYDLLHDSILLPFLRSCVNQEREQPRPLSTSCLHHDT WSIDQKEYAHSDIFPFTGGNTSSSTKSRPQSPRPSDIDTGDRSHASSIVFTDDNTHNQ NHSRSTFPFGLSSYSSKPGSVSSGAVQSTFDTSGSKELDLTRAKSSPSRRSEGDRHHQ EQKRRWRLHLKGGIFRHLRSSSR ACHE_31273S MTKDLTFDIRYDNELAHDYYGDGKKLADNLRHIYHDKNLTFPND FESTTTVPPIHFMGVTAPDNVDVDELKSVEVPGLAVDILDFKM ACHE_31274S MVLTQLPQWLLVQATIGLTISYTPPNANIARSAAAIAIIALATS VQIEALLPGRDIRAAGPIAAMGWVNVLNGIELLLLSRVSYAAQVKWEKTQLKAEAQTS QLAWAFWMPYNYRRVRTPWQIRRLPCFKRDEPEYVPGKGIFLVTCAGKAVLCGSLIRI FTVDLRYPGLEEELGILWGQSDVSVLHRVLVQTSFMVPFAVLTRAVIVGVYSATALSC VGLGVSEPALWPPISGSLFDAWSIRQLWGLTWHQMLRTCLLSNINFVLSSVLRIPRSS AVAYILRLVLVFALSGLVHLGMDLGFSVPIKDSGALHFFTVQAFGMIFEQLVDYIWST VVGKGTRTGIVRRIVGYLWVIGFLAVTAPTWLVPVIKGVYDGGERVPLPMHLGFGVLL A ACHE_31275S MLHGHVMLSPEFAIGANTKGGGTIDFFIEQKKWGLELLRGRDRL VEHMDRFEPEGQYYSMIKSREMEEYIVLDFTVSRPVKTRPEYAHRLYYIVFSERYRHV DVLEAGNLSTVSSFTLPENPDCHGASP ACHE_31276A MSKDQCICFSTSFYPTISTPTNGDHHAAITVIAVMAEQHEPDPD PDAMELEEIHTQDRCESYDDDGEYAQSAATVSSGENRVPYLTVAARVVNADGRGNGSN CGNGDDHYCDGKGVLVKGKKIWDAVSGFWTSHVVLVVPQKKNRDHYALERTFLAYIRT SVILAMQGVLIAQLFRLQRSKSQEASELTYYEVAIPLSIACHCVALVVVAVGAFRFWR QQNALFRGKVHAGGWELNSIGMLVFLVLVVTLVLSVVILVQLDRGPPA ACHE_31277S MSSTKDQWSVAAYSASASFVPKLTQKLLSYLAPQPTDKILDVGC GDGKFTASFLPVVGSVLGIDASPAMIESAKKDYNDPKAEWRVVDCRYLDKEGTIVNGS WDKVISNAALHWILRDSPTRMNTFRAIHDSLKPGGAFVFEMGGHGNVPEVMTALIYTL VQHGVPAEKAKAANPWFFPSEAWMRDALESIGFQVDEMEIEYRPTKLTSEANGGLAGW IKLMGASFLDVLPEEKQDVAVRQICEILEPVVTREEDGSQWLGYVRLRGIATKI ACHE_31278A MFQEPFSISQLAIPAVWVLISFLTFTSQYFFLHFEAVPLREHEL WAINFLAACIGICYYRSCTVDPGRIPKHWKPSDHVGGRQRWCRKCEAFKPPRAHHCRT CERCIPKMDHHCPWTTNCVSHFTFPHFIRFLFYAVVGMSYLESLLFERASTIWASRHL PSYLGPSLGQMVYLFILLAVNSLIAFALFILLLRSLWSLGANTTTIESWEIERHKALL RRARHFGGYLDAPGGVKIRIRKQEFPYDIGIWDNIKAGMGGSANILGWFWPFSATPDR ETGLDFEINDFERPNVTWPPPDPDRIPLPTSTDKGDAFTVPQVYSSAHEEIEAFNRRR DEDLKRGRPGVVQRRKQFHERFDSDTRGESDSEPDEKRNSDGEEAWRNSEGERLHDFG VDEEIEFYDEEDIPLAVLIQQRGQRQQ ACHE_31279S MRLRSLEFLVSISTFLISAIYRATTPEHDPLTSPLERWGRRIAI PQNRLEVIHPHVTPPWWSGLESHIAETREDALTAHQATVHSGADIIAYTDGSLTEQGV GAAVVSPLGRQAVHIGFPATHTVYAAELRGIEMALTQIGNMFRLTSQRSHRAYTAIIF TDNQAAIQACSAPGRSSGQYILSKITRTASQLQERGWDIQLYWLPGHEGIYGNEYADA LAKEAANSPAPNPNGVEELTLKASIRRTLRIEAASAWKSEWATSTHGNSLRRLWKEPS KAPMQLYQGLRRAATSVLIQMQTGKIALASYLGTFNAMESTECSCGRGLQDIRHVLLH CTNQAGPRMRHLTQGSRRELDYRAYLTQPDLVPKAVRFMLETGLLGQFQTLPTTYRVT TTDLGQPAA ACHE_31280S MEAYGAKPVVGMDQPLNSLRNSDINLNAGDVGILKSTLLPSFTL HTGLTLASYAAARATDRGEIKDYNWPSSQVANAWWSAVGRRVYYDNIPFTTAWNILPW TEKLLLSSVTLWGTRLFYRIVSRSVSRGKDDPRYDELKKQDKGFWNSAFFKQFLPEAA FLTFITLPFTLPFRMPSSTLSVEPEVSCALRTLGVGLFSAGFAMEALADTQLELHRQE RSDLCRHGVWSIVRHPNYLGDSLVHFSFIILNAANNFNPFILLGPLANYTYLRFVGGD KQNEASQESRYKLTDPHKYEQLQTWRAEKNSFWPSLIELANPWTWVVFGSGVIGVVVE EGLRSYFA ACHE_31281A MKHILALVLLFSAAQAAPTSNPGLRGSGDLVGYSPSRDVPVKNT SVQYELVKGQKEDADVGVYLDFKDVKNPQPIRGSHGGTDPGPKNDAYQRLNSDKLAPP GTDNGQTINAQWPMALSRNRLGIENSGWARQENTVVMPDATKMAGVDMRLEPGAYREL HWHVAGEWSLVLNGSCRVQAVNENGQTFVDDVTEGDVWFFPKGVPHSIQALDGGVEFL LVFDDGSFSEDNTFLASQVFAHQPKEVLAKDLGIPISSLDNIPQNELYIFPGTPAPSN ISEQNVTTPSGLVPRSQSYTYHFSQQPAHRVAGGSVKIIDPATFPIASNFSAAIVTVN PGGMREIHWHPSSDEWTFFIRGQGRATLFEAPSTATTFDYTAGDVGYFPQSNSHYIEN TGDDELVFLEVLQADAFTDIALGQWIASTPRQIVKDTLGLSDGTLGMLKTEKQYVVAG SD ACHE_31282A MSVAYGENPRSTAALSTMQQPGLFDSDPIENGNRQKSEPDYDSG FDSMRDTPDMKANYIRSEIELDNLDGDDGDDDDDTMDVTDALLRATSKSNSNSKSQAP LQKHQHVLSPHPLFPPLPTYGQPTTFRALQWFAIRCVSFVLSLLLLILVVVGAVAQWG RVFASDIRMRWNGENPHAPRKFYVEEWERESERQHAELKWKRRQGKKDIIEEEEEEEE GPDECPPLEGGKDPVVADVAYYARRVGLDVETFKVQTEDGFIVTLWHVYNPQEYVPLP PEERQVRGPQAFTGERNPRVCSAGGNRRYPILLMHGLMQSAGAYCSNDDDSLAFYLCK SGYDVWLGNNRCGLGPEHASLPASDPHMWSWNIRHLGVLDLAALMSRVLYETGFEKLG LVCHSQGTTQTFVALAKEQRPELGERISVFCALAPAAYAGPMLKRIYFRFVQWIPNAV FRLFFGIHAFIPLMITVQKILPSRIYGTLGYHVFSFLFQWSDARWDRGLRSRMFQFAP VYVSSEMMRWWLGRDGFAKQGCILSTHQLSCHEAEEDHRVESGMTDGCTRSDTAWYGA QMPPVAMWIAGSDDLVDGQRLLRRFSNGREPHVQVVHAKMVDEYEHLDVLWAMDVIEQ VGKEVREVLWKTMPEEAREVCRMPRGV ACHE_31283A MSYAYPNAPFHPARRNSTSGLSNILVQIQNALPPWAQQWIAELR AQSRAGRRRSPSELKAMGRSTIRRMVTIANALILFWVWTLWWGERTVFQESLEGCSWG EWEKWPSHATPHHVAFVADPQLVDPHTYPGRPWPLSTLTVKFTDQYLRRSFSSMQRDL GPDTVLFLGDLFDGGREWATGTTSSPDERYKKYKDSFWKKEYSRFGRIFSDQFKEGDA LSRDPLGRRMIASLPGNHDLGFGSGIQVPVRDRFQTFFGKGNRVDVLGNHTFVSVDTV SLSAMDQPDPQTGSTGAGSGDGDSPNEAIWKEAEEFLNQMNVHKAKAETHELKSLRGQ AEGYQFHHAAVEVKEPSLGQLPQSETVGLPTILLTHVPLYRKAATPCGPYREHYPPSS SDEEPQEDEQNSLKISGGYQYQNVLTQTISNDLVSKVGPNLVHVYSGDDHDYCEIAHR EFSGSPREITVKSLSWAMGVRRPGFVLTSLWNPIDPTTGKSLENVSPTLQNHLCLLPD QLSIFIHYAMVLVLTLVTLLARAVLVTIYPSQSNNADAGPILPLTKVQRHVPTSSISS STIFAPHGLASRGTNTNSRSFEYYPEKGHEGSPTKGTSSGQGHGQRSGNGNGKGVLGA VWGAFLGEVWFVAKVVLGWYIFLIWRW ACHE_31284A MEDVNAAEQGFGQQHAEEYENAGKANGKQAKTTEVSSPYSQRRK TLDSQARARGFDVEDISHPVTLRQEIIAASQTNRYGVETTSESEGDEVQPSERSALLN RKDRKSNYTDEDSTPTNGRDVTADDILHRHHKHAQPKPKDEKDGHGHDLNMRGVFLHV MGDALGNIGVIASALIIWLTDYPWRHYVDPGISLFITVVILCSAIPLCKAASRILLQA VPSGLSIDHIKDDIEQLPGVIGSHHLHVWQLSDTKIVASIHIQVDTEIKGEGSERYMH LARQVRKCLHAYGIHSSTIQPEFAPDSDTEDNQGGPSSSRESHYEPNSASKQPSRAAS VREDAQACLLECDENCARGGQCCPKKPT ACHE_31285S MVVLVLLQLFVLLSTSALAAPATHSILPVNDTFYIPPEGFESAA PGDILRSRTPPKPIAAFSLAKVNLHSAHQLLYRTTDSFGEPIAAVSTILVPHNADYTK LLSYQVAQDAADPNCAPSYAFQLEAAHDGVLGLVMPQLELVLIAAALQRGWVVTVPDH LGPKSAFLANHLSGHVVLDNIRAAKASTNLTNLSSDPTIALWGYSGGSLASGFAAELQ PRYASELKIQGAVLGGTVPQILPVIYEANESPFAGLIPAGIQGLANEYPEIKDLIQKN IIPAKAAEFAKTKNLCLTGNILEYFNKDVYTFVKNKDIFTSPTASKVINANAMGHHNP EIPLFVYKSVGDEISPVNNTDSLVASYCDAGSRVEYKRDVLSEHAALAVIGVPDALLW LEDRMNGVPVKAGCSNSTSFTSLLDPRALAILGETLVQTLLTSLDAPVGPIQIG ACHE_31286A MPDTTGPTKVWTTLITNNAYLPGLLTLEYSLRKTNSKYPLLVLY TDSFPADGHAALDERRIMKKRVPYLVPSIHKDFTNDPRFYDCWSKLTPFSLLEYERVV QLDSDMVVLQNMDELMDLELDSPALNGCENRVFAASHACVCNPLKKPHYPNDWIPSNC AFTSQHPTPDQAQKTGAPSTTGLGIPNGGLQVVNPSQTTYNKIIAQLAESSTSNYDFA DQSLLSDVFHGRWVPLPYIYNALKTLRWKGVHDTIWRDENVKNVHYILSPKPWDEVGE ESNDVTHEWWKDLNAERVREELGRGVQDGFQVTYR ACHE_31287A MKGFLSLLKAAGQTLWEHTGWDATRKYLFSVIFVSVVASKILHI YTHTYYLTLNRFLVWGSTFCLQDVLFLLIARAFARSFQRWPLRVMGGVAVIFLSLYLS LLASATISFYAVMGRDFHWGPAIGFFGDMVDALSLVIGIFGVIFFEIVILVGSYFATP YAYEVTGRFTKIWSFSVSALLRYVLRKKKQQPLPDPGVYEQVALDDQEDDKSDSEFGL PMEPLRPRESPCDDPPGSLMKRIVIISVSLIVILLSCVRPYDLGYRILSRTLAVVPFT ARAHPVFEGIEYLPGDYSWLEGHTALDIPPVLDWLPPDEPLAGFKDWYSLPLNKRNQQ APRNYIPIHYNPAKDPLHTPNLQNDILEPLREALSSEDVKVKHIVLVKLESNRQDVFP LHADSYIMQRVRESYGGKIPKEVEDRLSHLTPNAEHFTGFDNGFDKNDQDRPKPRGGL SAKNAYTSGTFTLKSITGSHCGLNPLAIDMNREWLYDIYQPCLPQILEAMNRQPNITS ETDDWTSWPWHTMWMQSVSGDYDYLTHLMPVLGFQDQMNKETIDQIGGEYAPDGSQVV PERGYMDKVLKNYFRDVIADAERNHKRLFLSHLTGATHLPWNLPGHQYEDLVADRDRT LNDHLNRYLNSLVYQDQWLTEILNIIQEAGVADDTLFVMVGDHAVSLPNDGGVTPHDS PHVQNEHVPLVFSHPKLPQVEIDLPVQSTQILPTILDLLLQTPSLNPTSHQIAQDLLP MYQGQSLIRQLIPEHKHTKEWHITTLNTGGSWIALRSATKQYRVVVPLIPNTKWRFTN LEVDPLEKQAIKDYGILSLVNAVQRRYGVEAAEWLGEAVHVAKWWIEENYRRWGYDST APKDP ACHE_31288S MAVDETSSFVPEIEPNDEASPLIDDGSETADRHVPDQAPKSSWE LFILTLTMGGLQVVWSIQHSSGSPYLLSLGMSKALLAFVWVAGPLTGTLVQPYIGIRS DNCRSRWGKRRPFMVLGGTVTVICLLALAWIREIVGTLLGIFGVDAQSDKVQSAAIVA AMILMYCLDFAINTIQAATRALIVDNAPWYQQKTANAWASRVSGAGNILGCIFGFMDL PKILPILGNTQFQVLCVLTSLSLSVTLLITCIYTQEQVYHEELQAGNALTPMSLVRLI HKSVNRLSSQTRRVFAIQFASWFGWFLFLFYATTYIGQLYVNPIFDEHHDLPDDEIDK KWVDATRIGTFALFINATVSFAASIILPLLIPSGKEDEANDPGPSWRIHFFKVRVGTG LTLRRLWLLSQILFAACMLSTFFISTTGAASVMTGVIGIPWAITSWAPWAFIAEEIGQ EDTEQSPQSSSHDEESTEGGDTGIAGQAGIVLGLHNVFISLPQIVSSLFSSAVFKLLQ KPRGEPWDESVAWVMRFGGCVALVAGYLTIALREQQAKV ACHE_31289S MRFRTNATRSIRQLSTSSRPPTSGLRINPDRLWETIHETCKWGS AHPYGKSPRETGMARLCLTDDDARVRQWFATEVEKLGCSLSTDQMGNMFARQSGSLKS SAPMIAMGSHLDTQPRGGRYDGILGVVAAVEVLRTMKESGFRTHYDVGIVNWTNEEGA RFPKSMCSSGVWAGSIPIQKAWDLRDIHNSSVTLKSELERHGLLGSIPCDAKSGFQLG AHFELHIEQGPILQNTGRSIGIVQGAQGYRWLTFTVHGRDAHTGTTPLNARKDPLLAA SRMIAVSNDIAKQHNALASTGILKVPSNASTNTVASEVTFTLDIRHPQDEVVHAVQDE CLKLFANIASQDGQGVSFDWTLDTDSPAVQFDKGCVQSIQAAAENLVGLGKWMNMTSG AGHDSVYTSKHCPTAMIFVPCRDGISHHPEEYCSPEDCALGAQALLESVVHYDQTKKD AEGA ACHE_31290S METVDGCLDYAFASRDPFNIPELARLLSDLEEYHQKKLQKETQK KLEKQAAATQEPAPAPASTEAPKPKKIKAWKPRTPRCHQIALRPSTFDVRRIKGPTEI IIMIMDHLPHFRDIKTLMWVFPQWRHMVPQACWRDRFIKELALHEPSQNANALDWLYL YFYADQLLAKSHGWLFWKHVLDSLEKTKVAFLIFDEH ACHE_31291S MRWTTENENILWRTIFQTQNLTFELDKVSQAWPGSDKPTPKAIK EKLDKYRRAGNNKVTFSMGPKNVTVTDTASPRTTATPTPTPRKPRTSKKAAAAAIQGS FDATGALTVTSTPETTAGTGAGVHTGRGRGRPRKTVAPALADANTLKNESTPEILDPA LKRIKTEESSPGAMLEIQIPVSPDPARFRSDPDKENLGPGVGLRLKRKGAQKAKAPTS ATYEEIKKRSVPKRNTRKIKVENTEAYARCGEVVDCKGTCCGEPRCVYCFGEWEDSP ACHE_31292S MCFGTSKRERARLAKQPQSQTGTNNQYQYRPSPYYKRSSGFSRW DADYGAAADGIAQLIQLGHHGGHGDGGGGHGGGHGGDGGGGGGGDGGGGGGGGGC ACHE_31293A MNYFSPEPPLVDSLPPGRAMESFPNIMSALDMKTPSWSAPVGAQ RTPITSTTAGMAARHPHTLGGPGK ACHE_31294A MSWLKLHPLSSLDTASQAGLDSDDKTNESRDCSDTESTYSNECA SNRGHGTDDTLAVTRVLEQHGIPCCLVGIAALVFYGAGRLRDDWEICIPTELVGQAAE LLQSEPYATQYRLVKPWPYYSPCSLIHTYHRFKSRGINHYFFLVPSIDMHIDCDPSNF TRSPRGLPYPKLDVFIQSCLDTYDMLQLCDVIDGTNVSEEWGENNLDLEGTNDVEWAK EKNKRGKEFGGKWAHCLFAWRGRRNKREMWQSLVRTKEDRFDWTKPKDVFITQYCVIG APDPWTELSDMS ACHE_31295A MYALTDKDSVSHEAISSEIENIYTALRETSNSERGFCDFFKHEP RRLFYRLCLAIGINFCAQMTGANVISYYGKTIFQESLGLGTKKAAVLNAGVLTWKIFA ATSAYLSVDRFGRKPLFMIACLGMAVSMAGLAGTVWAIENQYTFGSSVAATFFLFFYM SFFPLGFLGANFLYSAEIAPQDLRIHLAAIGTATHWLFNFVIAEITPVAFVTIKWRYY IVYAVIGFSVTFVVYFLVPETKGFSLEQMDNLFSDPEHWWQVTAYGRTPKRDQLVNLD GDKIVANDAKMQPANHIERV ACHE_31296A MSRRGSSRARSSSSQVSLQRVATANSHEQYQNTELQNLEEELKI NNLKAELLAKQIEIKKRERELRELELENGGQH ACHE_31297A MENTFISEDPGFILQDDLFRSIETDLSQPQQEKEENILIQLSDA STKPLKVLKLEYTSDLPEYPSTDPNGYGYVINVPPNQQRETVEDMVNSVNISQVPYKH LVSRY ACHE_31298A MNLTGSSLARARVYLIIVPAFLLFGYNQSNIGGVLDYPSFVKHF PPIDTTSTSDSVETRNATVQGTVVAIYTIGCLIGALGVTQLGNRIGRRKSLLVVAAVA AIGLTIQATSYSIGQLVVGRIISGIGTGGVNAVVPVWQSECTKPKSRGKNVVIIGIFI SSGIAIAGWVNFGLSFMQENEMSWRLPLALPTIFTLMLMAFTMSFPESPRWLVSKGLR EEAHTARG ACHE_31299S MSSSKPFVLFFNPVSHAVSFYQQLQQVAHTEVVTSKSREEFFKD VADKYKNIFAIYRTSASGAVAGKFNAELIKHLPPSCKYIFHNGAGYDPIDIKACAEKG IIVTNAPDPVTDATADLAVFLLLGALRQLNPAISSLRAKTFKTGVDFGHDPQGKTLGI LGMGRIGRAIKQRCDPLGLETVYHNRNPLPVEQAAGAEYVSFEKLLTESDIISINIPL SASTKHLIGAAEIAKMKPGVVIVNTARGAIIDEAAMADALENNHIAAVGLDVYEREPE INEKLMKQRRALMVPHVGTHTTETLAKMESWAMENARRAIVGEALLSPVPEHQGLAAK MN ACHE_31300S MVKIALLGAAGQIGTPLSLLCKASNLFDEIALYDVVHVPGIATD LMHIDTKAKVDGYLPDNGGLKKALLGADIIVVTAGIARKPGMTRDDLFKTNAYIIRGI FNEVAATCPQATCCVVTNPVNSIVPVAAETLKKAGVFDPARLFGVTTLDAVRASTFAA HALGEYSDPKSLRVPVIGGHSGATILPLYSQAQPPVNLDDRTLATVVHRVQFGGDEIV KSKQGAGSATTCMAYAGFRFVKAILAAKNGETVTEEAYVYLPGVPGGEKIATELGVDY FAVKIELGKAGAVKALPIGQISDNEAKLLEIAIDGLKKDIATGQGLQ ACHE_31301A MGARRRMGPATRAQDRLHSMRLRSEKRLNKHNGKEDASMEDAPE VCKTPTAPPREPTTPQQSPEQLRCEIPMQAQHFPCNPPENQYLPTQPERDTPPTTPTH ESPQSQLGSELQSHIAAAVASKTSQIKTTGDEVLELVSMVSQKVIDWEKQSLQGAASL GRDIRTLVLNFGKNLTTGNPSEQENHHPRTRYTTAMPKLLDPHPPPPGHSPSYPRPHT SHHNQRNLYAFFSASPKIIQPVKLARTPQWTY ACHE_31302S MSSVSTAENDECFTPTHTSTSGKTTSYTSIGMQEPTVDARTLPF HNMTFIIRDLKTHRVISLKEGAPIMVHQDIFHYHYNAASHWRCVENEKMWLGFYNDVS GTYLGHDNKGQIRASATKHQAWEWFCPRQHPDGGQLLLIKDNDGFSPMKIGGKGNMEL VVDSQRREGTTWEFITIDTGS ACHE_31303S MNQNYSPGHDSADSPIQRSSLHYATQVLESPPASHDPTDASVPG GVTLREKQIWQSREPIALSQGEQTLFEYFVHQVSPWIDLFDPTSQFSTFVAHLAIHNA GLMNAILALASRHLALNSSLDEDNMPNKEEAALQYYYQTLYYVQRAMQYPSYQTSLEL LATTLIISAYEMLDNSTNDWERHLEGVFLIQRSQTIHGESGGLHSAVWWAWLCQDIWA AFREKRKTFTFWVPQKPLSALSPHELATRAIYITAKVISYCADATTEENIQRQMHEAI HLHTVLDDWRQHLTVEFSPLPLGSRKQSTCFKPIWIRPPAFAVAVQFFSVSHILLLAH EPSMRGLDQYLERQCVIRRCVENICGIAMALKDAASSLMSSQALFIAGIFTFENHARQ AILELLESCQERTGWPVKSLGVELQQLWEGIELAKTAAAARVSM ACHE_31304A MSKTNNTFFQAHDERFGAIIGPAPSLELLAENYDYPFAHEAGVY NPLTNDLFITSNRCIDPNGQQKVHITRVNLSRNPVTCEEIPTQIPMGNGGINYGKDHV LFCAQGSMTEPSGLYRMSTTAPYQSELLKQDFLGRPFNSVNDVVVHTDGSVWFTDPIY GSEQGYRPAPCLPNQVYRWCPETGAIRVMADGFGRPNGICFSPDDKIVYITDTDRVHG DGTIDDQIAYGDSI ACHE_31305S MSWKDVNRHKCILRDAEVGQYGVIAAIAYNIEQVLGLVKAAEAA RSPLIIQFFPWAIEVTDGLLVRTAADAAHRASVPISIHLDHAQSEATIKRAADLPFDS IMVDMSHYEKEINLKKTRELVQYCNERQKATEAEPGRIEGGEDGVMDTAGLEACMTTA EEVDEFVNTGVDVLAPAFGNVHGEYGPRGAQLDFERWVFKKIHSQANGRVNLALHGTN GFAPELMVRCVAAGVTKINVNRLVLDEYYDHLQANMGKMPHTQLIQEGIQKVADLTIK WMEICGSAGKA ACHE_31306A MSLPAWNLLKGKTAAITGGTTGIGRAIVLEYISQGCNVAVNHLG LPKDESHRHSLLEEVKAFERKGINAGKILEIPGDVTSPETSTNLIKEAVSQWGKLDIF VANAGVFKQAEFLKIEPSFLNHSVDVNVKGCFYSCQAAARQMVKQGHGGSIIGVSSVS ALLGGGFQTLYTPTKAAILSMMQSMAIALGKDHIRCNALMPGTIATQLADHDMKNPTK KAALEERIPLGRIGSPEDMAGPAVFLACEEMSRYVNGMGLLADGGMYSKLQ ACHE_31307S MAPSAISQESTTPQAGADPQTQVTLGGKVIAITGANRGIGLGIA ECCLSNGAAKVYSIDIGETGEDFAVLYKRYPGQLFAVNANVTEESTITAAIDKIVEEA GALHGMVVNAGRTHHKAALEFTKEEIENLFNVNLFGAFYTARAAARAFIRLGIKGSVV FTASMASYRPNKRVPSTPYGASKAGIRNMTHTLAMEWAQYGIRVNSVSPGLVKTAMTY WVPQQPDWEQQLKYYGGLPRLAEVQELGGAYVYLLSNAASYTTSIDIPVNGVIGIC ACHE_31308A MMGGVNDSEAYVNRMGLGYKENDSVTVTNTLLQGGIVSVFYLGT LVGCFLGGYVSDRYGRIKSLGSGAVWGIIGAALQCTAMNPPWMIVSRLINGIGTGVLN ATVPVYGSELSDYESRGQFIAMEFTLNIVGVVVAYWLGFGLSYIDNGTSEFQWRFPIA FQIVMLLLLVIGCWAFPESPRWLCMVGRREEALYVLKRLRGSENERAAMLEMREIDAI VELEKESGEKINYFHMLFGIGKEDLHIARRVQLVIWLQILQSWSGIAGVTMYAPTIFK IAGFDSQKTMWISGLNNIFYAFATLLCVFTFDRIGRRWTLWWGAAGQAIAMFVAGGLA RGGLDNPSYRGSWGIGATSMVYLYTFVFGATWLTVPWLYPAEIFPLKVRAKGNAWGVV GWSLGNGSLTLVLPYIFGSIGENTLHVFGAVNLISIPIIWALYPESSQRTLEEMDLLF AAKSLWVWTAEANFQALRAENPNIGAARRPADSITEAEKGLDVDTEHEETVSCH ACHE_31309S MCSLAASAARTSMFGRSFFLFRKPSTRTLTTRATSYTLNTGAKI PALGFGTFQDPDSQEETVSQALQKGMRLIDTARVYNVEEQVGRGIKKSGVPREHIFVS TKLWCNDYHPDDVERALDDSLRDLDTPYVDLLLMHYPCTFKRGPDRFPRNAEGRIIPG ETTYVDTWKAMEKLTNTGKAKAIGVSNFSQGEIETLLRESSTVPAVHQMETHPYLQQK KFNKWLLEKQIHVVQFSPLGNMNDFYRQTGWSKKIAHMMRVIDQPILKEIGQKYGKSP VQVVLAWGINSGRSVIPKSVVDWQIEENLEADFKLQPEDMACIATLDAKARFNDPSLD YEWRLYSDLEGIDGTMRGETH ACHE_31310A MRSRCNISANSRKLTDLEESTIVEHILDLDSKGFPPRLSGVEDM ANRLLTTRDAGRVGVNWASTFVKRHPELTTRFNRKYDYQRALCEDPEVIGRWFTLVQN TIAKYGIQEADIYNFDETGFQMGVISTTMVVTSSERHGKAKAKQPGNREWVTVIQGVN ARGWAIPPYIIVKGQYHLLSWYQNNQLPMDWVIATSESGWITNELGLEWIKHFDKYTK ARTIGRYRLLILDGHESHHSTDFELYCKNNNIITLCMPAHSSHIPQPLDVGYFSPLKI AYGKHIEGLMRAHITHVTKEDFFPAFYAAYQATMTGKNIEGGFRGAGLIPFDPERVIS KLDVRFKTPTPSNSRPSTSHSWVSKTPNNPIEASSQTNLIKRRISHHQDSSPTSILNA VDILAKGTTRIMHKMDLMEAEIHDLRAANEALSKRRRAKKTRLRKGGSLSILEAQELG DQMEVEVQLKEETRIRAGRRPRTETRARRCGNCGKAGHNARSCQIVVEMSEEDDSE ACHE_31311A MSNIDKITSLIEQGQVVPVKTFLASSPATHDDNAIIMLLHASIE SMNLDIMRYLLDTYKPNSKSALFRDKVSLTSVGHGKAEAFKILTVYHPSILTWHLSHI GDALGHAVLADNFPLAHFILDEAGCDPNKSQLCYRPTIEHTAYWGKYGMMELLLKYGA RINGTKTLYEAMQSGSVDMLSFLMRNTDGDINMIQPVKRSEGPEGSEEVGLTPGPVLH LAVQTRNKAMVRVLVTEFGADPLVKDQSGKTAVDWARCIDDPAIGRQLDPAYRPCQVM ACHE_31312S MNRGLPLLAECNIRLSRDIDPSIRNLAHRVAEQSTGHSINVATK PHTPFPFLSLPAELRLRVLQHTNLVTPYHQVDWNPRHGYYLHYGVRGCNWNCDPDDHH GCQFRKCWKNPDGHGCFCSRYHSAFSKHCRCWRPPSPLFLVSKALRDDAQEVFFTQNR FIISPVDGYGEPPKTVLERFEASIFLQDIIPAHFLPRLRFLEFVFPPLDEEYLSPRCS ALHDWDNTIDNIMKKLDHPNLKLRVYFADFYDASYASPFRKKITRKEGMTKVASAYMR IVRPLERLKAHGLSHLFVHAAWPWSWTEEGRNTRIWKKHIIENDVSVIERRLERRVMG EEYDGVRLGKKELEKSQWLKAHERSEEFASVID ACHE_31313S MASFSSTSFSHARYSTFRPTYPLHLYNTILSFHTGPRTTALDLG TGHALVAREISKFFTHIHATDPSQPMLQLARELSANHPNVSFHQATAEDSGFLEDGIV DLVTAGQAAHWFDYERLWPELGRVVKPGGTVAFWGYTDPVILGYPHATELIEHYGSSA DPGLLGAYWQQPGRDIVLGKLRAIQPPGEDWETTRVEYDPKTQEGTMFMRAKMKLAEL AEFVRTWSAYHGWQERWPERRKKVDDEDTDNTGDVVDELMERIRVEESRLSGKGVQGG WREVVVELEWGTGLVLARRK ACHE_31314A MKLSIFSTLAMVALASAFPHEKRETTDAQCVKPYLCCGELKTPL DDTVDPILMGLGINAASIVGSIGLDCKAYDDSCKSEPQCCTEANLLGGTLALGCAPLK SKSS ACHE_31315S MAPSSIADLVAALPAEDTWGPATSTDNMLEGVPYAPFSKGDKLG RMADWTAESKDRERGGRQQYNRNFRDQQVYGAGGASSLFNIQAAEDESSFSVVDNTRA TAKRTFGGGRGGTVFRGRGQRGGMGQRGGRGGFQRAVGGGRGQGGDRYYDNRGGRGNR GRRFGWKDYDKPQRTREPSVNVRPEWSLLEEVDFNRLSKLNLETPDGEDLDSYGFLYY YDRSYDKAPVKNMERRLVSMDRAAYNVTTSQDPVIQELAEKNEATVFASSDILSMLMC APRSVYSWDIVIVHQGNKIYFDKRDGASIDLVTVNENAADAPMEVTDNAGGKSADSIN TPSALALEATFINHNFALQTMSESQEHRIEFSNPNHFYNASEETEPLASKGYKYRRFD LSLERDEEPLNMIVRTEVDAAMRNPVTGDDQHVIVKALNEFDNKAQGSGGGLDWRNKL WSQRGAVIATEMKNNSCKLARWTTQAILAKADAMKLGFVSRANPRSNAGHVILGVVGY KPREFATQMNLNLGNGWGIVRTIVDRIRALDAGEDAETPKKYLLVKDPNKPVLRLYSV PANTFEEEEEVEEQEVAEEEEEEA ACHE_31316S MYPLPPQLQLQAQAQAQAQAQYTVVHPQPHPQPQPQSQPQPQPQ SQPQPQSQPQTQSQTQNNHQNPTIQPSKNANASANSNVNPTKTRPSASCIPCRNRKVK CNRQTPCSTCLSRSHPEQCTYTTTDTDRSAMKSAETIADLRRKIRALKMQISEDENDD NGEEEAGGGVDWRELEAMETVFGEMREGEEGVVEGIVGMVREGVGMGELAGYVMDLRK SQAVVEVV ACHE_31317A MSNNYPLDHGPPSRHNMQPPPTLRAQPSQASMKTSLGSDFMKFF GGGGGGGGGGNAAQAKKVTRDGQPAKRRGPKPDSKPALTRRQELNRQAQRTHRERKEQ YLRTLETELSRLREAYTQDISSANVTVQQQRQVIHSVTEENDILKEILSAHGISYEAE LERRKAQRPAPGYHQSSPFSSSMGASTVPSGPASNNNAYTTPPTTIASGLSPRNGSER GVDMSSRSGSFSHSHAHAHGMQCESAFLDGSRGGSAGLHEPVNAQSGIFENDPQLQID FILTLEGPCREHTDYLCRRSITEADDEDMPFSGHALMASCPPPSYIANTTDKNPYPHK TYDLPAANLSTLFNLSKQLVTEGQITPIMALQRLKAEALYSTLTRDDVKIIIDTLNTK VRCYGFGAVMEDFELADCLSSVLGTKVDAGIHAHSQDELMYA ACHE_31318S MLNQTLVHIAAPSSLHDDCRYRAQADAILQTFYHDKKETVHDEN SLPHDPPLAPARLAPDSFDSPVSVIPESPLGKRPSPPLSPEQPNKRRHADSQPDTQEE EEEQHEIKPPLSIHPPPPPIASTPFTTHITPTLSLLANRLNLARTFVPAHQARPLHLL ERGYWWLRLRLRDPALNSRYPRPSDRGWNARWSGATTTSTTATNSSIADANNPTRAGA RADSNTWDRELFTRFWAFLSDLIAKEGRAGWGVWCILERESEPEVEAEFESGGADRDL FPDRGHDHRPTPHPPDNATTTTNPSAGNFVLKVYTWGEVAPHVYLLLYLASERRIRGM GTQWRDASEAVVIQMA ACHE_31319A MDSYDGYGSPGRPRDGDAYMSRAPVDSYRRRSPGSQDRRGRGRG RSRSPIMIDRYEPADRRPSRDGYYASSREQATREREDRRRAPSPNVANIDRYVPGQDS GKRPLPANPLPNPLNLDFQVGFNWFAEWWRAEQSVKEERERARHGGRRPSDRVKGERE AREDRERERAQIQAAYDSYKLELQVKMARAFVQQHRSEEWFKERYVPEVRDPLRRHVM DFRYSALQQWERDIEGGLFDEFTLEGIYKNESDGAGGVIEKEEGETTAVGETLGVLDL LPARGGDLRDEALSQPALLIKTLAPNVSRAKIEEFCKEHLGEQDGGFKWLSLSDPNPS KKYHRMGWIMLHPASEVTMVERDDGRGEEGEEMEQDAGNGTVTVNAAEKALEAINDKT IHDPEHGDFVCHVGVHVPPAQPRKKALWDLFSSPDRVERDLELARRLTAKLDLEMGGN ADGYAKIEERVEELRGKGWLQPPVTGPVSVKKRKSDMDDEDMDEGEAEEGEEQEGWDD EVDDEELLAKKKKLDMLVEYLRRVYNFCFFCVFESDSVHEMVRKCPGGHLRRPRTGLT SQSKEVARASALGEPFPIKKKEPSEEGEERPPSDEKRQQKLSKSEQQLQRAYNWVRTF EEKLLQILEPENVDLQKLGGKPVAEALEEELSKYMKQEDESKYRCKVPECTKLFKADH FWRKHVEKRHTEWYETIQSDLSLVNSYVLDPARIAPSRSDATSNGHFPLNSNQNQAGT PRGFSLASMPYMGNGPIPAGFQGMPPGGMPGFMMNQAGPWASNGMIAGDHPGLHQPGV MRRGNRYNNNRSAGPYERRGRYNAAGSGRLSPVQGMYRPGGRMPANAGAAFIPPGHPA AAMVGPSGFPDAGPQGMGPREAVQGRSLKSYEDLDAVGGAGGGELNY ACHE_31320A MMEYPPQYQQPHGQHPQGPSHMSAAYQPAPQSAGSAVGSMTSPT NAQAHMPQAHSAHQASPIVPSQSHYQQTNFPQPYGVTAAMPQTYGISPTQAAAMATAA ASGQFYPLHQDSMNQMSQGARGSPRMGGVSVKGDRNPRSPPQMTGQMPPMASQVPLSQ SAQLQSRRMSHVGSPPQPVLSHVGRPSVAPSMPPPPHAPVQPSQPSPELVSGGAEESP LYVNAKQFHRILKRRVARQRLEEQLRLTSKGRKPYLHESRHNHAMRRPRGPGGRFLTA DEVAAMEKKSGDSVPSGQENIQTTAVKPASSAGHKRKSSEVNDDVDASKKTRTVAPAR TSAGVEESEADSADASDDDG ACHE_31321A MLPFSLLLTHRCHTQALQLGACQNRYPAFVRVSSRAFAHRLPRR ISVASARACAQYHHQACVSALYAIDKFCAGVSDAHLTSIGCELYPRLGTDNQDIFIYP GSSPSSHST ACHE_31322S MAPGGGGNIKVVVRVRPFNSREIDRGAKCIIQMKGASTILTPPP GADEKQRKGGAKGAVEGPKTFAFDRSYWSFDKNSPNYAGQDNLFDDLGTPLLDNAFGG YNNCIFAYGQTGSGKSYSMMGYGKEYGVIPRICQDMFQRIEDIQRDKQLGCTVEVSYL EIYNERVRDLLNPSNKGNLKVREHPSTGPYVEDLAKLVVRSFEEIENLMDEGNKARTV AATNMNETSSRSHAVFTLTLTQKRHDAETSMDTEKVSRISLVDLAGSERATSTGATGA RLKEGAEINRSLSTLGRVIAALADVAAGKKKNASMVPYRDSILTWLLKDSLGGNSMTA MIAAISPADINFEETLGTLRYADSAKRIRNHAVVNEDPNARMIRELKEELQQLRSKLG GGAAGAVGGALPPGAAPGAPGGIPAGEYYPPDTPLEQQVVSIHQSDGTVTKVSKAEIV EQLNQSEKLYKDLNQTWEEKMQNTEKIHKERESALEELGISIEKGFIGLSTPKKMPHL VNLSDDPLLAECLVYNIKPGTTTVGHMDQGNAVEIRLNGSKIMSNHCKFENVDNIVTI VPTEGAAVMVNGLRIDKPKRLKSGFRIILGDFHIFRFNHPQEARAERVEQSLLRHSVT STQLGSPAPKTHDRTQSKTGSELDGDSNRAESPLPSQRGRESDWFQARREAISAALGP DHISHMPDDELDALFEDVQKVRATRRGLVENEEDSDSLSSFPVRDKYMSNGTIDNFSL DTAITMPGTPQQQEDEGQSGDSTLHSVRQDMQRQLDRQKEEYQDKLKNAEASSNQDIG DVKSEKVRMEEALRAAKEEYEEQLKKQKEIFESQMKDLGKPVPPKIYENGFAKLEPEE IETARAVYHHWSQQNYVRMAEKILQYASLLKEAQVMSQIMDKNVSFQFAIVDHGHNMA SSYDLVLNGISGDEDFVLDEAKKPCVGVRVIDYKQCVIHLWSIEKLQRRLQSMRQLHQ YIDRPDYIQHFKLENPFSEPCSPRYSLVGDADIPLTAVFETRVQDFSVEVTSPYTQSV VGIIRLSLEPSSAQAPSSTLKFNVVMRDMVGFNEWEGSDVHAQLFVPGISDEGGATTT QMTSGFDESPVRFESVHSMSLPLNSPRSAALKVCVYAQVTQMHLDKLLSWDDIRDSAD PGLQKRKAPRIAESEFYSEERHDVFARIQILELAENGEYLPVEVVQNNSLDAGTYQLH QGLQRRISINLTYSSTESLPWDDLRSIRVGSVRMLDPWGKIPDQDLQTPDVPLKFVQE PTVKDNADGTSNVTIVGQWDSSLHGSLLLDRVTADKYRVQVTVRWDLISSRLQDPVVF EVDPMIQIQGRTYVRPQSMFKQLFNATRIVHSTVRMFSLAVRPVSAKRAADLWRMNTQ NDYVKGEELLTAWSPRKVSLVKDYLAARKRRQRMAELNAAKGALSAGSLVPSATRNTR SIPLRSPELTDRKAKLLRKYLDVWMTKTDPTEAILVRTNTEPPAGGAAFAQTKQAVQR DGSSTSGDSADQQPPLKPRFIATIQTLPKNPSSTKSGYILTPDDTNSHWVRRFVELRR PYLHVYSVPEGDEINAINLRSSRVDHAPDFARLLGTAGNGSGAPSRGGGGQPNVFAIY GTQNTFLFATRTEAQKVEWILKIDQSYFSNASPART ACHE_31323A MAELLASLENYRVSSESGFLPSCPPLERLPNLYYEPWETVATSL AKFIDNGTLKKRVHSMPVLSTAFLLTEEEWRRACDTGRLPLSISQPMIEVSSYLGLPP VPTYAGQALWNFRRVGDSYLALRPEDVETLVSFTGSSEESGFFAVILSIEARGAELIP TMLEGIEAARRQDSRLLMDCFRTAAGVLTDIASLLQGMHKNCNQEFFYHRLRPFLDGI RNLDSVGLPDGVFFEEKHGGQYRKYFGPSNAQSSLFAFIDITLGIKHEQEGFEGKTAT HKNTYLKEMRIYMPRPHRELLNDVERVANIREFVCAHPEDMRLQDTYADCLAALAHVR QAHIQMVARYVVIMAKRPLAEKSDGQSNSDARRGEGVNGTGGTSSMSFLKSVRNSVLQ AKGETASKIHAYPQR ACHE_31324A MHFKHTHAVTLLALAASTTAGAVPAKESSAIETATSIETASVCT HPECPWAKAHEKTASSTETPVPVTSTTPKPVTSATPEPVTSATPEPVTSATSASTSAS ASESCTWAAKTSESGAVPIPVETPPAGDAGGSKPAHEKPAHEKPSHEKPSHEKPSHEK PAHEKPAHEKPAHEKPTGSGPAPETTTPAESGAESTPTGGAGGSESTPAGGAGGAEST PSNAKPTESEGTPAESTPAESKPSKGTKPSESTPAEGENTKPKPSDTESKPSESKPAG TKPAGKPTKPISFTKAPKPTASAPLIHSTIPVSVPAGGANAGAGTASASASVSASASA SASATPSKGHGHGHGHGHGKGDKGGNDEGEEGNGNGAYPTATGSDFKSPGSKVSASGL GVVLGGIVGLLGFFY ACHE_31325S MTDLLSPGDYDDDASLRSPSEQDSDPEDDEFLRHSRTTLELAEH DRTVLDEEDELEKLLTRSGPTHGLRRIFSPVSAGSGSVRIGKRERRRRRQERREARRR EQKSDEGELMYEMEDGEGEGDELLSRGSYEGEKVYYDEPRVSWTRYALVFTATLVLFL ILVLGAYKASSSFRASRYHPPLLSNGTTLFAPTTILISLDGFRADFLHRGLTPALSAF IAQGVSPQYMTPSFPSVTFPNHFTLVTGLYPESHGVVGNSFYDPALGEEFYYTDSANS MRPQWWNAEPIWNTLEKQDVRSAIHMWPGSEAHIGGMDPEIVDKFNKSEDLNRKTDRM LELLDQDEDERPQLIAAYVPNVDADGHKFGPNSTEVLSTIVEVDAMLGDLFAGLEARN LTDVVNVVIVSDHGMATTATERLVQLEDLIDLDLVDHIDGWPSRGLRPKNPEAEKILE EQLLSAAPNYSHAVEIYTRETMPERYHFSNNDRIAPLWAIPRTGWAIVERPEMDARKA LETGEIYSPKGIHGYDHEHPLMRAIFLARGPAFPHPANSRLDDFQNVNVYNILCDSLG IEPLPNNGTLRLPLQPIGLHSDEDVPVLEHPLDPPIASTSPSAFTSAIPQATPSATDA SVNAPANTADTEDDIEDEDQPAWWKAFWGKMHDFKDWAEDMVGAVKDNFAHSD ACHE_31326S MAEFANHTNGSGLGSIPGPSFDTQLLETFIPGYGLASRILATYF HLDLSSYIPALIAGGIVFAVVKYLYHWLYGFFTDHFISTAEIRLDDEIYTYLMFWMAR QSFTNRTTRFVAGTKLSGPNRYYYDSDDESGSEAEDEEEDGESFDDYWSRTINRDKYK KLRFTPSQGTHYFRYKGQYIAFSREREDTGKKSPFASIIPERLYLSCVGRNPTVIRDL LTEAQRAYVARDGTRTVIYRGQKGSGDWFDWVRCMARPPRALSTVILDAEQKDAFIAD IKDYLHPKTRRWYSNRGIPYRRGYLLHGPPGTGKTSLCFAASGLLGLPLYLLNLNSKS LDEDSLMSLFSELPRRCIVLLEDVDSAGITKKREEDNTSSKSNSNSSNDNDNDNDDSI NTDDDEKSAGLSLSGLLNVIDGVAASEGRILVMTTNHAEKLDPALLRPGRVDMTVTFG YTSKADIEELFSAIYSTLEGDTGTMKQRQQQDEKRKQELANGNGAAVVAHEKNKAEMD AHRVARLAAEFASRVPEGEFTAAEIQGYLLNHKHAPMDAIEGAGEWAEGVLKKRKA ACHE_31327S MWSFTWAAAAACLFTTALASPIQPRAQGPWLALDTNFPDPSFVQ ADDKTWYAFGTNGNGKRVQVARSPDFNTWTLLDKEALPTLAGWETEVDHWAPDVIRRK DGKYVMYYSGEAKEMVRHHCVGVAVSESTDPSGPYIPNSEPLSCRLDQGGSIDPAGFL DKDGSRYVVFKVDGNSIGHGGDCNNGVAPLVPTPIMLQKVAEDGFTLVGDAVQILDRD DSDGPLVEAPNLILHGDTYFLFYSTHCFTDPLYDVRYATSKSVTGPFVKTGKQLIKGG ESNGGGLNLTSPGGGTVCGCGDKMLFHAFCGEDVRCTYAANVFIDGENVRIA ACHE_31328A MRDGGPGPIMGVTWVQAAAVQIQIEIGRVKKRAGGDYEERKMTG KPIIPTLRIPTVPSFPLSSPITEEIPSPASSTCSDERHHHHHRDNRHQPVEVSRTARL MPMLHTSERDAHRSQMVETDEQGGLKVNAGTGTGVGAGGGTGTKRPIGLNLVTDFSSS LSVPKPKKRSPTLVDLNDLKTLCRTRERERSVQKIKGILKKRRSERLRRVQVSDGSLE PRRAVFDSHSPVPEQERPNKKRKDELSPGDEPITIGLSVTYADSVDSGSNKGKSRERG IEGIDSQMTPVTPSIIVTPAREDSFWEDDIPDQQQHPRPRVASSVYSTPTPNPMIDYD EVPPVPAIPAYHSRTPTATTTPNLQQAIPSPQQYQRRSIIRTRKSRAYSTGTLFEEDD SPASAQPPPEPRPRPRSERRKALSKLSINTDTNRHQSQGWWTYLLSPLLKSSTSTSAK TLTPTSPDRPPLLPELGANSTVSSEDWWEKDSTSKEVSCFSPDTPETENAIDPVECGW QGVATTTNPFVNMDRGMNMRREIGAGGGDMASGMFAGQVIQGCAAEYYQACAHELFSG RPYFECVGHVCSITPVAPAIQVSEVGKVEEKSGARGVAAFAVVDKHAEMQSPKSEGAR AQYFSGSTAVNAPSVREAETENLAKPKDGAHNLTIETGPRQPELLNPFSQTTAARPAT PVTPFCVPPVAAPPPQPVPQTNTATTVKVERAVPHYIVVPQSQPPQAPSPVSPAFQQA TERTGIPLSSLQNGPAPVPNAQGGLEHPKAPAALASQVSLWPNPHPTGTASANTSNDG YVGQWPNPHPARDVKPPVAKREFTTAQIHEKHDKTTKNSGKTGIFSKCLNRKKDKESK TKRRCYAAIACLFLMILVVAILLAVFLTHKSSPSDPSTNTNTNNTRTTPAHSNWLNLT GYPPMPTGLATIAGPEAQKQETGCIYPETLWSCALPKEQQEGNKPYDPDEPNFLVQIR FHNGTYSRSTVPVSKRSGSSSGNSTWDPSPSAPSMRDQEFLGNTTDKNAEPYAGEETP FFMTFLSTQTSSSSSLNSDSGLSRRSSFPNLTDIVPAPDSDSDGTAAPANLYPLPESQ PIRLYNRDQDDEHYGFYTYFDRSIFLESDAPLNGKAIDTISSDKNGGSTKKNARVRCT WSQTRFLVKIWTKGDKSGKTLLPGTTTTSSSSASSPTSSSSITKQDYARPGTFPYPVT ITLDRHGGTASQKMVYCYGMSDDMSLNSTEIKLQVEERGYDGTLVNAAPGVFNSTSVV SDDGSGWGGVDGGTGGCGCEWVNWGKA ACHE_31329A MDPSISHRPWETTGSHTPPVSSSQTLPSISTLTASMNGHVVHPA EKSPGNLSLNTIERDSGNWSMPHSTRSSTYSTATTSTSNYPSLQFLTSQQPSPNRVSC VPDRSPYPHDHSNSNTPSSTGAQHSPGFGASAQPHPSTLPSINYNHEAASQRGSIADP PESRRSSIDSRMNQEISSLAINPSSPYHSTNASQTSIVSNLQRERGISTDMGIYRGPR YSGGSAMSPLGSRTSEHRSFAAGRTAPAISNNPRSEIYNAEAPTAGLAYAFPDPDVTR SNSLSSSTEKSTPQFSRKGSTADSISSLYSDARLPRGQHELPQNVHHHSLQHKQVRDL IGDPDPAGNTPYSRTPELRVTHKLAERKRRSEMKDCFEALRVRLPQSQTNKSSKWETL TRAIEYISHLEKNLGGVRRENSLLRTEVEDLRPQLNQQQNGHARTQSMYEPHSMAGPH TNGHGHGHGPVFTHYNHGPVITHEQPRTLPPLMNGSSAMQGVQYTDERR ACHE_31330A MKRKTGRESQGTNGQPDSKKRALSHEEVATRFREGLFDSAELQK YTTAYAESKPYLHGVIHPVFNDSLLRAVRDEIQEHLHFTEKETDIYKIFQSGDLANLD GLDDASLSRLPSLLKLRDAMYSQRFREYLAGVTGSGKLSGRKTDMAINVYNEGCHLLC HDDVIGSRRLSYILYLTDPDTPWQAEWGGALRLYPTTTEKDVNGEDVKIPSPDYSLSI PPAFNQLSFFTVQPGESFHDVEEVYYPKEGEDKSKKRVRMAISGWFHIPQEGEDGFEP GLEEKLAERSSLAQLQGRGDIYDLPQPQTVVYDEKPVDEDKGKGKGKAKDEDEEITGT EFTESDLGFLVQYIKPSYLTPDIAEEMSETFSNESSLSLERFLSDKFATRVRSYIEEQ EKQGLPPSAEEIHAQTGWTVARPPHKQRYVYKQPSATESKSPIDELLNDLFPSQAFRK WLKHVTGSDRVTSHNVLARRFRRGQDYTLASGYDGEEPRLEFTLGLTPTPGWEKQSDE EEEDEDNENKNGESAESSEPKGKIKSADDDEDLAVGGYELYMAGDGDDEEDAAIYRSA DADEDDGILLTTAAGWNRLSIVLRDQGTLKFVKYVSAAAKGDRWDITGEMGVEYDDND DDADEEEGEGDDEGDDEE ACHE_31331S MQSPPNQPHEQSQPQQQAQGQTHNRNNTINRSNDARPGTPPPPP YSPVTPVFAQLAPVQNGSSGHPIVPPPISSSHSISPASTATPSALPPQDNAQTGSGTG TRPEVATEPPPPVPIGPISESDNPDVIALRSAISILQLQKQQSLRDMRALDRMKKAAA ADPERFARELTSGNLKAEDKGGFINLNLSADEDDDDDEEIEGGEGVEGQEDVFSNLGK FPTPQNVVRMPPINWAKYQIVGEPLDKMHAEQLRRPSAGEPLREDLAQRAPEHVLASP YRPLVDKLETPGRATGAGRSRK ACHE_31332A MPLPYSNIPKCPRQAENNNDEMKRSIHDTRIESPKPSSASSMST SSTIQRTQLKEFQDSRLSTEGYWKKKASNLQNAFAAGHGTNKQPQQQMNTLPDQGNQA PPKPRPTALNLSMARPNIPAIRIQIPSPEAKTTMSNAMSNSKAITNLPLSGNAISHIL QRTKPTCPKAQSWITAQRISEETKMRVAQRIGRLGVNERVIVERKIAARLAEKARQMQ MQQDGMGLMQRQEQNQGKKVEDWVEGNLTPQQRVKRANVRLQRAVGLE ACHE_31333A MSTLFTIPISATGGSIVCSNPTSSNEDKNIYILTFASGKDNRLI PAFIDALLLALDIIEHRYPKGVVVTTSGIQKFYSNGLDLEVAMSTEGFTQKWLWKLFR RFLTYPMPTISLLNGHSFAAGFMLAMYHDYRIQNPSKGFLCINELDFGVPLQAPMMTI FREKLTPATFRDVVLGAKRFPGSEALQRGIVDGVGGLEETVKFIKERGLQTKADTGIY GTMKEEMYRGSLGVLDNDEANLEWREKVEERNDGLREEGRKSVKAWEGKAKL ACHE_31335S MATEQPPKQATYYQSSNPITHNPFEQTRQRGDPVPNTTTSTTRR TANSKPSSDESLASLKADQQREQARKQGASDIDLEYGVEQQPAEGNIADAVEHKGTRS SARTQAGAHSGPVGSAFGPGYSGFGQDGDGGQDQMRDLGRKREEHDRVLGDRVGQSPP EPAEASADIRQQKLELDERLNVKDAVREATGDPVVGR ACHE_31334A MSETPRPSLKLTLGKKKAPEEKSQKTAAPATPSSEPRKLKLKIA RKPQENNDEEKTNKKPSKKRPVEAPGLHEPTAAAPSSAGPKRLKLNPSKKPGVQSIRI KNKGLVPNRPTGVGYDSEASDTEADPSIEEQFILRMLPGPDCDYLRKAIEERLFDRSE FCFKPLTREGRRAVIKIRDKQYAAALVDLPCIIEGMKSWDRRGWYKSADICQMLLVLG LVANDKEALEYPLPSEVEQLDEKTLRYPHGLTPPLRWARKRRFRNRVSTRTIEQVEKA VSDLIEQDEASVAPPRYELVDSASLNRAEGLVQSGDYYEDEYYDDEQDAEGEIDEGMP EMGGAMDDLEDALAAEMEAALAAGAEDEMTGAAAAAPSDRGVYEAGTPTVPKPQSPAA ESSGDESEMSDGDEGDVPEEEMDEEQLEQQRQFQQRREEIVELEALIRLETVKWEQMM NQILKNKLAKRIQDLKKDLSLRKVAIGEGDDMD ACHE_31336S MSAPNLHNALLRPPIIQILRAAGFHATRPSVLDTLTDLVANYLM ILSSSAAAHAANAHPGDPIPVLEDIYQALQDAGALRPQLRDWEEDWQEEEDTRGLDAF LSWFSGPTNREIRRIAGFVPSEGDMIDPDSLEKEDFLTALKKKHSKTGEESRYAGTVL GKSAEEHPVIIEGGVPSIREWSQQARSRMPGYRAGSDTSGVSSAPSNLSEAEGMDV ACHE_31337S MVYGFTLPTTSHLSFQTFLSSSTHPSLPQAASTARHALRVALKA HKHLSRGPQQDSHLTTVLNALNDYLPYLLAISNGLSGSTIGNNTEDVEITLRSELESE WRATLSSTTPSLPIKGKGTSAGRIRGHGIDYEIAFTVMTLGYVLSNMAHSIVVRTLYA TKTPTPEQRTAAVQTATKYLLQASAVHISLSTSSSPTGTVPNSVPDLDPATQSALSSL ALAEATLLAVLKDDSYVTTCIQARNPNDKDWMVRAPEIPKVRAMLFARLCVRAAEYAE QASAGLGAVEAQGKGGIEDDLLRYARVLGRVARARACRFFGVDAELAGKVGEGIAWLR AAKSALGLRIGPLSVMEGSVKRERGFSRLKREWSERREERKMEKDAGSRDRGEKSELD PGDNAGREEEGRVIEMLETKWVRMNDTINTQLVPPSTDLLTNLPSGRDIHSPPGPYKL PALDEEELVRMRAPPGDDEIGPGSDLDDSDEEAAVDVEAAGDRPAEHESAYY ACHE_31338A MPTLESEAFKAKKPTVPPTYDGVDYDDNVAVHNARDAIIREQWV RSMMSRLVGEELGKCYAREGVNHLEKCGVLREKYFELLGERKVRGYLGQEKNYFTEK ACHE_31339A MSRSRSPSPSRSKAGWSTPSLISITSRSDTSSPNSGISTPTLLG SSGISWDTARAKSDDLRRNNSFFFSRSKNSKEVSSLPLFQTEKLDCEGLYVDKDDLGR EKFIRSSHGWGRRLLGFLRRLLRRRTLRVLTTLLVLGTIGFLFFWTSVVDSYRRSLLG RGPKFVVIVGSNTEGGVMALKGAREWAIERNSIWIKQQYAKRWGYELEVVNMMAQRRY SHEWREGWEKVDLIRDAMNKHPDAEWFWWLDLNTWIMESSYSLQDHIFSRLDAISYTD INTYNPANIGPPPFSYPPIKKPPVELILSQDCGGFNLGSFFIRRSPWTERLLDIWWDP VVYEQKHTEWEHNEQNALEYFYEKHPWIRHGVAFLPQRFINSFPPGACGDGGDPKIHY QEDDHDFVVNMAGCQFGRDCLDEMKRFSSQDTQLKVQKRCMDSIKGFFRKLFKHGGEQ EDCQKAVVTPWPSS ACHE_31340A MEATQEATQPCTDPRRLGHNNSGLLGDDVSDVICILHPNSLYAH DAVAATANIRPDHILQREKLAYESADTAALDIALRLSSNVRDLGSGFCFGRNPSRCDI LLSADDSSKRISNCHFRIYLTNDGILMLEDTSTNGTLVDNNRIRKNEVNSRMLTNGSV IQVVHGPLASDEVRFIVRMPSRDTFAMQYTENIVRYLERVQKHQTGVVQPITRQGSQP LQWAVAQTFGMHWTGGAKYNITGQIGKGAFATVYKLATKQHGIVFAAKELEKTRLLKT GAQKVDNEMRIMRDLKHPNIVQYVDYHEHDRWIYIIMEYVPCGELSTYLHNCRTISEP VVQQMTRQILHALKYLHNRRITHRDIKPDNILISSFEPFRVKLSDFGLSKVVQEESFL KTFCGTLLYCAPEVYPEYASYRAGEVRKRRRFGDPPPKTTPYDQSVDIWSFGAVLYHI LAGVPPYTGSAEDRGAKMLRLIMTTDPDYDRLRQAGISEAGIDFVSRLLNREPHSRPN EQECFQHPWIANVEDVDEYEDDEMQPDDFGGALSDIGEDIEGELDASQLSLDEDAEEP DSAEGNQLTQSKRPRIDNPAADICYPSLPALETPNDIQPGPEATPKRLFGEITPAALP SSHALGVGASLEADDGDDYNLKMNSSQPAIFTGVETPTKRTSPADKQEAPTGGNVPTP GRATRSQSTPKASKFSRRIELPLPDSASNTSSNGSKPASITSRSKSPTKSPTTPAAAA AVDDELAVTLDARTGKEIPVPSAPNSQFQSDCIPPELSIPRTIPPLQSRKNRPLLGKL TSVPGSILDITIRLETRMTSWGRGPLATVCYPDPLDTRIPAYALEVTFWTPAIEARIA AGDDWMAIPGVMAILSTKTRNCIWVNDTELRRGDENGRPGLQFGKLYTGDIITVYRQR NKFLKLQCEFYHGDSARPRPDHEKGFTVRKVLKSKKEDMGTNRQRVQKKNNLKK ACHE_31341A MRQTVRCLFRPLPVFRSVVPWKRSGYGQRITMANVSTASNANGK PNDWHGTGAAEFDMRSDTMTKPTLSMLDAICQTTLLDDVFNEDPVTNSLQEYVAERTK HENALLVMSGTMGNQVAIRTHLVQPPYSVLCDHRSHIICYEAGGVSALTGATVQPVIP KNGIYLTLEDVQRHAVIEDDDHYCPTRLISLENTLDGMVMPLNEACRIADWAHANNIK VHLDGARLWEAVVSGAGSLADYTSICDSVSLCFSKGLGAPIGSIIVGSKDFIKKARWF RKSIGGGTRQAGVIAAAARVAVEETFGPDPYGKKGKLQETHSKARRVAALWTDRGGKL LHPVHTNMVWLDLEASGVGPNDLSEIGKEKGLKLSGGRVVVHYQVSDEAIAKLEQVFD VALSGQYQRSNNQSKPYGTR ACHE_31342S MDLSRLSRPAILCQCSRCSSSLAALENDWAKLSNSYSLVAGWLS VDLHRISISSEKKQVPQSSDMNLLRGRILQEISCKLCQQKLGVLCTLENGPNIFWKLA KVAFREIVTMRTVEPIFKEGALERILNTTTREARRDRISIQPGALVPAGSTEMEGYDL SVSRQIQHQGFSIDHISSSVSNLHDTMSELKQAFTALRIELNGPGRLSLETGNPGSSD YDMITTVLRELKSKSEEIERLKLEMEAVKLKNRYLEEQAAKQSLPMADIEGALPQVHS PGILHNSRKRPWPDSFPSGRTEPIADSFDDEGDIFDDFSAVDTPMQSMKIPLKDPEEA RSVANSIYAQSTPGSPRLSVEVTQHQQQTPTLDHTIDSTRDSSGQPHPIVKRPRVSQP VDKTPSSGGTGKRGPGRPRKSISQTTKPDFTTPKPLATKQTPLHEQTVNISGGSQKER SNWDGSPNEQQSATRRGPGRPRNTRSRSRAPSAPPANSRKSRHSDTNGNHPEHAPPPT VETKGQEPLIIREGTGPTDSEKENPPQNTEEAEKRKTRDYMARMAMQREEAMETEEAR ACHE_31343A MASRSGCLLTRKSTSGPKSGNTTRIDGDGTDDDDNAIPYDIQNP QAAVNRTFIDGDVLAQFPEADHVPANTSFLSRVTKLSHASVITDINKTAVLFAGKEDR LYLGPYGHRTLVTGTQFASRPDESESIILGDTFEGYINYHPDEMAQAGVSNLRSSRLT NIPKGSKFINELKDLPGMYVGVVGEQIYSLATCKVINTGTRMYVVDVNSDGIDRLNNS DLADS ACHE_31344A MGSGINTQKSFDLGGAGTLQHPTNTGLFGDGEGIAIDGNRALPV DITYDNFYVHGNIVFGGRIAASPSGGLKEGRLTFAGQWHSRLALAMKLQCTQAGCVSC EPG ACHE_31345S MDGYYDLGSFGRPITTENADAQTWFNRGLTWAYGFNHRESAECF EKAISCDPSCAIAYWGLAFSLGPNYNKEWKLFDQEDLKVTTKRTYDASRKAKAYIENA SSIERALVDAIQIRYQTDSPVSMQEYALQDRAYADSMESVYRRFGDDLDVAALYADAL MQLTPWKLWDLHTGQPTPGARTLEAKTVLEKALKHKNAKHHPGLLHLYIHMAEMSPNP EHGLNAADHLRDLVPDAGHLRHMPSHLDILVGDYRRAIASNYQAILADEEFLRRRGAH NLYSFYRMHDYQSLIYAAMFAGKKDVALEMVDQMEGTLPEDALRIESPPLADWLETFK AVRFHVMIRFGMWDELTRIDLPSDQNLYCVTTATAHYAKGVAWAALGNVQEAERERTL FHESLKHVSPTRLDFPVKCVDILAVGVVMLDGEIEYRRGNYKQAFEHLRKSVDLDDGL DYSEPWGWMQPARHAYAALLLEQGHLQEAADVYKADLGLGGAPTAANQHPNNVWALQG YHECLIRLGRTVEADLIKPQLKVAVAVADIPIRSSCFCRVD ACHE_31346A MGKRKFSDDDDPVKDTSGASNGFGIAQTLMRLQNTNETPENGAP EESRESQNGDGGESDKQVKKQKRVDGEKVKYPVLTYVEGRLSSSIRIADLQGLLLYCF ADGVAPQWISIKYPGHVRKIVVLMVPGLETGMFDGTISLDSHAQEANCEVIEPQENPE DARKEDFQRWKQGLPPEDRSHRFSPRSLSRENLAEPLQPLADMFPHVWPVKTPGDPKY NKVHSPLQAVLMSSLPKTKESGKGPKPARADKFVPKRTPITTFINSKNDLQENDYVLH PALLHTDQEKRANEELRKRTNTSTDDGWVDTHVPSLEAAKIPDTEIQQGSMTAGHDVL AMDCEMCITEGGKSELARISLVRWDGETVLDEFVKPERPIIDYLTRFSGITKEKLDPV TTTLADIQQKLLSILTPRTVLVGHSLDSDMNALKLTHPFIVDTTIIYPHPRGPPLKCS LKWLTQKYLGKEIQKGQAGHDSIEDAIAVLDLVKLKCEKGERWGTSDASTESIFRRLA RSPRSGKPSADSEQQEGRTGAVVDWGNPERGFGAHANVAIGCSDDDEIVKGISSVVNG NENNPSIPQGGVDFTWARLRELELLRGWCNRAPDPNNANESTPLNPPLEGTTPTTSTS TDGPAAAEKKLSDSVSQTVSNISRIYDSLPPCTLFMVYSGTGDPREVSRLQNMHKTFR QEYNSRKPWDELSVKWTDAEEQALKNACDRARNGCGFMCVK ACHE_31347S MSTVQEITDEAVFASHISSIPPSCLLVLYFHAPWAAPCAQMHTV LSTLASQYPVTTPPSVSFISLNAEELPDISEDYDVTAVPFVVLVRAGEVLDAISGSDA ARVRDAVERFAGRGASDGGAGAAPKSQIPPPLSATPRQDNAPTTATQAPVSAEQSKQA LFARLEELVKAAPVMLFMKGSPSAPQCGFSRTLVGILRERSVKYGFFNILADEDVRQG LKEFAEWPTFPQLWVKGELVGGLDIVKEELNNDPGFLNEFSVNRPAAA ACHE_31348A MSTLTMARPLGRLLRSSPPIARPLPSILRRGHRSNPPRAPFSKF PVKSEYSRLEYPKLEKELPVGISVNKTHFQVSIGNGDMREYLFPALRDSCKCPICVDP YSKQRNFRTSDIPNEIQPRNIEVDGKDIIVQWTNDIPGYDTSHTSRYDIDTLIVPVKS TTKLIAASRPRRTWGREWMNKSQFWISYDDYMNNEVEFAFAMRSLCDTGLIFIKDIPD SREEVEKLATRMGPLRNTFYGPTWDVRSIPQATNVAYTNQFLGFHMDLMYMREPPGYQ LLHCLENSCDGGESMFSDGFHAAKQIYKMGPELFDILTDVQLCYEYNHEGAIYHNRWP VIETTTMRHPTEFPPIRHVNYSPPFQGPVHSRQIGRNHHEFKKFREALKIFSELLEHE ESTFELKLEPGQCVIFENRRVVHARRQFNTAVGRRWLAGAYVDEDCLWSRFRRSIRDY PEAWPGQWDDPNKKLQREFESH ACHE_31349S MSAGGEHLKDEGTRRQVVLAGGIAGLISRFCIAPLDVVKIRLQL QIHSMSDPVSHQHVQGPIYKGTFSTMRSILKEEGITGLWKGNIPAELMYITYGAVQFT TYRSTTQALAQLGPYRPPQPVESFISGAFAGGVATAATYPLDLLRTRFAAQGRERIYL SLFSSVRDIAHLEGAAGFFRGCSAAVGQIVPYMGLFFATYETLRPVMSDVQHLPFGSG DAAAGVMASVLAKTGVFPLDLVRKRLQVQGPTRHMYVHRNIPEYQGVFNTIRMILRTQ GVRGLYRGLVVSLIKAAPASAVTMWSYETTLRLLMEMKVGADQKD ACHE_31350A MRGEICHIHIGQAGAQLGTSAWELYLLEHGLKADGRIDEERIEE IGDGGSRETFFTETGNGKYVPRSVFVDLDPSPIDEVRTGSFRQLFHPEFLVSGKEDAA NNYARGHYTIGKELVDNVLDRVRRVSDNCSSLQGFLVFHSFGGGTGSGFGALLLERLA TEYGKKSKLEFAVYPSPRVSTAVVEPYNAVLSTHSTIENSDCTFLVDNEAVYDICRRN LDIPRPSYEHLNRLIAQVVSSVTSSLRFDGALNVDLAEFQTNLVPFPRIHYPLISYAP VVSSSRSSHESFKVQDLTFQCFEPNNQMVVCDPRNGKYMAVALLYRGDVVPRDCTQAV ANVKAKASFNLVEWCPTGFKLGINYQKPQRVPDSEMAPVDRSVSMLSNTTAISEAWSR LDHKFDLMYSKRAFVHWYVGEGMEEGEFSEAREDLAALEKDYEEVANDGEPDVEEEAE Y ACHE_31351S MMDEFRDECKLAWRSLGLNGNSAMEENAIDLKVPEGRQDFINLT LRQIKPEDQLEFFREISYAIVCRPDAYCQQADTVVAHRRLQH ACHE_31352A MDKPTTISDAPSDMYLLFVLRRLLPHTANPLLRESFSNRLIIKP KLGLKSSRYSTPVYAELSASVFTGSINDPSVQLYT ACHE_31353A MPTPVEETPEPKYHDDKDDKRDDKKGEKEKDAQSDYIHDNDDDD DDDDAISPSDNGHHYAEPGPSDPSHLRVDTELDRDLLALRSPSANREQSLRLEDDLAL LEAERVASASTRDDHDKDQKSASSAALSRSRRSENVDEFDEATNPLHEKAAVYNPPEN PNTRLALFIKKIHQSSFIIRYFTYIVPVVLLLLIPLLVGALAFPNANVGGVELLWFSV WLEIVWLTLWAGRIVAKTLPIPIGILASIFTNNAKKWRDLAKQLELHATIFFWWLGVE ISFLPTMKNHHVDHDGRTRGWENTVNKLIISFFVWTILNLIEKFLIQLIAMSFHLRTY SDRIEINKFQIGSLTKLYSYSREKITQLDADFEERGPSQGDRTGYRTPMRYAGRAQRV AKGVAKGAMQKVGDVAGAVAADFTGKKATNSTHPHTVVLTLLRTTSGCQVLARRLYRT FARDGFDVVFSGDLKEAFDNNEEADAAFAMFDRDMNGDISMEELEAACVETGRERKSI TASLKDLDSVVSRLDNVLEFFVVVISLIVFVTLISTSAAGVLTSAGSSVLALSWLFSA TAQEFLQSVIFVFVKHPFDVGDRVTVYGNSGDAGLGDDYFVKQISLLYTEFKKMQGHI VQAPNSYLNGLFILNQRRSGALAEAIPIIIKYGTTLEQIDGLRQRLVEFVRSESREFQ PNVLTEMREVTDNFSITLNVVFFYKSNWQNEGLRLQRRNKFICMLMIALQEIGIEGSR MNLQGAHVGFPVHVNWHGAPPSQPPPSYNDNDNGNNNDTLRPTPAVGTDNTSNPPGSA GSSSATAARHPSILRKGMNTAAARARGDSIASRKHVDFSLGMSEMASGDILGDVFEDR RTNPRVDVDIVRETNRETAERRIQEIREEEEEEESERRRSESSRKSSRRGGAGTPAGG SNTNLSIPSHGDGRPSNESHGNLSLSSSLHRRGFFRRKSSASREQEDLEEGRAQQARV EDEKS ACHE_31354A MAGNGTPNSQFLAPPAVTALRQEARSIDPKFPPMSRSISENMRE EREDLKEAAEQTLNVIVDLDLDGRIKWVSPSWKQVVGTAPESVEGRMIADFLLGNKDV FQDAIESLKVDDSRSRFIRFAVPMGPDSLLRYTPESRPLEEGQTGASEGGEVPEKTEE EYPHGILDMEAQGIMVFDRTTDGSSHVGHVMWMLRPFTQPREVTIDLPPLLVESLGVG AEVLAHYLTTLAEAAANEPDPSKHPAPEPVLCRICERQITPWWFEKHSDLCLQEHRSE MDVQIAQENLNEHRHAIVRVLDALEAQQSRPLTGDGNSIPPTLAPTIPPAAVVTPQAE YKGLPIGPSPASSAPSSGLTSGSSSAPATPPQSREHSMSSAGHTRGRSFAVRRPLARI VELVLDLCDTAMEINMPIIKETRTDTPDDFRTLSPQSESRISQVIQWQSPSTNTLEQE QGLAALSMDTEQVCKAKVDAVIRHRKIVEYAERIRIEYTVLVEECIAAALSKAERIAA GQLSDSSCSEDEAPPQQEEEEREPPLELISKPNPEPPAMPSPRHPTTSALTESIRNAP DTFQVPPPEGRTSSAAVSTGPNSPMECPTPRSHKSVAGLSTSQTSRRGLSLTDTDAGE MSDSSALSSAIPGAMRTESPSSDRSLERKRKSLVLPGLANSPKRQPSPGRSAGPRSPL RMPKPRMSSGAESLPSPMASPSTNASEIAVHHAHHLHHHTHHHRRQSSTASSDVAKPP VSPRMTQHQPRPAPPSIKDFEIIKPISKGAFGSVYLSKKKTTGEYFAIKVLKKADMIA KNQTTNVKAERAIMMWQGESDFVAKLYWTFSSKDYLYLVMEYLNGGDCASLVKVLGGL PEDWAKKYIAEVVLGVEHLHGRGIVHRDLKPDNLLIDQTGHLKLTDFGLSRMGLVGRQ KRLLKTLNENEPSPDPLRQESFPRATSMTSSRSASFDFQGGNSPGSTPLITPNDAASS MTQPSYFSLSQGGLSRQGSRRESGYRSDSVGSDNLHNIFRGFSLNESGEVISPAPLPG RFSSNLTEDEGQSEASDSPYLHPLQPTASNQTSYGTPPQQSMMPPLMALFDPEDQNRR FVGTPDYLAPETINGLGQDEMSDWWSLGCIMFEFLFGYPPFNAATPDEVFDNILHRRI NWPEELNELATPESIDLVNKLMTLNPRERLGANVDEKFPNGGSEIRSHPWFSDVNWDT LLEDKAQFVPNVENPEDTDYFDARGATDQQPFADEQDDGASPQQPLTTGPYPDRPHDA LSKVRTQVNSTKRPLMPLSIPPHVRDSRDSRGRRLSEPVAGDDFGTFSYRNLPMLEKA NKDQIKKLALQAQQRQSTSGAPGQPQSEGPTPPPTQQQQPQQQPQQPIPAQQPQATPQ SPSATATATAPSLEGSPLPMQLQRTMSQNKGNRPSSPSNMSQANSSPSRPSQPSSPLF VQFSTGQNNHERRKTSGSSSANSQQSSGTFATGSAMPSTGSSPIKSGRMGTHSPDKTP SAPPRQNRVSTRARSQTVGSQDGSELSSSLAKESYVAGHTKRRSQLFDASPSSSDNEN PHKALLKVQRRRQSSRRLSQINLLDGPFFRPLDVLICEDHPVSRIVMERLFEKLRCRT ITARNGAEATSYALSEVQFDIIMTEYKLPQLNGADFARMVRETRSANRHTPIIAVTGY LKDLPETHHFDSLIEKPPTLPKLTEALCQFCQWKPPPKDYNPSQPLSLPTVPPRHLAH YREDSPSSTTSSGFAHVPPSSYQGSSREDSISSYGDTESVKTDDVPVIISRQADEWTQ TQGGLGISDDASTDPQSPSHTAPVPHLLHSTSAPGVMSGSGTVTPRKQRSSESIQAKR KSLENKRYECAESGDDEDEELGNTPSRTRSPQGRGNRPGSKLGIEMMRTNSRGSVVSG SEELLQKERESLRRSQSRGSDEVCEIDEEPFDEEKELESELNQLRISEDGEVSPRHSP SPEARSRRQSLSEQSTEIDSLTQRSRSGTLINRQGQITPPIVFEQDEDDLNASMAVPD TPIIKTTEAPEAESEMEGLSQTPDSDATPRPLHTPTLNPDPVTPRKP ACHE_31355S MDLSSELEYYDYIICGGGTSGCVVAGRLAENPNVKILLVEAGQH NKDLENVHMVGGWSNNFDSETDWNIITPPMKGVDNRQVKLSRGKFLGGCSGCNGTLCI RGSKQDFDDWGLEGWSGEEFFGYMRKAETFHPKPWFDANEQAHGYNGPLHTEPHDLAP ISQLIMASFISEGLPHVPDMFSTGETPHGCGHAPRSVYNGLRTTSADFVTNGYTRKNI TVVTGSTVDHVLVEPDTRTGELVARGVLVQGTLNGDVRAYHAKREVVICGGAYCSPAI LLRSGIGPRAELQKHDIPCVVDSPGVGQNLMDHPIVFIFYETAHPNLTTDHLVYHDNA FETTYNLWKTNKSGFLSTFPFGAFAYARLDDRLANEPLATKSK ACHE_31356S MSLLPSKQPNIELFTTECYGGPKQYDKFPANPNTHVFSIIAELF SPHSRGSVTLSSKDGRANPVVDCNYLDHPLDMLVLSEGVRFANEIIMNGAGTKGIIKG SWPEGLGYGTPGEGFTTREDWVPYVKEHATTCYHAAGTCAMGKGDNPMAVLDNKLRVR GVANLRVADCSIMPTLHGGHTQMPAYGIGEKCADLIKETWSMNNMGQGLHAVL ACHE_31357A MFLIYLFLLLALASAATIPPNCSSDTPTWTDAFPEELLAVPNLD AEFDYIVIGGGTAGITIASRLAEHNHRVALVEAGGIYETLAWTAKIPGADSLGVGSDP KSTSLIDWHFVTYNVSGANGRDVHYPRGKCLGGSSALNFMIYQRPTKQSMQLWADLVQ DQSYAFDSVFPFFTKTVAFTPPSVKLRSCNATARYNMDAFAEHGEPLQVTYSDYAMSF SSWMERGLEAIGIHETTDFNSGSLNGSQYSTSTIRSFDQTRSSSKTAFFYGASSSQRL RNLKVYIGTLAKRIMFDSSKRATGVRVKTGLVTYTLRAKYEVILSAGAFQSPQLLMVS GVGPADTLRAHGIDVISSLPGVGQNLRDHVFFGPTYQVALETFTKLAADPLFLTEQLT KYITTRTGMLTNPVTDYIAFEKVPEALRSNFFAKTKEELEWFPDDWPELEYLSAAAYV GDFSKPFTGQPKGGPQYATILASILATTSSGNVTIKSADTKDLPEINLNWLSTETDQQ LAVAAYKRIRAAFHSEAMAPIVVGDEYFPGMEHATDEQILDVIRNTVMTIYHAACTCK MGLREDSMAVLDSKARVYGVEGLRVVDASSFPILVPGHPQSTVYMLAEKIASDIVSPK CEV ACHE_31358A MSSKHPTMELGNVLVVGGCGFLGWHIVDHLLNFPSETDPSVALP KIEGDARFDVPNLKDRYPRCLAKVHVVDLRTNNNRLPGAQYYDGDITSVESMLSVFRA VKPDVVIHTASPSMLEGNKPLLRKVNVDGTKTLVEVAGGAHGEWGGKCKAFVYTSSSS VVHDTQSDLINVDEKWPYVRGEQQLEYYSETKADAEELVLKYNQTSPSSMLTCALRPA GIYGEKDTSFTYKILEHSSKASPFVLKMQLGENNNLFDFTYVGNIAYAHLLAAYRLSA TKNRYDAGQSAPLDYERIDGEAFNITNDSPVYFWDMTHAAWALTDKYVEPDQVWELPE GLLGPIGGLAETVLGLFGKTPRLTRRMVRYSCMTRYYNSDKAKLRLGYRPVVSVDEGL RRAVGYVLANEQQSSGKKDL ACHE_31359A MSSNPYENEPGYELAESDGDKKDMKAYAAKIRHESIRISVIEPL ECILGIKANSTINPTDEEEEKDVDEGADITDTFADLRKRRFLWYYDCYMQSIAQGECE VTRKGRFTRMPFENPGNSMDGHFDYPNLRNRINQVKDAIIAETMGWTLRGKAAQEQEA GISENLRRQYEQIVEKYKNHKNFTVDLHIVDSNPFLWQLTYFGRPMTHLDGGIFNIKI YLSPDFPEDQPRVFVESPLFHYRVAKCGILCYFPARTDDMRCHVDAIVAALEEESPYD PRTNVHPEATRMFWGSPDDRKRYNRELRRSVERSAEYAYA ACHE_31360A MANQSILRISREIKQLQSNIDLSLAIACDDGDLRNVRALIMGPP DTPYQFGFFEFSIIFGTDYPAGPPVVRALTTNHGQCRFNPNIYSCGKVCL ACHE_31361S MPPGDVPLPDSLVPGNGAVRPTLNTGGYGGSHQSQTPTSPADTS APFDSPRRQAGRNGSVASGYDTQNPDGRMGRRLDTNLSPIARDPSTPRDSPTAYWDRP TPRDRSRPGPRSHTKSPGSTPRVCKKCGEPLVGQFVRALGATFHLECFRCEDCGQIVA SKFFPVDAEDGSGQYPLCEIDYFRRLNLLCHECGGALRGSYITALDRKYHIEHFTCSV CPTVFGAQDSYYEHEGKVYCHFHYSTQFAQRCHGCHTAILKQFVEIFRNGQNQHWHPE CYMIHKFWNVRLAPTGQPLEPPEKDLDATDEDRNRVREEEDIMEEKVYKIWSILSSFE ESSAACISDMLLNVSNGAYTEGVLIAKRFIAHVDVLFAAIDQLAGYIKSQGMKDLAYG RESKLLCKKIVAFFALLSKTQETGVQKLGVTQELLSLVTGLAHYLKLLIRIGLQGALK LEREKRAPDGLHRFLDHLGDLEALRPATEEESAAALMTSVDGLANQLSDCCVACREPI DDECVMSADQRWHVKPPHLNCTACQRELLSNLSDAVYNSKDKRAYCKNCAGQRGIPSE AGQFVRVSKLQQFVFLLKVALARLLAVLRAGGTVPPAPGDANAQDQGPLGNVHRSNTR KTYANAAKEGTEGSSLEQTVGEMRRLRSIRNERTLSTTYKKARASRIIDGPQGRSARP GSAGGEGTDPRGHGFQIVEERDANGETVTELTFGNQDALTLDDIPRIVAAEHAKEQRP NAYRHAGTKLVGTGEPLPRYKPGHQRGVSGANNLEPHIMGSTGRTKKYFSELSALEYF IVRHVAVLSMEPLLEGYFTLEELLSLIESRKPSIWNVFGRAFNKDPKKGGKKKGVFGV ALDVLVDKEGTESSHGVGPGALRIPALIDDAVSAMRQMDMSVEGVFRKNGNIRRLKEL TDLIDNRYEAVDLTKDSPVQIAALLKKFLREMPDPLLTFKLHRLFVVSQKIPDPEKQK RVLHLTCCLLPKAHRDTMEVLFAFLNWTSSFSHVDEESGSKMDIHNLATVMTPNILYP NAKNSTVDESFLSIEAVNALIAYNDNMSEIPEDLQGVLNDTSFFKEEVTTKEILKRYG DIARGSFSQKTNNGGETFTITNSSRSANAPTSARIETDPSQDAAWQMQSSVRHVQSPV AGQSHNASPAPPDFVAPQLKRERSVSNGSQPNSIQSEGGAQQMPYRSRQGAGPMGVAG ACHE_31362A MASSTLKRKSSEMDDAYPNDGMQTPTSSPSRKKMRLTRKQKQAL IDNLQLEITERARKLRAQYALQTHDLRARIERRVNRIPVALRKAKMGDLLEKHNASLR AHHSPRKVTSPVKRNVTNTTTGANARRVRKLSPEGPYFDKENAPAGETLEVLNNPKKR GKPAAAGGPSRVVSQEVRGADYRILSPKSLNSRTYPQSPFRASPEKPQQPSYLSKPMS PLKRSSPLRATTASSSGANGATTKDGRLTSQTARPGTKGLRSPLPRPATRQRERRNSN SSNASSGTAIVKPTKTGSGARKATNTSSTSTTAAAKKTAAVRNQVPSSTTKKTTTATA TAGKRAPTPAGEAQAAGRRALRKRV ACHE_31363S MSLEATMVIVDNSESSRNGDYTSTRWQAQVDAVSVIHSAKMRAH PQSAVGLMSMGGKGPEVLSTFTSEFGGILSGLHRTKIHGTPHLSSSIQVAALALKHRS EKSQRQRIIVFSCSPIEEDEKTLVKLAKKMKKINVSIDVIAFGDLESDQTKKLEAFVE NVKGGDGSNLAIIPPGPNLLSEELQVSPILGGDNTGAGAEDSGEGAFGFEDAAENDPE LAFALRLSLEEEKNRQEKEKQEREQQEGKTNLDNIPEEGSSGDKKDGGDKMDTA ACHE_31364A MTVYSLIIINKAGGLVYQREFHSGLHKLSTNDYLVLAGTFHGVH AITRSITPRLPLASTTTNPTTASHSTTASLSLTSPSGTTTPTASTPTASNNPSNPAAN TPSSAYSYPIPSVPVSGLEFLETDKFRLTCFQTQTGTKFLLFTDPFMVNIDVVMKKIY ELYADFVMKNPFYQLEMPVRCEAFDRHLGSWLRGRI ACHE_31365A MESYLNFLDPPAVITPEDLSLTDDESFPSHMHPYTRDRTSHMRQ HLSWIEDHAKGHYIPCPSNIHANDPFSAYNAGCLTADLTMKFPTGANSIAYSHSDRLL SPPPCTGHPSSSSGLDSPRSSNLGDIGCYFPLSYSSDDAMFPPLENYYPSPTDLTSAP PNVLQIEPDNSLHDLCMGNKTISPLDDGTLWVDGTEHNQPIQQSSPVSERTPTPSEVS PRINMNRRSRTRRHTSRASRDGVHKPHNNPRSPPTRSHCGRKPSPTSVKSEKVAVTGG RQFICSFAHYGCTSTFSSKNEWKRHITSQHLQLGAYRCDIGDCNLRRRSSSLDLPKRA DSNHRATHIQQQDKGFNRKDLFIQHLRRMHGPQSASKQDLSTKEEEALVSQRCWHQQR EPPQHSKCGFCDQEFKGERSWEERMEHVGKHFERDEKLPKVEVEDAYLREWAIEEGII RWEGDAWVLARV ACHE_31366A MSQRRYAPSPSEASQSGTPHTAHQLGPIEENIDETSSTLVSPNP NRQSKTDSTDTAGDLLKDDIGEENEDIIVDVARSISRVSQEFSRVSRDFASRGVNTFL DPGSRPDLDPQSSNFNSRRWVKNMLDVCARDPDRYPRRSAGVSFRNLNVFGYGSAVDY QTTVSNMWLKGVGWLQGVLGSKKKVRIDILRNFEGFVRSGEMLVVLGRPGSGCSTFLK TIAGETHGLFLDEGADVQYQGISWDEMHTRFRGEVIYQAETEIHFPQLTAGDTLLFAA NARAPANRFPGVTRAQYAEHMRDVTMAMLGLTHTMNTPVGSDMIRGVSGGERKRVSIA ETTLCGCPLQCWDNSTRGLDSSTALEFVKNLSLSTQYSGSTAIVAIYQASQAIYDLFD KVIVLYEGRQIYFGNAADGKRFFVKMGFECPDRQTTADFLTSLSSPAERRIRRGFEHL VPRTPDEFAARWRDSAERKQLLIDIENFQNEYPLGDGKLEEFSRSRQAEKAKFTRNTS PYTISYSMQISLCLRRGFLRLKGDTSMTMATVIGNIIMSLIVASVFYDLDETTGSFFS RGALLFFAILLNAFASALEILSLWQQRAIVEKHDKYALYHPSAEAISSMIVDMPAKVI VAIVFNLILYFMSNLRRTPGHFFVFLLFSATTTLTMSHIFRWIGAVSRSLAQALVPSS IFMMILVIYTGFTIPTRDMHPWFRWLNYLNPIAYAFESLMINEFSDRRFPCATFLPSG PGYENIPAEARICSEKGAVAGQNFIDGDAYINTSYEYFRGHLWRNYGILLGFLFFSLF AYIITTEIVRAKPSKGEVLVYPRGKIPVFARQTRSDDDPEGGAMSEKPQQQDIQDHEV AAILRQTSIFHWQDVCYDIKVKGENRRILDHVDGWVKPGTLTALMGVTGAGKTSLLDV LANRVTIGVVTGEMLVDGRMRDDSFQRKTGYVQQQDLHLETSTVREALKFSAILRQPS TTPLREKMEYVEQVIKMLGMEEYADAVVGVLGEGLNVEQRKRLTIGVEIAAKPDLLLF FDEPTSGLDSQTAWSICTLMRKLADHGQAILCTIHQPSAMLMQQFDRLLFLARGGKTV YFGDLGPNMETLIQYFEKNGSSRCPRNANPAEWMLEVIGAAPGSHAEKDWPEVWKASP ERELVREELAFMKEELSQRPLNPRAKEYGEFAMPFWAQFLVCIQRMFQQYWRSPTYIY SKAAMCVIPPLFIGFTFWREPTSIQGLQNQMFAIFMLLVIMPNLVQQMMPYFAMQRAL YEVRERPSKAYSWKAFMLASIVVELSWNTLMAAPVFFCWYYPIGLYRNASPTHSVIER GGTMFLLILIFLMFASTFGSMVIAGIENPDTGSNIAQLLFSMCLIFNGVLATPEALPG FWIFMYRVSPFTYLISAVLSTGLARNDVECSSIELLHIPPPEGQNCSSYLGPYVEQAM GRLINPEARSDCQVCSLSQTDQFLAGVSIYYSDRWRNIGLLFAYIGFNAVAAVFLYWL VRVPKKRTRKAKTE ACHE_31367A MSPKSNSQPAKSSPASPSTPMKRKFSILNIISVGYNISNSWIAI ATSFAIAIQSGGAVSLLYGIITVAATMACTGLTLAELASVYPTAGGQYHFTSILAGRK WSRGLSYGCGLAAVFAWVTLGASIGVAATEALMAMVIQWQPGYQMQSWHSFLVYQLLN ILVVVYNIFLTNRTLWVYNVGFILSLLTFLAITITCPILSPDAKEIDSTAVWTQMANG SNGWPNAIAYLTGLSTPQFMLSGLDAALHLAEECLEPERIVPRAVMVTVLIAFVTAFP FAIAAVYSCKDVAAVLDDPTGVPIYKIWMQATNSPIAGTVFMACLFTVSCVALNAVHQ TASRMTWSFARDDALFGSRWLGRVHEGLNVPIYSLVANGAIVMLIGIVYVCSTTAFNA FISTTVIIAQISFAVPALLLLLRHRGTHYLPAKRVFKVPDVIGYIANTVCVLWAVVET VFFCFPASFPVTGGNMTQPSATSSIHDEALRHRCTSSSISPRHRRLAIQNTPGPRAPA TEHHDLRYPGRGQGLSLRSPLPSLPDTPTAQHGPRQEGPYILTSSGELVWSGYTYYSI WATNFQAARWKGRDILFSFEGDHNPNYGHGHGHATILDQRYETVRELRAGNHKLMDKH EFHVINEETALIQVYQPRPVDLQAWGGGVEQQWIVDAVFQELDIETGELLFEWASLEH VSPDEAIIPLNPGQAGSGHNSSDAWDYFHINSVDKDGDGNYIISARDACAVHKINGTT GEIIWRLGGKRSDFELGPNVAFCFQHHARFVSHDENEEVISLFDNSAHGTESGRGTEL HTHPFSQGKIIRVNTATWTATIVQAFQPPDGLLAKSQGGMQLLPNGNALVNWGSEGAV TEYKPDGTPIFHAYMDSGFLGEGVQNYRAFRYNWTGFPNEAPAIVSQKTTTGTAVYVS WNGDTETAVWQFYAVTDTYGSRSYLGETKRRGFETAFAVKGVAVERVAAEAVSSSGRI LTSTGVAVLEDEIRPGGKALAAAAEAAAAGRPGFLQSILAV ACHE_31368A MSANQYYAGPPPPPPQAYPPQGYPQGGYPPPQGYPQYPPPQQMQ YQQAPPPQKKDRGCLTACIATLCCCFLCEESCECCFECIECCEMC ACHE_31369A MAVSMPDEVLFTKAVSGYRDFFMGQHPELSEAQRNQLWSQYLGQ FLVSNTSCEGGHSAPEPGFLDNGACHLLNSGKRPRPDTPRTLPDLGLPPSKRRATNPE APVIDLTRDLSNASSPASIVSPTRPLRRSLSSRKDSNYHYNRSPRSNQTGSHTAMVRS HTVQVPASNWQSLTSSVPGMQPSYGFHCSQQPRFDHVSEYSPAEYTKQHLNDVQRPTG VSALSQALAAEQQGPPEVFTNIDGLPGYTDQSADAVDMSRTDTAESLCGAVNMFRFNS SSGSNLDSTFAYPFSTSAEFATSFTPALPLGFSSSAPSAITTETAITAPAMPSSSPSA AVDMQPTMSTESNSSSASQQSRAARRTQEQIVQGTRPIAPKVELSDGRVAESQPQETP KLPQQQHKMIRISSSDGTAKEVAAIPKASIQRPPRPKTYCNMCNDQPDGFHGEHELRR HIERVHSVVRKVWVCVDISPDKTFLANCKACRNGKRYGANYNAAAHLRRTHFNPCQRG RGGRGKDSEKRGGKGGGNHPPMEVLKHWMIQKEEIVYENAQNYLDAEGLGEDLVTSTA APVAPGVTAATAAAPVPTLQNDTAFGELSMPQANQNANSGLESTLMSTGYDVSMPPLP ATGLDLSLDAPFYFDTPQIPEIESLAM ACHE_31370S MTDNTLYLYTSLTAGSSHIVTATARLETILKANKLPFRAIDVAT DDAARKLWGRRSRGRKLPGLVKAGMIVGDLEQIEEWNEYGELRMQVNNVEALDSFPAD TPASLTGSPATTPSATDPNAPPKQSTIKIQSPPSKEHTKDDSITVAMRQASEEAASKA KDTKSGAGPTDITTTAAAAAAAAAPTSNATAAPAAGTAEVGEKKAPGEETL ACHE_31371S MEIVPGMGRLEDNEDPDAFSYTWAAVELKREELAELLESLWRMQ VDLANA ACHE_31372A MCPPAIIAPSILSADFATLGQECSTKMAEGSDWLHVDIMDGHFV PNITFGAPVVTKIRSHVSRPTQPQGRGTFDCHMMIAEPHKWVREFKKAGCDLYCFHYE AAVNSAAGTEPADTTTRPTSPRELIRFIHDEGMQAGIAIKPDTPVDVLWDILAAEDEK DRPDMVLIMTVYPGFGGQKFMASELPKVKALRERYPNLNIEVDGGLGLGTIDQAAEAG ANVIVAGSAVFGAENPGDVIAKLRETVNKYSKASL ACHE_31373S MAILRSPPLQRVRRRLNTIPEDIRESQYAKSDSHVEQEAPPKPE SPPQLKLQTSGLSSISSRRHRIISPLSAGTASSCSDTEWQHQMQGLDELYDATDTESE GDECPSTTPAGMKKYLSLTIPPCSVQGPHKSSPVPPTPPPKIPVSPAALSKLARSVPA VHAPPSLDGSVTSDQVSSTSAPATPDLQSVPDNDWNTEEVRVHLDLNLEDSQNPDSAN PNTSSDAQEIEIAIEDTDEDWRQFLGKFPAVPSRTAPHTSMLSLNLDAEPAREPTPDY SINLPEDALDLLRHIHLDGTPEPWSETSEAHEEMWQVDPSQDHIPKTEDATPASALSG YSFTSLSIPSPGGFFAALTPRARHTWSFPKPDNPSSAAAEDFYNLPFGRDDDGEIVEQ VIEYYQRSNEGELTAVPALEGPPTAVRVPAEVTSPEDQHSPLSPTADTVDEIPRAVEF NTSGEYDEHYHEELQRKAETSRDRTSVWLTAQASYLAALSETNPVNSPVDESPAHGID DGTTGRVSSEQSREKSARFAETLPEPTSSLPSATASKDSIYWRGFQYLRQVSNQLEAF KHRDLRFDAVQSDRLGLTKLHANRLLGNYELTRHERPAYKGPFSQAPRHSTLMSVLAE KADFSLLEKEQLVLAQIRQSLWAMDALRFLNGGNLLTSPASRRLAKAAVTKSSKNDKR CLRVLDLGGHATCEWGWYLARDNPDVSVYTVFTEQQKVNRGIKGPSNHRQVSVSHLWK LPFGDNKFDVISARSLPALLKTECPTGEDKDEYDLCLQECYRCLKPGGYLEFFTMDAE LSHAAPYASATSVEFAFNLRTRGYDPNPTKNFLSRLRKTNMTGIRRAWMFLPMGVEPR KCQPPRETSGLCIEGSQLDSSDPAGSTANVASLTGLFGGWMWEQWLLKLQMEMGRDGD RLLEGAGGVFDEGRKNGAGWTCLTGWAMKPRRR ACHE_31374S MLFFSFFKTLTNQTVTIELKNDIRIRGTLKSVDQYLNIKLDDVD VLDLDKYPHLSSVKNMFIRGSVVRYVMLPRSEVDVGLLEDATRREAANQAGKAR ACHE_31375S MTNHDTPKMPGSLPRKPSSAPSRPAFSSNGTSRQNSAKRNTSIL SFFEKTDGPPQATSRQPRITQFASPSPRTTATSTGSNTKRNTGDANRGRNPVVRRENS NVGSSANESLFLEDRRRAASGTGDVGRERSRSRSRTPDDFWGEGEDVGKEEDVERFNE NRSAVKRRRTGDSSSLSLVEDGEDGKGEDNEKEGAGDKSESRAKPKANTSGPFIDESD SEGDLGAFREVEVEETVTSTTDEPKSNTDGPDQPTPDIPPLVREATSHIDEEYANFDD LGEGEEEFLEPLSAAGNECEEEAVCPICQGNLVGLSEVDVSVHVNDCLDGKTDPPNPA PAEPAPAPPDKLTRIEKAAIARPAQRDPYTTKEAPSKSAFSKLMAGNAEDTAWAAAAA NEVSSRGKQAYQRTCPFYKIIPNFSICVDAFRYGAVQDCNAYFLSHYHSDHYVGLTSS WRHGPIYCSKVTANLVRQQLKVDPKWIVPLEFEKTTEVLGTDGVRVTLIEANHCPGSA LFLFEKRFGQGPSSRLRRVLHCGDFRASPAHVQHALLRPEIMDPNTGQRRVQKIDVCY LDTTYMSPKYAFPSQNDVIQACAELCVQLDQDAGVLPQKNGGGDGGMMSQFVNAVTGG NAGKPPSQKGRLLVVMGTYSIGKERICLGIARALQCKIYATPAKQRVCACLEDPELNA LLTDDPLEAQIHMQTIFEIRSETLADYLASMKPHFSRVVGFRPTGWSYRPPSGRMLDN PPVETVLHSAQWRTGFSARDLVAQRGSTRESACYGVPYSEHSSFRELTAFCCALRIGR VIPTVNVGSQKSREKMKGWIERWEGEKRRNGLFRVEEW ACHE_31376S MITDLISPYGGYVLLALLAAYYIIPYLQRWRLTDIPSPGFASWT NLWLLLQTRHGRRFLAVHDAHMKKGKMVRIAPNHTSIADDAAIPAIYGHGNGFLKSDF YDAFVSIRRGLFNTRDRAEHSRKRKTVSHTFSAKSVGQFEQYIHVNAELFVKQWNRLC ELQRNPKTGYATIDALNWFNYLAFDIIGDLAFGAPFGMLEKGKDIAEMRKTADSPPEY VQAVEVLNRRGEVSATLGTMPALIPFAKFIPDKFFKEGLEAVSNLAGIAVARVNERTK PEVMANNTRVDLLARLMEGKDNTGNRLGHEELTAEALTQLIAGSDTTSNTLCAILYWC LRTPGVIPKLQKELDATIPKDVEIATHAMVKDIPYLQWVIWETMRIHSTSAMGLPRQI PPNGSPVNISGHVFYPGDVVSVPSYTIHRHPEIWGPEADKFIPERWDPQRLTSRQKAA FIPFSTGPRACVGRNLAEMELLLTVSTVFRLFEFELQQDHPMETREGFLRKPLGLQVG LKKRVV ACHE_31377A MKEKDIQGAITTSAEVDETAPDEATKHLRNIKEAHHWDPNLPQE VLDEIDDALDTSNKNTQDDVAHELLDNSPYPEVRAAVPNRDEGGHSNTIRAWTIGLLF ATIGSALNMLFSLRQPYIVIPSYVAQVVAYPVGVAWAKTMPNRKYKLFGLEFNLNPGP FSKKEHAIVVIMANATFGGGAAYATDVLLAQRAFYNKRFSWAFEIFMCISTQMLGFGL AGFFYRFLVTPAAMIWPSTLINTTLFTALHDRSKPDPRTVSGWTIGKYRMFLYTMLGS FVWYWFPGYIAPFLSIFAFPTWIRPNNVVVNQLFGGSSGLSLIPLTFDWTQISGFNFS PLIAPWYAIANTLIGMVVFFWIVAAAIHYSGLYYFKYLPISDSNSYANTGAVYDVSRI LTPNLTLDVEKYKSYSPLFLSTSFALSYGLSFASIIAVLIHTGLFHGKELWTRFRHLG REDEDIHARLMSRFRNVPWWWYAATTLIMLGISLGVTQGYPTELSWWAFFISLIIAIV WFVPLGIVKAATNVDIGLNVITEFVVGYMQPGKPMAMMLFKTYGYITMLQGLYFCQDM KLGHYMKIPPRLTFATQMVAGLWSSIVQIAVMNWALSTIPEVCSSTQANNYTCPNGRV FFNASIIWGAIGPARMFSPGQIYSGLLWFFLAGAIFPVVIYIAARVFPKYRLLKFLNA PLIFGGAGLIPPATPLNYLSWGIVGFLFNKLIRDRFRGWWMQYNYVLSAGLDVGLALC TILIFLTLNLTSTSFPEWWGTRIASDTMDIKDTAVQIVLPEGETFGPRTW ACHE_31378A MSQSPKSPLPKRCRIACTQCRQQKVVLTAIEESIALTTVQAKCD ASLNPDKPCSRCAKVKAECVIHDSFKRENKRQRLSELENEAYDLRKRLRASAPAESPT PIAMLTAAAEMGAHSDNNNGRELQAHSTPTTTISAYSQPLLSSSCTPQMDAVPDRRIA DLTIPRTLNNITLSGKEIDELFQLFFTQYVQFLPILDPQTTPNAYYAQSSFLFWAIIG VACRTYSRNPTLVTALSRSITEMALLSAASTSAPWHTIQALFLVLTWPFPKDMTRPDL TFPMSGMLLHIAMQNGLHIPMSSHEFSRVKIPALSEVDMTRRSELWAHCVIVYQRACI TKGQSPRTLVSFEQDPGQSQVFLQRIAPSLVLKVRCHETVARCSAAVLENGVRNMSVD QERALDILIRNFEGQINDLDTQASSDDKFHTMLARLSVQAFHLFKGQTILTTGCLPRL LSTCCAMIDCIQDLGQRIRDLAMAPVQVSFAMLLASVTILRILKSTTFSRELDVERAK ASFFTAINLAKQMTIDSSDMASKSVIILNQLWNSTKAFRKPDGSEYTALRIRSRLVLS PVLDVVWWWRDEFDAQYRTMVLPQEASEGVDTHENSAAPSHTWTGSVDRQDSLCLDDQ FLADFEWALGDGGLFPPTEPYCQTWSSFGLIL ACHE_31379S MTGNNRARPPSTVEVQPHHMSADSISHQQAWHKVGGAASDTLCS AILQGLYDFVDGGLARFSPIAKNEHRQVITMPQHHTMPMQAAEEMPTSMIHHGGKALA VDSKCNSGTVFSLVFP ACHE_31380S MVDRMTTSSDLPVADLPSASFDLPSVDEIKRAAEAGQRITAEDV SVISQVESELTGSGPVHGGPAATAQSLAMRQMNFDTKIDELTRKPQSHITQEDAREIQ ATEGRAFNRPPEAGSVSAQVRSIANRNEALGLPPVAVDVPVYVTKDDAREAQHAESTV YGGQNPRGGMAAQMQSAADKIEYARRGSQ ACHE_31381S MKRTVFTTTTTLPATIPRETVIDTLHNHQEMIELNPLVIRYSRC QPTPSAPDEERASDWTWYELTDRISFLPGKLFWGKISYKGSFRDLPRGLRTHVYAPTG VDIRATWSVGGNKEGDEGEAGEGGQAGSDATKMNDGLNGEGQGLYLREEVDLRCPFWS AGFVKKTMRRSHGVLVDRLIGKAGHGGSEAQQQQPQQPPASPGLAPPPEIRINDVPAS VSMELARPRPRRRKQSVAELE ACHE_31382S MPISGHCLCKAVTYTVDIEQPLATAYDHCDDCQRQSGSTYSLVA IVPKDLLKLNGPTKTYTSNGSSNLPVHRIFCSECGSPIAHDPEAAPPIIALKAGTFDT EIKKTLKPDTEIWTASKLPFCSEHLAKPFEHMPQ ACHE_31383S MAKTLNITDSRTNAQHQIPIQHNAILASDLKKTTGLRVHDPGLQ NTTVVETGITVSHHDTGLLLFRGYKLQHLWDIDSDFEDMLHLLVWGAYPSSEQRKALS RRLATAMLEVPEVVFQTIRALPKTTSPLPLLMAGLSASLASRPEMIPASTKPDLYRDP NTADYAIIYTIATYAVAFGVIRCHRQGIAFTPPSVDNSYLENLFVMAGLVDSSTGRPD PVKLSCYRYFGIFNSDHGMALSVFSALVTASSQTDPVSCLITATGAAYGPLHFGATES AKRALRQIGTVDNVSSFIEEVKQGKQKLFGYGHRSYKGMDPRVQFIQKLVCDLKLDSA ANPLLKIAERIEQVASGDEWFARRGLYPNADFYGHFVLSGCGFEADIIPAAMLAQRVV GIMAHWREYMRK ACHE_31384S MGSLADQAGRRPAYLLCFTIYIAGNIALALQHSYPALLILRAVQ SCGSSGTVALASAVAADVITSAERGMYMGIASLGNILAPSLGPILGGVLSQYLGWQAV FWFLGVMAVLFFVPLVLFFPETCRRIVGDGSVQARGWNRSVFNWWKGRGHPLSDGTDS ELRRPKITFPNPLGTLRLLFHRPTGFVLLANGIIYASYYSVTAGLPVQFHELYDLQDL GIGLSFIPAGLGSLFSATVNGMLVDWNYRRMRIRMGLPVTRDQKQDHGEFPIERTRLQ IGLPMMQQQLSDPTAGFSLYIHH ACHE_31385S MLSTLLLPLVSALPGYLYMRYPNSWWITPGDTLPIAETKPIPIL QTTLPCTSPYLLLTIDPDVQYGTTSTIVLHWLQSLRADCQTGLLYEDPESEQTAAYIP PQPPKRSHHRYIFLLFQKPDDYELPECYKHILPATKEARVGFDPKEFVELLGLGSPLA GNWFYVENGGEPVASEVAPTTTSLKVACTSTSARNEL ACHE_31386S MTLPRSVKVRSTCNACQQAKIRCSHEKPSCRRCQKHNIDCVYSV SRRLGRPAKKRDPDDRRRKPKKEVEETQDIDFTDSWLQDFITDLPDTGILDAVTENSV LDSIATSASLPDPDPHNIPYYDPVLLQSSPDTLDMDMALLPMDMDTSISPADTLPSLP TPVSPPIDTSPNHNHNGNVNLPFRQPQPPPPSAPGPMPRRPYDEYQFPDPSSTSSLPT PLDINTNIDPYTCQCQCHEHTLREIIRVNMTLCAASRIAPAGTIDAILTSQRGLQNLA ETIMQCSICAGTRLTLLTVLMVSIDSLISAMEVITASSAGSPGAGPGAVEEVFGRYPS PKGAGGGSASGPNAGGGGGGTFLKSQIEACPLLVGSFRVPQEEKYTFVKQVLQTRLGG LLTTIRRIRFCTQEMLAGTGARGRLVMMMETDRRLQMVMMRMRMLGR ACHE_31387A MPNQQRQRNGFSKFCRRRISTVTSFVCNNDGKQILLSPAFRGIE SHIKLQADDVGPHGSILRKEHTTDADDGGRFPCLSWTHGNLDHHRSYALICEDLDASY PDHMHHGIFYNIPPARRNATVSDVEKQDGTNTPRLTTTSWNYVKTHGKKSYLVPDPPA NEATHRYVFTIIALNLMPLNFTCPKKVTKKQFHKAIEGKVITWGQWIGSLAPPTDDNA TTDGQAFAGQAATGQVSAFAGQATAGQASAGEASTGCTGEGGVTTTAT ACHE_31388S MVIASDSTSANRPPKYPGEDTTPTSQREIRGWYAYGIAAEVFAV CGVGSFLPLTLEQLARERGTLQSSHLPCVGPGSPAESTNGTAPAFRRAEGEEQCVVGV LGLEINTASFAMYTFSLAVLVQALTLISFSALADYENNRKTLLVAFGLIGSVASMLFI FVAPPVFVLGALLVVVGVTCLGSSFVVLNSFLPVLVANDPSIQEEYKDRGEELPHLNP NGESVDPPPYEEDDFEQGTPDLGKRAQSTSPELQLSTRISSKGVGLGYCAAVFVQILS ILLLVTLNKTSVSKVSGTLPTRLVLLLVGIWWGSFTLVSRRWLRNRPGPPLDVAGGQS RWRAWLRLMGFAWRSLWKTIKVAVRLREVIVFLVAWFLLSDAMATVSGTAILFARTEL KMSTTSVGLLSITATLSGMAGAFLWPVISRRFQLKSNHTIMLCIGLFEIIPLYGMLAY IPFFKRWGVIGLQQPWEIFPLAFVHGVVSGGLSSYCRSFFGLLIPPGSEAAFYALYAA TDKGSSFIGPAIVGVLIDATGQVRSGFFFIAVLILCPIPLVWMVNADKGRREGVAMAE TLNQDRGGAGDDDEEAEGLLRMHHSDS ACHE_31389A MVSGRSEVITIVTAVFFAISLTTVLLRCFVRLRVVRAFGWDDTL MVIAMILNTGFAICGLLGAKYGMGKRLEYFLFHYDSFHKALFCWWLGQVFYITTCIVA KTSIILSLLRITVSRIHIGILYGAMGLNMLVGLLFFFFTIFQCTPVNHFWNRLDQDSG KCIDVWVLIAIAYLYSVGAAITDFIVGLLPAFMIWNLRMSRRDKIAVGGILSLGCIAS AAVIVRIPYIHHYADREFLYKTTNISIWSNIEAGLGITAGSLTTLRPLIRFFREASTG SHSYSRNPGSYPLSSTLGNNTPYHQSKLEHEDAQQLWPGGRDVEAYGVRTVVLGNGRV AAAPANSSEEELNPHPNTVMGSRELGRMRKG ACHE_31390A MDGEQQQKPSFFGRIWSRFFSDQGKESLRNSADIEIHSWSGPDD PDHPFNWSFSYKWVLTITICFISVLTGLPAGSYGAGNDYMTKKFNVQNKPFPNLYWAT TSWNMGAAFWPLIFVPFTESSGRMPGYFVSYVILIISLFPSAFAPNFATLVVTRFFGG GASSVSINIVGGSISDVWLGDKARSLPMSLFGFTSVIGIALGPFVGSAIQAIHKGAPW RWIFYVQIIYNTGLLPIFWLILRETRPDVILARRAKKIRKETGRPVYAENEISSTHMW ERLRLSFERPTRMLLTEPVVAFFTLWIAFAWGILFLFFSSVVQTFNQNYNMNTLQTGT AQLAISVGALIGLFINPGADWIYLRSAKRNKETPGKPIPEARLYTSVPGSLLFAGGLF WYGWSNFPHVHWIVPTCGVACTGLGIYSIYMAVVNYLTDAYEKYAASALSAASLGRNS FGAFLPLASPQLFSNLGYGWAGTLLGFIGVALSLVPVVLVLKGPAIRRRSPFMREASW AGGGEDEKEEPQDGEAA ACHE_31392S MPIPVPAAESLHDLFSLKGKTVVITGASGPRGMGIEAARGCAEF GANVALTYASRPEGGEKNAKELSEKYGVKAKAYKCNIGEWESVNNLVQSVIAEFGQID AFIANAGKTADSGVLDGSVQAWEEVIQTDLNGTFHCAKAVGAHFKQRGKGSFVITSSM SGHIANFPQEQTSYNVAKAGCIHMARSLANEWRDFARVNSISPGYIDTGLSDFVPQDI QDLWLSMIPMGRNGNAKELKGAYVYLVSDASTYMTGNDLKLDGGYTVR ACHE_31391A MKLFLLTLPVLAQCGEIVWDGRINASTTVDHFDQWSWSNQIQPY QWYIHGDGKTGRYLGLSTDFKNPALDDGKGMKISIDQSSSWNGQPMLRTELIPQTTED LGSGRLIYHFSLQTRKKNAPQGDVEHQIAFFESHFTEIKYTGNTLHWMADGESHWSTR LQPGTWHNFAYDIDFDKQIVGLWASTGAQPLKKVVNNVSASASSNSQDWHVGELKTEQ SGAREDWYWSGVYIEKAPVTQKIS ACHE_31393A MASILSPVVAQSLNKPVDQSLNAGAGFRNLQTPFDPTVDCATES EIVQHLRHLDSALKVYTPSSPNYETLRSVYNKQISARPQAICRPTTVAQVQAIVRTST QLGVPLTVRAIGHAFFGQSCIADSVMLDMREMDSLTLSHDLKTVNVGGGVLTRNLIGF LDTHDLVTASSTAGCVGWMGWALAGGYGLLNSYAGFGADNIVSAKVVTADGNVVDTAA DDDLLWGLRGAGGSLGIVVESTVRTYAVPTMLGGQIQYKKGESAKALLGLQRLLEAGV PEELCLQLELSQKDAGMAVNVIAGWVGDAEEGQKWLDMVRGLAEVQLDTVQQTTFNAL QGLTTKDIPLSAHTTTRGVSVTRMTSELIDILQQSLSQTGASYTVTGLLNYGRSAHTN PSASFGLREAHIQLFINACDEPERMVDASRWVNGLAHRIQSTGQAMEASYSSFAAPGD NVNESFGDGLDKLRELKAEVDPKNVFCGLWKAE ACHE_31394A MAVQARDTFWLKGQPYSLPEMLDYDALAPAFSKTPCINRGSKLK LIIVGMHLWRIGSSRLSTSPGAFFAQLPSQGFPDPDSDGPELLITLSHCGGHALSIFI EAGNPEIGLR ACHE_31395A MPSSWPMATPSGHAFFLNRNVNSAPQRVLHEWGRFLQQEGSADA VDNWFTDSPDTSVPPNHTVASGPGMRFPSVNSAKGSVLLRRQTMEALFCFRRWVLLIQ QL ACHE_31396A MIFIRQVKAKPERPLADVLRKFQQLIESEPSLGDLTNGMFNEVP RDGFYGHGLSGRYERVRDYQHMLELFNEVPDLPPRWNEKASKAA ACHE_31397A MSVNRTISKDSTISISPDSLPPKQGGVNGARRLITWAAFVAIFP LLLVVGIIVYILIRYHVPRKADADPTLRLPSDQDDSSVFLVDIDSARFVTISSVASTV VALLPGFIMILCSFRLAKVLSDTMQEDRIAHLPTPYQFGLLIEVMNAQLVSLWDLICY SRWKKIALSPVLRMAVGSLVVSLFLSYAIWVADTWLHIVTEATVISQVTPIDAPNHSL GFDFFSECAGVHDDTKHLPDICVRLIYAVVDRSAQPYLVVNNLSDTYQVRQEALNDEA FSYLAPASSPANIDYQAHTLAASSHCEPFTQQCNVRTLNETTSIFNCSDNFHGTMTEG DALKGTACSSEGCVKLFTDASLNHTANMNTATPFYAGVIGNFHPTQVTLDSTLTNDSQ VIVNKQQWVFMLRCAVRVQNLTYSFVNGSMTAAELSPTNDDVRAMSSRFPRLDTVLIE LGNMLQVATAVSNTSQEIADYYSTSLQALTLASTANFFKPSRCLKEQLRETVLVTQVP KVPLFLLGSLCLLFVALSMVLTGTVIFERPTRYRDIQARLSVFGLAASRFEAGAGRRV SDMEDLFGEKRVGSSRVGITMTPNGGWDYFSEV ACHE_31398S MSSKFYPDFCQGDRKYQQHTQHNMPALTLHLFSLNIPSQFFLRR LREHRIEIVVSSRPQHIVIHPSLIDQNALTSHPWDLLTVLRIPGDKPVLPIDLQSAVR DEYKILVGIPSKLLTTYAERDEKLKHETSSIPLTGSLEKAQSQARSTSQNLEVSPELL AFMEKLTREYGDRPVTMLNLLHFHHPDGKKSYYQYGQAFIPVAGKRGGNAKLVGNVIK PTKNDLDSRGDCSRPEQEWWNEISIVHYPSIRHFCDMLAGEDYQAINEKYRLSALRDT FLLCTTEWNVDTARL ACHE_31399A MASFFGTVCFFFVPKTFDPVLIQQRAKRLRHETKNWALYAKSEE KPIDVKVIAHNYLLRPIVFFALEPVLVLITLYMDFIYGFLYLCFNAYPISFQEERG ACHE_31400A MLTLRQENPQNFSPLRKWTVTVMLGLMTIPVAFTSSVFGTAMQP TSRQFGVSQEVMVLGTSLFVLGFSFGPSIFGPLSELYGRKMPLFVGFFIFAVFRITVA VAQNLQTIFVRRFLGGVFSSGPLAIIYSALSTVQLLSRYSQQVPSLASWPALLSAASL PCRIFGCRWTE ACHE_31401A MIRVLPDDVLLLIGDFLEDHQDRYNLVFVCRRFHDLFLRLAYRA ASLKSCQHVRSFLGSILRRPELARAIRVLHFDRWQDKPSTGYVHITDKERPLLIEWAW VISQSDEEHAQWEQDLQHGVSEAWIALLLPLASNLRRLRLIYPKHNTYLDRTLTRAVN GECPALRSLQEVSLNHLEDDADDIKGDYLPSQILPFFRLPLMRTLSADMVLESDSAQE ARGSPPSTPLTGSSVSEITLNASNGSKGMESLIASCSSLKTFKYQHSDSHLHAEGYQP SAFYHSLAGSKNTLETLWLDTCGHHLPFTIAGANETHDEWFGPLTEFTALKDVRIRLP NLLDIRYQVEPSTSLPDILPRSLESLYIEGCKESTLSMLLNQVRMVLSQHTSRFPELR RVDIEGFFHDEEDYEDSGYDGSSNTTEKVIKRRVYEMVKPVQNGCAGAGIDLFIRDRA CLETMKGSPV ACHE_31402A MGIATDLSFRLESLEVDEPWIVEKMNFETLVEYLQPSSTTSPTA AAQSIDNLTPMKRTFIGTSFGKKEEPEGHMWEIWGLFIAISKQVPHDHPSMDRLVALV YALVELPPTTVKIWTQDTEIWTDLPMLGPSFAEAWIGPECYRDKLTPKIKAEWVNFNS FAARLLNHDMVSWFKLTVWSLRDALERPPREDLFDCDVAAAAQWIIHSGELLFSVLED DEEEPETDKFWSGPLWNGKGVLNVARWGFWKQRFSEISEKETGQIRSAAEMARMKMNE IEQIGAQKQE ACHE_31403S MLTQIQTLICGNVALTSKANQISEDVVKKAWQNAPSKTEQSGYP HHYNGQKVIEKLDPVCHGKTVFEAPVYADGKLYPFTVKPKQNPGAFRVIYTDDKDKHY CGMISHDGVEEVKGTKKKEGTPANPNAGDFHACTAEGPKRKS ACHE_31404A MFGNPDWTWSELDPFMKDIEKPRDPTKDKTIKSGSWHSYDPACH NDGNDTGQVWVGARDRGRDWSQVIPALMRTVNHTYPDAPTQRDLCCGDPRGVSMFMNT LTPGQIRTDAARSWLDPILKNATTKNRITVLTGQIVGKVNLEKNVQKSPDANGLYKAT GVEFGVHNKDNWRWNVTAKQEVLLAAGSAMSPLILQYSGIGPKKVLQHANVTQKLDLP VGLNLQDQTTTNVRSEVTAQGNGQGQAAYFATFAEVFGPDAQAYEGILNDDDTLKKWA DETVAGGGFHNSTALLEQYRNYQKWLLQENVTYAELFLDTDNRINFDLWDLIPFTRGY VKILDKDPYLRSFEYNPRYFENELDVAGQAAASRLARTLSRSHEMAQYTGNELIPGHL LPLNATLSEWMHYVKQNFRANYHGVGTCSMMSREQGGVVNQKAQVYDVDGLRVVDGSI PPTQVSSHVMTVFYGMAAKIAKVIIDEYKP ACHE_31405A MTRSTEALVAHSLGADPEFTPVTLDNLQPTEALVEVHATGICHT DIACIEGKLPAQFPNVLGHEGGGVVLEVGSAVKDVKKGDKVLLSYNFCGDCSHCTEGH PAYCESMIPLNFGGKRLDGTQTVSLAKDPSTPVFANFFGQSTFSRIALVSGSSLVRVP DSTPLELFAPLGCGLQTGAGSIFKSLNVKPRSKVAIFGAGCVGLSAVMAAKIRGAAMI IAVDIQPDRLEMARELGATHLINGKDKDLLQQIQDLCKPGKGVDYALDCSGVLSVIET MIQSLGMRGRATSAGAPAPGQRVAVDVFSHLVSGREYVGCHQGGSVAAEMIPFLIEQH NQGKYPLERLIRYYNVKDAQQAFQDMKGGKVLKPVLVWSESSLQN ACHE_31406S MTKICEVTVLYLKPGTDLSSPENHAKYTTGIKTLTSQPGFQLLQ EGRSKTEEELLVWLTDWNDLLDHETFMAKSEPYSKMVDALLSMCDTEREDFLEIKHLP PTSSFLDVTMTGTQYGNLMTTMLWLRIPEGGSLGQFAAAVKRLRRELEHRGQAVTGGG PVETPGEAILFIQSREGPLVEKEEIARILGHGANISRSGVFKLSYNT ACHE_31407S MLKFLRSVMTAMTSPARVPIPANGVDYRGKIVLAPMVRSGELPS RLLALKYGADLVWGPETIDRALIGASRRVNPRNGNIEFTRFPSNGGRTTKSTKESIIY RIDPVREKGKLIFQIGTASPELAVEAAKTIAGDVAGIDVNSGCPKPFSTCGGMGAALL RTPDRLVSILEALVREVGHPFQIGISVKIRILESPGETKELVSRLVRTGITGLTVHCR TTPMRPRERAIRDQLRMIADICHEAGVACLMNGDVTSRDEGLALMKEYNVDGAMIATS AEANPSCFRSKAEGGLLPWRDVARAYLQACLETENRYGNAKYLLNILVPGRNKEFEHS RSSKSYYDWCHSLKFDDLIPDAIRVDEFMNLAHKSIFKDEGDARSQTAQNALEINEFA RAAGVPIPTLNKTPEEDIVPPEPSQPAQKQQVAA ACHE_31408S MKVSAVLSTLMVAGLVSAAPPAPHPNGLQHHGLQGPADVALPHD KRGDVPPQFPPPPKDDHHKRGEIPPPPKDPKNPPKDDHHKRGDVPPPKDLKDLPPKDD HDHHKRGDVPPPPKDPKNPPKDDHHKRGDVPPPKDLKDLPPKDDHDHHKRGDVPPPPK DPKNPPKDDHHKRGDVPPPKDLKYAPKPKPAHN ACHE_31409A MSLLPRCKFIPRMLSYQKRAIQHSPRTKTAWQTPTETQKHGFDA TLKIPEFGRKYASQKSVRSNQVFSYFMVGTLGVISAVGAKATLHDFLVNMSASADVLA QAKVEIGLAAIPEGKNASVIIKWRGKPVFIRHRTQDEIDEARKVDWKDLRDPQPDEDR VQRPEWLVMLGVCTHLGCVPIGEAGDYGGWFCPCHGSHYDISGRARRGPAPLNLEVPQ YNFATEDTLVIG ACHE_31410S MEDIVNAIGCVQLPTGSKVYSRLEKYGLAAVGGRPGDVGVGGLI LGVGIPFFSSKYGFACDNVQQFEVVLTNSTPVNATATSHPDLFKALKGGGPNYRIVTH YTLYAISTNIWYHVVQYNESDYKDVLSAIFDTQG ACHE_31411S MLVVPTPIQTLLPPSNGIISKLVSVLDASSPTARYLSFAVSYET DLDVYNNTYSSFISRQHFTSPTKGTLQYAIQAITKAAVQAGEKRGGNWLGMKAVSTNW WHVVASWFDESDDKAALAAANGMATNMTDLATGTGKYLDFQFQNDADISQSQLKSYGE DNFQRFAAVAASYDPQQVFQKLQNSGFKISTA ACHE_31412A MGVPKLIFGAASFGMNFVTPEDVQEVLNYLKENNITHLDTAGRY PPTSPGRSEELVGETKAVTQGFTIDTKILTLSPDHRGELKRSAIEKSINTSLQRMSVE QVNTLHIHFPDLETPLKEQAETFDSLHKAGKFKNLGVSNFQPEFLQEFIDICEANGYI KPTVYQGDYSAVNRGMEKKLLPILKKHGIAYNAFRVLASGFLSGKLTNGNAEGTRFDG DGPMNKFMQSLYNQELLHNALKQLEKTTRTLGITTIDAALRWAYYHSSLEENDGIILG ASSIKQIKSNVESISRGPLPQECLDTFERIWETLEPARGDIL ACHE_31413A MSKILTVVGATGVQGGSVVSSALKSGAYKVRGVTRNVKSAAAEA LAAQGVEMVAADANDLASLIKAFEGSHAIFVVTDFFASFATHGVEETVAIESTQGINC AKAASKIATLEHYIWSTLPDNQKISGGKYSVPHFESKVKVDQYIRQDKALLSKTTFLF ITYYATNILMPMFTLNLFKTAGKHVQLLPIAEDTPITTLGATAINTGIYVLAILQQPH LTLPARTVLAETETRTAKDIVKLWSEVSGNPAEFASVSLDHYNNLWPKWGREVGLMLQ FWDYAREKSWIAEDTVIKKEHLNISGLVGMKEVFSGIDWATL ACHE_31414A MVLIQQNGIDRADTESDRMDMFHNNFRGMWNELYSACAENKRRR GQSIRAFLSLAKSFCYQLTMHHTIEERHLFPELAVRMPEFRKELSLLSQHHEIHQGLE KLKAYVTKCRTGETELQLTHMKALMDNFGGVLWAHLNDEVMALGAENMRKYWNLHEMA LLYR ACHE_31415S MESKSRNCASCTRHGRKCEKRFHSDKEWRDLNREQDRIEKEIHE AEEASAKIFAKLMRLRRQQQFLKERGGRMLEHDSNLMERLDEEDPLSAEDLQELERLA DEQEAAQLAAVSNDPSLTQMMNSPSFWENFDSAVAGGIPSPVGGNQSSSR ACHE_31416S MDLFLYNDTHRLWICGPCGFAVRPAHLAAHLANRHPKHPSAATP ALRRAACALMLKRPCWDPAREPDRPVPPPPAPGSPPVPGLPVHPGYRCPHPDCAYIVC NPESLLRHRTRIHADRRPRGRQPPASQVSPLPLYRTVSCQRFFPSGAGSGFFQVTPPA HTERARQAATMGEVEFIRRQVAGALAEDAAAAEAGAQQVPDPDAKAPTEISPWLELTR WPEFLHGHAFTAVAPLAAPPDPTAEPLLTVFSASVERLIEAAYQSIKTRRINEFDQIR INSFLQRPRVWDRPILIQLRPSTYRAYRQVWQRLICFAYRTSRPNAAVQLGHQLTTAQ LAALDRMETAAAELLSLPSPPLCTPGPGAADHPPWTTGGGPWVVIQTPRGGSGSGPGG GPEDRAAPCSI ACHE_31417S MAQMLVAQRAVQMADHGQVEHPADALEAMRERFLLPGVAAPFNW LTRAAHVWQAHPEHHHQSGIYLLER ACHE_31418A MILGLAWIDDQQVFIDPNGPKLRFTNGIVVSSMEDQPQMDIQPI GANAFALWNRQKKKDSSVQIFAASLKDIEKALRPKLLTDPRTKLPPHYHKFLSVFDRK EADKQPPYRGPNIDHKIELNKNADGTTPEPPWGPLYNMSRDELLVLRKTLTELLEKNF IRVSNSPAAAPVLLVKKPGGGLRFCVDYRALNAITKKDRYPLPLINETLERIGKAKWF TKLDVIAAFHKIRVAAGDEWLTAFRTRFGLFEWLVTPFGLANAPSTFQRYVNWVLRDF LDEFASAYLDDILIFTDGTLSEHQEHVCKVLGRLQEAGLQIDIDKCEFEVKSTKYLGF IIEAGKGVSMDPAKVEAIMNWAAPTTVKGVRSFLGFANFYRRFIRNYSELTTPLTALT QKDKPFVWDDKCKESFQQLKRMFTTAPILMQFDPDRETVVETDSSGWATGGVLSQYDD DGVLRPCAYFSKKNTPAECNYQIHDKELLAIINALKEWESELISVVNFQILTDHRNLR YFTTMRRLNERQMRWADLLSRYNFTLHYRPGKLAGRPDALSRREQDVPVLGDERLKHR EQRLFDPEILKDGPVEGSSKRGLVEEPHPINVSRILLAPVGMEPYNSEPSAPQGYEQA NEPTNLNSERPSLEELLDMTLDEHWARVEPLDEKYGRIREAVQVGAHQFPRELGIKAS ISECSIEPNNRLCYRGRRWVPDIESLRTRLLQETHDSVLTGHPGRSAMYAILARRVYW PAISEDVRRFVRNCDKCSANNVWRDRRQGLLKPLPIPDRKWRYIAIDFIEKLPISNGY ENIMVIVDRLGKGVIPIPCEKIDTYTVAQKLIQSFIGYHGIPASIVSDRGRQFTNEMW KRFCELLGIKRQLSTAYHAETDGQTERMNATIELFLRSFCDHTQSNWASLLPMAQLAI CSRDAASTGVSPFFLDHGYHVDPFQLEEDVEINLSAPDLGTMRERGERIAAKLRGALD IATTELAVAQQKQEDYANRQRDVAPEYQVGQKVWLDLRNIQTERPSKKLGSRQAKFTV LEKIGSHAYRLNTPGTIHNVFHTALLRPAAMDPFPSQRKDDYQPPAEMINGNEEYMVE RILDERFRRWGRGERHEFLVKYIGWQEPEWNDARNMEDTIALDDWETYKTMNGIVVQS ALSIPNEPPHAGGRSRRRRGRGVM ACHE_31419A MTTFSAEISDHESNDQTDGNMGDNIHSGGTTTPVPQDLVAVIAG LQRQLQQMEERRIEDLRRLKEELTSPPREPLPQPTIEEIAPQPMADPVRRPRPKLTDP EVFDGRNRSLYRPFRSKLRAKLEVDKEALGNAYDRMWYAFGRLTDGAAMQVLPWMERF AKKGATESQLDGMLDQMDFIFLDRNLEEKAVRDLASLKQNNKPFTVFLTEFNRLLMEA DGHNWPENTKRSYLDNALNREMNTRLETVEKKNGFEDYCRQLQQIADRMEKNQLRYSR NNKHTTSTSPAHPVNTTRASSPPQDMDWEPTTTTSARSQPRRVAKHVSREEMERRRQE RRCLRCGDSTHFISHCPYDSPRNSTRMARSHIHGPELEDEEEQLREQPKLGKE ACHE_31420S MAGLRGFVRTQVELAQVELEGLFLLHEEETREAVVPRLALVELA DDPTNNRRGWNFLQDHRTRAALPTTGEQWLMDRVVATDWLRAEWVGVRPHDHQVMWHT TVVDAYLGQVDQFLERLLLLMHLTAGQPARATELLGIRHSNTVCGQHRNLFIEHGVVS LVTAYHKGYSMTGSTKIIHRYLPAEVSELVVYYLWLILPFARAVQALAHGTRQARSPL PVATGPQSGSGGMGQWPAAGGAPA ACHE_31421S MPKSNPRRDRLADKIDKEGFFSPPCLRCSEMSASNMSCECKRIS SNRKCNNCVRSGVKCERDFHNERKWQNLERDRMRLAADLEDAERSNDEALARLSETSA KLARLRKHKRFLEARNKAMLENDVALLEELDSQVSWPVAETASLDAQLAAVTDDPSLS QMMNSPSFWENFDSAVAGGIPSPTGGNQSSSQ ACHE_31422S MLNAPSGPIREICNNLQTKLNVISWRHAAIAISRAHLQCGGFKR DYSADDGLIDQQAGHGSWAAGTVYARGLQEAPGHIQARRVQYRAISREWHAFLGFQVS LGPRKRGWGGGKGRSQQQSGSGSSSRLPVHGRQQVLGFIARTQATQAGQGMQEWCGPL PVYGMTARQQRKWQILWQLAMPTMARPQQAPHRARARAVHMFPGAGRILEQGGNPGSY RATEGRGVSPGDQPTAGHGVSPEHVEEAEETGNAGSTEPAWMMSPMERACLEFCIELL NQRHRAHEYESPLLPPILSRMIKLARFMVVQKALWLDPHVGDIIQMWQAQASTANGTV NGTVNGTVNGTPASPIAWPLASADAQLADIDEGCDSASPTRHTPTTVHDRPSFHDHVQ QMVSRFMIRGTHGPMQTLLDWRTYGLKIHYNSTAPGHVAWMGADELLYKDLHFTMGEF RGFIHGLVGATRELLCELLWAPGLELFARSPNPVARGWPVVDDPAAAHRAPRAAAIHA PGAIHGPLVAQYLARVARFKEKLAVAIHMTAGQPARAPELLSVQYVNTPNNQFRNVFI EDGMVTLVTAYHKGFHASNDSKLIHRYVPRAVGGVGGVAGTAHGTVNGTSNGMSNGTS NGTWNGMSNGTSNGMSNGTSNGTLNGTRAGTVNGTVNGTVNGMSNGTSNGTLNSTLNS TWNGTQAGTLNGTLNGTANGILNGTLIGTQAGTANGTRASTVNGTSNSTLNGTWNGTR AGTVNGTLNGRANGTLNGTSNGTANGISNDTLNGTSNSTLNGTSNSMLNGTTNSIPIG TRAGTVNGTSNSTLNGTWNGTPNGTHIEQHTDWHTDWHTGRHIKCHVEWHNDWHTEWH GERHTEWHIDWHVERHTGMAAPSPYLWGPDPGMQRPWTPERFREVLKRETQARLGQAL NIPAYRDIAIGISRRFLRASSTFTSDRQDETEQAAALDADCEDGMDADQWMAHMTDLQ AGHSSHVAGMVYGRQLMEQAGTTSHRRAMFRQSSVDWHQFLGFGCGTGVPGDVHADID AGGLRAGLVDEGSCPSRRPGQEQVRARLVDDPGQEWVRACLVDDPGQEWVRACLVNDP GQERVRARLVSDPSQEGVRARLVDEGNRPIHHPGQERVRARLVDEGSCPIHHPGQERV RACLVNDPGQERVRARPVLGKRKRAPWQVEAEEHHMERRHQLQTMDMAAALQQMTGQA GMQFQGIQAPAMAAIQQGKSPVVAVMPTGGGKSMLFMLPAWAVPGGTTIVVVPLISLR QDMQQRCRRLGIPCMAWDRQQPCDEAAIVLVTPESAVTPDFHSFINRLVVMQRLDRVV IDECHIIMNQQKNFRSAMAQLGKLVRARTQMVFLTATLPPEMEPEFSQRIHHPQDQID IYRARTSRGNVAYGVWRPPIPHTAPHGYGWEQDAWIIQFLQAQLQWARARGEDGDICQ PGPPGAGDGGGIGM ACHE_31423A MNINRAGHPPGPWRRYNIDPATAEPPAPQTPHPAMPGTVAGGIE CRPRPTGAGVGGGLRTGAAAGGGSGSGAGAGVGSGSGSGSGYGLGLSYRCGAGADLGL GSGLGSGSGSGYGSGVGCGSGYGYGSGVGNGSGVGNGYGSGSGSGYGLSLGCGAGADL GLGSGSGYGSGSGVGCGSGYGYGSGVGNGSGVGNGYGSGSGSGYGLSLGCGAGADLGL GCGSAYGSGSGVGYGSGFGLGCMSPLLCWNHTPFRA ACHE_40001S MVLANPPSLCLLTPTPTQPPDSPAAANKTPQTTGYGPPGCAAPA TQSPPQCPRRRPAIPPVAAAMPPECPPPMAHAASSPPWGSKPPGTDTGRPAPTRRISS AASHRKNTR ACHE_40002S MNINRAGHPPGPWRRYNIDPATAEPPAPQTPHPAMPGTVAGGIE CRPRPTGAGVGGGLRTGAAAGGGSGSGAGAGVGSGSGSGSGYGLGLSYRCGAGADLGL GSGLGSGSGSGYGSGVGCGSGYGYGSGVGNGSGVGNGYGSGSGSGYGLSLGCGAGADL GLGSGSGYGSGSGVGCGSGYGYGSGVGNGSGVGNGYGSGSGSGYGLSLGCGAGADLGL GCGSAYGSGSGVGYGSGFGLGCMSPLLCWNHTPFRA ACHE_40004S MVQAHTPGLMPSLMPGLITTHVPYMPLHITPITCLLVPVIPAML PPVSIHCPIQIIVQYHPPAPPTCHHPHVLLHQLHLRCAQLSLILRPPRRLDNDRLAGL PIPPGSPAFLCIIQ ACHE_40003A MAAPSPYLWGPDPGMQRPWTPERFREVLKRETQARLGQALNIPA YRDIAIGISRRFLRASSTFTSDRQDETEQAAALDADCEDGMDADQWMAHMTDLQAGHS SHVAGMVYGRQLMEQAGTTSHRRAMFRQSSVDWHQFLGFGCGTGVPGDVHADIDAGGL RAGLVDEGSCPSRRPGQEQVRARLVDDPGQEWVRACLVDDPGQEWVRACLVNDPGQER VRARLVSDPSQEGVRARLVDEGNRPIHHPGQERVRARLVDEGSCPIHHPGQERVRACL VNDPGQERVRARPVLGKRKRAPWQVEAEEHHMERRHQLQTMDMAAALQQMTGQAGMQF QGIQAPAMAAIQQGKSPVVAVMPTGGGKSMLFMLPAWAVPGGTTIVVVPLISLRQDMQ QRCRRLGIPCMAWDRQQPCDEAAIVLVTPESAVTPDFHSFINRLVVMQRLDRVVIDEC HIIMNQQKNFRSAMAQLGKLVRARTQMVFLTATLPPRWNRSSASAFTTHRIRSIYIGP ARAAAMWHMGCGGHRFHTLHHMDMDGSRMPGLFSSCRRSSSGPGPGGGRW ACHE_40005S MPFTVPFGVPFHVPFNVLFDVPFTVPARVPFHVPFDVPFNVPIG MLFVVPFNMLFDVPFNVLFDVPFSVSFDMPFAVPFDVPFSVPFALPFSVPLTVPARVP FHVPFNVLFDVPFTVLARVPFAVPACVPISVPFSMPFAVPFSVPFNVPACVPFHVLFN VLFNVPFDVPFDMPFTVPFTVPFTVPARVPFNVPFDVPFDIPFDVPFDIPFDVPFTVP CAVPACHAVNWPMNGIASHIYHTTNSPTARGTYRWISLLSLLAWKPLWYAVTSVTIPS SMNTLRNWLFGVLTYCTLSSSGARAGCPAVIWMATASFSLNRATRARYCATNGPWIAP GA ACHE_40006A MQEWCGPLPVYGMTARQQRKWQILWQLAMPTMARPQQAPHRARA RAVHMFPGAGRILEQGGNPGSYRATEGRGVSPGDQPTAGHGVSPEHVEEAEETGNAGS TEPAWMMSPMERACLEFCIELLNQRHRAHEYESPLLPPILSRMIKLARFMVVQKALWL DPHVGDIIQMWQAQASTANGTVNGTVNGTVNGTPASPIAWPLASADAQLADIDEGCDS ASPTRHTPTTVHDRPSFHDHVQQMVSRFMIRGTHGPMQTLLDWRTYGLKIHYNSTAPG HVAWMGADELLYKDLHFTMGEFRGFIHGLVGATRELLCELLCIADGSSSAHTPSTMPL PAIPWQGLYDDPTQGHPGWNFCTIAEPGGPWMAGGG ACHE_40007A MQQLPSPGHTCSVQAGHGSWAAGTVYARGLQEAPGHIQARRVQY RAISREWHAFLGFQVSLGPRKRGWGEGKGEEPAAKRQRQQQPYVTVEMKEN ACHE_40008A MRLAADLEDAERSNDEALARLSETSAKLARLRKHKRFLEARNKA MLENDVALLEELDSQVSWPVAETASLDAQLAAVTDDPSLSQMMNSPSFWENFDSAVAG GIPSPTGGNQSSSQ ACHE_40009A MAQAGPIRDVTSRLFVELKSKNEEARVRAAYDLYDNVLAISRDW SPEKFLEFYNAVSQRIAQLVVTGSDTNERIGGLLALDRLIDFDGVDAAQKTVRFASYL RSALRSNDTLVLAYAARALGRLAKPGGALTAELVESEIQSALEWLQSERQESRRFAAI LVMRELAKGSPTLLYGFVPQIFELIWVPLRDPKVLTRETAAEAVSECFEIIAARDIQV RQLWFARIYEEALLGLKSNHVDWIHGSLLVLKELLLKGAMFMNDHYRNACEIVLRLKD HRDPKIRTQVVLTIPILASYAPVDFTEIYLHRFMIYLQAQLKRDKERNSAFIAIGKIA NAVGVAIAQYLDGIIIYIREGLAMKARNRSAVNEAPMFECISMLSLGVGQALSKYMEA LLDPIFACGLSESLTQALVDMAHYIPPIKPTIQEKLLDMLSIILYGTPFRPLGCPENR LPPMPSFAKDFAPQELHSDSDIALALHTLGSFDFSGHILNEFVRDVAINYVENDNPEI RKASALTCYQLFVHDPIINQTSSHSIQVVSEVIDKLLTVGIGDPDPEIRRTVLWSLDR KFDRHLARPENIRCLFLAVNDETFSVREAAICIIGRLSSVNPAYVFPPLRKLLVDLLT GLGFASTARQKEESAQLISLFVSNATKLIRSYVDPMVTTLLPKATDANPGVASTTLKA VGELANVGGGEMNHYLPQLMPIILDSLQDLSSHAKRESALRMLGQLARNSGYVIDPYI EYPHLLAVLINIIKTEQTGSLRKETIKLLGILGALDPYKYQQISEDAPGIHHINEVQQ VSDVALIMQGLTPSNEEYYPTVAINTLMQNILQENSLSQYHSAVIDAIVTIFKTLGLK CVPFLGQIIPGFIAVIRGSPHSRLESYFNQMAILVNIVRQHIRAFLPGIIDVIHDFWE TSYPVQATILSLMEAIAFSLEGEFKKYLASLVPPMLDTLDNDSTPRRQPSERILHSFL IFGSSGEEYMHLIVPSIVRLFDGTQNPPSIRKSAIESLTKLSHQVNVSDFASLIFHSL SRVVGGSERSLRQPAMDCICALIFQLGQDFTHYIHLLNKVLKTNQITHVNYQILVTKL QKGDPLPQDLNPDENYATLEDDTSFAEIDQKKMVVNQQHLKNAWDASQKSTREDWQEW IRRFSVELLKESPSSALRACASLAGIYQPLARDLFNAAFMSCWTELYDQYQEELVWSI EKALTSPNIPPEILQILLNLAEFMEHDDKALPIDIRTLGKYAAKCHAFAKALHYKELE FEQDQNSAAVEALITINNQLQQSDAAIGILRKAQAYRDVELKETWFEKLQRWEEALAA YKRRERIDPDSFGVTMGKMRCLHALGEWKVLSDLAQEKWNQASLENRRAIAPLAAAAA WGRGQWELMDSYLGVMKEQSPDRSFFGAILAIHRNQFDEATMYIEKAQNGLDMELSAL LGESYNRAYNVVVRVQMLAELEEIIMYKQNIGDPEKQDAMRHTWNKRLLGCQQNVEVW QRMLKVRALVTSPCENLDMWIKFANLCRKSNRMGLAERSLQSLETVVSDGNGTRAIAP PEVTYARLKFSWAMGRQRDSLTMLREFNANLTEDFTRFNAFLVSQIDHAVNGVNGIID ANHADIVGLRERVGDVNKLRRLLAKSYLRQGEWQTALQRGEWRPELVREVLNAYSAAT KYNCDSYKAWHSWALANFEVVTTIASQANREGQPPVMVPQHIVTEHVIPAIHGFLRSI ALSSTSSLQDTLRLLTLWFTHGGDQDVNGVVTEGFTAVNIDTWLAVTPQLIARINQPN FRVRSAVHRLLAEVGKTHPQALVYPLTVAMKSNVTRRSQSASNIMDNMRQHSARLVEQ ADLVSHELIRVAGLWHDLWHEGLEEASRLYFGDHNVEGMFATLAPLHDMLDQGAETLR EVSFTQAFGRDLAEAKQYCMLYRETEEIGDLNQAWDLYYTVFRKISRQLPQLSTLDLK YVSPKLKDCSDLDLAVPGTYQSGRPIIRIISFDPILHVLQTKKRPRRMTLNGSDGSSY MYLLKGHEDIRQDERVMQLFGLVNTLLDNDSESFKRHLSVQRFPAIPLSQSSGLLGWV SNSDTLHALIKEYRESRRILLNIEHRIMLQMAPDYDNLTLMQKVEVFGYAMDNTTGKD LYRILWLKSRSSEAWLERRTNYTRSLGVMSMVGYILGLGDRHPSNLLLDRITGKVVHI DFGDCFEVAMHREKYPERVPFRLTRMLTFAMEVSNIEGSYRITCEAVMRVLRENKDSL MAVLEAFIHDPLINWRLGVREEPDRIPFAAERRQSMVGNLNTEHGVQPSNYSRHRRPS ILEGGILDAQEGIPNEARELQNARALQVLARVKEKLTGRDFRLYEELNVSDQVDKLLA QSTNVENICQHWIGWCSFW ACHE_40010S MIETIRDSAFGKLLRLLSGGSLLQYPEEMDDSAWYTYLQTSETG QPEESTESNDEGLLQTYGLYAVMSQASSRSRRGPRGKIPEIISWGGPNDSENPQNWST DKKIFVSLLIWLLTFSIYIGSAIYTPGIPGVSEQFHVSREVAVLGLTLFVLGYGLGPM IWAPLSELPAVGRSPVYVVTLVIFVFFQFAVIYAKNIGMLLAFRFLTGFLGSPVLATG GASMGDIWDPRVRDYMIAIWGCFAISAPVLGPLVGGFAFSAEGWTWTIWELLWISGLA LFVLFFFLPETFAPSIISRRARRVRRITGDQKYASRAEIEIAGVSSKDVLIEALIRPI ELCFLEPSSF ACHE_40011A MGDVAASNIPLALHSPPEYWQPHGHYADGLNSMAHVTDNGRVEI ALNADDAQVGSLLSALQRQPNRRSSEKKKGGTQFPLRLNVVIHVVGSRGDVQPFIALG RAMREHGHRVRLATHLVFRDFVKENGLEFFNIGGDPAELMSFMVNNPKLFPRMKTLLQ GTIGRRRKELRTMISGCWRSCFEVGEGVDITSDEGITTPPFVADTIIANPPSFAHFHC AQKMGVPLHLMFTMPCSPTQAFPHPLSNIHLRDMKRSIANFASYGLTEILVWQGVGDL VNRFRRFELGLEQLDAMSAPSLLHRLHVPFTYFWSPSLLPKPDDWHEHINVTGFNFLA ADPGYTPARDLAEFLDAGPPPLYIGFGSIVVDDPDALTRTILDAVEMTGQRALISKGW GGLGADKINRRDVFFVGNCPHDWLFPRVSLVVHHGGAGTTATGLASGRPTIVVPFFGD QLFWGGLVAQNGAGPSPIPNRDLTSNRLASAIHFCLKQETVKKAQKLGENIRAEDGVR TALESFHQRLDVHRLQCALCPNRPAVWRIRRTKVLLSAFAATVLVEEKKLNPKNVKLY RSQHYDTNYGCLGTEAFTGAMSNFLTGLVDMPVNAVQNLSQPASDRFAFNYNLPSRIQ TPAILDLDVDLPAGSSRSVGTQNDNWMSTSTLSSGATTQSQVGTPSMVLKRNPLQKAA ANASYMARRVLNWGIEVPMGLALMFSQGLHNTPRLYHDRTVRDTPEVTGFKSGFVAAG KEFGYSSYDGITGVVTQPSQGWKDGGFSGVARGLGGLILKPQAGMWGLLGYPLCGIHR EIERSYGADREDYVVKSRIQQGLAESNVASPKERAAVLEKWSVYEGGVHSKNETHAR ACHE_40012S MPCGNCCRTQADCVIDEHSDNRRRSTLKRKLDSLEDDRELLIRL VETLRDSGDTRISALLNLIRSNASLTELKSYINEQLCRPQSPELAKAHDEISRLHEAT SQTCRSVLDVKRLCDQPVFRVPAKPWTTVTDDDGLVSHLISLYFTWYHPCFPWMDRDI FIRDMKGGNVKSQFCSPFLVNAILAAGCPYSDYAEAYTDPDDSGTAGVHFYNEAKKCL EMEEGHMSIATVQGLEVLSMW ACHE_40013S MYYYTITIMVFGFAKNIADDKDSLNSEFHRFAADTCLQSARQIA KLVDIHRSSWGLDLFVVTYIQWITVSLFTLLEDLNDPMNREAFASLSVAAKVASRRWV LGKGMLRAVQVTARKMEVSLPSETDVLFSDFEKQSWGPKDRRELSSSYPNFAVSIGSF QTDEVELDKFLEKWDTLDISDSGEVDKSRSPAE ACHE_40014A MLHLELFGLFGLITPHPTHRPPPLLTAMSCSIGVQPTSTSIYDP WGASLSLWHADGQIEGLESIPPTFSTWDFPLGQDLYNPGLPLNTFHGPERSPKNDIGQ QLSESLSTQPVPSNGQLKNRKSRGAKPAKTGIQKTSSRERFLERNRQAASRCRQKRKE HTQVLEERFKEQSTKHQQLQSEFRCLRMEILGLKNEVLKHAHCTDNHISEYLAQMLET VHEYGQQDRTSSIGGSSELESTESLDDCLAQGDLDGLLVPE ACHE_40015S MPTSGFLSDRFALTARWELDSTFLDGCFGKPGLGKMLNEVEYAK RAAAVPEDIKPGFLQLFVTENYPPSVLVHGTADEVVPDKESVKQYEQLRKLDIKTELL LVQDGRHGLADFGSGFPPQPATGSTEAYGRALKFIAEVFVSNSTKNNVSYLEPFWKSL SALLDAHS ACHE_40016S MPEILGKEIGPIGFGLMGFTWRATPPSQEQAFATMRAALSHGCN FWNGGEFYGPPNYNSLVLLKHYLEKYPEDAGKIMLSIKGGVNPHTHASDGSAENTRRT IDDSTAQLNGRKKIDLFEFARRDQGVSMSETFGVMDKEYVQTGKIGGVSLSEVRAETI HEAVKHVKIHAVEVELSLFSTEVLENGVAAACAQYGIPLIAYSPIGHGMLSGKIRRFE DIPEDSIMRMFPRFQPGNFEINMQLVQQVEAMAVKKGCTPAQLAINWTRGLSRRPGMP LIIPIPGATTIARVEENSKLVDLTDDEMAQIDVTLAKFTPAGSRYPDSIPVDT ACHE_40017A MKVFYSLVAALPLAMSATLSQRSIICLEVGATATATWTNRDGQT CSFVGVVGSDYGTNSNGSGDYSCNGRCGAGCTGTAIGDVYTQDCFSHDICSYFNNATG GSSDPNCGDAYDAAVDDTVGGAVAGCSQTNPSSAVSKPATSPTCA ACHE_40018A MAPFKVIIVGGGLAGALLANGLRNNNVDVTVYERDESDMEREGY QIRLGESAMLGFRACLRGQDIAAISKSFGQSSVSGSTAPTIMNSRCEEILDLTAIPSY AKSFAISRAVLHDILTEPLKQNGLVKYGNAFLRYEIIHEADGDERVRAYFSDSSFDDC DILIGADGSRSQVNKEVGLQNLVSINTHWAFLAKGSLTLNQVYELPSQLRRGPIIVFA KGATLYYSLYLPSLQTEDGGHSGSDLKEAFFFWVLSIPRGYSHYRNVAEIPDKFRFCL DFIQDWDPRFKRLLLTAAKDDGTADIYVSPLRASTKPSPRWRSIVERTAEPFRGHPRV WLIGDAIHAMQANRGQGANQALHDCAEVLPELLSLHEAAKTGIPLSTEQIREACSRYE NQMAERSFAWVKKSGGTSIVNLDMDGFLGTVVYYVGRILIPIWSFLHKTFRTETP ACHE_40019S MLKKINRLKESHSINVYDAFRCLTTEVIMEFAFAKSANMLEENE TTFESWFLTAFDSVAGSLWKLQEWPLVRKSLSFVPINLVSLVDPQIAHVARMLKFAES CLQHYTIHGNKTPHPVVFDHLTSVSYDLKVTEALDVLIAGADTTASTLMAGILHILSD PGIDAKLRQALNETDPAGVKLLELEKIGYLTACVKESLRIGMAVPGRLPRVVPHDLPQ PFVVDNRIIPPGTVVSMSAYTMHTNEDVWGPDARRFNPDRWLQPESKGLDQYLCTFSK GARMCIGQNVAYAEITIVMAYLFRNFKLTLPQNFVPPHRRDMFTMEYSEPGLPIHFSG LGKE ACHE_40020S MTQAKSLTILVTGAAGFLGSNLVDFLLAEGHQVIGVDSFQTGSP KNLKHLEGHEKFTLLNQNIQSPIEGLGHIDQIYNLACPASPIQYQKDPVSTLRTCFRG TENLLELAKERNVRLLHTSTSEVYGDPHLHPQPESYWGNVNPFGPRSCYDEGKRVAEA LCYAYREKGVEVRISRIFNTYGPRMNAADGRVVSNFIAAALDGEELRITGDGKATRSF QYVTDCIKGLYTLMNSDYGDGPVNIGNDGEFTIQELADIVTELVSKVTGKPAVPVTYH SRPVDDPLVRRPQITLAKEKLGWAPMVPLREGLQKTIEWHINES ACHE_40022S MVRYGRQARGTPLTTPVESSSLTNLPKGVVVMPSDVADVQTALL WAQQHHVDLAIKGGGHSVAGTSSSENGLVIDLSQLKGVSVDTAAKTVTVQGGATWKEV DEAAWEHGLAAVGGTVNHTGVGGLTLGGGYGWLSGLYGLTIDNLLSARVVLADGRLVT ASESENPDLLWGLKGAGYNFGIVVEFTYRAHEQKNPVFAGIISFPPDKLEAVVEQLNE TLLNPDPRGGVMCFLAQPPGAPVPMINVLCYYNGTKEEGEKRYGGLLELEPVVNTLDM VPYSLLNSLQNPMATYGGRKSFKGIFYQPPMDPQFVRTILTDLTAKIQEDEDLKASAL ILEFFDMRKVCEVPSAATAFASRNSTQNGIICLRWSDSSKDSQNRAWAREMQARWKEQ LKTPDTDVPQYINYAEPGDSAVSNIYGANLGKLQEIKAKYDPTNVFHKMHPIEMNAPT A ACHE_40023S MYTTRLSWALLACGSLCTTGAAQATSPDKYTFSELWDLQNVLWK NFVYPNNLKQINATDESVFTPEVEGRVDVTRTFDGRELNAEYIFGLFSEPDHVSLVGV PIEYNITQFVANDDIASATTVFNFNATTFGLVVPVTIDTWIQWNDDGKIVRYDATFRW FDHLIETLFGAMGKKLNTTSEEQIAEHISQVLAQTICKTHEENCHGENQQYESTDQCL DFLLNQTRFGKPFELGRDTLLCREVHELMVKYRPEIHCSHIGPTGGDYCVDDRPYMKV VTEQYFSESWIPFGYGKGQNIWLP ACHE_40021A MRFSLLAFPALAAAWNLEKFTNLVVFGNSYTDESRWDYFASHNG SAPPVGWDEPVSNDTNSGGFSWPRFAANQTGTKLSDYAVSGADCSKEITPRIEPSTKI PYPSVLEYEVPAYLADSASQRPPPESTVYAIWIGTNDIGYEGFLTDSQNTNYTLAAYV NCVYRALDDVYRSGGKYFVLMNLAPLHLAPLYAVPEKGGITGKESLYWPWKSGNITEI SHRMREQVIALNQVFDYRTPYELLIAKRYPGARFAVMDTYSLISDIYYNNTKYPNITN VTGHNNHCDQKTNKCTRLPHPETFLWFDELHPSEQADKIIAEQFIQVVKGESKWASYW ACHE_40024A MRSSLTNRLLDLPNELLDIILPFVDASSLACLSRVCRTLQLLVE PHLYHTVSLRNNHRDTISNAIERIPARAEFVRELWIHYHDVADETDAPMQLEALSPTI AQLYNLESLLIKGVNDGGPMSLGLYRYMEQSKKFEDLLLEATMPDSQVLRSLRTCTIN LSEQGSWELAQLDALFLLPTLRRLTIANARMACFTSFSSAEPRSTDLRELILITCDIR PSHLRDILSMPRALEHFTTKGSAWRARSVSGDDRASNLDALRMHASSLVTLDLDLFLD DDRPPIQPLAFIDFVALRRLAIELWIIRGHIEQGILPQKALLPRSIEELTLKCAIQHV DLESYYLEPIYRWLQEGALPELKKIVLETTSPIPNVPKAMFRGGQTVGRAFAAAGVDL SMMEEAPGGYVPFECDCCWYRYRNPGWRLAYTNFY ACHE_40025A MQPLVLHAHATGPNPIKVALALEALHLPYNVQQWQFGDDPKNGV KGETFLHINENGRVPALEDPNTGVTSWESGACLNYVRRVYDKQNILGPVGDTEQDRVD FDKWEYFLLTTLGPMTGQTNWFRHYNGTPNDNALERYAAQTYRCYDVLEGQLKKSGGR SILPGRITAVDYHFEPWVRQYEFAGLSLDKYPNIARWLREITASEEVRQAYTKVKGAA PEFP ACHE_40026S MWAAQLVKYNAPYTLLAVPIPAIRPNELLVRVHAAGFCHSDLQV LQGEFNSPLPMIPSHEPAGVVVEAGDEAAQSWKIGDRVGILNFKNACSKCPDCISTQK RYGTLDARFCRRRETAGFQHDGAFAEYIVADAATTIALPDSVSFEQAAPLLCAGATVY GAIQKVAPFLQRGDSIGIIGIGGLGQLGVQFATALGYRTVAIDNHDSSLQLIDGMPPM LRPEARINSTCTDAKEQIMQFTNDQGLAAAVVCTDPVSVTGWSLELLRIGGVLVPLGL PPDKWQFDSQTLLFRELVIRGNYVAGKQEVEDMMQLVAKQDIKSQLTVVRRDDIPSIP DIYKKRAFRGRLVVQCQ ACHE_40027S MATTEKRPEIIELARGLTGIPWCEEYEKMISGMMYNPTVPQLLE ARHRCRGLAADFNQVDAKKYTYDKIGDVRMEILKKLIGRVGEGTFIEPPFMPDYGCNM IIGKDCFFNWNMTVLDTSLVVIGDRVQFGPNVSIFSAGHDTSVLSRIKFVEFGHPVFI EDDCWIGGSVIILPGVRIGKGSTVGAGSVVTKDIPPYSVAVGNPCKVRKTIPSVEEEV NDPNNPYRDLVR ACHE_40028A MPDIKAKVGTTTTHDKKIVGEALDIDDAALRAQGREAELKRSFS WVGALGLAFGITNSWLGYASCFGLALSYGGGQTAVFGLITAGVVQWIVLLGLAELSSA LTSSGGQYHFTYILAPQPFKDFAAYTVGIINVIAWWVTTASGTFLTAISAFGIARFWH PGFASEQWQIYLCYVLVIIISLIPIFTIPHRHIDYLTKTCMYLSVVGFLMVLAITLVM GNGTYHPSNLVEYHGSSGWGAGPALMMSIGIGEYAFGAAGACTHIAEEIPRPSRRVPL VINLTMVVGMVTAVPWILAAATLIQDMESVQNSFLPSLELFYQATKSKSAATFMQAYM TLLYYSCIPSQWITSSRIAWAFSRDNGLPFSRYWNHIHPRYDIPVRTTLLSAGFCLLY GLLYVASSRAFNTIINTAVIMLNLTYTVPQGILATWGRHRLPRRPFDLGAWGYAVNVF SVLWLIVSGTFFCFPVALPTTVGSMNYSSVVLVGIFVLVRLLWIERRKKFSGPVIDWD MLNASNS ACHE_40029S MPEEKMMDKIEDDSSREIGVLEYDSSPDSIAPHERRPSNCDSIE LQRISTYRLQQQQTVGSCHNRPPKDEWLPMGATKPYPPSLPDPENYVVEFEGAEDPMH PQNWPMHNRIFLAALLTFSAYVCAYTSAMFPTAAQGVQNEFGFGQEVAALGTTVYVLG FAAGPTIWAPGSELLGRRGPLLVGIFGFSIFTIACAAAKDTQTIMLARFFSGFFAASP IALVPASLSDLFNSVHRGVAVGMYTMAVFIGPFTAPIIGGFTASNLGWRWTLYIPSFF GFFVLTLLVLLGRETYAPTILVEKATILRRQTRNWGIHARQDELEIDFRELITKNLAR PVRLLFTEPIVFLLTLYMSFIYGLAYALLQAYPVVFGEVYGMPAGVNGLPFIALILGL ILGTLFVLSLQKSYIEKLKDNNNVPVPEFGWTGFTTSIHWMAPTAAGLLVGFGMVTIF MQGWNYLLDSYLNFAASAFAANTMLRSLVGACFPLFAKQMFENLGVQWAGTLIGCIAT LMIPIPIAFRLYGPKLRQKSRLSPTRV ACHE_40030A MTETFSSIPIIDFSRLQDRSTKEQTLAQLRDAIFRVGFLYLTNH GLEELTKRAHAALPDLFALSDETKNKCNMINSPSFVGYTKLGAETTAAKTDWREQFDF GTPEMKPWTEQDPIWYRLEGDSQYPDYSGAKELVNEYITRSAALNTTFLRHVSECLSL PPTTLEEFKGNMDRLKFIKYPQAPHDSQGVGPHKDSTGLFTFLSQDDTGGLQVLNKNG AWIDVPPIENSLVVNIQQGLEAITGGVCAATTHRVKAPTSKTRYSIPFFSAVRLDLTL EGLRSSAAHIVQKIPVSDDRKKRAVDVPSEFLSPLYQCFGEAYLRNRILSHPDVGQKW YPELYEKYSKQVLK ACHE_40031A MEANYLRHMSRERRGHKRQRLSSTAESERQASSQNFEISHENSR GSSSQVADNESTTSSRNLRRVASTNILTIEQRRQELELRDLEAEVKRKEEEVRLKQLQ NEQLELELIVMGRSLAI ACHE_40032S MAQQESLDRAAMHPGSQPYSKDELVNIIMESLDKSTEEETLEFH EVDISTAWQTIDELERREGPRLHRTTYDSHQKILKLVIRPCIIHDIHFTWFIHQITLN PSLIVKEKMDLHIFASPRFENFQAPYTASHKEPDQCILPPGKSLPTVVIESGWSETKQ QLYRDRDLWLKGGVGNIQVVIILKWGADPERKVRGDIEVYDLDTLGNIRCISCEVSGN DLDQPMVYLPNTRKAILPCPPAEIAATQRISLTKGQLFGPSLFPARNDDAVVDLDMKE LREIAAMYILQQGYTPM ACHE_40033S MANHRYMVCFLTGSGMSRTRTQINHSGGKELPQRRLSAPALTFE GPEDLAGQLEGNDDVVEVRDEGLV ACHE_40034A MTGLNATQNGNGDASSHVDDNANGTANDHSKGTSPFVKDQIPIS PNAPQEVPGLLSRIVSHGDAFLSADPDARLKLLEDARALVNALETPRESMIRYCWAQS TIYAAIETGVDINLFHKLSRSDRPKSAAELAENTGCDPVMLSRLLKHLAAMGVIAEAG QDLYRRTGFTIALCSEKYSDGFPLMTRRFTAGIHALPAYLKKNGYRNPTNPKDTALQL GFNTNLHFFDITEQDPVTARQFNNHMSVYSLGRPSWMDVGFFPVQERLIEDSKITNDD VLLVDMGGSIGHDLSEFKRKWPDAPGRLILQDLPTVVCHAQGLDPAIQVTPHDFFTPQ PVKGARAYYMHSILHDWPDDMCRKILANLIPSLRPGYSKVLINENVIPDTGAYWETTS LDLIMMAIGSGERTRRHWHALLESAGLKIVNIWTAPAQKGVESLIECELV ACHE_40035S MSATWSNGSGPAQTMEPSSDSCDTALPPIQSHNMSLSRRRPGYR PDSTAIIGNFRGMQRPGAPFHTTHDPVSNMISRGPGMHRRSESTLRTVMRKIFHRKRR SQTDGLEEDPVMESYGPRPSNSLPRKSESRSRKDAQAISLGTKNSPLQKDLRPTLMDL NKLDPLPVHRRRNTLPSLVFSEEECHGITHEEDNGPEAGKEPENLRVRRALRNKRRSR STNSLRRKANEHRMSPIQWTRRSTEITYLGSPAFGAVSDSELSFRPPTRNTVASLSKP DEPCDAPEANDAEQQTEVESVPPSVGNLVHTMQNHDNLTIEQRVTTLEVKLMDLEFAI ARLQDKRGDFSPTAKSASKKSSTPDGRQPAPLFSPATGSGNSPRISEDRPVSTGTVRP NLHRSRTYRPPSSPPHIDGASSISIEQYSALVTLLRREQSARRNLEGQVSSLRDDVQQ LQKMARGSMSMSMGTMYPIQSTDSVEPYHLRPVDSHSSPQSSYIPRTERIIPHPHYDS GTGTDLERDSKNDLALRKLERRIEIAGMI ACHE_40036A MTSTPPSPPHRTGTSSSSSSNPIQYSQANASAQAPPPKPPAPAV SILPGQLARVYSFAHPVLLLALLTVRFGKFVEDPVGELLGDLPVLAGLQVLYAVLCLP PAGAPSLKAGGGEASSSGEGTFLRPGRVGLRRKHAKEVGISVKVVPALIALTLTTLLA TPILSILLVLFGAPLTTHNLETILCAAHMAVLSATALVYVHGVDGSTWREVWGVARPA DAVWGGALGTGVGAWFGAVPIPLDWDRPWQAYPITILTGAYIGYAVGSLISRSSWLFG KRIQFTPEVEEDMDKKTE ACHE_40037A MVTGKPEPFQAFAPTPSQPVAFSPPSKRDLTSWWRQFKRNTRKE DAKDVPRGIFGIPLNVSIKYANVAISLTNDNGESFIYGYVPIVVAKCGVFLKEKATDV EGIFRLNGSAKRIKDLQEIFDSPERYGKGLDWTGYTVHDAANVLRRYLNQLPEPIVPL DFYEQFRQPLRTYQRQVQGLAPSNEAEIFDHAKAVAAYQQLIRELPPLNKQLLLYILD LLAVFASKSEQNRMTSANLSAIFQPGLLSHPQHDMSPDEYKLSQDVLIFLIENQDHFL FGMNGTAADEETVKAVESGGLAPRAPTTTQTSIRRSVSSASGGADSFRKYGSLRRNVS VSSKNSRNSNATASPSTPSSLSGVHRSNTLPSKMAPPMQRRAVQEPTTGNATAPAPSA KPSPSPSRTPPSTESNNHIRIQAPSGPDGSAVIGTSGMAYVHSATHGPIPKRFAGHVT VPEPQPPREASVSPPPPTVVTPTKERKLSNFFSKSPPPSGEQRQPNRLRKKRSPGSVC ESAQSSSQSLQGVTADNIPRTVPSENGVAANGAQDESQPNNQENGTSQSENGQTQTTD TSLKPRSRTPSMRSRSSFTDQSDLEQLDETARVDRKEYRQSWRFPRSSKRSSEQIGLV SPPLGATNPNATRSASSIGSWHQASRSSPSDLQQFVNDPANQPLSLDAELNNNGSPKE IEPERRSLFGKFKAKVGLSRDGKDTDSLRDRTRSPVNSDTENSVSNLALSPPPSKVQS NGSKSAPAEANGPDESTQTPVSPLPGSGMPPAIPEEPGSPESPVAPAFVEQNKEAVTS APEPVPAQTEPATEPPKALN ACHE_40038S MDGLNASEQRELASRMERKQLKEFMGMYSKLVQRCFDDCVNDFT TRSLASREEGCVLRCVDKYLKGSARLNERFQEQNAAMMQNAQGGR ACHE_40039S MASTVGQTITCKAAIAWGAGEPLSIEDVEVAPPKAHEVRIQIHH TGVCHTDAYTLSGKDPEGAFPIVLGHEGAGVVESVGEGVTSVKPGDYVVALYTPECRE CKFCKSGKTNLCGKIRATQGKGVMPDGTSRFKARGQDILHFMGTSTFSQYTVVADISV VAVTPKISTDRSCLLGCGITTGYGAAVVTAGVEEGSNIAVFGAGCVGLSVIQGAVKNK AGKIIVVDVNDGKEAWARKFGATDFVNPTKLNGKTIQEQLIDMTDGGCDYTFDCTGNV GVMRAALEACHKGWGESIIIGVAAAGQEISTRPFQLVTGRVWKGCAFGGIKGRSQLPG LVDDYLNGQLMVDEFITHRQPLSNINGAFEQMKEGDCVRCVVDMS ACHE_40040S MSTLTLPEPLSSIPRTPLLLGPSPIHPLPRISADLGGNATIYAK RDDLNSAYAYGGNKTRKLEYLLADALSQSADTLVSIGGVQSNHTRQVAAVAARSGLKA ALVQEKWVDWEDGGYESVGNIQLSRLMGADVRLEREEGFGIQHKGTVKGVIEDIQKNG GKPYYIPAGASDHPLGGLGFARWAFEVRQQEKEMGVEFGTVIVCAVTGSTFAGMIAGF KLIEKLEPELPKKKVIGIDGSATPAQTKEQVLRIARNTAKKIGLGEEDITEEDVILDE RYHAGTYGIPDKQTWEAIEYAARMEAFITDPVYEGKSFAGMVDMIRKGEIQGNVLYAH LGGQLALNAYSQLGATK ACHE_40041A MAPHANSDVANGAVNGSVSNAPLFTVNSPNVVYTDNEIKSQYAY HTTDISRTADNKLVATPKATNYNFKVDRKVGKLGVMLVGWGGNNGSTVTAGIIANRDG LVWETREGRQAANYYGSVVMSSTVKLGTDAKTGEEVNVPFHDMLPMAHPNDLVVGGWD ISSMNLADSMDRAQVLEPGLKQLVRKEMSTMKPLPSIYYPDFIASNQEDRADNVIEGD KACWAHVERIQSDIRNFKAQNGLDKVIVMWTANTERYADIVPGVNDTADNLLEAIKTG HLEVAPSTVFAVASILENVPFINGSPQNTFVPGALDLAEKRGAFIGGDDFKSGQTKMK SALVDFLINAGIKLTSIASYNHLGNNDGKNLSSQKQFRSKEISKSNVVDDMVAANKLL YAEDEHPDHTVVIKYMPSVGDNKRALDEYYAEIFMGGHQTISLFNICEDSLLASPLII DLVLITEIMTRIGWKTDEAAEYKGFHSILSVLSYMLKAPLTPPGTPVVNALGKQRSAL INIFRACVGLQPESDMTLEHKLF ACHE_40042S MAALDAGILPTIKCSNCGVGVDISAMGDHVCVNDRGPSPTPPAP PPKSDPTPPPGYSWEKPLPRIDPLVANRPFLQATGNNDEDASNSNQSPPYGGLQRSNT IPISSEPPSPEWGNGIPAFPLPRSMSSRRPGALANMQPLGSAPPISIPHSHYADMDQG PMSARPGPIERERSLPPPPPLPKDDDVVDDVADNVAPLPEFFHRRGDSVDSRSSYGTA SIKYDTGSKRSTMMSSRRPSFGSMARDPQAFLDDGPLSRSAPLETLTENPTHQEYGEE DTVYHNEQHDSSYSGFDFGISEKSNREAAIHRPSDSEQLDLSSFHSRSDSRSAQLYSQ RSPSQSSHSPAPSVDRFRDSREPFTGQYHGVHQPSGSNHYLHPNNLSIDEGNRRKNSD ASEGGSSVSNFARALGLDDAGHSTESSTVSSEFSPSESRSGTSLSSLPSEASLSRRKA SEASRLGPVVEENQHHYDQPSSVPRSLMLEEASQAGSPLEPPKIPHFLQPPDSPTDPA LSQGSLSLVSDQQSPRSENSVDSPSDEQSPVSPAKERPEAIALAPPRAPRSKGRCRGC GEAIFGKSVSSADGRLTGRYHRDCFACCYCRIPFQTADFYVLQNKPYCAQHYHELNGS LCSTCNSGIEGQYLETDERMGRGPSDRRKFHPDCLTCRTCNVNLKGEYFEWNGQVYCE RDARRAAASVPPPRFRRPTMPSSPLSRPPDPYGPPGPMGPGPMGRPPFPPGPGRRLPA PMGPAMGPDLLGPPGAFGPAYGPAYGPPPGAKRFPERRTTRLMMI ACHE_40043A MIFDMEEQHQKNPPSQEKPSPDSTTTDAPQIIAHRGFKRKYPEN SLSAFKGAVEAESNAIELDLALSKDGVVVISHDASLKRCFGVKKQVANCDYEYLKTVT MIQEPHEPVPRLYELLQYLAQPEAAHIWAFLDVKLTNDPVAIIPQIAKTIASVPPAEN KPWHTRLILGCWSSAFIPQCIAHLPEFPLSLVCFDLHAARRFFPLPNLVAYNVNQQVL MGPLGRGFLEDAHKAEKKVFVWTPNDESAIRWCIRKRVDGVVTDDPELCRQLVGTTDP SAASDAITFSQKTEILTISLLVTLFGWLFRLKFLPKVKVDVPPKAKPPSVH ACHE_40044S MSVRKWVTTLKGLDTLHLQEDIIPTPGKGEVLVEIRAVSLNYRD TEVCNGEYTHHKSIGQDDSIVPCSDSCGVVIQVGDGVTTLKTGDRVLSPFLPDHLTGQ VTEKELASGLGFPLDGVLASHRVFSACGLVKAPEYLSNVEAATLPIAPVTAWMSINGM RPMGQDGGAGEYVLLQGTGGVSIAGLQIAKAAGAKVIITSSSDEKLAQAKALGADYTI NYRTNPDWEKIVMEMTNNHGADIVLETGGAKTLKKSFDCIAFGGLIDCIGYLSGKVDE PDDRTNVNVLALRRNVTLKGIINGPKDRFEEMIGFYEKHQIRPVVNRVFPFDQAKEAF NFLYSGSHFGKVVITV ACHE_40045A MFLPTILLSSISILNQITTTMATNTDTKQLSPLPKPTRFITSHN SDAKSVVHTEDAATWTNLGDEMGFFVPYTTSQFPVDMNNETDIAQNREVTSSGKLGLV NPGGTVCRYVDFAPGNKPVMHQTVSLDYGIVLEGEVEMILDSGEKKLLKRGDVAIQRG TMHAWKNPSQTEWARLLFVLQASENVVINGKALREDIPSDANIQPSQ ACHE_40046A MLGKKFGPARVLPILMFTFGSFTLLSAAAYNFGGLFAIRWFLGM AESAFLPLVIYYLTTFYRRGELARRLAIFYAASNIANAFSGLIAFGVFQIKNSNIPNW RYLFIIEGGVTVLLAIFAYWYLPRSAAEAKFLSEEEKALAFHRIQVDSSTVVNEPFRF RDAIRIFLHPSTYVFLCLEICTGVPIQAVNLFLPQIVQRLGFSTVKTNLYTVAPNVTG AVMCVILAFASDFTRLRSPFIALAYLLTFSGFMIYASIDNVHTQLHLAYFATFMMTWG TSAPSVLLSTWYNNNIAHEGRRVLLTSIGVPLANLMGLVSSNVFRSEDKPKYLPALIT VGVFGATGAILAGLLGGYMWMDNVRRDRRDGVKARAIDVPTERLRDGPAAAEFRWFL ACHE_40047A MRLPSLSAGLLAVSSLWTAVSATPGHGVQLTGKHKITPKVFIVS MFAPEAEAWWDIPEFNLLAHNITVPGLSPLFPDVHCTADYSVCQLVTGEGEINAAVTL SSLLFSPIFDLTHTYFFVAGIAGVNPKVTTINSATFARYAVQVALQYEIDLRELPDNW TTSYFPQGAQKPFEYPTSIYGTEVFELNADLRSIAASFARRANLSDSTAAQEYRAKYT TDDEVYAAGTKAPSVVECDVTTTDVYFSGSLLSDAFDKTTSVLTNGTGVYCSTAQEDN ATLEALLRAAKHNLTDFSRIIVMRTGSDFERGYEGQSALDNLLYADQGAFEPAVQNLY NAGVQVVQGILRGWDTTFAAGVKPSNYIGDIFGTLGGKPDFGPGSEQAKEDAGALTKR GMGLAKRSRSVRR ACHE_40048S MPSIHERIRDDLLVRERALWTALTSADPAPAIEKLSSPEANLIF PQMPIVTLDGSDPSLQDLVQPPFHRFDSFSLGDARTIILDLMAGVITYKITATKGNEV YNATGSSTWSQGSDGEWKLACHQETLL ACHE_40049S MTSASLSQGPAWGQRWRSSTAFIVATMAMALFTDSFLYTFVVPI LPYMIETRIGLDPEYTQRVSFALLSQSAFVSVIASPIIGHYADKSSTKRVWLLSSLVV CLVGTFALAVATSAVVVFIGRLVQALASSVMWVIGFSTIADFVKQEHLGKVYGIISVA VAVGTSVGPMLSGILFDFGGYWVAWSSAFIVIVLDILLRLLMLERPKDKGKKPATTDV DHLPDPENAPLLQPQPPEVIPIQLRMRERTGLRFYITLFQHRKFVAGAVSYLVFAILT ASFDTTLPLHVRDVFGWGSTQTGLLFVALQSPGIPLSPIVGWIKDRLGTRHPITVGFL VLAPLTWLLGVPGDERFPWANEGDRGHIIYSVTVALIGVTICALNGAGTMEATMAVDE IESKQPGIFGPNGGYSRALSISSMGWTLGSFIGPLLSGVLVEEGGYYAMCCVLALICL ASSINAYVNLSATWDPGDEVQEQS ACHE_40050A MANFRFLAALATGYLASLALAAPTPTVNHVKRASVSDVAHGYAS LNGGTTGGVGGTTTTVSSYAEFSSAVSGDDAKIIYVDGTIKETADQVKVGSNTSIIGK DSSAMLEGFGIMVKEASNVIIRNLGVKKVLADNGDALAVQKSTNVWIDHCDVSSDRDH DKDYYDGLVDLTHAADYVTVSNTFIHDHWKASLVGHSDSNGDEDKGHLRITFNNNYWY NLNSRGPSFRFGTGHLYNNYFEDVSDGINTRQGAQLLVESNQWVNPKKPLYSTDDGYA VAKDNDFGDGENAAEEGTLTSVPYDYDLVGPGKVRDAVYGTAGQTLSF ACHE_40051A MLLLRPLPILSLAILAIFALAIAGLFFYRRADHDESHCASCIGY ALKVNSMISDAGDNVRGNTQFFRYAVDKACAGRLLDGGRCLEYRRGFLRNKTRFAYRI EDPYAACRAISAC ACHE_40052S MTDCTTPPLASNIFSLVRNPDQPTLDNPNSNPPIEDFQTGFEGY SDTDLRQYAKQRTTDLRCQRNHSLVAEWIAVLDQRSVAEGNVVMHHYMEKSAWEGMLQ DAEEEVFIPGQADVNEAKDSIWWMWRVPFSSAFNLWNSVESLEWEGLELYSRFEYLGE DGVVQTHIPDQIVSGGIVDPKNMI ACHE_40053A MTAEDTPISPVQAPNSTSYSKHIVLTTYPGQSGIDPVPLTWGAA DAKSRGPVVVSRSGPLLKRRNAMGAHGGSYSIYNALAIAAGDLPPDFRPDFRNSEPTF NFPWQPAWADKTKIVSMDPYGHDIVNQFREELDAGWDIRPTMAITRANMKLAEIEEAV RKGDLEVDGNIVVDESGEVRVTKVAVEPVWYLPGVAERFGVDEGTLRRTLFEHTGGSY PELITRPDLKIFLPPIGGQTVYIFGPPERVSDENVKLALRIHDECNGSDVFQSDICTC RPYLAFGIREAIREAQNGGSGVVIYFRKEGRALGEVIKYLVYNARKRGGDTADKYFTR TENIAGVRDMRFQALMPDILHWLGIKKIDRMLSMSNMKHDAIVQTGIKILERIPIPED MIPSDSRVEIDAKINAGYFTTGKQYTMEDLANVRGRGWEKWEDVTH ACHE_40054A MSAEQPTEAPPAGGSLADRVSKPEGSEPAAPAEKIDWSESVSPN DGASELQNGSNLQEPEYNVEVKLSDLQDDPNNPLYSVKNFSDLGLDENILKGLSAMNF RKPSKIQERALPLLLSNPPKNLVGQSQSGTGKTAAFVLNILSRLDLSSEQMQKTPQAL ILAPTRELARQIVGVVQVMGQFLTGLLIGTAVPADSNSRPTKLEASVVVGTPGTVMDM IKRRTMVAARLKVLVLDEADNMLDQQGLGDQCIRVKGLLPRDVQVVLFSATFPAHVHR YASKFAPSANEITLQHEELTVEGIKQLYIDCSNDEDKYQTLVNLYGLLTVGSSIIFVK TRVYASEIEKRMIQEGHSVASLTGGIEGSQRDAVIDEFRSGKAKVLITTNVLARGIDV STVSMVINYDIPEIHQPGKQEKQADYQTYLHRIGRTGRFGRVGVSISFVSNRDEWNML MQIGKYFNVDIQRLDTTDWDEVEETIKKTIRNPRSNATFGK ACHE_40055S MATLDAAPQFGAELKDSFKPVNNWVTNGISWLDEIQQFYRERST IEKEYAAKLNALCKKYSDRKAKKISQLSVGDTPTMTPGSLEAASLTTWGTQLTAVESH AGERDKFANDLLIQVAEPLKHAAVQYDEVRKCHVDFHAKLEKERDASYSDLKKVKGKY DGACQEVENKRKKMESSFDHGKQKSQAAYQQQILEMNNVKNTYLIHINITNKMKEKFY HEYVPEMIDGLQDLNETRVAKLNSLWSLAAELENSYMAKSMDHMLNLLNEIPRNAPHL DSHMFLRHNVTQTQEPPNLTFEASPIWHDDELIVTDEAAKVFLRNLLSKSKTQVRELR VEADKHKREVDSSRRNRERARQGQEGVSEVDVVRTLFHQQEAFHEIDRKRVAAEVETS TIMAVVGDLSVGAQNHNFKSQTFKIPTNCDLCGERIWGLSAKGFDCRDCGYTCHSKCE MKVPAECPGEQSKEEKKRLKAERQEQATSMPPLDLEASTTSSAAPSLTRKDTMNSLSS GYATNVNRSVSNVTSQPASGAETPISPVPPVESKPAPVRRVLAPPPAAYISPPPEPAP KPSEPRGRMLYPYQAQGEDEVSVQEGDSVVIVEPDDGSGWLNVRSGTQTGLVPASYVE AAPAPAQSPVPSVTDRRNSVYSNSSAAGHAPGKKIGPAVAPRRGAKKLQYVEAMYDYT ARSEMEWDMAEGDRFVLVNRDGGDGWADVERGGVTKSVPANYIQEV ACHE_40056A MLINGHKYSCEACIRGHRVTTCKHHDRPLTKINRKGRPFSTCGI CHRTPCAVPDEHARLRREVESKSHHHKKPNGRYARPFQGFLPIAPRPMGVKSGLSIAM QTQSAPVSVAGRSPEGRSLDVHAEPESKFTIGTSGSQTMPTTISGVDIPSASVPSLSG SLPSTSSIASSASSSASSSDLLPMPPLDLPLDLELELPLPILESNWDNLDTGGKNTDN GLGMWPLDQSGFCGLDDMSAFPGQNTQFWVGEL ACHE_40057A MRSLTWLTALTTALGVAASTTESSAYSDSATGIDFQRWCDEKSG MCFGMALPETVKSDFIGQMIVPLSDSKGWGGVSLSPSMTESLLIAAWPNGDSGVGTLR QATSYTNPDVYQGASIAEIPDGVSINSTHLTYTFLCKGCIVGSSTSFKASADTSFLGW ALSKTNPTTPSSPSSVLSYHAAGFGTFEVLLSKAKSAKYSTWAAKAKSTTTPSGSATP SSSALASQTPTPSVAPTVSNATYDYIVIGGGAAGIVAAERLAETKKKVLLIERGAASI ASMGADNILSWNSSLTPYDVPALGSSLSELGLVSDYLCPDTAGMAGCVLGGGTIINAM SFIHPPSRDFDDKWPEGWKWNDISAAAKRVYERNAGSMLPSADGKRYDQSLFKVLSSF LNRLGWKYVNQHENPDERHQMYSYPSWSVADGIRAGPVRSYLPLAQEMDNFNLRLLTK VRRLVRRGGRVTGVEVEKENGDIEIIHVRAGGKVVLAAGSMSTPRILFNSGIGPDEQL KNVQSGSTGVTLPPSGEWINLPVGQNLKDHPMFTIKVDTRANFTTFNTSSVIPGPSAI VQRLYEQASGVLTQGGHRLQFWTSLEGTDGITRYFQASCSAAENGVVTMKLYLTHGAT SSGVLGINGQGSTTIETEPYLQTEEDKEATTRFLQGLVKDLKNSPMGFSIQDFSDIET LLAQKTAGDHYLGTAKMGTDDGRKNGSSVVDTSAKVYGTENLYIVDASIHPDLPTGNT QAIVMIAAEAAIEKIVSGSELGQGISGAAPSSVVLSASAQHTVIAAATSTVDAVTTSI VDETQTTTKTESAAGPSDVAATGVPAPSAPSDAAPAPSDVPAPTVPSENGAAPSHSAA PSDNGSYNAATVPVPMRTPTPSDASAEGQNEQPSHIVSTIYMTATPTATHTNIVTLTH SSVSTVTVTAGQGHAQGQGQVQGQPECTCEVH ACHE_40058A MKGSTIATSLVLGASSVLAAPSHNTIKARDDVTPITTKGNAFFK GNDRFYIRGVDYQPGGSGDLADPIADADGCKRDIEKFKSLGLNTIRVYSVDNSKDHDE CMNALADAGIYLVLDVNTPKYSLNRKDPKQSYNDVYLQYIFATVEMFAQYKNTLAFFS GNEVINDGPSSKAAPYVKAVTRDIRQYLRERNLRTVPVGYSAADIDTNRLQMAQYMNC GTDDERSDFFAFNDYSWCDPSSFTTSGWDQKVKMFDGYGLPLFLSEYGCNTNTRKFEE VEALYSTKMTGVYSGGLVYEYSQEPSNYGLVKVDGDSVNELKDFDALKTAFEKTSNPE GDGGFNKTGGSNGCPKQQKPAWDVDNDDLPAIPEPAKKYFKDGAGKGDGFSGSGSQSK GTSSTGTAEPGSASVSGSDYSSSGSGGSSSSSPSGSAGAAAGLKVPSLTMAPVVVGMV TIMSTLFGASLIMV ACHE_40059A MEAWANIVKSYSPQSIEFIGTLVVQLLTFWLPSLYYVSLDALFP SFSQRHKIQPAPKQPTGKDIRHCVAVVAKNQLLSTTLHFLLITAKTNAGLPPSYRIET TLPTLFELTRDFIISLLLREALFYYSHRFLHRPYFYVRIHKQHHRFTAPIALAAQYAH PVEQMFANILPIVLPPQILKSHILTFWAFLGYELFGTATVHSGYDFLRGQARMHDLHH EKFNLNYGSMGVLDWVHGTDRLRKRE ACHE_40060S MIPLLHALAWALLAILVHGDREYSKLFIKGPAFSLYPDPTFTVV SPELGRSGAWMSSNYTADGSGRFPGLEWKSPSPYVKEYLLVSEDPDAPLSHPIVHGLY YGIPRVFTGLGDEDFQYGTEPYTVSGGFKYGVCRGGGVYLPPSPMAGHGPHRYFFEVI ALNERIDTGKLKSPVTLDKISHAIEGKVIGWGMWIGLVERK ACHE_40061S MTRLSFVLLSLLASSIAASPTEYQHRDDLLNTHNDYDHDHSPIH NALHRLSARFRDGIFPSDTDAVSALQHEDESLGKRLLDLVKRQDVGDISSLLPSSDQA SSTEASSADQPSSTAASTSDQLSSSAGSTGSSSAESTTESSTAESSTANSSSAGSSTT DSSSTGSSSASETESSTPASTSASSTGASSTAGSSTGGSSTNPSTTMSTSASPSSTSA STSSPSTSTSSSPASTTSTTSTTSTASSSSSTETTTQHTTPYTSTYQSTTTMANGERS TVTMFTVVHPTASSTSSAKPGLQTDTSGAGTTNLKREFLAIVGGAAVVAMAL ACHE_40062A MNSPPPPHSDTTTCTSTTPCIRSTTLPLVHTHPDTVKKMASPST TQRLLRELKDYTKSPNEALLHLGPIDEDDLLHWEAVLKGVKGTPYEGGLWSLTITIPP NYPLTPPTIRFRTRISHPNISFTTGEICLTLLTTEHWSPVYTLSTTLTAIQQLLTDPR PESPLNVDVAALLRDGDLVGWESVVRFWTEEERWGGQG ACHE_40063S MRTSRTSQETSKILQALSPRRTRGSANLNLNLQSFAYDNPPEVK DEDVSSLSSVNTVDIEDILEPPAKRRKAASASAAPVRTKKTTETTAPPTKRARRTPAR KIKAENGSPTVQPPSDWETIYNTVKRMRERNPTAPVDTMGCAELYWRASSAKDQRFHI LVALMLSSQTKDTVTAVAMQRLHTELGDPGVKEEDGVKKEDDAVKQEGKEEEIKTEDD VKKENDIRQEDVKKEDEKAQPSALTLKNILAVSPQRLNELIRTVGFHNNKTKYIKAAA QILHDKYNSDIPSTAPELMSLPGVGPKMAYLCMSAAWGKHDGIGVDVHVHRITNLWGW HKTKNPEETRAALESWLPRDKWHEINKLLVGLGQTVCLPVGRRCGECDLAGTKLCKSE VRGK ACHE_40064S MSPKRAKTEKQSPREDKMDLDEDKFEPLSPTKDRMDTSPTNTPS SSRLTFFDLPEQIRTRIYFLSGLSRPCTTRIDTSWPKNYSSRNNFCELEREDLRVSRQ GGYYTAATTNRFCTHPNFPMALLMVSRQVREEAARVFLRNRFAMLLAYRSDLDYFQVS LGWAFSRLRALHIELRPGDGRFLKMRGGMHTTAWNTWAAFCAQVKESMSALDVFSLKC KVRIPEVAERLFDHMQGFPKLLSCGIHLDPSPHDYLQVKVRHFCWKMTGRLDPPSFPF ARLPKEMQLLILEFLLTNRPDPYSPRAGNSLSEGFRCLESVVTFQNRRYLRAHDVRPM MCCGTCSPSQAMCFCFSRQCAFSTTCSCFSTPLPYFLVSREFYKMARHIFYSKNVFAF VEEDPEDMLRITHSIPTSTFMQIRRLAFKFPYHHRMPYRLNAQRIEETAFLSWSVLRR FIREHFDLPKLSISIIDVGGADTFSRTRMISSRNRYLRKLLLSFADLKGLRDFWVFLA DDPGFEEEAKAVVLGKKEADDSGVYEEDTFLYREEL ACHE_40065S MPPTHIPIPLFANTQLSLLHTEHQAEISSSSLASTAASVSPSTR RTLQATGYALTGLILSQTKTGLGGRVVGEFAADPATATKGKDKDEGKSGEPGLGAHGI RVGDVVRVNDIGSGAKKAGKEKEKEKGKDSGKGLEGVVTRVAERAVWIAFGQRGGNTG SRSREDDEAIEELWGKKLWLIKLANDVTFRRMNQTMEKMAKMTENEHTHFMRVAFGYT TPLQVDYEGVGSVEFTDPTLNDSQKEAIRFALASKDVVLIHGPPGTGKTHTLIELILQ MVQRNQRVLVCGPSNISVDNIVERLAPKKVPVVRIGHPARLLPSVLEHSLEVLTHTSE AAGIVKDVRAEINDKQASIRKTRTGRERRAIYDDLKELRREFRERESKCVDNLVRGSS VVLSTLHGAGGHQLRNSKFDVVIIDEASQALEAQCWIPLLSASKVVLAGDHLQLPPTV KSTVQKSKDSKAQKNGNNDANLSPEEKEIAKSISLERTMFDRLLSLHGPGIKKMLTTQ YRMHEKIMRFPSDELYESKLMAGEAVKARLLKDLPYEISETDDTKEPVVFWDTQGGDF PEKTEDDDIGKKEALLGESKSNEMEAMVVAKHVSNLVDAGVRPEDIAVITPYNGQLAV LSQMLRERYPGLELGSVDGFQGREKEAVVVSLVRSNDEHEVGFLGERRRLNVAMTRPR RHLCICGDSETISRGSSFLKRWMAFLEEHADLRYPDAGELV ACHE_40066S MKLFESLKLLPLLVAAQATAFPIIKIAAPSLTDSSDSDSHNNTE TTSHSPSSQTTAYSNNWSGTVLSQPPSGDEFTHVSTMFTIPKVSSDGLDSYQSASVWV GIDGATVSDAILQTGVDVGIRDGSPLYTAWYQWYPEASVTWPDFELQAGDVVVTTVNA TSKTSGICIVENKSTGQKATKTLESPNPSSTLSGQNAEWIVEDFLSDGKPVPLVDFGE ITFEESRAETKKGKSVGVSGATPYVMVIDGEQVSEVDIVNDSQFKVKHT ACHE_40067S MDSKDQSNQPSHTENASTSSSQEKPQFGRLVGGKYAQEVNDDES FPEYGSLQQVDVDIPLTFTETTNHNGRQIIILDFRHGDPENPFNWSGKKKAFLSSLLC LMTLFIGLATTAYSSGISRMCEEFGVSEELGQLGLFFFNFTCALAPMFLAPFCELVGR KIVYVGGYVCFAIMFIGLSLGKNIATILVCRALLGLFGCIGTILVGGTFGDMYTPENR AIPMASFSYIAILGTVGAPIYAGFIDQALGWRWVEGIQGLANIPLGIAIIVFFRETRG SVALNKRAKALRKATGDDRYITRTELEAPGVKEMLHNSSVKAVKMLFTEPVVFFFGLW IAFAWFLTFLFLSVIPITFQEKHGWGEGAAGLPYISLCIGTTIGFGMNFFQIRKYNSL RVANNGRVQPEARLYGALFGAIWLPIGLFIYSFTQYAYLVWVGPIISLALITIGIFFI FESCYSFTSDCYGENSSSAIAGQGLMRNTLGAVSPLFASQFFHNVGSQYAGLILALIA TVLTFLPFVLFKFGPQIRARSKLASSTTRAMGVD ACHE_40068S MGSISTNKPHVPKPGVWCPAVTFFDPSTDEIDFASQSKYFTYLS TTGLAGLVILGTNSEAFLLTREERSRVISTARQAVGSDFPLMAGVGAHSTKQVLELVR DAKEAGADYALVLPPAYFGKATDMNVVKRFFSDVARESPLPVVVYNFPGVCNGVDLDS ETITAIVQESKKSRPDGVSNVVGVKLTCASVGKITRLAATFPKEEFAVYGGQSDFLIG GLSVGSAGCIAAFANAFPKTASRVYDLYTTGKIDQAIELQRKAALAESPVKSGIASTK YAAAVFTAPLAGIEGAEKKLKPRTPYGEPAEGVKKSVKEGMGGLLEVEQSL ACHE_40069S MRLGLLALPFLAATVQAADYSGPLRPQVQFSPPKGFMNDPNGLF YDAKRSIYHYYYQYNPSEPVVGNQHWGHATSPDLYHWTNHPPALEPDNEDTFIFSGSA IIDSENTSGFFPDQDDGVVAIYTAHSPTKETQHIAYSTDGGYTFTKYANNPVIDSHTD DFRDPKVIWHAESQKWVMVIAWAHARKIGIFTSPNLKDWTPTSNYTQEGLPGVEFECP NLVTFPIDDADSGETTKDVLAISVNPGAPLGGSGTFYIVGQFNGTHFNSEVKEETLFD FARDNYAAQWFYGLPEGSKPVSIGWASNWDYTNEVPSGDREGWRSSTTLPREQTLIKV DGAWRVAQAPFQGLSPVKGDELEKKTFSEGDVSVDFSSVESNAVYFDVAIKHLPSANV TGELSFNFTSSASGEYLDGAVELNSGSFWINRAGTHLFTTADNGNFAGQFGTSISVPE SGQFTFSGVIDRSVFEVFLEEGKQSGTMSFFPTKPLDKVTFAATGLGEHVTVDVGVWG LKSGWSS ACHE_40070S MSFAGMENDFQLFSPVQSSDRKSSHTDAIPPSTDDSQDWTQWMR WDDQVFSDPKENAPFDASLTSPNTSLGANFSQHEFSPDIAQGLPSLPFDASGRDRSGM LPSDGIQQQSQPSLTGSPDSLGTTRKRKTSSDDDGSTVSGVPQNGGKKMPTKKRAHNV IEKRYRANLNDKIAELRDSVPSLRASSKGTNGTLLDDEDADGVTPASKLNKASILSKA TEYIKHLEIRNRRLEDENTALKNRLRQVDKAAEQTVTSAASVSSPSNYTESGASSSPS VFSHTEDAPSDHSPSSLYPPEGLLQVPDSFKKMQASSKDNAWSQSYIQYPSNGNRTTQ TGGGGRRRSNFPNKYMLGALAGLMVLEGLGTEEDTESNEKGLLAVPVHLLKYLPQINS PSLAYWNAVVRSYWASWHARAIIHFGILASLVVGSAFVVFVYLFNAEPKHSDTSSKVP VSSGSSLSPYNFRRQAWLTSIQQVGVPRHRFFREWYVVTSRCTEYVLRCLMGWKLYSR ITGITEEDEKGRVKTWDIAIDAQLAGGDAEISKSRLVLTIFAAGTLPRSPMRMMLKAL HCRILLWRVGDPGSWSFYISNDVARALARYQWDLARQMHRALPKDHPDALPSHLAALL TIDSEDVMIDGIVQRAANLTWNRPTQEASDDHEALLDIVEEDPAIQSSLDALAAWWSC HLLQRALLKYFEASSGGPDSKQSRDLFKSKINLALNVAPQPSAAHTRALVMKAVFFEQ DRVKNIGAVLAALPSDNGKNKKSHNFNFLDSSLPVPVREEICIAVRCAMIAAIFSART TGDTSLPASFTMQKAINWFNQLPLDPVELTLLGFASVYHLLHVLVSDTDYLSSSDSSA SSSPVPNASAASSADDEADEEAPQPVRDRERMAPSTSFPNLGRVAAELMYWARNAYNP AFYGFTSDLVDIVEKECTSLCQSAGVDIADYSRLREHKLKTKRRKNKKRRKSVKYSTE DGVGNEKQEAPAAVPSRAEGSKPSSSDGTKGHDQKGLGKACAEAPILSAS ACHE_40071S MGVELAGSGNGEPPMNPALATPLYVLAGVVGVFFVCRMEILIRH RRRLRGILRNEDQSKYTKISEFQAKFKRHVLYAPLFSTRHNRELQLMGRLHMGTIPLR FEAGVLLGYIVLNLVFFFCLIDWPADFQEKMFQFKYAAGHLAVMNSPALVLTAGRNNP LIPLLGISFDAFNLLHRWIGRIMAVEAVVHMACVVAGKARLMSMDEITHMMWNEPFFI YGLVALIGFVLIFFQAMSALRHAWYETFLHLHILLAVMSFVALWYHLKNLLQQRVLLG TVILWGLERAARAACLLWRNCGKQFTTATVEVLPANVARVDVAMARTWKFKAGQYLYL YIPSLGLWTSHPFSVAWTSTDRADVNEKRSSGDSLNTLIGGPQRHKMSFLIKGRDGFT KKLLKKARRTTGGSLKVTALAEGPFGGLHPLSSYGTVLLIAGGIGITHPVSYLHEFVN VFFTPKHSAIRQVSLIWVVRSIDHLTWIQSWMPTLMSHPSLQTSTIALSIHIYITAPQ STTDEYVTSSNPWAHHAPPNVPVTINWGKPDFVYILENAKICQVGAMAVSVCGPGAMG DDVRRAVRERQGGTVVDLYEESFSW ACHE_40072S MWLTPFLLPLAAVASALPSASKSSQPTPSITLGYTTVIPTAGSY SLGYYKYQNIRFAAVPTGDLRFAKPQWPPVEEAINDGTLADADVDCASTEDCLYLDIW APANAHGKKLPVMVWSYGGGFTSGSKSDNTPEGLFALNKEFIFVAYNYRLGITGNANG PRLPHEGGTSNVALYDAQHAFQWVRKYIGAFGGDPNRITAVGFSAGASQTIFQMTRFA GRAEQLYNQAYIMSPGYVPGAGHHQAEMFWRNVSTAVGCDGGHLDCMRGVWFEELGSA ASDVVGKYDYQLQPRVDGDFVGDTYEAQLYRNCFNFTGPLVITHEKHENNSQAWPGVS TTADIPKELRRFFPSITDDVVGRLLELYPESDYSSPGLRFADMKQSFDMTAHNYALTR ALGNQTWNGMVDLGKATHGTDQSYYWYSTYSLSGNGTTSGFGSSMPIDTSVARKMQKY LLSFVHTGNPNTMWPNDKIYWPKYGSNATQIVFNSTIHLEKDNLANAKSEHWNEALWY ACHE_40073A MAKLRVLHIGDNIKYNHDVYQRFASEFELIQPTTAERQRDEFIR ALKERRWGDFDAVFRPFWNTGGEMGRWDAELIPLLPKSVKVMASAGAGYDWADVDIFA KHGIVYCNGAAASSESVADMTLFLILAVFRNFAWSHQAAHSVNPARFLDAHQNSPLTA YNPRGRSLGIIGMGQIGYMIAQKAHAAFGMKIQYNDLFRKSPQQEKTVQATFFKELDD LLANSDCVVVATPFAGQKLITTERLQNFKKGSRFINIARGSLVDEEALLQALDSGHLS GAGLDVHDNEPNVHPRLANHPKVMMMSHNAGGTVDTHVGFERLAMENIEGYLLKGKAL TPVNLHMLPKGPTSKL ACHE_40074S MHILPLVSVTFSLCGQLLAAPMSNTTSNGCDISNETIQQLRAQL IPLEFEPLESDEEHGDAISISKVNCDSRPETAPSESSVFCLRASSADDALRYSNYGTK IAPFISHIRNKAVRCDVVEYMSDMDMDSEDIKLRCSCVDSPPSAMERPWVPKLLIFAV FWVTGCTIRKVWVERRARGASKQAVSRPKMSMSEKASVVSVEDVEDEFDDSDYPMG ACHE_40075A MTATHEPANPSIARGLYISHFLSTWNSRVFEFGSVLYLASIFPN TLLPMSVYALARGASAIVFSQAVGWYIDTRDRLRVVRASIVIQRLAVAASCVVFRLLA TGRMLSDLELWLLGVLSLFACVEKLCDIMNTISVERDWVVVVAMDGAEALEVLNAEMR RIDLICKLVGPFVIAMIDGFSTEIAIVVNFAMNILSIFVEYYAIERVYQMVPSLQAPK QPPAPAIDEQTSITNGSRVDRWSTKEFLLGTLRGSTRYFQHQVFLPSFALAILNFTVL SFSGRMVAYLLSVGYNSYHVAIARSASVAVEISATWIGPLLMAKIGVLRAGMWLLSWQ TVALAGAAGTFLVVKPETLAATALVAGTVLSRVGMWGFSLSAQILVQEEVEPENRGAF SSTEASWHNTFELLSYATTIFFSRPEQFQWPVLMSFIAVFTSCNLFAAFVKVRAKCFG GKWDERVEFGYETLP ACHE_40076S MTITGTWHKALEAEILQRSSQIVSVVDGNAYIFGGELRPREPRD NDVHVFSFGGDATLSTKQASQSPSARVGAASATLHGKIYIFSGRDGAEAAPLNEAGSL WEFDPATGSWTVVSPSDSTSRYPASRSYHCMTSDGIDKIYLHAGCLEQGRGGDLWAFS LSTKEWTELSTAPGSPRGGTSITFANGKLYRMNGFNGKTEEGGVLDIYSPEKNTWSSH EFAPDGKSGPTPRSVAALLPVSVSGKTYLATLFGERDPSSLGHQGAGKMLSDVWVFDI ESKMWEEVDVKGGVPDARGWFDADVLGEDTVVVQGGLGEDNERLRDVWILKLNP ACHE_40077A MPRKDFQRDLRQAANAQFPYLSNIKAGDNDGSIAFRFFHRAESI KIEFQAVVSDSSDYPRLHSYFVFTTSDDVPKEITAAVEGVQPLLEGVSIARLLATVSQ VVQSAIYGEDSLSDSLEKIGSDDEVEYDYEDEEWEATSDGHVLASMKPAAEARSMLRR DLRVVKNAGFKLGYLGIATGSVIIVVSCRVSKLGISEDAMQAWDVKPSEYLVLLLRYP SAYRNLEELLRNVGNRMPLLQMYVGLCTSYKPSHEDAVRVFQGSTAEQQQSNGPAESG APGGASGPGIRRLFIDRAINSLLNERLLTIISYRLKLGLSWTGAELYAHQFQGKPSNF EDQLSDEYFEPDSWSSGTPDLLMADHVVDSNLGKSQLSLPVLAMQFTLRHFVKCTEFC LVCHCRVSTEFEALKPFVCSNNLCLYQYMALGMGPSLEYEILSQPYVVDLLISLTYCR AKSGRLEDFPTGLGMKVPGGLGWTIKANLERNYYNPSNQQPALRPKPTATFYTAELNS ASLELRVDDNERPPLKVGDWIAIMGFESDKDTNANNANANDSNLYLYNYMDMPPSGKG QWHSRVQLIDDRIIHISSPINYSDLVAGPKKGESQKGKKDTVRTVKFVIYDQNFDKLD DNEKRGVIPLILNTLPSLEAMTQYLNMASDGGSLALWKDLISPAALDILRWIVASNRS FIMLDGDDNPEHLVPGMEGYVQFRLVQGAPDKEQKFESAVRKHTANPKHPSIFTWHGS PLCNWHSILREGLNFKYTANGRSCGHGVYMARDFASSTGYSQQYGYTAYNDWPQSKLR ISMAISLNEVVNATTNFVHASNVYVVSQVDWIQPRYLFVKCENAPPTSKAPAASLVYK QDPALTVVGPTGHNLALPTSKLGGRGQQLRQMSKVDQTPKVQPVKETPASKQAKNPPA KRAAPKSTAKKPKRRRTKNNEPEPEPESEPRPGPGPEIPTIDLTAKDDDTASVATLYE DLEILLSDAEDEEPVDVIPKTDFVPGTLDESTLPILSHPKHASSSATKALQQHLKVTL ETQNREYPGALGWYVDPKLINTVYQWIVELHSFDPDLPLAQDLKKANLKSIVLELRFP PKFPHAPPFVRVIRPRFLPFQNGGGGHVTAGGAMCMELLTNTGWLPTATIESVLLQVR MALCSQDPAPARLLNGGIGDYTVGEAVQAYKRACQTHGWGVPDNLNKVSWS ACHE_40078S MSQPLLSRYRQLAPTASVRVSPLCLGAMTFGTANSERYGQCSKE SAFEILDYFYNQGGNFIDTANTYREEQSEIWLGEWLASRKNRDEMVIATKYTTGYQNH HKGKIQANYGGNGTKSMRVSIEASLRKLQTDYIDLFYVHWWDYTVSIPEVMHSLNDLI VSGKVLYLGISDAPAWVVSKANQYARDHGLRQFVVYQGMWNAAMRDFERDIIPMCRDE GMGLCPYGVLNQGRFQTEEGFKEREKKNDGRNFIPLSQHDRNVSRVLEDVSKAKGVEL LQVALAYVFQKTPYVFPIVGARKVDHIRGVVPASGISLSEEEVQKIESAYEFDPGFPH TFLSGTLFSDGPPKGGYGPGDVWLTKALGTFDWVEPSKPITSQK ACHE_40079A MQRSWTTGSHTGKGAQNNGQILERKDHSDEVTEYSQPDFSCEDG LVKLQFGKRKESYSVPTCLVKKVQELATRLKPMADPIIWLEEIDEDIGHTIVHYLYTG EYQTLRQSGTAHKRQTEYKRSVLVYCAAANLEIPHLQRIAAGHMERFANSVDIYQTLN LVKTLFSSVPWWDGGLYFHIIKRLRNAFRADATIFERREFLGCLGGDLELDKLMVQIM VSLWEPSKSKPWNHIQSVPENSLREGFPAMERCFELCSISRAPTPIGSEPYVKPDPVG LSPVLGVDYFGQPSTGGEILKRRGSFSENPRKRIRNKFSGNL ACHE_40080A MLGLALLSILLVMYILFTRKRIQLPPGPQSVPFIGNLHQVPPSR PWLGFEKWHKVYGPIISFKLGPQTFISLGSHQIAHNLLDKRSAIYSSRPRSIIAGECI TKGLGIVFMPYGRQWRTQHRIQAEFLNPTLSQRYCALQDVETRQVVYDLLSSNDFSES FHRMSSSLIFSLAYGKRMPRGDEHEVREIDELMTNLAEKQAPGKWMVDIFPVLNYLPR FLASWKGLGDEYHHREATLFGDNMKKAESARSWNWSKDMKRIKEAKGLSDAELAYIIG VLYEAGSDTTAGTLDYFVLACVLYPNAVCKAQQEIDDVVGAVRLPTFDDIPNLPYVNA FLMEVMRWRPLAPLGIPHAVTQDDEYMGYHIPKGATILPNHWALSLDEEVFENPTSFK PERWIENPKLPLDGFGFGRRVCTGRHIAMNSMQMIVTRVLWAYDIGYAYENGKKVEVD PYGMVDAAVSKPVSFKADFQIRSPTRREIVEETWKGTEKDLDVIMDGVGPSRKNS ACHE_40081A MAIGLQPKSTTPTAKQSHVHPHISQSYDPDKGRQLHATAPVKAG DVLVVDPPYAAIPVVDDPAHSDEVLCSNGDCRRKIPRVGRIGCSNRCAVEVVWCDVGC RDADRIRHGLECEWLRGYAPSLREDEGEYYFGVLWLIVRVLAARYEEKKQGSSMSMSK EKFSSGWTAMTELCDNRKLWPEADLLHWQSLVEKYICADPSLRNILLSPDSILTLICQ QESNSFGLYPKATGLASMSDRGEQYGAAIYPRSSIANHSCCPNITHKPDDHGRMVFTA SRDIAPGEECCISYMDLFGDVDISTRRKHLREQFSFTCQCERCRAEENPEEGWDALPF LDD ACHE_40082S MQLSILSIVSTLAATAIAVPVAVPGENHHSTATVKFLGAADASF TQDFRTDGTETDIHNVLSISKISVPAGVTCTFDGIDGSVTKVSNQAVVDVGPPQTQVS GACWNL ACHE_40083A MRVLCLHGKGTSGAIFKSQTASFRAHLAPLNIDFDFVDAPIPSS PAPGVDLFYSPPYYSWWEGESAEAIKTARQWLKTHLILSGPYDAVMMFSQGCTLGSSS LLLHAIEEPRSPPLFKAAIFICGGPPLAVAETLGYQMSFEMRERDNWSRAALAQQADS SAILTQGAARWRGGWWDIPDEEQLREEMEFPWRIEIPTVHIYGDKDPRYLAGVQLSAL FDTDNMKTYAHDGGHEIPRKDVVNRKIAELVKWALESAKLQ ACHE_40084S MVGPTATLTPLARTDGSASYQCPATGFNILSSVNAPVELPGRRD ALKPEDATVEVLVKPGTAPNGVGEQYVKEIIKNMLGKLVLGRERGFPRRGVVVTLAVI GGESVARGDSYLTMLPALLHASLLALLSAAVPLSMTFSATVLAVTRPGDIVSEPSLKI AKAATSLHALAFSSKGHLLLNESQGTFDFDTWERVHDRALTLCRGQAGGSDEDVSMAE NGDGQPLEGFIRETVEDKVHRDYAWKIDED ACHE_40085S MASFSASHGPLKHDTNNSDADSSSSSSPSPATSTATTAATARIA TGPPPSAAPSLHSRDHVAHIDTSQTIETTPKASVNRSSSDSRSTVVAAQRFELPRTES ESLLSSPSPSFLSLRSLTAPNHHPYRHRNALSSDTIPHQTIMKAIASRPSWSGSDSNM PPAASLGPLPSNTYPSPPAEKEEQLSSQSLAAALNRLQPEHELLDRSLVLQSPCFFHQ RFDDAVNIKKVLEEIADDEWLSHSRLVQTATGVREVSKQLQRRPIKRAVKNVMIVTKA RDNSLVRLTREVAAWLLSTPRYGSDLGVNVYVDAKLRNSKRFDAAGLLQSEPRFAHML HYWTPDLCWSAPEKFDLVVTLGGDGTVLFTSWLFQRIVPPILSFSLGSLGFLTNFDFD DYKSHLNAVMGDVGMRVNLRMRFTCTVFRKDRSKGAEAGAVEEGEQFEVLNELVIDRG PSPYVSNLELYADNELLTVVQADGCIFSTPTGSTAYSLSAGGSLVHPSIPGILLTPIC PHTLSFRPMVLSDSSLLRIAVPINSRSTAYCSFDGKGRIELRQGDYVTIEASQYPFPT VVSGNGEWFQSVRRALRWNTRGAMQKGWNGPSPDDPDFAVDGNEDEEWDIDTDVGLGG TDSGVGPSEDGDVPTSPIRRQMSLLQM ACHE_40086S MPHADSSYFAPGLSKDEVYTQMIEQTRGLIYGQRNWVRMFPRIL TDNLSNTASLLWHAYAVLPSNINWAGFYIRDDKFPLTSTPQSSDRVLLLGPFQGKPAC QLIHFGRGVCGTAAAKQETVVVDDVMAFPGHIACDAESRSEVVVPILVGDETVAIIDI DCTEEKGFDEVDRKHLEALAGVLAEGCDW ACHE_40087A MSGKESQVFSGSSNEDVRLGDLGYEQELKRSFGLLGMVGFSFSV VTSWTALCGVFVVGITSGGPPVMVFSFLAISIITLAVAIPMAEMCSMYPVAGGQYSWV AALAPPSIARGLSYVSGWFMLIGVLAMGATNNSIAANFVLGMANLVFPSYTIERWQTV LVAYLVALLGTAVNLWGAHLLNRISRFILIWNVGSFLITMIVLLATNDNKQPASFVFQ DFQNFTGWGSSMAAIVGILQACFGMCCYDAPSHMTEEMKSASKEAPKAIILSVILGAV TGFAFLLTLCFCIGDINETANTTTGVPVIQILYDSTGSKAGTCVLASMISVIVIVAGN NLIAEGSRSIYAFARDHGLPFSGFLSRVSTKKQVPVNAVLLTLAVQLALDAIDFGTTT GFETVIAIATEGFYLSYATALSSRLLGYVTGHKTHLTGPFSLPLPISIALNVLGLLFL LFAAITFNFPTTYPISHESMNYTSAAIGVIAVIALFTWLTTGRKRFAGPGGVTFS ACHE_40088S MKYVKLGSSGLRVSPICVGCMSFGQPDKQFQWTLPEEEALPVLD HCYRSGLNFFDTANVYTNGNSEGILGKAIKKFNWRREAIVIATKLCAPVGHSQDETPL FMSEDDRNNAGYVNQYGLSRKHIFESVDASLERLGLPYVDLLQIHRADPSTPAKETME ALHDIVKSGKVRYIGASSMWAHQLLEYQYTARLHGWTEFISMQNLYNATYREEEREMF PACAQFGMASIPWSPVAMGFLARPWKAFQESARGQSMNGAFMGHPITDVDKKINEKIE EIASARDVSMAIVALAWALSKPFITSPIVGMSKKERVDEAVKAIEFKLSEEEIKNIDE LYVPKGVIGHR ACHE_40089A MLTTLRRLRGVFPQRNPPAETSPPLQTSPRTSTSSPPEIRSSLQ DEAFAVKMLLGRCQVAKNDYKCPCKNGKSVSRTGVLDKTALCEECIHPLYLHDQFTEK SPAAPTSLPPRVRFSDYPQYCPRTDTVQKIATLLDQEKIIHIRGTPASGKSLLANFLY EYFFSRNQEVYLIDEWPQDRKETALELVLSACLPEGRRTIAQLIQSNLILILDESQYT YSDSGLWYKFLKIAGGNARPANGVRVCLFSSYGSPTTGAPQMDYPESITPPMFSNHQR VSLVPSSEPGSPDISLFFTREEYNDVVIRYCKMAKEYMINTDLAEYVFMATNGHPGLV MAIMDYIFDFYRSNLKRIRISILTMDNTRVALVDNNRLFSSIADRFASRSLPIRELLG TDEGPIIDSLLNVLRHGYAPLTSDDYGLNRSFRRGFVHTTLDPDTRGMICVFPSPLHA RYVEFMYGQGGGAAFPKDRFPGIEDLCKAVVKGFSRRILCQIRDGRRPGTSGQLRPLE ASFQDEFYRSFWREVPNGGLSSEWSFGGSGRVDFFVIGPGWGVELLRDGDRLDQHCSR FKGGDGAYYADIEAGIMKDWLILDCRHNHPRTPRPTERRLWRLIFSNDYSRVDILDCE NNVIEQYALGNW ACHE_40090S MRLYFMSTSIMSYALGKSGKRISAQQRFLYALLGLCAYLALVSS LRFRRCRKLHRAYPYRTRGEMSKMTDYDAWAIQKQIMQGEFPFMMLKALQFALFRTYG IPTISSLLLKMSQFSDPGTSFKRYADTGTLIGEFIAFEPYSDRAQTAIARTKYLHKGY RASGKILESDMLYTLSLFATEPIRFVTLYEWRDLTNMERCAVGTYWKSLGDALGINYD ALPSGRTGFQDGITWLEEISAWSQQYEAEHMRPHPRNKEIADKTIDVLLYYMPRFMKP MGVNLIAYLMDDRLRNAMMMEPVPPALSAALAAIFRLRRFYIRYLALPRPDWNRLNAF TDKPNEHGRHFLLQLQGAPYYVRPTIWNRWGPAACVKRVLGQPLPGDEGDKYSPQGYY TPDLGPKYFEGKGRKEMEAIKLGLQQTRQGQCPFP ACHE_40091A MDIFASAPSPDTPEWVVVCTPVSPGMRLEWNASLPWLRFISLLR PGPNQDAPSPSSSLTVPSPREVNVSCHIASRELMRRLASIVQWDRLNNPPNIYSSSRT TAALMILMPEDSEVKHLTLSSALGDSNQSGRNRLILELFLLSNNLVSHAPGGRSEGSM LSDDRRVMEMFNESGWNNIKHIQMLLSTHEPTAGAIAEKLFASAVRLVAMDTVKNMLE AGMDPNATVDTIHYGPVSSLQFAALSGKKGLELMQLLLSYGADVNFSHNGNSALEFVI CGSKMVSQVLLDHGAIVTPSCLSAVATCKIIDDFVMNIINACPDVNERTGWQDPSALT RAVSHSNVEMIGLLLGRGADVNELVAIDFDNDLAVTTVLGLAAKSMNPQMVQRLLWAC RNVNPEFDGLPYVSPLALAVETGNVEITRLLLQGGVNIEVADGQGSMTLLERATRKGP SLWRLLMEYGARVDRPLSDTKHPSSAILVAIKEKQSDLVELLINSGARLNDEYSQPPG TVLGAAIELGDPVLIDKLLTAGARVLKGKLLSRIGNLHTAMHLQQRGVLQRILQISGP TILAAALSARDDDFSHYLLEQNADIAKGFTSSQDSRSVKTPLGAAIRTGNFIFAGTLL SRGAEVTDGDLADAIGHLVVNAEFLQRLLSGVRGNIPTAVGVAVLGNHQYLEVLREAN VDPTGAPQLFQDFWDLEEFEFEPPQSVLEIAVILGKEALSLLLHWTTWNPRLTGRALA AAIVFKECDLVDSLLACGPDMQQEVAIRYLLWEDEEDETATERHEIFTPLQAAVKEQL VPVAKVLAKSADVNYLGGGARRRTPLQHAVEKGNMELIKMLLQHGARVDSPPARDGGA TALQIAAFQGYIGIARRLIDLGASVNEAPARFNGRTALQGAAEHGRIDMLQMLLDEGA LIVGDGEQQYQRAVELAERNGHKAAARLLRSYRNSVQLSTP ACHE_40092A MAGAEWEPFKLETERLYCYENKTLRQVKDYMASKYDFDKSIQQY QRQLAKWGFRKNPTRPGDWEFIGRRTEKRKRNDDKESEVHVWGRASA ACHE_40093S MAWPADQSSWNQGNIYFGYHSADPSPSVPLPQLPGLSWELPADG VHPWGCYPPDCVSCRVMSASVPSPRVVASGPNPDRSDHSDPTGTISTSHQPSVEGSVH QEPKSQSQTKKKNTRQTPDHLSGAPLQCKWEGCRYSGYFNREHELIRHLRKIHIAPLA HPCPVENCTKVCNRGDNLLQHMKNRHGLG ACHE_40094S MPNNSKSTKIPDPPMLTDGKEPRFEDWLLLMSQKLAANADHFDL SQLFDTLNHLKTIYNDPNRVTTAKHQFQQLYMKTSDKFHDFLSESLCLAAEAGVAEDD LRDELYTKLTTKLQELCISSSISDGTFQEFSSAASQTAFLISDLGQHDMIIERKWFDS HDVWLNAKHRKLVLPEHRSYLGDVQSKQYLEALKQGLQCPKPDSIHQADMERRDRQIE KEEQRERYQAPWKEESGQRSDMAKVSHTLQGQEIGAITTNSKPRRKTTTNQPTVQTDI AAIGAAPFQRYLEKDTEVFITSLSEIGRVLKEKRAEERQEEDRQEQELIYSCYACFLP LRAHPVVFDESSP ACHE_40095A MAYNGTAKETEPNTWLHLNGTADQILDRYCVSELVRGWPLYVDY SEWKNYRACFADDAWVLTLCSGGSQPIDNFVKNSQTGRKNGVFMAHRELGTLVDLNPS TNRAVGKMKVTITQRFIDPSTKIEYDVDCDARFIMYCLKTPAPANTGSPVPGTGLGPR ANSGGWKIQYVKLIYEKDKVVSVDGKTVPTFPKEELDQYPYAHRYLAAARARQGEHPR KDMPTLIETEQFWNMYHAIDEWLNGQDVKKTLGIRVDVYKYGALTGTRDCDRYLNLA ACHE_40096A MSCPHDFDWHAPDARANGHKRTAGTPQNVPGLLNNTSNLALLGH AAASSQELLNQGYPGAAGDPEWYLGSLSWLSDETPFGQSSNGHDEATGHQDTHHSIDV PPLDSNAGSSAQVPHIPTAGPSGSSRRRTTSLKERRSDAVTGAGPVKCEWKGCTYPDV FSRRIELKRHVETKHIFPRSYECPEPGCQKLFNRGDNLRQHLHRMH ACHE_40097S MSETGEIDELMFQAHLLIHVSTIGVHRPLSALKFNAVENVSSCA REPPLDTPTPDLVNVHTLRVLRAIEAQIRLLALPVRQFHHTPFTTCMVSEGMLALLSA CSAILKERDLATARDQIRMTLGCLKVLGEVWPRTARNVREIQTIAQCVLGLGCVATGG STPKPSEHPSLGGEGACLDFSTSGLPGSNIDMIASIGSIEDLCGWYNPGNLDDIPWGV SDGL ACHE_40098A MQQMGIIGIAFAGVCTALSAKCVIELNVGEDVGIAVTIVSPKPK LIASNFEEHLLSLASLPSSPARNAVVVGGCGFTRTEIAAELPSCLRSILSVPDVRPVI VEQANQIDRELGSNPRTVILCGLKKQDVEMNLGSAVASVGKRRRDCHRRAYPNLHRSL DSWTRSSLLDAADIRRERQSQSRRGYLVLPILTVKDLI ACHE_40099S MQEPTYDSQCPMATTTVAYQYRLVNCPGRTIVGMLVEYPPNGAT PPHRHGGASVSAYVIHGSLLNKMNDEPMRMIERGGSWYEAPGCHHRISANASQTEPAA FFVNFVIDSETLEREGPSVLLQYDAEYKDIVAQKMQV ACHE_40100S MQAIRVHPDPSKSTPYSPFNPAPSTSLQLDHDVPIPRPSQPGEL LVRVKATTVIRDMLAWPETYTHEYAILGNDLAGVVTEVFSPDSKFNPGDKVFGMANVD RAATWAEYTVVKEHEVALKPTALTFAQAAALPLSAQTAYEALFDHAGLPLPTMEEIMS RSALRKDQHVLITGAAGAVGIYLVQLAAAAGVRVVAATSSNARNGEFLRGLGADETVE YGMLECYRGAFDVIIDMVGGEVLEQCWEYISKTGVLVSVDSASFNFVEEHEKRGLRKA GVHALFFIVKGSTEALHYLAELADMGAIQSFVVDSWPLAKAREAYDIANGRYSGRGKF ILTV ACHE_40101A MTKEVKSAGRAYENVHRYPACSRVFYYVRWILIMLAVGSIALPV EHYVKCRYYQKNEKAACRGESNSVMIVLGCAFLVWWPIERAMFYLARQYVRNPTQ ACHE_40102S MKFIPVAVGLLYLIQLGLSAPLNNEDPLGALAQHGDTPGIQSLD PRSTNEAHGALTQLEARAPRCINRAIELLNLRDSSAVLSYVTLSGLMAHSICEHYHDE ECAYWVKLVTGAINFVFAVSRRGARTQGAVGESPDNEVSSFARRALLAESYADAVAQA FSELGMEYNGIEDVDILSLSHTKRDAIEPDLTRRLIVRGIKADSFTHDIAFNQYSNGG HVLHLGGLNESLQANPSSEIAKRTSFGQEGLKVSAFVEAPSTLNIADSNEVANAIGED WVHRAAYNDISEYIGYVMGGSKINFIYRIIPKVQGFGLNYEDVGVCGAVGPPK ACHE_40103A MADFLINYRPFKFTASAAISDGIRCSIDISFIHTSVSAELSTEL TLWAPPETGRVHANLVTSFDINFGDSQAITDPITLMNFYEFVLQSSQKTPSALQICL ACHE_40104A MSIGNLQQLLEAVSAEEGSGNVIAYSQGNLGHLKVYSYKQVLEA AQKASWALRSRGETYRPGSVILLHFEAHWDAIVWFWATLFAGCIPCMSTALSNNSAIR LNHLEHLSKALINPSCLTTSTLLHEFADQEHINPIPIESLNAEKATSDELNNINRESK QTDVALLMLTSGSSGNSKAVCLTHHQIFTAIAGKYSVIELPEGTSFLNWIRLDHVAAI VEIHLQALFARKDQIHVQAPDFLADPLQYVNLIDKHRVSRTFAPNFFLAKLRAALQGL EAQNNPRNWDLSCLKYIASGGEANVVKTCDVVSQLLSQYGAPAHVIVPGFGMTETCAG SIFNTKCPTYDKERSLEFTSVGACMPGIKMRITEGSNNETVPAGVVGNLEISGPVVFK SYFNNPTATEESFSSDGWFKTGDKGSIDETGYLTLQGRAKEAMIINGVKYNPHEIETA LDESKIPGLTPSFNCCFSYFPAGCETEEICVTFLPSFTPEDTVARVETVDAISKSVMM ATGSKAQVLPLDRSQLQKSALGKLSRNKIKTAYQKGEYRTYEDINNETVSQYRAATRQ NPQNELEQQLLDIFIRCLGLPENDFDIKTPVFNMGITSVELIKLKKNIEEHLDLTQEI PMITLMTNTTVHDLAKALYDLQAPHEYNPVVTLQSQGYKTPLWLIHPGVGEVLVFLNL AKFIVDRKVYALRARGFNESEQPFQSIPEVASTYHTAIKQKQPEGPYALAGYSYGSML AFEVGKLLESNCDKVSFLGSFNLPPHIKTRMRQLDWKECLLHLSYFLDLMTEAHARKL AAELRGATREQAMAKVMEDADQDRLFELALSPEALNKWATLAFALQSMAVDYDPSGSI ASMDVFYCIPLAVVASSKEQWRNEHLSKWVDFTRSEPRFHEVGGAHYTMLGPEHVFNF QKTLRAALDARGI ACHE_40105S MEGNKKVIIIGAGICGLATAIRLRDHNGLEPVIYEIRGRDAGTL GGSLGIPCNGLLLLNRLGLWDSVSVRGVDIAKTVLHSTSGGILKEVDVSTEPKEKTGF GYHRINRSKLMDCLMEGVERSQISIHWEKRLVSINDNADSITVNFSDGTSETASLLLG CDGIHSTVRTLHVDPGFKPEYSGISAVSSIVDVPNPNDKQPCLHSTLTPQGMLASAPC PNNQTFWFFSKQVPLPSQDSEDARYGWSLHRQKEMTEFRESLSTVLDGVHGDWGQHLR RLVDTSKDVHFYPHYRLPLGGKWFKPGTTRCLLLGDAAHAMQPHAGQGVSIVMEDVFL ISGLLKKFENKEYDSLEAVFAKFDEIRRPRIDRISLTAQRNGAKRKNQNPWVVWAKEW VVWAMSYVSSVWGMSVSGVDHGDVIYDVEDELKNC ACHE_40106S MVTKPPSYPIKGINTLDPQGRRPVRKNIDDWYKEQTMDDNSQRI QLTLFVEALAIVQALPFEDVRSWFRIAGIHSQPWVAWDNASAPKGPKEVPKDRVPGYC VHNDYTFPTWHRVYVTLLEQVLHEAMNEFIDKNVPDQWETEWRNEAKQWRLPYWDFAR FANKPEVEVPEGAVGELRLPILLVKPNVEIRSVSKNKTTVETKTVANPFYKYTAPKVM GKLDSPYKIQTETRTKTDHNGKSTSWTIPWDKCISTTKYGLLEGYHVDIWADGGQNWL RSNLALNEHAWGPQPAKPQQTVQFMTWKLLQQNGGPTSWTAFSSTRYCRSHDEKPEYW LSLEMIHNNIHAFVGGSQFIRPDEKHMKLWGMGHMASPLVAAFDPIFFIYHCNIDRLT AIWQFLNPTKWFDGDSASALNNDLLPFSKNDKHETYKSEDVKDWRKLGYDYEILQDKN DHNQEHIKQVKKDIDTLYSKRTRALFPGLDKEERDESDYIITIYYNRYALNGEPYKIN LFVGEKPEEDFIGPGSKNFVASIYSFSAPLPADGDGGCGNCKQQQKEGVRSVAQVPAT FAVDKFANEDAGHPKDNVWFVVVDSLGQQVDLKRLGSPIEATLHRAEKATFLHEVDDN SPTDYEKIGDGKQVVYGEATTSFR ACHE_40107A MSPTERGPDMPPDEGSPLLQSRGPTYSGTSDPPVKTKHPDLFII YATFFGVFIASIDESLVLSTFSTIASQFHLLSQGSWLLVAYNFGYCISLPVYGALSDS YGRKNVLLVSYILFTLGCFACGASASLTQLVLARVLAGLSGAGMVTLVSIIIMDLVPP SEVAVYRSYENVINVIGRSVGAPLGGLLSDTIGWRWSFLGQIPLIIFCTLVAVYGLPA SLNHTEDKNKQPSGKPRRSRLREIDFAGIITLSATIILLLFVLQTLGTREEDQLVPTW GLVVAFVVSFMVFVLAEVFYATRPLIPMHLLIRELGAYCLMQCLLFSGRTAFVSNVVP YFTRVEGESDFAASMMYVQVAAGVSLGGVVSGYVIKRMRRYKTMSIISLGITVLSFLL IFLTWRDGCNIWESFFLFVVGFAPGILFSTMFIGMSYSSPKECLSVCIGTYYLCQQLG TIIGPACGAALAQRLFKNNLVQHIVDIPDKKTIIKGILNDLRFAESLSEPLQQIVRSS YLKAFQFLPGKSICSLNRNCSNIVSVFALASSATVLPLLVFLKEERVD ACHE_40108S MANQRIPQLGWVGLGKMGNAMAKNMQKHLADKGQSPLYFWNRTA SKGEQLKRLGGVECESIAAVVNSSDIIFISTSDDAALKVIVDEILAAGNIDLKIIVDT TTVHPTTSQEVSEKVAQKNATFISAPVFGSSPMAEDGKILIVLAGDLSGIKKLASYLK GVIARETITVSDKSEKAALLKIMGNFIISGFTEIVGEAHVLAEKSEMGNEALENLLEL QFGLLPGMISKRLTRGNYMPPRGENPWSNLSLGLKDVGHSIDCADTAGTRLKVAEVAM DHLKRANTYSEKEGRPLDSSAMYGIIRQDAGLDFENDFVKKRDAQ ACHE_40109S MADKQTVAVVGSGMAGLVTAFLLQQDKKGRYDVQLFETQDHLSL DSASYTITKDHGHNSTPYRIDLPMRAFGDNCHNNLKRMYDYFGIQYGRKKFIYPLTTL SEKKALHFIYSSANHQIPPIRPPTSSYASWIIKTAYLGLCYFWLMLCCFFVQSKEATA QHEEESLRQYLERIWLPHYFVRTYICPMFTSITTCSHEEFLDFPAKDFVEFAKKIYRR PQYYVTGGVHHVQATIANGLSVKLRTTVTSVEHTGTKTRVTWVEGEKEGSAMFDHVVM AVTPNVVGAIYQPLRDAMNSIPVVPVQSIIHRDADVIPECGEEVRVQATKQGNQRDVL HICSDDNATETHHEHPSSVYVTTFPISSIDPSKIIHHVQFSRTLRSVKSRWIVNQLMG NGIPIGQGEKEQIWRNGDGNVWLAGSWCYDGMVLLEGCMESAMTVAERLGVEVPWVDK SD ACHE_40110A MNPVNTQPYTLPPDAIWLITGCSSGIGQSIATLLASKPNQRLIA TARNPSALSYLPDTPNILKLALDVTSPSSVDAAFVAAAQHFGDAFHLDVVVNNAGYSL SGDTEAATEEEAHLEMETLFFGTARVTTRALPIMRKEVGGRKGGVVFNISSLAGLCGF PGHAYYHAGKFAVEGWSESVAREVHPDWNVNICIVEPSGVKTNFDGHSKARIQAHPDY AGEDMPARQLEKYVNMGIKSGIGMMEPSAVAETLWSVASRGEKVPLRLPLGATCWKMA KARCEGFLRELEGIKEVSTFGEGV ACHE_40111A MGFLSTFLSFIERLIRLFIGANEEKLYGLDHAILNVEAPPRSMW MNMGYWKDTKSFPEACEALLEFILITAGLLNEDKTVAGASSAIKLIDVGIGCGDQSLY LTRKLSIAGQAEKRRSLVGSYVGVTFARSQADFARERLLNRTSDSDTLTWTPDVRIFA ADAAKPSSWEPGLKTAISINHDHDKTQTWLLALDTLYHYKPSRTPLLTHACRDLQASF MAFDLLLDSSASFTNKLLVQLMCLLSGIPYTNFLTTKQYEDILVQAGYDRDMIQMRDI SEHVFPGISAYIRQKDSELKRFGMGMGKFKVPGWLFGWWGRSGVIRGVVVVARR ACHE_40112S MKWPHLKENFTTTFENTVTLVNGSEITVTDGKAPALEPGETGMV ISMQFAVTGNIDDEDEVVLQDFLNKLLQGPKQLMRN ACHE_40113S MHSTKTTSFTTTFKHGKNNLHQLAKAIQPWGQSKKERARAAASA AIMEFHERPPWSRFTFPEAGIEDDKKSWYKGDEKKFMTRGEILSDTDADGNQQSWYEG DEKFFDTKDSDEEKDWDDNASWHTGDDRELKVPVKIERTDINEIYQEVIRQHELDVKQ WDAEVDGVLELIYGSCCV ACHE_40114S MTIAERQAPKHFTLPRPLNNNRDSVLEVLHSHSRLAKCALPDIN LQVITKTDDTTVFIDEPHGPEDHTGQRTPRSHEIRRPGCGARRQSLFQAR ACHE_40115S MISAIIAEMAWIFTKSSERIPKLVPLPPLFNDTPEIAISILHTH HRTLAEAIYPHTSIESTDAKSNSTVFVIHAPGYPQRITVTLKNFMDGLSILEEGSFGP GMRMAMRWKLADGGAEFLPGTQCLEESGHLSCYRLLTPIPESFTHEYHFKTIILWTLL HQMSIGERVKARSAGVALTWGKSGDRKEKSE ACHE_40116S MACKSSFCKDRRCANNHCTSNQDCDSQTICSHGSTCIIGCLPDD HECERNEQCRSGNCNDRQCAAGTRYPGAECSDNREFPQGLICWNMEFIAQDRKKCLKP DEPGTKGRLGNPCSGNEDCGKELECKDERQGVEKIQDIFKAFPPGAPENHLVCVEKKA KMSDV ACHE_40117A MSVSVSFGSTPSTFDRQRERTPPQRNSSSATYLPQTLVLGMFLI IFGAQIAVNIAQVRRVQSRRLREGVRRTIVVNGPESGHQGGEMVVGEGRDRGEGASRE PGEVPGGRAGERLETGDAG ACHE_40118A MNEHPSPHSPHDSEFPTAMNEDERDAQAYNPFNPSSQSQASYIS PALPAHTILRRIQCARCSCPLRTPLRLPCGNALCRECLPPVQPRVGITYPAGEGRKYR FNCPWEKNESCVGEHCVADCGVDVLLTRLVEVIEEALSGNTSGAGYGDGDSVLDLTWA NPNDLEETWKTATLDGGALRGVYGLIKEGRLDYDASDVVYQRRDGVVETSIDAVLLTR LKQAIRDELDCQVCYSLISDPLTTPCGHTFCRKCVGLVLNHSDLCPVCRRKLNMPSTI QAEPINARVAGLMECLFPDQVSARRESIAHDEASLDDEQTIPLFMSSVAFPTMPIFLH IFEPRYRIMIRRVMDSREHKFGMVAYNRARRRQGGLGRTQFMQYGTLMLVDRYEPLPD GRSLVIATGVSRFKVTKADMLDGYHVGQIERLGDVPITVEESNEAAETAVFAETLPPS SQEPQQQTQQPLESMSTQKLLDMALDFVRAQHRVGAPWLHPRALMAYGNEPTDPSRFP WWFASILHVSEEEKYGLLCATSVRERLKISARWVKKLESRERSNRPLIMSVL ACHE_40119A MEYVDEPPSLMDFLTRPGPRCENKKSSLRLLGSIKRTKPTRKAP GFVTRISGKGRERSASQTKSKSRDALRFLASAPSRLLQEKDTETREDTPCRLVLCFMV EADATAANCSAPVVGVTEDSDSTPMEAAWKMITNQTNFPEISLNVTRCGKPFIFEDPF LIGRECVFHPFAFCVKDNTRENNRAECVNRIDKSLVNISYINQGLRNTFFDSELGPAS GTILATGLQQLQQDHESGARKLAAVALSILRATLESLEDSISLDGPWWRKACLAAWHL WKNGRPSMDAAIVSFLLLALGEVSTALSREENEGKVCKRLCVQNALDSVQQMIRSYTP RISQNLASYLLSKNGSSESKRNIFTILTLSSSSTIRECIIQSALASGAPLVNIHVLES RPLFEGVSMAASIISGFEALSIPRPQVRVTIFTDASVAQAAMGVDLFLLGADRIAADG SVSNKTGSLPAALMVRHMAPAAETIVVSEIHKVATESSNEHNVENNEASEVMNAWERN DKTKGLDVVQGHFESDGFGQGRPTVNVQNVYFEWVPPSLINTYICEDGSKTPEDFQRQ AQWVSEQCEMYFGIC ACHE_40120A MASGYYNSASSTTSTQHRALTDTHGRSLSSLDDGSADGSWADAS SRVGRNARSESGGSASIYSRGAGGSLAPGPGSFSSELKSMNTSRSVTPRPPDSGGGSG TGPGIFSARRASGTVDPSDGFSNTEERQAVIRDKIAKEMKIKTGTENMLEALLAKNPK HTKEQRIRVESELSSSNRKLVELHHELEEEVLRAQAPSTTPPRSRISTLFRGSPMRPS SREHETAEEGLSEDVEGEMESPTYVLTETLQALEIEGMSPDFYVERANSLVELFNRHP TLKYDLAWPVFGLRVQSMLLSDNKEIVAAGYRLTRYAIADRKSLQIIRSLHTDELVIL SLVKESKANLEREQALKFVRAFLDVKDGVCELSRAVVRTIVAVAEHADDRLRNISIMT LAEILVKDPAMIGYAGGFAILHDALAEGTFGASESLISSFLHVLDTPHSRKHLRGGSE LESVLAPFTDSLADSVRNGRLKSSARAISAMLKTWPGLVVLARDGAKPLHSLLESLHY PDPQARDLIMELLFDALRIKPPSWSSSFLAGRRLTTYGRVANLRSEPDQKQFGAPYED SSNQFDLTEHFSTLILATLVDAGLSRALCDLIEEETDLSVRRKATLLLTEVLKLAHHS LPSETSAQLQVLPHLLPPAVKFDVDNHDISTSTIYQIDSINRTLARSRGYSNGAGRYS VNMDVSASILSGDQAKDKLSPAMDETQFRNSILETNVLNTVNFLKWKWDLIHRIVEGP LTNPKRLDEAIKGSKFVKRLVGFYRPFKYRFAMVPNTKPNQRYVRTGCALMRTLVQSP EGTKYLAENKFLRQVAECLAQVDRMSGLTSSSPLFSREQMSNTLSGGYFAMLGTLSAD TNGLAMMERWHMLNMFYHIIELRDRNDLIQTLLGNLDYSQESHLRVMLSKALTTGSKE IRIFATKLLRKYAVRNVSLSQRVGVGYAEWVLKLLVTQLYDPDVSVCQVAVKILEEAC NQRDYLEFVVKCRPSLDHLGEIGAPLLLRFLSTSVGYHYLDGLDYITQEMDDWFLGRN DAYVGLVEAALSRAYVDTPRRGSIVPEDLVDMQDIGLVPPHFYRELARTAEGCRLLEQ SGHFNEFAWTIRDFRLDEEEHEALLKVKGCLWAVGNVGSMEFGAPFLEKDLVWHIVGI AESAEVLTMRGTAFFVLGLISRSRHGLSVLREVGWDSAVDQKGESLGFSLPNNFRKLF LIDFPTYSRDPELKRISREKFKAAATDADPANQKILNLIVDMGNTVLSKRAASDLHSI KAKYPEHFHQAQLFRKTLDILESHHFRLPARRFALDLFDKSVMRRIVLEEDSDTESDV SSSQGSAD ACHE_40121S MPLNQDQTTIRTLQLKNPSLRFIRFQWQDYSGILRSRTVPIHHC LRLAKEKKSIEVPPTTFESILDNTLIPNHSPTGADYLFPYWDSARTSAQSIISEDPYA TVICEVVRIRPASLDPQLDLCPRRALAKVMHKAMDGHGLSFLVGFEVEFEVMKVSLDG NEIIPYSTNPERLAIAGQDPCFMHVEECICELQHAGVDIEGFQPKGRGGQYQISLHPQ PPLQAVDELILVHDRIKRVFTKHGYIATMCPNPVAARQQATSQRTHISITPPQAESFF LAGILKHLQALCAFSLPYDLPYKHEQLNSEIVAWGSQNWEAPIRKINTGHWDIRCVDA TTNMYLTLAAFLGAGLSGIQNQEPLVWPDISTPEPSNAVKANSTAYLPSSLEDALCFL EAEADEMEEVMESKIVHRYVALKRFESLRMRQDLEDARRLHVEVF ACHE_40122A MTSIHPTPTILIPHLPPKTFVGIDLVTFTSTANFHGIRDLPPGW HFLYSGTTETLSLRSGGWFYVHDDEDSGAVATTGRTPEIFIWKWDAETETLVPLKGDS DAERQEALRFKANLGMVWQAGKLFRYRSRVTAQGVPKNQVVDEEDEEIEEEGRRDWTG LTDRLSVDVFSRIVGDPEVDADGRPRWMVTSASTAKRDTDDIPGLASAEPDGLGVDGR GRQEVEFSFLPIELRKTWREGAVGRERTEAAQDRSWALGDLIKQYTPTDSTDDSEGES QILGELQFTFLMALTLLNFSCLQQWKRLLELILTCRTAIAEREPFMCDVLRLLLLQLQ RCDDLEGGFFAIDADEGGEYLRKWLVRFVRSFEEVTLIGAGSIVKGELDKLQAWVRSE YEWDLHPEGVLRRGMLQLEDGEEVEMEMADDEDEETGEYAPTVVELGGGF ACHE_40123A MPPKKTPGAAAKENVTLGPLAGDGKLVFGVARIFASFNDTFVHV TDLSGRETISRVTGGMKVKADRDESSPYAAMLAAQDVAARCKELGINALHVKIRATGG TGTKTPGPGAQSALRALARSGMRIGRIEDVTPIPSDSTRRKGGRRGRRL ACHE_40124S MASHWYVFPGDADVGLRLMQQQVLIQHRASDESLHFVENALSCF GSKYVYLSGEMHDRITADTQAVTHAAFLSMGTAWQANNQFPWEMSRWVGGIENVKINI TLRIYSNKWHVYAGLAILNPAAKAQIRQYAESVTELYKLMIEGQRDELKRRVKAAGEY VFRAGTEGQDLLLKDEVLDRFSLSNRHREESPPNNHLSLLAIVDCWWKLGIVPYDHMI CSTPLFRLWLGVTEYLFRNPELLDEALDTACDDSTFRSDDLEFTFAARAWSDCVSFGD FESYRDRFERIQSYFAPRFPEASRLGNEMMKTILEKTK ACHE_40125S MKIPSFLATALAYVTPVLGQIISYTPSEGSGISYSVNIPQRTAV NGSGPIFFQLTAPPPIQWIALGQGARMTDGNLFIVYAGLGGNITLSTRRANDHVEPKY NSSVDAFLLDGSGFHHGNMTANIQCNNCMRLFDGRSILGPHSDWIWAMAHGEPILSSN VTHPIPQHDWHGIFTLNLTEGVGGETENPFLISSHTVIDHTFKSPQQQISDARLHKKR IAHGVITSVAFVLLFPNFALTLYILPSRWTVPAIHAPLQIFAVALALAGYAIGFSVAH DLQEGNDYHPLLGHIAVLGVAVLMPVLGIVQHLRFRKYGLKTIWGVMHRYLGRFLTAL GIINGGVGFHYAIGKNPNIPPASPIAYGIICASVGMIYVSVIYWRRSKTKAKNAAVQA KEQAQAQNGSEDTLAENKTTPVQERAVEATSVSTAVSASGSGSVSTSTLNNPSATGVS GTREKPLDP ACHE_40126S MGSLAPTSTPAALDASTINFTRSTTLRPVPEIGSPEEKSHSYCT DHMLTVRWTVETGWQTPEIRPFENLSIPPTASCLHYATECFEGMKAYRGYDGKLRLFR PDCNGERLANSAVRTSLPGFGAGEVKKLVGRLLQIDGPRWLPKDQPGRFLYIRPTVIG NGTQLGVQAPKEALLFIIAVPWPDMSSNPSGLKLLASSPDTIRAWPGGFGYAKLGANY GPSLAAHGVATGQGFDQVLWLFGEERQVTEAGASNFFIVWENKQGKRELVTASLENQL ILPGVTRRSVLELARERLASASATGLAPVDVVERPLTIAEVEEAWKEGRIVEAFVSGT AYFIAPVQIIRNGDVDMNMLEAGVERAGYATQIKAWLGAIMYGKEEHEWGYVIENEDG R ACHE_40127A MSSSGGDAKLFARGKVAELRQELSSGGKKDKNHSAKKIALKKIV ANMTMSNNDMIALFPDVIGCMNLPSLEIKKMCFLFLVNYSRMKPDVAMKALPILVDDM EDSNPLVRALALRTISYVHVREFVEATIQPVKRLMSDMDPYVRKTAAFCVAKLYEHDR KMVESSDLIDRLNRMLKDENPTVVSSVLASLTDIWGRSETISLTIDYTSASKLVSILP DCSEWGQSYILEALMSYVPQDSAEALLLAERIAPRLSHSNSAVVLTSIRVILYLINYI ADERHVNSLCKKLSPPLVTLLSKPPEVQYLALRNAILILQKRPEVLRNDIRVFFCNYN DPIYVKVTKLELIFMLTTQENISVVLAELREYATEIDVHFVRKAVRAIGKLAIKIESA ARECIDTLLELVNAKIPYIVQEATVVIRNIFRKYPNQYENIIGNVIQNIDDLDEPEAK AAIIWIIGQYADRIENSDGLLQDYLATFHDETVEVQLALLTATVKFFIQRPTKGQELV PQILKWCTEETDDPDLRDRGYMYWRLLSTDPAAARNIVMGQKPPISAESEKLDNRTLE ELCLNIGTLATVYLKPITQVFRNARSRRLQYSPALQKPRDQNGNSNPMLQFNPAPINT TPLASNPTGTANITTTTASTHLNTFFPNPTDPSVIAPSPITGLGPDAADMNAAVSAAD VYFNGVGNQQMAAMDLGGRSGDDGDGVAGGGGAMGTQYVVNQNQQSVYQPQLAGGAAT GELLLL ACHE_40128S MADKTSQPGFDPPPPPRWVVSLNTPLPRPSKAAANIPDPPGFSR AKPQTQKQQQQQQQPTPSKPIETDALKVKKAWEIALAPSKQLPMNAIMMYMSGNSLQI FSIMMVFMLFKGPIQGLINTNTVFAKFDTEGTHKKLLGVKAVYVLMQFGLLALGVWKV NAMGLLPTTRSDWLAWESERQPLERAYFAFS ACHE_40129S MESIITGEGDFVEVAHVFPFCLNQWPSNKLESIMWERLRMFWDK AKANDWQRKLTGDRGTEICENHVPLQERACVLGWARFSLKPIETAEDGSSLIVQFH ACHE_40130A MATQIPQSGVYSRQEAESRDAQDPLRSFREEFIIPSRKDLQRRT LAVEDQDTSEPCIYLCGNSLGLQPRNTQKYIEYYLRTWATKGVTGHFTPHDDQLLPPF VDVDEAGSKLMAPVVGALQSEVAVMGSLTANIHVLLGSFYRPTEERFKVIMEGKAFPS DHYAIESQIQLHGFNPDDAMVLIEPEDREFPTLSTEQILRVIDEHASSTALLFLSAIQ FYTGQYFEIEKITAYARSKGILVGWDCAHAAGNVDLRLHDWNVDFAAWCNYKYLNSGP GGMAAIFVHEKHGQVNADKVGTEEGFRPRLAGWWGDDKGRRFLMENKFVPQPGAAGYQ LSNPSVLDMNAVVASLELFNRTTMANIRERSLALTGYLEHLLLTYPINSLAERPFSII TPSNPAERGAQLSLRLKPGLLDSVLQSLEEHAIVVDERKPDVIRVAPAPLYNTYVEIW EFCQVFLNACVKAVSPVSLR ACHE_40132S MSRLALSSATRRLQQSTTHCQRPFSTTRFFSALSKPSISGSSSI YNPDANSFSASRTRSNQYTLRRVNFSSLGVLRHRAFLSSTVRPSAKVVQNARNDEEGK PLLVGISERAAERLREITDPTTSPTTTKAENPYHHLRITVTSGGCHGFQYMMSLEAAS KIDAEEDTVFEAEASVGPESGEALVVMDGPSLELLSGSTVDYTTELIGSQFKIVDNPR ATSNCGCGTSFDVNV ACHE_40131A MRPSENPQNSNSAGTIEIPLTPKTPSTVHLHRRSTTINTPQEEP VLSNDPTTYLFYLSPPPAKANMSYEERANAHPNLGDESDVEEEALVNDYREQVNFDDD MSDLDRTTSIDAGSQAQDLQAQLAAAATPLEYQATLETKFASYDNYCNLFHYILNSEG PVDLEVPSYYWAWDVIDEFIYQFESFCRYRNRVARSGSNEEEAQLLRENPNTWGCYSV LNVLYSLIQRSQINEQLAAIKRGEDPLAFAGEYGSRPLYKMLGYFSIIGLLRVHCLLG DFSLALKTLDDIEMNKKAMFARVMAAHFTTYYYVGFSYMMMRRYGDAIRMFSHILIYV SRTKNFQKGGNSYDAIAKKNDQMYALIAICVALHPTRLDDTIHSALREKYGDQLTRLQ HGGPEALPLFEELFRSACPKFISPTPPDFDNPSINIDPVDHHTSIFMDEVKNTLFNPT IRSYLKLYTTMDLKKLAGFLEVEPEKLRSWLLVNKQRSRQIRWVEGGLLEGEIVSAND LDYALENDLIHVSETKAGRRLVDWYLRNLARVY ACHE_40133A MGRIATVLADTSISLDDFSSVNLNNHQSVESAIQSLLPPPDPCS TNTCSRITLESLVDIPLFQVPAKPWTNVTDDDNLVSHLISLYFTWDHPCWQLVDQKVF LLHMKTGDLGSQYCTPFLVNSILAIASVYSDAPDVFAIPGNADSCGSHFYIEAERLWR AEEGTISLANIQGLVIMCHVLKCQGKNGVSWLMLRQAVQLAQDFGMFRAPTLHANWEE MDADMQRIHAIAAWGIFIMNLDMSMELHKDANLESPACRPYMSGDLNDDIAWTPYPRS NEIEYANKPGLLRYVASELSNLTEVTAAIQQLFFMNACHMDVNDLWTRTNEIYSRLQQ WRRNMPDVLKTDNYPVPQVLFLHLKFHKEVLSLFNAFLGHKGPDNPSHPTWFQQIKTI RLQSAKDIARCFGILRLSYGYKRIPSHMLEAAGASLLVVMGDLTNEESGNAFFELCRI VVVFGKRLKQAKYMIAKVISVAQQSGIILPAEAMLILESG ACHE_40134A MLSNCRGSAVPNSVPLHSPFNSRTYIQHTSFFSFPSSLILVLFP IKMPTPDPTPADPDPTMSVDPVVDVDPALRALLRLSLSSQEYKLLHERAPAGIKKNAP SPARFEAIVQPKDRFNEAAIRESLRVFLGSGLLLKLVEVVSRRMKGGAGAVASKKRSI IHSPNFRLPLALALVLFFHRRLHRLFARIRASLRTDKAQPFRDRNPRLTKALTSRYAP AVGGSLAGFALGVAPQAQLRLTTAIYMSTRTLEFLYNVMEEKGWLKNKPWWFGSWLLM PVSCAQLFHAFVFDRETTPKWFGKVVMHSPSYIQSRPALLPAEIPWPENEEVVDSLAT IANLRWPYVKTIPMNFYEILIYAFRPFTSPILHPSNPNTLPSAIKSIAPITGPAHPSI SSLSCALLHPAVPSCNTAFLHHILLSVPRIARFMTTVMLAVSILKYKAFTTNPLASFQ NLVKRILTLTAILSTSIGSAWGSICLWNTLLSRSALPTKRFFLSGALGGLPFAFLGNN SRGAFMSIFRSAVDSAWKSGVKRGLWKGWTGGELWLIVASWAVMGAILERRPGAVQAG GLRKGLAWLRGDGFVDPVEVLERKRARRAARREERELGEEKGRA ACHE_40135A MFMNEHYRNACEIVLRLKDHRDPKIRTQVVLTIPILASYAPLDF TEIYLHRFMIYLQAQLKRDKERNSAFIAIGKIANAVGGAMAQYLDGIIIYIREGLAMK ARSRSSVNEAPMFECISMLSLAVGQTLSKYMEALLDPIFACGLSESLTQALVDMAHYI PPIKPTIQEKLLDMLSIILYGTPFRPLGCPENRLPPMPSFAKDFSPQELHSDAEIALA LHTLGSFDFSGHILNEFVRDVAINYVENDNPEIRKASALTCCQLFVHDPIINQTSSHS IQVVSEVIDKLLTVGIGDPDPEIRRTVLWSLDRKFDRHLARPENIRCLFLAVNDEVFS VREAAICIIGRLSSVNPAYVFPPLRKLLVNLLTGLGFASTARQKEESALLISLFVSNA TKLIKSYVDPMVTTLLPKATDANPGVASTTLKAVGELANVGGGEMTHYLPRLMPIILD ALQDLSSHAKRESALRTLGQLAGNSGYVIDPYLEYPHLLAVLINIIKTEQTGSLRKET IKLLGILGALDPYKYQQISEDAPDIHHINEVQPVTDVALIMQGLTPSNEEYYPTVVIN TLMQNILRENSLAQYHSAVIDAIVTIFKTLGLKCVPFLGQIIPGFIAVIRGSPPSRLE SYFNQMAILVNIVRQHIRAFLPGIIEVIRDFWDVSYQVQATILSLMEAIALSLEGEFK KYLAGLIPPMLETLDNDTTARRQPSERILHSFLIFGASGEEYMHLIVPSIVRLFDRTQ NPPSIRKSAIESLTKLSRQVNVSDFASLMVHSLARVVGGTERSLRLPAMDCICALIFQ LGQDFSHYIHLLSKVLKNNQVTHVNYQILVTKLQKGDPLPQDLNPDENYAALADDANY AEIGQKKMVVNQQHLKNAWDASQKSTREDWQEWIRRFSVELLKESPSPALRACASLAG IYQPLARDLFNAAFVSCWTELYDQYQEELVRSIEKALTSPNIPPEILQILLNLAEFME HDDKALPIDIRTLGKYAAKCHAFAKALHYKELEFEQDQNSGAVEALITINNQLQQSDA AIGILRKAQAYRDVELKETWFEKLQRWEEALAAYKRRERIDPDSFGVTMGKMRCLHAL GEWKVLSDLAQEKWNQASLEHRRAIAPLAAAAAWGRGQWELMDSYLGVMKEQSPDRSF FGAILAIHRNQFDEATMYIEKARNGLDTELSALLGESYNRAYNVVVRVQMLAELEEII TYKQNIGDPEKQDAMRQTWNKRLLGCQQNVEVWQRMLKVRALVTSPRENLDMWIKFAN LCRKSNRMGLAERSLGSLETVVSDNNGTRSVAPPEVTYARLKFNWASGAQRESLGMLK EFNATLTEDFTRFNALIMSQTDHVINGVNGVVDANHADIAGLRERVGDVGKLRRLLAK SYLRQGEWQTALQRGDWNPELVREVLNAYAAATRYNRDSYKAWHSWALANFEVVTTIA SQANREGQPPVMVPQHIVTEHVIPAIRGFLRSIALSSTSSLQDTLRLLTLWFTHGGDQ DVNGVVTEGFTAVNIDTWLAVTPQLIARINQPNYRVRTSVHRLLAEVGRAHPQALVYP LTVAMKSNVTRRSQSASNIMDNMRQHSARLVEQADLVSHELIRVAVLWHELWHEGLEE ASRLYFGDHNVEGMFATLAPLHDMLDQGAETLREVSFAQAFGRDLAEAKHYCMLYRET EEIGDLNQAWDLYYTVFRKISRQLPQLSTLDLKYVSPKLKDCSDLDLAVPGTYQSGRP IIRIVSFDPILHVLQTKKRPRRMTLKGSDGSSYMYALKGHEDIRQDERVMQLFGLVNT LLDNDGESFKRHLSVQRFPAIPLSQSSGLLGWVSNSDTLHALIKEYRESRRILLNIEH RIMLQMAPDYDNLTLMQKVEVFGYAMDNTTGKDLYRVLWLKSKSSEAWLERRTNYTRS LGVMSMVGYILGLGDRHPSNLLLDRVTGKIVHIDFGDCFEVAMHREKYPERVPFRLTR MLTFAMEVSNIEGSYRITCEAVMRVLRENKDSLMAVLEAFIHDPLINWRLGVRESPPE RMSFVAERRQSVAPNLNADNGAQPSTYTRPRRPSILEGGILDAQEGVPNEARELQNAR ALQVLGRVREKLTGRDFRPYEELNISDQVDKLLAQATNVENICQHWIGWCSFW ACHE_40136S MPKVLLTGGSGFIAAHIVDILLQHGFDTVVTVRSDEKGQKILGS HPNIPKEKLSYVIVKDVAENGAFDEAVKSNPPFDYVLHTASPFHFNVQDPVKDFLDPA IKGTTGILKAIKAYSPTVKRVVVTSSFASIVNSDKHPPVYSEEHWNPVTWEQALDHSK VYRASKTFAEKAAWDFVEKEKPNFDIATVNPPLVFGPIVNYLNSLDAINTSNKRFKDF VQGNLKNETPSTDTFLWVDVRDVALAHVKAIEVAEAGGKRFFVTNGFFSNNDIADAIR ESHPKLESKLPPKNAPSNPPSYAYGYDNTRSREVLGISYLPLKKTVADTVESLLAVGA ACHE_40137S MTSARLSPTANLLRKSRVFALPQALKPPQEPPTSKTVFESDTAT LPYPTRAAIVTPGTSLKRGDWGLKRPIPSKSTSQKSARPVIRVNAHDTFEHVTDFESA QDHTVTLSKFQELNLPMSLPSKVNYSSSFLPGHQSPFESDFDNTQTSKDLARPYAKQF RQSGPWLAGQTEAEFQNYLKKVRRDKPEILQRLRDMYVAKRTVEQRKAIQDKGEDLEN LQPIEFDEKEFHAYIKSLRADPTALGPVIFDLLDLPSPPPVPNMRIAGKHFHAPGTKL SATEYAVSGPPKTHPSAGLSYTRSHALLYNHPEYGPQAYQRPVQARILRPKSKLKGKN NRAIAGIGGIASEDVNSITFYDHRTPPGLAYFDASIEGGGKYWATPIRASITSDGRID LASFKASISAKAPYGIEDAPEADAANRAAEVTRGTSRQVPKLDARAPRFRQFDERKHV QMESREDTARSLLETLKY ACHE_40138S MGRVRTKTVKRSAKVMIERYYPKLTLDFETNKRLCDEIAIIASK RLRNKIAGYTTHLMKRIQRGPVRGISFKLQEEERERKDQYVPEVSALDVSQTESGQLD VDADTKDLLKSLGFDNLKVNVVNVTQAQQPERRRFRS ACHE_40139A MPVNQPPLRTSLPTTHAAASDSSRRQQKKPQDQSNSGVDPRHMA IALHHAHRIQAQKDTESLILDRILDLVAFPHSPTADPSSPSPEDARLFKNALFPFQPT DYDNLIQERNIEGLCGYGLCPREHRREEGGGMFRIRYGAKGSGPGGRGREMNIVPKEK LEMWCSDECAERAMYIRVQLAQEPVWERRGDDTRNKDLVLLEEGREQQGRGLKSGGNT ATVGQVAGQLENMHMHGPEARDMAAQMQELSLRSQELALERGDSSTGAFQQGGRVGVQ IMENENISHAVAPPQMRPEDIQGGSIEGYFPKPQM ACHE_40140S MSNPPFTVKAIFEYASDHEDDLAFPIGQIVTVTGIEDDEWYSGE YTDESGAKQEGIFPKNFVEKYEPPAPPRPSRPNRSKKEPEAVAPPPPEPAAPADPSPV ESNDQAAPEFDDTPAATSAAMREVPAPQPLPAPQPPQSPPPQTATSPVAEAPTSPKPT QAAAPTPPAAADPASKPAAKPAAPAAAPKPTSSSFKDRIAAFNKAAEPPLAPIKPGGL SSGSNSNTFVKKPFVAAPPSRHAYVPPPPPREPPPKIYRREEDPEFQEQMAREPPVSE SRPPPPPPATESPEQEGEEQPKPTSLKERIALLQQRQVEQAARHAEAAQKKEKPKKPA EPAEQGAPVEEGESAEADTQKASPAIAQDPTSDANDADYSAAADTEEAEETSTSKEDY EDAPARPLSRREPPAEQEEEKEKEDTADDEAEGEQEEAQEEEVDPEVKRRMELRERMA KMSGGMGMMGLFGPPGGMPMPGAAPRKPKPSADTEKKPAPEPEATSPVSAPAPPVPVM ALPGMNANKPAPAPPTDVEKEEETPHPPPVTEQHAAEEVPDVEEVVHEEPSQTISTQR PPPPLPHEGAAPPLPPLATKPVPPPVPQEQPASPSSTTAARSIPPVPKSPPTSADAGN ESDDELSVHTRNLSLNAVAADRPAPPPPAGAPPLPDHLDSRRPSTYDSSPMSPLGAEK RLSRPPPPIPTSPPLSPPRQTRAPPPPPPTDLRRRSTADSRTTTASGPRQAGEGDGET TEYDGDYDTDIASGDKHKDALKGHEADSSMDEGLVDDYSIQSPQSPRGAPPPPPTAPK AVPPPPPSQPPKSAGRSSVDIPRGPPPPPPAAPPAAPEDDEYDPFRYSNPQHGLASPT MPPVPAGRPQPPAPSQPEPTADEQDELYDASPVTTSSERPFSPSREKRMSGVPPLPPP HETPVGPPPSSSRSNRTSLDVPRSSHGVRRSIDGPRPSFDQGFMAMDVDLAEHTLWWT QPNTPPPAIQGRKDVLFEIEESTSTKRGGKTTVTKDVYVLYADYSQTVITVTYDARNP SDVSLEQRQEHPPVQPRQDQLENAHIQNGSRINDAVTSIQNTTVADGTPHGLVQHLLQ PLSSALLPVGTRAYGALVYANLANASVQQFDEIRAGDIVSFRNARFQGHRGTMHQKYN AEVGKPDHVGVVVDWDGTKKKIRAWEQGRESKKIKMESFKLNDLRSGECKVWRVMPRS WVGWES ACHE_40141S MGGGDLNLKKSWHPSLLRNQERVWSEEKKALEERKRIDQLRRER DEERQIQDLQRLQEDSGQVRQTQRVDWMYQAPSGATGHVAEEMEGYLLGKRRIDSVLL KGEETKKLERGADFVPVAGAGTGDAGAGVGAPVNSRDMMTKVMADPLFEVKKREQAAY EAAVKESARRGKRVVDGVNGGDRQPEKERERERNRDRSHRHRSRRYSDEDSHRHRSRR HRSRSSSPDYRRSHRSRRDDRDYRDDRNWRGRDRRDRNRREDRGRGRSRDGHDRKDHD RRASSRHRDCDDRYYDRPRRDSYSRRSSSPRRDRRPSPDRRGAESNGYRKPRDYDNRP RDSYRRDRDHSHPQSEAPNGHANGHANNTKSKEQQEEERQRKLAEMQSNASDLEATRR KRIDEVTAMEERQREEDERNRTEKGRFVGQLHRQLQEDSLDQRIQRSRGGLVVDKEE ACHE_40142S MHFYNEAKRLLNGQEVKPSITNLQGLGVVYTCACVCGKDRLGFI LLGQMAEQVRHMMKFPDSIVAKADNQTENTARAVFLTIIGISSLLPEPPALPYKE ACHE_40143S MDQGDSRMHELEEVPTPSVLDMHLWYHSNIMTIFGFMQNPLTFG KSSPAHELHKLIDIRRTRWPMEYIPLPSSQFSIVALFTLLEDLNNPSSASTFADTAIS LFSIAKRLQLAKSMFRLVQGTGLQKELGLAPEIHSIFRDFNEVLWAKEDKEKFSSLYS NFAITVNKETVGEVDLDDFLEQWDSLALERVILLIGNDCMGSMFGT ACHE_40144A MGVFDVIRGRKDSQVVEDLGYSESHEPKSLGGVPEAPPMESNER LSLEERNERNIQLHPDEVTKDAHLGVQKAEATAMVWSKPALFSTYAWIWVCFFMLAFH SSINSNVINKAYSSFSSAPQISTSNILYSIIGGVLKLPIAKTINIWGRAEGYLVFIGV YVLGLIILAACNNPDSYAAGYVLYWIGYDAIYLILQIFVADTSGLRNRAFAFAFASTP FICTAFVGPRAAESYINMTSWRWAYGSFAIIQPFVFAPLAFVFKYYEKKAEKLGLYKH EPSGRTISQSIVHYIHEFDIVGAFLLMAAWVLLLLPFGLQQYGRSTYSSPTFIAMIVV GFCLMFVFAAWEKWCARVHFVQYELLKKRTVLGACCLSAVTYFSFYCWDLYYYNFCLV VYNLSVTLAGYMGQIYNVGSCFWGVVFGIWVRYTKTFKHTCLFFGLPLLILGAGLMIH FRGQGDHSDIGYVIMSQIFIAFGGGTLVIGADMAVMASADREGVPMMLALLGLFNSLG GSVGYAVAAAIYANTFPDALLSHLPESTKSKFNDLYTTGYTEQMKYPPGDPTREAANI AWSTSQYYGAIAATAFLSLAIPCIAVWKNYRVDKKQNKGVVM ACHE_40145A MSTAQPIDRLTLETMSGPPDANANTNANANSGTGNNNSRPRHRR GRGNRGHRHNNQTQAQAPSAPAPDTQAQASQTPPVSSGDNSTANNLDAAPRQRRGPRG GGRGAGRGGPRSDGGGGSGRRRHGRGRGDAGHGPRRVGIGGRTFEGRLTRPEGENAED ADNANDQGLGADAPTFVPGGPPSGEGSSSAATSSKDREPKGKSKAKSNPPLPRPKVTT KSAAPDIATRIHEDILHNLYECPICTSELGRRSRIWSCGLCWTVFHLSCVKKWSKNEG SAAQDAVRRQQEESTGETSTPRAWRCPGCNLAQEVFPSSYTCWCEKEVDPRSLPGLPP HSCGQTCSRARKGCPHPCDSICHAGPCAPCTAMGPTQDCFCGRNSSTKRCQDTDYENG WSCGEICGDLLPCGEHTCSRPCHEGLCGACDVKVEARCYCGNVQTEMLCSARDEEMES EKLRDDSGEVESWEGCFSCGELCNRPFDCGVHFCQKSCHPQDSQPAHCPRSPDVVLDC PCGKTPLKDIPGYSPRTSCEDPIPHCLEACGKTLPCGHPCEKLCHTGPCGACMLRVPI SCRCGRNTMITVCHQGTMEQPQCFRQCKAGLHCGRHSCAERCCPGEQKALERQAMRRK LRSHLRPSDEDVEAEHICTRVCGRTLKCGRHTCPEICHKGACNTCREAIFEEIPCNCG RSILHPPLPCGTQPPSCSFPCERPKPCGHPQTQHNCHTDDENCPKCPFLTEKMCLCGK RTMKNQPCWLVDVRCGQICNEPLKCGSHSCQKNCHRPGDCEDSTRPCQQACGKRKTLC GHPCTDACHAPFACPEKTPCSATITVTCGCGRLRQERRCNAAKAVASKGQVQQPQRLP ALTPLSCDEECSRLERNRSLASALGIEINQSTTLAQNGGAALPYSSETLDMYIQLSSS APLATLQNYESTLHSLAASVSPTDRSVRFQPAKPSLRAFTHSLAADWGFATESFDPEP HRHVFVLKPASWNPPIFGLGNGSVIGIGGMSVGECVKLRERQRLKEREAQRVAAAEAK ASREAAKAQAQGNVDGGGWAQVAASRKSNASGSPSTLPQKTTFSSGSYAALAGGDFGV GADARAGGGAKKERLVLRSGVGTGKQLQSKVQEPVADSWEEEEEKEEEQERKLEDPDG VGHADGTQKQETEVDAEREDNEVEVAASK ACHE_40146A MVSFSCENCGDILTKKKLDPHRNQCRGASFTCIDCMVHFQGTEY RSHTSCMTEAQKYEGALYKEKPTKNGRKGKNEPKLNGMQHRAPYVEDVTDVDSPRANG RAPSAHEQKPTAANEHTNKTDSGKPVNVFDFLQPKTPNSTSKVSLAEPKGQMRMVDHA PLIFETNKTHAHLDTPGNDKSYEQNGYSYGADPIPQSQYPNQGANPSLEFVTPVPKKK KDRSRKDNGVLTSEKKRKRRTDDVDMDDVMPLVDSPMIDAPSSIRNNPGTPMIKHSGL TGGLDRMLRSTSVEGEEQPRRRYEEPVSPIKRSKKEKEPKKTRAERLVSSMFGGSVVS SSSADSQPKTIVRHRRRSSSDDGEVPDIKSKKAPKVRNVSDSQVVAPEPKSKRKTSAQ TVEGDHPSRQVSGPGAGEMLLYPQANVPTDQQRDMAAHFLSLVTKGPESSRGFSINKI LKRFHNDEEGQRPDREQRQEEEKELWRALRLKRNERGEIVVFF ACHE_40147A MASRQITRRVLISAAIALFIVFILFIQPQGPPSPAIRAPGHIDK SAPASGLAKDDLLKGEVVMSRLGNETVKAELGRATWKYFHTMLARYPEHPTQEQRETL HSFIYLFSRLYPCGECASHFQQHLQKYPPQVSSRNAAAGWGCFIHNEVNAMLEKPLFD CNNIGDFYDCGCAEDDEEAAGASGEKHSETGGSKGQSESAHQQTGYHDEGGMPPVEIS KEETTRG ACHE_40148S MPSLRIRPFQSLLRGKAPLPRFPQRRWAQVHDVRYLATHHDPSQ VLDRYREKLDQKAKQEGHESVDSLKDAYKDKIEDLRRKASTVATPEPDTPSASPSSPS PSAVRPTPRPQQPASETKKSESSGIKPLDSFIDVQKFLDLPPKEIEGIWRLRHASNPG SICAVIPLEAYRRISAAARQNPQFILPLPRNNVEQEEPVKNEKGEETGETQTTTKAGA DIHFLQWAFHPPASPPPETATPAQLANAHTSTIIFTSLAAYKLHGAYAQPHTTITNYL DLAESKGLVLMLGQVMPDAGVSTSEASWLVSCVQRFYDFGGQANERKGELVRSFTRGD TEVFKVEHLMEEAEKL ACHE_40149A MNQIYSSVSAAIAIETGDTAPDFTQVNDTRVVKVSTYPGYHLPH LWLVANSQSSQVSTLDLCGQGHFTLLTGIGGGPWVTAAENLSRTSEGVQIRAYTIGFG CQYLDCYGDWFKVRGVSEAGAVLVRPDHFVAWRCQGLVEEPATKLCEVMRAVLGGKGS S ACHE_40150A MEVLRDAGIEHRLKAVATPGSVIQHSTWSDKLAGEEYARIYAWG NHPMQKGDYELASPCSMCDLPQSSLEPVLVEEAQNLGAGFRFSTELVSFHQDTDHVLV TVRNRVSGETYTVSTQYLIGADGARSVVLEALNIPVIGCQHGEALNVHIKADLSKYIA HRPGSLTWALNTDGPTGLVAGAFRMVKPWNEFVVIIHSAIKPTEETLRAYLYQLIGDD SIGIDILSSFPWTINEQCAERWQDRRVLCIGDATHRHPPAYGLGSNTCISDAFNLSWK LAYVLKGWASPSLLETLTSERKPVGDEVVQRANEGIHAHQRLWSLLGSDSESRKKIND SLRSDGAEGDQKRSELRVTI ACHE_40151S MLLCGLVERPLSAIPFGMFVELDFQTLSRASSWLFSSGSDGLLQ AMDICQVISKSHLILERLLAHEVNTGIHLHYSEMFFDVISELIASFPVPHTLRRLSGL VTQAEGAMARPNERMAISDDFAPSGRGARQMNATMALSLAEGIELKALVAVLHFPILA T ACHE_40152S MLRASLQAAVSSRSQRSLHDSALELANWQQYTPMATGDGPVPPS RQQEIAPALHQMSLIPQEGFQIPSRPGGISVSPIARGTYHPGLCTMNPLQTTGLSQSL CGFLQFPTAQPYGQLPLSRLQAGAGRMPTRVEPVQTTDRPQAARYIWLPPLSTVSYQQ TGNQTKESMD ACHE_40153A MAAAITSWVLNPIQSLTMSRRRTRKLWCALSDDLQQSFSIECFA DQDDIETLKKKIWEDIKEEIKDTTARNLKLYCPVVQLNYEEEFDVKNGEFLHPRRMIT TSPLFPESKDPNVDIVVVVSGDTTTRKRKRSESQGVDLSPKRLITEDPHVCPRERTVS ELAAILDDVNIVHVRGTPASGKTYLSELLRQHYRKGGRRVSLIKKWEGLDFKNPWDSL VKLVEKWNEELEGAPTTSFTTTSSESKHDLSWVLTSNTVILVDEAQMTYSDDVLWNTI LKGRQSSLFGYNFRLCLFCSYGSPETGPDQTFFTPVRLSNQQCISLTPQSQQYSPPIG LFYDKEEFRDVVSRSIPVEYQETFTFDEGAQDYIFALSNGHPGAVESILSTLFQTYRH KIKHRHIKTLTEDHVIWFLEDTGTVFQKLSTQPVNRSFPDISRATNGICNTLCKITEE GSIPFDINNASIKFCYQKGWIHRVALDGGDIAVLPSRLHEKYIEYWIGKMSIPLPARF DSLPKLCKEVLREFSITILRHSAEGKKISTASQPRPVESQYQDEFHRGFVHVAGLGVP ISSEWSRTKDGRVDFYIPEKKWAIELLRDHNRVDEHISRFKDGGKYHPWLKENMIKDW IIIDCATSLPTREFSEPRLWHAVFINDYSELQLYNHQKAPIMSVHLHI ACHE_40154A MEEYDSDLVPTQEFKILHNLVTDPDASAADAVQQVLDLTTTEIL SKNDTPGTNFDSDVAWNICILMIDIAANTAPTRQTKLLDFVTRIQKVTVADPRTGEKV GCGNFGYLWTDLHSFGMHVADMCNSFIHHHSHTQKELEKWENSTAFIAQSTAAAAITR NDEPTHRMDFSIYGLYACRDAFEEENQYKSAVRTACL ACHE_40155A MMTLEEFLQYASEEPEWLYEKLQATHQQYDDSLDDYKARLAEEE LRGQVKDGDIALLRRETEEMKGQLQDIKKQLTDVTAERDAFGSQIARLVMDSASGRQA SPMPINSKSTKIPDPPMLTDGKEPRFEDWLLLMSQKLAANADHFDTSQLRIAYVASRC DGKARKHITPRMRDDALNPYTDSKNMLNHLKTIYDDPNRVTTAKHQFRQLYMKNSDKF HDFFSEFLYLAAEAGIAEDDWKDELYTKLTTKLQELCISSSISDGTFQEFSSAASQTV GRLEVTKHWIQKNQMFAPYKDTSKGSS ACHE_40156A MSDSPPPSTSWSIASMANNAVQFLRLPVLASSGLAVVASGLLYF KQNELIYPRNVPVDARTNVPNPRQFGITDYEDLQIPTPDGESLHALFIRPSNKRLARD VTVLMFHGNAGNIGHRIPIAKIMQEVVGCNVLMLEYRGYGLSTGVPDETGLKVDAQTG LDYIRQRAETMHTKIVIYGQSLGGAVAINLVADNQENGDIAGLILENTFLSIRKLIPT VFPPARYLARLCHQHWTSEEVLPKVTDVPILFLSGLKDELVPPSNMTQLYAICNSNRK IWRTLPNGGHNDSVAEPGYFEHILEFITEEVTTGKK ACHE_40157S MIGHGATGMLGEDGIHVDMNHLKSGEVNLGTSIMAINFKDGVIL GADSRTTTGAYIANRVTDKLTQVHDTIWCCRSGSAADTQAVADIVAYHLNMYGITNNE PPSTQVAASLFQELCYENKDMLSAGIIIAGYDPRHGGQVYSIPLGGSLHKQPFSIGGS GSTYIYGYCDANWKEDMTEEEGIKFVRGALQEAIKWDGSSGGVIRLVVLTSKGAVRHL YLPDTGYTGPGATQS ACHE_40158S MLGSTVNQNPSQQSVHSPSSRHPNPPSAEAVAAASSSSQLESGS SRSTSTSTPASFSDTTNTPTSFAAPLLTSAAAAAAARGSARPSSHLPQRHDVPPYISH QSHDSSTTSSPSVQQASTTATRYFPSSPSTNTPAAAGPRPSTSSMNPSSSVTSSASSA AFSHGPPTTYPSSNINHTSASRSGSYSSPASSRLQPTSRPLRSSTGSEASSPNRIRVR DLSHVQSFAYEEYHAQSQRNSQVPGQWEQKRQYEISSMPVTDIIEMVAGLLTKITTTN DVHHEHMHRHLPSPDGTANLTPQATSVLAFHGKNVPGISILSYLTRIHKYCPTTYEVF LSLLVYFDRMTEMVNKGHLDRVRHRCGDYSGTEAENNNDANSHNIISPSETESGTRTT QPMHTSPIVTPPSSTGMVAQDPTSPSSISPSLRPQGAGDPFSHSFVVDSFNIHRLVIA GVTCASKFFSDVFYTNSRYAKVGGLPLVELNHLELQFLLLNDFRLSIPVEELESYGTM LVEFYAREVVSQQQAQARSMNPSLASVRQPEVRQTPTPP ACHE_40159A MREGGLGRLQKRMRGRFGDMLSPDDAYLSYHDIRLTREDMQTLK NDWLTDNIISFWEEYLEREFLVQYNTSNIVLLRPSMSFMILQTPNPLSLREALPDFTH TTHVFLPINDCRNVTEAEGGTHWSLLLISIVDGVAFHYDSLPPGNHWEANTATRKFSI LLNRPFQFVALRDSPIQENGSDCGVFVCLNMRHLLLKRLLLANATEKVSMSLGGKKVD ARAGRKEIAKIIEGFRKEGERRRSLSLSPLGKKSQSPPRID ACHE_40160A MPGNDVDHVSRPPDHSGPRHFRRKSSQSSNDLIYDASLNQSINA SLADSISSPPRGPLRTVTFPLRDLPGPHQESGRASPDPHEFYRQYPRPDIDTGKQQQA RDSASSKTRPTPFQRIASLPTYDTLDSSRTNGGAQNRSVSDTSYRALDNTEATSKNPI TATRSRQVSFKDLINKFEKTNDQVLPMPPRFQDRSASASPAGSPTSTKFSSGDRWNTN KASTQNVDGDIVAPIGMVSETNGKAQVSVDSRPSIPRRPLMVGEHLSVDTSVHNSAAG IPPHMRRGSEGSVPSPNPATLDQLDPSSGRSPLTPTAWYLGKTPFLEAVDPSADASRS HRRVRSDLPGITSAGFAASKSAWSSPHLAAGAAPLQQSQPQQPPSVSTSLESPHSKSR IPISSRHLNSAFVSDASPPRATPSTTFSSRSAAQIALPPKGISRLPKPSSPPDSLPGM SDYDSPESFATGSTGTVHGRVRQQQSGRNPLLQAYIAPPPPQKTAPPLRSSRPRKPVS NATSPTGTGSKVVERVSSLQRQINRDRELRASRRERKLPELGHVDFATRRQRIQQAFN RTVQENERKEEEAAELRRQVEPREEVNADDRYPPSHGQLQQQNQFDILPTSTAEDGAT VIDDQDETCHVGRQPQPTEHQHLTSNNNHNHPSISEPEPSRFLPSLHIDTRLPPLPEL PSATTAMDSPTLGLPMVSDRGATIPRSEPKSSHLQPMSAVTLESTDTHVTTLDPEPQS GLDHPDTSHRTLLSQIMQFRESSPSDESSCDEPDYSLSDNDEKESIPIMLRDAACFEE SVNGNGTDDQETCDASDNSQDQTGDEPPSNRWSASSWSSSLRQHFAEARFENGSGEDL SHAAGSADDSEVTTQSCSASSSTPPSVIGHQFTVTPPQPSVGASDSKGEQASTQNTLG RSSAPSLARLGGWDSKRVTQLYFEELARGRGPNLSVPGAGSSPEPRRSDLTDQRSDGR TDSLTDDPVLVSSLGDVPGTEQPRNSATLLFRDDWEHASPSIADWMQVAADSDSSEGE RIVRARKRNDDLARQEKSKTESSGAHEAEKNLGLAINVQGRQEHNSSGNSSEPQGAQS TVQSETTPKETTTKQPPPLPNQPQASSDAPSRQVQQRPSHVPTQSGNYTPLGLVQSTG SSESSSLQYIETTSSLRAHAVDSSVTSLVPSQSEPTSVDLKRPSPSPEQRRLKKRRHV IKELIDTEYTFCRDMKVVDDIYKGTSSSCLDLSTDDVKILFGNSDQVVQFSTAFQDTL KRSAKSVYVMPKSQRWSSKRVRNRQLNGSSEEQSFMPGTEASDLEKDQATFVGQAFMA HMAQMEKVYADYLKNHDAANKKLQILQKNPKVTIWLQECRDWASDLTSAWDLDSLLVK PVQRILKYPLLLSELLDSTPAEHPDHASLVNALEEVTNISVRINEMKKRADLVGQVVG RKRNQSDVRAGLSKAFGRRSDKLRQQVGNVADMFEDKEYNSLSQRFGDSYFQMQVVMR DVESYTQEAQGSMNRFNEFVLAIESYVDVAQSSWPDLEQRWRQLKTVLHDIMTVALPE HLDVVRKSVIDPMISLLKLYDGPQRVMRKRDKRLLEYVRFTAVKDRGDKPDKKCAEQA EQFVALNETLKDELPKLYSMTARLMEACLKNFVQIQTTWQNILQKRLTPLVDSFPDDV EKIFNEWASDFTFSDAQVLSLGICNGSLLVDTIGQPNYSTPSTGPKSRRPSTVNSAST RVGSNMEDSPKVSHEFNTSNPSFQSPAMDNQSFVSAGRRRADSAVSGRGVSARSVRAA SPEPAQNVTSAPTSNPNPGPVPSLPQLSLDSPFLGDIINTTSGDNQPATPAGSRYSGF FSSAMPMSDNPEDNEPAPPVDHPKEPKVLFLAASIYEFNIDRARREAGYQYLTYVAGE IFDVIAEKGELWLARNQDDPTHQVGWIWNKHFAKLSG ACHE_40161S MAKKRKRPSRHPPITNKPLSPNPRTTANNNPTHPVISLYYSHVL TLRQYLLRQLPVSSKLRRRRIASLSVATAAKDEDHRWSSHLPDLVHLLDTTLVGVLHE SSPIISQERRRDLAVFTESQSQSGSQLGSTSAQSEIVEYVIATLFNRNGYQRPQHLLA HGFQRFMGSRANEPQTMTNIPGIMVQYPNKNVQILQQVPWTDVLDLLGSNGEEVMLKL LLDCGIFPCIDHRKGIYYQISGLPLPVLEPLDKIGPGSDQSLFKYNPPPFPKPGNKNQ DTHKELQVKPNSIIFLRRRALYARPNLNAKGQVRFGLKHDHALNRFLSADSLVQTVRL MQHIFPRQFGLTNVFTSTDTREQAQSFNHSFGHHPIQNKYLGQSEDGQDEVRKIPKRL RGEPVELVQQLQNRHKHCSYAELLRYYCSSEATGPWKLGPASSQSDSDISHKSISQPL VTQEQVPQSSSGSFDELPREETDCKTPSLPQPSVTLTDYATPASSVSAFCRAVLRKLI PPQFFGTGQHGPSNQRVMFKQIDRFILMRRFESLNLHEVCQGIKITSIPWLEPPKLTS EPSRCKLSQSDLQKRTELLHEFIYYLFDSILIPLIRAHFYVTESQTHRNRLFFFRHDV WRRLTEQPLTTLKSSVFEELKPEMAQKVLGRRSLGYSFLRLLPKSTGVRPIMNLRRRA WVKCNWPGKQGSYLGPSINSTVTPIHNMLNYEKARGPGALGSALLSVGDLHPKLKNFK DDLLKQHGASLPTLYFVKVDIQSCFDTIPQQKLIRLIEELVSEEAYHITKHVELRPSD EFGGVWPSEEPTRSNKPQRKYVGRAAPASKPQSVPDAFTSGVTSRRRNTVFVDTLAQR EHHSEDLLDLLDEHVRNNLIRIGKKYFRQRNGIPQGSMLSSLLCNYFYAELEREVLGF LHPHQALLLRLVDDFLLITSDVDMAMRFLQVLVRGQPSYGVSVHPAKSLVNFAAAVDG IQIPRLMGSTRFPYCGNLIDTHTLEIYRDQDRVLEGGDTAAETLSNALTVESARAPGR SLHRKVLAGFKLQMHPMYLDAQHNSRNAVLLNLYTNFVTSAMKMYRYLKCLQGRAHPS APVIIRTIQDLTQLAQRLARSRRGEQSEPLSTNTQWAAPISDVPPSQVQYLAASAFRF VMGRKQTRYAVVLRWLESVCKSARPKADGTAVRIGQVIRQGNSTFGEWRF ACHE_40162S MTTICTTTPNSPPELSGSKSSKSSSFRSSSQLSGPDGIFTDISN FEEIGLEDDAELSYLNDSAATPYGRNRSSTARLQGKGAVTSTTRDLTSTPKRNQYPPL QSNVNGSLSATPTPSSKLRAGGTQKRHSTTAQNQRARSISPFRPHSTLGASPSTQSLA LSPGTPRPLSRNNSWQPNRKSVKDLEAEYHDSDEELPEDASLWNVPISPRPMQERNPS RSASPDGRSAAPRPLPLSHSVSELSVAKSPATSPGASRNRQTIRSSSAGPERGQISPR NPRVYSYNSMMSDLSEEAKIITEALEHHADEKAHRRGENLHSGQSSLRSSTDSKNGSK TPIELPPLQKSNIMIDPLPISKEKERVLSRTRPSWLPPKDQKEEKKHLKQYKQMMAYS READKRRAAKAASAQCEKDNTRETLKNIWDEYVYPNWDRVIAQPRTRELWWRGIPSRN RGATWQRAIGNELSLTEETYHRALQRAKDVRSKSDDDTGESNKRMREWFDAIDEDVSK AFPDLKLFQEGGPLRETLIDVLQTYSMYRSDVGYIYGLNTIAALLVLQFPSPASAFLA MANVLNRPLPVAFLTLDRGAVERTYTLASATLRYKFPRLSSHLYETLKLSHEEIWEPI FRSLLSNGLDLERLSRVWDCWVFEGDRIMIRAAVAVLGCLQTQLFGFTAPNDESRIAV RNILGWGPRHMGAKPKDRNSAPAAPATGFGGGQLAHTSDGDYWILSSAGDEDGFVNEV REAGKVRN ACHE_40163S MSLSNRQIIYANTPSPAINPSLDNGTFKLNTTSLPNGRNESIPE DKVLVRVHYLSLDPAMRQWLTAKRSYIAPVERGSVMRGQSIAQVVAVGADLTSQYAAG DWVVAYSGWQEYALLGAKEAQKVTVPAGGRPTDAMSVLGMTGLTAYFGMLEVGQPQPG NTVVVSGAAGATGMVAGQIAKIKGAKRVVGLAGSADKCDFLVKELGFDAAVNYKDADW KKQLKEATPEYIDVFFDNVGGEILDACLARAARDARFAICGAISQYNAAKPQGPSSFM TVISQRVTMKGFIVFDYAKKYSTALNDLATWLSQGKLKRREHIVPGGLEAAPQALVNL YEGANTGKMMVEVAPVEEKARL ACHE_40164S MSMPISEKSTNPSIRQCFVAMALFASQDPTFIEPPDFIAEQLSI SADVFCQASTHPSPFRNQIAAKQNTPDENIGSQSILFEADNSLATTLVNCKRLIHGSK HKAVYEVTWDGQPAIAKCWSPSYYQSYAHEAMTYENIYAKRPQGYSFFAMMLTHGTIS CSSLFPKGNILIMTKVPGKTLNELWDTISGLEKEHIRSEILKAVLILRSFSVLSVDTG KHNILYHPETHSVTMIDFEIMQACEDTLSPDMPEMYTIFGEMPVPTQVHHYGG ACHE_40165S MDKELQKKAMFNLSTLKQGNKTINELLATFDRYLMEAGQQNQPD NMKIFRLENTLNDDIFNWPVNAPTCNTFSEYCVQLQGIYDRHQKYQQRSAEHRRPPNR RATTPMFPPPAASPTATPTQGEPMDWEPTISRA ACHE_40166S MSNQMTKSDASRIQSSQSRSGHNTGAGSFAARSQSAGDRNSNTS TNSQSNKGQQSKTGK ACHE_40167S MSRLIDKEPNTRVKPMRVLCMGMARTGTNSMTIALRKLGLNPYH GSECFKTPPRDFNLWIEALQCNFFNPGDKKPYAREEFDRLLGPYDACLDVPACMFWAD LHRAYPDAKIILTTRDVESWVKSANKTVFKFVQMPFFRFWQYVDSTCIGPLYRTSDLV WSIFCNGDFREEVTKQKYLEHYEKIRNAVPKEQLLEFEIGKDGWEELCAFLGTEVPDE PWPNAYSTAEFQEHIDIAHREALWTIARWLGMGVVGAMGTLGWLYYRG ACHE_40168A MVKEAQIVHINDADMSPSKMHPRSSPIEHLHILEDEIGLEAVGV FVQSCRTPRTFNYTYGNFDVSEGRFRPLEAVRLLLGCHRDALEELTMLYNDDCIKQLW YDLSAREWYMGTELRHFTNLKTLRSGMHSLLGLPQPHSEANEQYRAASDMVTPDLIDV LPGSLERLAIRYADERIVPHLQRVAEVRARQFPNLKNVAVGFCQEAVKSNMVLQMEGM ELIMLYQSKLKRVAHAYDREGSSWLGSPVFRY ACHE_40169A MAYNSFLRAVLTNPKLAEHIEEVNMLDVSQYDPHEISQEDVQLF QKAVADSPLPVQLKDSLKNGIKEGMACCHACAFAMQPAEPEDCVHVKA ACHE_40170S MASTQPPYYTSSFGNPNQDLNSTTPTGTYLGETGTGTAANTTDP RTGPAPSTAGPHRTDMGNAADPRVDSDLNNRAQYAPGTTTSGTVHPGTNQGMSNPENT RNSGPHRSSILNKLDPRVDSQTGNTTTKSTNMTGSGARRSSTYADTTPDAAAGSIAGG GSSTGGAGGAPGVKDTRSHYDPRTTGYNPATGSGYQTGHSGGSYDPNSQDYRAEYASS TANKSAGTEDSKAGRAGDEFGKGIQNAYAGIHGAGESLRGALNAAVDRTFGSPEGVEK NQGIARKGEEEIRSGLSR ACHE_40171S MPLPAADDQNPLRNHYKHLPVNGVKTPRPRPSSPSPAAAAEANA NNNAAATSSDSDEPAPQAAEDEAGDVVGVSMSRVQTRMKARSTPAEEKNRIMERDGGY FAVPNLVHSDGLYLSDITAEPESVEEKSPSRSSTTIPDRSPQQQQQQQQQPRHRKQDS EPVQAVQSQGKEVTYSDDTRLPKDNGGSRTSFTRSILGNLPKRPRALSGDAIANLNFR KFLPDLGSLTRRSSLSFRSNSSAQNTRPRSQIIAAKPPPSVGLAPQQRLTELPPPEAI VSQRRKSESNMNGGVDSAGDEPISDLQSLRNSTAAKHSFTRRPSAGGPGAPPLLRRTS SDHSLYLRASSTVSSLDHRPQFEHIHTQVNSRFKAIKDSLQDSSSRLLSMPSFNLHDI RADWGYKPFFQDVSYRKGHEYSVEADSSSFAHEEHARQQRANSQYNTYPALTEAMKEL TGDVVVMGGYRGSILRSAKPPHRQLWVPMKVGLNLRKVDLEVGFNPEDEETMEERVIP SGILSHVGPVDICRRLIKRLQKCDNSLKGDLRVQDFGYDWRLSPHLLSQKLIKHLEGL PCNAPDVPRENRGAYVIAHSLGGLIARHAVNQRPELFAGVVYAGVPQHCVNILGPLRN GDEVLLSSRVLTAQVNFSFRTSFALLPEDGHCFIDKRTKEEYPVDFFSPQAWDEYRLS PCINFALPPTGRNLMDNLPLLAKRLSMRNGSFPEEPDSAQEYQSDMSSSTDMLQQQQS QQLSQPQQPQPQPQSQQQQPHSRPPSQAYETHQPDLTPSIHHHPPRYAAANPQHDHQN KPSAIKPALGGLVGPTAHPRGAAQPKTAATTNIPRGLAMSYLERTLADVRRFKEELVY KESHQTENRYPPFSVIFGKSVPTVYGARVESREQIKRQDAYDDLAFAAGDGVCLASAA MLPPGYRVIKDGMVKTDRGHVGLMGDLEGMGQCLLALVRGRKMGVGLGVPESKEKVEG TYMPNDSNTTPVPAAEAGTEHGSKGEAEHEHKTTEGTAKADHVHPIPV ACHE_40172A MDAASKQPTKLVKVTRVLGRTGSRGGVTQVRVEFMDDTSRSIIR NVKGPVKVDDILCLLESEREARRLR ACHE_40173S MDLVNHLEGRLLFAVPKKGRLQQATLDLLAGCDVQFRRETRLDI ALVKNLPIALIFLPAADIPTFVGEGRVDIGITGRDQVAEHDAQLPPGQVSSVEEIMDL GFGGCKLQVQVPVKGSFESAKDLIGRNVVTSFTALAGQFFAGLEGESDAPKKTSIKYV GGSVEAACALGVADGIVDLVGAFPSPSELMNFFKNGLTNAESGETMKAAGLKAIDTVV TSTAVLVKSKNATNPLVDLLTSRVRGVITAQKYVLCQYNIPRAELATASGITPGKRAP TITALEEEGWVAVSSMVEKKNIATVMDELSKVGASDILVLNIANSRTG ACHE_40174A MPQSSSQGAASSPPDLKRKQPTIASFFGKKPSQQSPSGAGSQPQ PKSKEREDSQGDKENKNQVVEEKEDEDEDEDNDEEVVAPAPKRARVNGSQDFGRKTSA AAAEKQDLAVADASQRTDLSKFTSSPAVDIAAEKTQDTDTDDPEAKRRQKEKEKLHQK FVKRLGGPDCLIGIGSDGVNDAGGTEDVAEAEDDEEPAKPAPKGKATKKGGSKLTPLE KQVIDIKRKHMDTILVVEVGYKFRFFGEDARVAAKELSIVCIPGKLRYDEHPSEAHID RFASASIPVHRLHVHVKRLITAGYKVGVVRQIETAALKAAGDNRNAPFGRKLTNLYTK GTYIDDVEGMEGPAPAASEGSPATGYLLCITETNAKGWGNDEKVHVGIVAVQPATGDV IYDDFEDGFMRSEIETRLLHIAPCELLIVGELSKATEKLVQHLSGSKLNVFGDKVRVE RTEKKKTAAAESHSHVSSFYAEKMKSSNAADDAQASSLLQKVLGLPEQVTICLSAMIS HMTDYGLEHVFELTKYFQHFSARSHMLLNGNTLVSLEIYQNQTDHSAKGSLFWTLDRT HTRFGQRMLRKWVGRPLLDKEKLEERINAVEELMSSERTVSVERVKGLLGKIKSDLER SLIRIYYGKCTRPELLTVLQTMQMIAQEFSDIKSPADTGFKSSVVSEALAPLPTILED VVFFLNKINMHAAKSDDKYAFFRESEETEEISEEKLGIASVEHDLSEHRTVAGETIGK KKVDYAAVAGIEYLIEVENSSSTIKRVPASWVKVSGTKKVSRFHTPEVIQLLRQRDQH KEALAAACDKAYVFLLAEISTHYQSFRDSVQALATLDCLISLATIANQPGYSKPEYTD ETCIRVEQGRHPMVEQLLLDTYVPNDIDMASDQTRALLVTGPNMGGKSSYVRQIALIA IMGQIGSYVPAQSAKLGMLDAVYTRMGAFDNMLAGESTFMVELSETADILKQATPRSL VILDELGRGTSTHDGVAIAQAVLDYMVRSLRSLTLFITHYQHLSNMVHSFRDHELRNV HMRFTESGTNTEEEQITFLYEVGEGVAHRSYGLNVARLANLPAPLLDLAKKKSAELEE KIRRRRLGGLVAAVGGVLAGDESDGLIERLVGSAEQL ACHE_40175A MDADALLCNICPKRPSFSDVSHLLTHVSSKAHLSSYFKLQIRSQ QEPQAAELLDDYDLWYKANNLPKLLSDRMALKEARKKKPQGKNGTGNVAKKTAKAQAT ASPKNPDPPARPSLRTVSDTRPPGTFMTMGNAAANENNVIYTSYPRPATPSNRVSHAH PLPVTPSRPIPTPWKQECESEPDDDSGVFMQSIPAWDSRFHRSMDTNFSLLRRSVSYD PFVEDDDSFDSPRTADAERERADEITRLKGVLWPGMDIFDSATEQMRRKRNQKKDESV LRRMEKTSMGVQPTELVFSPTGILRKQRVISGDVDDGSPLPGETPIPRRVPRPKRVLS EADPNTHRVQTRKRSKKSTSTASSKNLRVQPRRSARIVRPSAPRFLMFRGVDHRPSRR AADDDDDFELTLRRQGPKPRRGFSVFLDEPSNHDIGVREPDQPVPEPEISVSRGNDLL SDSLQSTTSAHGTSLMQAPASTTTDKNIEPFLDVPESLDSPFDWDSPDSNQHYTSDAA FPPQYFFGDEPRAGLGLFDDHDRTPTLLTASLPKMPDEDHIYSMGTHPSNYREPSVFR DLSPDATISDMEEEEFEQFCLNGCPSS ACHE_40176A MALVSGPGRAAGRQSDLQLYADKHVVYVKNLDTRRDELEYWLTE HLRLNGVYWGLTALHLLDHPEALPREETINFVLSCLRDNGGFGAAPGHDAHMLYTVSA VQVLVMLDAVDELDERGLGGKQKVASFIAGLQDKETGCFMGDEWGELDTRFLYGAFNA LSLLGLLHMVDVPKAVAYVHGCQNFDGAYGIRPGAESHAGQVFTCVGALAIAGELDAI DVDRLAGWLSERQLENGGLNGRPEKLEDSCYSWWVMSSLAMIGRLHWVDGSKLAAFIL RCQDPEAGGFADRPGDMVDVFHTCFGVAGLSLLRFEGTKEVDPVYCMPKAVTSKCLAK ACHE_40177S MPSRHSAVPNLDLSSFSLQTNLKISSPLSPGGQSSEGPSPLTPR SPKSSSSSPFFKGTTIRPVTQDSNTKSTSPTIPHSPSSYTAEPPATPGVTAIPQYPPS PKDTPRHNRDQSRSFFANLKAPKSSHRAQRSDSSNTSSDKPKSRGSSTDRKTPISSKQ SESSPDLLGAVAQPEENTDNSDDKNSQLGIKKVGSTTEPGVKKNKPRFANLLSRSRSI RLDDSSANRLANRRPSTSFMRLEDTAKREFQEPPRSATFRPERPVKTTGNQMARNYTA QSPVDFGNSAARNKNLGGAMVPSASLSQVSGASAALFNNIKQSSTGAADRIGKAGKGF FGKITRSGSTNERELINDDNYVCSVINMPIIEQARRTRISKRLEDCKDKTEFWMPALP YRCVDYLNFKGCEEEGLYRVPGSGKEVKHWQRRFDTELDIDLFEEPDLYDINTIGSLF KAWLRELPDELFPKETQAMIAEKCEGATTAPQLLKDELSKLPPYNYYLLFAITCHLNL LHSYVDQNKMDYRNLCICFQPCMKIDAYCFHFLVCDWKNCWQGCWTEKEYLQIEKEMD EKEQAAAQAEAESESQHPNERAISSSGSSQPAAEEESQRPPSRPETSRGRKQRPKDIN TGASATSSHTRSISQLPELGPPLSPIRI ACHE_40178A MTEVEMANTPTAGADSKPERLPVTVSKPTPYTFDLGHLLANDPN PLELPRDQPLNTSLKSIARDGVQSLLNQLITTCPITSSPQQGVLLTLPPPATALPRHK PLPTPKPPTKWELFARKKGIGKYSTKPGAALADKERRKKLVYDEEKGEWVPRWGYKGK NKNDDDWLVEVDEKDWKKEEDAAAKGSSVRGMSRAERKDRIRRNERKMRSNEKKARKG GN ACHE_40179S MASAFCRGCLSRLPRASFLPPPPTVPRTTTTATAAAATAGFHTS AVHYANPTKKSNSMDSGPKYRQAKSAKMKRKKPVERTRPPPIGERKALRNRIVLGNPN ALEPADMQNLSVENMGDPRVRGTVVGLPVSMLEQLRSVHAFKKSQRWGLFRRPATFMR KEALEMGRLFEKISGEEHGKVVKKVITGKVGSGKSVFLTQAMAMGFLKNWVVLTVPET QELVNAHTGYAPLNDTNPGLYVQNQATSQLLQRTFKANEKVLSGLKVSQQHPALKSLV KPGMTLAQLTGLGIKDQAVAWPVFQALWAELTSTSAPRPPILVTVDGLSHWMQNSEYR TADFKPIHAHDLVFVRHFLSLLKPGANGKSTLPNGGLLLYATSGSNSPRIYSFDVALK QLEATTLGVNPKSPEFPQADAYQNPDLRVIEAFAAPQPASDKEGKLELQPLSGLTRED TVGYMEFWAKSGLIRQVMDFQWVSQLWTKSSGGIIGELLRLGSRLRVPQRT ACHE_40180S MADSLSIATCAIYAVLFFPVLYLLVRHARFGLAGWLLLLIFCII RIVGGALEISHTGTAAGIISSVGLSPLLLATNGILHESRIYHIRTVGWKVPAIFEFLV HGLVAAGVVLTAIGSSKLQSLDGSIDSAEKMVKVGIALLTAAWGILVVLAGLSFAASR TNYDAIVRAGTILLYSACLALIFSGIRVLYTLVVLCTQKGSFILDYDTLALRVVLSFL PEVIAVIIYICAGIMTQSAASKLANYEPEDLSGRRHFTTSRA ACHE_40181A MSNNPSKANIFYSLPPDKIIKPPEFPPRPDLFDEVQWAPYISPE NAKLARQLWELPDSILGSVTSRNGRFHPRPATAMDALAYNVYEHLMQQHMIPPSQDDW EQRWRDTTLHNKTWSVEEIFNPAKGLHAQYSDGPILVQGHGVLSAPYWTVARLKAELH SRGLDASGRSADLRRRLHDHERRSRGHTFLPKSDLSHWGVNRNDNFTFKLSVTNALKP LDMYTWAIMLSPYNPAYWLSRAYCYYLQAFFDLAIGDAYRAQLLCEVLNDVRQRNRQP GLYLRIWNAIEQHILADARNDNDSTKWETETLRGVNGINSFVATIRRALHNIISLSLA ALGSWKDYKVMEHYLPERVIFKNDRDASVFERRKRVLKDTAIEYRDKRSKERLFYHEE NAGNVNGGKEYPYEANDKDRTTNVSLELINNNAFRDYPKCKVRASDEDDSLFVVATED IEQKTLIFAEEPSIRAHLGVTRLAEDQVPYESKPRCENCRRPINAAVLARYDSESLAI KNGTHPEACPCHLLEAKEHMYFCPADPQQGTTCLQIAQKLYHYRACGKNWDWLHDAMR ARITPWKLFHHYPGLDDYLKQHLNNYLDFFTHTNEKHGTALSLLLREVFDITLMRRMQ TGDANLMAHEIDELAMLEDPKSWSNSWFPFTFAANIRVPFDILLQLGVDIFSDLTFDT WVIQTVLRKLIINAVPWDEKWRGTAERVKREGLDKHGELPSTAEQRAMIEQKKSFDVF DSDFQTLYVFSGFSLFNHACNYRGHNANWGYDKEVPNRILVWAAEDIPKGTEIRIPYK FRPMSSMSARRILGKDCQCPRCCDGYDSSEEDDDSDDEHYDQNNGQNYSQNYAQDYDE DYDQNYTMDYALNCARHYAHNYNHHNDSPPYGPPEEREGDTESLLTEELEHLERSNTS ARILREGSGPNGPPSVNQLLSNPYEPERPRSSQISPITEQAQQAENIQFVESSPRQQS SESQSSQQSSPSGPSDSARAMFPPPRPRAQLRQTHPRPLPPGLKTKITCPNRLSQEWQ AAYYASMSKSASGIRAKNSNILTRSNMRNLMANTPSSPRVSSSLSRSGSDSNPDPYRY PPHDPSRDHNRDRDSDPHADHGQGQGQHQDRYTEYQYQDQSQDYGQDQGRDQDQNQSQ NQDQDQSQSQNQGQKRGENQSQTESQSQDQDRNQAVTQTQDHRETQSRKRKDPSVINR FAKLLRRY ACHE_40182S MFSNSGPPQPEWRLPPRPPTTATGTTTATTATSTPSTSPVPPPP PPAPSAPVLPSYNPNVFGPMPGAPPVATGIDTTAWGVKFNHQHHHQAHSPPPLPPRPP IATDHVPARIQSPRVSSPVSNKPLPSVPPYGGQIAPGQYSYGPAQALGPVPPPPPPVP PGYQSQLQQQAHPPAPVASDQSGVINYTTSSPLERPLPQPTLAVSTDFGPFTNTGNGP PPVPPKTSSNVFTTSSSMGPFSPSDWEHLGPTPGYIDDTEVFSSKKTTPVPPVEPSQS HATSMHSPASNPYIPTSTPPQNASPAFQVPHADDQVGMQKPSTESPVSTSSAQEPPRP SGPSRVNTAETTQSSATGTTEKIDGVIEAWARPISPDVRKSADGSRQSPISRPADKTI QRKPSPIDPIDIPSSRSGSRTPAEEGQQLSTPGGSMANAEGVASRLTVVDPYEDLDPW FKSSLTRYVAMLRKEAVADSDEERYKIFTAFTAKETKLREILYGIEQEPKSTRAEDVN SRQPTPSPQQSAEKEKEGPPTPQPSAPVESGLIPVESEQDVLESTYTTEDFEDGEYSP GGRPIIPRLYTPNNLERPATQPPGHQASKLTDTGSQYGVQDQFSRSSSVPPSMNSGIH TQTFAPLTTNPPQPIYTPFRYIEGPQRGSDNLALDKPAYQAYSDLRQASAESGRVMAN APDSDTKTGVNTRVSSPAQNEHSETFIGLIREKSVTYKKRRPRRASSPPPLPPSLRQG RPDPVNDLRSMVSSPLAKQSESSWHVTTRKSLEQYSDDFTYIQEAFSTWETTAKIRRQ NLDKERMQRQEESEAHVDSLFNGKEIGYADINVLEEEFRQAEARVQLDEERQELDDFI RIVFNPVDERLGREIAALLNHYESALSQLSHENSKIKDSTDRHNLSHTMNIVNDIYRN LEMRYQKRLNIAFDRERRRKKAERRPLVFMGDSGALRKLDNEFDQMEKRNIFEAAKDR DERANRLMDAFDDAIMHGLGENQSLLDDVAAKVKKVDASHLQSTSLPESEVEQILKSV ATMVDSLRRDSESILHNFGIADATLNNADYRLSVAEARYTNADHDVFRRLNAEKQKED AKIQKDLESKLESVRDGPAEITHKISELLKALGKDPVAEPEASSDVTASTSHPVDSLM PGPRPATAGLPSNEDSEHQRRIRRALEAAKKRNAAKAHGTMALQ ACHE_40183S MSAPTKQFHKLSIGGNMPDRRTQAERRAAGAGSHRPSDDDGDDD NEPMQVSGESEQADEEVDEEEVEDEEEDVTESSQSSTVQARSGITYDLAHLDPDSEAR ALLGLTGRFDEIHCESTQTGYDFRLSERPQVHIGSGTYTCTCSAYQSRPDVACQHIFW ILDQLHGCFMPQPPSSDVPLLSNGHSPQFPRIEHLLDGKLEMVAEQLNWQFSRSKVEE GMGRPQKVRDIMSAFSTVILPEDFRLDLVEETTQLRTPEQCVVQGDFEATMFRLAVHN DEVYASLCKAMPPGACAAIYFDKVQEKMRKLLADFDRYCLTGQLPAADGTGTSLDVDA VVNDLQRNVDRVQDNIIARAPHGTEGAAKTLITILEDICNRDKDALDGNRWGRASFNG EDEDQRNLYHRLVGKADETGEYFVLDALEHIPATDLHQFGDKMSAILHRNEVNRGPKS YILKLNALVRLAVESVSSGSGQKRPATATTGGNSKRTR ACHE_40184A MAVSEIPKKQKAAIYDEPGSVSTKVVELDVPEPSTGEVLINLTH TGVCHSDYGIMTNTWKALPFPTQPGQVGGHEGVGKIVKLGPGTESSGLKLGDRVGVKW ISSACSNCLPCQAGSDGLCFNQRISGYYTPGTFQQYTLGPANYVTPIPDELESEQAAP MLCAGVTVYSALKRSNARPGQWVVISGAGGGLGHLAVQLASRGMGLRVIGVDHGSKAE LVKESGAEHFVDVTQFPADDKGEAIAKHVKSLADGFGAHAVIVCTSANAAYAQSMGFL RFNGTMVCVGVPEGEPQPIATAFPGKMIIGHQTITGSAVGNRTDAIETLNFAARGVIK AHYRTEKMDALTSVFEEMGQGKVQGRVVLDLS ACHE_40185S MPIPIVDSHIHLFPDSHLPSLAWYGPNSPLGSQHCVDEYRQATS STPIISDSTKPLYLRGFIFIETDRLSSVEESGHGWKHVLDEVALLARVVRGEPIDGDQ HRYVDRPPCLGIVPWAPVPGGAVVLERYVKKVKQAAETDDVWRKIRGVRYLVQDKPSG VMLQPEFIDGLKWLGRKQLTFDLGVDARQSGLWQLREAVEMMERVYEGVDEKDQVVMV INHLCKPNLRLPFASPESTTTHPDFLEWKTLVTAMARYPTAYMKLSGGFSELPPLSPA PEPDIKFLVERLHSWTDAVFDAFGPDRVMFGSDWPVCNLGGGGNDATWRRWRTVVEGV LERRGLSEEQRKGVWGKVALKAYGIEIEGL ACHE_40186A MPLPQLLVGKVAAITGGLTGIGRAIALEYLRHGAKVAINHLGGP NEEAFLESIHKDAVEITGLQEKRFLTVAGDVTQPETGKEFIAKTVAEFGRLDVFVSNA GVCKFAEFLDVDPPLLGHTVSTNLSGAFYATQAAGRQMALVQSPPGGSIIGISSISAL VGGGQQTHYTPTKAGVLSLMQSTAVALGKYNIRCNALLPGTIRTQLNDEDMSDPVKRE YMEGRIPLGRLGQSPDLAGPAVFLACEELSGYVTGAQLLVDGGLFVNLQ ACHE_40187A MGSTAASSFPRIKEVRTFLIDGVGSGGDYHNVKGGHWLIDSNIS TPMTRWAQYRGSRTSWGINVLGSFCVEVEATDGTKGFATGFGGPPACWLVQQHFERFL LGADPRDTNDLFEKMYRGSMFYGRKGLPVAVISVIDLAIWDLLGKIRNEPVYKLIGGA TRSRLDFYCTGPQPAAAKDMGFSGAKVALPHGPDEGTEGLLKNIDYLRKQRESVGPDF PLRVDCYMSLNVPYTIELVKRCEKEGINIDWWEECLTPDDFDGHALLKRAHPTVKFTT GEHEFSRYGFRKLVEGRNLDILQPDVMWVGGMTELLKVSALAAAYDLPVVPHASGPYS YHFVVSQPNTPFQEYLANSADGRSVEPVFGNLFVNEPIPSNGFLDVSILDKPGFGLEL NPAAPLIPAASILTPTPQKSLAPPADEQNANGNGN ACHE_40188S MPNARASVQNSGDQDLSADELWSGAESETNNRPARNGSNGLKRK RPLIVSCELCKLRKVKCDRAQPSCGWCTRNGQLCEYKERKKPGLRAGYGKELEQRLDR LEKTIQSQGRLIETHILQNPRLLPDLPQAGSFPYSSPSEPSAAHNPSPRNDLFKEPSS VPAHPSQDTPILGQHDYTDNDSSLNVPVNLFSNQARSFADPELELPPYDLLYALVDLY FEHVNPWCPILHRRTTLDNFFGLSPLAEEDRMVLYAIVATTLRFSTDARLNEQNRKQY HDSSKQKVLLYGLENSSVKALQALVILALDLVGSSNGPPGWKLLALITRSVVQLGLAV EPKSRLVSPVYPSIYTLRAVVLPEPDSWIEEEGRRRLFWMVYLLDRYSTIATAFDFAL DDKDIDRKLPCKDEYFVKNQPVETRWFRHFNNRTDYMNRAENVGFLGLYVEILGILSR IHRFLKRPVDIGSLSDVEEWQATYRKLDSELTSWEFNLPAEYAYENSSRLFSGSKHAR ALQCDWVQLHAAYQTAVIRLHSSAAYPTTRSPIFTPSYSASQRCLLAVDNILSVTRLV VNNKLLDKLGPPFAFTLWVSARLLLVHGSTIAHTVSPDFTFFVDTLAQMGMHWKVAER YSSILHRVLDEYGEYQQSGAMDSERATPSTVKILADMRRCAFDLDFLISRQPRSSPAS SSQPPMSSAAGPLRNLAPNELEYLDVFGFFNVPRVPPTRALDIASLDVEGTGSNNPSP MHGLTGGATDPADAVVDGHSSNMNDLNITNYLIPTPETDWLFRQTR ACHE_40189A MEGPVDPETIYTKQSCIGGGSFGRVYKGVDNRSGKPVAIKVVDV EDAEDDVEDIISEIAILSELNSPHVTRYYGSFLKGSHLWIVMEFCSGGSCSDLLRPGK ISEDYIMIILRELLRGLEYLHNDQKLHRDIKAANILLTADGQVKLADFGVSGQLSATM TKKNTFVGTPFWMAPEVIKQSGYDYKADIWSLGITAIELACGEPPYSDIHPMKVLFLI PKNHPPTLQGDEFSRYFKNFVELCLRRDPRERPSARELLEHPFIKRAKRTTYLTELIE RHERWQIEKANEKNKDNGEAQTPDLSYQSFHDAPTQQAARQEVEDDDLWDFGTVRPGV RGAGLKPMKDADTNTRNQGHMEWDLSDQPQRDQRIPSGPQLAAPHRKPSGPQPMSPQR GSFQAIPNPSPTKVPLPPSPVKPIPIQNVPQTPTHLQGPTVFPQKESPGTSEYDRELQ QSLVQDLGFLQLDRPTDVRLPSRELKVESTEPLSQQPLAQPVKPSPRQGHIPSPPPHK SPKIPSQGFMPAPEVRPQQPAFAQSHVRPPPTPKHRSPPSQPPSQPQTPTRNPQSPKS PTTSTFNNANYLRGGARASSHEMNRPSQPQQQPLTPTRNPQFPTTFNNANPRGGPGAS SHEANRPSSSSNEITALNSVLVPALKAAIRRRSRRMERLRRNVGNERSSEVIDLHERR EYAHDMIESLVSDISGMFTRIERLDQEAPIGMGADVSSFLEGFLEEVLVRIEPADEEP SPGKR ACHE_40190A MNDDVVAQFTEITGSSPELASQYLQLADFNIEQGVSLYFENGGA PLTDEPSMSGPQRASGRQDESGVVHIDSDDDVTVDETRSAPRGRPAPAAAFEDDEAMA RRLQEEIYGGGGGGGARGEDDVRAPMARTTETLVGPEDDFDDDMQASILARMRSRGQR SNRPGIFNQRDTSSIWVEDSDQANRERLAAATGGASETSNKSSMLAEMYRPPFEIMSR LPWDAAREEGRDNEKWILINIQDSSIFDCQVLNRDLWKDPTVQETIKEHFIFLQYSKD DPRATPYLQYYFQAHDVGDNYPHIAIVDPRTGEQMKVLSSGPPVVKPADFLIQLHEFL DRYSLKVNVRNPVAKRKPEKKEKTVDAMTEEEMLEMAMRNSLGEGATQAPKLEDPDEL TRSVEDVKGKGKVPEAEDISMGGVKEEELDPETSLFVSIPADQPHTEPPADPTTTTRI QFRHPSGRVIRRFALSDPVRRIYEWLKADPPLEDKKGVTFELNAMGRNLINQLDESVA DAGLKNGTVMIGYHED ACHE_40191S MEDNQRDAPNTHSEEAESPPQPSTREVDDSMNEERLQPESTTEV DDSQGVNESSLEGENDSPENRDGLVNELESSNREGSVNKHQTERRSARTHQPSNALIE SRESEEIYSRKRKAEGEQDDDRPAQRMRAQLARLAIATELLIGDREYEVAHKAREKAG IRIPKSYSEAINDPIYGAKWKEAIHKELSTLMSFGTWKVIPRKQADGNISTCRWVFDV KLGLDGRIDRFKARLVARGNEQSDDDFDETFAPVFRLDSLRILCAIAARYGLIAHVMD ALSAFAGSRLDKPNCMEIPEGLQDFDPDAKEGMVLKLLMSLYGLRQSAYLWHRKISRF LKSIGFDPITADPSIFINKRGLIIALYVDDIVIFGRGEGEIDAVKRKLKEFHPMTDGG LVKKLLGIRFMWRRDGSIRLDQESYARQILEEFGMGDCNPAYVPISPSVKLNSEDTPQ LGRSEHKLFRRLIGRLIFMVVATRPDIAFAVNQLSQYLAEPRRIHLGAAKHILRYVKS TMAHGLTFSAKGREGLTVYADSAYANSAKSRSTTGFILMIDDAPITWTSRKQSVTAQS TTEAEYMAVSEAAKQVIWTRHFLYAIGKASIYGSTPTTIYEDNRGAINLADNPIDHPK TKHIAVRYHAIRDHIGNGEVRLEHLPTDQMIADALTKASHRDAHKRFIKRLSMI ACHE_40192S MTATVLGKRSRGALRTEAPALPVRTSSKRRSRTPRILQAEDKSS VSSPRQLRSRGRKNSSIAEEKDQENDTAEKSAPAAETRRFKHTIQPTTSPVKVHSHLQ TTIKPANDENADPIGIKTPSKSRYQDVLESPPITPKHRVQVGAKSLTPRTPRHVSTPT TTTTTTTTQTVYTQARQLFARGANSGRLIGRETEREKLRSFIEDGVESQRGGCLYVSG PPGTGKSAMIDEVCQEMDFSAVKTAHVNCASMRGPRDVYSKLVEEFCDDSEIFKKSEA DRLKAMFIPDKSEDGLFFVTLDEIDHLLTSDAGVLQSLFEWALHSKSKLMLIGIANAL DLTDRSLPQLKAKNLKPRLLPFLPYNANQIANVITNRLRSLLPSDQNAEPNFVPFVQP AAIQLCAKKVASQTGDLRKAFELVKRAVDLIEQETLKKLEKQNDDPQTPSKTILIENK NLSTPAKTPQPNDSISVYTALNAPRASIAHVARITSSAFGQGTVQRLQNINLQQKAVI CSLIALDRKRREDGITSTPSKTKISAPTVKQIFDTYCALCRNDNILHPLTATEFKDVL SNLETMGLVGEFQGRGRGGTVAGGSDLRRTPSRSSTSTPVKGSDEQGLVCFVSQREIE GQIAGPGEGILRRLLSGQGL ACHE_40193A MPGFADSFWTPDYASGLGVLYGKLQQGVVENKQILTVASLRADA EEQYGLKLGDIAPSVDRMPNGFGKDDGASVRKAYEGVRTEMVEAAKNHQKIASNIREL VVSPFRRWCGQHEARIQLSHDDLQTRIKEHTKQVEVVKKLRSHYFNKCRVVEDLEEEN KLAFQAPQHSPQSKNTPRLVLPEKQPEEEEPVEIGDRIYPPEEVKKLLVHMLENIKVG DVKVPIMGTYQNTSTGADIVEYTQKYMNATSLTYAEAIGQDLVDNGFLRLVGNMGNTF ANSSKMNYQWRTKVFQVTGIPEKKRPLMRVTSAASSEDGGNDSPIASVSEMIAGWNPL NNPYPNETPTEKLHREAREADERYKAAVRKLDQIRCKLEEEIVANLRFMEQCELDRLK AIKSVVLDFSGAISNVIPNLQSTVDHMMLYQETIQPLGDLRYLLENYRTGGFVPRVQA YENYYGSVEDQNFGVDLEARSRADRKRVPILLTTILTYLDDRYPELEGDEARRAIWLH DVPLEATHRLRNALNNSKVDYQEVLEQSDIPVVVSVLKLYLLELPDSLVSSQVYEIVK TIYSTTAHETTEEGRIKVLQSTLGQLRLNNIATLDAIMTHLTRLIDLTSADETFVSTL AQSLSQCVLRPRWESSLTMDERHSYRLIRDLFAHKDVIFGELKRQSSANGLGRTNSRP RAISTDESNRRAAMEARNRAIVDRSRGHSPAPPRKHRRDRSSGASETRRFPINVTSPT EKRTTTRSSLDVPSNNNSPTGTEQMTNVNIHAAESATNDTPDDSPVSAAASISTSGTS SPPPPPAAATDDSPTPTPTPAPMQTSTPSDADKRTSISRSSLKFTRKPGLGSVSSFPT SVGTNSNRNSIAESEPNRITLEDKPMDDD ACHE_40194A MEKDAIEPKTIRTGSGDPPPGYGETELIRGNIGRRFLDSFKRNP NAQITHQPPADGSNVDPEIAAQNTANSPLERRLKGRHMQMIALGGSIGTGLFVGSGNV LSTGGPASVLIAYGLIGVMLYCTVHALGEMAVVFPVAGSFASYSTRFIDPAWGFAMGW NYSLQWLIVLPLEIVAASITIDYWDSNISNAAWVAIFWVMIVVINMFGVKGYGEAEFV FSLIKVIAVIGFIILGIILNCGGGPRGGYIGGRYWHDPGAFHNGFKGLCSVFVNAAFA FAGTELVGLAAAEAANPRKSLPTAIKQVFWRILLFYLVSLTLVGLLVPYNESQLTSGS SSADARASPFVIAIKNAGISGLDSVMNVVIMIAVLSVGNSSVYGSSRTLAALAEQGQA PKILAYIDRKGRPLVAQGVASVLGLLAFLAASDKQEDAFNWMLAISGLSSIFTWGSIC LAHIRFRRGWKAQGHSLDELPYRSQPGVVGSWVGFIFNCLVLVAQFWVGFAPVDYGTM TASGRVESFFESYLAAPVVIAFYIPYKIYTRSPFMRAKDMDLQTGRRDLDIQHLIDEE RAEQAAWPWWKKAYKFFC ACHE_40195A MASQLLPLELIDKCVGSRIWVVMKGDKEFSGTLLGFDDYVNMVL EDVTEFDYAGGKSQLPKILLNGNNICMLIPGGEGPGA ACHE_40196S MRFCRSVDVMKPWNGRILFNASRIQNANLIASRRPYSISTLGST CRKFAVLDRDPVGGRQSHVTTHVFYCPSNISIATNGEDTSIFHIISRSYSTGSAAYSV VEHGNGPPSESFETHRVTQTTSYRSQTQQNVTTGTVARQRVARNPIPPKPPATKYWSH HLHKAPDGNPIIIHYCRSLRTMEDVAQYFLDDEVIGFDMEWKASATYADGIRDNVSMI QLASEKRVALFHVASFIGTDPKHFVAPSLRKILESPDITKVGVSIKADCTRLRKFLGV NTRGIFELSHLHRLIKYSQSQPKLVNKRLVNLNDQVEEHFGLPLLKETEVRCSDWTRP LNYDQVQYAANDPYACICLFKTMDGKRQAMIPMPPRPAHAELDLPIRLVEEAQKATVA EENAAAELGGTANSNVDGKAI ACHE_40197A MADHDPHLSTIPTSHSAHFYPFATSPDIIRSHEKDGFITGSLIN QAQNIARSLRGARFAHAHSDAIKHLTEILYFSLTTLIGNRTLGEEYCDLVQLEDDTLQ LPSTIRRAGYILSSIVVPWVLQRILPAFRRRLRAKLERNIARQQFKAQQAAAQQPVLP GKEKGKQEKRPLITKLKVQKYILEHLDSITSLSPVYALSIATFYFTGSYYHLSKRFWN LRYVFTKKLEENEQRVGYEVLGVLLVLQIAVQGISHVRKVTASLKQQQENPEMESELL ESTEKRDDSIVASIENPPLLPNLPASMPRYDLGEDADAVPWIPPGQQSRCTLCLELFK DPSVTTCGHVFCWTCVRDWVREKPECPLCRQDVILSKVLPLRG ACHE_40198S MGPDQHAQLDDFSLFLPFPYRVAVILVAGFWGWGVNLQYLRKAN IDVPALIRYPARQSPQQPPHHSSAYRLARLLTLPLVITLLIFWVATHGSAHLVELLDF IPQAYIVVFFVIIALPFNRVCKAGRRHFLLALRRVSIGGLADAQDGKVGDILLADALT SYAKVLADLYVTFCMFFTRGISSTSKPNRTCGHDYIVPIIIAIPSIIRFRQCLIEYIR VRRAGATRENTGFQHLANALKYATAFPVIYLSAKLRNYSPLVFYGYSEMDLARLLLIC TIINSAYSFWWDVTKDWDLTLLTRSRDTSEYPYGLRRHRYFSSDRLYHSAILIDLVLR FSWASKFVPGLLWLTERESGLFVLMFLEVARRWMWVFFRVEAEWVRSHRGPAPDDILL GEFTGKLDAD ACHE_40199A MRLSTAGRRTAHRPSRALLLFLAIALLARLSIAQDTTDANDATT TDDATATATSAETTDIGTSTTDSSMSSSTDSSTTSLSSSSTSSSSYPIVTVPPTAGAP YMQTSSTPEGAVFIAVGAVLGFLGLAVLAWRGLVAWSVNRSVRQQAAAMQSSEKRGLL RSRRRSARSKSRSRSRTRSMSRGQFGPTGNLDNAPTGNASGGGYDRHNHRRRSRSGSR GPPRMREVPGSSNPLFFSPTAGASMHSGKRSSQHHSGYGYGYGHSTASTSTPRASTGP IPKNASVSASKRYQPPYKGKHAYPPPPPRARNIPIVSPPVSPNLRPMGAGVPNQASPP QGKAPSEYLEDLFDGHARPGMGDWDCR ACHE_40200A MHLNGLGLLALGAATASAFRDTSPFFLASTSEILLPSSNIALST SLLNDIGSHLNSCPSDYYVVAYQPGVHSTDFATRKSAPRLGAKMTGKDETIRSTSSIH EVSGVVDAKEVQNMIGNACGAETTVIDASTGSYPSSFGDAPRVIAVDFPMLSLGSDRA QQLSDNDGFLSDIIDRIPSSKKYTLLYVTSPRETEGTIYKPNEEAYQEPLRMELKRDY SDYTKQDSNKSLFQEYQYFTPGMFMGFFAAFVCIMILYVGIQALLSLEVPYAAFEKDT FSAVQKKQQ ACHE_40201S MGPNKKRKLANQPEEINFDPDARQQFLTGFRKRKLQRVKHAQEV AEKRAREERIEYRKRIREERKAEYEQAFEEHRRQLKMLTENSENESNDSGNESNEGED EEWEGFAEPPAVDYEAEYIDEDKYTTVTVEEMDPSREGLLKYGQQEQSDDKSDQEEGG KAQQTPEDANSKAPKRLQTDKPKKKKKKFRYESKEERKLNQAKQRYSKARKARARRGE E ACHE_40202A MDKIKERMNTLRLEAEDAQEKVEELKAKVRTLEQENLAKEQEIT SLNHRNQLLEGEVEKMETTLKEAKDAANQSAQHDTQNEALQRRLQILEEEAEEADRNL RETNEKLRQTDVKAGHYERKVQALEASRDQWESKYEEMAKKHAELQKDLHDLEVSISN V ACHE_40203S MLAVRDQENLVHAHQTVAAGKPLNQGTKTPGARAPKTPFKVPLN DENGPLAFGKKTVKATGRGNENLKPAKDAFVTPLPENRQRAPLGMKTTNAKAKTPAPI GTNKPERTNRRTSTQKVKKIAPLVQQSEPETAAKSVQDDVPDIEYMPPKPKELPDLPD DIIYDTTFPQFRPENLARGLESTYGDNEIGSDGLTKRERKYKEASIAQDKMMDEMILK QIESIGFEEANETDQSRQSTLKEASKRRLEPRRVKVPTPKVKYTSNVSTLRARDAAAA LSASETSAPPTRAASRPRISSSIHTTRKPRAPANPSSMRHSAAVANSKTTVGYAKGRE VSTRLHGKPSTIAKQPAPKNILSPDTYMQLYGTPPFGSEMWLRCKAAGCFDDEQNQPE IIPETLPTFDEDDEALNFQLAL ACHE_40204A MTAKIACIGVIGKADNPLHISLFPPYTDSTIEFNFLLNSCLDIF DIHQKQTAISQDLGLLHAVDERLAAYGWLTTTGVKFLVVVDLMGQQVPIGAEKPKSAG AAISGLRDGNLKPVFHALQTAYIQLLQNPFYSPDDHLPLAKNAAVPTSTSQEITNKKF ISEVKRIGDSWAPGMAAV ACHE_40205S MTTEKIHPESPEEFEFIETPPASCITPAEPCGVRTTSYPAIKNA PVPADSPGSDGFSNILLFSLLVLIPWYLARQVGGGFYTTIFFAIFTTIPILMAFWTIA SSVSPRKNEKAKYAGRPVEHYLQFHSEHDRAAYRGKSKIPMEVFYEKYFNGEVDFKGD ALECLEFRHDWANFRFTMGLYKHFLFGFIPELLIHSRSQDEEQVRDHYDRGDDFYAWF LGPRMIYTSGLIGDVNREETLEELQDNKLAVVCEKIGLKPGDTVLDLGCGWGTLAKYA SVHYGAHVTGITLGRNQTAWGNNGLRKAGIEDSQSRIHCLDYRDAPRVSGGYKKITCL EMAEHVGVRHFSSFLSQVYDMLDDDGVFFLQIAGLRKSWQYEDLIWGLFMNKYIFPGA DASTPLGFVIDKLEGSGFEIKGVDTIGVHYSATLWRWYRNWMGNREKVEAKYGKRWFR IWEYFLAASTITSRQGGATCWQITLVKNINSTHRVEGINSQYGLTGARQASIDNVGNG SVPSAHVPTVTK ACHE_40206A MSSTSLHPFDPITPGEIQLAVRVLQAAFSGVPLRYKKIDLQEPI KADVVPYIEAERLRQPLPSRPARILLAYFNRLDNGSFYKALLNADTRSIIYAKEMPKE VQAPVDIDELADIEDLCMRHPAVQAEIERLKLPPGITVCNDPWMYGTDDANETRRLFQ CFMYIVEVDHPQNNHYSLPCKFSPVFDGTTHELVRMDYLPGGANTVAVETQPWKPVKA VQYAHELLDEPLRQDLKPYIAQQPEGPSFNVDGNLVSWQKWRFRVGFNSREGLVIHNV TYDNRNLFYRLSVSEMTVPYGDPRAPYHRKQAFDVGDVGFGLNANQLSLGCDCLGHIK YFDGYRADSKGNPVLMQNVVCMHEQDNGLQHKHTNYRTGAATVVRNRQLVLQIICTVA NYEYIFAYIFDQAANVELEVRATGILSTVPFDNQNGETVPWGTNVGPGVMAPFHQHMF SLRIDPAIDGHKNTIYYEDSIPLPEDDETNPYGVGYTTQQTTLRTSGTAETDVSRHRV FKIRNDSITNPITYKPVAYKLMTAPSQMMLASKKSLGWQRAVFASKPIWVTKYRDEEL FAAGEFTNQSRRAEGVETWVARNDETENEDVVLWHTFGLTHNPRVEDFPVMPMEKISV MLRPDGFFTKNPALDVPQSSQGFNKSTLHQEASPAACCSGGSRAKLFKRVE ACHE_40207S MNRTSTTTSAPDHAATRKRYRREGGRWSRSGCLTCKKRRKRCDE AKPSCHNCTRLGKTCEGYGSMWAEPLDPFAQVFQPEGQKRRKISSSSPCSSDGFSEGS LALSTISPAPVDFDDGLSIDSYSKSEVNDQSEYTIPYASLQPSLYLTHLTPLETHYLQ YHIEQGSKLLVNLETTENPLRSLIIPRALSSPLLMKAMCALSAMHFSNRSFDSFGVSI DAQTAATKYYIQTMKGIRMALAEDSGGGVSDEVVLAVGLLCKYEIVRGSVRQWAVHLG ALQRLVISRGGYASLDRDTAEFLCGFFTYAHHVAKLTNRRHITGVMPEVDSVRIRKLD IYIGYTEDILKLCARTSDLPSLDPLSLQTEVNDIDTSLQTWSPTTPTNQYIIPQGMTP SVLLRLQMVAECFRDAAYIYLHSILERIPSCQPSLYPISTSKQEALQRCLSRIETFHL DPEYCEYSALTFPLFIAGCECQTIVERDIVMEALGALERNFGIGNVRRAKEILNVLWS ENGEGHWLDTLERLKWDVILG ACHE_40208A MAALDDFPWIDSMASSSYAQDHGVQLDSQQPTVAAFSPTTIAGS SLTSRQRSSVIVHRKSPLLVATPPAVTRALAYSHPFILPLNKLAGLLTWTSGDPWESF LLVAAFWAVVMYGDAVIHWAGPILVVIGLILGMYWRRYSPLSSRSWFDQKPKSTDYQK AAADGGTARHHTSLDEIVETLRTFTTRCNILLEPLLDLTDFLSTQRTATSATTKPALT ALFFRILFVTPIWILLTLPPFYLLTTRRVVMLVGTSFLTYHCRPARVARVILWRSLFV RRVCSMITGLQFSSEVDKSQSIKSLTRGRTKDMTTKRNPESSGIRFTFVIYENQRRWL GIGWTYSLFPSERTAWTDEHLNSVPPRDEFELPDVHSGNAEWRWVESSEWRIEGAEES SDKADSKSSDGGGWIYYDNKWNEGRRGQDGWDRYTRRRKWYRDAELVEIPSPEEMTES TSTLTQALQNEQNGTTDYEDAKSTSQSTSSKARRRRWFGSSKSVSDNSSNSTPTGAAS SSTSISTTNPSSVTNQKITSATNHSPSGSRPINIKSSSSSRPHKPSSYSSHSSSLGRE GSTHGSISDTRSVRDREIVDAQDRLDRWGARATGGTERVERELGLSDEMNMGLS ACHE_40209S MAHRIVGQVLVTGARVFGRAFAEAYKQAQASSKYAAQASKGNMG AANTFASGGLSLDEACRILNTKPPQAGKADLEQVMERFKKLFDMNNPEKGGSFYLQSK ILRARERIEMEVRQAERQAAHEKELKEGWKPKVYKDR ACHE_40210S MGRPAGPLSAHLPPLVMGTATFNTQFNPDPYALPTTELVHRALA SGVSAFDTSPYYGPAEELLGRALATDYVRANHPRESYRILTKVGREHASSFDYSPEWV RVSIKRSLQRLHTEYLDLVYCHDVEFVSPAEVLQAVRELRRIRDEEGTIRYVGISGYP IDVLCELAEMVLRETGEPLDAVMSYAHFTLQNTSLLTRALPRLLEAGVDVVPNASPLG MGLLRRQGVPIGSQGDFHPSPAGLRGAIQKAAEWADSQGERIEVVAIRYALERWLREA TEAGASGPPPLVPSAGIEKVSSNRENKPVHGRLGVSVMGVSNVGELNETLRVWHSILD GLDTAPASASDDANWSRTRRQQILTIAQGIQSILGSEWVDYAWESPGPGFVNSLPESH LEAKAKAGSG ACHE_40211A MPSSQLQYYKNTLQPLQTNQSTLQQTLQELQRAVVQGTKVLQVG SPAPVSPSDHSSIYDGTAGIALAFLRLNRQKDVLGETKPPDFARLANERIISAGDVKL PPLGYISPVGSSLGPLVVRILAALEHSGQSVSQSDIKLLNTAVQRSLSHGHVVSSSSG DHIRGVDEVLYGRAGLLWAVVNIRHHAFGNKDIEGDIGALFDAIPKLAEAIIDGGRRG ARDYVENYGGKGALPLMWVYKDERYSLGAVHGIAGILTILLSCEFEELNRYLMLIAET ITGLCRISIANNGHLPSSIPSRSRSRGSPLVQICHGAPGLLTLLATARKNNHLRTYWK SEWDEAIHLSAERVWQEGLLSKGGSLCHGLTGNAWSLLALHESFELDSHAEGNDKSDY FLSRALALLLLARETPPYSTALDISSGYDFRMPDRPYSLYEGLSGELCAWAEACVVVQ ARLCNEVGQTEGQQAKEKALGIPCLGGNGVSGVM ACHE_40212A MPPAYEFRGNRGGDRRNNPRHEFTFRYARPHISERPLLRSTRET TPEPLVPAGQTREQKPAMKFAPIADLSDSEEEDMDVSDDEESHPRKKRAVENGDTAPA PAPAPPAPKWSNPDPYTALPPPDDSQTKKVDVVKLIRKSRAAASAADTQATQADTAVT TNEDFISLGDLPGGDDDDDEEDDDNEVGNPNAPDNAPRGPRAGDSAFGRKRTYDDELK GHSKKTGKPMSRYYPDASVLDEWRVHHSQLGTPWVTLMPPTLHPGTRLHNEIVSFFHW VKPNSFEQVVREDLVARLQSAFSRRYYGVQVRAFGSFASGMYLPTADIDLVLLSSNFM RTGVKTFGERKGQIYAYSAFLRSTEIAVPGSIETIAHARVPILKFVDKLTGLRVDLSF DNDSGLIANRTFQQWKSEYPAMLPIVSIIKQFLLLRGLNEVATGGLGGLSITCLVTSL LQHLPHGHLEPNLGSVLMDFFDFYGNHLDYEKVGIRLNPPGYFNKRVYNDNRRLTIED PNNASNDVSGGTREIALIFQSFSDAYRKLKERMISISMGQSTRTSILETIIAANYDEY AEQRWQLRQVFDNDPRFERFQSLTPPPPPPPPGPPPTDPAPPPPPPSRPSDNSKSSQP PPPPPPSEKPTKAQRRHNAGKDRATRLRRLRPDIRSIPDSISNDQAMKLGGYKSQSGM DRDLMIREKALNLRES ACHE_40213A MDRWKLMKRIKKNLNDAGQMRHLYPSQYFDTLNRSTSLLACLSY TDFIVPALDVECPMTVHHMYLVPLELDMSKTGNFDSSDEHDGQSYKSNHWFPESRMYC SCNSTDNKRDNPGHVEGESSILVLD ACHE_40214A MIFSQSSLFLAASLAASTVVTASKDGSSRPRGVAPEFSKFYKDP ETFTCISHPALQVPFSAVNDDYCDCPDGSDEPGTSACSYLSPHSPLSVADRPGANDLD LTSALPGFYCKNKGHRPWYIPFQRVNDGICDYDFCCDGSDEWARVGGTKCEDRCKELG KEWRKKEDKRQKSMTAALRKKRDLLVDAGRQQKEIEDDVQRLETEIEAHEVKVKNLEA DLEEVQKQEQSKLVKGRKKGRVNVLAEVAKGRVEELRESLIEVRKERDETRSRVKELE AILSKFKEEHNSNSDDESVKRAVSSWEDYAAKGYSDGNQARERDFDEISKPDDEKSGV NWEHWENEEGSCEMDAVYQLAAYLPPSLVSFLEGQFIAFRGFLEKNGFLSKKTEESGP ESQAVKDAREALKAEEKNLGEIRKQLRNRREDLEINYGPTSIFRALKGVCISRDAGEY TYEQCFLDQTKQNSKKGGGSMRMGNFQRIGSTTIEEVNEAGEIVTVERMTLEYARGQT CWNGPARSTKVVLECGENNEILKVSEDERCVYSMIITTPAVCAGGEEEGGAPRVKDEL ACHE_40215S MGNDGGSIPTRRELVREAAKSPSTAQVKEAQREVQSHFWTTCPL SHSPLVRPIVSDCTGNLYNKDAVLKFLLPGDDTEGISSKADCEEILCGRVKSLRDVVE LKFEVDTERGEEHRRETGTGKGEKREGWICPITAKVLGPGVKSVYLVPCGHVFSEEAV RQLKGDKCLQCNESYTEDNIISILPSQETDKQRLIARGQRLAEQGLTHSLKKAPGSKK RKKNANGDSTNEPEAAGAGKTTNSRSGTSTPTTNTGIKNAATASLTAKVLEEENERKK RKKLMGGNENLNSLFTKEPKDGKKGGSDFMTRGFTIPAEAKR ACHE_40216A MRPSARLLSLEVSGSRTLYVCSSCRQEARPRPVLARQFLRNTSS GSTPLTEKVRRKLWGTENPPGLKDPYGGPGALEKKLRGNQNVQEEGAAEVESAEPAEV AETAGREDPDYTPATSWDGIEKIGHLGGWQNYPASQADEYSSFMLKQKLTKREHLYLA AHQTAVELCLLNALNKPLSSIYAVAEHDKSVFKMIWKCKIQPGANGQWDGVLTYPNKQ TEAALVYIFEQIGGQPEAAIAEAAGETGQAEAEAETPAEELDEDTYVSETPGLPFFGY QDVRDKGFLSLALNDPAAKFAFLKRFSQLSGHFPDPTLHSISNVKEAVDLVLKTLNPR PTKLADQLFNKTGLQKRSNVKIFAKKQKASDRDEEMGRKKVIEAELRARGLIA ACHE_40217A MASPIPRGLRQVLQKSPNDIVILSSLRTPVTRAKKGGFKDAYPE ELLANVLRATLEANPKLDPALIEDVAIGSVLQELGGAKAGRMAQIHAGFPSTVPFHTI NRQCSSGLAAITTVANGIRAGAINVGIGGGMESMTRNYGSRAIPTVLWPELKQSHSKD SRDCIMPMGVTSENVATRYGVTRADQDAFAAESHKKATAAQNAGLFDSEIVPVKTLSF DLENPEAPPKEIEVTNDDGIRHNISVEKMASLKPAFSATGTSTAGNSSQVSDGAAATL LMRRSTATELGLSSSIKARWVGSSVAGCAPDEMGVGPAVAIPKLLETLGVGVSDVGIW EINEAFASQALYCTRKLGIDEAKVNPKGGAIAIGHPLGATGARQLATLLPEMERSGQE VGVVSMCIGTGMGMAGMFVRE ACHE_40218A MFTYSTKRQRTPDGSIDSEEIAIREKKRLRPLTLRTPPDTCQST FCEANQLLPQFHLSTLTPIEPSDDDSDDQHRIDNVAHPTTSLHRPLPGPSSDSSMDID SLPPSISYDDLSASSMGTQYSHGSVQPSPISHNLISQSLNMDGGHPVATNQILFANSD TNVAMNEDFVSEAEVSGLYPGAARNHESVWWTLPGVPSPISEDEGVCVTGTGTPASEA EMPSSTSYPASANSYGVHDQALWNTDMQAPSTNHTISREETKSSIPAANRASNKKKLN IFMGYRADCEKCRQKVPGHYSHIIHA ACHE_40219S MPLVSTTEVNEDTRVLGYDPLLSPQFLQSEIPGPSEAIAAVRAG RNQAVEIIEQRDDRLLVVVGPCSIHDPATAIEYARRLKGLSEKLAADLCIIMRAYLEK PRTTVGWKGLINDPDLDESYNINKGLRVSRKLYADLTSTGMPIASEMLDTISPQYLAD LISLGAIGARTTESQLHRELASGLSFPIGYKNGTDGNLTVAIDAIGAAAHPHRFLGVT KQGLAAITKTSGNEHGFVILRGGSKGTNYDRANIKAAREALRAKNQREVVMVDCSHGN SNKNHRNQPLVAQELAEQMREGEDAIVGVMIESNINEGNQKMPAEGPSGLKQGVSITD ACISWEQTVDTLENLAEAVRARRAVKASKANGAH ACHE_40220A MSRSAADATRFTATGPYASSKPGGPAYKLPGFMSNSGSNSNAGP SQGPGGQPETPKQKVERLRAQAKAARMAQSSSGLDRFIGAGRELANKAHKVTVYSLIA ASGVCGVLTVYSIISLTLYNRRQKALWVENELKRLEEAKRAHASGTATPEQAEIVKNE MIGEIYKRKKDEEREQRPWNQVKRYLFGGLKADEAAAAPESAAAVDGQNKPEVLDALN AKAAEDAKLKGEQLPGQLDVLAENAENAAKQTSRSWTSWLIGR ACHE_40221S MAEYNSSDLTSILNTLSALSKPVPTPGTQNPSTQQPYSSSPRIQ PSHDDDDDAYEPPDIPPAPAPSTSKPQPHLHPQQLHPPKPAPTDPTPSTDPSTITTWP SALRHVMRTVSQNEVLQRRIRRLIQSQHDHEEQWWQGREALCKKQKARGEKKKELDEV LRSVGVPVDSSKGVSTAEEDETELKNYDAKIYAASNQMAQALTLELRGLGIPFFSIKR DLVSDNHKNNDDHDKQHKDKLPRDELSALQLRMLDLLQDLCKE ACHE_40222A MTTPLVRVLHRLQELFSNVVSALETMILFPSLFRESSQRRQKGF LGVRWRHRTLDDFAEEVDRLFTAPLSMRNMIVMSEKIRDQFRSCLQSSPVCMLPSYNH ALPSGAEEGTYLALDVGGSTFRVALIELHGKGNIKVLRESSAYIDNNVKLLEGILFFD WMAERIESMLQEVGSSYGRGESPLSMGLSWSFPIEQTSISSGLVIHMGKGFLCSNGTV GQELGDLIVQSCRARNLNVQVDAIVNDSSATLLSRAYVDPQTRMSLILGTGTNVAIHF PVHEIGLTKFGTRPPGWFDYAKHVIINSEMSMFGGGVLPMTRWDDILNRTHMRPDYQP LEYMITGRYLGEVVRLIIVEAVETANLFGGELPHSMQEPYSLDTSIVAFLEADTSPSL TSSAALFQKQHTFPVTPSSEDLHFLRRVCQTVSRRAAGYLATAIHSMWCLRNDAEFHT STTIIKKASGVTVVESEPESRSLSIACDGSVINKYPGFRDSCQTYLNQLTEQTSGTTK SSISLDLAPESAIVGAAVAVAVAVAVADKDSKICMMFRA ACHE_40223S MQPLDGSTCWRLESLQTIGRMRKYNLGFREYSPRSPRSYLIDHI IGSFMVPNRREQCIFEEKRICLPQPWLSPIHVADRRLRHIPIDGMAVRSSVVDVNNPE PRMLGHLYDML ACHE_40224S MSAEGVKDKNGETIYEDDFVFTRYRGGSHQGKVEKIVMDAAGAR EEGVANPPKVIYTDQHGHRVAHNPSTLDKSATSD ACHE_40225S MIVPRSTNSSTNSNSSLLSVLSQAAGDPSIGQRTSDTASSILPL LHDGTNQSNSAADVGSVQDAHGKISQVYSSVDKDSDLLDFVKSLAEGGLIPLNLVNLL DGYINIGLNSIYNNNPAPPSKQDIYPKKRPGDATYSIPEESLRAAIYIPDSFAYGKNR RKPVILVPGTAIPAGMTYHFSFSQLGKALPEADVVWVNIPRASLNDAQTNGEYVAYAI NYISAISSDSNVAAISWSQGGLDVQWALKYWPSTRDVVEDFIAISPDFHGTDLRFFVC PLLDPLACTPSIWQQGPETEFIHTLRADGGDSAYVPTTIVYSSFDEIVQPMSGPNASA ILSDIRDIGVTNNHIQTICPNEPAGGIYLHEGVLYNSLAWALAVDALTHDGPGDPSRI DIDTVCSQILAPQLQLDDMLGTEGLLLIALVEVLTYEPKVGREPSITGYAS ACHE_40226S MLWWCLLLPFLGFANAKTDDDDLMSFVTLPEVRALKLDIAYYDR ESTSPGYWFVAPYGVIDPEAPTKQWKPCQVGPYIYDADGVLVWAGSCMFDNRNIFDFK VVDNIDDQPHLSFILQHGYQDTQDKGTGYILDQHYEPEYAVQVTNDLSAFNMHEFNVL PGGKTALACAYRSEYLSLGDLGRPDEYGWVQPGGFVEVDTTTGKVLFEWSSFGHIPLH ESVKVNPWDAPAVQPGWDFLHVNSIDKNEDGDYLLSARFTNTIYLISGDDGHIIWRLG GKYSDFVQDFTFSKQHHAHFIQSDSNRTVISFLNNASDELEAEEDTSAALFVEIDTTT TPMNAREIRRHNRPDGSLTRLRGSVQHLPNDNVFVGWSERGYMSEHSPEGKTLMQASF ASTRFSSYRSYKYPFVGRPSTPPDMVASVYGTSEDDFMTMLYVSWNGATDIATWNFYA RSEAYGIPVLVGNTTKTDFETLYIVNGYLDYVTAEAVDAEGNVLGTSEVHRTATPENW RLSGFQGESKPVAADPSIMYGHQKAAEEDVEEPVDDEVLAAEKAAKAMGRAFEMIKGV GGLLIFILVTGSGVGLVVGVVWYLRRRRMLAYHEIPSQGEGEE ACHE_40227A MPSQNQESPRGSRGGCARGRGRGRGRGGHGRGNGQANTTNQENT TAAPGATAAPEVNPNQNQRGQKTSRNGQRFSRGRGEPSQRQVMMPQSESRQNQAGESQ KASTEKKKKSNKKKEPAPRPSDATQNPTKHKTPNKKENKPTPPPRKIKPSLITLPPEL RWKIYNYIFQPTYRVAISRQKPKWTPSPTDTRKRLYHTRLPYRNPKTQLNPHDSKHSQ VVRRQNPLPISLIFSCKAIYHETILHLYANTQFVFNSTRALDRFLHTTSAQTQEVIQH IELNHVMYNEPRLLGFRVFKHRSDLAWYCACEDLAVACKSLEVLHINMKIWDWPIHLE LGERWSWPLLVFERFGNEVGFASVSLQMCKFEEEKLKEMSREVEKRLMTSEAWQVRED ERMAREINGKIKANRVLRVVF ACHE_40228S MSETKQPRFSPQDITVVFLLGGPGSGKGTQSNNLVRDYAFTHLS AGDLLRAEQVREGSQYGDLIRSYIKEGKIVPMEITVALLSNAMAEALANKPKTGKDGK ARFLIDGFPRKLDQAVFFEQTVCPSEMTLFLNCPEEVMEKRLLKRGETSGRDDDNAES IRKRFRVFVETSMPVVTAFEEQDKVVSVEATGGVDEVYQRIKEGVAHKGLLPVDN ACHE_40229A MPAPTTTLLIEGSFSELAEEFAQYLDALRKEESSLQSEVAPLLE PLRQQEQNEQEPDVKQRDEVLKKLVAAATVLNSAPEKEITPAYNLLLHLIHQASDSSV FLSRVCTYLAKPITSSPQYGPSLAISILSTVFNTLAPSDTSRYHVLLALIAVIRQSSS IYTFEALKPQLTNQLPNWLAAWELSDEDAQKLHLAVAETAQAAGDNELAQTHVLEALQ TIPADKASSKEARELAIRALTAALKHPAIFDFTSLTASDAVQALRSSDSTLFELLEIF TSDTLDAYEAFVAATPLSSISGGVLADAGDALQDKLRLLTLTSLAASTPSRSLPYATI ASALRVPAEDVEKWVIDTIRAGLVEGKLSQLRQEFLVHRATYRVFGEKQWAEVQGRLM VWRRSLEGVLGVIRNERERFVRESMQQAAAAAAAAEGEGKGDKGGKRRNQQQQQQPAQ APVEVAE ACHE_40230A MLPLAVLLSLVAASAAQNYSFPKGFDVKSVELATRSSWCTAQRS TCPKICNGKTDENSCEPENLTFSCKCDNGIADLEDYKLTVPFFVCQENFGNCIAAHPD DADGQDQCKKDNQCGTRNATETASKSDSESSSSSSDSSSPSSSSTATLTSSHQTDNSD SSAASSSPSTTANAAAALRMAQGHSTGVFATALLVVMGLAL ACHE_40231S MTDPLPSSLSHFHSLQNNWIASLLNTTLTTNDYTAIPTFSRIPK PSGEDHYFASSLATPTTIPHVLTLRRRELPTLPTEVPDWPAPTAAPSSAPAISPPDVI MLMDLSAPGICGHPETVHGGVVATLLDEAMSLAVAAHSGGDSSTDNPRGKIYTAQLDV RYKRPLLVPTVAMVRAKVVARLGRKYWVRAQIVQEEKVEDPKQMHLEWSRRKVVTTDA MAFWLQTKSNL ACHE_40232A MPQNAAFEQAIKITPLGSHRYSAVLQDDWCIGTVPHGGYTTALL YRLATTHFAHTHPTHYKDTATPISIQLAFLRRTSVGPATLTVEDSKLGARTSTIHITL QQPSEKTGKDEVKVTGYITVSPASVEVGISARTNWELYPPAPGVDLLVLGKTGESGIW KRFRPPFTEFRKASRHLELHYPKESKTEGGKPGVVDQLARFCPGGDSQGRWTNEALVY LVDMFPKALERFDQMASSGTPGIAGKSWYPTVTLNVDLKKRLPAEGVEWLYSRVTNKV MRDGRMDIEVVAMDASGEVVAVATQVGLVMSASRNIGRRQKL ACHE_40233A MTIFIASLFLPYTINFHVNKSRSRRASRAPSPPPPKPTPEDIPP ASTTSAPLSLFDQQHGAKKVGLTPGATTEHERIFSSDLNKAEIKPQEYPFPGSVNEKD VLTGSEAHSPAWGATTSLNQPRPQAAFAASPSILKHQDALLPVREEQEITKAKVPAVY ATRAEHGRAESFSKAEWTIETAEQGNGGLRNAVRSATDAGQLEDKIWVGTLGMPTDAL VQQTKSTIAHTLEKEHGSLTVFVSDADFDGHYTHFCKTILWPVFHYQIPDNPKSKAYE DHSWIYYVKINQAFAERIARNWKKGDSIWVQDYHLLLVPAMLRKLLPDAQIGFFLHIA FPSSEVFRCLAPRKELLEGMLGANLIGFQTDEYCRHFLQTCSRILSVEATNEGLQMED RFVNVATFPIGIDPTSWDKRRQAGDVEQWIRTISERYQGKRLIVSRDKIDQVRGIRQK LLSYELFLNSYPEWREQVVLIQVATSTTEQPELEAMVSDIAMRINSTHSTLAHQPLVF LKQDLAFPQYLALISVADALMITSLREGMNLTSHEFVYCQDGKYHGSGNKKYGSLILS EFTGSASVFGNHALLVNPWDYRQCAEAIHEALSRGEEERQQVWTKLHQAVLHNSTANW VKSFSETLTRVWHEQSSREFIYVPRLPMDQLEERYQRAPRRLFIVDYEGTLASWGSPK SIIVTTPQRAITTLTELTEDPRNMVYVMSARMPEEMERLFSRVPGVGLIAENGCFVRE PHEEEWLKLTNQERTTAWKDGVSHILAYYQERADGSWIERRHCSLVFHYGSAEDQHAA ARLASECAGHINDACANQGIHAILVEGALVVEPADKNKASAAEAAWQGIVRAAPPDES QERPSFLLVIGDGRDDECVFRWANKLEQKGGIEYAMTVALGSRSTEAKATLTQGVTGE FSLVLRYGKTWLTRVGVLSCLERLAASNS ACHE_40234S MPLRLTSAPVSGIKKRKSTSTTTRARSSPFAAHPRTKLRSNTDD TAKDATPLEDEDFDLPDLGLSQHILSTTSATTVLEAIHHIRNTMFDDLPATRTGMNST RIAEVLNFRRSLPPLVSVAHVHTLLDAPTRTEREIVELVTAGKVRRLIVPGRGNDAAG LGDCLVLREEWEGLLRGSSLEEGLKEKFLSVLNHIGTSSAIAPGVFTPNEYQALVRAG FLVSSSSLANGSLSVASLPDLPSSTFIPASRADQPQPQSHPQSQPNNNSNNRFHTATL FLSLPNTGPYLRLLSTSRTHLLTLLKKSAHREAPLYLLRDRWDGAVETDKSFHAAKRA RGEFAGILPGRTRKWKELYGMRFRWVLEQAVGAGLVEVFETGSVGPGVRCL ACHE_40235A MTTKIAPPLCFRSPSLPGGHHHPLSMAALMQSNNEPVAISNPLS GPSNTIISSPQDSVAFLKQSTKPESNLSSIASAGLHVSRSRDQPSSASNMATATTPTM EHREQQDKGPEQNSSQVAREALGASEKSHSINDSMPIPSDHMQVDSHTAPNDAYGSSA DNNAPSLLNAPTTVASPGPMEDSASQDGDRPRHRDEAGIIEEASNKAFSYPMPTGNFN DSRRGLSLPNSGFSRGQRSPGAKKHRCPYCSTEFTRHHNLKSHLLTHSQEKPYVCQTC QSRFRRLHDLKRHTKLHTGERPHICPKCGRRFARGDALARHNKGQGGCAGRRSSMGSF APDEEYGDGAAHGSAEDAMEGLVYAEPERMDEEEERRLMPSIRRHDASVDSASRSNTG TFQSRQPSTYPPIAAGRPSPGSLFPPPASHGGGSSTSPSPISQPGNLTFPPAGQPSGT SVFQPNNTTTPTTANNNITESPKPLSPNALSSQNQTNHGPDGHHRAHSPSVAQQPFQQ QPFSRPPPQTAVPTTHAASSLGLPPPQPGAPQLPPPPGLNASDSRFALHGPAATAAKH TPSHSHSSNHSGGPLATAKSGTELYPDPNTVDPSREDKLWAYIRSVQEEVTSLRTEVA ALRAQLAVSNGNTHPPLNEANHANAGPR ACHE_40236S MADPRHHDRSSSDSPVSSLNSPRTTRFVEATTVHSPIDANESRR SPFADPPNQQTTPPDVSDVGFGYVAASDPAQHASHHQPPPGSPLKSAMKVPGTPGRTL NPLSPTFREEFFVEKHEQLADKENARDVKIKLRVRIAKIFLRFINFGCSLIVLAILAT TLTVFNATKSLPSRNDLPAWAEGTNPWAQYLLLVVACISLFACIMVFWGYFRGGHKRA EKMALYGTLFSIGFFFFSMIMWIVSAAIYQSSKANGGGQDMWGWSCNQNLREKLFHNE IDYALLCRLQDWGLVCAIIEIVIEVLVILIYAVVFYRFITKRRLAKSMDRRDKARSQL HLAQHFQSAPNTPGFGPGTPYFPQTPKSPWVSSQAQDPYSAAENGEHGTSAHDDRTIQ YATPRSPTRPQPTFQLQPPPIRVQHATPKTNQSEWPAAPSAAPAPMSAGFAPPTPFAP PTPGFAPGQGQEQIYLPVPIPAPPGNIHHLTHQFMGPAPGEAPVDSVPIPKPYGNPIR GMDFSGSN ACHE_40237A MPRVSNPKVRRSHGGASTPHKNTPVKIPLNDDMGEKAARMEARQ ALHDRQMNQIKAAVKTPMPPRRRQSYEPGSTSPTTPRHTGRGRESDVYGQRAVTPMKR VPILANFEEWMKMATDNKINANNSWNFALIDYFHDMSLLKEGDGVNFQKASCTLDGCV KIYTSRVDSVATETGKLLSGLADGRDKRGREADADGDEEEEEGEDGTTRKSRKKAQRT HEATLAPSFASLQLKKFELEFSVDPLFKKASADFDEGGAKGLLLNHLAIDGQGRIVFD SSDDADEESGKDVEEQDGVKEESTEPEQPGSPSPKQSQDDAFENMEIDIAPLASRFFP NLDRLDEQDICPSLKNFDLGDPSGSLDIPLLKASEDWRNEKSFHEEGRHANDPSGIML DDDNAVGFDDDDGTLAGFDLSDDAGFGDGGEVWAREAALEPMLKVHRVDQEGDGNNDG DEVDQDAYTISLAHQPGSRDHENILSYFDNALQKNWAGPEHWKIRRIKDNAVASTTNA APKQRKEKEPFEIDFAAPLDQAVAETIYTPASSSSTISLPKTQWKTKGRNLLPDDKHF NSRQLLRLFLKPKARMGSKRLTGPRNFNNRRQDQTAGNGEMDEAFWANHKTEEENPAD EDGARGAYDADFFADDDGLAFSNGLGLPDDDDDNLPFADAREMLSPAPDAGTGGQSGL AALLNGGGGFGSQLVTQGGRRARPDYVAYARVAKKVDVRRLKTEMWRGMGEQLIESTH FSSPPQPTPAESSENEPEGPPTPTQENPQPTPGTDSQDGGLRFTQIMNSLKSSYAPET LRDISTSYGFICLLHLANEQGLILNNNDPSGALEEIFVARDPNAVIEEGAM ACHE_40238S MFPRYSPSMNWPSVRPKRCRFSVNPSLYWTFLAFTVFATVSWAF GVWRGDEYVAQDATNALLFRRNTEPECRLVRKVKDKCAFVRTNCPDHEDGLFSYLQFY YCTLADAKPLAFILLILWMCLLFNTIGIAASDFLCIDLSTLASILGLSESLTGVTFLA FGNGSPDVFSTFAAMKSNSGSLAIGELIGAATFITSVVAGSMALVRPFKVARRSFVRD VGYFIIAVSFSMVFLADGRLHIWESTVMVALYCFYVAMVVSWHWYFVRQRRRYERDVA ARSHFHIPDNQELDIDEPAEDDDPGVGSESRNLLRAGSTEDFDALERSDRPAWTEEDR DEDDETRNRYLAEIRENMHIYRPNSRRRNTAALNPIRPSLVGALEFQSVLSSLQNSRN AHQTFGIDLDRYSDHPEARPSQDFDNRSVASHSQVYRTPSQLSPHEGSARTRAVSAND AAGLKIDTGVFDQNTNSPVHVTVSRPSGEGLHTAENRPVSRDALSPSDAGDYFSRSPS PGVADAPRTPDLLAPSDAFRSPNYQTNASRPRSPLHSPRGTHVSPDTSPAEPATESPL SPFPPFTDHPESLSSRASSIRPRGGSSPTERLQIRDGVSENGDNRPPARIKWWPYWML PGPQSLFSTLFPTLCDWKVKSIWERLLGVVAAPTVLFLTVTIPVIEPAPSDTITDPIP VVITTADDGNDLTTTRVRLPDDSPIIRALEHQSSEEQERGTGDDGKSQRQPSQGRERF NSEVPAVQQLPEDAATSVPKEWNQWLVSIQLFVGPFFIALITWTVIDSECRPRYLLLP ALIALLFSVLCFTLLRISTRLSHNSQPPKPWRSFLALLGFLVAICWIATIAEEVVNLL KAIGVIMNISDSLLGLTVFAVGNSLGDLVADITVARLGYPVMALSACFGGPMMNILLG IGLGGMYMTVNAKPNAVAAATGLYEIAISKVLVISAITLLIILAGVLIVVPLNGWRMD RKIGWGLVVLWCVSTLGNVIAEAVS ACHE_40239A MFSGSNTFLGGANSARPGTAPYLQQPYSQFPGQQQPQPQQPQPT GFVPQPTGYAPQYTGLGGSQLQPQPTGFAGAGQLQPQFTGFPPQQQQPQPQPQSFQLS PQPPQLTGYPPQSQSQPQPPQLQVAQPTGLPLRAAKTSNEIADSFNDGRPQPPPKTSS GGGNKIPSIRLSFITAQDQAKFEQLFKSAVGENQTMDGEKAKELLLRSRLPGGDLSRI WILSDTTKSGQLFFPEFALAMYLCNLKITGRDLPAVLPETIQNEVSSMVDIISFTVPD TQPEQPLLQNKAEPPAPQQPRPQQPSNSQLLSQLTAQPTGFIAQPTGLQPNPTGFPGQ GLAPQATGFPGQGLAPQATGFPGPSQQFLQPQPTGLMNNPQPTGYSGPRPPIPPIPTG FGSNLSPAQTGGTAALTAQPTGIPGQWGFVNAPATGLPNIEALKQQLMPQPGREGGFS TAGLSGNATIPWAITKEEKKIYDDLFRVWDGFRKGFIGGETAIEIMGQSGLEQKDLER IWTLADPHNRGRLNMDEFAVAMHLIYRRLNGYPVPNRLPPELVPPSTRNLNDSIGTVK SMLSQDAESRKASGAFLQPQKTGVSYLKDHSFRGGGSPGFGRKDATMFKNNDEAAAGY RSSARRRVGNNTTRTPSPVASQASEDELSVEQLKKKIRETKVMLDAVDFQDENRAEEN EALDRRDRREAESLMERIRRVQDDIDTHPNATFRNLDSGAERRLLRRQLQGYEDQVPQ VASDVRRLEREISEAKLELFRLKDAKAHPGTASNIVGTGPGGTVTEADRIKARARARM QARAAELAGRPAPASEDDDGSAARRLEAESANVKADRERNDTMTRDVEESVKEFARSL EDSLKDGGESSTREHERRRWEDALGVEDVIRDFIYDLQRNARTAHIRKQEESRPSPAR YDVLSPEGPAAARPSPPSTSPGPLPGNTHEDRVAAARERAQRRIAERMAAAGLKPHDA GESLAQRQEREKREREDRLKRAEEEDSQREQERQRRIADEQKPAEKPATKPMGKKPPP APPSRKHGGDGAAQADAKKAAEEAAQAEQAAQEQAIKEEQQAQEAERMRLEDESRRQD DEMQKEKEAQEARLRALEEQVKQGKIKKQEEKRRKEEAARSAKEQEDRAAAQRAELEM ARERERQLQLELEGLGEESSDDEGPEYVTPQDSTPTQSQILPPEAAPAPEPADEWELD SEPPHEPEPEPQPEPEQPTSPEVASPAPHINIEPSSNNPYFNKIPQPAAPSPEVQSTN PFHRLAQQEIAKPAFTGARPLEQKSRARPEDDDWSAAGSDFDDSDDEDENPGGGSAKQ LASILFGTMAPPRPLSAMDNKSPSQSATPVQDASPKPAETEEPAAPSAIPPPPPPPPA PAPAGDSGIPPPPPPAPPAAPPGVPPPPPAAAPPAPAGGADRGALLASIQAGKGLRKV QTSDRSTSSSAGRVL ACHE_40240A MNAPDRYESFVLAPGENKVDFEIDTRIPSSAIFTFNKEDHTLGN LLRSRLLQSSHVLFAGYKVPHPLLPKFELRVQTDGEITPKDAVIAACHDLVKDLGILS REFTKEYELRKMVGSTQQQQNGIDGQ ACHE_40241S MTASNSVFQSTYPWTKAPLIASAPMLNIALPPLAISVSEAGGLG FLAGGFDVSNLERNLEEITRLVRESKNTEIRNFHAETGILPTGVGFINWGADLETAVA AIEKYKPCAVWFFAPRSQPDDLRAWAERVRAATDGRTKIWVQIGTVIEAVDVAEALRP DVLVVQGSDAGGHGLARSASVITLVPEVRDALHVNHATKDALPVILAAGGIVDGRGMA ASLSLGSSGVVMGTRFLASSEATVARGYQAEVLRASDGGRNTIRSTVYDRVRGIGGWP ERYDGRGMINESYVDSVERGMDDEENRRLYQEEAKKGDDGWGPMGRMTTYAGTGVGLI KEALPVATILERVRCEATGIIRNLAGI ACHE_40242A MPIKIPKGFARRKSSGNVLEEPENPPQSSFRVIERPSMDARSLS EGNLLAPKSAMTARRSSQPLEAPDNNLFTNSSRSFNKNRDSGGTDTSAVTAGTYESSS SLRFSSSSTSRPSNEAPAPENIQSSQSRGFHDIPPLTGALRAAGRTLSFGGRFYKNST PQQQPPQPDSSKTRTMIATTPPKILETDLKLNLGKESDFQSMLKDFGSPKEASFGDKL ADPEPQSSSSVSQTAGKASRPPPINTDRSKEVEPSPYSWDSRHSEEGLLSTFDSGRRD SLAAQVSAMSISGGRKSDPPTTTPPPATTSHRALDRPRAGADKGLRRSVIYSQKRDSA PVEDEDARLIMQALYSGKSNTHETENEPLFGHANNTAADIHGPTPLRVRRSPALSEYN DPSIAHHARLAAQYENRIPKSTSPANKVMTPSQFEHYKQQQELRRANSDASKSEYSSE SEFDEDDEAEKNREAERQRRKQEAHLSVYRQQMMKVTGQQQSSTPSLRPEPDYSSNSD PNLTVRSSIPGNPSASGKSSDGGDDDDEEIPLGILVAHGFPSKNRPPTRLSTPRSIPN LRSSFQLPRSSASSAHGDQGTANRASLPAFAKNLPRDPYYGASIVNPTNRESLALGGG MSAQANLSSSALPPGGLVGVIATEERARANRRGSPNTQAMYEHAALGGSTPHLAGGIP RPYSMMGINLPSASSPQPPVSAAEQAQIQLSQQMSQMMQLQMQWMQQMMQMQGGQSSL QPPPQMPMAGPLPSINVNSNGRPMSMPAAEPLNPATTGSQGGQRALSMLNPQSSRVNT GPPMSYATGGLRPGTPAGQGYASSIAPSEHSNIGARPRYRPMSAVQPEQGPSLVPPMS KPWNDENQRSSISLVKSSAPSVTARPVSSAGFSISASKRRQAAAADDDDDDDEGWAEM MKKRENKKNNWKTKRETSNLGDLLNVVH ACHE_40243A MANHFYRRRNRIRGPQSALTDFLASNNISAHQIHQDYQRRVREA EQREAAEQETPEQEDSQHESDDDYQEAHAGESPEQKKKRKRKEATTLAKIKQSKEFAR RKSQRLMDVAGDDRDEEHDDMLAKEMIDEKPRPMPGQLENCEKCGKRFTVTPYSKTGP NGGLLCAKCSKEVADDARKTTPRKRGPRSGRRQVQSNLLDGIIQYGAMSLAEMCTKKV AENIDDVEEFGDLPSPLLHRLSQILSKRRVLTPKTLNLFLRPELHSIDIYDCAKLETD DFQKIFAYMPSLTQVNLRYAGQLKDRILEYMMDRNSQIKDLQFDAVNLVTDACWRRVF QKYGSQLESVKLSNLDYSFNDETVEDMCKHCTGLRRLKLTQCWKTGDRSLRAIAGLKS LEHLSLNFVEETGKEELGGIILNVGSNLQTLSLDGFHHADDHVLGLIHSKCHSLVKFR LSDNAVCTDQAYAQLFTGWQNPPLEYVDLSSTRDVDNSNPDGPEEPIGLASQGFVALM DHSGSKIQKLNIASCRHVSREAFEEVFSEGKKYPHLTELDVSFHMVMDDFLIGSIFRC CPAIKKLVAFACFNVRDVQVPAGVALIGGLRAQDPIVVEGGFQK ACHE_40244S MGRRAAVVVRTDPALLNDTSSNNSAPARRTSARLALSRANADAN NAQATGRNRSRQSLQVAVPVKNLSASHTSSSRSSVENGSRSTPATSVAITPVESDGNR PNKRVSASARVAELRSSTMSLGTQRGRKRAPLNFTKEDIDEALARALQAEEYRAINPK RQKISPGEDMSDEDDITEYGASGFESLDSEYEKVRSRDKNGKTTYSGPRIVLGPDSED DMLDSDDESMFMEEEATPPPPARKLNARNPRSRKGTRVSTIGKPFWMSNRAWRERKKL EGQHPSIKTMWDELKKTPPITPVPAKQPPGISRTLKSFQLEGLNWMMQQEKSEYKGGL LGDEMGMGKTIQAVSLLMSDYPVGKPSLVVVPPVALMQWQSEIQEYTSGQLKVLVYHN SNSKIKSLKKKDLMKYDVIMISYSGLESIHRKELKGWARADGIVKEDSVIHSIEYHRL ILDEAHSIKQRTTSVARACFALKAAYKWCLSGTPVQNRIGEFFSLLRFLEVQPFACYF CKACDCRSLHWSQDRDKKCTSCTHRGFDHVSVFNQEILNPITERNSNDARKEALDKLR LITDRIMLRRVKRDHTAAMELPPKRVILHNEFFGEIERDFSQSIMTNSTRRFDTYVSQ GVMLNNYANIFGLIMQMRQVANHPDLILKKHAQTGQNVLVCCICDEPAEEAIRSRCRH DFCRRCAKDYIKSFDSDTIVDCPRCHIPLSIDFEQPDIEQEEEHVKKNSIVNRIRMED WTSSTKIEILVYELYKLRSKKQTQKSIVFSQFTSMLQLVEWRLRRAGFNTVMLDGSMT PAQRQSSIDYFMKNVDVEVFLVSLKAGGVALNLTEASRVFIVDPWWNPAAEWQSADRC HRIGQRRPCVITRLCIEDSVESRIVLLQEKKANLINGTINKDQGDALEKLTPEDMQFL FRGS ACHE_40245S MEEDATTHPQVRPRHQGDKIAPKLVDHADKLHDQAVAMKKNSSP PGDESGTGSAADTSGSDHQPAGGFDNTPIPHAPPGYTLRFTFHRGDNLPFADFGSFSS DPYIVAHLSVNLPQRHKQDPGLTFRTPTVRKNLNPAWDSEWVVANVPASGFELKCVVF DEDAADHDDKLGNAYVQVNSINENWPGIQEQKFKVKKRMGSKRVYIFGNLAAFTSRRN DPGSYVIISVECLGKTPGNEGGQVYTQGPNYWFKHFSPLIGRLAGTKDEVQGQDGKKP ISRYNFQAIQIQFRGPAPWQLYHRYVEFRPFVAGMFTSQSLRGRILNRALHHQHERVY NFDRTTQNGQFSSPCYEMTQKFLEFAHYGQGGRIFTYVLTLDGQFRFTETGKEFGIDL LSKHTMHSNVSIYIAYSGEFFLRRRKHRHHRHRSRDEENEIPVEEAQEDAPMEVSTDP ADYELFIDNDSGTYRPNAKLLHLLKGFLSANLPGLHITTLDCQEDAERMGTMKNEQRE FKKQQGQQITFLQQSSTSSLSISSSEEEELDELSGVRAKQRGEFKQRMHEMRDVKGQV MKWAQAEGDPHDHDNHRRHHLKHPKHRPGTSRNPATTGQLGTSEIRPGTS ACHE_40246S MGNVGSRLDDTGSLFFKDQSRFTVSHVSVVNSKNRVLLNLAPNA FPATRYTAKRDLGDDTPIEYVQDPDLPPTAPVPSFILRLSNEDELQFNFTFIMRQTQI GNVANSTVNGVSTSLPEVTDTVLTGLTFAHASNSKELDNLITREFHANPNLQNNSNVQ FVGDYSTGGSPSVQFEWSWKWKPPKTVEDRGGGWRNSCSFLDYDSRANRLNTLAHFSF WVQNNVRLLPSPQLLSPNLDLHFPIRNRMPSSHSVRSHGSDADTTASAHMPLPTPSEN GDTNANSNANDNSNTNNNSNSNYPPHPQGPPPPPPVKLDLPTSRPGEDMSAVDDGPLF RATMKALEQKTGNMRTKIKKVLKKAEAAQQAQVACNEAVSGFLGALNEASTSNANAIQ PALDHYYEKSARQILRYEQVNTIQLQKLVIEPLVKLYNNDIKQAEAKKKEFEEESRDY YAYVSRYLGQRQDSLKEKKRVESDSKYQAKRRNFELKRFDYSSFMQDLHGGRKEQEVL SHLTKYADTQAKNFLAAAHKVEVMVPQLDALVHEVTQADKEFQFLRTEREEKRRALEK NSNTYLEPESFPNSGAPATLSATANGAQTQSTETELGRADSTGSQVRNVISNTSTMSS QTNQTVLGTSGGASVSPTDASAQHRKEGLLWALSRPGSHIDPKGINKQAWHKFWIVLD QGKLSEYSNWKQKLDLHMDPIDLRMASVREARNAERRFCFEVITPQYKRIYQATSEED MANWIRAINNALQSAVEGRVAPPPSAVSSKNDGTGRDIGSVLTGKSSSYSGHHSNSTG SNTVNRRTTVGARPGYVRTDSNSYDEDPAKLLQAVRNADQGNNWCADCGSTSKVEWVS INLGIVLCIECSGIHRSLGTHISKVRSLTLDVHSFSNDIVEILLQVGNRVSNMVWEAT LDQSLKPNASSTREQRLKFISTKYSERAYVQPLPSPRSRFTTPDESLLASIKKHDIQG VLYGIALRANVNVTDRSRNTHAVFLALAAADPASPGSTPTSSASSRPSTATSIKTIPF PVAELLVQNGAEIPLQPPPIPLSPAAQLYLSQRTSRMPPPIGVGTGRTTADTLGSLPT IRNDYPGSSSHTPSSSLDNSSKDREKLSKRGSAGARFAGKVASLGIDR ACHE_40247S MTDSDSGDNNKPSSQPFNETPSSTRPSSRESLKCDTTACHEPAP PDNVARRPSQLNPYMAPSHGPSLSAHPPSALNMESMGAALPGYQSNVIPFDQYQHMQP QFAVQYPGVHYQMHPAPSFRGGERTTDGRPPAYSMTYPVVYPHYYPQPHQQPSYPSFP TYMHSPPPHPCVNGPMFSQTPGYGSSYYPSPYPMVLGHGPGPPPRPQMPPRPGSQHSN PRRPVSSGPGPTLHIERPRRPIPKRAAVSDYPKTIVDGSNSAKSTRSNSRVCEIISPS TSTAIRAATPGGPRRMPKQSGPALWVGNLPHGISIVDVKEYFSQGAADQIESVFLISR SHCAFLNYKTEAACSAAQEKFHDSRFQGCRLVCRLRPNARPGEYRQNSGDIASIAAAK NEENDKAAIASMDFPIPSPDEKVPNRYFIVKSMTVEDLENSRQSGIWATQAHNEVNLN LAYETADNVYLIFSANKSGEYYGYARMVSPIQEDEKLALEMPQRPNHIATEAEEPSVT LTQASSTARSGRIIDDPVRGTIFWEADTSSEDDGEKEGDGEKEDADAALAGVTGAALN ELAEVDADTDAKGNKNVDGPAAVAEDAAMAETTTTAATTTAATTAGSQTIGRPFRIQW LSTVKVPFYRTRGLRNPWNANRGVKIARDGTEIEPRVGAQLVELFHLPSSGATTSSYR LPN ACHE_40248S MSNDRATSPISVPSSHQRRASLASFSFGSPPAANAANASTAPQQ RRLSITTLGLAGSPTQTSPFNGRHFRGGSVSSSVGSNPTSSEDSINEENELSGAAPTS PFARRVSYGAQALRDVRRGSVNNDERFNWSEALRTRAERAPSLSAMQQNQNAASVNRQ REQERAVQIATMEQPVREIPRQPKRNKPDFFQEKILRGDFMD ACHE_40249A MTGNSSDAQDSESRNSNAAFIEPISWGLPGTRNTPSESVEQQGQ STEYQSAGDGSLLDAYDPLQDFEYFSHSLGLTSDWALPSEFDISRIMVREPIYHLLPT SSVSHERQEYSASSQQNHPLTQFPVERDAVGEFGVLTFPVLKITEEHRSRLLQSLVQF QTTVTNFVMPSPHSLTRFVNAFFDGFYPHAALVHIPTFKLDNCDPEIILAMAALGAQY RHEYRKGTLLFYAAKAILQDKALEMERKITNRNLSSRTTEPLTDSRHDSYNELMREAR CALYLIFFATWHREPDIVREAFNLQSFLARCVRESRLYEDDEALQNATTDWHVWVEQE SQRRIKLFSFAFLNLQSIAFNVPPVILSDEINLRLPCSCMEWLAPSYERWATIRGPGH QEQMRFQDALAQLARISQDPSSIDSQPVPSTLANYILLHALIQRILLMYQAFHFNSDG QHPLLLSQKDEIRNSLRAWTSQWQRAPESSLDPRNPNGPVPFTSTVLLGLAYIRLAFN IGSYGTLRSRDPEQIANKLLDLPRLPGGPHLLPAILHATHALSIPVKLGISFVSRGHT YVSSIQHSLCGMEFAVFISKWLHCISECRTRRSLDEHEIRLINWISDIVEEGRTSGDE DLCPGPVSPSDCLHLAYAAVKLWARLIKGNEQWAILRIIGESLDIYADLCKEKYTPIL S ACHE_40250A MDDFPTNIRHSALEKLANLAVQESAADEDSDITRLTEQCQRRSN EQPNGLQNRTPTSRVPMGIRELDVLFCLCKASSSVKELNHASRLVAQLSQYLPESHSQ VFRASPFLQNVKPSPWESLTYNLATALLSLGANYPSLRDTVFYAVNEYLCSCAEAVDA AAAVQSRDSATYNHGAAHGSVNILSIVVSLVGFLEASAVHTSFWTASEQLQIVEHLRL MLSERLMVNVETASSIVRNASATDPLFREWRKYSRRYAAHGRPLGAILLQEGLMRFVK SCALSLIGPQESSEDELLDEYMNGVGIARSHGDAEISLIECVTKVASDEIHLLEDGFD YLQLGSPWQQRLTFSVKALALTAFLNCVVVGRNVASSDTLLSWLEDTLTDPNQMSCVE LGTAALRSVAIIARMSPNSASAGTRSLLRFIVQGGVPTGPIIAVAARCLAQVLSILSQ DAVITTLYSLGNVLSAGSNTDRSYQNPTVVDAPGQTAPLVPFPQPGDPSVISLSVNGE EDNVPHRNVIHAIVTIANSCNDEKISALAQSMLLQKMGKVNAAVDACLIQETAALAPS TGQAEFQLLLKFYARVYREGVARGGSNVAEAVQSAMSYLSITLSRNSPLYRIYLIHLL ESIVNKGDATDLENERQREFMLTPGDIVPLLRPLALLVSSKRKAVADSSESEDYDEDV SSMFRDVWFNLAVHGISANSTVARDHMTELRLLAKHSPPLVPESRMEMLESDVELNTI LRRGMGPQRILEQKKMLITEIANHEPEIKRLDYPRVIFLNASLLLESLRASSGNCTKF LSYFRDPALATIEMASCMNAIAENVVSCYLTMTLSGKHEEFSVPFLSKQLADFFVACC HRIERVQNVAVLSANKIIRECPSALCEKHSLFALLELLTVMWSSCLEEDLDEFEWKSS FTSPRGIVKVDLPDNYGFRRGTLEMFHERAKAWVATVMDIAPLDVKGLLQTYLSESED DGGYGHISLGRSFALDMGSFIPQSDRRLGSIENYGINRINVASEFVAQYSSRQKYRYP VMPALESPGFNFAGDNNKHAAIDSSGPVENVESLLSRLYEQANTGKNVSFIEVRDVLR QAAAILCSEKDARPSIVHYFVALPFQIFSKESMSLGVSLWLGTMNENPEIESRIMVEV MEGWEETIRRRRGLFNPSFDYVDPLYAKIELLPTDKELLLKKQQKAQNILSPHSHVLQ FFESHFSAVRLGKPQSRRLFCRLIGTTTVGLLETHGHPLAREIHFRITLFGLKVLKHF NSQDQVASWKLKDQILSAALSWFKHPPRWSFGGNRLQLKAEDKILDDVASALKNVAGI AGQTHGPYKSLHAKQELLQMFIENERSRLKVWLYPLEPERKHFMGPASGGKNLAEEAA SLLWLAWEENPGLAIQLATRLSSAKLRSDIRWLLLNFSEKALDEANGLEIMFGSSLPP DVSSQLKYLLYWAPVNPTEALTYFLPAYGNHPFILQYAMKALESHPVDVRFYFVPQLV QALRYDTLGYVERYILETAKQSQLFAHQVIWNMKANSYKDEDAQVPDPLKPTLDKFME ALISSFSDEEREFYEREFSFFNDITGISGKLRPYIKKSKPEKKEKIEEELRKIKVEVG VYLPSNPDGAVVGIDRKSGKPLQSHAKAPYMATFRIQKTRVRYDGPGTPDASGAPGLN QQQLAGAQDSTSDSNLETYDVWQSAIFKVGDDCRQDMLALQMIAAFRSIFSSVGLDVW VFPYRVTSTAPGCGVIDVLPNSISRDMLGREAVNGLYDYFVSKYGGEDSIRFQEARTN FVKSMAAYSLITYLLQFKDRHNGNIMVDDAGHIIHIDFGFCFDIAPGGVRFERAPFKL TSEMIAVMGGIPQTHANAAGTGSHHITNTQPYRWFESLVVKAFLASRPYCTKLTHIVS LMLDSGLPCFKPDTLKNFRDRFVLEKSEREAAEFMRELIRKSYMNISTKGYDQFQLLT NGIPY ACHE_40251S MAPQSEQSSLKRGRASVDQDSQDQKKPRRSERLSRIQPRNTPVE QEYLPTPVTRQSSTVTDARNETTATPMDEVSQGRDQTPISSEPPEDTQPEDTQAFSQF VYPPRAFADEVEDEKAEGVWGYLIPLDDAVQSALPLTKRDSCEGPRNKGDKGQGRNGK KGICRPGGYLIGRHPECDVLLETPTISNRHFLLFSENKKGDVVAILQDLSTNGTFVND AIVGQNKHRELEDGDEISILNEARFVFRYPKSRDTNGFRQQYRILQQLGKGHFATVYL CANRDTGTQYAVKVFEKRPGDSQKSQADALQKEIALLMSVNHENLLCLKDTFDESDGM YLVMEVASEGELFNLIVSKQNLSEDETRHVFKQLFDGLKYLHDRGIVHRDIKPENILL SDKKLSVKLGDFGLAKIIGEDSFTTTLCGTPSYVAPEILQDTHHRRYTKAVDIWSLGV VLYICLCGFPPFSDELFTRQNPYTMAQQIKMGRFDYPSPYWDSVGDPALDLIDRMLTV NADQRITVEGCLEHPWLLEKSPSLTDSTDGLTGALGNLDFSKRKVERERTLLSTMNDV RFSERAEEGGAPVKIFHKNEAGKRVSNQPSQVLVHQSQQEAHPDENSAPQDFANLGER GDPLLFEEEPTSRYP ACHE_40252S MGFLAVVLEKLSELHSTQSLWVLTSVGLLALFSVSVIVNVLRQI LFKNPHEPPVVFHWFPFVGSTISYGMEPYKFFFDCRAKYGDIFTFVLLGKKTTVYLGT KGNEFILNGKLRDVCAEEVYSPLTTPVFGRNVVYDCPNSKLMEQKKFVKFGLTSEALR SYVPLITAEVESFVEESPVFQEPKGIFDVCKTIAEITIYTASRALQGKEVRDRFNSTF AEMYHDLDMGFAPINFMLPWAPLPHNRKRDAAQKKLTETYMEIINDRRKAGGKKDSED MVWNLMSCTYKNGTPVPDEEIAHMMIALLMAGQHSSSSTASWILLRLATRPDIAEELY QEQLRVLGSDLPDLTFENLQKLDLHSKVIKETLRIHAPIHSIIRAVKNPMPVDDTPYV IPTSHNVLSSPGVSARDEQFFPKPLVWNPHRWDEVAQPSTEDEEKIDYGYGLVSKGTN SPYLPFGAGRHRCIGEQFAYVQLGTILAALVRIFKFRNMPGVEDIPETDYSSLFSKPL GQSFVQYEKRESATKA ACHE_40253A MAMRGSRLGLFSARLMKPFSVTRQCIRCLHQNREAPRVPSPTPF VPNVETFLSLIGRGMSKHASKLPSWDKLFTLSSAELRDLGIEPARQRRYLLRKREKFR NGQYGVGGDLENVVDGVAQLRVVEVPYESTTSSTQATELTSLSSSATSTPGMRKVIVN TSPDATDYKHDSSKPLKKFEHMKIHNGSIIKGPFVQLIKGTNGSAALIKVQEGMWEDK QGHKVDGGERRRAEVRAKKRIEERRKGPA ACHE_40254S MAVSPLVSHSPSAASSSPAKLRAANVRGSAMKPESVSSHQPSQN TDRELTEQLNDEVRQKYVKDKRLGEGTYAVVFLGRLRTDPSSFVAIKKIKVNAEYKDG LSMDAIREVKYLQELSHPNIIALHDVFSSKDQNLNLVLEYLPLGDLEMLIKDGNVHYG AADVKAWMGMLARGVWFCHENFILHRDIKPNNLLIASDGEVKLADFGLARSFADPYLN MTHQVITRWYRPPELLYGARQYSGVVDIWSMGMVFAELLLRVPFVAGNTDLDQISKIC EAFGTPTEENWPGVSKLPNYIAPDRNHLVPTQGRDFFLRQFPNAGPVGADLLMSMCTL DPRKRKTACEVLQHNWWTSEPRPTDKHDLPRKSGGSRKMGDDLTRRGGEIDENAFKNA ARKLDFSAM ACHE_40255S MASTMPQPSRPTATSPAAPVPPLPVPKTRKGLPVPSDTSRAPSP SQSPSKLRAPSSPRPTLSKSPLSNSTTNVSAPRSASSNGRPPSSPDKSLRRTISIAAF PQPPKTGSRPGTASSNMPGVSSSGSINARKGSRLSSGTTSSYRSSKTPSLLNGNGDGK SILSADARDPDASPSQSRSSSAQESYSTSATTYEDGDDTASGAAKTGSKSKESKGNVI VSVRVRPDAGGNDASRSSGEWQLEGRKGLISHRGKEAGDFYYDNVFTAHEHNAKVYDA AAKRLVRRVMEGYHGTVFAYGMTGTGKTFSMQGTATSPGVIPLAITDIFSFIRETPHR EFLLRVSYLEIYNEKIHDLLSAPAAGAQQEEIKLREDSKRGVYATPLKEEIVQSPTQL LRVIARGDHARRTGSTQFNARSSRSHAVVQIVVESRERAPAGTPQDKRSGIIPGGVRV STLSLIDLAGSERAADDKERRTEGAHINKSLLTLGTIISRLSENKDKGNNPTDKEGRH LPYRDSKLTRLLQPALSGNSLVSILCTVALIASGSSAAANSHSGETLNTLKFAARAKN SIVSHAKKAEEALGGGGGDSGSRVLLERYRMEIQALRSQLDSHTKAQAEKEMKLEDEQ FEKEAQTRHEEQMLEIQLARTALKERIEHLNRLILCSKSTGVNTQGNFSALGRFSRMS INDSGSRSLRSSVSQSTLGAWGYSIRPTSFMSINSNDFSPNLAFSNGSIGNEDDDDTI GEFADGKASLQRHVSALQADLGDKNRYIATLERRLLQARRSSHSRMSAGMKGGNTGTE NTDTAAIIREKDMEINELRSQLDDKDRMLAALRSATRHRDVARVATDPVQSPNSDTNS GSRSPDTLNSPRLSLVESEKEKKRKSVDEVSKMLDEMIQDRVESGHIIKGSRGSVRVA ADSRRTSTQMTGVPSLNAALDSVDSAKSGATE ACHE_40256A MSTSSIVKEPVARALGESLARQDATAVGQGMPLRSNKANLAARD SRSQSHRKSHPADDTESESFEHRNWKGENPKHNHNASQLRGASGNTRPNKLGNREKRG GIHWAPLNIGLERRLQTFVVLCHTLTIAIFLTCFFFTCAIPLFWPILIPYLVYISLFS TTATSGTLGRRSEFLRSLRAWSIYVSYFPAQLHRSEPLLPTRKYIFGYHPHGIISHGA FAAFATEALGFSRLFPEITNTLLTLDSNFRIPFYREYALAMGLASVSRESCENLLTKG GADGEGMGRAITIVIGGARESLDALPHSIRLVLKRRKGFIKLAIRTGADLVPVLAFGE NDLYEQVRSDHHPLIHKFQMLIKHTMGFTIPLFHARGVFNYDVGLMPYRCPLNIVVGR PIQVIQQHERDKIDDNYVNELHARYVSELRRLWDQWKDTFAKDRVSELEIIS ACHE_40257A MGLAAPRKRTKLSHDPNNVNWSRSTSGFGHKILSSQGWTPGSLL GARNAAHADMLTPASASHIRVTVKDDTLGLGARPKLLDEPTGLDAFKGLLGRLNGKSD VELEKEQRRRDDIKLARYAATKWQAVRFVRGGLLVQEKTDAATTKSPENGDRELNCSE ESTNEKGNGTNNDASASVPNRGLKSNEKEKEEKKEKKEKKEKKEKKRKEKKDKKEKEN SQVDEKDKSQRKRDKKAKKRKHSEDSEDLDSGTTTRDAVMMEANVAAGGMEASSVTAP TSTSKKGRVPLGRNVTRARHIAQKKRALLDDKSLNEIFMVKS ACHE_40258S MSMSSLRIFCQDYPQRTIALVTPEHALIFYCSTDIVPNSQREPP RCLVEFSSLSSVDLKGYRVLGNGYGTLGLVTLNEDVFLCVVTGSSKAATVRPGETILR IDNVDFFCLNRPDYDGLDYEAEFATDEYNHATAPGVEDREFDYPFLALKKLLSDGSFY YSRDFNLTDRLQDRAEKSAAFDIGTLDEDMLWNSYMISSLLLFRSHLPPPERERLDSS KILTCVIRGFANTLTIPASVPILPPAQTNLPSTLTIISRQASRRAGTRFNSRGIDDDG NVANFVETETILWMPSGITFSYVQVRGSVPIFWEQATGFLPGQQKIEITRSTEATQHA FNKHFEHLELEYGAVHVVNLLSGLKSGETELSAKFRSHIMRRLEQKEDVGILSDHALL RVTEFDFHAETRGPLGYGASSQIRHEVMNSLQGFAYFLSEDSEYTATSVVGSSDSQKS SPIVLQQEGVFRTNCLDCLDRTNLVQTILSSMALELFLSQQGAALSTEVQMRHSTLWA DNGDSLSKIYAGTGALKSSFTRHGKMSLAGALADARKTATRLYVNNFSDKARQKTIEL LLGRLTNQMPVRLYDPLNDMVSQELSQRASEYSFARSIRVWAGTFNVNGSGLGPDVDL APWLFPVLDQQGDEPTIFAVGFQEIVALSPQQIMSTDPTTRKVWENAVKSCLNSHSKS RGLGKYVLLRSGQLVGAALMVFVKEDVLKEIKNVEGSVKKAGLSGISGNKGGCAIRFE YSDTRICFVTAHLAAGFANYDDRNRDYETIESGLRFQKNRSIRDHDTIIWLGDFNYRI GQTNQNVRELLLRGDYQRLYDHDQLNLQMLAGRAFHFYSEGPVCFPPTYKYDTGRNEY DTSEKARVPAWCDRVLWKGSNLYQIYYNAADLRFSDHRPVCAKFICTINVIDESLKAQ LRQALYDERQHGMHDSLAKGTMQVDIIDREAIQAAEITPALPAASSDNYKWWLDDGAP ARSTMRPPANDFAVNVNRDSNPFFSNNEPDWVRISGPSELGTNMNSNTNTGQASPRSS ECELLGVSPTQPEAADQQSIVTWGTQGSRRVTQDVKTAPPIPRKPISLSLRERSHDVK PGQTLLMRDEDTTRSESHLRPMKDLRSLEIAKPGSTSRDSGSNSSHAHRHNRQEAQQY TNTGRDTNDLLGDINDGSITWKPLL ACHE_40259A MPFNITIGSDHSSISDEEDSSGIRGSPLSDSGSHVYQDPYDEWA HLPYPDELKPSDSASRPRTTGRTRMRAMDRMPASGSMRRHAPRRHYVQDREPLPRRSR RPPSPESPESEDSGEEYTAPYDRPSQDRRFWPPVSQGPAYAHNSSPGPSYTYPHETVP HGPFGHPNGAHPPSDQLVRVGHHSGMNQQSPYGHPLYPYSNQFHHHPPGAPISPFFVQ DSPGHFGRHAHHPQVAHTRSSVQSPPPQALSHPIPSNGPHFYGGAPLNSHDLVPYGQN GYYPFREPYPMAPGMIQPYYGNYPRVPSPSREESSPSPPPAPPADAAKDEAIARLEKL ILEERSEREAREAREAARQAEIEKEAAEQAAREERAAHEKQIAEEAAAAARAEAEQKA AEEAAKAKQEAEEAAAAAAAEAASAATEAANAAAAEAIAAAQAEAANQQPPPEDKKKP IKFKDAIGRKFSFPFELCCTWQGMEELIRQAFLHIEVIGPHVADGHYDLVGPNGDIIL PQIWDTVIEPDWSITMHMWPIPEKPKTPEPPPAPEAPADPEPAPEPPAAPEPDPEPAP ETAPEPEPEPAPEPEPPAAPEVEEAVPASEEPKKIGAKKQRQKDPGAFAMWVVGGHRM KSNKGWKVVRKAE ACHE_40260A MSNTKNEPIHSSDLLATLQYSQQAPILLGRQSKSNMAPLSLITS AAGQPEEYAMIERLFFACLQTGDDKSALICLDKLTKFFGRSNERIMGLHGLYEEAIAG DNSALEKCLREYDDILQQNPVNLPVLKRRIALLRSLSRPADAISSLIKLLEATPTDTE AWCELADLYRSQGMSSQAIFCLEEALLITPNAWNVHACLAELLYVCATSPDNGDPSKL LRRSMRHYCRSIELCDDYLRGFYGLALVTSLLLETEESCIMEEVSLKKTLPKLNAFAF QKLEAIVKSRFMDYQGQKCCQSELIAARELLNRFGKSS ACHE_40261S MFEKSLYDLIKGLRSHKGAEEDYIHSSLRECKAEIKSQDMDRKA TALLKIIYLEMFGYDMSWASFHVLEVMSSTKYLQKRVGYLGAMQSFRSDTEVLMLATN LLKKDIGSSNIPNMSLPMVTLPHVITPSLSMSLLPDILSRLSHSHVMVRKKAVVCLYR LALVYPEALKLAWPKIKERLMDDQEDGSVTTAVINVVCELGWRRPHDFLPLAPRLFDL LVEGGNNWMAIKIIKLFATLTPLEPRLIRKLLRPLTNLIQTTTAMSLLYECINGVIQG GILGGDEGFREKDEIASLCVGKLRGMIVTDADPNLKYVALLAFNRIVTTHPVLVSMQQ DVILECLEDADVSIRLQALELATGMVSSETLQPIVSRLVKQLNDAFVSLSEQSPREGQ LIMRDKNGISEQSTGSYSHCLSPEYKIEVLHRIVDMCSYNNYSGLPDFEWYIDILVQL VKHVPPEDIGGYYRYTTNPVSEIACRLGSEIRNIAVRVRDVRMEATRAAESLVLIHND KSTSLGRTTASDGIMSSLAWVFGEYAEHLLYPGQTLQSLIDTTNALLPARTLSVYLQA IPKLLVHLTSNGNSWDDSQKSETSLLLARIIDFLETLASHPDLDVQERAIEFLEILRL AAEAVNSEVHPSHEPPYILSAVIPSLFSGLELNPVAIGAQKKVPLPEKLVLDQPFNER LLSLFDDTNDVSLGLEAQDLSRDFYYTIDISTLSRRFTALAHSDMLSNTSLPKVTGKS MDAAGKPKQRSERRDRNKDDPFYIDTEENSSGTSTPFHRVFNASNGDGMDVDSIPIID LKINNEAIHGLALSRDYEKQKRTNPHARKYDVIADENFGHDESMGTQNSDEPGKVERS LLQVDTSGLGHFSLEELTPGPDFTQRRTQQDEDEVEMAKAMQKVEKVRLEMQRASERI HPKDVPAEGTLVKKKKKTNRKTRHDSHGTGPSVRKKKKQSGDNGLAFDDQ ACHE_40262A MTQTPKQRKANEKYAKNEAAKRGKGQLPVKQKPSAKSSLPTGWL AVLIFVICGGLAFELLGVIPKLWSATFGRFMD ACHE_40263S MGIPHLTRHLLPYAKEVLLAGEKQREGDDSIQLVVIDGPSLVYH VFHRLLSNSNTKLEVLDAQPTCDEVSLGVMVYLLQLKLLGVKVTKICFDGALPIAKRK IRLCRLEKSRKKLEQFHSQNRLGFAKPDDSIRSTVDPTKVLQSRAIPIRYNGLPENPF MVSTVFEDLRKRWNKANILMAVQHAFYFNHCEIGEQDFPWAAITVMVPGEADAECARM SRLTGAAVLTNDSDLLLHDLGSYGSVLFLDSVELSEWNPSRPSDSKVKARRLCPASLS RRLGIRSVRYFAYELNQNPQFGLSELIRRSRENCDFLDLAPDYQHFLEDYDYEPNSRA IAQHPQDLDPRISEILLQYDTGNARFTGSSPHIYLAILSEDHSRRCAWEEGRSYRALG YSVFNLCRPFTERYHFVEEYVRRGGRIAIDRIAMGNEGWITSEMHSFHTSLSSAQVAF GKKLSSSCFWRLFAMCELFKDETNHTAPDVNRLCRFLRFGYMGEAVSWADVHLCAQIH AVLYSLRILKQLLGLVTMTEEPVLRLKSEIAALPPLHVMMRPIREMTREYPEDSSVEK ALQRFFLLSGQHVQTDDEMQPPYFSPEGSALQHQGSPKSNCVKHVHRSKSNLYEILSP E ACHE_40264A MFREMPSLDIDQFGQPLAKRQRLSSDNVANKSRTSASKIFSPFR TLGLVSPTAVPLACVRLGKSTFQITTSVGYSLQTYDVRRGLNLVFVSRPQTPGMITAT FSWQDKVFAAWGNFQPGSPGGIWVFRRGKKIASLEVPANLRGPIERILVFGSWIVGSN SQGIEVWKSSSYEHYASLSPQRQAGSTSEHIYTSQLCNMPTYLNKIFIGRHDGAVDIW NIRTGKILYSILPAFKDAGAVTALQPTPVLSLVAIAYKSGALSIQDVETDKVVLPLRT PLSKVSPITSITFRTDGLGAGDDGRRSGVMATTSTDNGDITMWDLNNGGRVTGILRRA HMVSLNETGSGTTHIEFLDGQPVLVSSGRDNALRTWIFDEVPFSPVPRPLHQRSGHYA AVSTLHFLPSSSDGSEFGGKWLLSASKDSSLWGFSVRKDSQNTEISQGAIGHKTNKIG SMQTGSYNDLKAPEITCIACALNRDGGMGVTTSGPVWANPKVTDTNASSTTGWESIVT GHRGDKYARTWFWGKKKAGRWTFETGDGTEVKSVAISQCGTFALVGSSGGDIKMFNLQ SGRHRQNFPATFASRRHVQANSRGSSGVSATERTGRHTKTVTGIVVDGLNQTVISCGL DGKIKFWDFESGRLVDELDWHPMTAITGLRYSSASELVAFSCDDLSIRVVDIETRKVV REFWGCVGQVNDFTFSNNGRWVIAASMDSIVRVWDLPTGHLIDAFRVPNTCTALTMSS TDEYLATAHADGVGINLWTNRSLFMPVSTKNVNEDYIADIQAPTASGESGVSLIEAAF SETDEQDEIEGPVLSTEQLSKEMVTLSVIPKSKWQTLLHLDVIKERNKAKDPPKAPEK APFFLPSLPDGSAGEDADSKFSVESTAAERSRIARIQHSQDSKVLGSPFTTLLRAGRL SGNLDPFIEHIKGLSPTKIDLEIRSLSPQVKDGQSELSDFVFALASRLKLKRDFELVN VWMAVFLRIHADIVGSNDETGQLRKALADWSRLQEQERERLAGLVGYCHGVVGFLRSS R ACHE_40265S MSYSSIPGLSEDSDFKDTSHKTSSVPSSNANSNRMDQSSLSQLH GSTSTVLSKGGSDSPLEAHNESRHACSSKGFSGSASASSINEMEYTSSPLGKIGVCAL DVKARSKPSQNILTRLQSKGDFEVIVFGDKVILDEAVENWPVCDFLIAFFSDGFPLDK AIAYAKLRKPFCVNDLPMQKVLWDRRLCLKILDHMGVPTPKRMEVNRDGGPTLESVEL AQHVYRLTGVKLEGPDDGTGGGAPRTQSVSLSEDGETLVIDGKALKKPFVEKPVNGED HNIHIYFPNDQQYGGGGRRLFRKVGNKSSEYDPSLVVPRSIAETGTSYLYEQFLRVDN SEDVKAYTVGPDFCHAETRKSPVVDGLVRRNTHGKELRYITKLSKEEAGIASKISNGF GQRICGFDMLRVGERSYVIDVNGWSFVKDNNDYYDRCAQILRDMFLNERQRCEEALGS LEPPSPDLSQSKKSASHRHTLKTLLKSPSVSKIHGNQQSHDGNETVPMELITAEAFPP SSDAGGTDHAHIHVNQNAKERVTSSSNTISPAVSMRFPTDGVPPPPPASKHSWKLKGM VAVIRHADRTPKQKFKFTFHSQPFVDLLKGHQEEVVIKGEVALASVSDAVRVAMREGL EDMDKLKLLRTSLDKKGGWPGTKVQIKPMFRKRKPEEVSGQALPTDLTPSRAEGPHEE PISPVAKEASPANENPNRSQARSDSISGATFSRFSAVENDLILDKLQLVIKWGGEPTH AARYQSQDLGLNMRDDLKLMNKEALSNVRIFTSSERRVSTSAQIWASSFLDQKELPED FIRVRKDLLDDSNAAKDLMDKVKKKLKLLLREGSAPSQFAWPKDNIPEPSVVLATLVE LMKFHRSIMRHNFQRLDSFSRQTSADSSHGPENPTALDQFDSHTDNAALASIQGRWCA GEDPMLFKERWEKLFAEFCDTEKVDPSKLSELYDSMKFDALHNRQFLEWVFMPPDDCD EEGKDCGDKRPAQEGDHLENIGEPSESSTFAHRFGLKKRARAFESMPHLRALDETYDH YFKLYPGSSPVKAKLDGRLSKLRELYKLAKVLFDYVTPQEYGITDSEKLEIGLLTSLP LLQEIVRDLEEVQASQDAKSFFYFTKESHIYTLLNCILEGGIQTKIARSAIPELDYLS QICFELYEARDSESSTNSYSIRISISPGCHAFDPLDVQLDSRHAIGCAPRRSLTAHQD WKEVIETLKAKFDT ACHE_40266S MRASTDTVPGTFICRLQGDYLQCNKEQSQEEKSIAINDIVCILP SSMERQETTYSVLYLERHSGSSDANTVDDRVRLIRISTGSLPSTLLSQYFYKELPRHL SLLSRIHVVISTGSGAGKAKGLYQDVLQPFLSYLGLTTCEVHETQSSQTITELAHLKF IPCAQTGIDQTIILLSGDGGLVDIVDAFYNSSKRMIVPPCIGLMPTGTGNAMANSIGL HCPTMGLMTLLRGKPRRIPVFAASFSPKSQYIIEEGRGRAPICSDQTAETEDHKVYGA VVASWGIHAALVADSDTLAYRKFGVDRFKLAAKELLWPSDGLPTHSYHAMITLAKKSK GANNQQMEAMDQNEHMYVLATLVSRLEKGFVISPDTSPLDGCLRFVHFGPMPPDVAMQ LMSKAYQGGLHVHEKAVTYKEIEGFRIDFREEDERWRRVCIDGKIIAVERGGWMEVRR EPRCLLNLIADFP ACHE_40267S MLVTTRTYSTAQLLHQKHSSPTDKHRSRFHSLTGWHSGLVNIER AVKMLLSYQAGSVRVGEVVRYTLSYTPATDPIYPPPEELYVRVRNTSAIPLRAAYLHG PYTLYAACYPSTFDPNVAYSRKNTEGVPQFEPYLKAGGSWDATITIPQSIRQTPTELA SADPGSHQSVTWVIEIVSQVIFSATAAVNFEVIVGRDEKSVQLLSAGGVSGGGLPRPG QLHDHWSPKTRGQQVLAATGIYSNSITLRIDNTASLWNSPPFPPFEDDAKSKLQNSQA ATETSNTQHQTTAQKEEPKKEKKKKIHLVVITHGLHSNLGADMLYLKESIDIAAKRAR EQAKQDRQKKSNTNSDTTRPGSDFADDTDGDDEQVIVRGFFGNAVRTERGIQYLGKRL AKYVLLMTYPDQPYFPLKTSKAKPFPNPLAALKTTEEQSASGASVSGVHEQGSDQEDH AYQFTSISFIGHSLGGLIQTYAIAYIQKYSPQFFDHIRPINFIALATPFLGLSNENPV YVRFALDLGLVGRTGQDLGLSWTAPKVRSGWGAIIGGRGESVKPQEHSDPGSKPLLRI LPCGPAHEVLAKFQHRTVYSNVVNDGIVPLRTSSLLFLDWKGLDRVEKARRDNGLVGT MAEWGWAELTGANSKSSRPTRPTLSTTHANATENTPSAALSQEQNEHGKVPTETLQAS EPYQTLRQQESEQHHLESGDVRLDSTPKVDPSQNLFSPLNGLLSLFRSKDSPKTAAGH KQTKIYKRSQTVHTDDQPIETQSSTGSHRPEAPPHRMSHEEDGLYTPPKTTFFESASD LLMPPLPPVEFILDPASRPRTIFHDRIYHPEDIPAPLPVKRRTLPFSSHQTRSSNAQH PSSLESHHSSHQGKDESESGLRVEEKIARAYHRGLSWRKVLVRLEPDAHNNIIVRRMF TNAYGWPVVKHLVDTHFAYTSAAQSNDVLEGGMDRAKPPTIKTTNSGNEVQGQTDRPF QKSVPPGSSGGLYEVPNSSTINDPSRSLDYYHAHRERTGAGPMSEYFNGTSSTSIAPR PDSATDDDDEDDPEFRDSIKATRQHPGEI ACHE_40268A MATNSNFVESSSLDLDDIHETLIEIAYKAGEIITGALPATNGAG SKKNSADLVTEYDRAVEKMISTTLAQKYPHYKFHGEETYDPAHPLTDDPTFVVDPIDG TVNFVHGFPCACVSLGFAVKRVPVVGVVFNPFNQTLYSAVRGRGAFMNRTTRLPLKGD GLEPLSGLSNALISVEWGSERSGQNWDTKVRTFARLGQTKDNGGAMVRSMRSMGSAAL NLCAVAAGTIDLYWEGGCWAWDVCAGWVILTEAGGIIADGNPGSWQATLEGRRYLAAR ASPGAIGQKDLVEEFWGHIQGRLEY ACHE_40269S MPTELEELVEFLHHGNTQIRQIACENLVGFSTAQPDLFKRHQLL PVRDLKLLARDYTPIAKNALTILINLSADEEVLDHLVKDDAFIETLLTKLTNVKEPNA DEVSMLLANLTKSDDLKKIITLKRKKPDLVSTSSNAIDQLMDCFVKGAEGSLNKSANY DYLSYFFADLSKTEEGRAYFTTRQEYDGVVPVTKLTVFTEHKSTIRRRGVASTIKNVA FDIPFHPTLFSEDEANLLPYILLPLMGPEEFPEEESMEMLPDLQLLPPDKQRDSDNSI ITTHLETLLLLTTTREGRDKMREIKVYPIIRECHLHVDDEGVRETCDRLVQVLMRGEE GEENPDLAQSEAAQKQDEDEKVVELF ACHE_40270A MAGLSDRYSSTKGSRHQSSHASNLFEPATSTTDTGVSEIGRLPF HVHEMLSHASAQLISHNQKALEDKTTRVGSLKAITSQGSCNTLDIRCGKLDSRDDHVT VPRITAAGSGYTYAHAPKTRFGDQQSDPHRKKQIQINYLDSLASRIPTPGSLHNELQA KENLRVILTKVAQEALEKYALKHGYTVGPKAIDLKCFGSLRNGFILPNTDLDLMVRMH QSSFPKELEKECSQILESAFLDAGFGAYLLPDTMTPIIKLCEKPSQEFLDIMVGERER RQIPKTHQPYNHQPGYHTGSYYSNQNAWCLSDTLRSRHKTDYFGFSQAGLGIRCDINF SGSMPLYNTELLRCYALCDERVRLIGVFVKMWVRARKINDPYRGTMCSYGYILMAIHY LMNVANPPLVPNLQAVPRSFPGHVEATNINGYDVRFLNDESELRAISKRNRLSGNQQS VGELLRGFFAYYGTRGSGSPQGSFHWANNAISIRTQDGIIPKDEKGWHTAKTDRNGNR LRFLLAIEDPFEHEHNIAATVTEGGVSTIRAEFNRAQTIINRIQEIPGVGWEWRTDEG DVGQDLLAEAQDLSNSCRQPRNPGSPTGPGHLDCVPELCTARMDNNPRGRPISKSSSL NGHPSAIPGPQSSCAGSASDNPAKCAHHDTFHLRSYDHCGNTTIGGPIRVTGKHDASG PTMPPASPLHANSSLMRLLVSSITDDEQTVQEFRQPAGLATEVTPDPKYRQGAQDINF WGARLQSPDRFLASPSQAKIMGSSSSGFVIDGHKPIVQISEGAPKVQQLTVPHTSRFR GVSLDLRQFHGPGVIRDRRAASKHGPTDFLNPSPNDNVAVASDTESACQVDDLGKSEL LANLPFGG ACHE_40271S MSAPTNQSDNRLPTGPNGARPMKIRRPKMADPLVRPKKKPASRP AAPTPSVHATASKSLPPRPAAQPIASVPARDDLSTNGFSGPLLSETYVDYPLVTTKRA LREGLKHHVARFASKKNIDPRDESQFTRPVRLQRRDPRAKTHATNEEKSQNSEKFLDS QQMDEAEREELEAKKAAREKERAENLAQIAPSAGSAPKRANIPKQKTQQVYKAEMTPE EIARTRIKYEEALPWHLEDFDNKNTWVGNYEAALSETHAIFVLENTGKMRMIPVEKWY KFNVKNQFKALTIEEAEKFMAKKIKDPRWFMEKQQEQAEKKELESFAKQRKVYAGKQS TPGGGEGLEADEMDFEEDRFADDEEHDDLFNEDEEAKTAEKRIKQDQLKANVFDLKDE RDYEEEERRERKEKEARRVQGKGVRKALKKREKNYDYSSGSDVNPYSDDESSDESEEE RAKEEERKAEEERNKKESSTKGGSTPSGRPKHTNPLKKGTATQPRKRLGSPNASDASG TDTSRKNTKSKHPSTKQPTPQPGSRPLSPAAPSSAPAGKKRVRNVPGGGAGSISDVDG GAASGGEMSESGKAKKLKLNPPTAASQTGTPQGSRAASPTAIGSRGFTGSRASSPEGG VPMRGQTRVSTPVPSGNQGFPTPVEIHAAIPASGILSSDLLKIFRPRIGESKENHRRF IAIVKDVSVYGKEDRLLRPGSLRDN ACHE_40272A MYGTAPQTGINTPRSSQSLRPLILSHGSLEFSFLVPTSIHFHAS QLKDSFTASLPEPTDELAQDDEPSSVAELVARYIGHVAHEVEEGEDDAQGTYLEVLKL GLNEFERAFMRGNDVHAVAAALPGISAKRVAVVQAYYAGRAAAGRPTKPYDSALFRAA SEEKASIYSVFGGQGNIEEYFDELREIYITYPSFVEELINPAAELLQSLSREPEASKL YPKGLDIMRWLQDRDSQPDTDYLVAAPVSLPLIGLVQLAHYMVTCKVLGREPGEVLER FSGTTGHSQGVITAAAIASATSWDSFHKASRDALTMLFWIGLRSQQAYPRTSIAPSVL QDSIENGEGNPTPMLSIRDLPKSAVQEHIDATNQHLPADRHISISLVNSARNFVVTGP PLSLYGLNLRLRKVKAPTGLDQNRVPFTQRKVRFVNRFLPITAPFHSQYLSSAYDRIL EDLNAAAIQIPGKSLAIPVYGTRTGEDLRNSGADNDVVPALVRMITHDPVDWEQATVF PNATHIVDFGPGGISGLGVLTNRNKDGTGVRVILAGAIDGTNGEVGYKPELFDRDEHA VQYAIDWVKEFGPRLVKNAAGQTFVDTKMSRLLGIPPIMVAGMTPTTVPWDFVAATMK AGYHIELAGGGYYNAQSMTAAISKIEKEIPPGRGITVNLIYVNPRAMAWQIPLIGRLR ADGVPIEGLTIGAGVPSIEVANEYIETLGIKHIAFKPGSVDAIQQVINIAKANPKFPI IMQWTGGRGGGHHSFEDFHQPILQMYTRIRRCENIVLVAGSGFGGSEDTYPYLSGTWS SRFGYPPMPFDGCLFGSRMMTAKEAHTSKNAKKAIADAPGLDDQDWEKTYKGPAGGVV TVLSEMGEPIHKLATRGVLFWHEMDQKIFKLDKAKRVPELKKQRNYIIKKLNDDFQKV WFGRNAAGQAVDLEDMTYAEVVHRMVDLMYVKHESRWIDHSLKRLTGDFIRRVEERFT SADGKPSLLQSYSELDTPYPAVDNILAAYPEAATQLINAQDVHHFLLLCQRRGQKPVP FVPSLDENFEYWFKKDSLWQSEDLEAVVDQDVGRTCILQGPMAARFSTVVDEPVQDLL NGIHQGHIAGLVKDVYGGDEAQVPIIEYLGGQLHDSDEPDADGLTISEDAGKITYRLS SAPTANLPELDHWLRLLAGDSYSWRHALFQADVFVQGHRFQTNPMKRIAAPTPGMYVE ITSPTDLSQTTISVQEPSQSGKLVTTVEAKMNEQKQISLTLLEPRTAENGVVPLTFLF TYHPEAGYAPIREVMGDRNDRIKEFYYRVWFGNKDVPFDTPITATFSGGRTTITSQAV ADFVHAVGNTGEAFVDRPGKEVFAPMDFAIVAGWQAITKPIFPRTIDGDLLKLVHLSN GFKMVPGAQPLKVGDVLDTTAQINAVINQDSGKMVEVCGTIMRDSQPIMHVTSQFLYR GAYLDFENTFQRKDEVPMQVHLNTSRDVAILRSKEWFRLDEPDVELLGQTLTFRLQSL IRFKNKSVFSHVQTIGQVLLELPTKEVIQVASVDYEAGDSHGNPVIDYLERNGTSIEQ PVYFENPIPLSGKTPLVLRAPASNETYARVSGDYNPIHVSRVFASYANLPGTITHGMY SSAAVRSFVETWAAENNIGRVRGFHVSLVGMVLPNDVLTVKLQHVGMIAGRKIIKVET SNKETEDKVLLGEAEVEQPATSYVFTGQGSQEQGMGMELYNNSPVAREVWDRADRHFL ENYGLSIIDIVKNNPKELTVYFGGPRGKAIRQNYMAMTFESVNADGSIKSEKIFKGID ENTASYTYRSPAGLLSATQFTQPALTLMEKASFEDMRSKGLVQRDSSFAGHSLGEYSA LAALADVMPIESLVSVVFYRGLTMQVAVERDEQGRSNYSMCAVNPSRISKTFTEQALQ YVVGNISEQTGWLLEIVNYNVANMQYVAAGDLRALDCLTNVLNYLKAQNIDIPALMQS MSLEDVKAHLVKIIQECQKQTESKPRPVALERGFATIPLRGIDVPFHSTFLRSGVKPF RSFLLKKINKTTIDPSKLIGKYIPNVTARPFEITKEYFEDVYRLTNSPRIAHILANWE KYEQDTEGNTTA ACHE_40273S MRPEVEQELSHTLLVELLAYQFASPVRWIETQDVVLAEQRTERI VEIGPADTLGGMARRTLASKYEAYDAATSVQRQILCYNKDAKEIYYDVDPIEEEPEAA PESGAASTPAASAPAAAAAPAAAPAAAPAAAPAGPAAAVEDAPVTAVDVLRSLVAQKL KKSLADVPLTKAIKDLVGGKSTLQNEILGDLGKEFGSTPEKPEDTPLDELGAAMQATF NGQLGKQSSSLIARLVSSKMPGGFNITAVRKYLETRWGLGSGRQDSVLLLALTMEPPS RLGSEADAKAYLDDTANKYAANAGINLSTPAAGGDSGASSGGMLMDPAAIDALTKDQR ALFKQQLEIIARYLKMDLRSGDKAFITSQETQKTLQAQLDLWQTEHGDFYASGIEPSF DPLKARVYDSSWNWARQDALSMYYDIIFGRLQVVDREIVSQCIRIMNRSNPLLLDFMQ YHIDNCPTDRGETYHLAKELGQQLIENCKEVLGVSPVYKDVAIPTAPQTTIDARGNIG YQEVPRASARKLEHYVKQMAEAGPISEYSNRAKVQNDLRSVYKLIKKQHRMSKATELQ FNALYKDVLRALNMNEHQIMPQENGSSGTKKGRNGIKPPRAGKVETIPFLHLKKKSEH GWDYNKKLTGVYLDVLESAARAGLSFEGKNVLMTGAGAGSIGAEVLQGLISGGAKVIV TTSRYSREVTEYYQGMYARYGARGSQLVVVPFNQGSKQDIEALIEYVYDTKKGLGWDL DFVIPFAAIPENGREIDSLDSKSELAHRIMLTNVLRLLGSVKAQKQTRGFETRPAQVI LPLSPNHGTFGNDGLYSESKLGLETLFNRWHSENWSNYLTICGAVIGWTRGTGLMSGN NMVAEGVEKLGVRTFSQQEMAFNLLGLMAPAVVNLCQIDPVWADLNGGLQFIPDLKAL MTKLRTDIMETSDVRQAVIKETAIENKIVNGEDSAVLYKKVVAEPRANIKFQFPNLPD WEKDVKPLNENLKGMVNLDKVVVVTGFSEVGPWGNSRTRWEMEAHGKFSLEGCVEMAW IMGLIRHHNGPIKGQAYAGWVDAKTGDPVDDKDVKAKYEKHILEHSGIRLIEPELFKG YDPKQKQLLQEIVIEHDLDPFEASKETAEEFKREHGDKVEIFELESGEYTVRLRKGAT LLIPKALQFDRLVAGQIPTGWDAKRYGIPDDIIEQVDPVTLFVLVCTAEAMLSAGVTD PYEFYKYVHLSEVGNCIGSGIGGTHALRGMYKDRYLDKPLQKDILQESFINTMSAWVN MLLLSSTGPIKTPVGACATAVESVDIGYETIVEGKARVCFVGGFDDFQEEGSYEFANM KATSNAEDEFAHGRTPQEMSRPTTTTRAGFMESQGCGMQLLMSGQLALDMGVPIYGVL ALTTTATDKIGRSVPAPGQGVLTTARENPGKFPSPLLDMKYRRRQVDLRRKQIKEWQE SELLYLQEEVDAMKAQDSEFDTTEYMQERARHVEREAVRQEKDAQFSLGNNFWKQDSR IAPLRGALATWGLTIDDVGVASFHGTSTVANDKNESDVICQQMKHLGRKKGNALMGIF QKYLTGHPKGAAGAWMFNGCLQVLDTGLVPGNRNADNVDKALEKFDYIVYPSRSIQTD GIKAFSVTSFGFGQKGAQVIGIHPKYLYATLDQAQFQTYKTKVESRQKKAYRYFHNGL INNSIFVAKNKAPYEDDIQSQVFLNPDYRVTADKKTSELKFPAVPPKTEDKGAESTRQ VVESLAKANAAENSKIGVDVESVEAVNIDNETFIERNFTASEQEYCRKAASPQASFAG RWSAKEAVFKSLGVSSRGAGAPLKDIEIVNDTNGAPVVNLNGAAANAASQAGVKSVNV SISHSDSQAVAVAVSQF ACHE_40274A MRSILRAHSLYFLILQSACKSKPTGASQLAVLGLSPREELLRTP RNRINIGLVRRFHTSSLVMAAEQAQAADQLVQHESKEYKAVKEGLASILTPPTQSKIQ AKDDRPERPDHEVQSVFYNPIQQFNRDLSVLAIRAFGEHVLASKKQKAEKRKQRTAGN KKGTKRKRGEENSQEEKQEAEGVQEIKVAEKNEPVAESHPEQNTPPSFTILDALSATG LRALRYASEIPFSTCVVANDLSPSAIQSMKRNIEYNGLGKKIQPNIGDARAYMYSCLS PGSSNEHGSHTGKFDVIDLDPYGTAAPFLDAAVQGVKDGGLLCVTCTDAGVWASNGYP EKSFALYGGVPIKGGHSHEGGLRLILHALATAASKYGLAIEPLLSLSIDFYARVFVRV HRSPHEVKFTSGNMMLVYNCDAGCGAWSTQPLSATKQRLDKKGNPFYHFGFAQGPTAG PHCSHCGIKTHLAGPMWAGPLHNPHYIQKILDMLPGADKEIYQTTDRIEGMLTTALEE DLDLASSSTTTTEHPDAAKRENRNESAEPSAIIPRLDPAAREPYPFYMNISYLSKVLR TSTMPVDSFRGALRHLGYRTTRSHAKPNTIRTDAPWEVIWEVMREWVRQKSPVKESSL SSGSPGAAIMAKSRENLRKLGEQDQLLSSLKSEILSAVESGKDVSDLVTKVEAALYRS GSRQALGQAIEGQAAPAVVQKPATTSFTATPHPSTLEVVFDEALGREASAANTKKRLV RYQVNPRANWGPLSRASAS ACHE_40275A MKPDDFDTRSVISMQELEPSPVTDEGPDLENGDLFPESEKAIQS PQGNGSGFFGQKLGLRGHSWDSWLSAIQKYSTYPPTLFTVLHFANTSLIPLATRSVAD SESYLLLTREIYQSPSFEHLVLTAPILTHIASGIVLRNIRASRRARLYGAETRSQRYS LKFWPRMTLQARLGYLLIPLLGTHVLVNRITPIMVEGGSSGVGLGYIAHGFARSPVFW NIYYGIFVAAGVWHIVGGWSSWMGWRVTTVRREHRSKGSLEGHLGEAEVIAQRDRRQR RTRWTVYGMAAIGTAVWLAGALGTIGRAGAAGGWQAKSWNEVYRQVPVIGDWL ACHE_40276S MAPRGFSNPAPKTESARSALSSFTCTLCNKSYSRHPEYEAHISS YDHQHRKRLQDLKQLSRDPNAAEKARRAEKKADAEAGLRVINTNQATSTTTGGTTGGG GFKKGGFKSSFSTVKAPPAPAIKKNVLGDDDEDEEPVAAKPADGQAPAQRAKETIAQN DQTESDIDDEYSNDRSGGGYYNPRKPTDCFSGCAGAHSAML ACHE_40277A MAAPRLFRPAARLLSSRLSSTPLRPAFQKTACAPSILRSRGYAT ETGGTKEVTVRDALNEALAEELESNPKTYILGEEVAQYNGAYKVTRGLLDRFGPKRVI DTPITEAGFCGLAVGSALAGLHPICEFMTFNFAMQAIDQIINSAAKTHYMSGGIQPCN ITFRGPNGFAAGVAAQHSQDYSAWYGSIPGLKVVSPWSSEDAKGLLKAAIRDPNPVCV LENELMYGQAFPMSEAAQKDDFVLPIGKAKIERPGKDVTIVSVSRCVGQSLNAAAELK QKYGVDAEVVNLRSIKPLDVETVIQSLKKTGRLMVVESGFPMFGVSSEILALAMEYGF DYLTAPALRVTGAEVPTPYAVGLENMSFPQEDTIVGQAAKLLRL ACHE_40278S MVLTVMMVVFTLSLLSLITSIPQHVFAAQPSAPKPIPAQLRDLE WGQLNFLHTTDTHGWLAGHLQEPFYSADWGDYVSFTTRMREKAEAQGQDLLVIDTGDR VEGNGLWDSSDPKGVYVSEILRQQPIDVLTIGNHELYKQYTSEAEFYTTVHNFRGHYL ASNVDIIHPITKDAVPFAPRYRKFTTEKQGIRIVAFGFLFDFTMNYNNTIVQRVEDTV EEEWFQEAIRDTNVDLFLVAGHVPVHSKEAEAIFKAIRAVRWDVPIQFFGGHYHIRDY ARYDDKAYGLASGRFMETIGFASIDGLSTSTPRFSRKYIDNNLFSFYHHTGLDEQTFP TEHGQNTSQLIQESRSALRLDQVYGCAPRNLWMSSVEYPGENNIYTWLEKEVLPDALK DDRRSGKPAIAIVNTGAIRFDLFKGPFTQDSLYILSPFTSGFRYLKDIPYDKAQLIVE VLNKQTQILGASQHSAHFPRWALAPPEQSAYPHDRVVSDDDIRASFASKVGQTLLSWS SQSHSKPKSLPGYTTKDDGGSDGDDTIHSPISFYRVPNCIQALVSPNSSVAPDTVDLV YIDFIERYITLAAKFASLDIDVPRNSDVYMPSMSMTNLILGWVKDNWKCDTTA ACHE_40279A MFSRAALRSSAVNVSRSNGAALSLKARASTPLAANPCRTPFIDS LGARRYISVYGYTQAKALVYSKHGEPKDVLKLHKHSISAPHGTQVNLRLLTAPMNPAD INQIQGVYPSKPDFDSSLGTGEPSAVAGNEGAFEVISIGSGVQNLNKGDWVIMKRTNQ GTWRTHAQMDESQLIKIQNKEGLTPLQVGTVSVNPVTAYRMIKDFCEWDWLRAGEEWL IQNGANSGVGRAAIQLAREWGIKTLNVIRDRKTPEETESMKKELLDLGATAVITESEL LSAEFKNTVKELTHEGKEPIRLALNCVGGKNATALAKVLAPGSHMVTYGAMSKQPVAL PSGLLIFKNLAFDGFWVSKWGDKNPQLKENTIKDVLELTRAGKFKDIPSEDVKWSWDT QGPELTDSVQETLSGFRSGKGVLKFEGGDE ACHE_40280S MSLLREAERCIANQKAHAALNAFITPLRSSGPWLEQVKDADRRR EQGKPRSNLDGRLISVKDNICTRDLPTTCASGILNKFTSPFNATVVEQLENAGAVVAG KTNLDEFGMGSHSVNSRFGPVANSRQNHDGGALSAGGSSGGSAVAVATDQCYAALGTD TGGSVRLPAAYTGSVGFKPSYGLISRWGVVAYANSLDTVGIMGRDTSIVRHVFDIMNK HDPRDPTNISVTSRNRIRSLLESSNLASRMTSGLRIGVPLEYNISELAPSVRNAWSRT LSLLRQQSHTIQPVSLPSTQQALSAYYVLAPAEASSNLAKYDGVRYGTRAEGPDSDGK PENVLYASTRGEGFGSEVKRRILLGAFSLSADAIDNYFIQAQRIRRLVQRDFNAVFST EHPFNSYSDLLAEPASKPADVDVLVCPTAPSSPPQLSKLLDGDTVTSPLDAYTNDVFT VPASLAGLPAISVPVSANNSTKEDLAGIQVIGQYGNDELVLKVGELIEGK ACHE_40281A MASVQSTGVSAPTSNVNSPRGTPSFNGAGLDLNPSSSPAPPSNA SAQLDSKSKRNKRDSRKKREAKGLDQESAPPPKKKSAVVQNIALPSSDLSILRPLLLA EPKHSDLLPPQPRQMNPVTRKSSSTNNQQWDFYEVVDKLTNKNGFRYSYAIADPGFPH IKYRQTDLHPYHARFSFEDSPAAIQFSKDALAVTTPEPWHTARANVCAREGTYYYEAR IISGVVNDPQAPPTNGNSKTLSRGHVRLGFARREADLDVNVGVDCYGYGIRDVNGEVV NRMRCEHLFPKGESIREGDVIGMLITLPPLSLHKKIVEGTYDPAVDSNASAQNSEHPY SSNIIRDRIPFHYKNDFCWQQSNVFPTKHLRDYAYNLKDTPSQGPPSPLNSEDASMRT LPGSSITIFKNGVKMGTPFKELYAFLPPASRLANGTNNLGLGERENADDGMIGYYPAV SCYGGGAVECRFEGPWWFGPPSETENGEPVRPMGDRFNDQIVEDVMADIVDEVEAMMV WGGVDGDVVGNAQMDSTESGAVGGSEVLKGGVGAAFESAGSTGGNNTPTTGVADGAEH TAFEDAGTPNVETSDVKVEDAAVNQDIEMS ACHE_40282S MPKATTPSRSAAAARRHNPLADDMTSVGHIRTQPSKKNKRKSRT DEDREDGERFVDAKQSRKILQIGQELADEDASEQKAARGETSGKINHAFDFESRLEGD EPVSDDEDKFGEDEWGDEDEEVEEVEVDPNDLDMFNKFIPAGDEDPIFNPGAGGQTTN LADLILEKIAEHEAKQSGDSGFIQGGGLPEDAVQIPAKAVEVYEKVGMILSRYKSGPL PKPFKVLPSVPNWETLLDITRPESWTANAVYAATRLFISSKAVVAQEFISMVLLDRVR DEIRETKKLNVHTYNALRKALYKPACFFKGLLFPLVSGGTCTLREAHIVSSVIARVSI PVLHSAAALLRMCDLAAEQSLKSLESTGAVNMFIRVFLEKKYALPYKVIDALVFHFLR FRASDNGEDAMMTDGPSGSGAKHYKLPVLWHQCLLVFAQRYRNDITEDQREALLDLLL VRGHKDIGPEVRRELLAGRGRGVVVPDPEKLSALEAGDDTMDME ACHE_40283S MEDDQFSSIDSLRGTPESDMPLTVSPADTSLNDDDCDERKTDEK KPAKKRKSWGQELPVPKTNLPPRKRAKTDDEKEQRRIERVLRNRAAAQTSRERKRLEM EKLENEKIQMEQQNQFLLSRLTQMETENNRLNQQVAQLSAEVRGSRSVSPKPGSPAAK SPTLTPTLFKQEGDELPMERIPFPTPSVTDYSPTLKPSTLAESSDATQHPAAMLCDLQ CPSLDSKELEAPSHSSTSAPAQNLTLHVMLQLLFLTMTSTAYSTVIRPLSLILQSLKM GSPLEFSTTEIYQHFPLILWLISTPNLSLSTTSTSRPTVFRMRLLARLLACSPALARP LRDATGRALQLAVSDSLPRGHQTAAIDGVAGRQNWESLLTMALVIDCLQKKPGHLRRQ RTSTSNNNGLRLARVGKFTGNRSSSRRSNSSTMGETLSSLLMGKEFL ACHE_40284S MSRTCTSSAAHPITLFQLTVHFPFFASLIRADKMVRKDPIFEAR TNVKLHSNRLKKEAARADATFKSEKAKADKAMKNREFQIARIHAASAVREKRRQVTLR AEAARADVIINELKAAQSTRDTSRTLALASRGLDAASKSVNLEHLVSHANNFLARSED FKIASSAIEDVAQGVSMQEYGAEGETEVDRMMEQLADDAGVDMRMALDADAAPKEDVK EQKQADTELEDGLGARLRALRAAN ACHE_40285S MDHAHAAVSKPGRISHGKQAACLNCRRSKIRFPSHHVGRQKGVK NKRTGLEKALYQIEQAIKRPRGPDAAASDAAHKVISNLQELLGKAQDQQQVSHSETDD FSENQDQTHSLPSPREQEDSLALDDAENPLQLLARASDLQLSPAETRKVPAPLQQQQA ISRTQGHNSCANSFFVPVRASRDVGPELDPIELGLVTVEEAESLFSFFYQNLAHTRWG LDPLIHTVSFVRAQSAFLFTSILAATTLFLSSAAALSKRLFRHCRYLANIVAVQRHRS VEIVLAFMVNVPWMAPGNCLGDDDACAYIAMALTVALDLSLNKIVVPSSSFDNSFLKR QAKADCIDAKRALHMDGFDDVDAGSEWGRRLLRRRERAWIALFVLERGVCLARGRSYT VPPTTLVENCDHWHISDIADSRDGPMNSMAALRRNLDEIFRKVKSNCDNYQVVDIGPE AAQSIKTMIESFYDRWYATWAPAIGEGQSLSLPPYVDILVTHTRLSTYAGVINHPTAP IEVKRFFRAAALSSALNVLRAAIQGEARLKSMPNNTVIMISFAACSALSLSVTPGDSR SSLAPSVRNSIEETAGVLERIGATPSHRHGASVLYGRFLRELIRRAPVGSRSQNHFDQ RRAMGSTEALQPMSSLDVYDPIVTTAQPSASPSLLWMEPLQFSAMSDDQIIDAVNRAG TAFGTTVPDVPFDDMLRWDWLDFANNGSDFNL ACHE_40286S MATLRVSALFLSRRSLSVCRPRLRLGVRCRAGLRPSFQPSTIDC AKSYSTVPSARQRLAPIVERGASKLFKDADEAVADLKSGSVILSSGFGLCGVAETLIS AIQRRGLKNLHSLTAISNNAGAPGKGGLATLTQAGQVNKLILSYLGNNKPLEQKYLTG EISIELCPQGTLAERMRAGGAGIPAFFTPTGAHTFLQDGRIPVRMDASGKVVEHGKPR ETREFNNKTYLMETAITGDVAILRAWKADEAGNCVFRYTTKAFGPIMAKAADLTIVEA ENIVPVGSINPNDVDLPGIFVNRIVPATDPKNIEIKKLRSANDEGAVKSEKSAALAQR NRIAKRAAKELKQGYYVNLGVGIPTLAPSFLPEDVKVWVQSENGLLGMGPYPTEDEVD ADIINAGKETVTLVDGASTFDSSESFGMIRGGHVDVSILGALQVSAKGDLANYMIPGK VFKGMGGAMDLISNPDQTKIVVATSHTAKDGSPKVVADCSLPLTGANCVSTIITDLCV FQVDRTQGALLLTELAPGVEVEEVRSKTGAEFTVADRLEVME ACHE_40287A MSKLSNSLKSLINSPSARPGTVPAPRNIQSVFHKIQQDAESNDV SQPSWVALSTAATMTMNSPDSLGILFNQATSASQSPEESVATAELMREVGLKCISFNG IPRTINCLNAFKAGLPESVAAKLSKTSTRTPTVENINEIRERGRNLWDSIYRPFENKL YEKLADAHPDLPVHILNGNYGALLSDPAGRSTGANAGRVLTSIVAVACLRAQTGVGPQ VTSHVFGLRKALEDGTWESDVEGEKGAKWLASDEGNTWILNSVDRIVESISQGEGTNF APGRTSKL ACHE_40288S MDTAGIDDEKPDTSHKPIDSVQDLAYQQKLTQRVLFKLDTRILP ILAILFLLSFLDRTNVGNAKIIGLEEDLGITDHQYDIGLAVYYLTYVLSELPSNLILK KASPRIWLPLITALWGVMTMCLGFVRNFAGFVAVRCLLGAFEGGLLPGMVLYLSFFYR RSDLALRIGLFYTAASLSGAFGGLLARGLAEIGPRGGLEGWRWIFIIEGLLTVTCAAI SYFGLPNNPTTAPFLTIEEREFVRDWLSGDNPCAPAGTLASEQESFQWSEVRRGLLDP RMWLSAAAYFSLLSGMYSFGLFLPTIINDSGYAANEDQVQLWSVIPYAVAAVFTVMVA FFSDRLQLRGTLMLVTIPLAIAGYAAIANVQGARLKYGMTFIMAMGMYGSIPCILVWN SNNSAGHYKRATTSAMQLTIANCGGFVATFIYPSKDKPQYHRGHTVVLGLLVFSWFMI LLNVLYCAKVNRDKKRGKYVQYAGYNDDRNPDFKLVL ACHE_40289A MDRDRSRPISSFPTSSRQPLEKPKGRKKVSSACLACKTRRSRCS GQDPCGNCVRNNTECSYAANQDKRRGIQIKERLERLEEDRDLLIRFVTALREGGDRQA LQLVSLIRSKASLDEIKVYLNEHMRVNQQTSPELLDVERQLSRMQEFESQRSSILDSN TLAVAPRWRVPARPWTKVTDNDNLVSHLLSSWFTWHHPYWIDRDRFIEDMQTRDPKQT KYCSPFLTNIILADACAYMGYPETLAEMSDIPTRTHRFYGEAKRLYEQEEGNVTLTTM QGLGVLWTCASLLRKERNGWNYQGQLTYTIQQFILLVNSGKASHYEPKAVDTSVWGLF NIITYIYIYIYFLFFSTPLKLNG ACHE_40290S MESKQTYKPLAPKVPKTNENQAPGPYFNAYRDTRVSTACSSCRS RQIKCDGVAPCGNCRKFQAECIIDENSDNRRNATLKRKFDSLENDRELLIQLMESFRN SDDNRVHALLNLIRSNAPLFELKSYIDNQLNRESSPKLAVVGEEIRRLQQAKPRAHRN VMDVRRLCDQAVYRVPAKPWTTVTDDDEFVSHLISLYFTWYHPCFPWIDREIFLRDMK AGNADSEFCSPFLVNSLLADACAYSDYAEAYADSEDLSTRGAHFFAEAKRCLEEEEGR FTVATVQGLEVLSVCAFLMGKDRRGWVYQGQLAFAAKELARSTHSAPSNATAKSPDLA QATNFTFWGLYVITMASAMAYHKPSLVEKPDRPCPHVAHDHHKDLWYPYPAQSDGYST HPLCHFNNLCELTIVINNWCQFLFGDSDKPAFDRVKELTESVDRRLSEWQQGLPPCLD LNIEGALLPQVLCLHMYYHNIVITIFGFAKNAENEDDETGRSSAFHKYAADRCLRSAR EISNLMKLHRSFWGLDIFPAGHIQWITVSLFTLLEGRDDPANREAFTNLSIAAKTASR RWTLGKGMLRAVQVTAGKMAVSMPPETDLLFADFEQQIRNSKDREGLNSLYPNFAVST GSIQTDEVELDKFLEKWDALGVSESNEPDV ACHE_40291S MVAFSTLSGLSAFSLLFSLIQHVHGVSLEVSTEGGNSSSPLLYG FMFEDINYSNDGGIYGQLLQNNGLQGSSPNLTAWASVGDATISVDAENPLTSAIPHSL KLDVPEGTTGQVGFTNEGYWGIPVDGSTFQNYFWIKGDFSNSVTIRLVGTNSGTEYAS TSVEVSSNADEFTYVTTSFPTTKAPDGDVLYELTVDGELIAGKSLNFGLLQLFPETYK SRYNGLKPQLANALESVKGSFLRFPGGNNLEGDTEETRWKWNETIGPVEDRPGHQGTW TYYNTDGLGLMEYFYWCEDLNLTPVLGVWAGFSLESGGNTPFTGDALQPYIDDVLNEL EFVLGDSSTTYGSLRATYGHEEPFNVNLVEIGNEDNLGGGCESYAERFTAFYDAIHAA YPDLTLIASTDNASCLPSTIPEGAWVDFHDYNTADGLVSEFNLFDNKDRSVPYFIGEY SRWEIDWPSMKNSVAEAVYMIGLERNSDVVKMAAYAPLLQLVNSTQWTPDLIPFTQNP TDMVIETVSYYVQQMFSVNRGDTIKEVTSDSAFGPVYWVASSAGNKYYVKLANYGSET QDVTVSIPGLSSGKLTVLADNDPEASNTDTQTLVTPSEKDVTGENGSFSFTLPAWSVA VLAAN ACHE_40292A MWGSLTIPIEDGFDTMRNNMSMDPKFKEFHEFCMENNISFNVIS AGLRPILRKVLDAFLGAQESSNINIVANDADIDGSTPWKPIWLHETELGHDKALSVNQ GRAEAASACVEGEIPLIIFIGDGVSDLTAAREADILFARKGLKLEEYCNKNQIPYIPF ETFADIKKEVQHIMKEDLEKTGGTGIPARFNPRANMWRRISSKQAVPKFAAATPSNEE KMFLWPEAFSDYQPKAVPQDGSV ACHE_40293A MPNQKNPSDLRRKINIFSDFDGTIFMQDTGHVLVSNLSRRSTRM MERPTHGFYLGSYRRE ACHE_40294A MTTRAETMQQAPAEEVAASINDGKTHLLLAATGSVATIKLPLII SSFERHPNLSIRVIITKSAAHFLAGQSSEQPTVESLSSLPNVDGVHRDEDEWVVPWTR EANILHIELRRWAHLLAIVPLSANVLAKMTGGLCDDLLTTVIRAWDTGTPESRGPPIL VAPAMNSMMWIHPLTSKQLSILENEWWWVEILPAQSKTLACGDTGQGGMCDWKRIVRV IEERLELTRVYHRRNLISTDAV ACHE_40295S MDVEDDNMVHDQYDFMLQFSCYVLADKLQASGFKCYIMDEIRCH EEFCDPINLTVDHIRYAYDNTVRQNDPLRRLCPMIRCKRISIWQIINDFKFIALMEEG GAFVRDMMQMSWKLTLKTEGANEETT ACHE_40296S MPQFFQRFRRRPKDQDATSTDLPLILSKTGIDYDTCNSNASLRD YRDYTAMPLPPPRRPLTPPPESADPEESPGAVNTQTQSALFARLPRNIRERIYIELFG ERAVHVEYDYGFAPGYRGREKKPPDQWRWWHRVCEEEEAKPGDMCRSDDLDDLKRKGK RELLKYKLKGVEWLRTCRIGYQEALPILYGTNTFLVASAVKLCRFPKVIVPSHLSAIT SLDILHIAKAATPSTMSDDDWSMYNTIFRTLRLSYTGLQRLRLVVYILNKPCTPRAGS VPEEFEEAWFRPWQDLASSRTWEKLEIGIQASWFKDFSEGAERWAKRIGRAEPGYTLV QVEDYTTWDGKLSEVWNAPYL ACHE_40297S MPIAILTMHPPLSNPTEPNSILNLIQPLNITMDVSFFEESSRLK SNQILRQVQSIHTVTEDLITELQTTLGEGKFVVDKFKVRPQEWHDWYKANRRLREVVE YDSLKQYIIVKGKPGPLHDAVTATFKKFFEDLQDLMYGLNRHQTTTRKPVQEIPFLAL EIGICESTNKLLQNAEHLLTRTTNRKNAVIVVDIQERRPPPIRSFKQFDLSADDIKAF GLSQVTDAITKWYQRNKNPLVGEFSVGVYFCYPEGETVTIYKGDLPTTGNGSIGKARK PIGNAAAIPYGRLLPALQRKREIMFPVPVDELLGELQKTLTWVLPHYRAERKAIELKK RLAKRRISKV ACHE_40298A MKFRPSQPWFGLRGGWLTFWVTVACATDMTLFGYDQGVFGGVIV TNDFLDTLQLRDDPTLLGTVTAIYDVGCLFGAIFSMYFGEVWGRKKSIMVGTTVMAIG ALLQITAYGVPQMIVGRIVAGLGNGMNTATAPVWQGETSQIKWRGKLVIIEMILNIAG YSLSNWVTYALSYVPGPASWRFPLAFQFVFIIILWVTVPWLPESPRWLIAHGRPDEAR EIIANLEDMDANDSYVITAHTEIVDAVEYEREHSVSWLNLLRGKTGDQGGTCAIRRLL LGAGAQAMQQLSGINVTSYYLPTVLMESVGMSEKMSRLLAACNSVSYLLAGLVAIPHI ERWGRRRLFMICALGQGLCYLLITVLIRFNEKQGYAYQEQVASASVAFFFLYYVFFGM GFQGIPWLLPVEINSLSMRTKGAALATATNWAMNFMVVEITPVGIQNLGYRFYIIWTV LNLSFVPIIYFFYPETANRALEDIDMFFRENHFIFVHNNKEAISAKRPARYVEMEHDL VQRTAEQTEEKMEAEEAKGSMEQMEGV ACHE_40299A MQLTKTLMLLAALTSGSLANINKRSSTLIPVASPTSSSPSSASS SSSGGSSGGSWTATPSSGSYSTEGFGGRTSSGGSGITYAGNTGNPWGSNMIQVSESDA ANYKNVAQFKGQNEETWTVVLFNKCGPDGQMTGWYGNSALTFELSAGDTKYVAFDDDS RGGWAAASGSIPTDNNGGYASTWGEFDFTSSSNGGWSGFDVSAIMAQNAGLTVQGMSI CDALGSTCSSITPDAASVDNAYTSAETDAGGIGGNLSGGGAVRLAVTIDYKG ACHE_40300S MPSKTVSTSLPEWQWEPAVWNFVLPSANAVAAAAIVLVDEAILL SVLDPAQSRFCVSGFPMPSVAKEPSVEVEESSGGSLSLKPPKGQLQHTRQFVSYLGTS EYSSTA ACHE_40301A MTDTIDKEQTNNTNPSNTTHNTNNTAPQYYYIDPRYGPLARVNT AETQLPAFATELQPGLHRPGPVEEQKIGNPAPLGLAAFALTTFILGCINMGARDITEP NIVVGPAFAYGGLVQLCAGMWEMAAGNTFGATALSSYGGFWISLAIVFTPGGFNIMAA LEKAGGGTTDMFYDSLGLFLMGWFIFTFLLVLCTLKSTFVFCGIFVTVDIAFLLLGIG YIHRNGSSSPNEPVIKAGGLFALLAAFQAWYVCLAGLANDSNSFVRIPATHFPWSEKG RESRKNN ACHE_40302A MASSRVFASRLASAMAPTTRVAARPAVRAAVPKRTFTDARAAFR PQPLQTMKRQQPSTLLQANARQVFANYQSRRQYSSEIAQAMVAVSQNVGMGSAAIGLG GAGIGIGLVFAALLLSVSRNPALRGQLFTYAILGFAFVEAMGLFDLMVAMMCKYV ACHE_40303A MPTGPLLRSLLFTSIMSSPLLNPCLSMLTRVVNSTSPLLDPSQN PLMNHLLRMTIYNHFCAGENERSVQQTVHGIKTLGFKGVIMGYAKETVVKENESTTSS TSQEEASLRSVEEWKRGNLRTLGMIGAGDYLAVKFTGAGPIALNALASGDAIPQCIYQ AMREICQTAAAQNSRLWIDAEQQVFQPAIDVWTIELMREFNRGESPVVFNTIQAYLKD SEANVQRHLLLSKQEGWKLGIKLVRGAYIAHDRRDRIHDTKPETDANYNSIVENLLQR KYPLPTSQQQSEFPDIRLFVASHNSETVRKAYTLHRQLIKSGAPTIPIEFGQLQGMAD EIGCGLVQQNRMDSRDDVPGVFKYLAWGTTEECLHYLLRRAVENKGAVQRTRDMAVAL RRELWRRLSFSFW ACHE_40304A MLLPFALLVLSAVWSTASLTDSNLKKHVDVLTLENSFNPVKAAY WTNYPHHRRTPFAVSPDGKSAYLAYLDSSETDVHVQQLDPATFEATGTSVTVSGGKEA GGLVAHNDGFALLVNEEMPTGTSNAPASGTPVPVLYRYTDGKQSWKTWLGGPGVHESE GLAASPDMNGDLAYSEGAGYYGAYFVVTDYSGDASGHYGDSIEYVANNGTLLDIPGAS SVWGCSHNTGIAFEAADEAPFASICAEDQGAIWLNTKTQGMDNTGIKISNENTTNGAS GEPMGGMSGSYSAFARFADSSRYIFSWVSRGAVDLSENTWMGGGYTHSVNRTNNRNVA ISLFSDKYTKVGAQASSEVGAEDGDSQVNWITSGSGNDRSNAHAATFGPNNALVTWEE ISDPICDYIAMGCRGQFAGSFFQQVNSDGEKVGDALKSTDVYVAGDMVTMNDGRICWP YVSMEWDLSSPVDTYLGTGSSTTKKMSFACMSLGAGSTAQTTAGTASGSASPVGQTAS SATPTTMKTVSRTAEATGTSSTAEAETPKPSPQEGPMFPSSWWE ACHE_40305A MEAQELCGGGISHASPPGVDNVASQFAETEDMPVTPTPIRWRSG NMMQPEFNEGSMESASMDENEGQEHWQTRGSSRAPSRAPSWANVSKHAQVLVGALEAA QNQQEMFQMVQEQVQAHLAEELSNWRAEQQVHEGLYLERVTKLELEVSKLRTELTEAQ NTIQRIKPMKQDTPTTTNAQSSQMNQHNSSKVPKIREATSQKSRQQPTFADLATLLST RPGGQEWQEVTKKKQKNRQIQAVAAVSQPDPIKLKPAKDTPKEARRFLFRREGGKAAP RSEREDIILAINRAVAKAHFPAFIRVVDAGYTNTGVITILLEKGTLGSMLLPDYKDLL VTAAWQADPAVISVELPEQWYRVKVHGVPIKRYLTCGLALAREEIELGTEYQLKRNPT WLRSSKELHTSNQKCSTIVITVGSLEARRLLINSIRFGGSRYKTEQYWETGVDTVCPR CCQLGH ACHE_40306A MKFLAITTFLATALAVPSTPGESCPKDAGQVTLKQAQNKCGSQA KVSCCNKKIESGDSYNQNKGLLSGGILNGLLGGKGSEGLGVFDQCNELSVTALLGLDD ILSDQCKQNIACCDDSNAKAEGGLVNVALPCVVLQDLL ACHE_40307A MKFSPSLLTTLALSANVLATPTLEARSPTRVERDLASITGVLSG ISDKVGTLHTAINNYNGGDTQPVESASDSLVDTINAGTTKVNGGDELSSMDALGLQQP VSDLKDKIQSTISDLNGKKQQIVAAGKGSLTYNDLQKQKAAALKLSDAIVSKVPDNLH DIAHSLASGISDAIQKGVEDFQDVAKSNSANKVAKAPVSTAPTPAETSSSTAPVSSRA VKPTSSSLFKAPATSSASSSVAASSSIAPQFTGAASVNKMSGPVGALAIAAMVMAF ACHE_40308S MLALEPVTEADLPAITDLWYSAFGPSGFLELIPDTPNIREWWNS ANGHDLLHKPTAYYWKVVDAAHPSKPLVAYAKWDLESAEERGDRFPPWHAEMNKKGCD DLFGKLEKQRSLLLGGTKNYYLDMLCTNPSYRGRGAGSMLVAWGCEQADKDRVAAYVD ASRDGRPLYARHGFEDRTIDEHRAEGITCMVREPRS ACHE_40309S MRALSLAVVNGAIGLANAACPYMTGELNARDTSSNDAAAATEEF LSQYYLNDNNTYMTTDVGGPIEDQNSLSVGERGPTLLEDFIFRQKIQRFDHERVPERA VHARGAGAHGTFTSYANWGNITAASFLGAEGKQTPMFVRFSTVAGSRGSADLARDVHG FATRFYTDEGNFDLVGNNIPVFFIQDAILFPDLIHAVKPRGDNEIPQAATAHDSAWDF FSQQPSALHTLFWAMAGHGIPRSFRHVDGFGVHTFRLVTDDGDTKLVKFHWKSLQGRA SMVWEEAQQVSGKNPDFIRQDLFESIENGYYPEWELGAQIMDEDDQLRFGFDLLDPTK IVPEELVPVTPLGKMQLNRNPRNYFAETEQAMFQPGHIVRGIDFTDDPLLQGRLFSYL DTQLNRHGGPNFEQLPINQPRVPFHNNNRDGAAQMFIPLNRDAYTPGPHTTNQGAPKQ ANQTVGKGFFTAPNRKPGGTLQRTVSSTFSDNWSQPRLFYNSLDPVEQQFIVDAMRFE NSNVKSEVVRNNVIIQLNRVSNDLARRVARAIGIEEPSPDPTFYHNNKTTNVGTFGGK LQKLEGLKVGYLASVDNKDSLTEAATLSKSLSKNGVEVVVVAERLTDGVDQTYSGSDA IQFDAVVVANGAESLFSFSSLTGGGAKNATAGAASTLFPAGRPLEILIDGFRFGKPVA ALGSAVTALRGAAISPSREGVYVAKSAGDIVDEIKEGLRTFKFLDRFAVDN ACHE_40310A MQSKILATLLPLLLQLSPVDCASSSGRITRAIERNTRHLDTNAS SIYHTKFPGVTWDEDNWLLTTTVLDQGHYQSRGSVANGYFGINVASVGPFFELDLPVS GDVVSGWPLFSRRQSFATIAGFLDSEPSLDESNFPWLYQYGGDSVVSGVPHWSGLVLD LGDGTYLDSTVDSNTITDFRSTYDFKAGVLSWSYKWTPEGKGSFDITYRLLNHKLNVN QAVVDMEVVPSADSNATVVNVVDGYSAVRTDFVESGEDDGAIFSAVRPWGISNVTAYV YTNLTGSAGVDLSSRKLVTDKPYVSANASSIAQSVDVQFKANEKMRVTKFVGAASTDA FENPQQTAKQATSKALSDGYLKSLVSHVSEWAKVMPENSVDHFIDPKTGRLPSDQHVI DSAIVAVTSTYYLLQNTASKNAIKAASGAPINVESIPVGGLTSDSYAGQIFWDADVWM QPGLVFSHPEAAQRITNYRVKQYPQAMDNVNAKYAGSKNQTYFDSSAAIYPWTSGRFG NCTATGPCWDYQYHLNGEIGLSMMYEWVASGDTKHFREKHFPIYDSVATLYSNLLERN GSSWTITNMTDPDEYANMVDAGGFTLPLMAETLNYVNSYREQFGVEPNETWTEMADNV QMLRQNGVTLEFNTMNGSAVAKQADVVLNTYPLGYTDNYTTENALNDLDYYANKQSPD GPAMTWAIFSVVANEVSPSGCAGYTYGQYSYEPYVRAPFYQLSEQLIDDPTINGGTHP AYPFLTGHGGANQVVLSGYLGLRMRPDNAIHIDPNLPPQLPHVTYRTFYWRGWPISAS SNYTHTTLSRASDAAVLDTAEARFADETIPVHVGSFSNSTVYELPVTGSLTVPNRKIG SKNTVAGNLVQCRPAVSHDAFEPGQFPISVVDGATSTKWQPSSANLSAVTVSFAESVA QSAVSGFYFDWHQSPPVNATVIFHNKTIEDPTSALDSSDVQVVTTLINIKQSKPYDPE TVNLNEVMLPESNTTSIDLSGPVPAARYATLLISGNQAAPDAEDGVGATVAEWAILAD AHNEASVPSDGKSKRKISMRDAAALSSGGFAGRRRQVGRG ACHE_40311A MTNDIGVVDYNDSNRAFLQAFMAHSSMTFEEARPILAAIFSAHE REPVSPTDITEEDLSSYIAAANTAVSPFDLEIRSTLRQIQTNGDDTNIHPERVYALVN TISDPLTQLATTYSPDEIAFVKRLLDAMFETNNTRISEAMVVSGMQAMQLARVSSADA GVSRRESMGTGTGTQGGTVQSLTMSQAETVMQQLVEEGWMEKSRKGFYGLSPRGLMEL RGWLVATYNDETDDGRRAEKVKFCAACRDIITVGQRCANRDCAGRLHDYCIRNFFRMQ QAEKCPVCKAAWPGDKFVGERAITSTDRYLQGKRRSTNTQRQSGDGASSQVTAGVGEE EESSEEDVG ACHE_40312A MANYLASIFGTEQDKVNCSFYYKIGACRHGDRCSRKHVKPSYSQ TILMPNMYQNPAFDPKNRMNSSQLQNHFDAFYEDVWCEMCKYGELEELVVCENNNDHL IGNVYARFKYEEDAQSACDALNSRWYAARPIYCELSPVTDFREACCRLNSGEGCVRGG FCNFIHRKDPSAELDREMRLSTKKWLKERGRDARSVSRSPSPEPTRRRY ACHE_40313A MGDPLRLSKFLINTAVSRGVKLHHPARAVSVVTGETGTITGVKI VDLNTRNETTIPCTNLIVSAGPWTPQVFKEIFPSSEVSLPIGPLAGYSLVLRSPRYTL EHERVTHGGKSHAIFTTHPDSCGFCPEIFSREGGEIYIAGLNSWDIPLPSHAEGSRDL FDSNEIARLKDVSIRLMGKLADGSAESTDDVPNVDDLEILREGLCFRPVTSRGTPLVS KIGEQSLGGVRAQGGVYVAAGHGPWGISLSLGTGRVVAEMIQGVKTSADVSGLAV ACHE_40314A MSTVILGGGIIGSSIAYYLSNKQPTEEIHVVECSSQLFSAASGY AAGFLAKDWFAPSLAPLAALSFDLHGELAAENGGTEKWGYMKGSALSLDVSTGGLRNG PRGDDWLRAGTSRADTAAGSRESQIAEAPTWLTKQKGAALEKISDDDTTAVA ACHE_40315S MPLDYITQKSTGSRGHLNYSTYTTTDFLTTASIDQSLIHQYRIM SAKTIIVTGASRGIGLSITKYLLSAPQSHNVVVVARSPEPLQKLKDQYGKQVEILNGD LADFSLAPKAVDLAVKSFGKLDGIVLNHGMLGQVGKIAEAEPEQWKYGWDVNFLSLVA FVKAALPALRETKGKIIFTSSGASVTAYTGWGLYGASKAAMNHLALSLGDEEPDVTSV SIRPGMVDTEMQRELREDHATSLVPHIHSKFVGVHKEGKLLKPEQPGHVMAKLVLDAP SALSGKFLSWNDKELAGFQE ACHE_40316S MSDREFSSNDDLSLPKATVQKIITEILPYSSGQTFSKDARDLLM ECCVEFITLISSEANDISEKEAKKTIACEHVERALRDLGFGDYIPDVLAVAEEHKEQL KSREKKQSKMEQSGLSEEELLRQQQELFRSATEKYNAAPE ACHE_40317S MAFSIPSVNILPRLPRWVSHWFGYRPTPPKPLPQYLVWIWSFFA AFCGLCVLQAIFNYSSYFERRHVPGIVASFGASAVLCYGAIDAPLAQPRALIFGHFLS ALVGICVTKLFSLMPDEERFNSLRWLAASLSSAVAIVVMQVTQTTHPPAGATALLPAV DDAIWELSWYFLPVVLLSSVIIMAVALLLNNIQRRYPTFWIAPSPPPKPAPTPVTAPE HQKEHAEEELGGNTLLPR ACHE_40318S MPPFKDEHVLIIAPGSQVTLAQLGLPESFTPARFRFPTRMFPAE KQGEYEPYRIREKRQEVVKNGAGGNGAAPVPKVDVNMKDADAVPETKTENTEDTEKEK TEGQEGEGTKQEVTEEIVYEEDVTSDEGAVYPMENGRIVDWPCFFALLTHIHNTLSPP FHTPIMIISEPVWTARDREAITQFVFEKFKTPAFSLMDSALAVCYGYGTQTATVVDVG KGKVNVTAVTDFLVNEHGRGIALEGCGGDYMTDRIEELLGSKGFTREMCEQLKRSNIT EILPPGTPLPGAAATARQGANPAASASTGAPEGGAPNENVPRGPGDSTQTGEEGAEGE DEGVLDVAAIVSGNTSEYLANIEKEKGSTKKGSAAEQAAKALRLPNSKKEKASFQFEE LVPIQSEENSNASGRYIRQKRDIEVGVERFLSATPKQQAGERFSNGILDDIATQIHHT ILSVPDASKRSELWDSLIVVGNGSKIKGFTQSLLGTITQKFILSPSASMFSSEIPSNF ASPLPTGGTTTPAQMTPGYPVAGQGVNPLLVAATHSGNPGMSHMGTPSADPSLLYRPS GHSQTPTSVKTLKPPEYFSEWKEQANSNAPGASGGGGGSNNGNAAGGPGAPGQPSTGH GMEEAVFLGAQVASKVIFILDQGLTKGFMSRVDYNENGPSAIHDYIL ACHE_40319S MPRAEAGSNKAISNGMKAVCSPNPSPRAFCNTSNEHEVDNEQKG LGRLRWYCQACEKQMRDENGFKCHVQSESHVRQVLLIGEDPKKYIESYSKEFVQNFVN MLRTTHGEKKVHVNQFYQQVIQDKHHIHMNATKWKSLTQFAAYLGREGICRVEETEKG LFVSWIDNSPETMKRREAIMRKERQDKGDEEREQKQIQEQIERAQQKADREDELDPEA KLLQRKEGEKVKLNIGFGAKPVEAKPESEKQSSPEQKDKSTAPEAAAAESPAPSAEAA PVPAPKISMSLGGGNKPKNVFAAVAKKNPLAGKKGPVMEAPKKMTEQERIMKAEMEAM ERKRSRGPSGFPNAKRPKVS ACHE_40320S MSDTHGERVENTLSQVEDVNIAPEEYPPKRQKRGRYVSKACDEC QKRKIKCDGRLPCRACTLKGRECNRQTIDMRRRGLTVPDEALERSHSPGDQDKEHPTT KELAVRLDRIENQLSSLVSSISRGLGGLDGSTSEPVSPQDHRTNHGPRSLGFSSIKST PNNSVPMFSGETSIAHTLDQVENHLAHIGGDENRHSAATSQIAKTSLPPSPIPRGEVD DENHEQIYIRQVLNDFDIEPDRQCWDEFLDTFCEEVHILYPMLHLPTLRLTYIKMWGK HLLSPECELGHDDSRFSIAQIWICLAIGRCTQSARIHGEDGRHSAGWSLYDAAMHLIG DLLSSFRECSSPKLILQTLVLIVIYLFRLDANESAEKVLALAITHSHNLGIHRRKVVE GISAFNSEMVRRVWWCIYLMDRRLAIDTGHPFLIQDVNVDTPLPRELSDDWLTGYRDD PSTNCEVEPDIQAEVARAPLTAVSYLNAMISYSRLIGRVWEGMYGVGRTETAPSFLLR ESLEQHIARAQKEIQSEFLQDYNKPLNWKLNSAPWWRTKQRMLMHIRWYSIQLLIRKP MLQRAVSPSSPIPESPENEVTCMHIAQNMIQGLTKFPKERVRSTFTFLHYFVSATMIS MGLIIKEPSFKAAYGNATLQAARMLRTYCRQTWISGRMVRSIYRLNQMATRVLSDYSS RPSSREVKEARKPALANRPSKQPYFAPDMHPQHNTSYSLGNAPDSRQAPQATENTQGQ QIKFPNNPLDYPTNTGLHDLSAFEDIWNPELTNIVMDDFDFEETTASNLNQTFPSNAY GINPALTQTTTTFAAPDVESARPLNAQTAFIYDAPTGISQDVQVTGESEMEIDWLQSL FWDSVGSYL ACHE_40321A MKPPLSSSLLLALPIALVASTASGDRDSLLKDNKAWYLTDTHIH AIPPGYKNALAEAGGDPSGYPTPDWSEEGTLESLDWTGSARAVLSVSTPGIPIAGTSQ QARNLCRETNNYLADLVNKHPLRLDFFGALPDWRDVNGTLEEIDYIYSIQKQAVGVGL YTSYGDLLPGDAMFKPIWDKLDSYGALAFMHPGIMDVKPFFIGGFLPQPIIDYPQQTT RAAVDLVVSGVRSNTPNVDLVLSHAGGTLPFLAQRAYGSLTSEDIAKNSVVDAAEALV QFKRFYYDTALSNSPAQLSALLLSVDPSHILLGTDYPYAPAETIKASFKEYTEWLKVH PALSADVLTQNAMKLILKHALWK ACHE_40322S MSGDQPHQSYVNIYDRIPRLSFDHLVLLVDHRLTKDMAEFVRAE VLGTTYEITQRYRDLQPRGFGASGVICCAHDSLSNLPVVLKKIANSFDSVAVMKRTFR EVHMLNKLRHDNLINLNDIFISPSEDIYLVTDFMMTDLHQVIRATTLESQFIQFFTYQ ILRGLKFIHSAGVIHRDLKPQNLLVNNNCDLKICDFGLAREQDHQMTGYVVTRYYRAP EVMLTWQQYSYAVDMWSVGCIFAEMLRGTPLFPGENHIHQFTIITQVLGNPPQEVVER VYSRNTLKFLESLPPREPRPLSSLFTGVEEEAVDLIEKMLQLDPYKRIVATDALSHPY LVNFHDSEDEPVASQEIDMSYDEVELSPDEWKKRMYREVLDFHEGPCSKKVES ACHE_40323A MPGKIEIERYEHVPVTKEELDWAELIMLDLSLYEQPGGKEELVK QLDHAVRHVGFFYVKNFNISQEEVDRQFALGRAFYALPLEEKLKYHNSNDLERGEYNG YRPAGHRILGNGIKDNVQVYNIPKFDGHHHRQQPAVLVDYIDEIEAFSRKCHTEVVEK LLRLFAILLQLPDEDQLVRDHQYDAKGEDHLRYMHYAARSVEVNKQVGELYSPGHTDL GTVTLLFRQPVAALQILNSEGQWKWVRPQDGTITINTCDALTALTGGLIKSSIHRVHA PPPDQAHVDRLGVLYFARPNNHVILDPIQNSPLLNELGLTQNAFTELGQHLTTEQWVK VRQTQQQRRRQEAKISDDGKYTYAPKDLEVIPGLHAKVYN ACHE_40324S MSDGDSKPEKLTLVRLVKEIFNWYPSSYPSEERKLLFKLDVSIL IFACLCFFVKYLDQTNITNAYVSGLKEDLELNGNQLNYFNVCYYTAYVVFQVPGLLLM SRPKLSRWLLPGLEVLWGICTFAQSRVQNVGQLYALRFLVGMFEAPVFAGTHFILGAW YSGPELFKRAGMWFVCNPLGSMVSGYLQAAAYTNLSGVSDMPGWRWLFIIDGVFTIPV ALIGFIVFPGIPDSPRPFYLTEKDIALAKEREKKANIRRPGKLGLDVFRRSLKRWHIW VFILCYACMIISAYPTTYMSLWLKEAGYSVTQVNNLPTVIYAIQIVASWLGSTLAAIY PAWIIYTIVSVCCLFSTLCMIIWNIPTALKFFAWYLFGVSGCASPILYSTVNTIVKDD SEERALILGSMMTFGYSFNIWVPLLLFPTAGPDGAPRWRKGWPVTFVFYFLLWAGFVA SILIYRREKKRDAAIPSRESNSDAEGEAVVQVGESAKHGS ACHE_40325S MCACCRMDAGADPTYRWAPESEAQHCNLNFLARQKNVHLRHLGV SEPPLSSLELPVIYLVVLGRGESLARYLGVSLLNDFVEEKFNKLFSTCSPPLAETDNA QGSDILSWVDVIRKFNYDQAEYTDDGTYRFPVQGLLVQISEADYAIIQSGILHKLHNP WPDSRDAQEELETVELAIAEVSEWNQYALRGGIVTVPSSKVQFRLVANILHSHST ACHE_40326S MPGIYPDTPATGLPLGGHINPGETSIQAAARRYHERKRRESASG LDSQFTQPSPAEHLIEEPRRDSRDSRNSTGSAEMPRRRSSLSERWNEFKRHLP ACHE_40327A MLRPRVCDPCHSRKVRCDGNDPCGNCLDQKAACTRARDMKRLSK RNLAQNQRRRVREHQADEPLAIQPLATSCGSGSLQSFVEQSSDPSMSNVLHQIEDGFL VDILGDGMLLEHLDMNYGPPSWMSFVPLTDDQMANQHQVEHSQGLAWNRRQALQSALS VASQLLGGMEECTEMARETAISEEQRNIPSFEFLYWMLNDIYSDRFGSFVLDFFRHIG KHTLKHMGSSILFNTATSCDSILYTTCVNSVAYKFLNTISGTERDDDLAQKLRHRALL YRETAITTMKKIPLMIRPSLSLLQALLCGIFLQQGAGDTHICWELTRTACRVCIDIGL HPAAASEEEYYCFMWCYILDRNYAWKLGKPRILTVETNTIMGPRSSNTAASELFLIYL DLAKVQDIMIPSLKDSIKPDTDAFQSFHDIGAHVSRNMESIRRKIDQIKLPSTNWSGL DVHHEIATLDFAYHSIMTCILHLHLATLDQNAADNYLVSARRELLALIAICASNDTQK TVAYLHWTLLYYPLTAYFAVFCNAVATCHTGDFQILKELANCLAESGTMSKPIANMHS LFQQFVSLSWCFFSEEDTNISANECVARRPQSSSHHWGAIAGSSLSPLSLPSWMESAL SQEALTPNTATVRQAFEPTSSRVLGDVFGS ACHE_40328A MEYDQDASFSPPPFEAAGNRYSLRELTPAIPERHSIDEHDYLAQ SLLPPPSDDLHPSFSPPWHSETPRQSSSKDPNTSSWQERQNAATCGSLRRRPTRKINL IQGSVLSVDYPVPSAIRNAVETKYHDPNGSSPEEFTHLRYTAAICDPDDFNLRNGYNL RPAMYNRHTELLIAITYYNEDKVLTARTLHGVMQNIRDIVSLKKTKFWNKGGPAWQKI VVCLVFDGIGPCDKDTLDVLATVGVYQDGIMKHDVDGKETTAHIFEYTTQLSVTPTQQ LVRPHHDDPKNLPPVQMIFCLKHNNSKKINSHRWLFNAFGRILNPEVCILIDAGTKPG HKSLLVLWEAFHNNKHLGGACGEIHALLGRHWKMLANPLVAAQNFEYKISNILDKPFE SMFGYVSVLPGAFSAYRYRAIMGRPLEQYFHGDHTLSKRLGKKGIEGMNIFKKNMFLA EDRILCFELLAKAGFKWTLSYVKASKGETDVPEGKL ACHE_40329S MKLSGSATSRLDSGKLTQSHQLFGRVEQVFPPDKCAAWFTSRTV TIPPGEHAFPFSIRFPQVSECYKTSPTDVSGKRPGGRRKHHLLRKLPPSSGGKTTPEE IKYFLEATVRQDGILRRTQKAVELSHT ACHE_40330A MTSVTITTAPAATTAATCSGPGQYELPVKDAACGVPNTKNYQSL FDSCAKPAGVRPYYHDCALWALAVDQSVQDLITCLYKAGIAWEDVWCHGASNETATAT SYPTPTATATGKGRTGSSTSSTTETKSATNMALSSQGQIPTKIAVGLLGLVLTGVFV ACHE_40331S MRTGQKAPLPTSRPEVAEVVHDQTALETEQYGPMDNSQITENEA LLSIDLLTSGSGVDVFGTESLQWPDVGAPIFPSLEDQDSSLFRYYFSLICRINSCFDS DKNLFRVGVGELTKSCPLIHHCILSMSAAHRSCQQGDLATAALDHRTKAISCLRAELI KQNGENDSYSTSSVAKEETLLGSILLGMTEGWHNPSQLGITHLHGARGLFISWIADNQ KSTGPCSQIHSSPTRSFMVGIMAYWEAMASFVIDQPLDVVAYLELFLDRDEMSHIHPN PWTGICTPLFIYLAKVGILGRQKSMLKKLSITNAGAGFRDKLQSTLVEQARETEKAVL RYRLPADNRIEDTGDILTPVHHLHQVAQIYRFATILELYLSFPELLPEPTANAAAAPS NRSIDKVLTLASSVLTLIRTIPSSSGANVLLNAPLIIAGSTLQPTCRRIDTDTGRHDS SWDVLYMELLSLSSQEDVYLHWRDFVRERIEGLYSHVGVATVRRGMEVLDKVWTRADI KALVDVPDGMANAADLVKWTDVMVEERLETILG ACHE_40332S MPHVKGVVAALATLSLVNSATTQNNQGGRVIQNDTYFYGQSPPV YPTPEISGSGDWDEAFFKAQALVSQMSLEEKVHLTGGSKNETSACGGYISGISRLGFP GMCLQDGPAGLRGTEGVNGYPANVHVGASWNKSLAYDRAYAIAGEFKRKGATVILGPA VLGPLGRIALGGRNWESYGVDPYLSGILGAQSVKGTQDGGVISCTKHLIGNEQETARN PQTDQRTKHTIESSSSNIDDKTMHEMYLWPFADAVHAGTASIMCSYQRLNNSYGCQNS KLLNGLLKTELGFQGFVVTDWTAHRSGVASALAGLDMTMPYGSTFWGSQLVEAVRNGT VPESQVEDMVTRILAAWYYSHQDDPSVPPVGVGLPYDVLSSHELVDARDPEDTSTLME GAIQGHVLVKNVDKALPLIKPRMLAVYGYDAKTPEKNNPSSGMGDWSLGFESNDHRTV ICGFGPDGGKCPPFSPIANGTLMGGGGSSSISPFYVDSPLQALAARARKDETQLFWDV ENSASSVPGSTDACLVFINAFSSEGVDRPSLRDDYSDAWVQNVASQCQNTIVVVHNAG IRLVDQWIDHPNITAVILAHLPGQNSGEAITQILYGDVSPNGKLPYTIARNESDYGAI LEPVITTTNSWDRYYLQDNFTEGVYIDYRAFDEGDIKPRFEFGFGLTYTTFKYSNLKI ENSVDLSTLSPFPDGDIIPGGHADLWDTIATVTADVTNTGDMPAAEVGQLYVTIPSDG QPMKQLRGFDKIMVRPGETKTFEFELRRRDLSVWDVAAQQWKLLSGSEYQLSVGASSV TALY ACHE_40333A MPHTKEGFLWTPNHTHSGLQTDSIQPSSPTIKPHYDIIVIGAGF AGLIAARDLIQRHNLNVLLIEARDRIGGRTWTAKVLGKELEMGGTWVHWAQPHVYNEL QRYGLHRYLKTSAGTLAPERQFFKSSGGEIEEVSIADNIEALERVAEVFFNIDGLNSR ALMPYPHDPLREPAMWKRYDHLTVQDRLDQLPDKISQRHRELFQSNISTFGSALGKDM GFVEALRWYALGGHSMARVFEMAGIYKIGHGGMTAFSRAILGDYNGDRLFNTTVRQIN HIGSNKVVINTSNGEVKAKAVVSTIPLNCLDNITFNPPVSPLRQAALAKKHINTGAKI HFKLSATQQPWFATADGTNSNSSFVFAFFDHNGTKPSPSGTWCIGFGYNDRLPDKNDH HHIINRFRQDINRDADVEAYVTHDWKNDPYSKGAWACWGSNSASIYLEELQRPHGRVV FASADWADGWRGFVDGAIERGQSAVREAVNLLDGDGVAKL ACHE_40334A MTEGKSPRQPDIQYHPDYEKYQARTEQRKATEVLTKELPAGFPA ELISPLVWEGKDIETRNDWIYELSEKQLNEIDEALKTFKSLNLVFGYINQSTFPLPSL GPILRGLSKELHFGRGFFVLRGLPVDNYSREENVIIYAGVSSYVGNIRGRQEDTRFAN GTSLVLSHIKDLSDKTRKNVIGAPSNTADKQVFHTDAGDVVSLLCLQPAMEGGESYIA SNWLVYNVLAKERPDLIHTLSQDWPVDGFNDPQKPYTNRPLLYHQAATPSTPERVVIQ YARRYFTGFLSQPRSSNIPPITEAQAEARDALHFLAEEHAATLGFRKGDVQYINNLSI FHARNAFRDEPGKERHLMRLWLRNPEFAWETPKPLRTRWDNVFKDVNEEEQVFPIEPR IRKTVGS ACHE_40335S MNELEPSATDLKTKDSLHVEESRVLQERTIGVFGAVSLIVNKIV GAGIFSTPATIFKHSGSVGMTLIIWAIGGLISTCGALIMLELGTSMPRTGGMKVYLER AFSPKLLVTCVYLFYCVFLQVSASNAITTSSYLLQAAAVTSTTWRLRGLAIAASAFAV GIHTVWPQGGRRLQDVLSAVKLFILLFIVCSGFAALAGHRHVSDPHNFSFSTSFQGTS KSGYSIGTALLNAIFAFHGYDNVNTVLSEVKNPAKTLRIALPTAMGLITVLYILANIA YFAAVPKSQFLDSDVTIAASMFKNVFGESAATKALPALVAISALGHLLGIAFTVPRVI QELGKDGISPFPSIIMQNRPFRTPIVALFVHLAVTIIFICAPPAGEAFNFVVKLSSYP STVLLTATTIGLVKLRLDKGKGFCPPFSVPWPVIGFYLAGSLFLIVMPFVPPSANKAD GQIPYWLSPVVSLAILALGIVYYELIFVLLPWVLRYQLYPVAVELSDGSRVTRYRKGF ACHE_40336S MQLILSFDESENDSNIIKIHHMLHRHDSSQAHYYSPGTYGTDTA ALKEYVMGGYRFLMKQCKTEDEIFIFGSARGAYAALYLAEMIERVGLLSGNEEELAPI VWEVYQDWKRNQFPKTKRQERKRQGLLETIKGFRETVCRPAGRVKFFGLFDMIGCTRK RALTQALWHCSIPSTAQYIRHAVSIDEGRAELSPTLIQSMGENQGMSDIQEVWFPGSH GDIVGGLALEPGELFSLSRVPLIWIIHEAQKASLRLDQSKLIEYGFAEQESQFCHSLY LAIATGRVHNSLPSLDPSRMFFKVKHMVRQNLPSHSSPPESSASQGRRRNIRPKAAVH TSALCRMRLNKQYRPSNLVLGNNVGEWVILRKQGDPVEECYARKI ACHE_40337S MVLQSGKVAFVTGANGISGHAIIEQLVKKDKTEWSKIIVTSRRP LPVTWTDHRVEFVAIDFLHPVEKIVGILNKFCTEVTHAFFTSYVHTDDFKVLKEKNIP LFRNFMDAVDTACPMLQRVSLQTGGKYYGVHLGPIRIPLEEWFPRYDDQGYNFYYDQE DYIREVQKRRNTWSHNIIRPNAINGFAPHANGMSEALTLAIYFLICRELNQPARFPGN EYFWNSIDDNSYAPSLADLTIYAATQEHCKDEVFNHCNGDVFVWKYLWKDAARYFGLE APEPMFEKAAGQADTLANEIDMVEWAKDKRPVWEAVVKKYGGKPEAFDYGTWGFFNWA TGKSWCTISSVTKARKYGWQRTDNTFETWIETFRSYENAGLLPTRASLLMNEIS ACHE_40338S MTATTTTATTTSVVPQFLAQYRKDAYVKGWADLWDRGGDYVDWD KGCPNPALEDTLLQQRSILGGPLTLDEQGRRHRKKALVPGCGSGLDVLLLASFGYDAY GLEYSHSAVQTCKAQETRSRARGEYSVRDEEVGGGSVTFMQGDFFDDEWLEGIGLGRG GYDVIYDHSFFCALDPSLRPQWGQRKAQLLAPTGRLICLEFPRHQDPSEMGPPYTALS EDYIEHLSRPGLKRVAYWRPERTHANGFDAQGVIQDRVSIWCW ACHE_40339S MTKVLKDGTVLTFDDTAQSIHVLEKASILIENDRITAISEDPDF PVPQDAEVINVHGKLVTPGFVNTHIHTWQTVYRTIGPNVFLSQYFEWLGHISPATAAF TPDDVYISSLEGYLEGLHGGVTSYVEHAHNNWSADVVEPGFNAAVDSGARVWWCYDVA DREGFKIKQQWEALGRIGDKVTEGSPVQLGLSVDGLSGLFGNDPDGHLGYMRDMVRKL DVQAITMHHLGGPWPGKSILNRKTRNDRLIATARKTAPSDINLSTLHTTNLPIIYSHA PFLADSDQKALRENNHFISITPESEFHFGHGQATGHLITDQASLGLDTNWTFSGDMLS QTRLWLQNVRITKSHRTLETGKLPRTNPFTVEEAFLMATRQGGRALRRDDIGVIKVGA KADLVVFNGDSPNMLGWSDPIAAVVLHANPGDIEHVLVDGEFRKRDFKLVDKVLAWGK VRERFLEASRRIKEQIKEPPPMPERLWGVGEFGDVEMVSTRCFGCGPRGTQ ACHE_40340S MAPRTVVVIGATGLQGGSVVSELLQHPGTYKVRALTREPTKPAA QSLAARGAEVRRADLDVGADDLETAFSGAHAIYALTDFWQKQSASAEIAQGKSIADAA ARIPTLEHFVWSALPDPEKLSGGRFLNVNHWKSKSLVTEYIQHEKPELWAKTTTILFP NYFENCITTPERYLPVPDANGIYTLSFLHSPDTVMPNVAIADTGKLVRIILEAGSEYF TKTIAFYSQALSEAEKLNALCERYNIPTQYRKISADEFQRILESRDGMSAEMALDFTE QLLIFEEFGNIYAREEFVQAKEVNISRA ACHE_40341S MGARSQGRGRGSQSHADDHVSPIRAGGTSPFNGIDQFSDAGRRW IESRTGENVNLETLCALELPWANTHRLYTESSSPELPNRLVVEKYVEMYCSSLHIPVF PVISKSLFIITLDLAYGLPEAIGSASARSCVYAFLSVVTQFGFDDNMHGAMDCGSYAS AAQSYMAQITQEMTLDGLQSLIMLVQFQYFLGDLQAATVSVSIATRLLYAFGAHTQYG NSQSYDKSIPEFHLRDLFWLCYSFDKDICLRTGQPPSIQDTCCDLTLPTGYAQIQDSN ILRDTLSIDDHTLPLYPFDLRLSQIKSEAYQALYSASARRKTDTEILSSILELDEALE QWRLSLHPDFRPTLWFSPEMPVSAMNTQTTMLRLAYYHCVNIIHQASERCRFSQEHGP GHDGIRSSISLTINASRSTLSYLQTALPVVKGECFWVVLFYAITAILTLFRSILHNPL DPEINHQMNILGEVPDLIRKIPIRRLTLGEVIHLRFLDGFTTELLRLCACAITKARRD EI ACHE_40342S MPNLKYSMPKVLSRSPLNAEEAQWKRLIKTTYLDPNGVERSWES GEYQKRPAGVKSVVGVSVVTILPKSTGPELLLLKQYRPSIDKIAIELPGGMIDAGESP EQAAVRELKEETGYVGVVAESKRNLLFNSPAFCNNNFQYVYVDVDLSLQENQQPQAQL EEDEFIECFAVPMASLFSELKKLESEGYAVETRIVAIAEGLEIARRWSCS ACHE_40343S MLQSKAGQDEDLGLLPPDWEQMITLKDRPHNLDRNDKATTTCMN HRAVMSIASGLRACFLEATDRNFNTKTESVVCVEASKAEPEKNSQWKLNVCEHNPTNE SEHTESCDEKENEVDDEKEVEKRNDGKEEGGEDKENEESGESEEHGDEISKHDIKAFI YLTKASLQRRRLFITKGGWTGLSSEDTRQGDVVTILVGGDMPFIVRGDDQEMSDVSKG KQRDGTRVALNS ACHE_40344A MSPFTHSDYTIAWICALPLEMAAARVMLDKKHNSLPQPSTDPNA YELGELNGYYIVIACLPTGIYGTVSAATVVSHMTSTFPQIQFGLMVGIGGGAPSRSND IRLGDVVISKPVGRYSGVIQYDYGKTVQGGQFEPAGTLNKPPQVLLTHMARLEAIQMT SQKNDISTVVWKVLEQNPDMKDGFSPPRQDTDYLFHSSYHHADKKSNCQGCDKEQLVN RRPRDTRTPHTHYGLIASGDKVIKDSKTRDRLAEQHGILCFEMEAAGLMDALPTLVIR GICDYCDSHKQKEWQGYAALTAAAYTKLLLSVVAVSCTDRILRKRDRVRHWMVPLARN PRFVGRQHEINELKEMIMMQDGPSKVAITGLGGVGKTQVVLELAYRLRDWDKDCSIFW LPCTSHAIVEQTYLNIAQTLGLHDVKPAEVKEQIKTYLSSKSAGKWLLIFDNADDVDM WLPAHGAGPALEDFLPQSEQGRIVLTTRNRKLAVELTSSNIITIPDEDGEVASSILQQ SLIKKDLLKDHVTAEALLKQLTFLPLAITQASAYINKNGLSLSTYLGLLQEQELEVVD LLSEDFKDAGRYKDVQNPEKNGPKHPSTLTSMANLASTYWNQGRWNEAEKLNVQVMEI RKTMLGPEHPDTLVSMNNLAYTWESQGKLHDALALMEKCLQVVQQSTRAQSPSYQILL SCS ACHE_40345A MATALASPDSGRSSDSSSLDGAATEKQKLALNGITATTKTKTKS KKSRKSKKDAQGNDLSELNDTAAAPKRWKSFWTAFRYLANLNQKEVDDFMASYVIYNL DWSNEQQMVETLGPNYQEKVGDCLKSYYGVLNHLCALGDVEKMYIPPFMSRKATVLEN QLLYEVSIARDLELKPGDRVLDLGCGRGRVAAHMSAVSGAHITGLNIDPNQIAQARDF NAKQGFTENKFVEQDFNALPLPFADSSFDAFYQIQAFSLCKDLRKLCAEIFRVLKPGA RFSMLDWVSFDAYDPQNPEHDALMRRVKPLIGAVGTPTPKLLEDALTDAGFSVVKSDN ASLDGLQAPLIETVDTYFRTMRGVILALVKVRVLPPHFKTLINRLCLDGEAFVEMDYK RLITTSYRIIAQKPR ACHE_40346A MKLSILLTLQTLCLLACADQPAQTPAQALPARSLSLIIPDPTPP PSSAPTWTTLIKQKRADSTEDSGDSTDDSNTATETTDAATTAETSAETTAESTSETSS ETSSETTSSETTSSETTSSETSTTSSETTSSTSSTSSSSSSSSTSSTSTTSTSSSTTS TSTATSTASAETAERNRVGNIAAIAVFSSLGGIIAIVFIALALRKRIMRRRREEKKAL LQAGSSAYSMVPVSDEAAASNGEVKFDRLSMMFAANDPKPQDAAGAGAGVQVNATPLL TPQQAAQQGYPQAYPQYPQGYPHQI ACHE_40348S MKSSLTLLALATTALSQTTTLKVFDAGESALPLTDIAASVVEVN ALETILALNCAPNATASICPLETPFTLTYGPSTASLNAVYATKTSGVQAKMSLVEGCD ITSSTQGASCSMSMVMGMSVRGVSTSTTTTTTTSFGEEDITYRDLAVTAGVSKLTAPE ATQTPEGGAAPGAVVNGKGIGGLAAAAVAAAAMFV ACHE_40347A MDPDQFRTAAHAAIDDIINYFETLPSQRVVPTIEPGYLRPQIPS NPPTDPESWPEIQADIDTKIKPGLTHWQSPNFMAFYPANVTYPSILGEMYSAAFNAPA FNWLCSPACTELETIVMDWVAKALSLPGCFLSDSENRGGGVIQVSASDSIATVMVAAR ERRVRDLMLAEGLKEGTQESEERMMDLRPRLVALGSDQAHSSTAKGAIIAGTRYRSVK ARLEDNMEMTGEGLRSVLEQCERDNLTPYYITLTMGTTNTCALDRFAEIKAVLSEKPA WQRIWVHIDAAYAGSALIAEEWQHIAQDFAEGVDSFNFNMHKWLLVNFDASCLFVRNR QDLIDALDITPAYLRNTYSETGQVIDYRNWSISLGRRFRALKIWFVMRSYGLTGMKAH VRKAITLGKTFEDLIRSRADLFETITRPAFGLTVFRIKAPGAQANAFVVQPDEASNTL TKKVYELINARGEIFITASVVAGVQAIRIVSANEAAQESYIQRAFDIIVKTTEELIQ ACHE_40349S MPTHNICPDGDTTLTLTTTNPPTKLLVSSHHLIHASPYYRALLT GPFREASILRANGTVNITLTDDNTAAMIAVMNAVHGRFFSVPGRKIKLELLKDIAVVV DKYELFESVSTMLHTWASTVRVGNPRASLMDVAKWLLCVTWAFNMSDAFRFATKELVL DTGMNVEVEGTCVPLNVGARITRRRAALLQTVRSRIQRFRDAEYRHSAVCTVYCDDLV HEKLDEILGLLSKGPRSGVKPRIIRKMVHDLYEHRMDGHQVIENCANRYVYVPPLCIR LLEDLDMDIGREFDRVTGMILGRYKGDAKRRVDWMGGDVGLDAKALWPYGQHPEAS ACHE_40350A MSGMQRPNHLPYIRRYITTHSKDGEAIFLSLTQVPDYIPSKPAG EDGEIALLYTTSTTPVDLTDEGDVADYDDFLHTPPGLTTSQGTVMRTIDLRPGKISPM HRTVSLDYGVVLEGEVELILDSGQSRVLRRGDISVQRGTAHSYKNRSDTEWCRMLFVF LPIKQLTIKGKELGMEVYDEQYEGNGQNK ACHE_40351A METMALFQYYNNYLDYLYHIILPDRVEGQINAIYQAIENQEPLN LGHLALLFSIIASSLFLQLSIESSVHAEACSREYTFLTGAALIQSNYSAYPTIEGLQA TLIVAHNLSNTNCHPSVRVLFVHGAIVSQAKSLMLHCIDSPQFERKANGTDMIEIELK RRLWWDLASYDWLLGFLSGPQESTYLIHPEHMNVQKLANIDDIIPDSKEKDYSLPTST PTKMSYSLERLKLAEVCREIVDATAYEHLRGREVSYDKVLELDRKLQQAYDFPAFFRL DAVSRQQYGPLYQDRPSIAWQRCLLHQAYHSRLCRLHRQYLVRGARNPTYSYSHVVCL QSARKVLEMKRIMDEDGPTFMPPSSVVWSVMHHVFMAAVILLMDVCFNWDDILAEKRK EEVLDACRMLSKAQQSSSTVREGINAMMEVLQKHWKVSNGKQSAVASSPVINPMELGN PARDSTPTAEPETANLKQSPADLPSNAIDDTGDTLEDIWTEMLDNSENLSSDTPDWTG LLAELTTATVPY ACHE_40352A MKRKASEQEETPPKRAPRQDPVSCELCRRKKLKCNRQQPCSSCA TRRLPCSYGPSVPSSNTSQTQPAGSTVSTKTVQNESRVPDPNAAFARPDGQISRDRNE SVMTADWLEKIVLGDRVQTGMRVTQRGLNEPSKVDVMSPGQRVAGNSLSTYWTASSEN PATV ACHE_40353S MMMASLCKELWQFILAQGILLGVSMALLVTPMLALVGQHVKVKR AAAMGIVIAGSSLGGVIWPIVIRELLQKPNLGFPWTMRISGFIMLPLLLISCICCRPA PTPQPTQPTNEDQPASASKEPKTPLKTDFSILKQPKMQLTCLSFFIIYFGMFSPFFYT TSYGIKMGFSDDLSFYTVSIVNGASLFGRILPGIVADKYGKFNCCIVATGLAGIIALC WTKATSVAGLVVWSAAYGFASGGILSLQQACAAQVATSQTLGLAIGAVMGSTSLSAMA GIPISGQLAGKYGYLALSIYSGVSLLVGAILLVIARLVQNRSLSAIV ACHE_40354A MCKKATCSNCNKTTWWGCGNHIPSVMDAVSQDEWCTCTPQVERE GKAYPPKAENP ACHE_40355A MKLLSLSIFVTMVTSAALPVLNIAIDGKPSAPASAPVPSNPSIL TQSLTTEAALPDSLPGAFHENEHERMNRTSFGPIPPPEKRDTQDTNKDVNCHNDEQCS PGVCHDGICFLE ACHE_40356S MMAPDNHTAEQIEPLLPSDAGRADYGIATDRQIDQETQEEPEHQ AERWNEPRINAWRVLATYYSFIVVGANDGSYGALIPYLQKYYNADYAAVSVVFLSSFI GYATAALINHSIHVRFGQHGVAILGCGMHIIAYLAVTRHPPYPILIAIFILAGLGNGV IDASWNAWIGAMADSSMVMGLLHAFYGLGAALAPLIATTLFTKSGWQWYEFYYIMALA GAVEFVTSVVAFWNARGAAAHAVETAPTDDEQIQSQQQKSPTVQALALPSTWIISAYL FVYVGSEVTVGGWLFTFLVDLRHTAPFAAGIANFAYWAGITGGRVVLGFLTPYLKKQK TAVTLYLIGCVAAQLIFYTVDNFVASAVAVTCLGFFLGPLFPEAVIAQTRILPKHLHV AAVGFACALGSAGGCTFPFITGAIAKSQGIGVLQPMVLVMLCLCLGLWLSLPTKPPAA RRAANGAAV ACHE_40357S MTKYRLTIRYAPGADSKYAAGIENIARTKFGAKGVLRFQRFAFN SGYPPFHITLFEASDSVSLEELQSVQLAEGVITQVQRVDRPAER ACHE_40358S MASSNHYKTINPATGQLVRSFPDSTDLDVSKALSTAQECFEKDW RWRTASSRAEIMTRAAGLIRDRTAQLAEIATKDMGKLYTEACYEVSLSADILEYFAVN AESFLRPTTVQERGSGAVAEVVSEPIGIIVAVEPWNYPYYQLARVAGPQLMAGNVVLA KHAASVPQCALAFAKIFEDAGAPPGAYTNIFGSRSQMNQLVDDFRVRGVTLTGSEAAG AGVAERAGRHLKKVVLELGGSDPFVVLEDANLEAAISRASSGRLVAMGQVCAAPKRFI VVGKERGDLFLEGVKQHFSSLEAGDPMDPKTTIGPVFSESALQTLLEQIHRAVEHGAT LVCGGKRIDRPGFYLEPTILTNISAENPIYKEEMFGPVAMMFSVDTDDAAVELANATR YGLGSSVNSEDPKHARAVADRIDAGMVFINSCTVTLPELPFGGIKNSGFGRELSDLGF SEFLNKKLIRMAPTN ACHE_40360S MGCSMRRLEGLLFVETSTLPCAGLTTWNALFGLRGLKRLEEVDV VLVQGTGGVSLFAMQFARAAGETVITTTSSEAKAPKLASLDAHHVLNYKTDPSWAKPP NSSYPTKRAYISLLMLADLR ACHE_40359A MSGLHPFDPITPGEIQLATKLLQAALPGVDLRYKRIDIQEPIKK DVVPYIEAERLGKPLPPKPARFLIALFHRLDTGAFCKALLNASTRAVVSLNEMPKDIQ PPVDVDEMIEIEQLCLNHPAVQAEIAKMQLPSGMRVCNDPWIYGTDDQNESRRLFQCY MYIVATDHPQNNHYAHPCKFSPVFDGLTRQLVRIDYLPSTPDHSSVETQPWRPVPGIQ YAHELLEEPLRNDLKPYIVQQPEGASFSVNGNEVSWQKWKFRVGFNTREGMVIHNLNY DGRSVFYRLSVSEMTVPYGHPRAPFHRKQAFDVGDVGFGITANELTLGCDCLGHIKYF DGFRADSKGTPIQLKNVICLHEQDNGLQHKHTNYRTGAATVVRNRQLVAQMICTVGNY EYIFAFIFDQAANVELEVRATGILSTVPFDNEKPGTTVPWGTNVGPGVMAPFHQHMFS FRIDPALDGNQNTIYYEDSVPMPEDENNPYKVGYTTEQTVFKTSSTAQTSVDRHRVFK IRNDNIINPITYHPIAYKLQTSPAQMMLASKNSFGLKRAVFATKPIWVTRYRDGELFA AGEFTNQSKQSHGVEEMVARKDPVENEDVVLWHTFGLTHNPRIEDFPVMPMEKVSVML KPDGFFTKNPALDVPQSNQLFNKSTLHPEKACCAPGQVKL ACHE_40361A MRFISISVNSVWVYLAALWCFIGTQVDAIELDVTDEDSIKNVAS QLAWDLVSFYTGNNTGDVPGNLPDPYYWWEAGAFFGTLINYWTYTGDTTYNDITKQAI LHQAGENGDLMPTNQTRTEGNDDQAFWAFTAMMAAESNFPNPEEDKPSWLAMAQAVFN EQAARWDMETCNGGLKWQIYSFNSGYEYKNAISNGCFFDLAARLARYTGNITYAEWAE NTWDWAEAVGLLGEKYQVYDGTSETNNCSDINHIQWTYNNGVFLHGAAHMWNLTNGAQ KWEKRITGLLNAQHVFFSKNQTSKNVMYESACEPQEACTTDSTSFKAYLGRWMGDIAK IAPFTYDTVVSRLRPSAKAAAAQCLGGDTGTYCGTSWTKGSYDGTMGVGQQMSALEVI QANLLDTVGGPLTDRTGGSSDGDSSAGTGRTSAELPGQWRPLTLKDRAGAWAATGGLG TVVIGYTIFMFF ACHE_40362A MFENIISSRIDVKPRAVYEPVSRSTAPNNIIDISSAKTETELRS SLQDSIQAACHGEAAMPDLLLWDKKGLQYFEDVTYTPSYYLTNEEIGILEEQKHQIAQ HIQPGSMIIELGSGNLRKIKILLEALDDLGRDVDYFALDVSLHELQRTLNVVPPGTFR HIRCFGLLGTYNDGRQWLQRAELESRPKVLVSLGSTVGSMQRSETAGFLSGFIGPGES NRSFLLGLDGCKDQERVLAAYNDKHGNNHRFIKNGLERANDILGHEAFDLGKWDVVGS WDHENGSHSQYYYPKTDVWLGGEVVPAGRRILAIKSHKYDANDAHALLEKARLDVVDA WGSRNQYNVLFLKSA ACHE_40363S MKNVFITGVSGYIGGDLLSVLAVQYPDYNYRILARSEKSSELIK AQFPAAEIVLSDLNDLETLRKESARADVIIHTADASDHLPAAQAIVQGALEGHDEGHP VYLLHTSGTGILSFLDTENGVYGERRDKLYDDLEGVQEILGFPDHAFHRDVDKFVLEA GAEHSKVLKAAIVSPSTVYGRGRGPCSQRSRQVYEMSKYTLQNGKIPIIGRGLSTGGN IHVADVTSLFVLLFERAHHGDKDGKLWGGEAYYIGATGEHCWGDVAKLVGKVAVDEGF IPSAEIQSLDVETARRLAGFEAVSWGLNMRSRAKRATEYLGWEASGPSLEDEIPGIVR EEWQRLQE ACHE_40364S MIRPPHMPVTEYHRDQMQLLAMDRSRRSRRTQNACQRCRSRKVK CSGIQPCEHCRRRGQDCVFDEDRKIVISEGLFLSMKRKLEERGDNATIEHKRTRLLDS SEPAESRTETTEARQSEERFVSNPLVSASYLKHNGQTQRAWLCLGPTSTWSFSRRVLS IIQTRVHPDSNTPIPLAVDGDAYQIHWGHASSNEPPDISGLPSIDYALYMLSTVKFHL SPMYRFFDEKEFLCNLYEFYDNAPVKAQESRLWYIQFLMVLAFGEAFLTPVRTAENTE NWTRFFTRAMSLLPDITGLWRDPILAIEVLALIALYFHSVDIRDTAYCYVSVPKPLR ACHE_40365S MRMALVEGWHRALPVEQLGEKMVERCKNIWWTIYILDSRFSSLI GTPNSVNDEDVTAMLWDLRNCSQEAAALTLHVKISQVVTRVLNTVYSVDGKLGGVFLR KMRSVLHEMTDLSRELENVFAHRFQNSVDAISGVTTRLTLSCHLCIIVTVRPLILSLL WERLSCYENGDSLRPLSAPVRTLVQSCVDSAIKSLRLLTALRNQNLLETFLPFDLESL FSAAWILSMILAILPDTLPDHSYRDTYFSLLDDMIERGNRVAQFRKSEIELLEELAQP LLVPSTPVSHPVDEEPEPLITPRSETVPGFNDLDVQPVIATPSLTATGIPDVNEDDLT LDWRDFGASLNQMLSATDELDANWVDMDRGLGMDLWLWDN ACHE_40366S MHLKFVFILLLALVAESVFARLQGHHRRHIHGKHLAIKNDNDND NIGSGSIIEPRTLTDVNGNAIGIGPLTATLGILPGATLAPTNDHPHEDHPHDGHSTHG GHHEGHSHGHHPHGGHPHDGHPHGHDNEASPHPIKATAAWDQTPPDGQFTWDGFSGRT QSQGDGVGYKGNVGDPWGSNIILLDSETDAPYYKYVAQLHGPKDANAQPWKVVFWNKI GPDGKISGWYQHSALEFDMLPNEIKYVAFDKDSQGGFGAAPGDHLPTDQWGGWSSTWG EFDFGDVKNHAMSGWDVSCIQAQNAGQEVQGMRMCLSNGDKCSTITRGAKKVENAYPS DKAGVDGLGGVVGAGAVRIVVELDYDE ACHE_40367S MSSTMRAIGIKNNTGPAENLFVDNIPKPSPSAGEALVKIKAFGL NRMDLLQREGKYPVPPQAPPTLGVEFSGVIEVVAEGTDCDFKAGDEVFGLAYGGAYAE YIVVSPAMLVHKPAELSWEEAAGVPETWMTASQALFLIGDFQPGQSVLWHAGASSVSL SGIQLAKAHGAKAIYATAGSQEKIDFLEKQMGVTAAFNYRTQDWASELRQATDGQGVN LIVDFIGGPYFQDDLNSAARDGRVVFLGLMGGGKVPGTADISAMLYKRVRVEGSTLRS RELDYQRKLRDTLVEHALPKLQDKSFTVYVEKVFRFEEIADAHRLLESNATKGKLICV IDH ACHE_40368A MHFSTTLLPLALALRASAMYDDWYFGNMFALGPTSDNIHITKAT YSLVPPAVPCGSQQNTSDEAPWLALWVGLSASMSDQKADLFQPLLNWSPDQKSQACPA SNQEWCVATSTYHSSGQVQEPYVPVPNDSELEFTITVDEASNKIIQQISVNGKEISHQ ADDKTINPTYIYSSNECYLDACGTLAAYSWQNMTIHLSDADLNFQNTIALDGARDSGI TTPDNGKTWHINSMRIDRDYFYPDQKDHECGSD ACHE_40369A MKLSLAFLATLSVAMAASIPATCEDIPNSLGDKPQTLHNYFNQE VCQKSKCDTTINDSTSFLEKTVVPQLVQQLSQSLGISTGNQDQIEKVAKEVSQAIQQS CSQQYGDKKVCNDDDSVLQFGQCALKAAEPVISKQSQGDDDVPSEEECQKLKTALTDD QLWSKTLPGYVDQFAKQCKKN ACHE_40370A MRFLCLPGAFNNAKTFEAQLKPLCDILESNGSATFRFAEGNVLV EIPQEFAGFFGPPPNYAFFNVNNREAINKHLRHFPRGETPESTLRSITKHSVSSFESV RSALDYLIQLLDKEGDIDGVIGYSEGARVAASLILDERQRQKDSGRTPHIKCAIFIGG WQPVHPVSGGDVYADETEERIEIHTCHVLGSNDPYIDASLALYNLCDQDRADLFDHGA GHVLPREKAALEDLADVVRNMITDADEGG ACHE_40371S MKLLYDTKQVNIDSKDFCSLTPLSHAAVSGQERAVKFLLDTKKV DIDVTDLNNEAPLSLVEENGHELVQTICGIQPQWALHWFRGTLGFVIEATLKLAHITE ETGVAVATFPTMREAAKAAIQVIHQGIAIGAIELLDDVQMDVTNKIGGTGREWNVLPC CSSNSVGPS ACHE_40372S MTSEFRRSFKLKAKRQFKPHSKQHHFGSKSKISIAHLTASGIWS KHNRALSWYNSWLALQFVNLPSDLFGIFPPPKENCDEENESEDYWQPFVSTFLRIEDL ITSSDSITIDQIIALLKDEGLLRADGTEGDNDERNYHYARYFVFCVLGWQTMLFTPTP KGSSNGILGAETPQLAIDETDGCCGYTHMSLQQDRRGCSNEPLSEFLMGFGVLLPSKS LCLDEDPNIQHAFHKQTEVHARTFNAYSLSAVAGLRIKWVDALACHLEFNSTTKEISL FRFPSFCQSLLLEYTKGRRRNVIHACATTSSSRSYWATEEEVNQLLVEILLSYRLLFG QTRKSRSLFRSSLNPFIKNHFVKKVAYDVNVRDPILSALCGTKDSFDSILSGLVEKDL YHLPRDFPILRYRISVLQRYLSITTPRTWLQLWRDNRDSAGWLTFWAVIAFGVFGSVM AFLQVVLQFVQIIQQ ACHE_40373A MLEPQIYKLSVGQAFDGLSDSEKHYAHYMARAAWSGTRIILRQV SPEANDIFDFIIALSHTCGGDWEKLATETQVDSDEVERFLNYAAMFLSNVGNYFILPG ISKESLIKLASVSGATIDIFNRIKEPMYAETPSSLGAPGPYTQTAYYLGDGCLASRED VSAISDIIEENAILPENTRLRRCDNGGKPSYEILQASVEGNEYVVGTRGKTSKISQVR IVKGDHKEELEQVCHNLQEALEYTSNPTQRLMLERIHGSFLTGDLRDYKDGQKIWVTD TAPAVETVIGFVEPYRDPLGVRAEFEGIVGIADTAETSRLQRLAGIADGLVCRLPWVK DHGTSKGPFEKNMFEPPDFSSVQSLAYCSSIVFPGINLPNYNDIRQETGYKNIIFSNR MVSESSRARGLHMVDPIEQETFKQHRFHSYYIWVVLHEILGHGTGRFLSELSPNNFNF DPQSPPINLLTGKPVNSWYRPGQTWTSVFGNLATTVDECRAELVGAYLIDEPEILRLF GYDESSEVRADDIIYNMYLQLGVDGLRGLENYEPITKEWGQAHSRAHYAMLRHLLCDS DGLYTIQLDLENNRLTVRVDRSQVLQKGKPSLGRMLLQLHIYRCTADKDNCREFYENL SQVDDEALKWREVVVSKKDPSLVFCHANTFLEKDEDNVKLKEYEPTSRGVIQSWVERD IDDAL ACHE_40374S MPLLTLSNELLLEVANYLEWDSDINAFMQVSGRTYYLLSQRLFW HNVFWSESTAFEWALYRGYASVVEKALDAGAWTNIDYKTFSGPLDVAIHYGYADVVKV LLERGVDVDEDPTWIISSANGILEIGDKSPLFKALVRGHPDVLQVFFSFVKKSQGYDV GKRARPVRGGWHTYGINVTKAAAEQLMRDSAARGDLDSVKFLTKFLPSLINSEVEDTF GTAPIIQAVKNNQIEVLRFLLKAGVDPGRDIMFREDTPLYHAAQNGSVEAVQLLLDKG ARLDPRMKYRQGGRGHSLEILETAVTNGHVKVAEILLRLIRTRSKSKSTLKDKDTLIT AAAACGLADLVQEILDNVHKGYQKVEKGQRTFLSDNSRLKPLAVATQNGHKDVVSMLI DYGADMKDITSTSPLIRAVSSGHTEVVKLLLDKAVADLTETNIYNLPVRYLAHSKQCT HGFAALCHAVPFPSTFQVLLDKGAQPASRAEKLILVTEVVRFGSEKTAQILSKQGILL EASEIESAANEGAGEQSLDATFDDAIGQAARQRVEQPGEQSGGKALDPTAKTKAEQRK KGKARGKASKKIRSLIQEIGPNSQEVKDIMLSALVAGDARMVGYLCSQGCSPNHKWPG FSNDKMSSLEVAAMARFCEDTATTLDVLLHHGADINQLQGGWSINCPDDRYLTALKLL VERGADAAPHTSKWGLKVLKLASKMRCRRTTRFLLSESCNRGASFAVVQELIKFCEEH LKRGCDLKFEKVLTDAYWRSIYPVPREKTT ACHE_40375S MKLTGFALAGFLGAAVALPQPQVFGGAPGPVELSSSATPSSSAV PTSSAVVFKRQFGSSSSATPSSTSAIYKRQFGSSSATPSSTSAIYKRQVDSYPSSSTP IATSSAIYKREDPLFPPPPPYNFHPTPSSSATPSSSATPSSSATPSSSPSFFEKRQYG SIYVPSSSATPSSSATPTPSASALFEKRQFGWPTPSSSPVSSTPTSSSSVAVSTPLF ACHE_40376A MTSQLPPSNPNSSPDEMMDLEILQLQEELTRMRKQRALLQLRSE IAREQQLLAEAQQSLGLAELPAVPPERPVEQRLAERLPSERPRFNPPPDPWPRPIPPT NPTTEDVDELIRGIKRSHSESSNHNGGNELENVQEHRPAGQDNTGEEGQQPQEPISQQ GSSGREKTQDEQEEDVEVPPTFTVKRQYRGASRKEYNLTIEFLQSHFAQYERYYASHE RKIEEGLRHVVPDIERAWGFHVATDDQIEPTWPNFCNFLLSRIINLVDPAVARRQYYG RSQREDQTVREFSNHLGSWESNLEELLTEEQRIQNLWARVLPSVREEAMPFQYQSDRY QDHIAHLQTVESRMPSRAHMQKKIAAAAAAAARNKQNQKYGHQPHFPRSAPKYLRAKR PRMHNPTNHSMHNPTNHSMNNS ACHE_40377S MPFWKKPCPWLGDKQPSTPEVSEETKDPQVDLVESQSADYDAVV FSKIRATEWMRSWKGRYFVYAGLGLIMIIL ACHE_40378S MLASLNTATSIIGAVFKPPIAKLSDVLGRAEAYLFTVCCYVISY ILCAASKDFDTYAGGVILYSVGQSGITVLNAVLISDLSSMRWRGFAYNILYLPFLVTP WVSAFIIDSVVNGIGWRWGIGMFAILMPFCASLIIITLAVFQSRAKQSGLILKQRPTP YDFCSRIDLGGTLLLSGGFALLLIPITIASTTTSRWKTPWVDALIALGILSLVALYPY ERYIAKHPVVPVRYFRVLAIVSSVCLTCIDNVGFGVTHTYLYAWSTVSRGFSARNAQF LTYTNGVMQALTGMVIGLIIYRLRSYKWILVAGAIIRLIGYGVMIRLRTNDSSIAELF IVQLVQGLGSGIIETIVIVASQIIVPHRELAQVTSLLMLSAFLGNGIGSAIAGGIYTD TLKSRLRARLGKEVSEGKLDKLYNSITGALPAWGSSERIAVNKAYSDVIG ACHE_40379A MRHHHLSVQTDTPVASTTTPPATPSTPSKRDPDNLNRILHYTDS LDYHHHPDSSSPVQFGHGVWSAVYKASSLPAPPSTGALITPPASPASKNRIVAVKSPI RKDAHPVLESEARVLTRLTLSPGAERYIVPFLGFIPRSHALVMGAVPLALSSYITEAA VKAQEHRSTSNMFEPVLGKRRWINLARKLVSGLDWMHNTASVVHGDIKPHNILLRRVP AISDGGEEFVYEPLYADFSSAHDTCSAASSPAPGSALTPPFAAPELLSAAALKAPAGA PPTTASDVFSLAVTLLAAATGDLLLYPGTSHMQRLAMAREGHRVVEFARSGGNGMRVP RGGAVESVVKVAVCKQPEERVRAGEWVELMKSVE ACHE_40380A MAQSTPSLNGSDAVYYAYSSATTTAPAEKTWQTLIDTSTWPSWN SFCPRVTIRHQPGQHELSPILQNGTRMTFHVHMDPNSPAETDVYLVVTLFEPPEGGKT GRIAWSADYTASGTLPRFLLQAERVHEIEPFGEGRGSEVRNWEVQVGYAAYAVRWMYG RVVQEAFERWVQDLRSYVA ACHE_40381A MPSLRSIIATLTACSTATATLINDFSCKSSSHPNPVILLHGLGA TGYEDINVLQYWLQGKDYCTFARTYGAYDGFPFLGGLKPIAESASEIAKYIQEVAEKT GAEKVDVVGHSEGALQTLYVPKFHSEVVPLIDKLVAIAPPTRGTDFAGLYKLAIDLGN NTKSAVDKALDTVGCQACSELVTGGEAIKKLNDGEPIVQEGNSLTVIASKHDELVTPV ETSFVHEEGVRNVWVQDKCRFDIVGHIGEAYDPNVWNLVKNALDDTPDRDFVCVIGAP LK ACHE_40382S MLPKRLLTILLASLTSLAPVSASPHTALSHSVSQLGSIQSHLSL LTEALDSYNGGVWGLLTLANRVNNAQSAIRGARLNLDQLPVLSGDDFENWAGSYEKLQ PVILGALKTAHGKTDHFHKLGLKPVVAALVQGLIDERNGYKKTIEGKMSRENITAMVA HNEEVDKTVKFVFEALESV ACHE_40383A MPPGMNRWTPVARFPLTKRMNDRFPGPGRKRTWAKSEIVNEDLC DDILHRVSPYLNRKSPIDILDLWPGVGLFSSKVNDFLKPRRHLMIEPLLDTYGPFLKP LVASKPGYELLSLDIHAHKDWDSIISKHLPEQGPSSADSSGAVAKNDTLLVLASPPPN TSKRNHYTPSRWWSIFLEMCLRQAGFHTYGSVRLLATLPSVEVPNIIPRTVVERKRPA LLTESLALHAFELASPMDSGFWTMTKGWDVMAQNAARVAERTAANKIEIPPGREPRTY PLAPASPEAGWKPAPYTPRVSTEKLEQLIKLVEEVSKTSSDKTAKQKRSRALIQINQD NRHAYIRAQLKEKRIQIDQLTKSLSQAAADPKTTLGSLKQYTDQIDALLSKIEEEASE NHYDTFTHLSGLVDDHRASLHTGNFDDGLLHWDRRPFEPLHIDPEELYPQELDRTVLY FEPDVNSPVIQRVKEVDPSKRSNLFQLFEFLTLAFNTRGSMPVSELLSLMFPSKSIRD LIRAIPSLATYAGKKPKPDFDSIPKPPPSEVDPSTTYQENIDYDLSEVRLRSLPALTL WEITLEYMNTAADKSPVHLNRLLGGSLTSFRTGDYKASYKRLN ACHE_40384S MASQLSSDSMGLTPPQSVEKITRKAQDYEYNPHISLRNWLRTAT TLVREARIYEREGNDEQTYLLLFRHAQLVLVNLSKHPESKQEKNRKALLEAETQVKAS IEKLETLKPRINKRYERYVQLLRERKVRRQPSLTTSPPQDPTRHDPALAGVAAPLEAG ENKGFAVELAKTEISRRATARKALREAGVSEEEERIRRSAGVWGDWESALEKDGRKTT DKNDLSMRIQEVRLNVDQTQKERYAKPTPRQQTISSPVAAYKYPTVPRQQPLSTITSP PVVPVKTQTRVDPPALPLKQVENHGSALVDSPPPRPNKISPTAISEPAPSSLLPPALP DKVRLEDDTKFRSDLDPSSFTFKPSAYLENGTPLRTVFLPPDLRKQFLILAASNTRRN LETCGILCGTLISNALFISRLLIPEQTSTSDTCETVNESAIFDYCDSEDLMVLGWIHT HPTQTCFMSSRDLHTHCGYQVMLPESIAIVCAPSQTPDWGIFRLTDPPGLKTVLNCTQ SGLFHPHSESNIYTDALRPGHVFEAKGLEFETVDLRPEPRI ACHE_40385A MTLPQQAPSISTIHIYLSSSTIIIRVKNILQTINNPTKTMTDNL AVEQAANLPRRGRASSGERDNRLLRQAADTRSTINTSNTRNRRSSRRPPTPNRMAGVR SGSGARRRSKSRSRAPSKSRSRERRIGD ACHE_40386S MTVAILNISPPPYRITNRAEYKYSTFSSLLYLPFPLISVFQPRL NGFILSISYCPPHAMSSSSIKSGSRTPHANSNNPNSLNYFSYPVTHVVSGLYRRFTDN SSSSKSSSSRNMLNPLSNNTSTSPEVFTPIRTASPFQPPPLTPLTLTSSFDSIPEPDL DSDSLQQLLTRALAEEIRLLVPARLQLVDTWRLAYSLDRDGASLGTLYAKCRDVASRS PRAGFVLVVRDASPNGAVFGAYMTDPPHPDNHYYGTGECFLWRASVLPPPGSMGLQDV SGAGGENPPSEDLLELAGLPPPPSADTTNAGRSTTLRGEPKQKLRQDSFDDSNNRLAP PRSQTQTPTGSIRSGTSTPERIRFKAFPYSGVNDYMMFCETGFLSLGGGDGHYGLWVD SGLEKGVSAPCQTFGNEPLSDEGVKFDIIGVEVWYVGA ACHE_40387A MFMLRNVSKFIFGDTSKESIIEIPQGQLYLVRPLSPKGYSELIF KDAAATIRRTGQEFQYQLVVQRAYEEGEEELADDDDGEGGSDSLDKDEKVFLLDQSLH FRSEVREGGAKVLAWSDLSGDLGDLFEFVCDPSVPSEKVATFELAAVQCQYERKYRQS AQKATEQELEQFSFQEEKPIPSASPIASPTTKSRAPSLTAQESTAAMAKDVEYSRTKG IVKPAEESTVAPPSAAQPEVKEILAQETAELHLFDFTTGTFVQQDPKITATVSEIGTW QYWLQISGQDKEWLGQAVVADLNPVFNFEYLSFIFNHYTEDGSAFSWLLRFRDQETEE RFQEGLMQALWEQLNEMKWVKVKEDDRDYVLDAFQDLTMEDQNEEQEQADAEAEAEEE EEEEEDQADGQRSEHYDSDEEEEDVVTRDDDGNVNSQLAVGYKHDRSFVVRGSKIGVF KHTPNNNLEFSTNISKVETPGGKLFSPKKVMLHAEDSNMILQNENDPNSLYRMDLEYG KVVDEWKVHDDIPVNIFAPENKFSQMTSAQTFVGASNNALYRVDPRLSGNKMVDSDLK QYASKNDFSSMATTEKGYLAVASNKGDIRLFDRLGINAKTHIPALGEPIIGTDVSADG RWVLATCRTYLLLVDTLQKEGKNEGKLGFERAFPKDSKPQPRRLGLQPAHVAQFQHET KKPLSFTPAKFNTGVDSSETSIITATGPFIITWSLKKVTAGRKDPYTIKRYAEDVKAD NFRFGSDKNVIVALPNEVNMVAKKSFQKPTRESIAGPPVTPSRRNTRWGNRLGREDIV NSPF ACHE_40388A MDEEERPRKQQKLDTEGQKQEENMGPAMTGAVGEEKVDDHATTA THNGNENKTTSETGDGEHNESETAGHQQHPEGAAPTMSKNQLKKLRRKEAWDAKADER RAKRKEENAAKKQRKKNLLEEAKKQGKEAEEAMRKKFASTRQRHHQSTLVPLTFVMDC GFDELMMDKERISLGSQLTRAYSDNSRSKYRVHYVISSFDKQLRERFDTVLKKTYLNW RGVRTMQEDYVHAAEMAKVWMTGPEGGKLAGRLEEQSDAKPGDGEVIYLSSDSPNTLT ELKPYSTYIIGGLVDKNRHKGICHKQATERGIKTAKLPIGDYIQMASRSVLATNHVME IMLRWLETGNWGEAFMQVIPQRKGGKLKGKAAAGEGSPTNEYAEGIEEDGDDGEDNDA EASEAAQGEIEQRELHATAEQA ACHE_40389S MPQDMPPVGGYEPVQYKRHLPARGFRPVYYLLGMHMVMAYGYYK LFYGVREQHELAREKIWSRLHILPLLQAEEDRDQVRRHFADKARERELLGQETKVYNT DRFVRPTFVYTPSNVTQ ACHE_40390A MAAAELSEGGMADLVRALELIHSPSSTNELRREALTYVESQKQS KAAAHNGFLLASRTENAPLVRYFGLTLLDHVLRHTSITSNQLVELRELVIPLAQSIRQ EDPPYIRNKIPQLWTEVAKRSWGLDWLDMDQTLVQFWSASLVHKEFVLSVLETLSEDI FYREDTVSSLRGTDLNRALIEIYTPLSVFEEVYPKRDKQLEIRCGTEGWMARMCEFLG ECIENVQTSKQAKDAAIKALATLKACLAWTIPKAIISTNCVPHIVRAFTCHDEQVLLA GVEALHSLYSRANFDIDEFQPLVHLMYETEYLDLLQKLYEWSIVGPDDIDDPRYTISK KLSEMLSYVAGFLEEKGFSLETVHGLNLPYFFHLMINVIQHQSLTISIPVLHIWSKLL ASEKIGNTEFVTGLVPQLLGICTQRLVRWESLPEDSGNPTVTFLYEDIDTVPERHAFV GNYRRYCSNIIETIVQKRPQEAIPHILSGVEVNLNSLYEGVAPFNVTTFSKSSIPLMR ADTQFAVVDATLKGYNKWISAHGKTPQQDEQKRSELETALEAWAMSLLQRNFEDPILN ERIIKLAVDISCRALDKTPSFALKVLEHILMTRLPDQPDYPAYSEAVKELHGLSSHEL RRLAVRYADYFSTFYDVLEPKIREITMANQMDDKLQMELTSVLVIITLRASNMDPYVR ESRLVSFVQPVRQAWQDEELQRLSSSFEGFCGMLGLQDVGPYMQARQAHKLEDWTEVS VDSDGKQIQEGMTRKFQQLPLRGTKIMLAISTEKVKKGEPAYELACKTWHDTIPVILP SLLQLVSNAHAFHNPTNWGGLPDEMKAVVEHILTDRFWQAGISTGSRDEFYAKITASR GTLEGFASSVRGKVRAVREACYSILFSMSRMREHFYGFAELPRPLSEALFNDSVHLSS HQFSVLLNVSRCLIDDCPVRFRSQFLPPMLSALFTHIDRKVTAEWEIIEQQKAGMAES DLTDEMKSESILRQLTYSAVIMVASLLDPQRGDPDQTDSTDPSAPTPPPSITDSIRHF VLSSPEIFEPVMLFCTHALRMRDTRCCSIITRVIRSLLQDFAPPNNTPTTATIREFIC SEVLKACITSVHEPYFVDMQKDLASLIASIWVLYGSSSPTPRTVMLSLPGIDPQRVAY AENALLRSNSARQQRALILELLEGLRGVSIAEQGKILDSRVERRKTRSALQEKYMKND MEGQQTTKVDINDGPDLGGIADMFG ACHE_40391A MASPRLRIDGKTFKDLQNREITIRGINVAGEAKYPAKPDVPTYI SDHFFDADDVSFVNRPFPLEDAHMHFAKLRKWGYNTIRYVFTWEAIEHAGPGIYDQEW VEFTIEVLRVSKKYGFYIYMDPHQDVWSRLSGGSGAPGWTLYAAGFNPKAFKKTEAAL VQNTYDNPALFPKMIWSTNYTRLFCQAMFTLFWAGRQFAPKAIIDGMNIQDYLQSHFI AACKYLAERIHEAGDLENEVVIGWESINEPHRGLIGVQDISVIPPEQQLQLGTSPTAW QAMLTGAGRPCEITTWGFGSFGPYQTGRELVDPEGESAWLPADYDDSRYGWKRDPGWK LGECIWAQHGVWDPSTDQLLQKDYFAKDPNTGEPLDYEKFTNTHFLEHYRAYRDAIRS VWPEAIMFCQPPVMEVPPDLKGTIDDDPNMVHAVHYYDGLTLLTKHWNRLYNVDVIGV LRGKYWGPAFAVKIGETAIRNCLRDQLKFLRDESLRYMGNHPMIFTEIGIPYDMDDKY AYKTGDYSSQIRAMDANHFALEGSTSNGFTLWLYSTRNDHEWGDHWNGEDLSIFSNDD LELPSGSSAPFNLQSPGYSESQSNTGGQHVGPGDLKQALSPPSISSERSQPTGAGSGH RAAEAYIRPSPIKTNSQVVSHLFDLQKCTFTMSLQAKEMAGQETPTEIYLPEFHFPED QSEVSVSSGKWEIDAEEFNSVKVQRLRWWHEGGKQNIKIEGVKRKLGEVSNAPGDDIS YLEQCQKGGCTVM ACHE_40392S MSKSALKAVRTALDSKDFEDAAQKAKDIVKQEPQNYHANLFLGL AYDKLNKNDNAESAYFAATHAKLGDRAAWQGLINLYEKQGSHKLESYRYAAVNLALIF ADEDDKHRCQDVVDKYTKFAKRQGSRSQQKQALEVHLPSSPLYNYLEGRIPHPSLTYQ RLIDITEAEEKEFINREIGERRTRLGARIDQVTLEVKREAFKRSELEQLYRGIVDWSH DDQVRRQYEEKLIQRAYDTLAVLPSADKSTKRDELLRAAHDMVIIKHPFELAWKIVLE WQDVEEFSQWDLNFLKEFIEFFPEDGLAKVLKGFLASDISSFPKEQKSPKESPSSEQE PELENNGDYQEMAVQDRLILMVEGLDSARSSIVAHRIMAELYLSLEEYESVADVARKG LLNIKDLVKMTGVDLQHTTDAVNIMLANSLIYYQLPRNHPEAKAIFEGILERKPKSTS CLLGIGLILKVDEDYGEAIEFLERALERDSPNIKVRAELSWCRALNGDLHSGLEGLQG VLSEIQDSRMENRDFKSEILYRIGYCLWELNPSSAARKDRHGAYASFLASIQANMNFA PAYTSLGIYYADYKRDRVRARRCFHKAFELSASEVEAAERLARTFADQKEWDLVEAVA QRVVDSGKAKPAPGSKRRGYSWPYAALGTVQVNKQQYSKSVVSFQAALRISPGDYHSW VGLGESYHHSGRFIAATKAFDHAQQLEGNLSNDEKENIWFARYMLANVKRELGEYDDA VSRYEDVLSIRRNELGVTISLLQTLTENSWKCIESGLFNDGAELASKAIRVATSLVHE RADIFNLWKAVGDACANFSYIKLKADKLPINEVRQLLNTELDPAAFDILADVDEMGQN YISLPEADETGPFPKSDICVYASILAYKRAIHVSIQDVHAQAVAWYNLGWAEYRSYRS VQNRSAKKSKKQPRKFLRAAIRCFKRAIELEAGNSEFWNSLGVVTTNLSPKVAQHAFV RSLHLHDRSAQVWANLGTLYLIHNDIQLANEAFTRAQSTDPDYAQAWIGQGLLALLFG APGEARGLFEHAFDISTSSLALSKQQYTSTLFDHLLSDSAASNELSNLIQPFFALHQL RCQDPSDMMFIHLSSLLAERIGEFSDASASLQGVCAAMEEEYEISESAASLSRFAQAN ADVARILLARHEFEEAAEKAETALMLSGEEDAEKFDPEMNGRLRLSAHLTAGLAHYYL KSMDRAIDMFRDALQEAENAPEVVCLLAQVLWAKGGEEERAVARQQLFDCVENNPDHV GAVTLLGAIALLDSDKDAIEAVESDLQGMITRDDIDIHGRAKLIKLLTAVSTLGLTDS NIPEETRRMGEATAAVMRAPDQPQGWMELSAASNELHPAEMAVKRALRSVPPRSNLDA NDLSEAFAQTGKAGDALRAIMYAPWKRDGWEELNHVVSGSA ACHE_40393A MSTPQTQIAAQLQSTKSLSVSPSWLDTFIASSSASLQRNVPISA LTQTALFRVLSSDFRDTLTTTNHSSVLPVDIFDPTVKERRLSGPIPVQVLDIEDIGSS LWSQVEAIERVERGEAIRGREIVRTVNVGEDSEDWASNDNGNNNNNNAGGGSNGPHRL ILQDAAGTRTVGIELRRIGDVSIGKLPIGAKMILRNATVARGMVLLTPDCATVLGGKI ESMDRAWKEGRKARLLARIDEMAREERQTSGSRGGDAMEG ACHE_40394S MSTISSPRPSIASSRAHSPTPTSSHRPSLDTLNTNTGAAGLSAS STPSTARAVSPSLHPPRRNRAALRDYYNLKPEAAAAAAGNADARRSRSVPRHTDAGDI SNTNPSVVATGTELDNPDFDAQRYVEQLLATSSLSTVLKAENSLVGDIRTLDSERKAL VYDNYSKLIRAVETIGKMRRSMDDQGAPLKMTKTLGPAIGFVAETAGSLIQEGEEQRR RMKESKTTEQASSKKAEKETVKWVLGTPSRLEKLLADGKREEADKDWAEVKDLLDKWE GVKGVSEIKEACLKAMETGKDKDS ACHE_40395A MATTIQDTDLFSDESSFYGDDTQITHYEDLADSYDPEPYWVETH PHLLTTIQHDALVPAQPSPASKTTAGLEEEECLPPIGSRRLPRNARGRNEPVPEFLAR LPPSTTKEESVGPWIFVSEPNRRKGVDEEEEEDMASFVAKGTELLHEFKEKKTELEEE HDRSGAKSKAPLTRKLNVHRRALEEDIFALARENGVVSGKWMLFPSVGRVDAVWKAVV EATVDGELGDGAKVATDAGDREARGMMIYTKDYEDTEDVRRVLGKLVELELVNIEQRM GIYYKADAFTYLRILGDNPYGLKASLYSSKDVLAGKV ACHE_40396A MSLSFSRLPSTARITPAPFKISFSDNELEDLKTLVRLARTAPRT YENSQQDRRYGITSDWLNDLKEQWTKDFDWKATEARINEFPQFTTTIEGMSIHFAALF SEQDDAVPILLLHGWPGSFQEFLPLLKLFREEFTPANLPYHLIVPSLPGYTLSSGPPV DKNFTTEDIARVVDQLMKDLGFGGGYVAQGGDIGSRIGRVLAVDHDSCKAVHLNACFM QRPNGFSDEFLNEFEQRGLKRAGDFVTRGTAYAYEHGTRPSTIGHVLASNPIALLAWV GEKYLDWVDDPLSSTTILEFASLYWLTETFPRAIYPYRENFPPKGTPAGNDPRWYISK PFGFSYFPNEVLPVPRSWVETSGNLVFWREHQKGGHFAALERPEQIKADLTDFVKQIW T ACHE_40397S MESAIQRPQSASTIFLDQPPSCLQFCPAAPDYVVIGTYLLSETR DSEEEGAVQQKKTGSLQLWKINPIANTLSCVERLPLPYAVFDLHFHPRDPTLLAIAGS SGCVALFKVSLASSTNEAIIPIWTLSVHEDLSIPALFLAWTPEHWLTQEKADGFAVSF SDGRTTVFGTSGDITESEKVADLGTFSARQMIEVWFVALATFHDGEEQETDSPTPKIP FLFTGDDFGSLHTRRFDVENSSDGDDDGRGMPPVLLDYDDRARHHSSGVTAILPLPFP MVEDSPLLLTGSYDEHLRIYHATRRGNVLAELSLGGGVWRLQPLGVENMTFLSETGPQ EWRFLVLASCMHGGTRVVRITGDGEHQWNIEVLAEFTEHESMNYASDVWKGGQGIGAK RSELLCLSSSFYDRRVCLWRVNV ACHE_40398A MSDLRSIVPELRNALDRNQLDAANNLLSRAKRSLLLENALIPTP LTPPQLISLAREVLEFGAIASIRQTDADTFTRYYQQLQPFYDLERHASTPGESAGNMD FSTSQRSKITGLYLLLLLSTGNSTNFHTVLEGLVEEASLNGKSVEDDPYIKYPVELER NLMEGSYDKVWRETKSERVPSEDFGLFSNVLVGTIRSEIADCSEKAYPSLPIFNAKNL LFLESEGAVIEFAQQRGWILRDGRIHFPVEPEQAARSEKDILVASSTVIENTIGYARE LETIV ACHE_40399A MVSFALLWRPMCLFLSCSQTTSPEESSYQIVEQLYGVPDGWTED GVPSPATPMKFHMAVSNDRMAEFEQRVIEIATPGHQLYGQFMTRDEVGDFLRPSNDTV DRIVAWMKSERVPSESIERHANWITFTVPVSQAEDMLKTRFHSFRNDVSQETAIRTLQ YSVPQDIRDFIQMIQPTIKFGQFSAQENQPDLEPVATRFDDLNVNCSTTVTTKCLRRL YGIYDTEAKPDPRNKLGVSGFLDQYARYDDFRAFMRTYEPDHTDANFNVVSINGGRND QDSSFASTEASMDIQYAVALAYNTDTTYYTTGGRGPFVPEVDQPNVGSSSNEPYLEQL HYLLNLPDQDLPAVLSTSYGEYEQIIPESYANVTCNMYAQLGARGVSVIFASGDSGVG GSCVSNDGTGRTRFMPTFPATCPFVTSVGGTYGVDPEKAVGFSGGGFSEYFQRPAYQD ESVHGYLDQLGDKWEGLYNPEGRGVPDVAAQARGFVFVDHGYFMKLGGTSAAAPVFAA IVSRLNAARLERGQGRMGFLNPWLYSLNNTGLTDIVDGGSVGCTGYSDVGGRASIVPH ASWNATQGWDPVTGLGTPDLNTLIKVACSTEGT ACHE_40400S MAAELALLKQPVALRPEHIASAPTTLRIKHANRTGVDYKVTTVA PEASSSKDSESTVLFTVDGEFASWSQRRVFRDANGLPLFNLRRKSTGVTFFIEIPGDE DRPLATFAPRSSVLKDKCDIYLANAAADGEEVMLEVRGQDIWKRWTHVYFNGALVMRT KLADMVAVYVPGKRISWEAEVAEGMDLSLATAITVFLAENLYNSSYPSSYSSNTKKPE DADPTDTVHIAPTK ACHE_40401S MSHPLVAAVWEQLGRTDISNILREIIGDHAAQLAVSQVQNGLVA DVEPLVRMVFWIKAIFDLQRSYLQGLTSFRECPPSLLPYLLPLETIYSTLMYLIRVKA ELLPMTAAGVRDRARYRYFLAEAVLAGVRLLLLRGESLHAEMRSNLERAMKTAWQHPG LTNEEGYLVNVLLPRAINDIGGADASNAQSPLAASGRHYLPSFNSGLYPFLSVPETHI TDLLTGLVGSGPDHTLSFWTLFDTLWASESALIQCYVDSRRIPQQQGDPNTTSSNIEE AFVQTGNARKRLARTILAASDGQTDTPALKILASIILSQTGDGNPPLQTRKVRDAWNQ MASFRNELDCSLSHFVRWLINRRVQLWDCNGELEAVSHEYQRHLTLWLHNSLPAGAER QDNEADKAGAVYVVDCPFVHPVPKALLEEQLIRNGSDTDELQTIPELLSIDASCPLCP EGTMIQHARIIEPLEHLSSALQSGSESGSILSRRSAFGSISGRTASRSSSMSHSTRRS IGFGDSKPSSPSNSMPKMKSSQSLYAMANSLNHLSAPWLDKNQQKQAVKSLSRELKSV KLALPVRRASSIRKGLLREQRLSRQPKFCFSSSGKSLFFWGEDSSCISRFDIPMIDGQ KPETLRYDVSGVQCVAAGEQRCAVISLVGQHYELLIFGPNGVSAEALVTIDTPGLTLR SDCMAMSRNDRHIAFILENQIHVYELGNMYDLEARSIRKVTVNESPRWAQEKAATHRK IAFSVDGNGLVLTRLESQSVYFDVWHRQGDVWDIAKGGFYSIKNTSIDGSLTGAFYDN LHHSIFMTAFSSREYPLLFSTSNGEATGSPFSTKILHAAQSPSGSRYAFANGLNEIYI CDYTPDGPFNPIRIKKASSKISSSAFRPGKLLLSFLQGNVLLVFWVKDEKLVLRIARL NDNGEVISDYDLRADLERLMAERTPSASSRTQSPRIGVPSSPVVKRGPSISLRPDLPE LASN ACHE_40402S MSVPEFEQSRRLSQAESQTVLRAEIPLKERFFRYFQHEITALQE QMERLADTSLVGGERTDATDHCLAGIARLSNEVKDAASYIPTYDQRIYAEAIKALQDK LAETRAVVEPRSKFSFKTKKNPASISLSDAAEMAFQGYRGVPGYRSPGTSSVGSSANN TPLYPSTPLNEPDKILQQHRPEVVPTSVPSISVGDTEEKPKEKEQKAFAANVLSSVTV NNHHDLHIMLPASGSASAVPASITSLRHCVVDMSIPTANGKPYASLTVKGVEESLLVC GQIDGPAHITGVEHSVIVVSCRQFRMHDCRDVDVYLSSTSNPIIEGCSNIRFGRTPRA YVSHLSSNIFLWKVNNPQALDHDRPDNEDRWSQVEDFEWIKPEPSPNWSLLGPEDAVP EGVWAEIVPGGPGWSLDDILRAIKLIQ ACHE_40403A MVAASTVAAKNVVNQTTCGGTTYTYNELAGYGFVPSNATDKYGD TLGGYGSSAAIDQSSWRKTGNGSYAGIVYTLPDRGWNTNGTLNFQSRIHKLAISLTLA PHASAQNPSEPNLRLKYLDTILLTGPDGEPTTGLDADITGHASYPGFPPLPVATYEGD GFGGAGPGGKRISIDSEGLALGHDDTFWISDEYGPYVYKFDKYGKMLLAIQPPDAFLP RRNGSISFNSDSPPIYAPEKISTPEDTETGRNNNQGLEAIAISPDGKTLYTMIQSALD QEGGPEKQHRQPARILEYDISSPDTPVYKHEYAALLPKYRDYTKSADDKKAYRVASQS EIHILPTGDFLVLARDSDFGNGQENTRSVYRHADVFSISNATDLKGSKYDSANGSIAS SKGVLNPGITPVEYCEFVDYNVNSELARFGMRNGGKSGPEALNEKWESLALALLEPES SSSGDGKKEYLLFSFSDNDFMTQDGHMNFGRFDYADELGYSLDNQVLAFKISF ACHE_40404A MAATRTSSRQAAQKAKEAITGTAEPKSQGTTGTKRKGATEKAPE SKKGKKGDKEPKKGPGENKEEVDQKAKEEEPPKPSHNEERVKEEEKEAKEEAKEEKKD EGEPSKAGAEAEGKSAEDEKPSDRIEAGVRKSQEREEIVPSNILEKGIIYFFYRPRVN VEEPHSMKEVARSFVVLRPTPIGATIDGKQGSLEPGASCRLIVLPKKKFPTSARERDM AFVEKGGQSMRELKESFISGGKYETSTRGERTVEEARPYAEGVYAITSTKRASHLAYI ITIPDELGSVQEDFGLRGRGSWIVQAKNPKFPGPASAQLPKKPEYPEEIHEKFADYRW VPLEPVFINYPNAQFLMIGEAQDELGKAAIAEPGGKKENQEQPGEELEKLEHENEERI EALQGDATVYQDLGLDAKNYPQVPTTWDDHS ACHE_40405A MNGERYPGNYYVQDNPSTHSQFPKNNTFAAYQELVVRPYAVEEP DDETEDRIWETTEPIPQWQRELVESLDELACESDTSASSLRSSPTRGQKRKSASTSSQ DRYPDQSPSLDPDLRSDDSESGTTNMSPKRRRRKGQSKQFRANLDAADAAFLSHHNYG LSMSPSSESQFADASSSGSTSNGVATPDEMDMD ACHE_40406S MQSSPNMLTKFESKSSRAKGIAFHPKRPWILVSLHSSTIQLWDY RMGTLIDRFEEHDGPVRGIDFHPTQPLFVSGGDDYKIKVWSYQTRRCLFTLNGHLDYV RTVFFHHELPWIVSASDDQTIRIWNWQNRSLICTMTGHNHYVMCAQFHPTEDLIASAS LDQSVRIWDISGLRKKHSAPTSISLEDQIARANNNQADMFGNTDAIVKFVLEGHDRGV NWVSFHPTLPLIVSAGDDRLIKLWRMSETKAWEVDTCRGHFQNASACLFHPHQDLILS VGEDKTIRVWDLNKRTSVQSFKRDMDRFWVIAAHPEINLFAAGHDTGVMVFKLERERP ASTVYQNQLFYITKEKNVKSYDFQKNIESPPMLSLRKLGSAWVPPRTVSYNPAERAIL VTSPTDNGTYELIHLPRDATGAVEPTDVKRGPGSSAVFVARNRFAVFSAAAQQVDIKD LSNATTKSIKPPAGTTDIYFGGTGCLLFITPTSVVLFDIQAKKQLAELAVSGVKYVVW SNDGLYAALLSKHNVTIVTKTLEQVSSLHETIRIKSAAWDDAGVLLYSTLNHVKYSLL NGDNGIIRTLDQTVYLVKVKGRNVYCLDRNAKPKVLEIDPTEYRFKLALVKRNYDEML QIIKTSSLVGQSIISYLQKKGYPEIALQFVQDPQTRFELALECGNLEVAVEMAKELDR PNLWSRLGVEALAHGNHQTVEMTYQKQRHFDKLSFLYLSTGDSDKLGRMAKIAEHRGD FTSRFQNALYRGDVEDRIQMFKEVDLYPLAYLTAKANGLTEEAESILEACGLAEGQIS LPTLEEPIRVAQPVVSTFKSNWPVKAAAHSSFEKALLGEVGLDEEVEADGLQPEEEEE EAGLARETLEDEDDDVDGWDMGEEINVEEDADFVNVESAETGAGSTEADLWTRNSPLA ADHVAAGSFESAMQLLNRQVGAVHFAPLKGRFLEVYKTSKTYLPATTGLPHLVNYVRR TVEETDIRKLLPVIPRDLETIANADLQEGYAAMRANKLEDGVQIFRRILHAVLVNAVS SEAEVEQAKKIIATAREYILAMSIELERRSLDTESPENLKRSLELSAYFTIPKLEVAH RQLALMAAMKFAFANKNYSSALSFANRMLANGGSPKLLDQARKIKPQCERNPQDKIDI EFDQFAEFDICAASHTPIYGGSPSVSDPFTGAKYHEQYKGTVCRISNVTEIGAPASGL RLFVPGQY ACHE_40407A MPEPTRDEQTSEANLPKGVVLDKDGKPCRTCTSAASWRALSKQA AQSNSNSQDKPQSSTSPASFPLAQSITSAASTSTLPSSSYPASDNQCPPDVEALGHST WTLLHSLAAAYPTRATPEKQDEMRTFLNVFSKLYPCWVCADDFRNWMAEPSGRNRPRL GGRSEFGWWMCEAHNEVNRKLGKKEFDCRFWEERWRTGWKDGRCD ACHE_40408S MPPRKALLDSDSDPDQPLTSSRPSDKQLAKALRDVVANTFKSGK VEELTVKRMRLAAEKALGIEEGFFRADSVWKARSDQIIKDEVTALDRDSQEPEEREEK QEANFKEESLAPAPKPAKPKAQPKKSTPTPRKRQKTATPQPENDEDEASDYKEEEQEE KEVKKLARKRKATPQKTQQRTTTSKDIVEGDSDSEEKQAFQPSKDKEEKQDASESEMS VVLDEEPEPSRKRQKSSEAPKGKRTTTTKKKAAPKAKDADQDPDQAEIKRLQGWLIKC GIRKFWSKELAPYDTSKAKIKHLKEMLADAGMKGRYSLEKAKQIREERELKADLEMVQ EGAKQWGTGSPEAEEASDSVPRRRRLNRGRQSLAFLDDEDGEETD ACHE_40409A MANLPNLRRLFVEARSEAEENEYSRTAFYNLVLFISSVAVFSLT AQRMSGAKSGK ACHE_40410S MAMNRIRGAFAVPRKGETFELRAGLVSQYAYERKEAIQKTIMAM TLGKDVSALFPDVLKNIATNDLEQKKLVYLYLMNYAKSHPDLCILAVNTFVQDSEDPN PLIRALAIRTMGCIRVDKMVDYMEEPLRKTLRDESPYVRKTAAICVAKLFDLNPAMCL ENGFLEMLQEMIGDPNPMVVANSVTALSEIYHSAPETKALQVTSNTLRKLLMALNECT EWGRVTILTTLSEYKAAEVTESEHICERVAPQFQHANPSVVLAAVKAVFLHMRNVNTE LSKNYLKKMAPPLVTLVSSAPEVQYVALRNIDLLLQKQPDILQKELRVFFCKYNDPPY VKFQKLEIMVRIANDRNVDQLLAELREYALEVDMDFVRRAVKAIGQVAIKIESASEKC VNTLLDLINTKVNYVVQEAIVVIKDIFRKYPGYEGIIPTLCKCIDELDEPNARAALIW IVGEYAEKISNAGDILGGFVDGFNEEFSQTQLQILTSVVKLFLKRPDKAQGLVQRVLQ AATAENDNPDVRDRAYVYWRLLSNTTNPGAPKNIVLSEKPPILTTVQSLPPTLLEQLL NELSTLASVYHKPPEQFVGQGRFGADAVQRAAIEEQIQNARENPLAAAAAAAAVTGTT PPPQAQGNVENLLDIDFDGGAPASAQKEPENGLSGLEGLSGTPVRAQSPATPAAAPSN NLDDLLGVFGDGGAPAPSTTAGPSTAAPSGGAGADLLNGLDGLDLGGNSTTSPPPAIS GGQQKKTNEDILSLF ACHE_40411S MAQTDYKFEGWMGLDKDSVDGKMVWQEFEPKPWEETDVDIKVEC CGICGTDLHTLRSGWKPTMYPCCVGHEIIGVAVRVGSSVTNGIKIGDRVGVGAQSESC LGRLGDCPDCAMGLEQYCSHKFVGTYNSTYINGGKSYGGYALYNRVPAHFAIKIPDSI PPSHAAPMMCGGVTVYSPLKHGGCGPGKRVGIIGVGGLGHFGLLFAKAMGAEQVVAIS RKADKAADSLKMGADVYIGTDDEPDWATKYARSLDLIVCTVSSSKMPLNDYIGLLRTD GSLVQVGLPDDGVLNAPIPRLMRRLTVGASLIGSPGEIREMLELVAEKNVKPWIEEIP MKDANRGVVDMEAGKARYRYVLVNEQ ACHE_40412S MSHTLSQKYLSTRGASYGLSFEDVVLKGLASDGGLFIPEHIPAL PVNWQSEWQNLSFEELAFQIMSLYVSESEIPANDLKDIINRSYSTFDHPERTPLIELD SKKNLHLLELFHGPTRAFKDVALAFLGNLFEYFLVRKNQGKEGKERHHLTVIGATSGD TGSAAIYGLRGKKDVSIFILFPDGRVSPIQQAQMTTVLDANVHNLTVQGSFDDCQDIV KALFADPELNSTHNLAAVNSINWARILAQMTYYFYSYFSLTKTPGYNKDSKMRFVVPS GNFGDIMAGWFAKRMGLPAERLVIATNENDILDRFFRSGGQYTKNDSAGAGVKETHSP AMDILVSSNFERLLWFLAFETDSAASEDERRKNACQSVSNWLNQLKSQGGFSVPTAVL EGAKADFESERVSNDETIAQIRSTYLSSFPTNLTPGSARSSKTGGYILDPHSAVGVAA SLRSGERNPTISHISLSTAHPAKFVSSVDLALRDQDGYNFQEVLPKELVGIEQRESRS TPVPAGAGWQGVRELVKAEVDQELQGLR ACHE_40413A MVKGTLALLALAAPAFAGVIERGEGKDHWGVHKDKHSSSPHVKP TPESTNTWEQPSTSATSTWSSSTESSSSITSSTASSPSDSATTSETTSSWEKPSGSSH HHHHHETTTTPHWYTKTTTITTTTCPVTSSVVTSGTHTSTVPVTQTSTITLTTTTLCS TKPTETPTVKPTPVVTPSSSVPDTTVINSTPCTWATIGTSTLPVPVTTHSSSKVTPVP SSSKSSSVPDTTVINSTPCTWATIGTSTVPVPVTTHSSSKVTPVPSSSKSSSVPDTTV INSTPCTWATIGTSTVPVPVTTPQSSSKATPSPEKSTPVITPSSSVPDTTVINSTPCT WATIGTSTVPVPITTPHGSSAQPTPSGSKPEHSSQPSKPEHSDKPSAQPTPSGSKPEH SSYPSKPEHSGVIPSGSSPSTPGHSGKPSNPEQSGQPNKPAPSGGVIPSGSSPSTPAH SGKPSNPEQSGQPNKPAPSGGVIPSGSSPSTPAQSGQPSNPEQSSQPNKPAPSGGVIP SGSSPSTPAHSGKPSNPEQSGQPNKPAPSGGVIPSGSSPSTPAHSGKPSNPEQSGQPN KPAPSGGVIPSGSSPSTPAQSGKPSNPEPSSSEPSGESPVSPGGGSESEQPSGVSPES PAFTGAAGKAQPAVGVLVGLVGLMAFF ACHE_40414S MPHSVSPGKSRSPDNAEDEVMQDAPATTNAQEGTGVRLEEMFDD DDDDDEFPASSASDNKMDNSEAPASSGPPPAEVDTDTMLAFYQRLFPFRYLFQWLNHG VVPSPDFGNREFALTLQNDAYLRYQSYATADLFRKDILRMNPSRFEIGPVYSTNPRDR KTLRGGQMKPVSKELVFDIDLTDYDDIRSCCTKANICGKCWAFVTMAMKVVDTALRDD FGFEHIMWVYSGRRGAHAWVCDPRARNLPDDRRRAIAGYLDVIRGAGGKRVNVKRPLH PHLSRSLEVLRPYFAQTTLIDQDTFEGSEQEQRLLSLLPDGSLNDALRRKWASSPGRS SKNKWADIDALAESGQSKSLNTTSLKNAKQDIVLEYTYPRLDSEVSKKMIHLLKSPFV IHPGTGRVCVPIDGRKAEQFDPLSVPTVSQLLSEIDAWDAANPSSSAGAEVAEPEGSV ADGSDARGSRKLQDYEKTSLKPYIDYFRSFIAGLLKEERTGKRERNKEGAEVKSESME F ACHE_40415A MLTLRTFARSVPRTVSRSIASSAPSALRPGSTIPKSLFQSQFKQ VARPSYAAFSTCRAFRQAAEGDVELVAKLEDELSHEKASGLNDLETSVQNIQYVLQNN SFEVKDVPGEQEVVLTKKFNNEEIRLTFTVADLQNLTEQEEFDDALGDELDYEGGHQP ANQGRGGNIAQHSEDRVAPSDREMDPLDRDVEPSFPARVNVTIEKPGNGALLIQTVAQ DGLFQIEEVSYFQKPDLAHAQTAEKDWARQSLYAGPPFENLDEDLQTFLERFLEERGI NAELANMIPDYIQVKEQKEYVRWLESECPPYHYPMLGQLANRTTDVKNFVSA ACHE_40416A MPKSFNKVHKQISKKRGVIEGLHENSRDAKRLHRANSRDDRVAR MQTKMARGRNSYLERILYFQEHVPEGSGPFSDDDIRELVTRHINRDVPEIEQLQHERR KGRPPSKREEALLQRTDAENKELKTGFWMPDLSNEDVVKALPRWNQVWSSLSAMKFIR FTSDGGKQPSTFPPKGLS ACHE_40417S MGIKGLHGLLKSIQKPCHLKKFSGQTLGVDAYGWLHRGTVACAV DLVLDKPTTKHIDFVLNRVRMLLYFGVTPYLVFDGDDLPSKSGTESDRHQRRQQSKAL GLELQRKGRMAEAYQEFQKAVDVTPYMARQLIEELKKMNVQYVVAPYEADAQLVYLER HGMIDGIISEDSDLLVFGAKRLLSKLDQHGDCIEINRADFTACREVSLIGWTDADFRR MCILSGCDYLPNIARLGLKTAYRCIRKYKNVEKALRMLQFEGQYRVPADYLDNFKQAE LTFLYQRVFCPKAGKLVTLTPPEDVNLDELSYIGGDVDADIAVGVARGDLDPTTKEPI VLKPLAPSRPVLGIQRRQTLGSSAELKPKPNKPISTFFTPKRMPLAELDPNSLTPSPS QQRLLERYANSSWDSSPAPAGLNAARSAPSLRRSQTTRVSSPLARSAERSSFLAQASK ASTFQPAKRQRLCAEAEEGPLPTLANCRSRFFVHKDGESSLSGQKSTKSEKARNSTIG VFSDDSAEDIMSELPDPTQPDGSASNEIDTPCKTADQREAGLVAPTPATPVALPADEG QKEEESFENAAVSETTIPEDTVPEPTPEKSVSADSDSETFHQVLNYHVERQNTSILSK FTFKPGDSPGVSRKSSLGAMNAQSPRTSPAGLLLGPRRSPARRQRSTPLQRLGQSALS RSRSMIVPGSKTVPPPPTFSTCSAANQHLAAPKIFSAQGSEDMIIPNSEDESEDDAND SGASQGPVSLDLKQFSFTGSGTD ACHE_40418S MAINIAQGFARFIPMVGYHHVLMIIIAVAIILLSLLLAGCSSSS PQIPDIFLISMYYERYKPTFNLAQVDPGVVTATANIVGGAEMEVRVGYFGICIQPDGG SYICNSNATALAEVVTVDQDPLNLIWVAATFKDAVVFPYLLIIAVILAFFCFILLATF PGWHEEVDGTGSEKEVKPFPSRAVSQVALALIFIASVFILVSVLWQHTASVAASTIAQ DMGNGSVKSGVGSSAMVLGWFGFGLMVVTTIGLLVMILSIRLIRQLTDDEEEA ACHE_40419S MHQILIIGGGPTGLTTSLSLSHQSIPHSLLEKHPSTSIFPKAVG LNTRTIEYFRSLGLQNDILNVSAPPETVSQTCWYTSLGLGVGPDGNGTRTRTGTGREV FTRDAWGGGRYKGVYGRASPVRYTICPQIRLEPVLLRRARARGVSPGVVRNCAEVTGV EELDDRVRVRVRYASPSDDDGKEEEEEVVEEARYVIAADGGRFVADTLGIKMQGERDI ASMVSAHIRAPISRYHPNRHALITWFIDPELGESIRTGFMYHVGPYPSTPETEEWIFA CALLPHEKASGFDERAMLERLHRTLKIPGLNVELKSISHWNINAVVAERYRSKGGRVF LVGDAAHRIPPWGALGLNTGVQDVQNLVWKLGIALNARDEREQRKLHKWLDTYEEERK PLAHQVAHTSLSDFRQHTLVVDRALGISPDASPKDNVSSLQAYLDKMNPDGDELRTNI ANAQSILDREFSALGFEVGWFYPSCDVDNEGARTRHGGQLTEDGEFDNTTYRPSAIPG HHLPHAWVLKEGVRVSTRDLVMNPGGKNQCVLVTTAAQPWMALQSDWVHVEVAVEIPP GPEEVDLAGLNGIEKNGAVLVRPDGIVLWRFKGPDQVFKKAREDPGRFVRRLLGIDGR DRAMKM ACHE_40420A MTAKKAQNSDHTTKTATTTSKCSDENGNSLVPDKRDAMKSAKAV VSENRYAAGLDPPQLPLRTITGLKRWSIANRELPATSLVRAIHVYDFDNTLFLSPLPN PQLWNGPTIGFLQAYESFANGGWWHDPNLLAATGEGLEKEEPRAWEGWWNEHIVRLVQ LSMEQKDALTVLLTGRSENGFADLVRRMVDSKKLEFDLVCLKPEVGPNNERFATTMEF KQALLENLVLTYEQADEIRIYEDRVKHVKRFREWLEQLDRRLPALQSTGSRRFLNYDV IQVAEGCTYLSPVVEAAEAQRMINSHNTIISRNPSLNMTKSPFGRLCIKRTIFYTGYL ISNLDSNRLIDQLLLPNLPHGLADSNDLKYMANSILITPRPAPRSILDKVGGMGKKLS WQVTGTAVYENRVWAARLTPIPAHEKYYTDNPYPIVVLAVRRGARPIDAGKIQNWNPV AADRALTLDTVVGEKVVLRVEEEIPNEGEWESQFTNKNNKRRHQQEREEDTLYPQSRQ QPNGYTGPSPNRPRHHDDGPRRGGYRGRGRGNGPRGRGSNRGRGRGRGRDTGAHPFYK SLDDYGHEGGFDDKPGSGVMNY ACHE_40421S MPDDVELRQEILQRTLEEVAQEKKQHQNHRNENAEEEGAANPCV ICLDAIAEPGIAIPCGHANFDFLCFLSWLEQRPSCPLCKKEVISVKYDLDAAEGPKIY KLPPPAPPTAPTSTTAPPSHRPHRPDFRRGRRPPREAQRPRAPEPDDPLLRRRHVYRN QLYSLRVGSNRLSQYRELTPELFNCDEQLVSRARKWIRRELRVFSFLNPDPEEEDEGP GPSRNNRIAHPGQQRLENRRGNNAEFLLEYVIAILRTVDVKGSAGQAEELLRDFLGRD NARLFLHELSSWLRSPYMSLEDWDRNVQYEDTVGRPSRVPGPRGLEHDRRSTPVFGPD RRSGRGRISKPYSPRRPYDRGRSRDASTQARRIQSARDRYIPD ACHE_40422A MATATLAATDLRAELKEWERAFSAANGGRKAGRHDIKNNPDIAA KYKAYGRMKALESAADKDKHHDQNESTLEERPKKRKHTSPTGPGHEQSNAATPRKSNK GIFATPSRPRVNHPADLDPYDSPSVLRRLFSPSTHQQSTPLKAAVGPTPQRDGKALGL FDLLSESGGSTATPSATRIASLQGAAVQTPSRRNRMETIAEEDEEEEEENPRGGRTPA SSGKKLYLENLFATPTTMKYAAMVEDEEDRKPLTANVYAASEAAASANRKAAGPGETP SFLRRSNAGRSSNGIDPSTGGLSPIAARKPPQFVGKGLSALVQGLRDMEEERMEDDMD VLREMEAEQGAMDNNEIDMEDSQAGDGASRKPYKKKGQKRSTRRVRMKPVVVPKAQTK STEPSGDDEEENEQAAIPETQFQGQSENHFSEDDDADDASLHSISEPELEDSDPDFDE PVTKTKSFAEKMKEAISAGSKNNPQESSQSSELAKAKAKQKEKAKKDKEKEESAKPRP RKVNPEAHANYRSLKIRNKNTKGRGAGRFRR ACHE_40423A MFRSLLSFGGAPREPEYIFPTVRPEEDGPDCRQDCADCTVKYPK FKIDESKPLYGHIKAYSTHVLVATGQSDWIEKVKNDKGSLMEAFAQNSSRSKHGKIMV SASNLQSPEDPAESDADSDAERKSTVLLLPSFTFIDSVRYTDVPELIDRFINSSPDPQ ANGHDGSQMTPRACPHDYVILLCSHRRRDARCGITAPLIKKELERHLRALGLYRDDSD ERPGGVGIYFVSHVGGHKYAANVLVYRKQEQQMIWLARVRPEHCEGIVRYTVMHGKVV HPESQLRGGFDRLRGVTSW ACHE_40424S MNDSTEIPSHDPPSDPPPRARKRRRRTMACTQCRSRKLRCDREY PICGRCQKSKNPAQCTYEDGFLWQQPNTVPATTVFSGAAGGAPGGVPGGVSGTTATTA TNTSSTSNTNTAQETTVNNGCNHANHNPASLPRLADRTPLHTPPDSAITGWASRAQAQ TSGTERRTECEGKKDRFLETVLGAPKSAVNQDSYVNTEVLQRHPPGSSGHSGYYPASS HHSHHHSGPTHLPPLHHHHYSHYGYGHYNPEQDEEDEMGLASPSQQLDLAPRIMMRGK ETRTRFNGSGILANVMAQFPDIKSFAEDIRVSSPHMAQVRPDLERVKRGLWKKYPLNT PFPVPDTFSLVASLPSRRVVDDLVVLYLTYIESTHRILHVPSFLRELDHFWAQKDNPT LVSPAFVVELMLVLACAWNLADPEVLKSKSEDRLQCYTAIEWVLHAEKWLENASIKRP EITALRLYVLLIIAQNSHGMKRSKAWLSTGTLVKQAMLAGYHRDPSRYTKISVFNKEM RRRIWTTIVELDLQVSMDRGMSPTAQVSDYDTGPALNINDNEIQETTTELPQSHPIGE LTDCSFQTVMTQSLPLRLKICQLMHIPRISCHYDEILRMDWELVRYLSKIPTWATSDA DDLQTQHKVIMIRALLETRIGHCLLSLHTPFAIEAPKEPLFTPSARARLEVATMMLST QRRLHETARSLSLCNTGDWTMQAFCSICQALHASVGDGGGGSVTSLTRTLLGFPEALV TLAEAILMCLEARWLLVVKGAKEYFFMSTILALVKTKLWPSQGNMYKQEVIDRVITFA QTLFTRHANCAHLGPLGMGCFQTNQIPTLTPGPPLAPSLTPGFSGLLQASGLGITPPG EFDPFLDVYDFEDLTGITLGE ACHE_40425S MSQNMLFLSILLILATLPQWTAAIPAVRLPNKPHIFSETKNYPL PNLGNIQVHDPNIVELDGAFYLFKGGVHVPIHKASSLDGPWQKIGTVLDGPSVIEKQN RTRPWAPTTVQWKNRFYCFYTISKNGVRNSAIGVASSDSIDQGGWTDHGAVINTEKGH LADIYPLTVSNAIDASFIADQSTGKPYLLYGSYWHGIFQIPLADDLLSVEDEERLNAE HLVFLPEHKVKPQEGSFMTYREPYYYLWFSHGKCCDFHKGFPAMGREYSIRVGRSKDV TGPFVDKSGKKLLDGGGTTVYGSNHGLVYAPGGIGVLPENKDHQDIMYYHYLNTTIGF HNSDAQLGWSYIEYIDGWPVARAKASSAPPRANYTINLMVLMCMCFLILNLLRKHSSG LKLIASVAFLVVWVLVWLVR ACHE_40426S MSTNKPVPIPGPRGVPIVGNLYDIERDVPLNSMELMADNYGPIY RLTTFGISRVFISSHELADEVFNEERFAKMVAGGLKEIRNGIHDGLFTANYPGEENWA VAHRVLVPAFGPLSIRGMFDEMYDIATQLVMKWARLGPKTPIMVTDDFTRLTLDTIAL CSMGTRFNSFYHDEMHPFVEAMVGLLQGSGDRTRRPALLNSLPTSENAKYWSDITYLR ELSQELVNARKENPEDKKDLLNALILGRDPQTGRGLSDDTIIDNMITFLIAGHETTSG MLSFLFYFLLKNPAAYKKAQEEVDTVIGRRKITVEDLSKLPYINAVMRETLRLRPTAP VIAVHAHPTKNKESPVTLGNGKYVLNDDEVIAVVLSKLHRDPEVYGPDADEFKPERML DEHFDKLPKNAWKPFGNGMRGCIGRPFAWQEALLVVAILLQNFNFQLDNPSYDLRIKQ TLTVKPKDFYMRATPREGLDAIKLGTFLSGSDAPETSGATSRDRKAKVAPPPGETKPM HIFYGSNTGTCEAFARRLADDALGYGYSAEVKPLDSAMQDVPKKDPVVFISASYEGNP PDNAAHFFEWFSSLKEKELEGVNYAVFGCGHHDWHATFHRIPKTINQLAEERGANKLC DLGLADVANSDMFSDFDTWGESAFWPAITSKFGGSQTADTSKPKSGFQVEVTSGLRAS TLGLQLQEALVLENQLLTQPSVPAKRIINFKLPTDMTYQCGDYLAVLPVNPRSIVRRA IRRFDLPWDATLKIQKSSQSSGSASIPLDTPISAFELLSTYVELSQPASKRDLNTLAD AAVGDAEVQAELRYIASSPSRFAEEVSKKRASPLDILTRYPSIALPIGEFLAMLPPMR VRQYSISSSPLVNPSECSITFSVLNAPSLAAPPKDEADEEERYLGVASTYLSELQAGE RAHVIVRPSHSGFKPPTNLKTPMIMACAGTGIAPFRGFVQDRAEKIRGRSAGQDLPDE DKPAKAILYVGCRTDGKDDVHASELAEWSKLGAVDVRWAYSRPADGSPGQHVQDRMLD DRDELVEIFEQGARIYVCGSTGVGNGVRKACKDIYLARRKVIREEKRERGEEVEDVDE ETAAERFFEGLKTKERYATDVFT ACHE_40427S MPTPKTMKAITWEGKPFHMSLKDTTTPQLKDPTDALIQLTTAAI CGTDLHTYRGLAGSKNPPWIMGHEGIGTVIQIGQGVKTLKVGDRVVIGPTSCGYCNNC VRGKWTYCLTFHPETLLDFPGLGDDFGVGLGGTQAEYIRVPFADSSSFLIPPNSTEHD SDRDIDYVLLSDIFPTAWNGLSSSGFEPGDTVAVFGAGPVGLLCVYSAVLRGASRVYS VDYVPSRLEKAASLGAVPINFKDSDPVQQILAREPPSHPDGTDGGVRRSCDCVGFETL NAKLEREAGIVIRNCINVTQPTGGIGIVGEYPPAGLGASPGAPLATGKEGVFEIPLGT LWAKNLSVGSGAVQVKELQFVLREMIEKGVARPGVVVDEVLYGLGGVVGAYERFERRE VGKIVIRLGHGDD ACHE_40428S MKFSGAATVGFVSCALAMPTRVNERGLFPFPGLGDSKPSDLPKP SGTPDLSDLPKSSGFPVLGDLSKPSDFPDLGELAKQFGGDKEKRGLFPLPTGLPSLGD LPKPSGSGISLPSGLPDLSDLFGGGSDSEKRDLPFPIPSDLPKPSGLPSLGDLPKPSG LPDLSDLFGGGSDSEKRGLFPFPIPSDLPKPTGLPSLGDLPKPSGSGLPSLGDLPKPS ELPDLGDLAKLFGGDKEKRGIFPFPIGEFPKPSDLPKPSETSKPSGAPKLGGFPGFGD LPQPTDLPSLPKPSDAPTPTGAVPTPTAPVIPFFG ACHE_40429A MAAAITSWVLNPIQSLTMSRPRTRKLWCAVPSNLREPFSVACFS DQDDIETLKEKIWEKIKERIKDTAPHYSNLTLYSPVVQLNHEEQFRIDDGEFLHPRRM ITSNPLFPESKDPDVDIVVVVSGGATPQKQKCSESQHANISQTQPITKDPHVCPRERT VSRLAAILDEVNIVHVRGTPASGKTYISELLRHHYRKGGRRVSLIKKWEGLDFKNPWD SLVKLVEKWNEELGDAPITTFTTTSSKSKHDLSWVLTSNTVILVDEAQMTYSDDVLWN TILKGRQSSLFGYNFRLCLFCSYGSPEAGPDQTFFTPVRLSNQQCISLTPQSQQYSPP IGLFYDKEEFRDVVSRSIPVEYQETFTFDEGAQDYIFALSNGHPGAVESILSTLFQAY RHDIKYRHIKTLTEDHVIWFLEDTATVFQKLSTQPVNRSFPKIPEATGGISDVLNKIT EEGSIPFDINDASIKFCYQKGWIHRVALGGHDIAVLPSRLHEKYIEYSIGTMSQRLPV RFNSLPTLCKEILSKFSIMNLRHSAEGKKMSSASQPRPVEAQYQDEFYRGFNHVAGQG VPISSEWSRTKDGRVDFYIPEKKWAVELLRDHGEVDEHISRFKAGGKYHPWLEEKMIK DWIIIDCATSLPTKGFSEPRLWHAVFINDYSELQLYNHQKALIMSVHLYN ACHE_40430S MSKASPVQPASFADEAAEADTSIAADPIDNYSLSGELVNFWGIN GLNAKLDFNQVDGAMREKVNWLG ACHE_40431A MSAAPRPPFLPGSLEEFTEHAATHHSEWFQYCRLAYEYIEEAEA AITEARGQADQTSLKLQASETEVSRLKEELSALHLKQEKNQARDQGIIEYQKEQLRES QQKYLEALKEKDEALRLATPVVNTPARTPEPTAEIHTVAPVGTPASVDPPSTSSARLS ERLPDPDRFEGDRKDLRRFISQIHEKMNVNHDRYPTPQSRMTYVTNRLRGAPYAQVLP YIKKGICQLKDYEEILKILDRAFGDPNRVNNARNELFRLRQANKEFGMFFAEFQRLAL EGEMSEDVLPTLLEQAINRELRGMLMHNEPPNREYHQFANFLQDLENRRRHYENNPPP AARTYASATKPTNPVRPTEHPATLQPAENNTDVMDLSSAHRHTTSRRDRGECFRCGSK NHLVRNCPLPDNRPVGVCPAYLSPPSSLPRKPRTYTCVRTIPFPIPRPVSKRSESGLS RDQTMNERTIRISAAATQGLTVEEESYRSNLMILPITLSRHEKELLSYAMLDTGAEGK RFIDKEWAQDKGLELLPLKKPIRLETFDGQEAESGPITHYAQMHMRINDHQERRACFL VTQLAHYPVVLGLPWLKIHDPRIGFAEHTVLFDSKYCQEHCNMPMRPAKIRALHDIPQ KTRPKHLPPRPERLKHRDIAAVSMSACCAYARRSYRLFTVTVDDIEAALNPVPDEEDP MAKLPPEFQDFADVFSPREAERLPPHRPYDHDIKLQDGKVPPFGPLYPMSREELKALK EWIEENLKKGFIRPSSSPAASPVLFVKKPGGGLRFCVDYRALNAITVKDRYPLPLTKE TLNNLKGMKYFTKIDIISAFNNLRIKKGLEYLTAFRTRLGLFESLVMPFGLTGAPASF QRFMNDTLRDYLDTFCTAYLDDILIYSKTREEHTRHVCLVLEKLRDAGLFAKLSKCEF AVPETKFLGIIVGRDGLRMDPDKVKTIVDWETPTCVTDVQAFIGFANFYRRFIKDFSK IITPLVNLTKKGIQFKWNTTCELSFNMLKKAFTTAPVLRPFDWNKEVILETDASDYVS AGVLSQYDDDGVLHPVAFFSKKHSVTECNYEIYDKELLAIIRCFEEWRPELEGTPSPV KVITDHRNLEYFMTTKLLNRRQARWSEFLSRFNFKIIYRPGKQGVKPDALTRRSEDLP KEGDERLLHQSQTVLKKENLELAPDSSSVTLNVTTRAQAHSAENPIVNPPRTPAQTRR VRFADETNHNVPEPPQDIKNLLDSAYPLDETVQSILEALDKNATRHPKITLADCQRRG NYLFYRNRLYVPDHGELKAELLRQCHDKPAAGHPGRSKTYELMSREYYWPGMYQYVEQ WTQNCHTCRRITPSREARQGLLRPLPVPERSWQDISMDFITHLPPSHGYDAILVVVDR LTKMKHFIPCKGTCNAEEVAHLYTCNVWKLHGLPRTIVSDRGPQFIAQFWKHLMRRLQ ITNLLSTAYHPETDGQTERTNAVLEQYLRAYVSYLQDDWSEWLPLAEFAANSTRSETT CVSPFFANYGFHPRMGFEPVQPTNQPTRDAEEFACRMKLITEFVRTEIISAQARQEEQ ANRKRQPARCYQVGQYVWLDSRNIRTLRPQKKLDWKNLGPFCITEIVSPHAYKLDLPA SMRIHPVFNVSLLRPAARNPAPGQRQEPPPPVEVDGLEEWQVEDILDSRWERRGRGGP RLKYTVKWTGYDELTEEPASYLEHAQEIVTNYHRRYPYKPGPGLDGARP ACHE_40432S MMVGTGSESKMPSKEPLEDPGIKIYSFNIEKLNEENARYWFYAM ESQLKVQFAWQAIDYYYEVGKETYNQIRKDNLKWTKVDMKADMIIQQGLTPTIVLEIK DLPNAGAKWDYLKEAYLKSSNAMKAMQLMKMANWYQGSNVNAKDAYREIEQLGRELID MNGSKKIDIDELVVIWYLRGLREEYAMLKGTVMSSDVNLNKSYVLKRAIDFDQLRGGP TEKASRIQKKGTKCFACGKTGHRARNCLSKRDDHDASADESKRQGGRDGRNKKPNRFS KQKGKSAKEGGSMAGNNNDDDDNDDGGYMSEYGTRAIEVADISEETAMHAAECAVEYT AEDAGSCVGDGHVDEDSDVQDGFTCEEAQFPEEHDACATEDVQASPITEYKIEWAKYI IEGAHRVRMDPSRWCFDSGATSMCSGNRSIFEYLDETSRGHLIIASGTEMPIKGRGIV RFNLPNGMSARLGRVVYVPGLAENLLSLEVLHMAGFQSIGSRKGYVLKKDGKVVAQGK RDGRTTYLHSVRHVNALFIGPKAAKRQQYARMALSADEQTRMKQELIHRRLGHAGRSR FNTCVEYMELDELKLGKRDQLLHDNCEVCAKAKKVKKQSHAPIPRARKPLERVYMDFW GPNREGIGQERYYLSLIDDCTRYSWIFIKMDRRAESVMHTLDSWLRQVERQSGKVLLV IRTDNAAEFVALRPWAEEKGIELEFIEAETPAQNGVAERYNRVIMDIARALLIDSGIS KRYWKYAAVTANYLRNRTLLVKRKEDGHEKVDKDEKTPYELWHGHRPDLAHLRAWGCR VLFYHKPESKLESRAMEGTFLMYGKSNKQYLVLPRGGSELKLVTNPEFRERENGNLSE LSAGQVDIQSLLTSTVLPVGPPTEAPAQASIGMTSKRPTEEPAGAGLPVNEPFHTNEP TINSMPELLNMRLNKEDGEKERNSSSSTGGTTDKPLKLSEVQPEGEVEQRAPVEYTNN EVLEKEPREQADETPKDMPKPEPVPEDGRHRSELEMERRSARVRQPSERMMESRQTEQ MYGRKRKAEGEDTGNNDRPAQRMRAHFARLAVAAELLQTDREYEVAEKACAAREKAGI RIPKSYSEAVNDPIYGSKWKEAIHKELSTLISFGTWELIPRKEAEGTISSTRWVFDVK LGPDGRIDRFKARLVARGNEQSDDDFNETFAPVFRIDSLRILLAIAAQLGLLAHVLDA NNAFAGSDLDKPNCMEIPEGLQDFDPDVTSTRGLVLELKKSLYGLRQSANLWHRKISD FLKKIGFKPITADPSVFINGRGLIIAVYVDDIVIFGKDANDIDVVKQKLKEFHPMTDS GLVKKLLGIRFTWGRDRSIQLDQQSYAQQILDEFGMADCKPVSTPIGPSIKLEAPDSS LLGRAEHKLFRRLIGRLIFLVIATRPDIAFAVNQLSQYLAEPRKVHLAAAKHVLRYVK GTMGYGLTFGAKGSPKGLYAYADSAYANSAKNRSTTGFIFFINGTPITWSSRKQSVTA QSSTEAEYMAVSEAAKQAVWIRHFLYAIGKGSIYRDAPTTIYEDNQGAIKIADNPINH PKTKHIAVRYHAIRDHIGNGEICLEHLSTDKMIADGLTKVTNHASQGRLVEDLGLA ACHE_40433S MANLKKIAVIGGTGAQGMPVVKALAESGKYRLIVLTRSVESSRA KVLAALPNVTLLQGAQDNQQDLHRLFRGVYGAWVNTDGFTLGEKDELFYGFRAYEIAR SERVQHYVWANIEYAMDAANFDEKHHCGHMESKGRVGKFILAQGQEDMKSTLFSTGPY MDMLMDGMFVPIKQPDGSFMWANPAPSDVRIPLIALCDIGVYNLWIFDNPSESAGIDL QVVTDNVSFGEIARIFTEVTGRDAVHKTIPFETYAHLAEPYPGASVNWVLGPEAARDD SIMSWRENFEAWWRYWGDGITKPRDLSILDRIHPNRIKTLAGWMKHVGYDGERKNVLK MVEDWGIKNGAPPAR ACHE_40434A MHAGDAGLLLVARDFPSDISVNYISPWAGAYHRPVLDSSLQALK EINQVQRTYGFFKRVAANESAVSILIKGEEHFESPSQEYLDYQSVRTNI ACHE_40435S MPAKSVAIIGAGPAGAITVDALMQEKTFDSVRVFERQDQSGGCW IYRPNEPRLPLDIDKLSARTADTPIPIPPQLPCHAPAVSQNRFADSHIYPTLETNVDA SVMSFSQEAIPPVRSERSIALHGPDTPFRHHTVVKKYIDDLFNRNGYQDLVEYNTTVE RAVKSPESGKWELTLRRGEKGNVDYWWTESFDGLVIATGHYSVPYIPAIPGLKEFAER YPGSVEHTKQYRGPEGYRGKRIVTVGASVSAADTAVSLIDHAQAPIYTVTRGKYNVYF GGHAFKHPQLSERPPISHISVDNRTVHFEDGTSAAGVDHIIFGTGFTWTLPFLPDIPT RNNRVPDLYQHVFYQQDPSLVFIGAVGTGLTFKIFEWQAVAAARVLAGKASLPPLHDQ RRWETDRIAERGDGPSFTLIHPDFKEYFEEMRRLAGEPGEGQPGRKLPVFEQKWVDDF WAGHERRIKMWTTLNGEGRGYRL ACHE_40436S MPQGNTLPNQAPSLSYWQRTTRSFPHLHANNTNPVPTSAKYVVI GSGIAGALTAFELLEAGVNAKEVVILEAREAAGGASSRNAGHVRPDAFRGFTAYSKVH GKEQALKIIANERLVLQKVDEFVNKHSVACDFHRTMTFDVCMTPEFAKYEAASISAYR DAGGDVSHINYYTGTEAQEKTKVPGAVAAYEWSAGSSHPAKLAQFLLNSVIGRGARLF TFCPAMAITQSSDARPGLWNVHTPRRTLTTEKVIHCTNAHAAFLLPLLDNYITPNRAQ AHSLIPTAAFTGTNILQNTFSLRYSLHHFYSLVQRQADGTLILGVSRSNPTLSRETID GQLSTDDSKYNWEIAQDALRSFGEIFPSYEPGALMHGEGLDHAWTGIIAMTADSVPFV GEVEELPGQFVCAGFNGHGMARIFTCAPGIVKLVLGKQWEETGLPECFQISTERLGRL SSQAVPSVW ACHE_40437A MLARFFIGLLLACIASVHAQTFTDCNPTKEDCPADPALGTNHTW VFNGTQDENIWTTTNGEIDWTENGAEFSIKKKLDSPTLQSKFYIFFGIVESHVKMAKG GGIISSVVLQSDDLDEIDWEWVGYNTSQVQSNYYGKGNDSSYDRGGYHDVSNADTEFH NYTTYWTKDKVEWWIDQELVRTLNYEDALDGKNFPQTPSNIRFGIWPAGDSGNPLGTI EWAGGEVDYDKGPYTMVVEKVRVHDFSSGKEYEYTDKTGDWESINVVEGNSTTVTELN KPPPKSLSEKWDELPTGAKAGVGIGAGAVGAIGIAAMILYCIRQRRKGRLEHALDDSN WNQDRAEMSNFQSDWKQSEFRNKGYQPVS ACHE_40438A MAPILARQSSDSGNTCPSTISGGGIAGIVIGSIAGTLLLIWLWK VCTLKGAWSGGEPDVGYVPGAGTSSRGRRRRRRTSSPSVVEYVEKGSRSRPRYEPRRP ARVYMT ACHE_40439S MNSPVVSSNIHQRSFTITEYILGLQRNYKSYTENQPSCTAITII TTVPPAAVTTAVLEALEAPVALVVLEVLEVLAAPALTIAPVSRNSVHRALVPTITRLH TTTSKVPGPDSAVQVPTTALDSVLGLGLMDIITDPDTMDLDIVVLVRPVSDTMAVHLG TMAITAIMDRTSLTSNTITDFWERFCITVTINHNP ACHE_40440A MSTIAKGRPSRRSAPRRSYVVESTPDSEDSGNVTPTPSNHGGAD DDDEEEEEEEYTPVPKRTSTSRQSMPRQSMTRQSRRQSTASTASSVSSASRASSRAST ASRPVSRASRASRAPTAEPATPSTTRPARKSRRSMSGEPVQTSQASDSNEDGDSVASM GEEPQSPSQAAAATLKRKKTSASPQSQAPSRRSSIAPLADITDSAVNQTPKPTEEQKS QISIINPNTTVLEKPMDIMMKSRNVAPPEPQEPEGPKTRLMMTTLVLTNFKSYAGQQI VGPFHASFSSVVGPNGSGKSNVIDALLFVFGFRASKMRQGKISALIHNSANYPDLPYC EVEVHFQEVMDLPTGEHEVVPDSQLIISRKAFKNNTSKYYMNGKESSFNTVGAFLRDR GVDLDHKRFLILQGEVESISQMKSKAANEHEDGLLEYLEDIIGTSKYKTPIDEAANEL ESLNDVCVEKNNRVQHVEKEKAGLEDQKNKALSYIRDENEMAEKQSALYQIYINECLD NIRVTEEAILQMQELLNLELEKHEGNQSGIKDIEKTYKRGLKEYESMEKEVQSLTKEM AKYDKESVKFDEKKKFLVGKQKKLEKSMHSTRLAASECQSLVEKHTHDIEKKTAETNE LEKEMKVEEQELSTIRDGLKGKTQGLSDKITAKQRSLEPWDEKINQKQSAIAVAQSEL DILRERSNAGTVLLEEAQSKVSSIEETLAAKQDDLEEHKSQKATLEEEVAKLKSDLKK YTAREPEVRTHVSSARQKADEARASLHSTQNRGSVLTGLMRLKESGRIDGFHGRLGNL GTIDEKYDVAISTACPALENMVVESVEVGQQCIDYLRKNNLGRANFILLDRLPRRDMS SIYTPESVPRLFDLVKPKDPKFAPAFYSVMQNTLVAKDLEQANRIAYGARRWRVVTLD GQLIDVSGTMSGGGTRVARGGMSSKQVADTSREQVAKLEGDLEETERKFLVFQEKQRN IESMMREKTEEIPRIDTKIQKITLEIESASRGLADAQRRVKELGAEHKPSKTDAGQAA VLEKQIASLEEEIEGLREQRGGIEEEIQTLQDKIMEVGGVRLRSQKAKVDGLKEQISM LAEEISNAEVEKSKNEKQIAKHQKSRADAEKELEQVSEGLEKLEADVENQTNDASGWR QRVEDAQEALETKKGELKSMKDELDDKVAGLNESRAIEIEMRNKLEENQKALSENEKR GRYWQEKLSNLTLQNVSDLGDDEQEPAELQTFTKDELDEMNKESLKAIIAALEEKTQS ASVDLSVIEEYRRRTAEHSSRSADLATALASRDSAKARLDGLRSARLNGFMEGFGIIS LRLKEMYQMITMGGNAELELVDSLDPFSEGIMFSVMPPKKSWKNISNLSGGEKTLSSL ALVFALHHYKPTPLYVMDEIDAALDFRNVSIVASYIKERTKNAQFIVISLRNNMFELA SRLVGVYKVNHMTKSVTIENKDYITGR ACHE_40441S MAPWDDFDAIFSFNRNFVYDGKVIEQIISNRRALENRLFADRLL GLLGVKAVTKLYPPKSNSDLRTLVGHITSSPLDIHHKQALIYYILKDCRAAGDAATHF ARRCHLPEKYRLFIEGLWNLDRLEFRRAVEFLTEPSIIPTFPDEILYALTLSNLPKHD DSLVMAYYFTVGPPLATEKVQKAFFATICRASITEAFYFTRKNDESLRRSYLEQLIVF VHKTTAGQIRSKRAMELIGLPLDEKEEQWFEEALLQGRAKALHGAKDTVMMRRLATGK LSGLPADLESLGGKKVDGLNWDILKQSMPVRNT ACHE_40442S MVVCNFFLQGRCRFGERCKNEHPGQATMGTSGSRFGALAGGGGF GGRTGPQAQQAQNYSVNADDIKTDLTAGKGRPEWIFSCYGPGRNAPRQLFGGPQREQS FEELRLRHYEAAATGNAEQAVQEAQMLYAESVNQMETALRDISGAIKYVLDGANEHPN RIDITEGKTGPIPGPGPGPFPQPSAIGTSPFGQPSGFGQAGQAAFGKPSGFGQPSAFG QPSAPAQPSSFGQPSALGTGSAFGQPSGLGGGSAFGKSAFGQPSFGQPSTSQPSAVQP SSFDQPAFGQPSFGQPSAPGAGPFGKPTTASPFAQISGQAQQTGGFGQPSGQTASPFA QIAQQQQSSQPAPTGFGQPSTAGFGQPSQTASPVGQPAAPFSQPTQSPFGAVAQRPQQ PTPSPFGQPAGGGFGQPAGAPTGFGQPAQAPVQAPVPSQPTGAGPPPVIKVDDSKELN PIPPLNGQTIRNSITQKPTTWKGQPVKEIDDALCYLHPQDRQTWVRIFFPNGPPEQAS LRDAQGKPEEYTPEVTEQYQFFLQNGYFKDGVIPSVPPKTEWVSFDF ACHE_40443A MSFQKPEKDFGEGPKVHKIRITLTSRKVAALEKVCSELIDRARS KSLQVKGPVRLPTKSLHISTRKTPNGEGSKTWDKYEMRIHKRLIDLLAPTETVKQIII NIEAGVEVEVTIAA ACHE_40444S MADLPPLAPVPPPVVRTTLQQAEWEACIDAWTVLLGVRIDVPAK IFQDVASKDESTVLFLLSFYDQLATSGEAGLKLGSKGRTLRKLSFLLTRRILLDLSSP PADLLAWDFLGNLCCCYPSSAALKRLLSDAWNKSHETITASVEKAKSSIIKKLMNNKT AETPAVNADIRTLTILASTLPACGQVLMAGSDYLDTLSDVYQAYPRETLRRVLVANVY VGLTSLLKSKPNLSSLLDQLFGLKATAGVGTVKTRKEPTLLSDLVCSSDLLARLEKHL RDHPQKRGEDLVSSLRTYQTESRVFHHRYQKKKKTVEKGKGRDVPVSGGELHAHRMSL VTQIQDLFPDLGSGYVTRLLDFYGDNPETVVAHLLDFSLPPELQSLDKSEDLSIPQSI INPDHMAPKSPPSEIAEPDESFARKNIFDNDVDLAELAQSNDPNARNKLRFGREDNLT ADDVLADRSKHAANKAAIISALATFDSDEDERDDTYDTADVGGTVDTVPASTDAEAEA QNRRATAEDLDVSLFKSYKSNPGLFARDAATRRSQPRTSLKGETGMTDEAIEGWAVML QRDPKRLSKLEDRLALSAGTPGGAIAQPELPSTSYRRPRADEESEESDAHGGYGGRGR GRGRGGPRGGRGRGRGGGRGGGASGEQNTAAARQRKEENKGSRANHNRRQQRAKKIAR GGGLPG ACHE_40445S MFRSMLPRTSPRAGLRAAAPQSAQSTFAVPSMTFLRTSRRGYAA EAGDHDVVIIGGGVAGYVAAIKAGQAGLKTACIEKRGRLGGTCLNVGCIPSKSLLNNS HLYHQILHDTKKRGIEVGDVKLNLEQMMAAKDTSVDGLTKGIEFLFKKNGVDYVKGTG ALVDPHTVKVNLNEGGEQTLRGKNILIATGSESTPFPGLNIDEKRIVTSTGALELKEV PKKMVVIGGGIIGLEMASVWSRLGSEVTVVEFLGQIGGPGMDAEIAKQAQKILGKQGI KFKTNTKVTSGDDSGATVSLSVEASKGGKEETLDADVVLVAIGRRPYTEGLGLENAGI EKDEKGRLVIDQEYRTKHPHIRVVGDCTFGPMLAHKAEEEGVAAIEYIQKGYGHVNYG AIPSVMYTHPEVAWVGQNEAEVKAAGVNYRVGTFPFSANSRAKTNLETEGIVKFIADA ETDRILGVHIIGPNAGEMIAEATLAVEYGASSEDVARTCHAHPTLAEAFKEAAMATHS KAIHF ACHE_40446S MAGNSSSPLPDPAADLNWSNFNGAIHEIFARNANEHPERLCVLE TKGLRSPERQFTYKQINESSNQLAHFFLSNGCERGDVVMIYAYRGVDLVVAYMGALKA GATVSVLDPQYPHDRQKTLLEVANPRFIVHIQRAAEEAGPISESVSQFIASSLSIKAH VPALKLQDDGQLTGGASVGQDQDCLQPYEPLKQQMPDVAIGPDSVPTLSFTSGSEGKP KGVQGRHFSLTYYTPWMQQRFGLSKDDKFTMLSGIAHDPIQRDIFTPLFLGAQIVVPH RDSIAHELLAEWMKEHRVTVTHLTPAMGQILVGGATAQFPSLHHVFFVGDLLTKKDCR RLQELAPNTSIVNMYGTTETSRAVSFFEIPSKAQQPLYLDDLPDIIPVGQGMLDVQLL VVDRNDRSRICDIGEQGELFLRAGGLAEGYLGDDERTKQLNESKFLTNWFVDPAVWTR EYERTASQAPKDWMKLYKGPRDRIYRTGDLGRFRADGAVECTGRVDNQVKIRGFRIEL GEIDTHLSHHPFIRENITLVRRDKDEEPTLVSYIVPEAKRWFQQLAEDGNLPSEPEPS TEDESLVTMLKRFRSLSEDCKKFLKTKVPHYAVPTMFIPLARMPLNPNGKIDKPALPF PSTSDLALVNRRASRSTSLQPSLTETQQKVAGIWAGVLPNISARMLTPKSNFFEEGGH SILAQQMLFKVRREWKDIDIPMSAIFQSQTLETFAAEIEHAQDPTGLRLDQNVHASST IVDEAYSTDARDLVGKLPATIPKSTSSETAGTAFLTGATGFLGSYILHTLLETNKEMR VIAHVRCKDAAAGLQRLRGIAEAYGLWREEWSGRVEVVPGDIAQPQLGMSSEDWDRIT QEADLVIHNGAQVNWMLPYSSLRPANVLSTMECVTLCTSGKPKRLAFISSTSTLDTDS YVNLSQQSVASGGSGVLETDNLEGSAKGLGTGYGQSKWASEFIVREAGRRGLVGVVIR PGYITGDPVSGFSVTDDFLLRLWKGCIQVQARPDIPGNTINQVPVTHVSRVVVASVLH PPVEPLGVVQVTSHPRLTTNEYLGSLETYGYNVPQVPYREWCNMLQNYVADDRNTGSR ELALLPLFHFVVGDLPSDSLAPELDDANAATSLRAFGVSPEQLSDRAVGTETIGRYLA FLVATGFLPSPSTTGVKAIPSVDTQRLQAMGSLGGRSSKP ACHE_40447A MSLLKCPNEILLIIAEDLPPCQGDINALAQTCLRLFYLLNPFLY RYNTRQHHSSALSWGVTQGSGNTVRNSLEAGAPYNKSGRITGTIGRRRCVLGCALSEL AGKSYFCPEFYEQPISSAARNGQLDIVRAFLEFGVDPDYPNPAGHTPLCLAAQGDHLE LVRFLLVNGASPALKNICKIFPLWHATWRRVSRQRLKIKCKEHLQLLHERDRIKWLKP LRERGVNSEQDVGASPVTLEVIPKVLD ACHE_40448S MHNNSSSRSLIVARQHQQLQRQDGLHGMTLNGVGIADVEKEILQ NIYWLCLSSTTWAFMQIDQPFSILGPDGLPGFPSMDENTSTVLRLDQASGNISTLHSQ IQTMRWMWPLSHVTSTVAHVYMLYLNTPTKDRIFQPVNSSTLSLEIRDILNQAIRLVE REVTTTNLQSFLLIAYNTIVIYMLFSPAQIASSSFSVDEFCKSTSTLLDIAQRFPSLL PTGLVFTQRKYIASTLALAFYTCSRALVLSCREHEQQPTDPTTKAQTKFFSLASQLRK ACKSDIVSSCVSIIQPVKKRPKRVQSAFQSLITSDSPAPSLSLDGELNFTFDSVSWSG LGNQDLGDDPAQFEFADPEFFVDDPAWGSLLRFPGFARISTGTTNLDDQVDQPMPICP VESNNDNHDLFLGGQDLTTNHFNAALHDDAAEIDHLR ACHE_40449S MTPNNNDNDNDGPMVDIIISGIGGKLLFDGPLKLDPTLDNQTIC QDAVRTILTAAREVAASSSSSEASSSSGAGNDGNKPTLIVLNTTGISDKRDLPFAMMP LYYWMLKVPHEDKKVMEALIRDEMAKPIEGRGVGGYAIVRPSLLTEGEGDGLEKVRVG GEEDPAVGYVIAREDVGAAFFLLSQENVRRSP ACHE_40450A MTKSADIAKTAVQSQKQQILSRRHIEGLIADGKHIIIFENRVLK VDAWIKFHPGGDKSIKHMVGRDATDEINAYVFRRLGVCQRWILTLALNCSLHSKEARQ RMLSFQIGRVQGVWLNFLPPIQGGKFRPYNDESCFEEDSSSGQETSQPPSPVFDAVDG KGTLRRRKSTSTTTSVSTPGSDDEFEPKPFFLDARTQEEIVFDINKYPSLDTTSQESI RQKYRELDQRIHAEGLYNCNYFSYFVECCRYTLFAGLSYYFLHLGWYGTSGFFLGCFW HQLVFSAHDAGHMGITHHFHVDSVIGMIIADYLGGLSLGWWKRNHNVHHIVTNAPEHD PDIEHMPFFAISHRFLTNLTSTYYERIMTFDAAANFLLRFQNYLYYPILLFGRFNLYV LSWQYLLCGQAPKKGPAWWHRWFEIAGQVFFWTWFGYGVLYRTIPDWGSRVLFILISH MVTSPLHVQITLSHFAMSTADLGVNESFPQKMLRTTMDVDCPTWLDFFHGGLQFQAIH HLYPRIPRHNLRRTQKLVLEFCRDTGIPYAIFTFLDGNKEVIGRLGDVAKQVRILEEC RKSCAQQGVFSDHH ACHE_40451A MFGSLLAWIFFLINPYANLSLNPIKPQQRLRPEYQESIPSNGPW EDIITGVYGVPPLLKIHDRRGRIKWTWERSDVTQALPPSIERCLLSSANDATELKWMR NGTSIAAIYSDLVLTINYTPDNPATDKLITWAVCRQNEFLWNAHTLEPLPGDRMAVGN TGQRKWDGILVYDSNPDNPLVDDPPVLQNITGLRAIHNMIWDETEQMLWAAGTDFAAD GSDGVPAYGTLQAYPYNATTGELEKEDSLQYKLSATHDQKIEWGTKYTWWAGPHDLVP VPNQRVFLMSEDHDIHAFDVDRREFTEEGEAVVAKYLPGFETTSHNRHGYNGAGEWEE LPRSDLKSFSVAPDGAYLYVQSLWRKYRGDHTNLVVNGHKNDINIGDEIYRSRWFADI PGWPKPVT ACHE_40452S MLAHVRENAYSASPRHTYSPAKQCYLQAISIKDLIMATSTEDSL STLIQTWLEWDQDPSTRTEITNLCDSDSTTELTQRLQQRIQFGTAGLRGRMAAGFSCM NSLTVIQASQGLAKYIRDKHPEIAGDGVVIGHDARYNSDKFARLAANAFVALKIPVWF YGEASVTPLVPFGVTDLRAAAGVMVTASHNPAQDNGYKVYFKNGAQINTPMDTEIAQC IEENLAPWPDAWKARQPDEYLRVDAYRKVLAHYRDTVSQYTKSTITEWTPPKPFVYTP LHGVGGLVFPDLCRSMGINEFTPVAEQVKPDPEFPTVSFPNPEETGALDLAMQTADRE GKSLVIAHDPDADRFAAAEKIDNSWFVFTGNQLGVLLASYLFESQDFKENTAVLNSTV STSMLEKMTVAKGIHFQESLTGFKWMGNIARTLEASDYDVPFAFEEALGYMFPKICHD KDGITAAMIFLSAEAQWRSQGLTLYMKLQKLFEEFGYHETLNNYFRSPSPDVTAKLFR AIRNGPYRTDKKLGSFKILRWRDMTEGYDSGTQNNKPTLPVDKGSQMLTLWLDRGVHF TLRGSGTEPKVKIYIEGCGGSREEGINAVCDAFLAVLREWVEPFAPSMTYSKQLPTSS GHVFRVN ACHE_40453S MAHPRHYPRVNRGCVAHPPPAAERSPEINHNHNRNYHIHNAHQN PKPKPKPKANPIDPHHQYHQHQHQHQHSMTPPPSPPPETADPPVQHHVHYHTYPIPHN LRLPTPIPTEIAEKVQDTDKEINHHRHSHHHDHQYHHHHHHASRAATTPMPTPKAHLC RHGHRHVHGQFAGHCKHHQRHPRTHMHTHTHTHVHNHGPAPAHAHGQEGRYAYGPPLP VFVMGFPTGRF ACHE_40454S MADLIPSWNLVHKLEKRSLLVGINCVAALSILFFGYDQGMMAGV NNSKDYIDLMGFGHTKLEDGMLTPVVTNSLLQGGIVSVYYLGTLCGALLGGWVGDRIG RIKTIAMGCGWAVLGAALQCSAMNHNWMICSRFINGVGTGILNAIVPVWATEVADHTS RGQFIAIEFTLNIFGVVLAYWLEFGLSFIDGGKSAFRWRFPIAFQLVFLLVLLTAVWF FPESPRWLVKANREQEARYILGRLRGSTGDDAIRAEAEFQDIQSIVEMENTVGHNYSY LGMLFDYKPGKLHLGRRVQLVAWLQIMQEWVGIAGVTVYAPTIFSIAGFDTMKSQWIS GLNNVFYMFATLVCVFTLDRIGRRWTLWWGAAGQAIAMFLAGGFSRLGIDARAAGEAA KADSYGAAAASFVFIFTAIFGATWLIGPWIYQAEVFPLAVRARGNAWGVVGWSIGNGW LTLLCPIMFDSIGEKTFYVFAACNVISIPMVWALYPESNQRTLEDMDLLFASDSWWVW EAEKTFARLKEENPGYMQTVAWKNSEADAEAGRIKDAENVASVEHRS ACHE_40455A MSTTITTATLPSSLSTSTSKPACPSSSPNTTFTTPNPNTSLTKT LHRISLHPTLTPYRRRLYRTLLSIPPGRWTTYSALSAYLGSSPRAVGNALRTNPFAPG VPCHRVLGSGGCLGGYKGFWAGRSGGATGANGKREGKGEEKRELLEEEGVVFDGEGKA RGVCFRGFVEVGGKGK ACHE_40456S MYLHRELSRQNVPYYFLGGFACINVAMTARTTADIDIAVPNGQN GYGVLLDILQRAPFVQDTEGFISRDSYYFLLNSQAALSKLAAFWCAGLLKLKISSWAN KSRRSGLKRDGDIIDINSIQNLLIQNGEKMPLKGLEGDAANGLWDWIQEFKDLKMWQL LDSSYKGH ACHE_40457S MSGSDQVTRRLRLYNRILQFGSPVEPRCEFCFLRGHTCIMDSKY QKCAECTRRGRKCERQFHDEKEWNRLEKSRKELRDKIRKVRESIATSYATLNRLERQE EYLNERGSRMLVHDSNMLERLDEENPPSAEDLQELERSANEEAARIAAVSKDLSLSQV MDSPSFWENFDSAVAGGIPSPTGGNQSSSR ACHE_40458A MSITLPARFDSLPKLCKEILREFSIRILRHSAEGKKISSASQPR PVEAQYQDEFYRGFTHVAGQGVPISSEWSRTKDGRVDFYIPEKKWAIELLRNHYKVDE HISRFKEGGKYHPWLKENIIKDWIIIDCATSLPTKEFSEPRLWHAVFINDYSELQLYN YQKVLMMSVHLRN ACHE_40459A MAAAITSWVLNPIQSLTLTMSRPRTRKLWCTLSNDLQQSFHMEC VADQDDIDTLRKKIWEEIKEESKNTAARNLKLYCPVVQLNYEEEFDVKNGEFLHPRRM ITSNPLFPESKDPNVDIVVVVVVSGDTTTRKRKRSESQANIPRTLPIAEHQLICPRER TVSKLAAILDDMNIVHVRGTPASGKTRLSELLRDYYRKEGRKVSLIKRWEGLNFKNPW GSLVKLVEKWNDEAQDAPTTTSQSEQDLSWVLTSNTVIIVDEAQMTYNDDTLWNTILK ERQNPNVYYKFQLCLFCSYGSPAAGPDQTFFTPVKLSNRQRISLTPQSQQNSPPIGLF YDKEEFKDVISRMLTFQYEERFNFDEGALEYIFALSNGHPGAVTSIVDVLYEAYRQDI KHGHIRTLTEDHVIWFLEDAATVFDKLSTRPIYRSFPDIPRATNGISNTLCKITEEGS IPFDINDASINFCYQKGWIHRVALDGDDIAVLPSRLHEK ACHE_40460S MENTFISEDPGFILQDDLFRSIETDLSQPQQEEENILIQLSDAS TKPLKVLKLEYTSDLPEYPSTDPNGYGYVINVPPNQQRETVEDMVNSIQYCVRQNYRN RPSSHSSFLGTSYTSSSYRCSGIKICEYAGIQLKNMHHTHVTDDLWTILQDIRQRIHE MERDTTKDAAYRFYRSAKNLFKNQLSCYHFQNSCQPKLTQSSIPNPLGGFDFYVRCIN APSDPAGHYTYRVPKNGSVHLQFLEGLLNNEIIMDMEECGAVESIKSKSLYCAYDHPQ GPGKLVHAKCNVTFHWLIPTDLSQNPYFVFMSHGVHTHVPPPPRKAPAKIMNGILQLI NQARSPSLTLGTFLKSPALQSFCAEHNCHTIQQIHESFSNMDPIQAVIRKQRLLHYPA GQNVNGVMFELGKNKDLQEYIHEVYQQNDQIMIICILKEQAELLHTLSSIEIDMSFKR VQSKEMKEVVFATYLADQKKIMTLCRVFTTEDTTEGYYILFKKIYHIVYKLTGKRITF RALHGTGLHALVMDMDNKQIEGLAQFLMEIDPDHHSRIWLLKNVLLLCRVHFLRGIRE TLQTHSLNPYIGTRMAALLECESEEDYHKWCDLLIKHEHPAIQNWARHKKSAVIAAGL NKYCSLIPHHIFDMVRKSTNAVEQTHNKSNRRGKQLTLLQAILESLKLDIQDVQQNRS YNSYGLRHRYATQTLEASFLRHMARSESARQTESNSPELNIQDQDQDHIFFPSSSSGR PLQRTPSRRGSMSRRGSSRARSSSSQVSLQRVATANSHEQYQNTELQNLEEELKINNL KAELLAKQIEIKKRERELRELELENGGQH ACHE_40461A MDDENKYQLQYSQAKGDTPMAEHDEGKAAEIPNEMLSYGPEGVK GLISSGFVFGAAFLASLGGFSFGYDQGVISIINVMSQFHEAIPGTETAFGKGFMTGML LLGAFVGCLFMPYLVDKISRKWALTLVVVIFDIGAIIQTIAQNYGMLVAGRAIGGMGV GTLAMGAPLYISEISPPNLRGTLLVLESVSVVSGVVIAYWITFGTRMIESEVSFRLPF GLQMVSATFLGIFIHFFPYSPRWLALVDRHDDCLKSLSKLRRLPQTDERVQAEFRDII TEVKFQQLIEERQHTGASGVKREALIWLSIFNRRTWKRTIVGCGVAFFQQFLGINAFI YYAPTLFESIGQTGDMSLILSGVFNCLQLVTVIVCFFIIDKIGRRPLAIFGGFGTCAC YIVIAALSAVYRQDWSVNTAAGWACVAMAFLFILIFGVSYSPLGWALPSEVFPNAIRS KGVALSTCVNWLSNFVVGVITPPMMSDIGYRTYIFFAVWCFLAGIWAFFLVPETSGKT LEQIDEVFGNSSSHEEREVMRMAAYSVDIGQGKPDV ACHE_40462A MDLPTWRPAPTAQIDLQKEWNDFRKRVKFDRPEFRVSALVNGEQ VSRTLVDTGCTTYGMVSENFTRKHQLERVTIKPRAIDDYKGPTDDCIKEVAKISLNVG GNHQNTAWLYVVPKLGRGLDMILGLAWIDDQQVFIDPNGPKLRFTNGIVVSSMEDQPR MDIQPIGANAFALWNRQKKKDSSVQIFAASLKDIEKALRPKLPTDPRTKLPPHYHKFL SVFDRKEADKQPPHRGPNIDHKIELNKNADGTTPEPPWGPLYNMSRDELLVLRKTLTE LLEKNFIRVSNSPAAAPVLLVKKPGGGLRFCVDYRALNAITKKDRYPLPLINETLERI GKAKWFTKLDVIAAFHKIRVAAGDEWLTAFRTRFGLFEWLVTPFGLANAPSTFQRYVN WVLRDFLDEFASAYLDDILIFTDGTLPEHQEHVRKVLGRLQEAGLQIDIDKCEFEVKS TKYLGFIIEAGKGVSMDPAKVEAIMNWAAPTTVKGVRSFLGFANFYRRFIRNYSELTT PLTALTQKDKPFVWDDKCEESFQQLKRMFTTAPILMQFDPDRETVVETDSSGWATGGV LSQYDDDGVLRPCAYFSKKNTPAECNYQIHDKELLAIINALKEWESELISVVNFQILT DHRNLRYFTTMRRLNERQMRWADLLSRYDFTLHYRPGKLAGRPDALSRREQDVPALGD ERLKHREQRLFDPEILKDGPVEGSSKRGLVEEPHPINVSRILLAPVGTEPYNSEPSTP QGYEQANEPTNLNSEQPSLEELLDMTLDEHWARVEPLDEKYGRIREAVQVGAPQFPCE LGIKASISECSIELNNRLCYRGRRWVPDIESLRTRLLQETHDSVLTGHPGRSAMYAIL ARRVYWPAISEDVRRFVRNCDKCSANNVWRDRRQGLLKPLPIPDRKWRYIAIDFIEKL PTSNGYENIMVIVDRLGKGVIPVPCEKINTYTVAQKLIQSFIGYHGIPASIVSDRGRQ FTNEMWKRFCELLGIKRQLSTAYHAETDGQTERMNATLELFLRSFCDHTQSNWASLLP MAQLAICSRDAASTGVSPFFLDHGYHVDPFQLEEDVEINLSAPDLGTMRERGERIAAK LRGALDIATTELAVAQQKQEDYANRRRDVAPEYQVGQKVWLDLRNIQTERPSKKLGSR QAKFTVLEKIGSHAYRLNTPGTIHDVFHTALLRPAAMDPFPSQRKDDYQPPAEMINGN EEYMVERILDERFRRWGRGERHEFLVKYIGWQEPEWNDARNMEDTIALDDWETYKTMN GIVIQSALSIPNEPPHAGGRSRRRRGGG ACHE_40463A MSKFLDSGFEAAVEVIDISHASIDGAAIADFLKNTPRLRILTYS HKAGRNVGFQDWDLCQFIMAIENEVGGHLEELSIRIGELHGSIVPGKVSICGFQNLQK LEPMCNLTSAASASHELLLSDLIPASVSKLSLVSHGKSHHEKALDALFCDLAARKDDQ VPALEKIYLSYPRDADSLYKEQCEKLAAETEKAGVLLHLKS ACHE_40464S MALEGLYEIMKGHATGTRDLHILDGMPNGKNYFTLVTKEFFQSN PNCIGKDDATDDVLAFTSLVLSYAKAVSDDLKADKSPKLRTAFMPRTDFNTFFKQVES KLPGNDLSLSSTFSPATRQTTKARFRKIDTALCSGKASEPKPNNKFGGLGFKNPAAIP HATMKIKSWIEGIGKSSGSTDMLSTFDKPIDGSIGGIGTKMESMLSAKRQVPLFEFQG LNTVQTNQLESWTNKVDATIQDIHKKHKDAP ACHE_40465A MDLYLSNTQSNMMFSHSTMTQTPGLSCPMEHSYSQGSEASTQSS FTYSDVCSSSPMQELEFLPCQSPFESSYDYEFSPPPSQCQYGFADAYQDVYPAANSSY VSSIPIPGSGCGYFDPFLATSASSISSSPPVQWPPQDVQLFPDPCHDISFETTFPKSN KPFPCSDCGKSFTRSADLKRHQTSVHYPIFQDCPIADCSRKGHNGFPRKDHLLEHLRA YHHVPVPKRGASKRVAKAQYPCL ACHE_40466A MTSRKTQQEIDKTFKKVAEGIQAFDGIYEKIKSTTNAAQRDKLE DNLKREIKKLQRFRDQIKSWAAGNEVKDKSPLLEQRRAIETCMEQFKAVEKEMKTKAY SKEGLSAASRLDPREKQKVETCDFLSNMVDELQQKIEAMEAEEETLHMQMKKGKKDIN KSNRLSDITHLTERHKWHVNKLELLLRALQNGNVETGQVLDLKESIKYYVEDGHNIDY SGEDETLYDDLNLEVDAEGQFGLGDNDRVSSQDTQSLQDDELETKPKSKGETPGPRRS STQMKSPLPVLATLHPSTSTSSTSGMKPAPPPTRLPGETLKYASAAAAAAASDKNGVG IAPLPPPPGASPAFPAAVPVSKPSSVASPSVAPAQPTPKPASAAALAAEERSRTPAFS SPKVAPAPAPVVEKKEPPSKEPITAATNGETGKPEQRDAEESIYHLPPGLQDLIQSFE VTKNRASTNPAPSAQRLLAASLTTCPEPADAEKPRHYKPQNPYNTPLYYPQEPLAIFD DPRLYDTGRIDTDTLFYLFYYRQGTYQQFLSAKALKNQSWRFHKQYQTWFQRHEEPKA ITEEFEQGTYRFFDYESTWMNRRKADFKFVYKYLEDEL ACHE_40467S MKAVIQRVKSASVTVDNQLVSSIGRGLLVLAGVGKGDDEKEADS LISRIIRCRLWPDDNGKQWKKNVQDIEGEILCVSQFTLYAQLNKGKQPDFHEAADVET ARRLYDYFYQRLREAYKPERVKNGVFQAMMDVELKNDGPVGLDYRSDEEAVTIEVNTK LPKKEKTPEGDKQTGKKPEKPEKSGNAENTGKAEGDSDKGGQTEGQKSYEFKLPATLL E ACHE_40468S MVESHRPRVYFDIKIGKQPMGRIALELFNDVVPKTAENFRALCT GEKGVGQQGKPLSFKGSIFHRVIKQFMIQGGDFTAFNGTGGESIYGEKFPDENFDLQH DRPFLLSMANSGPGTNGSQFFITTVPTPHLDGKHVVFGEVVNGKSVVRKIENMNTQAD KPITDVTIVDCGELTGQAYDDAAKQVPDATGDPYEDFPDDHQGEELSAPVCYKIASEL KNFGNTAFKGGDHLLGLEKYQKGLRYLNEFPEADENDPKELEGQLKALRFTLHSNSAL LANKIGQFRNGKSWATYALEVAGPAGAKDADKAKALYRRAVASSGLKEEEDALKDLQE ASKLAPSDAAIINEVAKVNKAIKDRAAKEKAAAKKFFS ACHE_40469A MVPSNDIMTDVPGTVYLVDASGSLDAARSGRDILLVPQPTHTQA DPLNWPKYKKYWSLFLISAYACIMSFGENNWGAAWTTISEETGVTLNNMNGGSALNYL LLGFVNIIWIPSAMKFGRKIIYILSLLFILAGGVWGGFFEGTAQYYLMMVVTGIGTAA YQALIQLTAILILLFIFTFDDTIFPRYRFRNEASTEPSKHDKTAQPSPTKDEKDEKPD PSQTITASHSAGEISMPPRTYLQKLAPIHYFKDDQTTWFQYFRRPFYLFLFPNIVLAG IQFAFGCTAGIVSFNTISEIMTEPPYNWSAGSAGLLFLAALVGNFIGMAIGSLSDYLV LTLTRRNKGYKEPEMRLYAYIFSLFLAAVGYFTYGWGATAGSHWMAIAVGLCCMIAQQ VSATSIATAYAMECFDQISGELVIVLAICSSVINFAISFSVQNFIDATSYGWTFTFYG IWVVLSMLMGAPMIVWGKSWRRRCKGRYEAFLAEMR ACHE_40470S MTPSLNPSSSILIVGAGTWGCSTALHLARRGYTKVTVLDPHQVP SPIAAGNDINKIMEHRELKDPNSDSISLAFATCTRAALNGWKTDPVFQPYFHETGAIV SGHTPELIKHIQEDEIDPTKSGNLVKLESAEDFRKTMPPGILTGGFPGWKGWLNKTGA GWIHARKAMVSTYSEAKRLGVSFVTGSPQGNVISLVYENGDVIGAETEDGIVHRAQHT ILAAGAGSDRLLDFKNQLRPTAWTLCHIRMTPEEAQRYRNLPVLFNIAKGFFMEPDED KHELKICDEHPGYCNFVPDPQHSGEIRSIPFARHQIPLEAEARARDFLSDTMPHLARR PLAFARICWDADTVDRAFLIDRHPDHASLLLAVGGSGNGAMQMPTIGGFIADALEGVL QKELKHVVRWRPEIADHRDWRSTQNRYGGPFKVMDFQEVGEDEWTKIVDAKSRL ACHE_40471A MGSVILPHLHSAWHVDQSILSEDDRLVIIRFGKDGHPDCLRQDD VLAKIAEKVKNFAVVYLCDIDEVPDFNSMYELYDPMTIMFFFRNKHMMCDFGTGNNNK LNWLLEDKQELIDIIETIYRGAKKGRGLVVSPKDYSTRYRY ACHE_40472S MGTGQIRTALKIDRGKPAWEQPGLHNRWHPDVPSVSTIAQNEVV KIECIDWTGGQIKNDDSADDIKNVDLTRIHYLSGPFDIESAEPGDVLVVEIQDVQPLQ DEPWGFGGIFDRNNGGGFLDEIYPKPAKAIWDFEGIFCSSRHIPGVRFPGLIHPGILG CAPTAEILAEWNRREGELIAANASADRDVAKPPEPKGAHAGAAQESLIEKIAREGART IPGRPENGGNADIKNLSRGSKIYLPIHVPGAKFSVGDLHFSQGDGEISFCGAIEMAGE ITLKFSVLKDGMAKMAMKSPIYIPGPVEPHFGPGRYLTFEGFSVDDQGKQHYLDTTVA YRQTCLRAIEYLRRYGYNDYQLYLLLSSAPVQGHIAGIVDIPNACTTMGIPMDIFDFD VRPEAEVVKRDMGSCAFASSSFL ACHE_40473A MANFEPNAIIRGAQLTAVGTVRALRNAELFKYDHFRQAALAVAV GVIIHVIIQIPIIGLKFIIWIASIFVDMQEATWDDTILNGINYLNKSVLQVPFLLMTL MRYVTPTLDEIFMESLKWVDQTYVQKHKAEDPSTLRAMYYPNLSQYSTKGSSSSSKPI GKSVMLFVQRYGRKVAMLFGVYLLSLLPVVGRFVMPAVSFYTFKQNVGTTPAAVIFGT GLVLPKRFIVTFLHTYFASRSLMRELLEPYFCRVPFTPEQKRRWFKDRQGVLFGFAFA FTVVIKTPFIGVLMYGVAEASTAYLITKITDPPPTPDEGPQFAETQVRWKNKHDFLHL SLENIDRLNLPSHHKGEETESPGFPGKKFS ACHE_40474S MSDFSNAPSVRIQPPRRSATLPSKLSLQKQRSSDSLRPSEDDLF FHPCAKVVHFAPRALAPIPSSTAPSDFDYPVDTIETLPWRSPTERTVAFAPLRLQNVH GLTVFLKCGGVVHAILKNSQCWCVDGESTFVLRVRPLTYYRIELPSSTENNENYVIQL KNVLPRILRYEITPCPFQRGFTVEIPEEFKMKKRRKAWRPKGRRESAPASSTASQGSS PKGDEDGCKGISVMKRGSACTVLETIPDENQLLSPTSVPEGWNIPRRSITDTQSFQTL LARFDNTKAESQVDPDTSYSSSADSFHSMDDYMHPESSARSTSTSPSSVDHNELDQDQ HYEPGKFFKDVDYSDNRPSTSYSDGSLSQAPESPTTRRKPESTPLKIPATSTEKPSAL SPIEANKDKMSREFRRRAKASTEREISPMPPPSALRRSNSFRKNDAKSIVQKTCTVVL VPSVQLLIILIHIAAQIVIGPALISMGEAHQGLEYQAPDSEDTVDDFDLPLQRSDSQD KKYDVWALD ACHE_40475A MAPHLSNEEFFASLTTLLSTTSQKTRGSVYLTQKPLLNTTTSTN GDDPSPVSRPSILIRATDGNTNAPNPKNPKNKIEKSKAKSSKVKISTVVAPEELEGFY GRYAEICKAGMTGLKKRDRKRGKAKGKGGAGKGAKA ACHE_40476S MKRPMKLTTALPRELRAELGIRDTYGEKKNRRNGPASRKERRRE ERSAGRKPQVQQRPAWEKNAMRYEEEDDEDEDENMLDEGDESDETPPAKSKSKATKPE QKQPKSILKKNKPVEQSDGSDDDEDNINLDEDEDEDEPAPRKVSKAVQDQLDEDDAEI AALEKRLGLKKGKKLPQSFQDDGLDDLLGDLGDGSEDEGKKRKREADEWLQSKRRKAQ GLQQAEPEGDDDEDDSDLASDEEADLLDDDDDGEMDDDAAEGEDSEFDGFDEEEEKPA PKKKENPYVAPVPQESQPAKYIPPSLRAASNSEDESLIRLRRQAQGQLNKLSEANLIS ILAEFEKLYREYPRQNVTSTIISLLMGLICERSALQDTFMILHAGFIAALYKVMGMDF GAELVQKIVQTLDSQGDERGKFEGKEHLNLISLISQLYNFHVIGHALVFDYIRIYVQD ITEDNTELLLKIIRNSGPQLRQDDPSSLKDIVLLIQPAIAKAGEQSLSVRTKFMIDTI TDLKNNKVKTVGSSISTEHITKMRKILGSLNNTRVIRASEPINISREDIHNSAKKGKW WLVGASWREDPLETARKELSSLPGAQTTQTQVQEDEDESEAEPDLASIAKSHRMNTDV RRSIFVAIMSATDFQDAHVRLLKLRLKRAQEYEIPRVLTHCIMEEDAYNPYYTLIARR VCGDLGRRLKISFMYTLWNILRRMGEKGDFDDEDGMSDDEGDESTQLPLKSIVNIAKM YGSLIADNTLTLSILKTLNFAYLQSKAKTFVELLIISIIQQSQKSKRNKNTESKSKKE DDEGRDEKALMDIFMRVQDTPQIVKGLIYFIRKVVAKTDIVPEKELKVVKWGCRVALD ALKVISSKDGVVG ACHE_40477A MTPSTPFRHPASRSNAGPQFASTPRFVFSQPQSSSTQHRGDEKE LVDDISNDSPSTVRYADRDAARGDVIEDAENERDIGDSRRDILHEELDSTSIASVELD SEFEDLFEPPERTKKRRRISPAPEPDNTPSRDYGGIQNDTILTSSPGAGPDSRLWNEE PPVTPFPRCPRPTQQPQFQDGPMPTGTPKPTTPATAKPAFRHYPRFLLSQKPPPSTQT SPASAPVFASQPPSSTPRRKPAFVLPRSPSPSATQDTAPLPTPFSPSSRTLRRRGRAR NDVPGYVPGGMAAEVRGWVLEMGTKREQLDGPVARSDMQAGVDVRKYFVTVRVVRMVK GVLRSSGAVASVEAELITKDTEGGEGGSRRILLMGSPRSRSRGSDLQEGSVIGIYRGL MWEIELDGGGVNDLVRGNLGVADLDDRRPSSSDDCERWLVVMAWDLL ACHE_40478A MGFFRTLQQKAHEHKSSTITAAKEAEENASYAGSYAALISEKQK APPKTLGGGNRTYNYNPGDQCFGSCCRNY ACHE_40479A MSSRPYRSKRQRPCDQCRERKLGCQTDAGLPCARCRSADLRCTF AKPPPKRPRRQLREGDEIGFTDSVDSRSQSQVHPSIEGGSTPSHPPSHQHQHQHQQHQ EEYPYPQPPVAAHSSAATTPANQILSSSWPQQLISSGRAPTQFVQSLDQLEGFSAQLF GASAESDPWLLRHCSFDDAGVKCFYKVHFRNAGGVPVPLRIPVHFMIAADDLAADSKT ETRVAAPGMNSREELNSLVPDEYGVRLVGLFVKYIWPALPLVSRYQLGLTPSCTVPTA EILDKIPAHLLAAIYATALPFAGQDDYLCVLHTYNNAPVDRLWRIVYELIAEEIHTPH LSVLQAGLLYLHKPLDEGRSATADTPFVWSWVGSMVGLACSLGLHIECRMWGIPAWEK RLRRRLWWAVYAEDKWRSLLMGRPPYIRREEWDVSELDGGDFFLQVQGAQGCTSISDV DVPFRYLVDLAQIAETIHESFYTLRAAQYLSENFAASHDTGLPLLEKLNIWYASLPET FRLPNWSKSVSGLAPYPASIHFAYLILVVYVYRAMLRPMARSSSPPLIFDVDEMPSTT TLPIEETSILDFADVPEIESFPAVDVDLSEVHGTGETTLNAAERCASIVISFTRRLTS SDFTGFWYSWSRIGFATVSNFTMLLLVQAPNAERAVKGKHLVDSWLRVLRTQSPSFPL MKLGLTRLDAMHWVGLGQTFVLPQHVQDIILGSGSL ACHE_40480S MAHPEGGLIANLVVLGSFCSITGGLGLMNSIGIYQAYISTHQLS SLSHSQISWIFGVYNFLIFFGGLQIGPIFDAKGPRALMWIGSGLLVVMFVALGFCEKY WHFFVVLGVLGGIGTSFIFIVPVASIGHFFLRRRGAATGLAMAGGSIGGVIFPIMLEK LAPKIGFAWATRAIGLVTLVLLFIGCLLVRGRQPEPLPSPESPPEKPKPKHELIRSLL PDLTILANPLLALTTAGVFLIEWGFFIPLEYITSYALYYSISPDLAYLMVVFFNAGSF PGRWIPGILADKVGRYNMLIYTNVLALVAVLGIWMPAQGNVAATIVFAVVFGFASGSN ISLVPVCVGELCPTEAYGRYYTTVYTIVSLGALTGVPIAGEIIQRTNGEYWGLIAFAG CSYAAGLLCFIAVKLLQ ACHE_40481A MSQSPQDLRIAILGAGMGGLTCALALAQRGFKNIDVYEYASDLG FVGAGIQLAPNMARVLDNLGVWKGIEAEAVNILDTSVRVGATDTQLAHVDLKYIEKTY GYPHMVGHRHSLANGLYSGCKQHPAINFHFSTEAETIDSFGPKPSFTATPRNGSGSYR IEADVILAADGIKSKSRVEMLKRLNINVGIKDTNQAAYRIMIHKDQIKDDPELLDLIN STRVTRWIGEKRHIIAYPVSNNNIYNLSTTQPDSNFAAATNATYTTKGSKSAMLGVFS DFCPMVQRMLNYVPEGEVCEWKLRVHDPLPTWIHGSVALVGDACHPTLPHLAQGAAQA IEDGAVLAVVLSRLPNTQPDSINKALRVYEKVRKQRAYALVDLAAASGRALHLGEGAA KEQRDKQFAALRQGKGPVPDKWADADVQREIYGFDCTKVTEEEFEGLFNGM ACHE_40483S MTIPTTIRSLLSLLRSILLRSDGGDAKISKHSQALFETTKRLLA EVVNEGLVDATIGGSKNNPYLYLHRRSPAMENDRKWLKVGLQPGIMLETRDGKVTAVV RPDSLQQPVVISNGSGEEEELDPGILFQFLSPWLVEDADEDILNEIALELGNSARNQG KPYIPVRESLDTC ACHE_40484S MLTPTLAFLSVPRTDLRVTGAFEATIQPLLSKLEIPSTSADRVV VPCLSRQLPSVHQRFPNAVVLKTVDNVADAQASMRTLTLRPEYDFGYHLKLSLACQIT SALRTITPWTTCGGPVQTALLEKFLPTDLWVFREVAAVSGAQDNFADAKHLSCILRDD LESRADANNERLVIAAALAQQPYNNTRSYAEIIYNMHTTTDKQSWLRTYVTRLFTLVL PPLARYGIGLEAHGQNLVARICRTTGEVKGFAVRDFGGVRMHVPTLKKFGVNFDSLPP GGATLTEDLHNVWSKVHHALVQNHVGLIVSALGLERAGGWGIVREVLGGVLEQEEKGM GREVAEYFMQDTMPFKCFLRMRMEGKYRDYVEREVPNVLLMDSPRWEKVLRSYEPTLH YT ACHE_40482A MRSQFLSLALLASFSTSTVAHEESTKNANHIFNAIHSSMRQWGS SLYHNGMSFFLASVPAGTQLYHGGMSPDHVIGIEWLAFEPEHAMLFARMPPMGSQPGI PPGRGGHKGGRPGRGGPGGPGGQKPLSLEPPEDGEPKKSGWLQTYVPVRDLRLLYIDG MSAGKTSNGTLDSQNRVLFNDTVHDDRIMNEFERAKDFCRLSREVWDDRLDGVLRMEA GFEIILCSFERDLHLLREVEVQANKGGIPRHLPRPGKDHNKTMHEIIPDAGPWMKAIT ARYHGIGGNRVKLNYDHFVTAYTYDLDIFGGASKPLRPRLNHIDSTKLEPVRQDLKAL IMGHDTDESSFDWQSVTDMVVERYARTLKSLVSADITTNTKQLHDNLKKVLDPFFDFG GRNITVEAERCATQFIPFTAPTQGIAARAVRSIADSICFTLLEAFEQPEYETAVSKIQ DLVEYLAWTTWKECQGCAYNEICVIPVWPMGIIEDWENPPCRDVRNPQGSGVEYWGWD FIPGPTELDDS ACHE_40486S MSPRSLLLTIDAFGTIFHPRLPVPEQYASTAHAFGLSRSLVTPE SLQPAFKEVFKAHAKRYPNYGRELALRGQYGGPRQWWEEVIRGSFGKVLNDNNGDLPE GLVKRLLDRFASKEGYALYADAEEFLMRMREVKKGLLQKQRFGPFEKVLVGVISNSDD RVPGVLKSLGLAVGDTRADQGVPSMRLAGFEERSSSSKQEDSEINDLDFIITSYEAGE EKPGQAIFDVAKQQAMRDAGADSENFSQWTCVHVGDDYSKDYQAAIDAGWDGYYVPRD GEVQGHQGVGTMRSLIELLSLLKGYK ACHE_40485A MSAPSTPRSTRTASPPGDSPAILTPGQKIKAMMAQFDSDSESDN ETTSKAQRPIARPNFTNNTSTNEPAQRKGAENADSDGDDEADDIIMPRGRMAARLQAE GQDGEANKPETAYDRIKKTLKEQEKGNQDDEEDDLPMAGPRRRQANKQNDSEDEESDR SPSPARSFSPLFMSSPATQRLALLEDNGSDGPDDEEPQPKNDTRLQALIAQKRKEREE RERIEAEKKAARAKQNEQFSSDVLSGEDSGDDNDRGSAKKLSQQARPSRKASKKALEE MNRETQRMSRNMQLAHQAQTKKKITKESFFARFNFGQPKTDNAGPLAENSSTTASQNS SDGEAQKDRETPRTSPVMGPADKPVSPQTAGDKGKETETEAMEFTMPPLEEVLAGTHL QHEEPIVAQAEVQTEEPQQKAPESKPQRKTLTKPPVRVQLSRQSVAEHQQEDSDSDLE VVTSPAKCRRVAAFENIKTKRSEESASMLKLKALAHLTSPDRQATSTNFAQLSADLLA QARKQAADERKQRIDELRAQGVFIETAEERQAMEDEIENLMEKARQEADDIAKQERKE KKQAQCDDDDDSDEGDYEPSGSEDEVQGDNDEVDEEEEEDEEETGETGAQNDENMIDA EAGEDEESVDEQSEAMSADEAAVPSTRRKRPIRVIEDEDDEDEQQHEPRAPATPINPI TPHKGSAARPEFPGLEGSGGLTMGLTQAFAGTLAGSGQDTQPNTPSVIPSLPDPANMV NGVEQSDSEILVRDSQGQQEQTADIFAGYALSEGGASESPAPRAMSEFSQVPEPTQDA GFVYSPFDPSKRFRPPPSTIETVVVERHETPDSPVVIKKNKHLRRGRTDDNQGTEEQS KGDFEIDSSAFDIMRKASKKKNIVPFDKQKSRAKDVVEDAAEESEDEYAGLGGASDDS DGEEDAYDQSMINDNSGEVVDEKLLARLNAARQRDDDAKQVEKLMRDITTGALRRRRG ADDDLDLDDPEDELLARRREKQREFARMRRALLADEKVNEIAENPKKAAFFKAIEDRD EEDDVDLEFLEYENEGGSQERSSQDVASEGQKEPATPETENNDNKRKRPLEPSAEDIA NRPPPHLRRKPASHMSKKPATLAEIRETVSFLTETHEYDSFHEDAAIDEEGDHDEKMA EAETPTAEEPKEDFVPRTHNHNHPRRTRGPVVDRLALLRQASSNSAQSSASTRFAFHA GAGNDAAASIGFRPPPLLRKNTANSSSSGSVSSGHSDSRKTMKAPAGASTAKKGAVNY YTAAREKERERAIRAKERSSGSNVAALLNKHAANRRGLGALGEGQWE ACHE_40487A MADDFDAELLALAGDDSDEETSPQVKQSAASPAPSQSRSPEPTN RKGTAKSARRGRKSRRDEEDGEVSDAESASMSESDSEAESSPDVDGDGPIFPYDKLYY SAKDKQEIMAMPEIQREEILSERAQQVDRHNQDIALRRLLASREREEARQAKKNKRKA SSAGIDDVQRKSSRQKTTLGGRKVGEASGAIEAYKRQREQKGKRDELRRRDPGKDVGR TKDESASEDDAEGESEAEWDDRDRSPSPPKDDPPAELRDIQRARVGRSNFAQVCFYPG FDNSISGCYVRVNVGPNRDTGINEYRLCLIKKFSEGKPYAMEGSNGRPFVTNQYAVLA HGKSEREFPFVACSDSPITDHEFNRYRQTMAVEDCKMATKSMLADKVADINRLLNHKF TNEELNEKLRKQGSLDSKTFVFKRMETEKQLAFARASGDDSEVDKLQAQLAELTGPKL AFGSSLNKPQPKKQSEHERLAELNLRNQRLNYENVRRAQLEERKATKKAAAAIARGEA AQDPFMRVRTLAKTHHDANGTSSVPPPKPDAPVDSRDATPTTGPDTPGKATPKRSDTP TQKKPVKGGIAGIRHRNMDDENIAALDLDLDVEI ACHE_40488S MYTNRTLRSCALACTASPLFLDFLVPSTLRVNRVRYNSTTTKKP IYQLSQRQREFLDSALRVNQAGELAATLIYDAQKPPVVRSHPHLRPLMKHMYDQEAGH FSTFNKYIAKHQVRPTAMYPVWEVAATVLGWSTAVMGREAAMACTEAVETEIGSHYNE QVREILSWAAEAERRGEELDDELKEMVATFRRIRDEELEHLDHAVENDSKEAKPYDPL VNFIRAGCRAAINVSERV ACHE_40489S MRMPWQQIYPGYYERPPDVLESLHFHAARVVSHLGKTQHTISTS LQLSTAPPAEDVRRAWIALRVKHPQLGALTDEAGTKVTYIVPSGEDLEEWLQESLTIY DTTDTRTADDVDANIQECRWLALYYFPSSRELLIRTPHWRADSIGLLLLQHDLLDILT HGPPSDTPVFDGSELARFPPTFTEGAGMPTEATPEMNKAVDESLAVMLVGSPPVTLRE VRPGTVPETSQRAVTRFSQDLSRRSSRPPRPEG ACHE_40490S MAITILAHAAPVEEKSRLIFFNPVNTRDHLPEQWSGAAGAAGIY HTGRACSIDLGSSQTNKDNNFDRFADLLSAHYRQDIKTLFGYMVPYLQRLEQAFTVPY EVGLHNPGTARPDLSSLGILEKYLNPRYEGPNASFEIQDWWLGMQVMGRSLHTYLWTR DDMLHIGCSYNETFYERKFVEGFLEDWKTALVDRLLLT ACHE_40491A MFESVFRHIKRRTISHLEYSCNPFQFVKFIPRHIFIKASSYTMS VQRAIQIQRPKVAKLVTDAPIPSIRDDYVKVKTVAVALNPTDWKHIDFLATEGAIVGC DYSGIVEEVGSAVTNLKVGDKVAGFVHGSNGDNIEDGAFAEHIVAKAAIQIKIPDNIS FEEASTLGVGITTVGQGLYQSLELPWPSTTSITTDKSPAILIYGGSTATGTLAIQFAK LSGFKVLATASPHNFNLLRSLGADEVFDYHSPTVGAEIRAATNDRLAHVFDCIASESS VAISAEAISSTGGAYSSLLLVKEFPRADVENKRTLAYTGIGETFHKGGTEFPADQSHL DFQVKFWELSRELLAQGKVKVHPPEVREGGLEKILEGAEDLKHNRVSGVKLVYRV ACHE_40492A MQIAQQLSPKIGYPWAIRVMGFIIVFDSVLIVLLARPRQFKRTK GPLVDPKAFKEPTYLFFAIGIFFTLWGVYIAYFYTTTFGKEIIGISENNSLTLLMILN AVGVPGRIVPSLLADNYFGTFNTLLPFVCAVSALLYGWIGVHSTGGFYGFVVIYGICA NAVQTLFPSALSQLTTDLSKVASRVGMVFTVGSFACLTGPPIAGALISKKGGDYLWAQ LFGGTSVILGFVLLSVARWTKGRS ACHE_40493A MASTSTSSSSLAAKEPFVSSESEETPAENTQTTVPEGSLLACSQ VLVSHLIVVNGFGYFSSFGIFQSHWIDTLNRSNSDISWVGSLSLFLLFFLGTLSGPIM DRGYLRHLLVAGCGFQILGVFTTSAVSQYWQLLLSQGIVQGIGNGLLFTPCIALVSTY FTKRRAFALSLAACGAPVGGVVFPIVSLCT ACHE_40494S MASLSLPVERKLFNPLWWREMLFQWQSQSHKISSASDVDAGTPE SKVVEVHQVNAPSKASSAYDFAKVPKDGVTITVTELESEDDLEVEEDRAVTIISEPEP TDWDKLASDILDVIGDYGLHTQPKDVDGPVTGWAGKSFFMDRVRTQVAKGHAIEMILP AFPWKSINQVDKVTGVLPDLGEELALSRLHQLCEDIKVVYPPGGEVHIATDGLLFDDV VGISDDNTWAYGDGLVQMAKSKGYDKSIKLFRVMDILGYTADTPLNKESYLSLAQKCR NEILEKYGRTEEEVREMMRDDPDTLLTYCGFIRFLETDLRHSPVAAHATSGQKYRKIV KKVAISMMIRAESFTKFLQAMKPEHVRLSIHPSSGNVKLSIPLIVQGSGDFPKSPWHS SIAVALDGTYTTVHSKAVRDTHRLMYKDGRPYFYREKSELWDWEDEDVVFEAQYPNTM LLYPRAGIKKSLTEEQLDKVKQLRGVHKGPVKVIGFENAAAGAA ACHE_40495A MTTIIEALCCDTINRQTCMTLLCLNMKQLFSSLLFATAACAAKL SQGLTQAQTNGLSTWGTLDNPQFPDFLPSHDGSTDNPWENLSNKRRDNDPYPEVPYTG VTRYYYFEVARDILSPDGYEKNGIFVNGQFPGPAIEANWGDWVEVTVHNNITGPEEGT AMHWHGISQKGTQWADGVPGVSQCPIAPGSFFTYRFRASEYGTSWWHSHYSAQWTAGA FGPLIVYGPKHIPYDVDLGPIMLGDYYHRDYFEVVEDAASNSTDLNVYAPWSDNNLIN GKNNYNCSMSKTNATCVDDAGLAQFRFQPGKVHRLRLMNIGAAALLHFSIDGHKMQVI AQDFVPVVPYEADVVTLGAAQRTDVLVMGDADPRETYWIRSNISTNCSNTYTSMAWAV LSYEGNDDIQEPTTDSTDAVKEADRKDFLCRNDDLNKTVPFFPQALKEPDITTTIQVD LYTNETGHHLWTMNNRTQRTDYNNPLLLLANEGNFSIPDPDWNVYDFGTSKTVRIVLN TVYQSAHPMHLHGHSFQVLAEGDGPWDGTIVNPRNPTRRDTHMQRRYGHLVIQFEADN PGVWSYHCHIAWHASMGYNIMILEQSRDIEDVEIPVYMEQTCKDWDRWSKYNVVEQID SGI ACHE_40496A MAGAQTSPNSHPDQYPLQPNVEFIFTSDESWSHIEDMALRRRIQ NKAAQRRYRRKIREQAASTAGHRIPVPLTMEPPPDLPWYPNSAQGPNTTRTREHTPAL SWNPETSAFRNGTPSEPWQYQGQDDLLFPPSGDLSRPSSASSCFSANAFSQDAGYLDQ TERGFYGALPIDDPFLAVGVPERNFQSSPLPLCSKDTNADRLAKRIKKTLDELSLLYD IGIELELVPQDNDMFTQLESLKKAFCKAMKVA ACHE_40497A MASYLVTGSSKGLNLGFCNILASKPASEVSKVFATARRHTDALK DLISRYPQRVEFVLMDVLSEESVQKATEQVEKSLGGKGLDVLINGVGIMPFAPEGVHT VNNLDFILNSNVLGAHLVTRNILPLLRKGNLKKVANISTSLGSITLAPKYHTHMPTPA YKISKAALNMLTVQYAMDFADQGFTFFAICPGWVKTDLGGEFADLTIEQSVNAILNVV FNSTPQANNGKFMNIHVPGWERSEGINQYNGGEIPW ACHE_40498S MNNESTSYYTSIIKSPQFTFLVGKDRTPMTIHASIVQGKSDPLA AMINNGLMKESTTGVAILDDVDVDVFGGLCEHLYTGRYTTPICTVNDAEKTYPEDKKV TRSRFPWIPYLNRRAMTSTNPRSPDFNDNNMRHAQLCISYYLTVSFRELNFDACRCAS KTSTPDIIFHARLYVLATRFLVESLREQSLASLHRDLEQLIDKTSDIGLIIDLLHVTY SLTGKSEPGGGSALRELVSHYAASKAPELSKHAMFRELLEASSDIGTDIIMNLTT ACHE_40499S MASLIPFLLLSSAVSAIPTPVQNQTTTSPSPWDSDAVSQYPIHS SCNATQRLQIETGLNESIALAAHAKEHILRWGNKSSIYRKYFGNRPLFEPIGIYELLN SGDKGSVLFRCDNPDGKCEIDGYAGHWRDTAAPNETVICDLSYIERRSLTTMCSLGYT VSNSETNTFWAADLLHRLFHMPPIGQNWVDHFADGYDEVIELAASNKSVSTRDSETLQ YFALEAYAFDIVVPGVGCPGEHDHEHDHEHTEDIIIII ACHE_40500A MRKARAAENRIQRLCQSHGLAPGLARQVQVAAVQSVALYGAELW WQGQKDRLVGIQLMINRQARAITGMMKSTPVGPLVREAGLAPAEALLEARQLKYTTRL LSLPENHPAKEILPVSFREGDQHAQPGEQTPGNRQWAERNNRGPWSLGQHLARQLANI LPVDPSGGFESTTQTTSSQFPGQIEMLPGPEALAAAQSLPPELAIWSDGSRLENGKSG AGIAWQEPGGTWKTWGFPLGKGYEVFDAELLGVVRALQLAEKVGDQRPVTILLDSQAA IARMRHTQPGPGQALVIQAHAIAKRLHARGCQTTIQWVPGHAGIEGNERADQAAKQAA SKPPGRGPREISLAFTCRARTEAITAQRQRWLNKELGQRSQQGQRTYRPQRNWRLDRA AATAPKRLASRYFQLKSGHAAIGTYLHRIQVREDATCEGCGISRETIHHLLFECREWR HQRNRLYKDLETDGVLRPTTAEEYPQGRLLGEPKATRALLQFLASTSIALPRAHLQQT AERARRDDEWGLEALEEAVRTGEG ACHE_40501A MSNSNSVNVLFVCLGNICRSPMAEGVFRNVAASHPQINEIDSAG TGAYHVMEPPDPRTMSTLRSHGIKNYNHAARKVTREDFLTFDYLLAMDKYNLRDLLDE RAAVLASLGKGGGAGKKGSKAAAAAAAAEAKGEDVKVAEVRLFGDFGKEGVVHERVGG GEVVQDPYYGGTNGFEEVYQQVVRFSQGFLEHLEKRK ACHE_40502S MSYNRLDPDPYGEDEHAHMMHPYAQRTPSPGHPLNGYQLADNPY APPGHLEMPSSDRLAEQPTYSVTRLPNSYGHNEAYEDHGPNVGYPGYDYTLDPEAHHD AYYTEPYRPTATPEEDYDLGHQQNFQDDHTPILQHPENPFGPEKYSDEYHDDPGLAHT PSPAPLRRWKTVKEVQLFNGNLVLDCPIAPRLLSQVPHAEPPGRDEFTHMRYSAATCD PNDFFEERFTLRQKLFAKPRHTELFIAVTMYNEDDFLFARTMGGVFKNIEHMVSRTSS KTWGKDAWKKIVVCVISDGRAKINPRTRAVLAGLGVYQDGIAKQQVNGKDVTAHIYEY TTQMAVELKGQHVSLKRGAVPVQMIFCLKEKNQKKINSHRWFFQAFGRVLDPNICVLL DAGTKPGKDSIYHLWKAFDVEPMCGGACGEIKVMLSHGKKLLNPLIAGQNFEYKLSNI LDKPLESSFGFITVLPGAFSAYRYVALQNDKNGQGPLERYFLGEKMHGSNAGIFTANM YLAEDRILCFEIVSKRNCRWLLQYVKSSTGETDVPDRMAEFIMQRRRWLNGSFFAAVY AIAHFYQIWRSDHSFIRKTMLMVEFIYQTIAMLFAWFGIGNFFLVFHILTTYLGDKEL LGTAGKVLGVIFEWLYLATLVTCFVLALGNRPGGSNKFYMTMVYFWIGIMIYLAFAAV FVTVRSIQQQVEKHSFTFSDLFTNKQFFSIIVSLGATYVMWFIASFIFLDPWHMFTSF IQYILLTPTYINVLNIYAFCNTHDITWGTKGDDKAEKLPSANLKPGGKVDVNIPQDDG DLNAQYEAELAKFAVKPPKEEKTIPEEERQADYYKGFRSAVVLVWVFCNFALAAVVLN AAGMEQFSSDSDSTENQRAEIYMAVILWSVAGISIFKFMGAMWYLVVRMFRGV ACHE_40503S MERQKQNSLAILNTADVLTKGTRKIMHKMDLMEAEIHDLRAANE ALSKRRRAKKTRLRKGGSLSILEAQELGDQMEVEVQLKEETRIRAGRRPRTETRARRC GNCGKAGHNARSCQIVVETSEEDDSE ACHE_40504A MACRPKVTPPYYKRFYSTVGDMVSGIFEIIFEGILPFFVAVVLI LVILFVCFLVCMMVAGALGYDVGMDEKKKTEQEKGERRNKEDSQSSNECEKKAPGAAG CDGSGTGGNGEVDEKTRLRVEIEMLEGILRARKERLGELNKD ACHE_40505A MDPDASILEPPPSVYNYILSFLLVGVAWGFTTPFIRRAAADFNA RQEAQRDTQPESPEKEVPLKNRGGKNKVKHDDTPAEHEEDSEQSNGLRLRKVPRSPPT KQPAWKNPNPSKQSWLKQKLITLLWTVFNLLRTPAYSIPLVINLTGSVWFFLLVGKHE LSLTVPLANSSAFLFTVLGEWYVEKKMIAKETWLGMGLVLGGIALCVQSKQ ACHE_40506A MSLSGNRNIVIVGVGSLMSKSFAIWLAILGWNIALVSRSEKDLS AIADEVRRAQKGKDVSVIYQAVDASEPSILKAMLDWCVRELGCKLDVLSYNAARVAMT DIAKTAPEELEKDFRASAVGTMVASQWFAEGNSRVDRIAEGEWPLFLVTGGILDKEPQ PTFGSLSCAKAASQTVSRIFAKVLPDKANILVGMPLVAGRVVSPMSEEYHPRARQRRH RRHHPQALLIAYERFMHLHFHGAYFK ACHE_40507S MSRPRTRKLWCAVPSNLREPFSVVCFSDQDDIETLKEKIWEKIK ERIKDTAPHYSNLTLYSPVVQLNHEEQFRIDDGEFLHPRRMITSNPLFPESKDPNVDI VVVVSGDTTARKRKRSESQGANIPWTRPITKNQLICPREHTVSKLATILDEVNIVHVR GTPASGKTRLSELLRDYYHKEGRKVSLIKEWETLNHMNPWHSLVKLVEKWNEETQDAP TMTSLQSEQDLSWVLTSNTVILVDEAQATYNDDALWNTIFKERLTPSVYKFKLCLFCS YGSPVTGPDQTFFTPVRFSDQQRISLTPQSQEDSPPIGLFYDKEEFKDVVSRLLTFYY EERFNFNEGALEYIFALSNGHPGAVTSIVDVLYEAYRQDIKHGHIRTLTEDHVIWFLE DAATVFDKLSTRPIYRSFPDISRATNGISNTLCKITEEGSIPFDINDASINFCYQKGW IHRVALDGDDIAVLPSRLHEKYIEYSIGTMSLPLPARFDSLPKLCKEILSKFSIMNLR HSVEGRKMSSASQPRPVEAQYQDEFYRGFNHVAGRGVLISSEWSRTKDSRVDFYIPEK KWVIELLRNHDKVDEHISQFKEGGKYHPWLKENMVEDWIIIDCATSLPTKGFSEPRLW HAVFINDYSELQLYNHQKALMMSVHLHN ACHE_40508S MYEEFVPEWNDPIPDSPPASFNHDQFAGWFHLPALKHLEIWLWD TARLKERPQDLNNLQTLVLARSTIPEEDVPFILNRTTSLQNLHLGLAYSYYCGYVFEK SDCIVRALDSVSNTVERLSVGLDHYPVVFNERDFNGTEVTRYEHVQEALKKFTCLKTV ELPICLSVSYDVYPDNDVDLSTIWPDTLCEVGLRIDMKAGHENEWDELRMVDWVYDVL LKQRDAVPHLQWIIIRFWEPFYDDLWQEDEKRLQAKCEEIGIPLEFVNDDLSWGLWTR TIP ACHE_40509A MVLKERLDITIVGAGLSGIAAAISCAASGHSVRMVEQAKELAEV TSNPYTHYMHNSNHRQVGAGLQITPNASRLFNYWQLPSSIWETAAEPKSVTVHRYSGQ VLAHEDNFGINIREKYTAPFVDLHRVDLQQALFARAKQLGVTFHLNERVERIDFNTTT VHAASGNTYTGDLIIAADGLWSRCRECFLGRKDEPLPTGDLAYRIVLSVEQIEDPELR KLVENPEVHFWVGPGAHAVAYSLRGGRMFNVVLLVPDNLPPGVAREVGSVEEMRGLFG GWDPILTRFLSHVDHVDKWKLMHHAEMETWVNEKSNLVFIGDACHPMLPYLAQGANSS LEDGAVLGHLLGHMKNKSQLPEILRLYESLRKSRGEAIVRETFKQRHDFHMPDGEEQR KRDEIFLSQLGKEIKGAFPSRWTCPEVQPWLYGYDAIKEAEDAVGRNMEMFKSVL ACHE_40510A MFQLNSSIHSAIGNCWDHCRSAATMTVTSEKPTGEYRQYLPDLS IPRFQNMREQDAHEYARDFKTLARPPWLHALYLHWLELLQEPFKGVTNDGNVRPGLFT LQDEDVPIDSIVTATQTVLTLCDQSQQKALSYHIDSPEWRTWSNPEFLLSHKGLRLDE VKPQLRDAILTVLKTTLSPEGYDKAIKAMRINHFLGELVESPRVMNELSYNFVLFGTP STTRPWGWSFYGHHLCLNIFLYKGQIIASPWFTGAEPNEIDEGPHAGTRIMQVEEELG LRLMQSLSPELQKQAQVYEQMHDPAMPPGRWNKDDQRHVCGAYRDNRVVPYEGINVGS TFTDAQRELLYGILEQYLLYLPKRSRELKIQHIKQFEAETWFSWIGGFGNEDPFYYRV QSPVVLIEFDHHSGVFLTNEEPKKFHIHTLLRTPNGGDYGHALRGAIPSVEGIVGKEI TW ACHE_40511A MAAAFDDEDLSVSLPPIERDHRRDRDRAAVPPPSGDNPAAMAMP PHARPIAKGHEVKQSPATTRDMQRLDQYQTMKILGEGSFGKVKLAIHQPSGRQVALKI INRRKLLSRDMVGRVEREIQYLQLLRHPHIIKLYTVIATKTDIIMVLEYAERELFDYL VKRGRCNDAEARKFFQQIICAVEYCHRHKIVHRDLKPENLLIDANKNVKIADFGLSNI MTDGNFLKTSCGSPNYAAPEVISGKLYAGPEVDVWSCGVILYVLLVGRLPFDDDYIPA LFKKIAAGNFHMPPYIHSGAARLIKSMLQVHPVHRLTIPEIRQDPWFLQDLPPYLQPP PEEVIATGSDPNKAIDIRKIAPGKPLSAQHKIHQIAISKLERSMGYARDDIEDALKNP EPSAIKDAFFIIVENEMMQTNSPTDDNLMASVAPSPPSKNPLPSPAVAKQSAATRPHG APTVPSPQRFAQLPPEDEEPVRVSHVRILPTSIPYVHDQLMEQREREREQRARAAHLD AQARAENPDDPAWGERSINDQEATARALKPHSRSIVDLDKLRFEPPEGHHSTAHMPKR SRKWQFGIRSRNQPYEAMLYLYRAIDAQGGVWDILPGDTGGDIGADEEKPLQTKYAHL PSDYYIPKDPWFIRARLLKEGMRIPGPSPRVHGRTSSTVSKSEVEELRRRFNITKIPR DDRNSLAVETASGPPSRRTSSSRVNHGVWVFVDIQLYQLEQNSYMVDFKCDGYQNVVR EEGDAEWRPISRRFRNKEKEVTSPYPFLDVASDLVAQLAVAS ACHE_40512S MATNTLFQEYPAREFGPNDVFDYHLGDLSLSPEDCDLIARMNGF DTDPASEFSSEPWFSDFVNYDAPDGLLPGALGNLGAFPDAGPMGNVGMVPNGGFFDPS FDCNTNNGQFQDMVLYDQPYDLTTTIRQAVEAQAAVNTSCSSQKEKRMEASIAFHMQR LQESPLTDPYTSPEFSSPSSSYMGQGSASPTSTGASQTPASATTDGVSTPTLSGPDQP GGVELVLDLNMNATTNLPKKHKPRSQAQRDNYIKVRKHGACEKHKKQHKRCNCLEKKA SLVDVHDGTIIQPPKHATLQSFAPRSTQSSLPSRSTPRDTAHDTLLDLAHNPTHIPRP PRPSIQPISRNDTDIQPHSSTPRCPRSEILWSLYRRHDRPSLDYAAQHSVSVNDKRAK LPTGPQIQLASNSRVVNTDTQQLGKNEISRRLQSRSTLQNHDTAQSTCHTYTKGSRIE HIHQRQSHEKIQSTGTRAGMLPVRPPATANAPGTTPFANNAQNHTLPKYAGRLQRTLV SDKAPSIITSGTLPSGSNGKNRTPECAGRKVQRTLESTRSFWQAPAITTLPGSNGKIR TAREDVGKRTVVSMHSQAPATTSGKILPGSNAQSTLPEYAGRVIQRMLGSVFSLWQAP SALTSLVGSYFGKAIIGCLKQYWSARKSLGICGSSRIV ACHE_40513S MASFHFNLTTVPGYFLQDDPDTDPDAFNYVSSNFGLINRTYNTD TDLDAKNTTQWQRFAYHLHHLNQESHHKTPNVTYRLLFLGRHGEGFHNVAEEKYGTKL WDCYWSLQNGDSNLTWFDAHLTTAGIKQAQVANEAWEKQIERKVPFPQSFYVSPLHRC LATAEITFKGLNATPVPFRPVVKELLRETIGIHTCDKRSPASNITASYPKYILEKGFA NGTDPLWEPDARESNSMRDVRLRDLLYDIFEHDENTVLSLTAHSGAIASILNVVGHQE FALATGAMIPVLVRVEKVAGPKPEMEVDPPIGVPSCGE ACHE_40514A MASMGALYPYGNLLRSTRAGVTFVPRRTLTYTTPRRAQEDNSNN GNNENPSKPSALSSIKNFFGFGGKPSDAPKPTITRRANAPPKREGSLSADSIFAEDEA TPKLIASGRTPGRRPTAEQPAEGEGEEQDVSLETRNRENMQAALDPRPQARTRWERKM VVREIRRRGRLSKTEQVMRTERESLSKSHWFKTSIKKLGPLARQIAGKNIDEAILQMR FSKKKAAKDVLEHLQHAKNVAVVRSGMGLGAAAGDEAQKPISIVSKSGERKTITDPTS IYISQAWVNRGPYGVDYDHRARGQINLLRPPYTSLSVLLKEEHTRIREWQDRENTAQR KRKTHLWTQLPDRKISAQNQYYSW ACHE_40515S MDINSLLSPQESNSQSGRSTPGSAPTSAPSSGPSQKGFRRGGRA TGGRGGMTSSPLAQHVLAPPNIPEPSPPAVSPSVGPNMGGGSGTPPATELPPSRQPST PGMDTLADLASMQHHQPPRSNAPILRGESYESQLSPSTMFPNVNPIVHNTPTPRSSFD IAMSDGPKRDYAKTSIKSDAQLATELFQQIQENPHSYTAHVSFIRLLHAGFMNHVYPP NNPEIHGDPHRYDLLKDMRTAREEMDKLFAMGEDLWAEWIQDESMLASNVNERIAVMD LCQRSVEEEYGSTKLWSIYGEWVLYLYNAANGEAGHSQWTEEDRMIGREVFTFQLVLD VWQRGAEATRWRINDSHLVWDRFLELQIRELSHVPSQEKLNHVRGLFEVRLHTPHATW EQTFQAFSSFVSTYYNANYEEIMSNTAAHTSEAKNKYRAREDIEIRLRNVVEAGDMAQ EWAVYTEYLDWELSRNRRRRQFSFDLVNAVYQRAVLRFPTDANMWEDYVMFLIDESTH KNTNMTTTSTLDRATRHCPSSGTLWSQYLLSSERELQSFSKIADIKHKATSTGLLDVG GMEEVLKVHTTWCSYLRRRAFATDSTDEDIDVAEVGIRSAIEDVQDLGEKKYGRSYQG DPLFRLERIYIRYLSESGSWDSARETFKGLVGRRGNSYEFWLTYYSWELISWSKFVQG DSTADAARRTPNPSFATAVLKQAIKRTDLDWPEKIMQAYIAHCEDYEDSDELQLAMLA TRKATRAVNARREREAREAAAQQEALVQQAAVLAEPVQQEKRKREDEAESNGLPEQKK ARAEEPVPVVKTEPQPEPESVPLRRDRENATVIVKNLPHHITEHRVRQFFRHYGNING VKMLPGEDGNSEVAIIEFDTKDEALMAQTRDQKMIDENTIQVQLGSGSTLYVTNFPPS ANEEYIHNLFRGHGEIVDIRWPSLKYNTHRRFCYVQFRDPIQARKATELDGSKVGTDQ QLVAKISDPGRKQERHGPMYEGRELHVSNIDWKASEDDVKEVFSKYGTVESVRIPRKV DGGSKGFGYVVFSSKDEANAALAMHQQEFRSRQLQVQLSSPQGVKRSSTTIVNRVGDS RSPSVEANGRSDPEAPTGERAARTLGLMNVPDTVNDARIRALAESYGKLVKIVLRPDH QGAIVEYADVHTAGKASLELEGQEIAPGRPLHVGTVPEMLKQSAERKNTRVTHSTLSK EKNNTGVLAPPSAVRRPQQPGRGSGRRGGLGVKRGGASTQARNEVAEGKGNGSNNGMT TTTETAPPTEGGTTTTKSNDDFRAMIQRNQQSQGQAE ACHE_40516S MDSHLVPRGTDRNYTGLLTKTIVYTGTLVVFLAAFGLTISSIVV PKWVSYSNHKHTYSYGLHRRCSSLTDTCESFPQGEDCHGEDRYFCSMWRSVGFLMSFA VVLEGISVVSYLIILSGGKKLRESGWKVLSLLIVLGAVVQAGCVSIVAYLYDNEDRFF AGWKLGESWVYCTVSWGLSLVCAVVLVLAANTLPSEGGYELIPDHF ACHE_40517A MPSLTPISYSFKHYPTNNNHGDSNSNSNTIVPDDTNHQQTSEAE PSCEFTSPCTTTSSSSSPTKNSSTQITGKHPRKIISHIFGRNKFVTKLIPPSVWVHYC RKHYQRARYRSTQWPFTQAELLLDSLGRMERWGGVRGFDLVLRRREVERVDKGYGQGE IGHARTRKSARVSATAKCQEQGDGNEGGENGNTGSTSASSIDHDHDHDQPSSDEHTCT NIKGKGKGCRKKPNIESAPVPDWLRQEVGPNKSFEDIRGIVSRLLEYLTVLREQGRKE EIRFPDIEILPELEGWVFKMDREMVKKARAAAGGGRKGGQVKKGGKRVSERGAVQKV ACHE_40518A MASKQLPRMSMVNHAAGIFADMSVDGPSIGTLVAIIDRAKNLPN RKTMGKQNPYCAARLGKEAKKTETDLRGGQTPRWDYELRFTVHESPDYFRLKVSVFND DKKTDMIGETWVDLRDLIIPGGGQSDNWHPLQCRGRYAGEVRIEMTFYDTRVQDEAVI ERRSNAAGRIQARTSGSTSSSSTMSGPRQPKDIKRRPLPTDPNNPTHVRPPPPEKLHT APAPSQLPSVRAPYPEQQQQQYGHHHTYSMPAPNVSSDNLRQPSRHSMVMQTEFDVPT HAPTGPRPARGHESPDDFQRELNQPALPAKLPPAIHQPTPVHPPVAYPQPTPSSRHYA VEQQHSYREPPPESYSLQARSNHGRPHSSYDGVPPPMDYRVSRQDLYQNSQEQLQQLP PAEPVEPRRNSHVYETRPRQPSTQDYPFSSSERFLHSDEPAAYETGNYALRHSRSMPG ETPHEYVPAPLPEPEPEQDRFYYRQQSNSVASTRSSLRNSMSRAEPQIQYARMQPKVE DEEDEGPPPPPPVHRSGLGQPSQQLVPSPRSSYRAYSPEYASSPSASDDVNLSSQPSH YIPDNVRLQDLPPHTNASSMPPSLVAGFDPGIADAETDRMYNEKRESKRRSVLFEEDG MVTQPPASQPAVSQPPASMPPEPTTVVPPYPVDPPPKPVSQPAPQPAEDRGSMSGALV RSRGGSAASDSRIVPRRKSVSPQPPPVEARSSGTIPFSPDSYDALNPNASRSALTADP AREYDSPAQAMEAARRSEAETKRDPGPIIDDNGREIDPSDHLPTDTWAPEPEKKNRKP ELVVRFKNPPTQTSPPPARESRPLRVTFKPSADRVRTYSPDKSLARPNSSYQRGSMAV ERMSPRMDLVRGRDAYTEYNRGRDYSRSPNMDSSSYTSSRTSVSPSPGSHTSSLYAPV NTGPPIPAKVPIAQPMNQSYPIIPAGTNYTHTSGNESGGLDALSRELNTIDIGSVGCN TNRAIRKYVPRVATGYAV ACHE_40519A MFGYLYPGGERYIADPTQSQLIESPKNLLNAFPIWYRVLCIERT PPSSKAIGMGPHCALYFQIPLSTLPPGEGELTYSLVKRVLELLEKFDRRTLKMAVT ACHE_40520S MAAPVLPLQQVKLPALPSTRLTPEQQYWKTFKNPLLIPSPANGP VNLITQPAAPSSAAAFPSLTQPPDVFTVTTGARVQIYSIRTRKLLRTVTRFDDTVRAT DVRPDGRVLATGDESGTVQVFDVGSRAILKTWKDHKQPVWATKFSPSDPTSVFTASDD RTVRLWELPSENSVRTFVGHTDYARSGGFMPGSLASSGVVVSGSYDRTVRLWDPRMES RSAMTFKMGAPIEEVLPMPTGSTVLAAADNKIAVLDIVAGKPLHMIQSHQKTVTSLAL ASGGERLLSGALDGHMKVFETTGWNMVSGSKYPSPILSLNVITSGINREDKHIAVGMQ SGLLSIKTRLSGQQKIKERERKKEMQALLEGKLEEHDRKVAKQKRGSGWDKRLRGRDF IGEGVDIVIEGQDRKKRKKEQAWENDLRKARYSAALDQVLTGSDKTAQLTCLTALRHR SALRAALQGRDEVTLQPVLQWVYKNITEPRLVTLSVEVGMNLLDIYSGNLGQSAQIDK LVTRLHRRVRDEVEMAHQACQTKGMLDMLRAS ACHE_40521A MALSQDQLTFFNENGYLVLPDYLSPTEITSLIAETNHLLETFPL ESHPLTQFTTGDDSDSQKDHVGDDYFLTSGDKVRFFFEPDAFTTNPQKPNDKPTLNKP KHLAINKIGHALHALSPPFEKVSLSERNAAIAKSLGFIDPRVLQSMVICKQPSIGGAV PSHRDSEFLYTSPPSAVGWWYALQDAGPGNGTLGMYKGSHKGSKGGAIKRRFVRRFGE GGVTVGTEFVENDGPKLPKGMEGESNDGEEGEGVVEVLDIKAGSLVLIHGNVLHKSEK NTSGRSRFAYTFHVIEGGEGWEYDGRNWLQPTEGGFSKLYR ACHE_40522S MSNFTSTSFSYTSTTNTTDGGTTTGRRFSTASTTDKEGLTIIRT ARQDLGQPAIIEERRYDKSGQEQLLPAPPEMAALPSPGAGKGEPGEGEMQRITEVNDE TASYGGGDMGTEVYGLNSSENVGGNWGDLGSGTEPVETGTTRVQYEDPNTGAKLRKES EIDMSQLLG ACHE_40523A MTSIMLSDLQDITKHNIQTFRRRYVSEISGSLGDLGTFLPIAIA LAVNDTVSLSSTLIFSGIYNIITGLFFGIPLPVQPMKAIGAVAIARSFSNGTIAAAGL FVSICVLVFSITGLLHWFTNAIPIPVIKGIQVGAGLSLIIAAGGNILSSLSWIHPSWA DNRIWAVAAFLALLCSHIYRKVPYALLVFILGLVFAIIHTATGPSARLPSFQLWRPTA NVPGPHEWLVGTIDAGIGQLPLTTLNSIVAVTHLAGDLLPEVRTPSTTSIGFSVAAMN LVGCWFGAMPVCHGSGGLAAQYRFGARSGSSVMCLGVLKVLVGLIFGETLVDLLKRFP AALLGVMVIASGLELVSVGESLNTSDARDLANSQHVLSEGECRKRWTVMMVTVGLLVG FKNDAVGFSAGMLCHWAYDLPAIIEKAKARWSEGRIRV ACHE_40524S MPLNPPTPETTTTFITDLANRHLIYDYDVHDRNGNLDKWRYELW FYNADRVIYAIHGGPMAGRKNYQEATYQCIRPGELWQCNWLEETGTICSLVFDIPKGR ITTLIGFSRGHWERNGMAKGDKRAKADLERWRELAGVGWQTERVMLSEQARVVEDFWG AGQLEGIEMDWPTM ACHE_40525A MATSMLSVGWCRPITLLLLLWTTFLHLTSAQLCTFWDGGCVDPL AQTAISLDLPPLFLEDVNLYYAYDANSQGKGHGPMTKVSYWLRYGREINSSAITTNRT SELSLRVGNLTGTPSGNNNGCDGIWGPRCSRDLKNLLSGAIYELATEGEYNSNPLQTV LNQMHSTPPYLENCPPQFFDVQSAPVIRFAQESKPERTATIQTAGSNLSPWKTWYIDR MSALQQAEQVAVAIFSRSPSDDSPPPKSKDDVQIELACLQAPSGNTFNEDPDS ACHE_40526A MSYQKLRQDFTIHPIPEIDDDLETTATKLKDLASVVQKGNSLAL WTGLKAATPQPRSDAETNARMQMTPSERQAYDAWKEGKYQIPEFEWTGNVAVVPNGVQ SLKKFEKRAVAMDIIWGWRPLSQPEREEDKEDSSGVSARATPENASWLTFNMPATLPL IQAVVRVLNAEKQAQQNPHAGLSEMEVVEMETARKIVATAERNRSRELERIRLLTRSI SQNTEVMKARIQSLEKLLQSSSPSQSLQSSPSPSTLETTVPRGEKRKQRESN ACHE_40527A MKFFENNFTYDYSFPAVSLAYFLRYPNPYSRHVLTTDVIDRYVD PKTERLHTTRLHLKKSKVPSGILKLLPKGMGGSDNSGQSYIMETTVVDPKEGWMHTES RNMEWTGILSVVEKQFFERQPIEGALESLVGLPLDDKRSGEKTTVKTTVIFKSRFGQG KLLGSKKKAESIGDQAGEAEEQAPKQGWFSSLSTAGIQRSIELIGVNRTRDAVLKSKQ GMNVVLERLRNGGIVGVLEGMRQDREALYGPEGPWKRVWLNGNGLKETDDGN ACHE_40528S MADEPGQPPALTTLSLADQAPSSQAVEQIVTPFDVSGGVDESGK LLPVDYDKLVREFGAHPISKELLERFERVTGHRPHRFMRRGIVFSHRELNLILDRYEK GQPFYLYTGRGPSSDSMHVGHTIPFEFTKWLQDVFQCPLVIMLTDDEKFMHSQKIEID DAKRYAKANAMDIIAVGFDMKKTFIFSDFDFVGGAFYENMCRMAKRITINSVRGTFGF NDSNNVGEFHFCATQSASAFATSFPHIFGNDKKKVASIPCLIPCAIDQDPYFRQCREH AEKMKFKKPALIHSIFLPALQGPGSKMSASVDTSAIFMSDAPNRIKNKINKYAFSGGQ DTAELQRQLGGNTKADVPFQYLTFFLEDDDELERIRVAYEKGEMLTGEIKQKCIAELQ EYVKGFQERRAQVTEEILNEYMSQRPLEWTGNPNPVKVDKEKK ACHE_40529A MASNSPQSFQVISSLRYDPSLPDAVAQHAAQSYPEPRPTPYYLL PYHLDRLINAAQHFNWHHALQFLEQDLESFTSALDSFIPDRTKPWRLRIVIANKPDAG LTVDINPAAPIDPLQLLLPFATDATQQSTPWRVYVDSQPTVPSAFTTHKTTAREYYTA ARHRAGIMSPQEQAEVLVVNPAGKVMEGSITTPYFRRRGGSDNQDNPDNRLGWITPPL SCGGNAGTTRRYALAQGFCAEQVISASELVDGEECWISNGVRGFIRGVVVLRQ ACHE_40530S MSESSLSKNVVVDTTSLPESTTSGVWDRISKWVSENKALVYTIA GVAVVVTSAGVVYYLSDSNSPAKTATASAAATEKKKTKNQKRREKKKAEEKAKSASVQ DEQSAKKAEEPAEDIPEVDEATVGQLSEETRKSYAGKLKAAGNKAYGSKDYNRAIELY GKAIICKPDPVYYSNRAACYNVMSEWEKVVEDTTAALQMDSEYVKALNRRAIAYEHLE KYSEALLDFTASCIMDGFSNEMSRNSLERLLKKVAEHKGQAILEAKGKKLPSPTFVSN YLQSFRPKPLPEGLEDAEDLPEESGKGQLRKGLIGVSKKTGDGYEEAAAAFEKALELG DLGEFEALALNMRATFTYLEGNAQGALADLDKSVELQPSLVQSYIKRASLHLELGNKD AAADDFELAITHNKDDPDIYYHRAQLHFILGEFAEAAKDYQKSIDLDRTFIYSHIQLG VTQYKMGSVASAMATFRRSLKNFEDVPDVYNYYGELLLDQQNFSEAIEKFDKAVDMEK QIKPMGINVLPLINKALALFQWKHDFQEAENLCQKALIIDPECDIAVGTMAQLLLQQG KVSQALKYFERAAELARTEAEIVNAISYAEATRTQLEVQEKYPKLAARLQTMGAGLGA GL ACHE_40531S MPERQAVVTLYTLYIPDTFSFRSLTFASDDDYVDIGRSSKRETK NLIPAQNNAWFDSRVMSRDHARIGVNMAEKTVIIRDGGSMHGTWVNDRRIPVDQDVVV NSGDILTFGADVTRGAETFPPLRVRCGCEWFDSSDAAKENISARKRIHPINTFIVPDD DDDDDSDVEVVEDSVPAKPMSPQDHEFEDSDQSGDAENQDIRENSTPITSPSTKEVSL GLEPKPTNTTTKEGHSDPSDGTSGSPIVLDGDEAPVTPRMTPPPASNNSNFHVNNVDA DADAMDHDSDHSSSIASSPRETQPATEFDYWDEEDVISYGFGSESESSNDESSDSEMD SESENATSHCDDLENKPQSQADNKTQVANEKEVEFSGSASTIQHQAIQPQSIEEAPND FDKTWSTNNFTRSGPLSPALLCDPYAIPRSSLQQTGNSYVPRLPSLHALSSSGWEPQA VPRSDHFDIGTAPRAFGHRCTRFDDFPVRRQPRQTVLSAPAIPPVIPSSYNGSSGMSP VKNDIYNAEDQWQVEKPGLASQSKIERTTPMDYLREPNTRLCISDIVDSRSQEARSAQ GLPPKRKADEMESAAIRHAPAYPYEFADPSTANPYASGSNTVPLGTNQCVDDESFSQD AQPRSSLPDLEDSTQNTGIHSIPEDEPEEPKSVPEVERPSKRIKTSDEGSGRFVTHAA TALAGAVLGGLGTVALLASLPPDYFV ACHE_40532S MALSWSNQPPQLRVPYAIPQLEGERITIPGSKGVFRILASSKQT NGLMAVFQSGGVLSDAPGFHYHNHAHDVFLVTKGCLKLWNGDKCRLMGPGDFAYVPPT VIHNPEMLGPHTEIFGLITPGDWVDFFRYVSEPYEGILVPENDERDLKSLLIPKVMAA KEQFDVVFQPDYQPPEVGDWTEDDEKLPNGPQAFYLRANTGPRWIFGGIMSRPFVTTA QSSGVCAISSIESSQVYGPTLFSKYMTFGSVDHCLCVQEGTLVVRLKGAPDAVFREGE TVVIPAGQAFALDFASRYVRFWSFTDGNGIETLVHLLGTPFVGVVLPDQAPAWDSANV ESVTAELGVTIEL ACHE_40533S MERPASSSPLRRPAATAGDDAVQPKAKRPRAAQACDRCRVKKYK CDESYPCSHCKKSQVDCKYQGNFRSREDARSTTYVTDLEKRVEELSSKLRTLESSGAS RPSPQLSQLATALGNKAAAQPITTATPCSLSQHESTPKDDATVAGDNRDGSADSAEEE ISEFNHHTNGIEFHGSTSSAAFIGHLEKAREPKRPEEQSNLRPPDGSYSLISTLHNSS FSPSCATGSVQPEFLQDQNFYFDQAYAFMNGYFENIHFIHPFIDKDDFIARAHDLWFN RNHQQSLSFIALYLSILSFGALVRVWDEEILNGLTRFDWSRKLFREAQTYLNYLQFSN DLETVQCLYLMAKVCQNELNPNLAYMYLGLAIRTCLSAGFNREVRNPKDQRESWISKT WWGLFSLEIEMSFSVGRPDTLGMDEYHNRSLPERDDSEYAIIPWMVDFAQIIRKVSVQ IYHSRFTLQDKLQLALQIEQEMDRWVARLPPRIKPDLHGQPATGGALRDPKWARRQRL VLGIRYYNVKMLLFRPFLSHFTRKLRHTPAELEETINKCLDAAMKTIEVIHDIYRIHT FFRCWWYNTTYVMFATTTLLLPMSKLGMCPQTLPLASSVEMAVEILESMDESVVARKS VEIILQYLKDFRAPNNSTDGTQIAITPSQENADQAAFVGTGTGTEHGAGQAGIGIDIP EWAYGFGFPDYSFDGIARLFDDLGGLPMLDN ACHE_40534A MTVAEPSFINEFDLRTSSLGASEQADRVSNITSMVHIGSIPGAL IAFVLCERIGMLWSMRQLCALWLAGVIIMITAGGRLCRIYGGRFVMGLGIGQAGIVAP TYLAEIAPRNVRGFLVCLFGMSEYIGIMIGYFSAWGASLHISNSSAKQWIIPQSIQII VAGALLLLSHLCEESPRYLCKVGHDEKARTSLEKLWGLPGEHELISSEMDTIQRQLHI EQEQSNGLSWLSALRELFLVAANQKRLLFVISEQLVSQWSGANSITTYAPGLFALLGL TGQSEKLFTTAILGAVKLVASLLCAIFLIDHIGRKRSLVSGILIQQVSMLYVAIYLTM ESFSADAESASMKRAAIGAIVFIYFTGVGWAMGFNAIQYLINAEVFPLRVRAIGTSLL MCFHYANRYGLSKAVPSMLLEDALQPKGTFWFFSVLTFLGLLWTLLFLPETAGRNLEE TNELFV ACHE_40535A MDPASFGLAVFSALDLCIKYGTELIKICREYRRFEEEIDEIVLV VEGLWVKTEVQLDSLRVLWNTLHKTLQSHYFDALQRLERKTLAAVQTIQHVKELAAPD ASSLRKVKAIYIKRHLKEAVADLEDWQRRFDPSWYLITRIASPVIDKQLRDGLPGNNS STIRLKRIREAIKEVSSIGSSHAGSVFMNTSHIVGSAHEIPGTNTTIASYGNVARTVL LDRTDYGPLTEAMTAKTYVRDVARLLLNVEPMTFGLLKCEGVIELPDENNKSQFQFIL EVPKGLSSPRTLRSILAEAPRCSLSHRIQLAKQLARSVMFVHTSGFVHKNIRPETILV FADGSNRLGPSFLSGFERIRPSGAATEKSGDLVWQKNFYRHPTRQGLWPEDYYNMQHD IYSLGVCLLELALWWSFVQYDGDTAIPCSELDITAAISDKDPRRGAFAIKKKLVAMAE HRLPSSMGDRYTDLTVACLTCLDNGESNTFGYDVKDEDGIVVGVRYIEKVVPVCYLRG FRLMNSQVLLQIEEICI ACHE_40536S MLRDYCRGRIEFPETSLTDRGVSRSGRQFIKNLMALHPHDRPQA SKDLVSDWDITEENKTSETDSLQGTSREVIRLGKRPEYVMNQPSININGNVPLYIQPQ PNSVNVDDEMNYQSSELWLMMKDTDADIGRIRSLLESGANPNKFRDGHTALHNAAERG STRCMELLITYGANVHLKTRSHQETALHLATYNSDISKLRSLLKQRADVDAQNADGDT TLHLAILRFFTTEAMELLLSNGASTEIKGRNGYTPLQYAISLDLEDKARLLLDHSASP NAQDKRGRTPLHQAIVSDKLTLAFIKRLVEAGANIDQRDKSKRSPLYEAAKCNRRDIM HYLIDSGASREIGSSGMQRRLQWVQFWRDLPWPFGG ACHE_40537S MPPKRASRTPQPAPQRVYDLRKTHIPRISPPPEEGYQGRKNTNG VYVPRAAATKAAAIRRRAAAARAARANEEADASPGLPQTRLRTLNRRSTTPQARRSAT PGKRVQFALQNAQPKPSSAAKSNASSQKEALHRRAASTSTEPETTEADEASEASYDEE EVYEEGVNEDHDENGPEDEESGQMDDEGSADEDDNLPSIDDRDVDTEEEASARALYDA KEKYRELIGRRVLDEARRRYPEGVQRQPREVETSDLEAALEDAMRAADYPVGIILNIR INKKPYVKKSLPDSQRRSFNMEDVEKAFLSAIAPTVGEEEYQIMARRVTVKHSSGRGG TTHHDFDDFDTANGSHILSIIDKHHSRHRTGMIEAHFDINVQCDAILPTPKRSRQPEP PSSDIPSSPPSFPPKKRQNRSSRLQEQHSTRLDTIRVAGNFQRQLMDRWRCHDPNCTN KDNYCFPDPTERTKHFNITAVQHEAWANAISNGEATIQNPPVKMLRYWEEHQGALNRQ SRQPARQTFIQQTKSSLERLAEMQQQMHERMLEARMYDQMDALEEKQERREERNERRR MEQERREHELAHARLMYMPPHYAAMPYSHGQSPRPMMPISGQYPAAQYPRAPITPQAA SRASQKRRSSPIDETTDEYELLESFFYWKNVNTPNPRQKEKWNQVKEIVFQNDWTIQD LKDMEDDASPMYQRAIKAGISDGFTRLIQRELQAFKRDVRRQKEAHEEELQAIATLGQ LGHQTDIEGSEFMRYT ACHE_40538A MMTMGKWITMLTGGAKRRQPDSEEAVSHEHVQHEWEEQNEIENS SEEYRHHATRISQRQILIGVDEFTAKYNLHDIRDILRKGAILSQSPDRFWLVEGLNQA DIMALSVEALEKRRWFYGRQDIFDTMTLLSYYLGGVAIAQAGLMVGSSMVDDDDSISP AERLAKISKYAPFIIIGYWLSELLNRCSGRRGTIVIAGIFNIVGPIAGSGGSWQWSLA SRIFIGTGMGILFCSLSIYGAEISTARSRGRAILWLQLISQLTLILMLGLMFVVGFLF GTPDPTSNMIVLNKAYSAISVMTFCLLLVCIWKSVESPHWLVLRGEMHQAYMSLCRLR KTELQAGRDLYMIYVQTIPEQRCYGKSSFTTRFHQLVTLPRVRRALLPCIIISFYMSI AALQMTPNQELQRLLHSSLFPPILMLLGAISVLIVQTGLKLFAAYAIEAYGRRGLLMR AMPHILWPLMLLEVSGAFLSPQGYYIIKILFKGSAATLVMSFAAHVPLIYASEVFPAS HREIGLAMTMSVTGVIEYLEILSSSWRSVFLHPSIMGPLLILMSILTYLFMRETSQYP LEEMHMVFEASTKNLALYRLFVELPYKFKRYILRKDVALEPFEESKYNVGMITLGDTG TSPPP ACHE_40539S MKDAQGRQVYLSYKPGALFYDAQTQYNSTLGQWELEINTFGAGY VLRFLSLVDSDDFANLDGVTYDALRDWVYQGWQMYEDSLHTTWSDFTRFNEVRKTYPG LSYNQSTEVLGDWYRLFLVTGASDCSNNDLQPNGPFPQRPLALLIDWVENGVTSVTLN GTVLSGDYEGEVQQICA ACHE_40540S MSILPREGLYIDPIVSLIRKTILNPTIALLFVGYLKLIDTPRLA AYERPAFCAAALSVALWLNDFLTRGSRNNWVTDRTWDWSKELVVVTGGSSGIGGSIVQ RLASDGVQIVVIDVIPPTYSTENKHIAYHYCDLSDESEIREVCSRIRENIGHPTVLVN NAGLSRGQMVAEGTYHDNSITLRTNLLAPLLLSKEFLPHMIERNHGHIVNISSLSAYI PPAGLADYAASKAGLVAFHESLGLELKYHHGSTKVRTSLAVLSFIKTPLFKGELNQPH FFFPLMHVDTVGDAVVDTLYSGYGRTMFLPGVFRYFAGLRGAPEWIQNIVLGSSQSLK VDFKGRQMIDPVTGKLREL ACHE_40541A MDEKTTKMMVASYSQFACVGAGLSAIALGATLKRWYQMDDIRFF ERHSDCAGTWHISSYPGCACDVPSALYSFSFALNAKWTKLMPSYKEIKAYQHEVADTY SLREKMAFRTEVQECIWREDASRWLMIIRNLDTDGIFYHECQILFAATGQLVEPRPCE ILGAPLFKGAIFHSARWNHDVDLEGKKVVVIGNGCTAAQIVPSIVDRTQSLTQIVRSK HWIFPAHNFSYPTVLQWIFHYIPLAMKLHRFHIFLIAESDFLMFPMTKLAARMRQMKR TWAERYMRKAAPAKYHGILIPDFDVGCKRRIFDCGYLESLHNDKLCLTDAPIVEIVPD GIVTTDGFVPADVIVLATGFQTNKFVPYTQIKGQSGKTLNQHWSRHDGPGAYNCSIMS GFPNFFLLLGPNAATGHTSAVMAVENSVNYALRVLKPVLKGDAAYVDLKPEAEGEYIM KMQEALRNRVWHTGCNSWYVNEKSWNAMSYPWSQAHYWWRSLFPTWSDWNIKAAQKPK NVLASAQLGIGLVSLVGVAIVVLKEPTIWETVLGSVRSVMSTYVA ACHE_40542S MTSAGKSPLVQVLSDGSTRLLWQKAGGTKVLLFFHGGGYVMPLS PGHLDWMAYITDKASDAGIQLATCLLEYDLAPSKPYPKQMKQATLALNHLLNTGYNPN DIIFGGDSAGGHLALSLLSHIHNPRPEQGKVSLTAPIKGCFLVSPLTSFNFANRSYEQ RFSADVLSKTIVQKWGDYLINHSPWQQEITEGNGWGMALDVPEMWWDGLDAVDQIMVT AGQEEVFRDHVLQLVDVFRRRSKAKVEWLVPVAEAHDGPLMDFSAKRSPSATTERITK WVIRCFD ACHE_40543S MTPHSAMAWSLSTPVSNAPLSLPGVSSFVASAGFPTSAFSAYYY SPAKPTRQPQPMIYDPVLNITFPHNLTNPDTIPDDKSDPIYFPGPVKNLSYTQKHALI DEIKVNVPQILQSDATKDSCAKCKKALAAAKPAALYAPTWVPDAMISLCQEYQLKSND SCEDEFAASIFGATWTQVLAYADVEGLDGDYICNFFNPSSCAKPKTSPLDTTTLFPKS KPANASVPKPSGERVKVLHLSDIHLDPRYSVSSEANCSAGMCCRKNEYNAQFDDQIVL PASAYGAYKCDTPYDLALAALESVGPLTGTANGSPLAWTLYTGDLVSHEPENQLSQKY VEYTETSIFDMLKKYLGGPVFPVLGNHDTAPSNIDSPHSLPGRLGEQQSWNFEHVTGL WKHEGWIDAKTADEARTQYGGYSVKTHYGLRIIAFNSDFWYKSNYLNFINTTNPDNSG TFSWMITELQHAEDNHERVWIIGHVPSGWDGSNAFPNPTNLFYQIIDRYSPHVIANVF FGHNHEDEFMVYYADNGTIQNSNTALTTGWIGPSITPLTNLNSAFRLYEVDTGDFNIY NAYTFFSNVSEYSSLHETGPIFRLEYSTRETYGPAANWDANAPLNATFWHRVTEGMEK DLSLVSWFNALQGKRSIKSPACDSEECQLAKICYMRSGSSALGRECVQGYGSVQSDFK V ACHE_40544A MAIGGIQMGLRAWQFVWTLLVMALIGNMINQAFAGNPATVNYAM FTSAFSMFTLFYLVPASFNTDWSGHPIILIVLDTLNAIFFLTSGIALAARLECHDCSN DEYTRHNEVTNGAEGRTKRCREAQASVAFLWFAWAGYTASMILSFIQWRQSGGSRMRP RTGPARPSRPSMAQV ACHE_40545A MKYIPLPEFEDITSSLTFDTADCNITGGCDLYITKAARADRKLY RNIEQSLEAQYESVLRLSASLSPPHASDAASTLNLSRSSPFGPLSDHGSRRTFAYLIA TLNASHPDYDFSHVLRPSDFHRERNLKKVMNTIDTTLFNLRPRENMDLTPPSPATLSG SYSTSAPFTWGPRMWRIIDEHMSLKECSIYTYTPEEDPSGADDGAIWSLHYFFFNPLR KRVCYLYFRAIPILSHTPTDTEGFVATPTGKRTFEDGYLTPDLSSSKRARYWLGDVAD MVGHTSDSEYDQYVLSDEESRSRSRKGGVRAMSEEIADSMEV ACHE_40546A MAIHYLILLSRQGKVRLAKWFTTLSPKEKAKIIKDVTQLVLSRR TRMCNFLEYKDSKVVYRRYASLFFIAGCASTDNELITLETVHRYVEQMDKYYGNVCEL DIIFNFQKAYFILDELLLAGEMQESSKKNVLRCISQQDSLEDMEVEEDVVTKIM ACHE_40547S MADDEERVKAEKLAAAKKRVAQLQKQKKKANKKSAGADASKDSE SPKDSATPAEETPTPEEKQDEVAATPELAEKKPEEGEEDNKEEEKSTEPERPTECEES PTEPMPEAPTALPSEVDSSRLDAPRAHGRQPSLSIQSKMRSSSFRKTSVSQGSVSPSP STMRSPSLPPLSADGDSIQEVYRKQSTQIGELEKDKKRLEKELEETTGRWRKTEEQLE DLQETTADMAELKDKLEKAEQKVTEIDALKEEIASLQRQNSHLQSKSHRNNVPESPPS DLVQQLESMSATIEAMELEISNLRAHETQIKALEQKVSTSESTLQEAQRELADTKQAL TRASEKAVKEGVEKTSTETLIKNLEREIEQLKQEKTEADKKNETLEKKLEALGNLHRE SESRHQTRLQEGEKTEKEAAVLKKKLASVENENLRLKEEQESLKLRLKEQEESSSKRD SSGGADDEAIDELENEGRAHLERRIRELEGENFDLRRGVWKERRQELEAEQYGLTPPN ESDANAFDDVDLVGGAPEHARRRSIAQQQQQRHSSFSTVLSSGLAAFTGVANNRGRAS SSTSNQNQAYPPATRGSLELLSEENIDDFDEDAFARAQAEEEGKKRVEWAREIKSKLN DWKGWRLDLVDSRAGAEGAGVGMGEIFVI ACHE_40548A MNGFSAHGLDEDAFGEKSDLKGSLRTFDAFPKTKASYTAPSRRG GQWTVLILAICTVLSFSELRTWFKGTENHHFSVEKGVSHELQLNLDMVVKMPCDTLRV NIQDAAGDRILAGELLKREDTSWKLWMDKRNGGAGIYQTLSQEDNERLEAQEEDAHVH HVLGEVRRNPRKKFAKGPRLRWGEKPDSCRIYGSLEGNKVQGDFHITARGHGYQEFAP HLDHSTFNFTHMITELSFGPHYPTILNPLDKTIASTESHYYKYQYFLSVVPTIYSKGA RAVDSTLSGNPSHSNRNLIFTNQYGATSQSDAIPENPFYVPGIYFKYNIEPIMLLISE ERSSFLSLLIRLVNTVSGVMVTGGWVYQLSGWVIELVRKRRGGVSEGVLTGKHVDD ACHE_40549A MTASQIKSAVVDYTKAAQNTICAGFDGVEIYGANGYLLDQFLQD TCNCRNNEYGRSAENGARFAIKLSVQFQTPSDRRNLVIISVPGAASRPRDLKLGYLHV IESRVTKNVDIEKIEGIEFAWDIWGKCSPALVAGGYTPENAKHAIDKDYANNLSRGRV WQTLPGES ACHE_40550A MAPLTRLRADDKHVQLSMATKYYCQRASDPGTLIIAESSLISPS HGGVPNAPGM ACHE_40551S MAPSIQQFVSNFDGLDGLKKVDAPMPSPGQGEVLVQIKTVSLNY RDMEVICGEYTHHQSINQGSTIVPCSDMCGIIQSVGSGTTKWKVGDRVLSTFIPDHQT GLLSEKALSSGLGLPQPGVLTTHRVFPEHALIKAPEYMSDQEASTLTIAGVTAWMSIN GMRPLGQSGGRDEYILLQGTGGVSIAGLQLAKASGAKVIITSSSDDKLAKAKALGADF TINYRKTPDWEKEVMKVTNGHGADIILEVGGSKTLSKSFNCAAYNGLINCIGYTSGKA QAGGDQPNVNILAISKVLTLKGIIVGSTDRFEEMVRFVEKHEIHPVICKTFSFEEAKD ALKYLESGSHFGKVVIQVSS ACHE_40552S MSRNILITGGSGYLGGTVLARWKSANLPPYNTLYALVRTEEQAQ KVKQYGAETLICNISNHEHVTRAIIDKKITVIYFLIDAYWDTHQKVLIKALGEVKKQT GEEVHFLHTAGAKHFSRHAGIYFDGPLLDTDPKLYDIQKTAVSPHDFFSQAVRTNVTV IDTAERYGVRSYIFAPCIVYGEGEGFGNRTSIQDVAIVKAAKKARRVYKVDLDNPTWP VCHIVDTTTLYLQILRHILLGNDIGHNKNGFFLAASGSVPWNDIYSAIAKALAKRGVV DDEKVEQADGPGLVKMADALEVAPSAVPVQLGGKCTFTAVHGHQIGWEPQYPPEHILE EADAEVDLILRSLEVDDSRATIR ACHE_40553A MPASVPSQQTPGKDSSGWVQSRSSNPSRDDDSSSGVEAPSSRRR LHKPVSEANLQSEASHQPSKSITGSRFGAMAKRRLSIRDQKAPQGPRPQESSQRSNLS GSFYHSGDSSIDSISDRHNHLNNSRVNIRSASMVNLSNIDYNQTPPENADFLAPVNFD DLHNSIVAEPSLNHFPMPGNGGAENQPPEFPSTNPWAAVTNDENDASGRTRSGSIRRK SEVPRLVGSNLPADSARPSTSGNPRTRRSSLIQATSASSATSRAPRKSVGTGSYPSGV ATRRQSLSARKASAADQQNGLLQPRGQNLDPNRTSDESKLPTHSRTIKAKSLQPPTRE PRENYLSTTTAVDHTRSSSTNAVRTPMKNQLTGPAPTTPSSSNKRVSVMPHHVTGLGA RTISPTDARRLQRMSAAPQVPPVPYTPPTQTDPVPVRPRSCVQSPSYIPRKSVTPSST RTTPDPNRKSYTSGLSLTPNTANSARNLASSVQTRLSQTPSSSSRLPTPKPRVEHVVA DEEEVPPVPAIPKAFESPKGEEEQPIFPTSRKSSLAVDPSRPKSKRDSDLDTNHTGDD SSERSGGSAMKTPEAKPRAPVGVSKKSLQPLKLPPLNLLPLGTPMATKIEALKDREEE GARKAHTPSAQLLPKTPSTPMTASKANFFQARDEDDVGMPLTQARSSTSHFVVSSGTS GLRTASSSSALASFDSTPSANRATSPYVSYALPKSNSDYNYLRSNAGGDYSQKAPQTP KLTSSRPQTQTPVISSNGERISQISTPSEPENAGQPTPSFRSKLHLTRMRSNSKSQQP DANGDPVKHDKMPPPKIPSSSTWNNLSSVRSRSPTLKPGYLQSRRQASISSGNLPTAR KPSFSSEQSLALEPSPSNDSDKSDANSTRSGTSILSPVHKIINSARSSAASNQRTVDP NVDPDAVAGDEEMRRLGSKRKDFESAARELDDLRRKAGPKERVSPAQALRMANLNIFE RGEIIDFKDIFFCGTQNAKKHVGDLHAQAANFGYDDDRGDYNIVIGDHLAYRYEVIDV LGKGSFGQVVRCVDHKTGGLVAVKIIRNKKRFHQQALIEVNLLQKLKEWDPHRRHSVV NFTQSFYFRGHLCISTELLGMNLYEFIKAHDFKGFSLKLIRRFTKQMLSTLVLLHAKK VIHCDLKPENILLVHPLSSEIRSIDFGSSCFENEKVYTYIQSRFYRSPEVILGMSYGM PIDMWSVGCILAELYTGYPLFPGENEQEQLACIMEIFGPPEKHLIEKSTRKKLFFDSM GKPRLTVSSKGRRRRPSSKDLRQVLRCDDEAFLDFLCRCLRWDPARRLNPHDALRHEF ITGVKLPARSRTYTATSSPGKRVATGPLPSTGRPLPEPPATSMKNGSFVRSRDVSGNS PVKPHLAKRHPTVSGSQPSTPGKRTMSTNVTSTPSSALPRVAARSISGKPDLATAAAA TSLRTK ACHE_40554S MEDHTANAVVNRNEPIPVISPRSRAGSVNSTTSSTTNNAQHRHK RSGSTSGRSIQDRLFTKFLQQMFPVEGDGDNEPVPSGDKSTAAVDPKRPAFSLPLMTN NFRRFNARIGIVFSLQNQIERLLNWRKASHTISFLVVYSFVCLDPHILAILPIAAILV YVMVPAFLARHPPPPSSSTSSTTPYYSYEGPALAPPKTIEPASETSKDFFRNLRDLQN CMADFSDLHDGIISMFAPVTDFSNEKLASVMFLLLSVTAVLLFPAAHLLPWRYIILAG GNAIVLSNHPGIQDFFRNLVNDFLNETTGEPTMLEKEAQDLLGISLPSSPYATMSALG PLAEISLDSYTEEREVEIFELQYWSPEPYAESRWEPFLFSPVPHDPLSPARIAGDRPR GCRFFEDVQPPSGWGWKNKKWELDLDCREWVVERMITGVGFEIPGSEADGAGSSEVGG WVWDLPMKTNRDGSSTYDNDPTPISNGEKRKNPPHKRNGQKAPDWEEGSVGSYGIGEW RRRRWVRIVHRVSVEDGGK ACHE_40555S MPLRAPALPCIDIAAVGQQPSSKFRSPEDKLRLWQFLTVSWMAP LISTGKKRQLQEDDVWLLGFEFQHRRLHDRFRRLRGSVIGRLLHANGIDVFIITAIAI VQMLCDFSTPVLLQQLLQAMNDVAAPKSVALTYAFLSLVVRFVATQFQVLLLWYGRRC YERSRGEIIMMIYEKALSRKNIFGVRIDNEHGKPHGDDHDEDTESQNAKKRKLCGLIP WGRETHENTKEAASIGKIFNLLRGDAYEVAQRFWEIDSLVDKPLGLLIAVILVWKLFG PSCFLGILAVLVAQGINAIITRTLLRWERVRRAATDVRLQISSQFVEALRHLRWYGWQ NHWLNQVMDARQSELNLRIVTSLWSILIRFVNVFASGVFPVLALYAYTLLAGNPLRVD IIFPALQLFTMLETRLRDIPGLITVLINASIAVERIEDFMSEPNKEIRPTQTHADSTP IQLESCSFAWPGKRSSVLSDISLTIPNGLTVVSGKVGAGKSALLQAFLGELDRFSGDS HIPNEMVGYCAQTPWLQSMSIRDNILFSSPYDEQRYKRVLDACALLPDLSSFKHGDLS FVGENGIGLSGGQKARVALARALYSTSRILLLDDPISALDHSTAETIVRKCFSGPLMQ NRMVVLVTHRTALVRHIATQIVEIEDGRAIVYDKDAISTAATDDVAQQPPSDDEEAEL HHEDELEGTEAAVPDKFIEEEHRAEWGVKAKVYWDYIRAGKYRWWIALLIVMTIYRLI SVGQSWFLKEWGEAYDQRTLVQAWQGLNSLPINPVDGLPAPLDDVKPWLLAFFLITTF QAFMLLVGQVLMLVIVYFAGKTLFKQVMVGVSHATFRFFDVTPIGRLMNRLTSDIGVV DGNISEQFQIIAFQAITWISSIVVIASVTPIFLVFSLVLTGAFIVIFLRFLPTSQSLR RLEMVSLSPLISNFGELLHGLTTVRAFHAEERFQNRVIDVVDRFQGMDHFYWSLQSWL MYRFENLSGLSTFCLTVLALYTNVTPGLAAFVLIAANNFVASTHGLCKQYGQLQMDFV SVERIDELLHIEQETPGTITPPASWPKYGHEITFEDVTIRYAPHLDPSLSNISLRIPG SSTTAIIGRTGSGKSTLAVSLLSVIRPESGRIIIDDIDIAKVNTQALRTRVTFVAQDP VLFPGTIRLNLDPTSDYPDSECADVLHRLCARHGWTLDTNIEAGGRNLSQGQRQLIGL SRAVLRRSPVVILDEATASIDHETSLEIQTILRDEMKDSTVIMVAHRLEAIKDADYYV VLDGGRVAEQGFVGERRLV ACHE_40556A MTRTLSFLLWVILAVVHLGQAQVGYNPKTNTLLCSKPGGHYCLE GPLRSPIMMSCLSNTDAEIRSCNIELSEILPGGYEETAVCYESSPSAGDAVCAFNGTG YAFDGSRVKLDETVLCDVPVHLLSKRDSSHQEDHATPTTTITVTVWDRTHTQVETQIS PIDATTSAYSSSWPENGYTTTVLSDSSSFSITEFETSPVDSTTPICSNPWPENGHIAT AVTVMPGDPSPIPSASSTESEAEDIDNEETMPAFQPHIFVETSIETESRDDSLYPTII MAIVTEAQTETDSSTMPIDELPLEDEFEPLIFEHSESESERPWMIVSEAESFTTQSGV EFTETVLLLTNKPTLARGMVTETRTTTVFADPAATQAIFTSSGSESERPWIIIDEVES VITSLGQELTGTILLLGNEPTAATTAHATIQTKALPLVSYSLPDPKSGEDPWVTFSQI ESVVTHSGRAMTFTDLVPIGSTTRTASREEEIGISTRSGKLVLSSVSETWTGVNLDRP SQTMGATATGGANVLGLNASRLMLLWLMGMAWSLVVGV ACHE_40557A MTNIRQAKKNRSSLPKAKPKRSGVLKSGRKKVNVLGNSIIAENW DRKLTLTQNYRRLGLVHRLNAPSGGSERRGTTAEGYEEQPDDPLHIKSSAKATTKQLG LGEIKVERDPETGKIIRVIRPDDEIEVAGRKHKISNPLNDPLNDLSDDEGRVTESAKR NDGSVIVQMLERQAVQEGKAVESKKPRHLSKREEEWASRLIERHGDDYSAMARDMKLN PMQQTAGDLKRRIRKFKESQA ACHE_40558S MASGFVPAMRRTGLVLIATVVVFSALAAANAVPVGELSVGEIEE ELQKCPLVEALNEHKRATIPETTSLTSKIFSVLFPGSPAVNALLATLYISGPPNFLLA LCPPNIDPSSLSVMVAFAVGGLLGDTLFHLLPEIFLGEDSPEHVRFVMVEPNRNLLLG VGIMVGFFTFVAMDKTLRIATGGEGHDHSRSHSHAGDSQSQAVTTGAQQTSDNGLKRR KPTTTQQHEPSTSTKNEKEINPSVKLGGYLNLIADFTHNITDGLAMSSSFYASPTIGA TTTVAVFFHEIPHEVGDFALLVQSGFSKRKAMGAQFVTAVGAFLGTLIGIAVQEFSGH GPDATSTGSDAAGAAAGLFGTSLTWGDMLLPFTAGTFLYVGTVSVIPELLETSKNKAV EIRKTVVQFLAVAVGAGIMLAISWD ACHE_40559A MAVVTRRRTNPITLVLAALLAFGFITFLFSPSSSTPSTSTAPDD TSSQLRKGDAAENPLSPPTKPFLRSQPVRDDGYRAPPPVVHYNLNSLTSTSASAKNGE RVLILTPLSRFYQEYWDNVVRLSYPHELVSIGFIAPSTKDGNAAVAALEKAISKTQSG PVDSRFASISILRQDFDPPLTSQDEKERHKMENQKARRESMSRARNSLVFTTLGPSTS WVLWLDADIIETPSTLIQDLTSHNQPIIVPNCYQRYYNKDKKKMDARPYDYNSWVDSG TAQALAAELDPDEIILEGYGEMATYRTLMAHLADVENPDPERLMPLDGVGGTALMVKA DVHRDGAMFPAFPFYHLVETEGFAKMAKRLGYSIFGLPEYFVYHYNE ACHE_40560A MSSTRPPLATATTSGMAGQQNSAATGSDNNNNNGNTAARKAQSK GNYKGFVAGVFSGIAKLSVGHPFDTVKVRLQTSTEGQFKGPLDCVLRTIRVEGVSGLY KGATPPLVGWMVMDSVMLGSLTLYRRLLLEHVFSNPHTRALTPFNRGSQSDMRTLPSL GHGIAGIMAGTTVSFIAAPVEHIKARLQIQYAAEKSQRMYSGPVDCLRKLLKTHGISG LYRGLCATIFFRSFFFCWWGSYDILTRCFNEHTKLSAPVVNFWAGGISAQVFWITSYP SDVVKQRLMTDPMGGALNDGERRFPRWKDAARAVYQERGWRGYWRGFVPCFLRAFPAN AMALVAFEGVMRSLP ACHE_40561A MGYKMYFPSSSCSSSSSSVYPSSHGSSTTSSSSNRGYRRPSLIS RPSLTHPTPVSLSSLRDLTATSSTYNPPPSSSPSSSPSVCSSPTRSTSPITATADNLD TLNLSSSSSRPIPIPKPVSTADLPVTPLTGRFEKGYYFAPQQTDQSPPNERKPSSHKN LDFHGCHRPSHRRRHQHQHHHHHHHSHNLHHNHPRSTPSMRSDNSNFYSPVMSSAMPP SGRPASPQSSRARAQNTTKSVPSFHLSNLPRFHPAAYPSTNSQTTIGQQQQQSQPSMQ SRHHTYRQSSGSSSRDGVWQLMESVTSRVPSGQYSPSPSAPRLDPLMSPGPVTPLALE DGNNYLTSGASGADPFSRDPSNSGSASDKVIAREGDRAWQKNSILNAKGR ACHE_40562S MAKVSSLFPLEQTYYQSLLQRSKMYFPAQDVMLQSLNTVTISKL EYENLLQASYQFAKLKGSLLNGGLSQETLDILIYGAQAQPKPSSQNNDQNNENAAPRH TESNEHTNVSTPAKTAVHTHKYQDDKDTINDYKVPGGFGDCEYDDEEEEEDGVIVSPN SEGKVYSEDTSKGEQSSTGQRTVLIRNLPDRVTHEDITDAVRGGALLHIYLRARDHFA NISFVDEGAAYAFLRHTKIHGLHVAGKRVDTAWSDRQFYLPSYVRAKINGGASRVLVI NNVSPFITEELIRRDLDHIHNLIVISVKFHHGNAYISTNSVHNTLFARTCMMSRRTYK GMRIAFYPDECAEPLAKITPKKDMHPAPNKPVSRPNRFQLLSLDGTENEEEDGTENEE DDYENIHDGLDSHSSVNGGVCWADRI ACHE_40563A MASVPSVQCFGKKKTATAVAHCKQGKGLVKVNGQPLSLVQPEIL RFKVYEPLLIVGADKFAGVDIRVRVSGGGHTSQVYAIRQAIAKSIVAYYQKYVDEHSK NQLKQALGQYDRTLLVADNRRAEPKKFGGRGARARYQKSYR ACHE_40564S MSQTVGRTRLAYSRAWHHVDVGSDPRSLGRVASSIALFLMGKHK PIYDPSTDCGDYVVAVGCHDLRTTGKKRFQKLYYTHNTRPGSLKSMTMDKMIEKWGGG EVLRRAVKGMLPKNRLQAKRLARLKTFEGVHHPYKENIIKYGNQSVIGSLPEVQDAFK VEAAKEASS ACHE_40565A MSSRLSAARYGKDNVRVYKVHRNPETGVQTVFEMTVCVLLEGEI DESYTKADNSVVVATDSIKNTIYILAKQHPVTPPELFGSILATHFIQTYRHIHAAHAT VVTHRWARMDIDGKSHPHSFVKNGEETRTVQVDATEGVDIDIKTSIRGLTVLKSTNSQ FWGFLRDEYTTLPETWDRVLSTDVAADWQWKRLRDLEDVRSNVPKFDATWEAARDITL KIFAEDNSASVQNTMYKMADEILAYQPLVETVEYSLPNKHYFEIDLSWHKDLKNTGEN AEVYAPQTNPNGLIKCTVGRSPPDQVKGKL ACHE_40566A MVLVGNKMKLASAISPDELATFVIQARLALLDDESGRVEDDEPF FVADLGQVYRQHQRWTRNLPAVQPFYAVKCNPDLTLLSFLAELGTGFDCASIDELRRV RNLGVDPSRIVFANPCKAASALCTARDMGVTKTTFDNLDELDSIKKFMPNAQLFLRIY ASDDDALIQFGDKFGASPEATTALLERAWDLGLEVVGVSFHVGTGATNHQSFVDAMER ARAVFDQAARIGHHLQYLDIGGGFQDSGFERMASGIREAVKLYMPPEVRIIAEPGRFY ARNAYTLVCKIISRRRQVCNDNSNPDMLYQNDGVYGNFMNVLIEHEAMCPSLVTPALS LTASRADSSREDGEHWYSIWGPTCDSVDCVTRKMRMDSEVKVGDWLMYKNMGAYTTAT GTRFNGFGAQHAVIYINSESQTGDGGMMPLGTTESVIQYETRSGQPEIMSCST ACHE_40567S MATKKNAHPPWMLRFRSSTGFIIATVWTSCFTDYFLYAMIVPVM PTALSDRAGVPYEDREYWVSVLLMCEAAVALVCCPIFGYLVDVSRTRQYPFFLGLILL GGSMGMLSVAHAVWLFVVARLLQGGATAMVAVAGLALMTDTVEFNNLGQTIGYLGSAI TLGFLLGPLLGGLVYNAAGYNAVFAMAFAIIGVDLVMRVAVIEKKVARRWISTGHEEN GNGNGHVYSSGGGYHTFPGEFTEVEEDKGKFALLEIARQPRVLISLWALLVQGLLFSA FDATIPIFVESMYGWSPFEAGMTFLPSAITALFEPYFGYISDKYGNRIVTFTSFLLLS PPLICLHLVEHNGTAHKILLITLLTIIGALLNSAIPALFVETQQVLEDMERAEPGIFG RKGAVAQAFGIQTMAQFLGLFLGPLWGGFVTYRFGWKSMAWSLGVLAGVTAVPMLWLS GGPGSDTGDRESENEEEQERLLDS ACHE_40568S MHSLRNTRLPFKVSLSLLSLSLILPIQTSGLLFKPLTLPPSHPL SLQSYQPLTSATTTTTITTNNTTPSPLTLSTNMTLFYPAETAPHKATILGFPSTHAVP RDLLNQTRNEIMDLAVVISEFEPVRVHVRPEDESLARRILNERFQKLEDINNNNNNNN NNRTKKAKAKRFNLLNRRTRDNNSKEDDIPQYHLDRITFHPCPTNHPWVRDTAPVYVL DKSNPAHRIAIDFGFCEWGGKNLREINVRNEPLGLEADGEDERSPVSSRKGYAAPDNR HWENARFAKRVLEREFDLDEQAENNEIKVERVVSPLRLEGGALEVDGEGTFLATESSI ICPHRNPSSSLTTREAIESHLRALLGVTKIIWFPGRKGLDITDCHVDGEVKFIEPGLV VQNKPHPKTGKAEMEIYKEIKEILGRETDAQGRKIRVVELEAADPDLVGWGGDYDEGG EEELEKEQEEAAGTYVNFYFVNGGLIVPAFGDQKRDRKAVETLRGLISPERKIRQVYV SALPLMGGVVHCVTQQVI ACHE_40569A MSTNRPFLANFLAAFRAQSSSNFKASSSSTTASSSTQNARTIAT KASSSSPGPSSSSASGSATAVAAAAAAASASATERGSSPNTTAAANRSSSPTTESYPS TSSPIPITNANTAANTPAVSEYHQRRRGSDSSSGSGGGSGGGGFRDALGPEKWYIGGR SPGGEERFYRLGMVTKGGGRLGAMARVGSFDQLSL ACHE_40570A MDPRSHPSRPPSTSLPQGSTPLSSTPISSMPMPQYTMQPHYPVS QPQTLPPLQPHHSQSPAPHSYMGQPPYRPDLSRFPASSTHDVYASSTAPIMPHTTAVG SLPPSSFLSHPNPQAQQAQQPSQHYPPPHSVLPPASSAQSYPQPIAPAPPRDRRTDYS GLPSGAFSYPDGKTTTPWVNQDPVATANGGSPYAPKDSPRTQVVGSQGRRGILPSVPG RATPVANGVNGAAKNTTIPAKDADGKFPCPHCNKTYLHAKHLKRHLLRHTGDRPYMCV LCRDTFSRSDILKRHFQKCSLRRGNPTGATHLSHPQAHLKRSQAANATKPIQDEVSTT APTPTTGVAGTTFGGGAPAAAGVAAASVNGHGLANTGHPATGFPEQQPPQQPQPQQQQ QQQQPQPPLGFTMSSVNGMNHGPTEDAFPAGQPHRAWMAAPKQNPYLMQPGPNPSISQ QLNVDRHSFEQVNPPIAHDPNKRPVLPGATHTGEIDWTSMFQPGTSDGYMNPVFPQSM ASGQDSIHAHVDAERKYYPATTGPQEGAGMNGLYLASTTLSGDGTPFFP ACHE_40571A MKMPKETKQASVSTSVDGHTSPTTVVTTILPDGNVPRTSTRNAD EQVIVALGYKQEFKREFSLWTTFCVSFAVLGLLPSFGSTLAYGMGYAGTAGMVWGWII AMVFIQCIAMAMAELCSAMPTSGGLYYAAAVLAPPGYGPFAAWIVGWSNWIGQVTAAP SVNYSLAAMILAAVSIENPRYEPTAWQTFLLTALLLAVHAGISSMPTRWIAQFNSYGS TFNLLALISVLIAIPVQIATDDNDKRPWGFNSSSDVWGNINNRTGWPDGIAVLMTFVG VIWTMAGYDSPFHLSEECSNANIAAPRAIVMTSAIGGVLGWLLQLVVAYTVHDIESVM NSSLGQPWASYLLQVLPRKTAIALLSLTIICGFSMGQGCMVAASRVTYAYARDDCFPL SRIWKRVNTKTQTPVNAVLLNASLGVLMSLLMLAGSVAIGALFSIGAIAQFVAFGIPI AIRVFCVGDRFRRGPWNLGPAWLGRTVGGVGVSFVALMVPVLCLPQVRGERLTPQLMN WTCLVYGGPMLGVVVWWVVDARRWFRGPKVNVEHVYEGTSPSGSYTERLGSV ACHE_40572A MKPSMLVWSLLSLVSIPSTFAAASEGSEHRLVTRAGSEKDAKSI STTFNGVEVPPMRELTPDNFQDLTKEGYWFVKHFSPSCPHCTKIAPTWQTLYEFYYTS NPLSSSSSKSPDTQSLNSFQKFYDFHFASMNCLAYADLCSELDVGYFPLFALYHNGKL MEKYEGPKDMKGMSEFVEEKLELIRPGSRPVEGVKLPEPGEKKVNPKAEPEVPAAKDK NPEGGAKAGEKHNEKAAQLASSGKSKPKPKSAPANPQGISVPLTAESFQKLVTTTQDP WFIKFYAPWCGHCQALAPAWSQMGREMQHILNIGEVNCDAEPRLCKDAHVNAFPTMYF FRGGERVEYNGLRGLGDLVNYAKRAVDIGSGVQDVDAVAFQELEEKEEVIFLYFYDHA TTSEDFEALERLTLSLVGHARIVKTNSAALAERFKISTWPRLLVVRDGRPTYYNALAP KDMRDFRQVLNWMSSVWLPIVPELTASNAREIMAGKYVVLGILSRRRSDDFILGKREL KNAAHEWMDKQTQLFQLERKELRDTKQLRIEEAEDREDQRALRAAKNMRITIREDDKK QVGFAWVDGDFWERWLRTTYGIDVENGERVIINDEDVSIFHKPKELVDSNTLFLEPTL LGHLVQRSYHHGLAYFDS ACHE_40573S MRSTFRLLANVKPRYLEPFAPTGLTGLLTHPSPRPTLIFLYQST LDKLKHFPESSVYRQSTEALTRHRLQIVESTKPPGFEQWLERVKKTVGAEPERFASLL LQNGQYAASQQSDGSENPRGEEWNGESLETTTEGPARTAAQEAQWQKLMEESTKETHE SDFYNETMKWEAEPALEADQVSEIEKQIGAGLIEEVIQVAEGELKLVDHMYKSEAWEE LEEKPSPGQWSYFERE ACHE_40574S MRLDVKRQLFARSERVKGIDFHPTEPWILTTLYSGHVYIWSYET QSIVKTFELTDVPVRAGRFVARKNWIVCGSDDFQLRVYNYNTSEKITSFEAHPDYIRS IAVHPTQPFVLTASDDMTIKLWDWERGWKCVQVFEGHSHYVMGLAINPKDTNTFASAC LDRTVKIWSLGSPHANFTLEAHETKGVNHVDYYPQADKPYLLTTSDDKTVKVWDYTTK ALIATLEGHTSNVSFACYHPELPVIISGSEDGTIKIWHANTYRLEQSLSYGLERAWCV SYQRGRQGIAMGFDDGAVVVKMGREEPAVSMDSSGKVIWARHNEVVSTVIKGGDPTVK DGSPLALPTKDLGSCEVYPQTLSHSPNGRFVSVCGDGEYIIYTALAWRNKAFGQALDF AWGSKDNSNDYAIRESPTSVKIFRNFKEVSGGLDVGFQAEGLSDGVLLGVKGQGGIGL FDWETGNLVRRIEVEPKGVYWSESGELVTLACEDSFYVLRFSRENYVNALNEGEVDED GVESAFEVVTDINDSVQTGQWVGDCFIYTNTTNRLNYLVGDQTYTISHFDQAMYLLGY LPRDGRIYLADKDVNAVSFGLSLGMVEYQTLVLRGDMDQAAELLADIPQDQMNKVARF LEGQGYKEMALEVATDPEHRFDLALSLSDLETALEIARESNVEHKWKTVGDTALASWN LSLAQECFTNAKDLGSLLLLHTASGNREGLKALAQQASEAGLHNVAFSTLWTLGDVDG SVDLLVQTGRLAEAVLFAQTYKPSRAPKLVTQWKESLEQGGKSKISRLIGVPPGAPEG VATDDDLFPEWDEYIRIEKEGDAAAPATEPPSSESLIDVETDEGGNTESATNGAAETE AGEAEAEEA ACHE_40575A MSWKLTKKLKETHLAPLTNTFTRSSSTSTIKGNEPNEDTAQTPT VTSPNPDGISASESLVSPPVAPVKPGILIVTLHEGQGFSLSPHYQQIFNQHFQNSNGY GRPNSSSSHSAQGQAGSFIRHTRPQSTSAGINAAPTIHGRYSTKYLPYALLDFEKNQV FVDAVSGSPENPLWAGDNTAFKFDVSRKTELTVQFYLRNPAARQGAGRSEDIFLGAVK VHPRFEEAQPYVEDPKLSKKDNQKAAANHASQERGRGQLGAEWLDLQFGTGSIKIGVS FVENKQQSLKLEDFDLMKVVGKGSFGKVMQVMKKDTGRIYALKTIRKAHIISRSEVTH TLAERSVLAQINNPFIVPLKFSFQSPEKLYFVLAFVNGGELFHHLQREQRFDINRARF YTAELLCALECLHGFKVIYRDLKPENILLDYSGHIALCDFGLCKLDMKDEDRTNTFCG TPEYLAPELLVGNGYTKTVDWWTLGVLLYEMLTGLPPFYDENTNTMYRKILQEPLSFP GPEVVPPAARDLLTRLLDRNPQNRLGANGAAEIKSHHFFANIDWRKLLQRKYEPSFRP NVVDARDTANFDREFTQEAPQDSYVDGPVLSQTMQQQFEGWSYNRPVAGLGDAGGSVK DPSFGSIPE ACHE_40576S MGVIRKKTASRGTEAGTKYHCDICTVDVTSTVRISCAHPACPEY DLCVPCFAAGEKSKNHDPSTHPYQVIEQNSVPIFDEEWGADEELLLLEGAEIYGLGSW ADIADHIGSFRTKDEVRDHYINNYVDSPHFPLPANADPDDTTLPDSISKEEFQARKKR RIEERKENAKSAVPTTPKQKPTASVPACHEVQGYMPGRLEFETEFVNDAEEAVQHMSF EPGAGILPNGENDAEMELKMTVVDIYNSRLTARTERKKILFEHNLLDYRKNTALEKKR TKEERDLLNKAKPFARMMNRDDFEELNKGLEYEHNLRLAISQLQEWRQMGITDLKSGE KYETEKQQRLQRLMPQGSFDRFASTRPTKQAQQPEHPTAASALTTPELPLRLQKAAAP PQTPSKQQSQPDQQQQQQSTNEPLNDFDRAFAANGDTGTPQSQSQQGQQPQQPPPKTK FVIQPLTGVTPWKLETEGAPDLHLLTKEEIEVCNVLHIQPKPYLVVKETLLKEAMKQG GGLKRKDAKAACKIDTTKTSRVYDFMVHSGWINKA ACHE_40577A MGKKAIQFGGGNIGRGFVAAFLHDAGYEVVIIDVMDNVINSLQN TPSYQVTEVSEKGEETKTVSNYRAINSKTHESDVVKEVATADVVTCAVGPNVLKFIAP VIAKGIDARTESKPVAVIACENAIGATDTLHGYIKEHTDDSRVKSLGERAQFANSAID RIVPNQPADSGLNVRIEKFYEWVVEKGPFGSVGHPDIPAIHWVDNLEPYIERKLYTVN TGHATTAYYGYQSGKKMIADSLADDKIRGIVHNVLAETAALIVDKHGIPEQEQKQYVD TIIGRISNPYLEDQVVRVGRAPVRKLSRKERFIGPAAQLAERGKKFDALLGSIEMALR FQNVEGDDESAQLAKILKEKSAADATSQLTELDKNHPLYAPVLKLVEKVQQDSK ACHE_40578A MLSEAVYNEYNAPSKMPNWIRLLPTIGAFISFILSLLCLFSGTR MNFLTGNDIFKLYTPIGNGTGLQDFYAIYPMSYCGGFLGDGMNMSGCSGYDILFTFNA TQVLLEDSGNNASLEELGWPTAISDDFEAFSATKQSMGVFYCIAAGIAAVAVLIRFGL LIARRTKQMIFELPILFLGFVSLSVASVIATVIALQFVNLVNSHGRESRVTAEYGQQF LGMTWAATGLLLAGSIVNFVFVLFDRRPETGYVQPIEHDEHDGPDETHEPKGMED ACHE_40579S MTDFGLRAPHGPDMSGTHQPLEDMDINEKGAFDALIRPDDCYTP EGTYWADLPLLQKIRFVGSYDAKESKRELGNIWQMFKNDPLSPMSYYFRNMVLPGAGL GLEGYVLFSIGNIKPLFESVFSNCWDTHEVCNKQWISAVEYLEIVGIIVGQILVGFLG DWLGRRWGLIQDAAIMFIGLLMLTAAWGLTLNGWVICYAWSLFFYGIGVGGEYPMTAT SGMENAVGSGKISTKEDRLHRGRKVTSAFLMQGWGQFFNQVILIILLLCFHHGSGNPP YSTVAAQWTYRVSFAIPAVGTLWLVYHRAYHMKAASKQLAAAKKNSSVTGYDRQSLAL TFKYFGFRIVATAGAWFANDVFFYGNKLFQDEFISVISPGSDSIMPTWLWNLCNVGVS LAGYYLASFLIDNKLYGRKWMQMIGFLMCFILFIVPAFHYQYYTSPEHIKEFQTMYFL SSFFNQFGPNSVTFLVAAEVFPTPIRATAHGMSAAAGKLGALLASVLYNYIDTQTKFY VVPWFGLGGMLLTFLFLPDTTGLDLKEQERRWNYLRAGRENEYHGPAVHSKHLSLWER LRGVGKYYDAEADYKQKVEEYRAEWEAAMAQRMSEKTPGEEFAVDTDETLFEGHVHSY FHRTSPMFRPMEQTGPNKTDNFALPPAAQEGDSVDSYEEK ACHE_40580S MPLFSFGSNSCGQLALGHVEDVSVPTKCLFYEYPDPTTQNDIIR IAAGGNHTFVLFGNGAVYVAGCNVDGRCGWQQQGNEDERLLQFRRVILTTEDGKVYGT FKDVSATWEGSFLVAQSGDEDVVIALGTGIKGELGLGTTKTQASTPTVIPDFPPPGTH VVSIASGMGHTAAVLSNGEVYGWGGARKGQLGESAKAGKIAWSPVKVAEVPFYAMTVT CGREFTVVSSDEEKGEYVVAGSSDDKWGILSGRPGSISSDGARVLPAFTGISAGWHAV YVHHRRQHDSDLSITAWGRNDRGQLPPADLSSAKILAVGSEHALALLDEGTVVAFGWG EHGNCGTEVDAQGNVKGRYNRIEMPEIGHEHEVVGIGAGCATSWIITP ACHE_40581A MAHLSFSLAPASLVRLHEALTCLVKFNESVALEAEYDLLRLSVL NSTKTAYSAFVLEADSFFENYTFSPSSDISSASRSNRRPDRFCCQIYLKALLSVFKGR TSEKDKDTAVERCEVEIREDAQQTECRLVIRMICGLGVIKSYKLTYEPMNVQHAIFDR SRTTNKWTIDPKFLREIADHFSPSAEQLDIHPEGDKVIFTSFTTKIAEGKEILKQPVH TSVAIDKKDFEDFLAEDNLHIAISIKDFKAAITHAETANALLTARYTRPCRPLQLAYE FEGIKTEFTLMTRGEASGDNNAPNSSRSTARESLARQSQPTAQVNPNRPGQTPENRRT DMFPSLAPAAAASRSRPIRPLTGTPSALRETNDGNAENQRPAVASMEFDSLFVPADDD RQWDVPNDEEESEDMLGWDATADQETFNASLGAQLQDNEYHTSRRDEPVEQQQDDMGI PPTQRMSQLHGLGLFD ACHE_40582S MAPSLPAEVLYMILDQLRDERDYNTIYQFALCSKYFTEPALTIL YQLYDTAPVTGGGATEDEQIKARRTGGSVEDAKRQQERTIWKWAAMWRSVILSAIDQT YLPYCNYIRHLNLEDLSDLLSHSGFKDKTHDYFFTSELREAAGQHNWNRRLRSRSAQD FPLLVTGLGSAIIKNTNSIRGLSCNISTDTLSDWLEHLPSLQTLSIWDGGNLTQPVGN KIRNHCPQFKRITAYRWASNGPWHTEAESERFLNELRPHTLEHFEVISFNFLSSDSIS GLSTHLSSLTELKLTSLGLNAIASLPSLTAPPALRALALTDSKVLVDNDLVDSIITRL GQWIHSCKALKELHIRRFVFDPNTLLSQALANGGPRLTTLSLSDYVASEARDFHETLG SQKTLQNLYLSGEGMEPVEDNVHLVQAFAELNEIRVLELKGVSNGFTQDHVMALVALI PRVERLWIDGDYFDDSIWLAFSCLSKLRSLVIQALSEFSEQAIISFVTKLKSGSHGFN LSIMNSVTEANLSEDAQKSIRDILIGGLFEFGLAQEEFSDMSSEEFSD ACHE_40583S MPTARERLAELRALRASGKKRIDTYEVEDQGDIYDEVDDEGYKK VIRNRLDQDDFVVDDNGAGYADDGREVWNEGVPQYDSDSDEDVELPVRGKAAKRKHDE EEQRKEKVNNGISKYFKSGAASAPKPKPVATAEDDSFMAGLLNEVDTNVVSNHVPTHN VIKSEARRKVRILSPPVSQKPPVEKHEKKDENAGPISPVRHEPPADIDDDDGPLPQAD DDDVPMSDPMPSSPITKAIERKTTIPIKEEFDDDDDNDLMEVAEATGDNENKTSSINM IGSRLPPKIKQENYPTPASSSPLKPAPDTVSPTWNDVRSKLNVLSSPGGPGPEMRTFG KLQAQDAVEEDGSLRMFWIDFTEINGNLCLFGKVRNKQTGAFVSAFVKVNNVLRKLYF LPREHRQKHGRETDEEVDMKDVYEEVDEMMSRLKVGMHKIKPCTRKYAFELSDVPKET EYLKLLYPYDKPTLPMDVKGETFSRVFGTNTSLFEQFVLWKNIMGPCWLQINEADYSA VNNASWCKFECQVSNPASINPVPETENLEAPPLTLMSLSFRTQLNVKENKQEILVASA RVYENIAITDTTPPEKMPCKTFTVMRPPGSTYPLGFEAETKRQRGTFMLEKSEQFLLS KFLALFERMDPDVIMGHQLQEVDLSILLSRLKEKKTPGWHRLGRLKRGEWPKNFNRGG GFFAERHLIAGRLMCDVANDMGKSLMMKCQSWSLTEMCELYLGQSNLRQELDHEAALK TWATSKEGLMNFVNHCDTDTYFIAALVLRLQMLSLTKVLTNIAGNSWARTLSGTRAER NEYILLHEFHRNKYICPDKYASKLQKAEEKMQEGDEDESVDKKKKDKYKGGLVFEPEK GLYDRFILVMDFNSLYPSIIQEYNICFTTVERTATAENENDEKVPEVPPSDQDQGILP RLIATLVGRRREVKKLMKDKRATPEQLALWDTKQLAFKLTANSMYGCLGYTQSRFYAR PLAMLTTFKGREILRSTKELAESRQLRVIYGDTDSVMINTNMDTVSDALKVGEEFKKS VNERYRLLEIDIDNIFRRLLLHAKKKYAAINMTEVDGKYVDKLEVKGLDMRRREYCAL SKEVSQRLLNEVLSGEDQELVLNRVHDYLRDLAAKMHDYSIHVQKYVIYTKLSKRPEE YPNKESMPPAQVALRELARGKTVRPNDVISYIVTNGDAETASLAPAKRSYTLQDVVKP DSGLKPDIEFYLLKQIFPPIERLCAPIPGTDAVRLAECLGLDVRKYQINNTSSGGNQQ NMDIFPLESQIPDSVRFENAVRFTLTCRSCKERSVFEGLGASSGMCTPHGLICPNQGC QKPFPVLTIVAQLESQIRAQTSKYYEGWLICDDSACGNHTRQISVYGHRCLGPRGHAE GCLGRMTYEYTEKQLYNQLLYFAGLFDVDKIKAAAAKETGEKKDSLAALVEFNRTRFD TIKSVVDGYLKKCGRQWVEMDSLFRFML ACHE_40584S MVKKVLVIAGSDSSGGAGLEADQRVLTAHGCYALTATTGLTAQN TLGVQDIFVVPAEFVKKQINAGLEDVGADVVKLGMLSSTETIHVIAEALESHKVPAVV LDPVMVSTSGSQLLPEAAVKELRTRLLPMTTVVTPNIPEAKLLLKDAGKDAPEPEGLP SLIQLAKQISALGPRAVLLKGGHLALTRDHKIARDQREASLVVDVLYDGKDVTLFETD YQVSKNTHGTGCSLASAIAANLALGKTLKRAIRSAVRFVEAGIKTSTDLGKGSGPINH FHSFYSLPFAPGRFLEYVFDRDDVRPVWKHFTEHAFVQGIANGSLPEARFKHYLVQDY LYLVHFARSNALASYKGKSMESIAASARIVLHIEREMALHLDYCASFGLSKQDMESFP ETIACTGYSRYILDVGQSEDWLALQMALAPCLIGYGAIAKRLYTEEQTLREGNRYWKW IENYVAEDYTEAVQLGSELLELHMRKISPNRVEELIKIFIRATELECSFWDMGLGHQV ACHE_40585S MSYSGGYRGDSYRSYRGGYSNGGSNGYSNGGGSYGGGYGGGYGG GGGYGGGGYGGRGGGGGAGGDRMSNLGSGLKKQDWDLDTLPKFEKAFYKEHPDVTNRS QQEVDDFRKKHEMAVQGRNIPRPVETFDEAGFPQYVLTEVKAQGFDRPTSIQSQGWPM ALSGRDVVGIAETGSGKTLSYCLPAIVHINAQPLLAPGDGPIVLVLAPTRELAVQIQA EITKFGKSSRIRNTCIYGGVPKGPQIRDLSRGVEVCIATPGRLIDMLEAGRTNLRRVT YLVLDEADRMLDMGFEPQIRKIISQIRPDRQTCMWSATWPKEVRQLASDFLNDYIQVN VGSMDLSANHRITQIVEVVSDFEKRDRMVKHLEKIMETRTNKVLLFTATKRVADEITR FLRQDGWPALSIHGDKQQQERDWVLNEFKAGKSPIMVATDVASRGIDVRDITHVLNYD YPNNSEDYIHRIGRTGRAGAKGTAITFFTTDNSKQARDLVKILTEAKQQIDPRLAEMV RYSGGGGGYGGGYGGRWGGRGGGRGRGGGGRGGGFTASNAAPLGNRRW ACHE_40586S MDNRPASEYAQSDQASAAAAPAPYTPQAEVRPAPQYTPQPDVRP TGTTANISSSNTPQSDYGLNQPPAAAAARSPAYDYMPRPQYHHAPSTQAGGAAGMAQA TNPSIAASSPTYPPPYSPYQPQGHDMTQYQGHPPPPPPQMYARPEWPHGYAQHGHHMP PYTAPATTVYSFVPIPGAQQHKRPRRRYEEIERMYKCGWNGCEKAYGTLNHLNAHVTM QSHGAKRTPEEFKEIRKEWKARKKEEEAQRKAAEERERAAAQAAQANHVEAPGAPDPT QTAATQPPAYPGGVRPQLPPIGYQPADGQVHGGYGATGGMVYQNGQMAYPPNYPHSPY TQGGQMYQPQNQPGSYPQ ACHE_40587S MLRCSNVGALQTAAGRVTALKLIPPRCVGYSGTRAIGLQSPISS SAIARRIPRRGNLGPSLFCARSVGGLRTYATDATEDIAGEENDEAQLTPLPTHEKPEK SRGAPRKRDSLLHELAKEGTSPKLREDRKQREWSNFSLNEIKRAETAANKEVIWLTDR ATLAERVEKVLQKRDALFAATLVRKAQGLGLGSTAAWNYLMEYCIRENEPQAAWRFYN EMKKRGRQPNTRTYTLMLSGLGRTTNQLGFNNIKTALSIYESIAETNNGIEQSIVHAN ALLTACLRQGDLDTLWKVAAELPEVGPQAPDEKTYTIILKAVTFSLERDVKEIPSEDV DKIIERRHEAVIEAKKVWSEVVYLWKAGRIPMDTYLANAMAKILLQGTSDHDCHDVFK LYRQVCGTPVLVEEPRKPRAPTPPSPPPRSSPPPKPKRKQKKEEHLIAPDSDPDRNYV PFVGEDEEPLYKSREAEEELEVMEQEPEEEVSVNFDHLFDPVFSGVAPAAEQAATAEP QPAVQDATIREQATNAQETQTVAQDAEDTSSEGTSSTAESTAETPQAVETNVVTSGRE IKRPSFRTLVPFGSSELCYVLEACMLMTQGTPAARANWEYFTQSDNPHKIQPDKESCL WYLRILRQSHSSRLTIRLLQDQMIPAKLVDGRVFHIALSCCRRDRKNISIFRNANEIL DLMHQSIVLPDPRALEGYLELVESLRRNPQRLTILQGLEPERKKPAASLEAWGRELQV KLQLLAVQALRPHIAKFHDAMQQADNDLHAQGRSSKNKKHVAVYGSSAVKIMLTARLM MDNLLTRSNASLISEEDRKLLEEGSHRLRVYSTPGASKKFHNITVFPTPKQLRAFENG GGRAVVGEIAKRGWRHDGE ACHE_40588S MKGKYRLLILDGHGSHLTPKFDELCSQNDIIPICMPAHSLHLLQ PLDIGCFSVLKRSYGRLVETKMRLRINHIDKFNFLEAYPHTRFETFKPETIRNSFAAA GLVPFDPDRVLSKLNIRLRTPTPPVSWGSESSRNFTPKTPQTLKQLHRQASSIKRLLR QRSQSPPSPTHRALNQLVKGCQLAIQSATILAKENTELRAANEKQKQKRTRSKRQIPH EEGLSVLELCELVTQPEEAIEAPPPPQPRRPSPPLQPRTRALPRCRACGNEGHKRNAC PVRHN ACHE_40589S MASAHASNYPHDDLRDDESILDDDVIEADDAIEADDPLHQDTSD TAPLRGNIQPEPSSSRGGGSGGGGFSSNYLTSSIPGEDRRATQNTIDETVWQTLSRDL TAVWEKMRQVLWPKYLMGGMLQRGGGGIGAAERGEATGFGGGLRHLVGRWPDADVVLQ GGMSEGLRDWDLWGPLIFCLLLSMFLSMRAKGEQASLVFSGVFCIVWIGEAVVTLQIK LLGGNISFFQSVCIIGYTLFPLVIAALLSAMGLPTIARIPVYLVLIAWSLAAGVSILG GSGVVKNRVGIAVYPLFVFYIAIGCLCFIS ACHE_40590S MASNSRRNAEVEGDVPMEPVEQKATTDETQTSDNDGGERPVRRK LKETSITSAPQSLNPANAEESQHGGNSRASSRGRKRSFNEDEQENNEEDAGHRRKRSR SSNVEEGIGKVQNDLSSKIMSPQKKRSRDQLDKEEPKIGAAAGKKVTEDSAEKEAKPA AEGEPEKKRHRDDSQERGSKAPLPSAFANTSSVSPFGSIGVSKQAAKEEDIANKPQTT SKSAFASSSLAAFSGSEQSPFSSIGGSTTSVFRKPATTEPEKPKTGFAAAEGLSPFAA AAPSGFGGMSSGFAGFSGGFGAAAKTGGGLTSFAAPGGSSLLNSTSAKPFGAADEDEK EDDEEEDEAEKGPGEFEQDKTDERFFEREIETGEEEEKTYFSCKAKLFQFSDKEWRER GIGTFKVNVKVTDGKEDKKAVRLIMRADGVGRVMLNTPIFKGMNVGDATGKEPKATKQ ILLASLESGRSAPILLRTGSEDNARDLYRVVCDLLKEQ ACHE_40591S MAGSMSDSASQAALKRKRTTDTGEGKSVPYTHLRNSAPPSTAEQ AAVDGNAVSSEALTSQGKAKRAKPSKSFTPAQTNNNGTDSNGAKTSHAPRHPRKSRDP AKRTPSAPWPDVFKDLARTHSALNLVYTFCCTRKHFATTFDNIKSAVQAQLGGNRELT VEDIARMKVLVPRAVRLDYVDEAKLEVMAVGGKDMLDYKLKGFDDGSMGHNNWMGTSE GTDPEGIKEVLFFEFLDGDLKREIQRSKNTDEPTKPARKKAMEDLRMPVYSQKQILKL IEKRNAKFSDAVDAFLVQCEDEGLEPMEQLERKKDMMIPSPPDSGVNTPAATLASKPQ LPREIPKERKSISEIIEEIRELDWYSAQIVPDGHRAFDAQPSVYGDLLFQLTQNMVDA LYNTKGITQFYSHQAEAINYLHQGHNVIVSTSTSSGKSLIYQVPMLHELERDHNSRGM YIFPTKALAQDQRRSLKDLLQYMDGLQDTIVETFDGDTPMEDRNLIREEARIIFTNPD MLHITILSRESAWRTFLQNLKFVVVDELHVYNGLFGSHVAFIIRRLRRLCAAVGNHHV KFISCSATVANPEEHMKAVFGIDDVKLVDFDGSPSGRKEFICWNTPFKDPGDPTSGRG DCVVETARLFCQLIARGVRVIAFCRIRKMCEILLQAVRNEFHNLERPEIGKLVMGYRG GYSPQDRRRIEKEMFEGQLMGIVATNALELGVDIGSLDAVITLGFPYSISNLRQQSGR AGRRNKDSLSVLVGDRYPTDQYYMKNPNELFTKPNCELQIDLANELILEGHVQCAAFE MPIKPDQDSVYFGEKLPALAATRLVKDEFGFYHCHERFRPQPSRCISIRDTEDQHFAV IDTTHARNVVLEEVEASRTFFTIYEGGIFLHQGQTYLVQELNTDRRFARVVRVHVDWT TMQRDYTDIDPIETEAMRIISDKTSSSSSSSSPPRVYYGSVQIHAVVYGFFKIDKRGR ILDAVAVDNPPIDIFTKGIWLDVPKRALEILDSRRLNIAAAIHAAEHAVLSLLPTFVI SSPGDVRTECKVAKKELGKNLKLANEIPTGSANTNAYQPRTQKTRDLQPPSRKRPARL TFYDAKGGSCGSGIAGKALEFIDVLLRRAISRIETCHCITPQGCIECVCDERCKEMNV VMSKAGAGVVLRCLLGLEVDVDALPWGEEYFEDEGIDGGSSGAGGELAGGLETVVEAQ EVPWKRGCSPSL ACHE_40592A MANPYTPPQASVMGYPQSQSQLQQNPTQFPAYSILGPSQYSESV AFWHTPSPVPPFISSTQNQQRSLQPGTEHKKHRRTRSGCFTCRSRRIKCDEARPVCDR CRKGNRDCVYPSASGSTSRSSARSRSKSKGPSSRSQGTDSPSQVEQDDGRALNPIADN EEDEERSPGSGPQLSPAMGTARSLPVLLKTQNSSQSPTKSYLQSFEHVSSSPSTEASP MFETMSIRSGSTGLPPQEPVGSLGTANLAEDLRFYLIFHQEVLTYRHYLLRHPCHRFV HQTITELALQYEPLLHAVVGFAAYHHCVQSGNGKLCTFLKYYNKALTLLRESLSSGEK HTEAMLITVLVLTTFEELSGDWVNLISHHQAAHALMSELLTPQSINRDEMHSHIFLWY SRFDLVAGILAGNEAVLGRDWYISKEQYDGEQAALYPHDAQKQIDFAVSINRRFGLDM ASLYAKLSRGIISIDDFIVQNQNLSQTLERMKDILIALESPEHTVQSFPNRQPLTEDD PFDPYIPGSLSTGPLWRINFAWIDYLSTRTMFEYQSFLTLQQPSMFELQRLASEQCRL IETIDRWPEKENGYFFAFNNCLGIASILLPKDEKHIMWCRRKLVKMEQNGCVTAPRYR TRMAEIWQKPELNHWWLPNDEGYPDIVREVRALTEERTNDPQDDFRESVRDMKTLFWK ITLDDSESDRSSPSTLASGT ACHE_40593A MSSPIHLTILISGSGSNLQAVIDKTNAGELPTKIIRVISNRKDA FGLERARRADIPTAYHNLVKYKKRHPATPEGVQAAREEYDAELARLVLQDAPDLVVCL GFMHVLSPNFLGPLEEVKLKIINLHPALPGAFNGTHAIERAHTAWLEGKIDKTGVMIH NVISEVDMGKPILVREIPFIKGVDEDLDKFETRVHEIEWGVVIEGIKLAIEELKGMKQ ACHE_40594A MRKQHGEASSVSQSAAEEMKAIQTIAGEYNEEDIFNMDETGLFW RQPPTSGLGAPTRPGLKKEKARITLTVCVNSTGSERLPIWIIGTAKMPRSLHGINISA LGGVWRSNKKAWMTTFVMSEWLQAFYSYIGLSRQVLLLLDNFSAHTQAVNITPPPANI KIQWLPANSTSVYQPLDQGIIMNLKTYYRKAWLHFIIESYEHQQDPMSSITLYHAVRW VLRIWRHDVNNTTIYSCFRKSQVIQPQISLPAEPAPDLTKLYDEAQQAGHIRDAMSLS NFINPPEESIEPIEELESLENIISEHIYPSTNDESDDDGPVASPPSLKAAVDGLRVLI QYQEHSQETHLEEIKMLERMEKRLLYKAESSKQQRTLDRWLR ACHE_40595A MGQSSSTQRDHRRSLSDHLLFRTARGWEHRHEAMSDRRHFEQTG DAGHPSRTEIFNDNMQTAPSVQPGTAAELSGQSTFSDSWRTNHLGNTRGENGSVFDLG HQQDYQDYRSATFARMTARRQSTMSRLGSRILPNSVIRGLLSSEEETPAEGHAHRHGV SRSTPRSEVAHNSSRFSPFNSLGSRGITRRSLRAPYFIPRSDPTLAPDTSTPSAYPDP ASERARESNRGSWRRSARLHRVRRSLSNPLSQMLGQPSTGISSPNTEGEGAVRPSSPG DGPYPLFPPSTELDSRMDFDEPHGAHELDSVEPAVRHTRPDSPASNQSAQEVTNTRQI PSFLRAQPSRVLRREEQMPMSRVLQLAAAAIAAQLSGSTSPVLPNIQALGNDGLNGSL ENFIQSLHNATSTQANPSDAPNPAGEGGPSPPLNFLRVFRFANSDPARADATNRTSTG SDNVNNQADGMDVDNQPEGTEAEGRTVTLVVVGVRLVPASNGPGNDQPNPGHDLDALL RMPFLSPGIRNPDGGSNRPDAASRLFPSRLSRESGALSTNDDTMQQGSSNVPHRLSDA SIGSPLSSLPNSSPASPSGPHPPPSTPAEPGLSTSASGASTPSRRPSSTSAMSPNALP QVHENQSIQPSVEHAEEHAPLNTARQRRRSDSEYARHRGLGAGSNRRNGVVEPDNATP PVGRSWLIYVVGANVSENNLAFATPSLFTDNPTYEDMILLSSLLGPAKPPVATQEDVS SAGGLFRLVEYAGSLVAEAADGAGNIQIPEGDRCLICLSDYEAAEELRQLTKCQHVFH RDCIDQWLTTGRNSCPLCRGEGVTETQNTNPAQGPAMSGEI ACHE_40596S MASFVPSASAFSLPLPPWQQPPSLRVAQYERKKRKRDFNDWGNE TEDAGDETTDAASDFTPAASSLILSPNEAHQYRIAGLPFDQELPGGHFPHAAPKDVSH RRKTRGDILKDLSALSPPIYPPQSAAHKGNLRLQHFAVLSAILHRCLLQRDYLRAGRA WALILREEYGGSPVDIRYEGRWGIGAEILLRRGRQLSDLTSSSETVDDESLKMKNASG LCFTRKGFEDAKQYYERLIIQYPFRKVAPDATSALHFYPAMFGLWIYVTQEESNAARD ALQRSFAEDSSDELSDDEDGFKVHRSSDKRKQKMAAEVRAKELEQAQQIAARMDEIIV SPPYSDSPELLGLRGMISLWIADLFVLSVPQPENDYHDFHGVDAISSDDFTGSIQERR EQRLAMEKRQAEILKSVGYFEKGNQRGRGVSHTLENLHIDDGGSYMS ACHE_40597S MSTNRGVYTDPVHNLYVADAEPAAGTVSSGSSLQPGEVTVATKR SGICGSDVHFWKHGGIGPWQVATPHILGHESAGEIVAVHLSVTTHKVGDRIAVEPQII CFACKPCLTGRYNGCKKLTFRSSPLSHRLLRTYVNHLTIWCHKIGYRLSYDGGALLEL LSVALTGVTRAGVMIGDPVVVCGAGPIGLITLQVCRVAGAWLIVIIDINETRLKFAEK YVPGVRACLVRRDQSPEDSAEQVNGLMGEGVEAATALECTGMESSLATAVHSVKFGGK AFVIGVGKDKLEIPFMRMRAREIELKFQQRYVNMWPRAIRVLESDMVDPKKLATHEFC LEDAVDAFLAAADPKHGAIKVLIRSE ACHE_40598S MGVGDYIHSKEAGQHRATNETSNKSRQFQAEQAKVNVPQTNLMA PVPLGVGASGLPQELEDAQQRDTFDTDVEGIDESTIAGTSIADVEEFRMEPTQLPQPQ PPRLPTHVAQSQDTDTRALYQFRHGHRPYQPNWYENLGDKAMKSAGFESDDADDDASQ LTSIAGDDERDELNDWYYSHKHRAAPEEPLSKRLENFWNASKRATSRPATQSHPETKH PVLAPPAPESRKQDLSVGGNRKITLPRSMTATPRTRFSPPKPSLLEQLDNSPTRRVGG SRTRPRRGSAVDLRQRNNDDDADLFNSDNRDSIDGQSMTAFDLTNVVALDEDDTLQDP FLRHSRSDSDPAARSKKRGLEPDYPPEVLYQKSFTELQAEPFDRSPSTTPVQPRPTPE PDTAPEDKFFMLSNMPDLDRRNYFSTLSIDEWESYGDQLIDQFTNMLTKMKDLRQARR KTATIFEAELKRRHEMVEEQSREISDKMDVMRSGGAEVLRGRTP ACHE_40599A MSSSPSQQEREKPHLHTAVSFPRMDSSNASPFSRTRARTMQSVV ISETVNPGSLPLSLTGDNEDQDAGPDIFERGNSSDSGAGDDGQQGDEESVLSRNIQDQ AEELPIELASLTDRFVESLSAKVHSSPPTIEKVADLFQEFYYRAESHIATHISALASR INREPSPLPQSPRGNAQHKSKDADITSSRQMLTASEVAEKRKARKFLSYKRVALEEAV ERKACEAVYDKIWRHRTTLDEVRDEKLRSKTAALLLVGINLKDLGIDIDISAIDEDKQ KEANDYISVARESLAKMNEEKYPLGKLQHLSYAHKAIVDALTKLLPSSSSADEILPTL IYTLITCPPEGISVISNLLFIQRFRSSSKMDGETAYCLTNLEASITFLENVDLSELRA DEIQNGQRRADSAPVEHSSQDNSTNEDATSSVAPLTASSELSKPNDKSASETLPETQP PASVQQRALNNLFQPPSRMLGAANDAVRNTADQGLKNIGASLDGSFNFLFGRLKEMQP GRDGNGPTLPKTLAEARRLVAYPISGDDKNLGEEDSASKDPLSTDRPLLRHSHSKAEE TIIGLVGGRRVPRDRSADSARAQGSSKKSLAAAGSTKDESSPGASQSSIMSPSTPLGS VRSFSNTLNPLNHIPGMIRNFGRSGSDSPSGGQMPPALPERTKMPPLAQTSRPSSSGG PPPNVDPPIQRFLDTPNASELTIGDVSTLLEDYKRLANILLKQNTNAN ACHE_40600S MEDGRRRSKKRSLFGKGALGLLLSIQLLPSVVSANVPSYFGSPS RDSPILPPQIPLAGSPSPPETHEFSLRHIFHRGTHEHPDLHQRLDIEAHMRLLSVSED GQEIKPAALDTPLVASSNPVTIQRLADRRVSVIEGYLQAARSTGEVVALSPSEWVMDT VDGPNVKDKESVLTFAQMTANDYIEEPGTGKWHNINGRFNYSESFGWNSDGLRGHIYT DKTNSTVVISLKGTSPALFDGAGTTTNDKVNDNLFFSCCCGQGGSYLWRQSCDCMKST YTGNLTCIIEAMNDENRYYRASMDLYSNVTELYPDANVWLTGHSLGGAMSSLLGLTFG LPVVSFEAIPDALPASRLGLPFPPGHDARFPQRRQYTASYHFGHTADPIYTGTCNGVG ATCTWGGYALETACHTGQMCTYDTVKDKGWRVAIGTHRIESVITDVLDVYDEVPPCVA EEECYDCELWKFFRSNGSEITTTSTATSTSSTSATRTSTCKTPGWWGCLDESTTDTAT TTSTTATTTTCKTPGWFGCKDPTTTTEPATSTTTCETPGWFGCRDLTTTAEPALTRTT LPATAAPTTTSCETPGYIYGCWDGSTTITNNDLITPAPTPFY ACHE_40601A MGKDDEPRTYRYNETPVYTTSTGCPVMDPQAAQRVGRNGPLLLQ DFHLIDLLAHFDRERIPERVVHAKGAGAYGEFEVTDDISDITVVDMLKGVGKKTKMFT RFSTVGGEKGSPDSARDPRGFAMKFYTEEGNWDWVFNNTPVFFLRDPAKFPIFIHTQK RNPQTNLKDATMFWDYLSTHQEAVHQVMHLFSDRGTPYSYRHMNGYSGHTYKWTKPDG TFNYVQIHCKTDQGNKTFTDEEAGNLAAGNPDWHTQDLFDAIQRGENPSWTCYVQVLS PEQAEKFRWNIFDLTKVWPQSEVPLRRFGKFTLNRNPQNYFAEVEQAAFSPSHMVPGV EPSADPVLQSRLFSYPDTHRHRLGGNNEQIPVNCPLKSFSPWHRDGYMNVQGNYGANP NYPSTFRPLQYKPVKASQEHEKWVGAVVTEQLPVTEEDYVQANGLWQVLGRQPGQQDN FVKNVSGHLCNAHPRVRKQTYEMFSRVNLDLGARIEKATEAKTADTKSARL ACHE_40602A MPSGFFGGLGDALDKTVSGVGSTVGSTVSGVGKTVGGATQGLGQ TVSGASEGLGNTAKGVSKSTGSAFGSIGKKDDKGSFSKN ACHE_40603A MEQDWTPRFELEGATVRRNEMKFKKPLYEDGVGSAIYLASIRGK EFVLSLVKYSISLYAITDYYLNTAYTYTNDRMAYHARKSSRPSRDLKPGVFAKRVISH NVME ACHE_40604S MAPPKAQDAIASAEEPVSSPPTPDHRNSTSSMSRMVQDAKAATD KEQKMTLLQGIKTYPKAVAWSVLISTCIAMEGYDISLVNNFYAFPAFNHKYGEQMTDG SYQVPAQWQSGLSNGAYAGEIIGLFINGWASERFGYRYTLIACLLLVCAWTAIFFTAP NVQALLAGEILCGIPWGVFQTLTVTYASEVCPVALRGYLTTYVNFCWGLGQLIGIGVI TSMLPRNDQWAYRIPYGLQWMWPVPLIIVIFFAPESPWWLVRKHRTDDAKHSLRRLTS LKKDSDFNAEETVAMMVHTTALEEKITKGASYLDCFRGTDLRRTEIVCMVWAIQNLSG NSFSNYSTYFLEQAGLATSNAYAFAMGQYGINMVGVFGSWLLMTMGIGRRSLYLYGLC GLCAMLFIMGFLGLVPEAHRSEASLATGSMMLAWALFYQLTVGTVTYSLVAELSTRRL QIKTVVLGRALFNIVAIVCGVLTPYMLNPGAWDWGNYAGFFWGGVCFCCIVYTFFRVP EPKGRSFAELDMLFERKVNARKFAHTQVDVFGETIQGQVVDEYQTQKAARTDVAQLEK EAESPNSMNPTK ACHE_40605A MFAQRCSRFFAVRPVMPSASFLNRAGRTFSTTGAKSYETILTEN PKPHVGLVTLNRPKALNALSSTLIAELNDALNKYEADKDIGAIVITGSEKAFAAGADI KEMEPLTFSSAYAQNFIAPWSHLANTIRKPVIAAVSGYALGGGCELALMCDMLHCTSS ATFGQPEIKLGVIPGAGASQRLTRAIGKSRAMEIVLTGNNFSGKDAGDWGLASQVVEG GKDQLLEKSIATAAKISSYSRIAVLAGKEVVNKSQELPLKEGVEYERRLFHSLFGTQD QKIGMRAFSEKKKPEWSHE ACHE_40606S MDVPEPEQTPFMAVTAQTSKLTRQYQTYLDASTPFTAYRWAGTA FLLLCFFLRIVLAQGWYIVAYTLGIYLLNLFLLFLQPKFDPSLTQDEGLEDGEGAGLP TKQDDEFRPFIRRLPEFKFWHWATRAIVIGFVCSWFSVFDIPVFWPVLVVYWIILFVL TMRRQIQHMIKYRYVPFSFGKAKYGRS ACHE_40607A MDLVSSSSPVGLLLNSVDRQHVYIAGTAFLVFALVAAVLLFSFR KQKIDYNSGIFTYLKFIYASFLKPHEKGDGQQDALESFYKTQAGVYDATRKRLLCGRE DMLGLVAAQLKHKVESKALRHGEAVWVDMGGGTGYNIEAMSSFLPVDSFFSHVYLVDL SPSLCDVARQRFQRLGWKNVSVVCQDVRTFRLPEVENVDPRTKFSTTTSGADVATMSY SLSMIPDYYSVVDSLTSLMKTSGILGVCDFYVQSIVDVSSRNYIGGAFNRHVNWLGRL FWRAWFDLDRVSLEAARRDYLEYRFGTVISASERNYLLGGIPYYIFIGCQKDLVSSPA GNDAIEKLDASFTESPYLSPANHRQEMEKANEQSAREIRSKAYESAIINLDSNLPLPS AFYQNHHYRIFYNDLLPKHTQFGNEYIYAFNWEDPRVDHRLLNITRDDVILAITSAGD NILDYLQNSPRRVHAVDLNPNQNHLLELKVASFIALGHRDVWKIFGEGKHPEFRQLLI SKLSPHLSSQAFQYWLDHTHVFTSSYGKGLYETGGSRHAIKMVRYIFKVFGLESEVRK LCEAQTLPEQRTIWPRIRGVLMSRPLHWAVVGTEWFAWKAAGVPRNQRNMIIDDYYKR NGLNQNMEQSKDVSGKSIWEYVVDTLDPVVQDTMISNDNYFYFLCLQGQFSRKCHPAY LSPRAHVKLSSPGAFDGLRIHTDEINEVIKRITPRSLTIAVVMDSMDWFDTDGVEASS QAQTLNYALKMGGRILLRSASIEPWYIKQFEENGFSARRVGARFPGTCIDRVNMYAST WICTKTKEVERPSTDRAISSFSLDEKRSSVDHLEL ACHE_40608A MNMQIPETQIAAVLPPTGASPTAQLQISTTRPVPTPGQGEILVK LEYSGVCHSDVHSVHGETPMLTDIAGHEGIGKVVQVGSGLDEMKWMGERVGIRWLYSS CLSCEICAVNQTACPSQKNAGANVPGTFQQYIVSPAIHVTKIPPELPSDTAAPLLCAG IAMYSSIMKTKTKPGDWLVLPGAGGGLGHMGIQIAVRKGLKVIAIDSGENKKQLCLSL GASCFLDYRTDDVESGVKSLTSGFGVHAVICTAHGEMAYMQSMRLLRRLGVLVCVGIP STPFRLPATPFDMIVKGLTIVGNSAGTPEEMNELMKMTVTRGVQAHIECFELSQINEV LQRLERGEIDGRAVVRIP ACHE_40609A MGASLSRIWNLLWSKKEIRILILGLDNAGKTTLLYRLKIGEVVT TIPTIGFNVESVTYRNLNFNVWDLGGQTSIRPYWRCYYANTAAVIFVIDSTDIERLGT AADELAAMLNEEELRDANLLVFANKQDQPGAKGAGEISEALKLGELRDRNWSIVACSA IDGKGLDEGMDWLVQTIQSENA ACHE_40610S MVGKKSGKALLRDEGLERTDNNMELSTWPVIPPINQKNYYTDYL KRDDQYLAFRLQNEENRNRMAKTAKDRDRALAMKGHDAEDADMDGDTNMEDGTEEAPE TAGSKVIVMHLGSQNLRIGLASDALPKTIPMVIARKSATCESEDQEEPNPKRLKAEDG SELEPEKMFGQEFSSQYSTMAADLKVHMRQNKRRTLPNSKEMVVNYNRRTVPETISEH NDPMRIEWTEINNPAPEYITGQEALRIPDLSNPRYKLYWPMRHGWCNEKDYDSKRVLF LDISLILEEAIKNQLGLTSKKEWPQYSCVFVIPDLYEKSYVTQVLELLMREFAFARVC FIQESLAATFGAGFTSACVVDIGAQKTSICCVEEGMCVENSRVNLKYGGADVTEAFIK MMLYDHFPYAEINLWRRYDFLLAEELKKNICTMNEANVSVQLHDFHLRVSGQDTRKYT FKAYDEGHLAPMGLFQTNTFDNSHKLKGRRKLIERSVDIYDGQPNDPVSAAQSEILSA LAPPAANGHVNGENASKTLDVQSTPSRPHHTNALGRVQDTEATPRSSVAGSPAPEATS IPHGGGTSTPAVGGQGATSRAPTVEERDDIIPILSLDDAILTSIAHATRGEERKMREF MGGIMIVGGGSLIDGFHPFLEERLKNLRPGFAKEIMIGTPPRELDPQVVVWKGASVFG KLNQTNDSWIGQLEYDRLGHRLMAYKCMWAW ACHE_40611S MSKSKNGSSGGYHQEYIASTRYRNDLPPPDMPPKFLEIPHEGLE RFLTPGFTSNLARREEPNIDVDAEGGMPIDLVGIPGLHLGDESAIMAPENPQPLDPAD LPLLMSLDQLKNPAPKNTNVSFLRRTQYISAGLRAPDGGSRPTPTRVKPREDKYKNQD DPNYIKRYIQKGFDIAYPESKHTGEETASKIKGHTATKAEHDAWAAPVHPDNPKLKPV GFYPIMPDLQGFPDPGGFVQFKFDKAPVQGVSGKRNKAMDVAILLPSAPEERVCQEHA TKVALHKTNPNLYPDPGPIPWDYDLFLPEKKEATQNVKSSLDISNPNRDSPELYTHDG PDDTKFHRFDRSRTYATSAQTLSNDQKQRDVALTIFDPAQVSDARSKQKAAYYYPILG KTRLKPERARTIAQAGLAPTKPKTKEDQVDQLQVVVRDPDEAEVYKRALHRAAIDPKF ADSMPPPPADTDDEQEALQENAEGDEKEREASREREPSPDHQPRAEEADEE ACHE_40612S MVASSATLAFRSAGLRARALPLAQRSLICYSRAAVQLQSSLRCY SSEPKPETAEKAKEAVKETAETAKEATKEIKDAPKEAPAPVAPKKGGRRSKKFFCTSL ALALLVGLVYGSDTRASIHRYAVPPLIRLLYPDAEEAHHFTVEALKQLHRFGLHPRER GDPDGDGALATEVFGYTLSNPIGISGGLDKHADIPDALFDIGPAIVEVGGTTPLPQEG NPRPRVFRLPSQHAIINRYGLNSKGADHMAEVLQERLRDFAYAQGFGEHELAQERVLN GEANVPPGSLVSGKLLAVQVAKNKATPDSDIEAIKRDYVYCVDRLAKYADILVVNVSS PNTPGLRDLQATAPLTAILSAVVGAAKGVERKTKPFVMVKVSPDEDADEQVSGICDAV WGSGVDGVIVGNTTNRRPAPLPKGSILPEDEQPIVKETGGYSGPQLADRTVALVARYR ALLDKPNMPELEKRMQEQTATAKEAEPDRENVPAVGAAEPVHKYGRKTIFASGGITNG AQAQAALDAGASVAMMYTGVTYGGIGTVTRVKQELRQQK ACHE_40613A MPPTLPNTLLIISLKMYFAPSRTLSYLRGLLELNANSNNPNKKD LLLALIPDFLTIHPCAEILREANATDSFLLGAQDCFWEALGPYTGEVSPLALRDLGVS IVELGHAERRAIFHETDDEVAKKAKAACEYGLIPLVCVGEITAPAGDQAKAIARAIEE VAVQIRPVLGAIPADAPVIFAYEPVWAIGKPVPAGVDHVSAVVEGIRDVVRESGGSGR QGEVRVLYGGSAGPGLWGAGGLGKSVDGMFLGRFAHEIDGVRKVVQEVEETPQ ACHE_40614S MSDLQPLRIVFACDEAGQPYKETLKAAFEKNPLVASIIDVGVDS TSDKTAYPHPAVAGAKLIREGKADRGLFICGTGLGVAISANKVPGIRAVTAHDSFSVE RSILSNDAQVLCFGQRVIGVELAKKLASEWLTYRFDPKSSSAAKVQAICDYEAELSAA AQ ACHE_40615S MTPPPLPPANPDEHQYWTDPILREETRSRLEHFRNLRWLPPNYK PKTLEGLAVNNGTGEGTYCAHLNEDYVEYLLSEDKAIYMNFLDWMSRISCEKRLQTYD EYWRRLCQYFGLFARRPVNHHEQMRRYLEQVFPAERKISRCAKKKSTLDIDDFCVHLR HHWVHSSFFRHGSMIIQQAVIMLWSSITGARPGVLLPQRDATNNPNASQDGSFSLGPR KRKRGDSFKSDLPQQISSDDLPNTICYSDIELFYLRDPDGGRDVLCAIIEFCNLKGRP EGADRTKFFVHGDYQLAYCPIAQIVSLAFRDDTFKNELTPELI ACHE_40616S MELNRYLKAAAHQSKPKSRRLVSGLSQFGVLSVQDANRRIGARK KAEEKKEEQRLQRSTRTSLATTHPKYDRLELWMMGIDENADQETIDSILNRNR ACHE_40617A MDVDVGVVVDVDVDMVVVVGVEYKEDKDEEYKESREEVNLLGEK SLDNGVYERVTGEGVEQ ACHE_40618A MWRNFQKTFSKIVHAKTEEEKDDAMAAFKVEYSDEIWQPALQYI DDEWLNDDTAQYFLFCYLQDCMHFGQLTTSRNESAHWMLKRDLQVSTNDLLETWVSFD RTIRRQHTTMTQIHEDDKVNRPLQFVRDPLF ACHE_40619A MTTVKSNIPSRQPDLCQRMPRDCWMGVFDHMEADEIVTMLSASG DLIASVRPSMYSTIAWGWNTVPQARILRLLRAVLQCPELASNIQHVSILSSSQYVLTE EWKNDPRDGQDGPLWNQNLESFSDVVEQSESIVDKAQLPEILKWNGALQKGNSYAYVT ILLSQLHNLKSLRLDYSFVWKSGFPGLMMKHALFSTPNTVLSSFNSLTAIDYGSNVPL SEEFDPIFNIFDELNGYPPCDHNQFMAWFHLPSIQSISIWLRSFQDVITGEDQESSLE LLSKKKMCHLCCPR ACHE_40620S MDEEYDSSMLGKHEKRCSALFQPLKAYGFDYDANEADETEEDVE DEWSGEDDEENDISEFSDEDYLRSPFL ACHE_40621S MAFELKEKGNQLFKEGDYNGAEDYFSQAIQKNSREPTFFTNRAL TRLRLEKWPGVEQDARAAIALYGQESPNRLKSSGYLVQALLGQQQPQPAYNVAIEAYR DSLSSKSPQTENLSKLVLRAKQQIWALKETRRLREMNDTLATVEGLIEAELQRSLDDL RGQLNAGEIGEIGFVEDEKALRADAERNIQNTREAFRAASKGEIAERVVPDYLVDGIS FEIMHDPVITPSGTSFDRVGIVKYVEQSGTDPLTRVNMSVKDLRPNYALKAACEEFLD KNGWAVDW ACHE_40622S MSATAYIDTLTNTAAFDPSVQNAAAALAPSKETLQAAIDAALAM DETAAALSGEQAEVLKKGFEYATQVVKMLTKEPAPEEKLDLYKYFKRANNETPAQPSM FNFEAKYKYNAWKEISHISEQKALVLYIKQVDALINKYGTRS ACHE_40623A MDRPAKRTKISPPGHDLPIVGTESLPAGDNGLASLHRSITPPPQ RRQTSTAAVSDEMKPIETPKQNHMPTIIYSSPIQLTHIRDLPASSGNNVDTIRLRDIL GDPMIRECWQFNFLIDVDFLMSQFDEDVRDLVKVKVVHGSWKRDAPNRIRIDEQCSRY PNVESIVAYMPEPFGTHHSKMMILLRHDDLAQVIIHTANMIPGDWANMTQAVWRSPLL PLLPSASTSEGTGGYGSGTRFKRDLLSYLNAYGRPKTGQLVKQLERFDFRAVRAALIA SVPSKRKVESMDAKRETQWGWPALKDIIRHIPLAPHAGTAHIVLQISSIASLGQTDKW LKDIFFDSLAQHSSPPTPRPRFSIIFPTPDEIRRSLNGYGSGGSIHMKTQSAPQQKQL QYMHPYLRQWAGDSDFGLEASSTEAASIPRREAGRRRAAPHIKTYIRFSDAAMGSIDW AIVTSANLSTQAWGAAVNVQGEVRVCSWEIGVVVWPGLYVDGSPETKTARMAPCFKQD RPAETESELDDVLVGFRMPYDVPLIPYGPRDEPWCATASHPEPDWLGQTWEG ACHE_40624A MSATLPESDLSRAARYAVPFALLLIPLWKTRVSAAVPEPYLDEA FHVPQAQAYWAHQWTHWDPKITTPPGLYLWSYLICAAMLALRGSPTELDADVLRTTNV AATAVLLPWRLQSVLDLLRNDRKSRPAGARLSHTVLNICLFPPLFFFSGLYYTDVLAL VVVVEAYNWQLKRATVSGSAPLQSLMFLVFGLVALVFRQTNIFWVSVFLGGLQVLRTL RLSTNRCNSSGMSEIAKKGFQNELYDPLVSDASITDYFKTTVSLSTTALNNLPRVLVS VVPHMLILAAFGAFVLWNNGVVLGHKEFHIAGLHFPQMLYIWPYFLFFSWPILVIPVA NLVLPKNFLPKLLDHGFPKKQRGLPSLLALFAVVPFMLAAIHYNTIVHPFTLADNRHY VFYAFRILRYHPAIKYGAVVIYFLGAWAVISAFGFTTIAPAPRLMPMQPQPQQPQAPA PTKPVTRKEKREQQKEADKKAKVGRKPVAPKQATPPEQKDPVSPEVMARIQAHIATRQ KQQLEPTRVSFVIIWLAATALSLVTAPLVEPRYFIIPWVMWRLHLPPQPIPAVHRLNR PEEEKEIVRAQVAAYSPLFLETLWFLAVNVVTGYVFLYKGFEWPQEPGIIQRFLW ACHE_40625S MAFPLPRGITPPEIAFLAEMEQVTVVPRQRLEGLELLGGPVEPL LPPRRATLPLWLALLLKRQRRANIVPPSWLHPEALSLILEIETQHSDYQNAFSPPPPL PGQPSVRDRETQQTPVAVPQYTQDGERYYASPPFLPQNVARDYIPPGEPPSLPYHWLE VGNMLLDAASDDLVDPDQTRRLLKELREVRMAKFRAGTDVLDAAAIGGGGVALTGVGA MEIGEGRGFVTGVVDGLRKIGASKEQARREQMAEDMANGGYGATQDDDDDMEF ACHE_40626A MSYEPLETMSEEEPSLNIPSLLTLAVVSFFVIRWFFKRDDEPGA GGSRTRARGHAVDPAQVEQISQMFPQLNTREIMWDLQRNGGSVAATTERILSGRGLEM PPPSFQPPIPTTTTATPPTTQPASSSRSAPKSDRQDLISRYNLNSRATNESSSEAPEP SSRGWSQSKEERQRMLQKRRDDMILAARKKMMQKDQQGAS ACHE_40627A MRITEIVIDGFKSYAVRTVVSGWDESFNSITGLNGSGKSNILDA ICFVLGITNMTTVRAQNLQDLIYKRGQAGVTKASVTIVFDNRDTAKSPIGFEEYATIS VTRQIVLGGTSKYLINGHRAQQQTVQNLFQSVQLNINNPNFLIMQGRITKVLNMKAVE ILSMIEEAAGTRMFEDRREKAGKTMGKKDLKLREIEGLLKEEIEPKLEKLRAEKRAFL DFQQTQNDLERLTRLVVSHDYIRGNERLRVAGEECENKRQKVQTLEDNASKLKNEIGH LEEDVKRVRTARDKELRKGGKFQGLEDEVKAHSHELVRLTTVFDLKNASIAEEKERQK HNKKTVNDLEKLLKEKKDVYDKLQAQYDTAKAELDAQTAEVEQKEELLQSLQTGVASK EGQESGYQGQLQDARNRASGAATEQEQAKLKIAHLEKRVKEEEPRAKKAKQQNSGLLE DLEGLKSQAKKLESELTKLGYEPGKEEQIYQEQGSLQKDIRELRGRADELKRRVANID FNYTDPYPGFDRSKVKGLVAQLFTLDKEKIPAATALEICAGGRLYNVVVDNSETGTHL LQKGKLRKRVTIIPLNKISSFRASVEKIGAAQNLAPGKVDLALELIGYDEEVTAAMNY VFGNTLVCKDADTAKRVTFDPSVRMKSVTLDGDVYDPSGTLSGGSAPNSSGVLVTLQK LNEITREIRTKERHLASLEENMRREKKKLDATRALKQELDLKTHEIKLTEEQISNNSS SSIIQAVEEMRANIEQLKKDITNAKARHSEATKDIKRIEKDMSEFNDNKDSKLAELQA SVDSLKKNLSKNSNAVKTLQKELQSSRLESEQVGSDLSAAEEQSAEVENTLKVQIEEI ESMKREQARIKDAHDVAQAQLDDERAKLTGFDEELRELETAIQTKNSRITEEGLEMQK LGHQLEKLQKDQHVAAQAVAHMESEHEWIADEKDNFGRPNTVYDFKNQNIAECKSTLR NVTERFQGMKKKINPKVMNMIDSVEKKEAALKNMMKTVIRDKRKIEETIINLNEYKKE ALHKTWVKVNADFGQIFSELLPGSFAKLDPPEGKDITDGLEVKVSLGKVWKQSLTELS GGQRSLIALSLIMALLQFKPAPMYILDEVDAALDLSHTQNIGRLIKTRFKGSQFIIVS LKDGMFQNANRIFRTRFSEGTSIVQALTPADMK ACHE_40628A MNRPGPGPQPMRGMSGFPAQQQAQARNATLASARLPNGKIGAGA NWNFNLPVSGTPGLQGNQQRNFGAMGTFAQSLSGSQPATPLDLSEFPSLSGAPPSQTQ NPSQMVWANASQRAAQTPVQRQQPPTTQPPSRTSQTPSHIPQQQNQPSHDDLFPSGMQ FANRLDDFRGGGQGISGQLAGGQPQTGSIDEFPPLGRNVTADLGQDRRGSMMQNAGLG GYSSASVNGQETGRIMSPANMGTGSIGTSRSPVNQQSNGVIAQEKDDMANAMTPGQRT FTEQQQLQRQQQAISGDVQDPSVQSAEQPPLGQMSELDRFGLAGLLRMIHSESPDVAS LAVGQDLMTLGLDLNQPEPLHTSFASPFVASMSSLPLEQDFSLPSCYNVANIQPLQSR IPSFSDESLFYIFYSMPRDIMQELAAEELMGRKWRYHKIERCWLTRDETYPGPVDVER GVSERGVYLIWDPTSWKKIRREFILRYEDLDNRLDPGRGIARTAGFPPGS ACHE_40629S MPRRFVTRLARQVGGLPLAELPPPYLAPSLHFSLIRSPVQSSNF SSTAVAAGHGRDLSKSRGVSAIHRSGPKFKLGVSKYPLPKPVSPESLERRHPTPDHGL WGFFPKGKEALSSPEYDTAHGRPWSIQELREKSWDDLHRLWWVCVKERNRIATSNLER QRLKAGYGEYESEERERVVRVTQKSIKHVLRERWYAWEDAQKLYKQGYRPQEDNQE ACHE_40630S MEDIAPEYDVVVLGTGLTECVLSGVLSVKGNKVLHIDRNDHYGG EAASVNIETLFKKYGNVNPGEEPWKKYGRVNDWNIDLVPKLLMANGELTNILVSTDVT RYLEFKQIAGSYVQQGKGGKANVAKVPSDANEALRSSLMGMFEKRRAKKFLEWVGEFK EDDPATHQGLDIGSCTMKDVYDKFSLEDNTREFVGHSMALYQSDDYINEVGKATETIN RVRLYVNSMARYGKSPYIYPLYGLGELPQGFARLSAIYGGTYMLNTNIDEVLYDDSGK VSGIKATMKDRDNAAETMNFTTKTKKILADPSYFPSKASVTGYLLKAICILKHPIAKT DDSDSLQLIIPQSQVGRKHDIYIAMVSSAHNVCPKGYYVAIVSTIAESDANHHLELEP GFERLGEIEEKFFGPPIPLYEPLESGEKDNIYISRSYDATSHFETTTDDVRDLYRRAA GEELVVEGLREDQKLAEE ACHE_40631A MSVLDPSRPLDEFEQLVYEYTLRMGLGEDSDEDNKHWDIDAKSC NLRFESASQGPPPQVSYLLTIPQNLCNYMGNLHGGCTATLIDILSTTILLGMSRPGVF ALGGVSRHLKTTYLRPVPQGTEVRLTCTLVHMGKRLAYLRAELSRVDNGDICVLGEHE KANTDPADEKL ACHE_40632S MTNQRASKRRRVSDVDEEGDTEMLDSNDASSSPSVKNEGSDDDA YTPTTPTRSTRRRTSRPSQKVAQDNEGETNAETPTKSGLRSSGRQRKQPQRFEQELKA PSSARKTAGTPKSTDRTPRSTRKTRQASEVEKEEENEEEQSPEPQPTTLARTRSKRAT VNYNEDQDEERENTAQSGSPDEGDQDGIDDLVAMQLQQDLYPEQGGKDAVPPTELLPD YVENIQALAQGDNFQKELQVLTQVVLEKLNGKRQIPLKGLETEYQKVYHLVEQTVTSG EGNSMLLLGSRGCGKTAIVETVLSTLAKEHANDFHVVRLNGFLHTDDRLALREMWRQL GREMNTEEEAGKINSYADTMATLLALLSHPEELFGPGQADGMTAAKSIVILLDEFDLF VSHPRQTLLYNLFDIAQARKAPVAVIGSTTKVDVTEMLEKRVKSRFSHRYVFVPLPRT FEMFSEICFAGLNLEDGELAGYYGSGAKAERWRKLLGGWRTYLEALWNDENFRSHLMR IYNQTKSVKEFFTSALLAMTELHHSTYTSPPEDLTIPTPKTFANEPLTCPDPAPLPFQ PSTTASSPSSLPLSLLLAATRLTALYDPGNDAAAQPQSLAPLALSFPAAYAEYARLLT SAKVSASVSGATATAGRVWGRDVAREAWEKLVSWGLVNPVGGGSGTADGRMFRVEVSF EEVVEMAGSGGSLGRWWRDG ACHE_40633S MTVPGRDVEFTVCDGTILRGWHYPTQEKSPCIILSHGLAGIRHW FLPAFASRFQESGFAVLLYDNRNWGDSDGTPRQESNSILQQLDHHDALNYAITLPSVD TGKMVYWGINFSGDNVIYAAAIDKRIKAAIVQAPSVSGETRSLAFKDQIPGLFDDRVR IAAGGQQGRVPCITDTAELAQRGTAPVLFPICTRTNPTARSPAAAGSGKTM ACHE_40634S MPTISSTSSPDITSPPSSHTHQPSSSSSSSSTSSASTFTSAAAI QAPETTTTTTTTTTTTEPTTEATEPASTDSRPSTQSSAASSLSTPTASVSVPPQSASP TSSTADTASITTAVTNNTPNTTADSGSTNNDYFNYPHNRESTSTPLGFSGSVVGSVSR RNRRSLAAFAREKTSSALASLSAIGTTNNNSTLRSSTSSGSLSKHSHKPSQLSSASES ISPFSDGSSTSPEQLSPAPADFPASSSAPTAQLDGGPSQKMHQTSSRLLRMTEDDRPF TKDFMDLFSTLMVSLKLDTHRVRFTRYDHSFTAEEAINNLGSLKFSQSNRMPDPKDPS RIVTTTTTTTFSMAKEMARSVCQRFVDARFIEATDGKALPIFPLKGALFHLTPKGINI LQRFCQRNGITAQHVIAVLESPRNTMQLVNLERDTESDKLSYDRATIEVIFRRFAGQD GPNVKSSTSSSDSDSLSDYSNGIVGVKMAKERKVNEKTHQNTFTGKAAVDWLMDCSTT IERRETVLIAELFVKYGLITMIQDDEEFPQAEDSLAVFQPSKYAIYGLTERGQRVCGW LARDRARDTPPIYDVRNLATKDSNNARLQHILGDAALRLLFREFLRYSLCEENLSFYL DVSEFTASYRKAENAGTFKRPDAVRETLAAAYGLYNAFLAPGSPCELNIDHALRNSLA SRMTKAVGDDESMLKSLQEVEQLFEMAQTSVFKLMSSDSVPKFLRDPKYTSVLQEHDV DLGITRSYSPTQSSIPERSASRSAR ACHE_40635S MPYNVTAKETQPAHWLHLNGTPDQILDRYCVSELLRGWPVYRDA SEWKNYRECFSDGAYVFTTWSGGLPIDDFIESSKQSRANGDFLMHRENGILVDLNPST NRAIGKMKATITQRFTDPATKAEYDVDCDARFIVWCLKVPLPPRAGYPVPGTGLGPRA ISGGWKVQYVKLFYEKDKIVPVDGKNVPEFSREELEKFPYGYRYLGAAQARAGKKVEG DMPTLNEDERFWDMYRAMEEWLNGEDVPKRLGISR ACHE_40636S MADPTLQDPLLALRRAIAASSLPSPTTSPDPSEEEQSKHTTEDL ATATHLYFRQPTAQALPLTSATRFVSASSDSVVDLRSIFFAWQKKDVAIPEYIASAQE LNEALKQKQAGGEGGEAAQVQNLVFVERLDLITWLEGASDDSEYIKPLEGAAAAAAAA AAAAAHAQAQASASADIASGAAGGVSSVPSGAGAPAQAPAAAGAQGGRAPKQIDPRLQ EIYNGERKMGDRNSVLRGIKPTDFSHVRKTAETFLGRNRRPAPGTSTKPLPGNKTPLI PTPSSGLSMPKKHSSSARTDPIILLSPSASSLIRMTNVKSFLQDGVFVPPDHPTLSMS TSPNILEIQRPLRNPSDPSRTTTSSTSSSSSKIKFKLADSTANFRPEHWNRLVAVFTT GQAWQFKSYKWSSPPELFKHATGVYVGWRGEEPPREVKGWGRGVERFAVERWDEKGGV NGAGRWRDREVVEGIWTAIEEGMRLRGYSGK ACHE_40637A MTDTSSVANTHSTTEPTSVPVPLPSPALNGAGADPVVPEEEEPY TIRCVCNYDDDDGNTVFCEKCETWQHIECYYHGVDVPDIHFCEDCYPRGIDRAAAIER QRAVREQQSDGGNDRKSKRSGSKGQRKRASKDPADKVNGTSHHRSDSGGRDHPVKKSK SNHRSSNSVSSLAGIPAFQPDGRKRATASMSPTKSGPSIPLYSKEFLHLYDHDHGQAD IMNSNLFVNLPLAADLASWVTEPAALARVANGRSAQDIFTWADAGLDKSRWPTLAVET VTDPSIEVDGIHPMWKTLRTQDKVAKDEIVGEITGKIGHLRDYCLDPNNRWQELRHPE PFVFFHPQLPLYIDSRHEGSTLRYVRRSCRPNVTMKTYITNEVEYHFCFVAKEDISAN TEITAMWYLDPQLTEATNGLVKQESNDSAQDIAAICISNVLANYGGCACDPPSNCLLA NVDRRRHAKIPDGGAKSTANGKRKKSKRKLTMSPPPPNSRADSEAMKHTDDDDAADSR SASGSARGHAGSRDLSPTHDGPLFGDSEMSARDKRKIAAAEKKFQQLEQDQQAAAHGR KKKRTSGPSTQPGPLGGASSMTGGKSVQVDTAVGRHSRSPPSAISPGTMAVGVRKVSG RSTPSVPSPLRRAPYVDAAMQTDPDEANAEGVPTISPLPSPRRPSFVPLTQRLLKRCH ADWVRFEQSNGEKQTPPEHGLQSPASSSAATPGDGQPLLQSASPSVFTNQEKEDVEMK GSDSPTPYRPESSDAMEVEPSGPPSPTPVSWSNKPQMSPPWPSTAAHNARISLAPLVN GHRTDLHMSLPPPNLTSSTASPGSGTPSTMSPASTMDATSQPTPGSGVTAPSPVKKKL SLGDYLIQRRGTMNTPTAEKTQSQANAMLPPPPQKSPSQTSDNQPDGVPAQPKPDGAS EEAKPDDGPVSSDITMKDVPPPDSATPHLHSVMF ACHE_40638A MVNHGYQYSSSHLAFSMSYLRWYSLAMLVPFEITNGIVNLGLFV PNSNVAIRVTIMTVFILGFNMLPERIFKRSEALFTGLKLITTVGLLIMSIVLGVRGVP DTDIQGFHYWRDPGFMNQYQVSGNTGRFLGLVQCLLYSTVSFTLVPELIVQRAEENDA TVRPSILRVTQIDSIQLSVLYVLSVIAAGLVCPSNHPMLTNKGVGAGSSPFFVAILIS RIQILPIVVTMLILLSSVASGRSFLFLASRLLYSLAEEDHAPPVFKHRNRFGVPYVAV IASAWFVAFAYLSVATSSSAVFNWLMHFLTTSGYISWLGSCIIYLHFRRTVEEQGFTR AHQTRIHPYGVYFGILTCSVLPFVNGLITAPSDTVPSNLLSAYLGIISFVLLYIGHRI NSIMHGQDHSETSPVRRMLFWRQPERTEEIELGERRREN ACHE_40639A MSGFIDFDAGHRDLVTVTKFNFYGNRIVTASSDHRMKVWDQKDG EWQLVDTWRAHDAEIRDATWNGPFTGQHIGSVGEDMKCKIWQEDVTQPPNSGRRFRSI FRMTAPDRHPFVSMDFRNIDLETWLAVITRDGYLMVMEPVSPDTLADWQPVDQFRVCT APQRGEETSFKVQFHHDPMDITHSVLPSSDRKGLSLVVAAMDTVKIYRTDANRRFYHA IELTGHGGLVRDISWANGSVRGYDLIASGCKDGFVRVFEVYTSVAKNNNNKDNHALDN TINRHADKAQSPSIRATSQSGIGSALASRPSEHTSRPATGVSPFKHSYKEVACVDSKH LDVWQVEFSYAGEYFFFPILVQNLLTYEGDCLISSGDDGVVRFWKKALTGEWLEYAET DMAYQ ACHE_40640S MTSAALSHRETWSLLALAGICMGTMINSFQGYGDGDGAPLFASV AFSGVAFAITYSLIRWLGPVFMKAGLKGKDMAKPKKPEIPETMGAVCAVVYLLALIFF IPFAFYKDIVAATSGGGNRDVVLEVHHVETGRYLHRFPHGRLASYLSGLLSLQCIVIL GLGDDLLDIRWRHKVLIPAFGAIPMLIVYFVDFGVTQVVVPAPLQHYLGEMLDLGYLY YVYMAAVAIFCPNSINMLAGINGVEVAQSLVIALLLIANDVLYLAPITPFPHPAMDSH LFSIYFLLPFVGVSAALLCHNWYPSKVFVGDTYCYFAGMVFAVVGILGHFSKTLLLLF VPQVFNFLYSTPQLFNLVPCPRHRLPRFNSDTGLLDASVTEWKEKPPSRLIATCLELG RLLQLIRLTKNKDGKIVESTNLTLLNLWLVWMGPMREDRLAWHMVGVQTICGLFGLFV RHRLALLVFRQDNRMFSTA ACHE_40641S MASLFAPAPEPPTELGRYRILSSTAGIRVSPLQLGAMSIGSAWN NFMGSMDKEASFKLLDAYYEAGGNFIDTANNYQNEESETWIGEWMASRKNRDQLVLAT KFTTDYKSYDLGKGRAPNHCGNHRRSLHMSVRDSLKKLGTDWIDVLYLHWWDHTTSIE EIMDSLHILVEQGKVLYLGISDSPAWVVSAANTYARAHGKTPFSIYQGRWNVLLRDFE REIIPMARHFGMALAPWDVLGSGKFQTKKAIEERKKKGEGLRTMLGTGEQTEEEVRMS EALAKVAEEHGIESVTAIALAYVMAKTPNVFPLVGGRKIEHLHDNIQALKIKLTPQQV EYLESVRPLDPGFPNTFIGPDPKVTGQASFLLAANSQLAFVRAPRPIGLE ACHE_40642S MATATTTTTKTMNPPSPDAYVQLDNPPVVIQEKQESLQSSPILN RQRALLIHAAQQPYTLVTDHLVPAILKEGEILVKVAAIGLNPVDWKGPAFNFGLPSLP WINGRDLAGIVVRGSDPSGRVRTGDLVLVPSTDYRDIRKAAFQEYAITTASNAARIPS TTCPKAAASLGVAFVASVLSLGVSLGLDLSAVGSLPGPDLVNTLKGVDENEIPVDVRG ECFSASEDADRIKKGDWLAIWGASTTTGFITLQLAKLAGLRVICVADVARHGAKLLEL GADLLVDRQDPERAVQIIQGVTNNKLRYAIDIVGRETATLLQRTLANSERAHLLGYAG LPKEQLGNIKYHNIPVKLFHSSQAVGEQMVCWLEGLMQKKLVSLPEIVQAQGGLGGIN AALELLRSGSVGGKRVVVNLD ACHE_40643A MKSLILNAFAMQSPSHLNPGLTRYPKDRGRSYKDLSHWIDLAKK LEDAKFHAIFFADVLGPYDVYKGPQNTDPIVPAGAQFPINDPLYAVPAMAAATNSISF GITASTTYENPYMLARKFSTVDHLSGGRVGWNIVTSYLDSAARNFGLNTQIEHDERYR IADEYLHVTYKLWEGSWRDEPYLPNPAEGYANPKAVRRIDHKGKYFNIPGPHLCEPSP QRTPFILQAGTSTAGKAFAATHAEAIFLHAQTPELVRPSVDSIRSQAAAAGRKPEDIK IIAGILVIVAETDEAARAKFENLASYGDREGALGLFGGWSGYDLSSYTDDQDFRFVEA PAIRSMVNHWASTVPGSNGKKWDKRAIAEYLVLGGNGAKIIGSTKTVADELARWVEVG DVDGFNFSYASVPETFEDIIEFLVPELRRRGMFWDDYKVPGGTFRENVYGKEGRRRLP AEHPGAKYVWRKDEEVPAYALKN ACHE_40644A MAAVDGFSIPVQPFTNGYGQDYTPPIDLGPKEKVTVEIKNTPTS EPISTPESKPAPVYKSAFQLEEHPIDEVKPIKVGVIGAGLTGVTAGALLPAKVPGLDL RIYDKNADVGGTWFENTYPGVRCDVPAHVYQSGFAPNTQWTEEFAQGHEIRDYWKSVA QKYNVYQYLRLQQQVESAEWVPEKAKWKVTLQDLTNSKTYVEELDIVINAIGHFNAWK LPDYPGINEYKGALFHSSNWDHSVDLKDRRVALIGNGASGLQVLPSVQPIAAHVDHYA RNRTWIADSFGVTGVRRLEPNLFPEEQRESFKDPQTYLEYRRGVEKGYFQRFGAIFKD SPENQQQRDQWSELMLKRVSDKPDLSDKIIPDFPPNCRRPTPGPGYLEALTKENVSFI QTRISHFTETGIVTVDGESRDVDVVICSTGANRDHAPPFPITANGYNLQTDWQHSGRW GFPYTYLGVATPHFPNLLWLGGPYSSGHSGTVPNAVENQVTYVAKVLRKVRGQGIRTI VPSKAAADDFVQFCDAFYPRTVWSANCSSWYNGGRPGGRVHGLFPGSATLVNIVRREP RWEDFEYTYDNASGNRFAWLGNGWSKRELAAKEGEEVELTPHLRLPEEIDLRMHLEGW WDV ACHE_40645S MPAVDKKPKTLYDKVVDAHIVNEQEDGTILLYIDRHLVHEVTSP QAFEGLKNASRKVRRPDCTLVTVDHNIPTSSRKNFKNVDEFIEENDSRIQCSTLEENV KDFGLTYFGMGDKRQGIVHIIGPEQGFTLPGTTVVCGDSHTSTHGAFGSLAFGIGTSE VEHVLATQTLISRRSKNMRIQVDGELPPGVTSKDVVLHIIGVIGTAGGTGAVIEFCGS VIRALSMEARMSMCNMSIEAGARAGMIAPDEVTFEYLKGRPLAPKYDSAQWQKAVNYW SSLKSDEGAVYDTTVLLDGKDIIPTVSWGTSPQDVAPINGVVPGPDDFEDENRKASCK RALQYMGLEAGTPLKDVQIDKVFIGSCTNARIEDLRAAAKVVNGKKIASNVKRAMIVP GSGLVKQQAESEGLDKIFTDAGFEWREAGCSMCLGMNPDILSPQERCASTSNRNFEGR QGAGGRTHLMSPAMAATAAIVGKLADVREHVVASPVLGKAQPKVDVEQTHEEPESEEE FDRIMDLPADNEPHTNTSAGGTSPAGLPKFHILKGIAAPLDRANVDTDAIIPKQFLKT IKRTGLGSAAFYELRYHPDNTENPEFILNQGIYRNSNILVVTGPNFGCGSSREHAPWA LLDFGIKCIIAPSFADIFFNNTFKNGMLPVVISDEAILTKIADEARAGRELEIDLPNQ QVKDAQGNKLADFEVDGFRKHCLINGLDDIGLTLQLEDKIRTFETKRTLETPWLDGSG YLKRGARGATMVEAAPTPKTNRGDVKNEPLEW ACHE_40646A MRWGSPSALLAFFATTAVGWPYDESLLQYNLNQNKTATNPADYW IDWPGHIYFPSPDNWRFPVYTLFLDRFVNGDPENDNINGTLFEHDLGSNQMRHGGDVA GLVDTLDYLQGMGIKAVYLAGTVLMNQPWGSDGYSALDTTLLDQHFGNIDTWRKAITE IHNRGMYVIFDNTIATMGDLIGFKGHLNETTPFSVKEHEVLWKSDRRYADFDIGNTYN QTCDYPRFWFEDGYPVDSSVTDQLKGCYDSDFDQYGDREAFGVFPDWQRQLAKFASVQ DRLREWNPSVRERLIRHSCMIIRAFDIDGFRYDKATQATVDALGEMSSAYRECARKVG KENFFIAGEITGGNTFGSVYLGRGRQPNQYPNDAETAVTMNNMSAQQYFLREHGHEAI DAAAFHYSVYRSLTRFLGMDGNLAAGYDTPVDWTDAWNLMLVSNDMINANTGKFDPRH MFGATNQDVFRWPSIQYGVERQLLALFITTLHLPGIPLLLWGEEQAFYVLDATASNYI YGRQSMSPATAWKTHGCFSLKSSQYYQWPLEAALEGCHDETVTYDHRDPSHPVRNIIK HMYQMRQQYPVLNDGWLVQKLSNRTRDIYLPGSNGTATETGMWSILRDVYGQHQDLGS DADNQPVWLVYQNDNHTVEYQFDCSDNDTALISPFPGGTTVKNLFYPHDEKVLKDGPK KLGLNGSTEVNGCLDSLTLNAYEFRAYVPKTQFSSPRPMITKFEPGHDVPLLSTVLPN TYEDVDIKIYFSTEMDCDSVTKSISFNSSTEADKTASLDTNSVNCSPISVDKTSWPGQ IPSAWYWSATMKGVYNGVHRLTVKNATTADGAFTDAVDHFLIRIGQRDNPMLFPSANY SSSLLHQTNNGTLFIQHHAAGADKYRYSTNWGSTFSKWLPYTGGNDTIEELEWSGTKQ QRWQGKHVRVEYWNRWTGSSDYVQEGDTDWDGKTERRFPHLFFNGPYNQYGYDAGLHN VVEQDKDGLWKYRFVSEWPAQGQFNVWGMDPNGKPDESYVYGDADGDAILDRLPPSSL SATLVNVTDHPPSPYLAWRFHVNDATMKFELIPVGSKYAQMAMYFLFWLMPIVSAVAC VYVFMKSFYQIKFNQIGVSEKRGLLPLALRRKLKRRNLEEGEAMNPLMRLANKSGFLQ STSAFGVAGKDRRKMALIATMEYDIEDWGIKIKIGGLGVMAQLMGKHLGHQDLIWVVP CVGGVDYPEDRPAESMFVTVLGNPYEVKVQYHTLNNITYVLLDAPVFRQQTKAEPYPA RMDDLDSAIYYSAWNQCIAHAIKRFPIDLYHINDYHGSVAPLYLLPQTVPVCLSLHNA EFQGLWPMRTQKEKDEVCSVFNLDMDTVSRYVQFGEVFNLLHAGASYLRVHQQGFGAV GVSKKYGKRSYARYPIFWGLKKVGNLPNPDPSDTGEWNKELPKESDIQVDPEYESNRG ELKRQAQEWAGLEQNPDADLLVFVGRWSMQKGVDLIADVMPAVLEAHPNVQLICVGPV IDLYGKFAALKLDHMMRIYPGRVFSRPQFTALPPFIFSGAEFALIPSRDEPFGLVAVE FGRKGALGIGARVGGLGQMPGWWYNVESTTTAHLLHQFKVAIDSALNSKLDVRAMMRA RSAKQRFPVAQWVEDLEILQATSIRVHNKELVKSHGQPYTPSGYSTPTAGTGLLASPI SPSGAMTPTGLQTPPMAHSRESSYSNTNRLSTLGPQQRNTIVYSTDPTPSPSANEKPK SGLSRQLSLGVRSGPGHSHRRRLRKSNAPALEENTAHVVTDAEEESDDDNIPSYYGED EYTLTPEQAEEGRRMELQQQQQAATHGGLSPFHATIPAQSRHFSQGSTATRQSIASTT PGAFDDGLLAPIRPFAEPGNRLSSSSVLSVDSVVGDKKDFKLQKVDPFFTDSTGEYYR VFESRLQDLNGSNSETQLCIEEFLVKSEKKWFDKFRDARLGRNHSPAPSIFRGKRAGS PAGSFSNDDSASRESGGEENKEADEFLLGKDYVPPTGLKKWMQLRIGDWPVYSLFLGL GQIIAANSYQITLLTGEVGQTAEKLYGIATVYLISSIIWWFMFRYFKSVVTLSLPWFF YGLAFVLIGVAHWEGNEFNRGWIQNVASGLYAVASSSGSIFFALNFGDESGAPVKEWV FRACVIQGTQQAYIIGLWYWGSTLTKATADGIMNPQGNITNTWKMTAICLPIAVFLWV VGLLIFFGLPNYYRQAPGKVPSFYRSVFRRKIILWNFAVVIIQNWFLSAPYGRNWSFL WSSQHAHAWQIGILCVVFFGAIWALFLFILGYLSKSHSWILPVFACGLGAPRWAQIWW GVSGAGLYLPWAGGYTAGALVSRSVWLWLGVLDTLQGVGFGMILLQTLTRMHICFALI VSQVLGSIATICARAFAPNSIGPGPISPDITAGASSLANGWFWIALIFQLLICAGFLL FFRKEQLSKP ACHE_40647A MSAATIPPPAADQDYKGTLLPLLMSNNVLSFGSYVLKSGRESPY FFTSSLLHTAPLLRATSAAYASVLAAEPFVTIAADGTKTPNFDIVFGPAYKGVPICAA VVNELAVRDSLSPSPKGTWDNVSYSFNRKEAKAHGEGGNIVGAPLKGKRVVIVDDVIT AGTALREAVCIIEKEGGIVSGVVILLDREERVSDAEPKSAVGVAQRDLGENIPIRAVI GLHDLIEKLGSEIGDGEVQRLKDYRARYGAE ACHE_40648S MHVKEKLAQNEANGKTGISFEFFPPKTAQGVQNLYDRMDRMHSL GPEFIDITWGAGGRLSDLTCEMVNVAQSVYGLETCMHLTCTDMPREKVDAALHAAYKA GCTNILALRGDPPRDKEQWEVVDGGFRYAKDLVKYIRDKYGNHFDIGVGGYPEGADDN PDVDLLIDHLKEKIDAGSSFVITQMFYDTDNFINWVKKCRAKGITVPILPGIMPIQTY AAFLRRANWTKARIPQDWLDALEPVKNDDAAVRDIGKTLIANMCRRLLDSGLIKHLHF YTMNLQQATQLVLEELKLLPSEETPLPKPLPWRPSLGLKRRAEDVRPIFWRNRNSSYI ARTQTWDEYPNGRWTDSRSPAFGELDAYGVGLKGTNEQNIKLWGEPKSVQDITTIFIR YLKGELSRLPWSDTAISGEADAIMENLINLNSRGFLTINSQPAVNGAKSSHPVHGWGP KNGYVYQKAYLELLVPNDVVDELVSRIEKNADLTYHAVSKTGSLRTNTLDSPNALTWG IFAGREVIQPTIVETVSFLAWKDEAYRLGEDWAKCHDAASPSRKLIQSVVDNWSLVNI VNNDFHNTYDIYRLFDGLNVKDLDVEVSGDVLVPQQNGASAEAAAAN ACHE_40649A MDGDFQLPNGHLLNLNPRPRNNSKANRQPPVTSDLKLPQSRERE HSNRIEGKTTTRPDPNAMPQTSPSGLATKLPMPKSFVDKGKGRGKREDKQPYVEDEEE DTPPTTSENTPERSTKNHDHHWSKLREKLDKDSPLIQKSKEKQKEYYQGACQKIKSFA NGPRNETAKNSKYGEINASDTELPARGATGIPSPSNRARSASGVITRAPAIRQPPKQK KQQQQQQQQQQKDEQPAPLRIKKSPSRQPSETTDNATDSSAYSQFSTSSISGRASSNT EWEDKFVVNMPSATEPNPPFLTAQQIVEFQKSMEKVRREGGDMVHPDTCPSPRTTTPE GKADTPEKTSGRDDSNPENKMQDNTNDDPINPPQRRYYSPEEIGKKRCSTIWEEAPSK AKQKNPDSKSDGSFLGCKEINQEEKNPDEILFFSTATERPKVVDIPAPISRKPRAKAK PAGRRLPGDMNGNIMAYREWRSLSDNLMNRQRSKQAPKTMCQESVCQPQGKGQAPPKN PGKENPRNSENLKEDEVFMNTATVSRAPVGKTNARRSSSSQLLQSNSRTTGDSTPTSR VPMSRSPPSGLRPGIPRPLDDKQNTPSKSPSNLTSISFNKTRGQSERNSDSPRGIRGI MRFPGMRKSSTEQNAGTNRRQSSMPMPTSKSGSGEMRSISNPARVTSEPSSKSVSPVS SVVPQENGDKDSSTEIMNVAEIDGEQLQREREKNATTDSPDNAENSDKEDAVYPLTIS LLFDILILSVAYIQRLSSEFLGNEYPQVILQSVVEMLEHCAHVSRHISFAFSTYRSTG SWPKSGNGDLCGSLTDIAQAIVYLISLGFMMIIIGRAAGYVVIVGSWVVWMAKPFGWA FGLVARALVP ACHE_40650A MPRLVRRRPLGERIKSYLNPLDFLLWLSGEIDANDWDQFEKDWA LLVGVALNVIFLIARANSRPSGSEAVDDVFGDDGGVPWLSWFASFVVHLLAGFSGFNS FYTFYRKRHYRMFEASIDQAPATPSAQRVRVDSTPMAASPLRYFANAISGSAESRAHP DAERDVWELAVWDPLPICVRMFCMFSPGHVLVYWLFLPTQVSDPRPSVTIVTTLFLTV LLSVQMSFLSSSFTQQAKDSALVHKEVLREYDNKYVHPRTQPLMRDVATQFSEEEEKA NRVDTFTPTFVIQRGFKTSPNPNYVSHVDPEGVTPRRPSFTTPTTSYKTPSHLRDASP VVRPSATSIRQPQFRPAATSTGDGGSLGIYSHANSPLRKATSVNPERRIQNSGDFFYK ERGTPLKRSSSPLKKSIVPGGASLAGQYSARRDTGRF ACHE_40651S MTAQGRQFLAVIGDEDSVTGLLLAGIGHVTDGPDAQRNFLVVDS KTETSTIEKAFNDFTKERQDIAIVLINQHIAERIRTSVDSFADPFPAVLEIPSKDHPY DPEKDSVLKRVRRLFGE ACHE_40652A MKLLSATAALFGLLAPVSAAGSLAVRAPAFFDIAQTPIRTTAGA PVNGNNPLTYCADPSTYSLDIHSVDLTPNPPLPGQTLTIEAAGTLHEKIEKGATVLLE VKYGLITLIRQTVDLCEQIENVDLHCPLEKGDMVLKKQVELPKQIPPGKYSVHADIYN NDGKQVTCLEAQNIEFKFGR ACHE_40653A MSSPQQRLSSITNQVSGSVAARQQLLSKNPDDVVITYAARTPLT KARKGGLKDTSIDDLLISLLTTIREKSNLDPNLVEDVCVGNVLAPGSAYVARSAVLAA GYPVTAAASIANRFCSSGLLAVQNIANQIIAGSIDVGIAVGAESMSTTPDGGAPEMSD KILSHPIASQNQQPMGQTSENVAAQFNIPREAHDQFAAKSFQKAEHAQKSGWTTDEIV PVQTKVKDPKTGEVKDVVVNRDDGIRYGTTPESLSKVRSAFPQWKPSATTGGNASQIT DGAAGLLLMKRSRAQALGQPMVAKFCGATVAGLEPRIMGIGPSLAIPKILSKFNLSKE DIDVFEINEAFASMGVYCVKKLGLDLTKVNPRGGAIALGHPLGCTGARQVVTALSELR RQDKRVAVTSMCVGTGMGMAGIFVSEH ACHE_40654S MDILSNISAPSMAMASALAVTAGAYLDAKFGVSTDISSIKNDRS WMKRLEQRIANLGDSTTIYRMLERAVDVDGHGSSEALWFEHKTWTYCQLKNLADRMAA LLHARGLQSGDVVAVFMTNSPEMVVTSYACAKLGVVAALINTSLRDDTFIHCLTVSQS KSIISTPDLSQFVCSDLPHFALNLSSFEGVSPGPIELVTPADLQQYSSSGIAVAKRSP RDIVALIYTSGTTGKPKACAIRNMLSLITSNPQTVDVDDPSKYYPLRTYSPLPLFHGT AFFTGLCYSVGNASTLCLRRKFSASQFWKDVHDSRATRILYIGELCRYLLATPPSPYD KGHSCIVAVGNGLREDIWEAFRQRFAVPEIREFYRSTEGVARFDNWGVGAWGAGKIGF SGAIKRYLEDEVFIVKYDPETEMPYRDPQTGFCVKAALGEEGEAIGRVRDRGMLIEYL HNEEATEKKLLRDVFEKGDMFQRSGDLVVRDSAGWVKFQDRVGDTFRWKGENVSAGEV RDHICSIPGVYDAVVYGVRLNGYDGQAGAAGVTLQDASAATENDFISDLHHQLRTKGV PTYAVPRLVRLVEKVATSATFKQAKGDLIKKGWDPADTKGDKLYWLNGKKYEKLDAQS WLSIESGQAKL ACHE_40655A MPSTYKREKPWDTDDIDKWTEQPFKADDNVGGSFAEESSFATLF PKYREVYLKEAWPVVTRALEKLGIACTLDLVEGSMTVKTTRKTFDPAAILKARDLIKL LSRSVPVQQALKILEDGCACDIIKIRSQVRNKERFVKRRQRILGPQGSTLKALELLTG TYILVQGNTVSVMGPFKGLKEVRRIVNDCMANIHPIYHIKELMIKRELAKDPTLANES WDRFLPNFKKRTLSKRHQPLKVTDKSKKVYTPFPPAPEKSKVDLQIESGEYFLSKEAK DRAHKEEVMEKQRQKREEKMKEREKAFIAPEEQDAAAKKKEEKKEKKEKKKRKRESEA EPDVESSEKKEKKKKKSKSAEYAEN ACHE_40656A MTSPDSQTESLDQQLLETITVQDRQYQKYSIDHHISFVPIDDEE EERLEIQHRVFQRVFDDRLIFPPVPRPRRVLDCGYGAGSWAIEAALRYPGCEVRARKA FCFKRTDRRSSKVTGVDISSHMIPDDIPDNLWLQVDDLNRPFTFPSNWFDLVHSRLLA TGLNRSRWQSYFQDIKRVLKPGGWVQIVEIYFNVQSDNGSITEEHGLRQWSARFMRSL EDTKDLRVGTRLKTLMMGAGLVDVDARMIPLPLSAWSGDPRMREIGLANRDNVQRLFS ALAHYPLTQRQHMPQEEFQALVTKAAQEADNPKIKAYFPV ACHE_40657S MLCQLETKTRIQWIPIHTTTANSRGYPAVLFKGQARFSEFSMGS SGQRCTQGSSDNEITGAFMEPFGFLPSIVIYVGAIPQIMPFSVWRPEGLRQSRMLHVH FPHSSL ACHE_40658A MSVNDRRAASYMSVPRPRVPSNRNSDPEKVSALRSGILPLQMDN NMEHMRGSSVSLKNKMPRDQATTSEKRAERSAVREKARSRTRNSVQDSGNAGNRGNLE RSRSKRASSPNVRKKEKELAEVPWNPQASLIPHSTAPLASRVSVPPLASTLPQSLQPK SLRELSADEQERAILEDLLFVFMGFEGQYIHYHTPYDPSVEMDRLTGPAFQLASGLDP TLRDLTLSMLKMATHYTALEAFVEVQSRAEYGAVSHAMCAVIRKLLKDYLILIAQLEN QLLNNPNFTLHVLHIHTMPTAQCLAQLYSLGQELLRRNGLLDQDLDESIDDFDDVDNI LEQLKEGGDLVPGAMSSKKICKGGNVLRLLTERLATLSGDPTAKTLLETLLREASRPY MTMLNEWLHHGGIKDPHAEFLVKEQKWIKREKLEEDYTDEYWEKRYTIRENEVPPQLE GVKEKVLLAGKYLNVVRECGGVDISKAVKDVPKTLDDPRFLENVNGAYTYANASLLNL LLTKNSLTTRFRSLKHYFFLDRSDFFSYFLELSASELRKPAKSVNESKLQSLLDLVLR QPGSIAAQDPFKEDVKVRMNKIGLTKWLMQVVSVSGIDQDNPEAAIEKYQAPPTQGTE DDKDIVGYDALELDYSVPFPLSLVISRKTVLRYQLTFRHLLSLRHLEDLLVTSWLDQS KVVSWRHKSSDRRLELWKRRAWNLRSKMLVFVQQLLYFCTSEVIEQNWQNLMDRVNGT DADGSEVTVNGTKQVNRTVDELMQDHVDFLDTCLKECMLTQAKLLKIHSKLMTCCTMF ASWTAASLSRALNSADPDLSSNPDAKGYDPSRIGKLEDTLKRYEDHFNRHLRILMDSL NYFAATESVVLLKLAHALSSIGKDD ACHE_40660S MFIARSEYDRGINTFSPEGRLFQVEYSLEAIKLGSTAIGVATSE GVILGVEKRVTSTLLEASSVEKIVMIDQHIGCAMSGLQADARNLVEHARVESQNHAFH YAEPLRVESCTQAICDLALRFGETGDDEESVMSRPFGVALLIAGYDEDGPQLYHAEPS GTFYKYDAKAIGSGSEGAQAELQNEYHRSLTLPEAETLVLKTLKQVMEEKLDAKNVQL ASVTKDQGFRIYNDEDMGKAVAQLDSNQ ACHE_40659A MFAVPGWSVSNDALKTQSEQPQPKSDDKSNNKRKRGNDNVTKKN VDAMYRQHIEGHKSTPVNKRADRQNKKQEQKLQIGDVSNAQKQQGKDKKGPNGNKEKK PQQQSGEVEAKGSDGNEGTRPSKKQKKNNKNNKAEQPQEDTDKKATSNETPAATETLP VAPPVTEAKLTPLQQAMRQKLISSRFRHLNETLYTTPSAQALELFSSNPELFNEYHAG FSRQVQESWPSNPVDGYIKSIRARGGISDKRGKFDKKRAQQGSPLPRRPNGISTIADM GCGDAQLARSLIPSADKLKLKFLSYDLHNANEHITKADISNLPVKDGSVDIAIFCLSL MGTNWVSFVEEAWRVLRSDGKGECWVSEVKSRFGKVVRKKGSIGTKKPLSKTEKKKLK KKQADAEEAGSDLDDAEVYAEDARPTDNDETDISSFIEVFRTRGFVLKPESVDKSNKM FVKMEFVKQGAAPTKGKHASVTGAGAGAGAGKKKFIEKPQELDLSPEEEASTLKPCVY KIR ACHE_40661S MRKPATENDPPDKTDAAPSGEQSQATDGQSMTKNAPPNRVIKRR TKTGCLTCRQRRIKCGEEKPICHNCIKSKRECKGYAQRVVFKDPIGITGAFDNAQVSF PGGYERRFSHQGQAPSGLINAPARQFPVMRITDNLAVRSDGTSTAPLQYLPDQISHVS HEAPNPTHPAFNPIPTLAQVSRQPASWSSDSYSSSESASNLGLPTQSLARHPTGQVDS TQSVPTELLRGPAYTAGYLPLTAPVSQPLEPQFWNPNIDRNREQYSSYYPHAYNSPWP VIRASFPGNYGDELYHPTVDGSSMDETWRDASMTTTNRSELQLGSLTSHLNEPNVLAS YRPTLDSTPLNDPKAAKIFVHFIHSIGPFLSIFERHPVDSPIPLGDSVPAAQQGLWTY TLPFQALEHQALLQAMLAISSLHISFLQQAPQTVSLKHYHYALKRVGSAVGLPTRRQQ CGTLAATLLLGYYEVVAADHTKWNSHVAGSAQLVREIDYAGLARDLRAYRRRMRGNVV QADWWPANNPHAVSGDDPFAEKEASIDQDFIGTIFGRAIKYDEYGQIENGLSQPYQKH FTQRDIENFRIQCDLYWWYCKQDTIQSIISGNQLFMPYYRWAQCPPRAAMGRLDAVYG SADHLWLLLGRLTDFGYRDRRRKLKAAKMSGIEWKPNPGLFKFMARFAGGGSSMRRPP PRGPPGPAQDNQGPHCSPSSGNNSSPSKEGSPPMYGMVPPRGPVQLPLAFQDNAPHPT SSPDQDDDDGGEDITYDDAEDEWERILAAFDAFAHALGHDFMPLPDRTPPTLTPFGRA LQYRTKPIAVLWGFYYTGRILLLRLHPSMPPAMMVAAGVAAPATAAYAQTIGKIAASV YDPQLLNIGPDKLSPTQGCYMTEMTVPIFFSAVQYTDPGQREWTVVNLRNVSRLTGWK SSDSIASGCERSWVVAATQGRGPPYQPTGEARWQPTTGPSPQRGPYHNTERRFVTVSK SNRLHWAMGILSLEDDVEV ACHE_40662S MAPYDDYRLSSSILESPRDGPRHSRERLPSRGPPVRERPRPVFD DDERFESRLHAADRYGPPARRPERYYDDADSFISSERPERRRGVSPPPRPRLLRRQSS LDTFDRIPSRKLEEMYYRGPSPRLSPPPRSRYSPPRHHGDDLYYEDIRIAEPDYYGDE EFRGFRERDRYPTRPRRSSSRFRERIVEERIERPYPRKGKTRMPKKLVHPRAIFDKGY PFEEEEKAVMIQVALSKEQIDELVTISREIRRVNETRIVRTSPSPVRMERLSIAGSPP RASHDTLIVERSPSRSHSRHHRHRRGHSERTLSVSRTRSRSISVQSRRRRRSSPGRRE ANEPGPLAIMVRPRDSDDDLKLVGPLERRSGDLIEDEEVHEVKKERKSPNSRLIRAMM ATLT ACHE_40663S MDRVQAFGKNLSANFSPFAARTQQMLKEQFGQVEDKTQLPDEYI ELEKRIDALKLVHQKLLQVTSQYSNEAYDYPPNIRESFNDLGRTIQEKVQLLSQAASP AEAQAALTAPPAAKPQPKTFNHAIARASLSGSQTLAQSATGEDPLGGALEKYALASEK VGEARLAQDAQIQSRFLAGWNTTLNTNLMFAAKARRNVENARLMLDSVKASKKAAARG DLDNLSEEARAEIEQAEDEFVGQTEEAVSVMKNVLDTPEPLRNLADLIAAQLEFHKRS YEILSELAPVVDGLQVEQEANYRKSREGA ACHE_40664S MASGASLIIGLIIVVLASIVAWVFSPKGDNQTLWRSTLILSFVS CFLMWAIIFMAQWHPLIAPKRSDIRPDRVPQ ACHE_40665A MPICIECSYPVSHLYSTYSRADDRSLGKGVRLTQCPRCQHFADK YVEYDFVVLFIDLVLIKPQVYRHLLFNRLGRDDNQFDRSIIRLGILLLLFDVYLTWAR IEKSQSVTSSFLIYTPIIIQYFFFLTLNALATLAHHLTVRLLASVLVPRRLTADSGKS SGGSADSGTLTDQPSMSHPSPSTCSSSGVQSKAPQAQAHTTAANPPTASPPKLSQHDS ASVSSPSLVRTNSASGNFTPPLPPPPPVAPPLRRASTAPITNLQPLPPPAPASPTAIS TALLVSSCAKLFPILLVIWGPDGSGGNNASSESYTQSPADIHSRPLIIARQQGVPTPI ATLVPAATSALSPSTSSLTSLLPAPWPMPPLFESWIAKIASMMPVSAPANQYLALFLD SIGSLLSLGAADTHLVLLSNIEALYILLGCGYLRAVALAVAGLVARWAVQRVILGAVG VG ACHE_40666A MSTSTLKWPRSAPSSLLQQNSRFIKRDHLPRPWCWSFSSSADRP VHQSADFSSYSKNSDRLGRRAKEKLLDREFFLSLLSSASTKREAKSYLARIKQQPKTD YNPPTTLKAEPELSKATVSAAALTPQSTSGGSFYGASRSVYDSPVFRQHATPAAQPQD IVEEKLHLALVKITTPQLLETSIIDGVAKTLAQLSRLGLPCCVVVDPGTKDDPNVLRK IAIEQADRISSAIDAQPDSKSVRLDSVFSITSTATEPTVFSRKVLLNPLRDAHTVIVP PIGYTMDTPRAVFIPANDAVLALTKELAGLAYPHDPDEDPLVTANRIRSLQKEVSLDR VILLDPLGGIPAYSGPQTSHVFINMEQEVEDIEDELLRVGQSLLAPGAAQTQEGATSI ADSNPLTDFVNLEVASTPYGQAYQINQAKPGLEAIDGHIENLRLSQKALSMLPATSSG IISSPTDVANSARTPQTAASDVSNVGTRRQRNPLIHNLLTDKPLLSSSLPMSRRSALD GNKTLNPPVSHTTFVKRGMPLTLMPDPRVQMWTSNKEGRVRLTDPCIDLPRLVHLIED SFNRKLDVQDYLKRVNERLAGVIIAGEYEGGAILTWETPPGVSDDDSEASRARMVPYL DKFAVLKRSQGAGGVADMVFNAMVRTCFPNGVCWRSRKDNPVNKWYFERSRGTWKLAD TNWTMFWTTPGLPDDAQKLQDYEAVCRTIEPSWADNTGVID ACHE_40667A MTKPFPVDGGMTSFWRSQPDVLDNHRSTETLPESSDIVIIGAGY TGAATAYHCLEQSQSTGKGKPSLVVLEARQACSGATGRNGGHLKPDVYNGISTLASDH GIEAAAEVATFEMKNLQAVEAFVEKEKIECDLKVAHAIDAQLDDAHYAKLKAGLESLV ANGSEATKLVEMNTGEKAEVFSGVKGARGCFSYDAGRLWPYKLVVHLLNKAIASGANL QTYTPVLQVSEIPDKDGHWTVTTKRGLIRAKHVVYACNAYTSALAPEFQNHIIPVRGI CSRIVVPNPPTKPLDSSYTLRFNNWDYDYLIPRPDGSIVVGGGRSMYLREPGNWYNVT DDSRLIDSAARYFDDYMQRHFHGWEDTGAYTDRVWTGIMGYTTDLLPHIGPIPGKPGQ IVIAGFNGHGMPQIFLSALGVARMLVEGTEYSNIGLPRLFEVTLERLKSKENRILHGI LSTSDAGESRL ACHE_40668A MSANKNVVFDVVGTLVSYDRIYNAIDTRLGPKLREHSVQPSFLG YTWVEVAEREYTYLSVSGAYTPYATIFEKIFHRMLYQAGIADPASVGTDEDLAYIMQE YANLDLRPGALECVQNLQDAGFTVWALTAGDLNRVGAYLRNAGVEMPSENLLSCDTAG VGKPDPGAYRPLLERLRTEGEEKPWFAAAHMWDVSAARRTGFKGAYCTVYEKQPLPEL FGEMEVTADSLPEMAAGIIASGG ACHE_40669S MTTYKPVHGGFIRQCAEYVEPAFGFAVGINFWFSWVICIPAEIT AAVSVLEFWPETTSVPLAAYITIFLVAITLANVFGVRLYGHVEYVMSFIKCLAIFAVI FFMFIMTSGGIPATNGPIEFRYWKNPGAFNNGIKGIAKAFVQALFSFGGGEHIAIIAG EAKEPRKTVRNAVNPIFWRMATFFVVNIWLVGMCVPYDDEDLINGSGTLGSPFVIAIE RAGVMWFAHVTNGFIFLTVISCGITSAYIASRSLAALADLSIIHPFFGRKDTVGRPYV SLPISIVLGGGLCYLNCSNQGAVVYGWFSDLVGISTLFQWAGIFISHIRFRRGLKAQG MDYHSLPFRDFVAPYAQYLALVVVVFIAGCEFYLACFPFGEKGSVKSFFSSYIAAPLF FLDYFTYKFYFKSKFVRASEMDFKEAFAFDEEDRLRAAMTDRNEQDGPKSKLMKRLKY FTLG ACHE_40670S MAEHTDRPAETIPEVDPADFKPASNVEEFSLPMTADDIARMDPR LGMAMASGLPLEDADEDDEDEDMDEGYVAVDHDDTIDFSWFRRPPVHHRTQLDELHPF VQVLSVSNVDDCVQVENALPEPERCSREKFLYRLNKCPELCLGLFTLPLIQEGEPKPR ATLVGHVIATRSSAPRVTDNSMQMPANWRNERVTVEDGETIGHDEYSNTIAVHSLAVL PEHQNKQVGTTLMKSYIQRIKEAAIADRIVLIAHDNLVPFYQELGFENRGPSECKYGG GGWYDMSLELADSYLYC ACHE_40671S MKTTWKDIAPVPTSQEFLDIVLSRTQRQLPTQIRAGFKISRIRG FYTRKVKYTQETFCEKFQGILDGFPRLQDIHPFHKDLMNTLYDADHFKIALGQVSTAK HLIETVSRDYVRLIKYAQSLFQCKQLKRAALGRMATICRRLKDPLVYLEQVRQHLGRL PSIDPNTRTLLICGYPNVGKSSFLRSVTRADVDVQPYAFTTKSLFVGHFDYKYLRFQA IDTPGILDHPLEEMNTIEMQSITAVAHLRSAIMYFMDLSEQCGYSVADQIKLFHSIRP LFANKIVFLVINKIDVRRPEDLEPEYQQEIQNILNSGDVELLQLSCTTTEGVTGVKNA ACDKLLAERVSQKLKSGSNNAGTPGGRLGDVLSRIHVAQPTGGVQRETFIPDAVKNLR KYDKDDPERKKLERDIEEENGGAGVYNIDLKKTYDLADDEWKHDKIPEVWNGKNIYDF VDPDIEAKLATLEEEEEKLEGEGYYESDESVEDAEDADIRMKADLIREKRALMRNEAK MRKSLKNRAQIPRTAKAKKLSQMEQALDSAGYDIDAAAARARSQSQTRGRPLTREADD NNDGDAMDVDADPRQAIAKAKARARSQAATNRLEDGVQDEAQRTKADRLKKLGQKKMN RMARAGEADRHTTASLPKHLVCLPFKLILFRVLYTNYVKVHRKAHNRQDTTSLRTWVG MLTKRRSPILIFFLLTLEFRVFKNWRLG ACHE_40672A MGTAMLRSRWTALPATLVVGTGTLYATGDQNQLNRQSLSTDQKH RFSTATPQGALYPFNRHNAGDSGGNNADKNSEGAHHDDSMWARVLHKFGDVKQAVGSN EWMDIDIKQYIIPDWTKYLPDTVQKLQRELSMSPGSLADEIWRESKDADIHPEILREA RVRVGDTLCPEELEFRKKRQQHAVKALAAYLDIPESDIHPEDVPIIAMCGSGGGLRAL VAGTGSYLAAQEAGLWDCVTYTAGVSGSCWLQTLYHSSIAQCNFNRLVNHLKNRLGVH LAFPPKALSLLTTAPTNKHLLSGMIEKLKGDPGADFGLVDIYGILLSARLLVPRGELG VSDRDLKLSNQRPNVDNGAHPLPIYTAVRHEIPIIEELQNDENMQRHRKPEKLIRESR SEAWFQWFEFTPYEFFCEELGAGIPTWALGRHFNKGKNVFSRGEPPTPETRIPGLMGI WGSAFCATLSHYYKEIRPVIKGIAGFGGIDSLIQGKTKDLVRVHPIDPATIPNYVLGM KDVLPDSCPESIFKSQHLRLMDAGMSNNLPIYPLLRPGRDVDVIVTFDASADIKRENW LSVVDGYARQRGIKGWPVGAGWPKQEDNPEQTSEILREPQNISEESLDSKMTRAQHED KHMHGRNLKIPRTTESNNETNPAGDRPAASDTDLSYCNVWVGTMQERVSGDEPPPSKR LFHPQHADHAESDFHLMRPDAGIAVVYFPLLPNTSAPELPQGSAMSRSPAPTRTSQDS TVAKEISDPARPMAPHPGSIDPDIDDFLSTWNFVYTPEQVDSVVGLAKANFAQGEEQM KRVIRAVYERKRSDRLKREGQEDRRRMEGFVPL ACHE_40673S MVAPRNTAFAEESAEVEVLYANLDKLNVLTKKIQGSMVRLETGG NVVKHAIGPIYSNTQSLQITNTNIDKVNEAIERLRQPLDAKGREEGVIRDGPESAGLS QYLTAMKRVEKALVDLISTNLKSNQKAISEFNKLLNMGTGKLQDMFRRMLSDHVTPVE PLHYLTKELPFPSIPEDMLAELAPVCAAISSAARHESRKNENDNPALQIYAEIRGPYI NASLQNLAIASLNTVKRRPTDGPYKQGTNGIGIYSNALEGFIYAEHENIAQLFTGDQR GLALQATCRSAMAEYSKTLRELNQYIKANLMTDCFLAFEIIEIVTAMSYRVDAKTGEL KSLFIEALRPVRETAKSSLSELLEETKRKASNLPVLPPDGGTVPLVNEVMSSLSTLTA YSGPLASILTSLGDGNWRFASNTSGTAPLDVSPDSSTLLSHFILDMIEALMFSLETRG RNFHRTKAAQGVFLSNVFCIVDRFIRQTPELARYLGSPDSIARVDSFRKRATSTYLDA WKETSHFLLDVQYTSRGAGSSARPTSSGAVDSAAILKSLHSKDKDAIKDKFKSFNASF DELVSRHKSLYMEREVRSVLAREVQAVLEPLYARFWDRYHEVDKGRGKYVKYDKTSLS AQLAALA ACHE_40674S MATSNDFYQTPLNSRYSSSEMKYLFSPRNRFSTWRSLWLWLAQS QKELGLPITDEAIEQMKAHLTIQDEEFEVAAEEEKRRRHDVMAHVHAYGQVAPAAAGI IHWGATSCYCTDNADLIFLRDGLDILIPKLAVVVDKLAGFAKEYKDLPCLGFTHGQPA QLVTVGKRASLWIQDLLMDLRNLERARDDLRFRGVKGTTGTQASFLQIFNGDHDKVEQ LDELVTEKAGFNSAFIISSQTYSRKIDVDVSNALGSFGSTCERIGIDIRHLAMLKELE EPFEKDQIGSSAMAYKRNPMRSERLCSLGRHLQNLPKDALDTYSAQWFERSLDDSAIR RISIPELYLSADACLILLNNITSGFVVYPEVIRRHVNDELPFMATENVIMACVKKGLS RQDAHEEIRVLSHQAADNVKKHGKNNDLLERIRRTEFFAPILGELDALLDPSTFTGRA PQQVEKFVSTEVKNALKPYEAALAKAETSALHV ACHE_40675A MEQSNFQFPSESRLMPMFLRTKFGGAESLLHLLWPQSVFPEQEY PMRYFPVMQELVLFTDFTNDILSYYKEFILHREKGNFVGNFADTHEMQQLDVLQHLTG YTPKLLKSVYSMLDGIEDLLRTVKNFVTGWIMLCTAHRRYYLVELFEDEQYLPPYDED A ACHE_40676S MSKALKKGMVMGFIQGEALGLTSLYNPGEGGKYFCPKDCNSAIN SSAISSTKHSFYLPVTLALHYLQRASEVNLKQAEKILLVMGEYFQIQDDYLDVFKVSE VTGKVDMDNQHSKCTWIIVQGLRRCTDGQRQVLFSSYGQKGDTREARVKRVFWPDRHG RGIPAVRRYEDQRAPGREWLH ACHE_40677A MAAGKAATSFDDIIRAGRQKKKNEELASQILGKNRRASAPGSGA AGKKAQNATPGSLASRIGVTKRSASTSSKPKAKPKSNKPAVSAAPPAPSKAPRSKPAN KRRPNEDRLISALNPANGQSTIRDNSGGMSIKGASSGPFIVIGSNFAPGTTAADIQSA LEPVCGSVMNCWITSQYPTVTAEITFAEKWSAENTVANFHNQKADGRILSMRWKPAGA ASDYNTQTSFDNLREQADRQRRNRRADPAIQDGTYGFQDNRLYSDQMMVDVPTQPLGR RYR ACHE_40678S MIHGTPFLPGVYLIYVSPCLYLVSLTCAAFLLPGFINLTGNLSN TMETMETIASNDRVNQRRQGLSWSLSFRSSTRKRPGRIVRPSTPPPTCEERVHYPVFN PHDPRHNPTVIPPSWLRGSYSLSEDSSSTHTSGRWHSLSERSLRKARSGLDAIRSGIR RRPSVDQRGPRRDLPGLWMSTDSRGSSITRPDGSFPSTISEASTDDEFEFGTDLYRTR PNGSSKWNLEIVNRYLNEVGSSHALPPDVYTDDVEIGPAGSRLEGETLNNLSEEDSEA TRLPSKTQTIRWDDRVQSGRRPSLTSASSSVISSRASTSSDESTLSQLINATQAFEAL CSIDEPTKNVPESSDVERDANDSLPATSQGSAEALPEGTASQSNWFTMTNSEEADNQS RSDNGETPADKPSRETSRTSSMNASGGSNSSTGQGDRSRHSTCQSDSTAPTSRTQSSC WTHSEGNTSTPPTSYTQSRRSTIQSGGNAGAAHTSWSNASSPVGQDDVLSLLSMGPGD EYFGVDAKSNGFNPDQGAAIKSERVFLHEGSIDSSTGLGIHQSSSASEHNNFQMNGPV HADELDRQASIRSRKSIDSTDDCFLTYAMFQRQYSS ACHE_40679A MSAPGKSRWADEDPETEALVAQQKREKEAKKRAKAERQRKLEEE AEARKAAEAQKQQDDALNAQQQQQQQPPAKRRRLSNDQPSGATTATPAEAEQQRKRSN LLRFPMREWGPCRHVDNFERLNHIEEGSYGWVSRAKDITSGEVVALKKLKMENSPDGF PVTGLREIQTLLEARHQNVVYLREVVIGNKVDDVFLVMDFLEHDLKTLLDDMREPFLP SEIKTLLLQVLSGLDFLHSQWIMHRDLKSSNLLLNNRGEIKIADFGMARYYGDPPPQL TQLVVTLWYRSPELLLGAEQYGTEVDMWSIGCIFGELLTKEPLLQGKNEVDQVSKIFA LTGPPTQQTWPGFRSLPNAKSLKLPSISSVLSGNPPLLPRTKFPFLTNAGLHLLSSLL ALNPSSRPSTQECLSHPYFREDPRPKPKEMFPTFPSKAGMEKRRRRATPEAPKRGQEA PRLDFAGVFGGAPGGDTGEAGAGFTLRFG ACHE_40680S MIEPFQTTFAVPMTCEGCVKDISGSLNKIEGINKVDANLKEQLV FIEGTAPPSKIVSAIQDTGRDAILRGSGTSNSSAVCILETHSNSVSNKIRGLARMVQV SSNMTLVDLTINGLSPGRYWATVRQAGDISQGASSTGGIWEALKSTVLGSETPKEPRG VFGTVDVDEKGRGNVFMDRPVAIWEMIGRSMVLSKSKEGPFKREDPDTLVGVIARSAG VWDNDKMVCSCSGKNVWQERQEQVSQGMV ACHE_40681S MRIDFSSCLGYDLDTEYHRPTAILALRYTIAWDELVSVRLFDPL IPPRNSRNRPGEDLQVGKRDKEHLAQAMESKK ACHE_40682S MSLKQEIETWVLALEYYDNQQYEEAIQVFDGIADTSKILFNCGV ICATLGEHERAVECYQRAVGLDQYLAIAYFQEGVSNFLLGDFEEALANFNDTLLYLRG NTSIDYEQLGLKFRLFSCEVLFNRGLCYIYLQQMGLGLQDLEFASKEKVTQDHDVIND AIRERAEGYTVFSIPVGVVYRPNEAKVKNLKTKDYLGKARLIAASDRSNTPMALSRQH SEPPLNRSLFPPTPPPDTDKSSTHSSNNSQGGTLPAGRSASVRAARPPRLDLDRPGAN VSGGRPNGNEASEKPRIGTTRAASETRGQFARQEQQSRGLYIQNMGRQPGHWESTGHR RGMSDTGYMPPMEDGYTEDPYGHYAPARQSLVMSTGGQSRRMMPRQQIQQYIDEEEEY ASDACEEEDAAFNNEEFEIVGALRQRGHAPNTRVSRRSYTRRPEINKFRIKVHALEDK RYIMVGPTIQIAEFEKRIRDKFGFQSPLKLKMRDDGDMVSMVDQEDLDLLLISAKEFA RREGSEIGKTEIWVEVLSMI ACHE_40683A MTMTKETPMMDYPDVHTVIKSFQTTVNRYSDYPAIICRQRSGSS HNVNRWTYRDLHVTIQQFARGLVAHNVKAGTPMFVLCRNQVEYVIATLTAYYMGFIQI PIEPGILDNFGDVRHMLDTVIQHYNSDRVILLANDRTSAVKLDQLVLPTGTIKICTEG RSDDWIPFQELLLGTGIEPQERGPARGVAEQSIFFTSGSTALPKCCLIQAAQWFYHLE PSLSLGSFSPQARIVIPVPVSHAFGYICIILSLLQGACVVFPGTAYSLQAVVDAISQE KCTHAAIVPTMAHSLIEELSSFPINIKSLKGLTLAGGVITPELLVRCKECLGVSSTEN FYGMTEGVFATTGLVQDLDKVTNDCNVSVGKPIRGGKFRVCAQGDYSTVPPGIEGELH FSGKSMITQYISKSTDDLYEVDGEVWFITGDRGLVDHEGRLFILGRRKDMISRGGKSI SLPKIEAVLAQVSELHALEPQIVAAADTIAGEVPLAVIKGKADHSVVKQLQDTMRSSL GVAHVPSHVVPLETLRQTDYPRTSTGKVQRMKLAEMVQAYQESQKVADSEPSNDPCDF SHAIQTVWTRVSGVSSEDLDLKRPISDFADSITMLIARDQIRKGTGRQAPLRQWNATT TISDQIRLLKNSLPSTGKQDTCSSLQQEQPTGPPDVEDIAYLGNDKARFNATKAAIGK TISQYGLSWNEVASVCPCTDFIQLLCRTRVINDWNTRTAIVTKYATMENLRTALEAVV RNNPVVTSFMVIDPTELDPELGLYVMIRPTKEYLDRCLLLNHGTVDTLDDLRALTRKY PSQDHTRLPGPLFRALLVLVRETNSAAVITNCSHSALDAIYHQMLNADLDQALGGHAL RPHVPFKSWADAFYALRKSPIAETAVKFHAEYLRDLGKHQHVLWPRPTHDLVVTTERQ DICGHIIIFSAPSFVRLREVYPSLTTPTILKAALALLIISRPNHSHALFANMEAARST FPFLPASIAAQGTYDAVDVAGPTFGIVLNLVTFHPRETVLEYLIRVQQTQAQLSEYAS VPWHEVLRRLNISGNVVPSVAESMLFNWMPGLASAVLGDNPFKNMQILQTHIRTKLGM LASATAGGPDGSQIIIYLEGAFANTSIVWVARAAEEWKKIALWLSDENSLSLPVARFH RALGIE ACHE_40684A MAANMTGKKVNVLVYSGNGATVDSVRHCLYTLRRLLAPHYAVIP VTGDMLIKEPWTLTCAMLVIPGGADLGYCRTLNGAGNRRIEQFVRRGGAYLGFCAGGY YGCKRCEFEVGDKTMQVIGERELAFYPGICRGGAFPGFVYHSEAGARAAGLKVSKSLT TGMVPEVFRSYYNGGGVFVDAPKYADRGVEVLASYTEELNVDSGDEAAAVVYCKIGDG AAILTGPHPEFAAANLDRNAAGPEYTKVVDALAADDKSRTDFLKACLSKLGLQVTDET TTVPSLSSLHLSSLDSEETRKIISSLQDSITKDGDEEYFKDANDTFRLEKSGVWNMGK LEESLPASENQDTNQGIVDYNAILKRLVIHDELPSTKITPYFNHYAFYSNLRHYQSKM KEGTAEFGSNLIYAEVITSTNTILEKNPKLLRSLPNGFTATATTQVAGRGRGSNVWVS PAGALIFSTVLRHPVEKIQSSPIVFIQYLAAMAVVKGIKSYDQGYEKLPVKMKWPNDV YALDPDKPEKQQYTKICGILVNSHFSANEYVSVVGVGINATNSSPTTSINALVAKFLP RNAAPITLEKLLARIVTTYEELYARFLRTGFDKTFEEMYYEDWLHMHQVVTLEEEGGA KARIKGITRDYGLLLAEELGWNDRPTGRVWQLQSDSNSFDFFRGLLKRKV ACHE_40685S MSNNKDKVLPEVEDDEPDDWDKRIFSTGCAIEQDKLNDCFFNKK DWRKCQKQMAAFRECWKREGNDQRTQSKDV ACHE_40686S MTSYQPQTTPSTPNRTMPVFQLTRSLRASGPLTSLRQAQTLVSS SRTVYPTSYAPSITLNRTITISSPFKQQQSATTPSTSSTSSTPASQSTTSSGSTPGTA TDRASRIVGPTPNHQTTPNISKTGLSDKPLELETTPEEKIDWTRSFHGLSAAPFPKEA ADVLLAPTDPEEVEIKPDGILYLPEIKYRRILNKAFGPGGWGLVPRSESIVTPKTVTR EYALVCNGRLVSVARGEQDYFSPDGIPTATEGCRSNALVRCCKDLGIASELWDPRWIR KFKAAHTREVWVEHVVNKRKTKIWTRKDDPVGYPYKETK ACHE_40687A MTRPGIAQKRLTSHRFHQIPETPAENESMIVNDVTIDIPLNEED GHEYDHSQYGHGQEHQQQTQNREHRNQQHDQDRTDAHSKSDSLSFFRHHGSVSNDEEG SEKTHLVPDCDASSTGRRRKSKNRRANNPHTNHMFNFNSSEDHTEPPSSANLTRLHCL YKSLINSSIIIRYLVYIMPFAILIAIPIIVGATATPYATVGGVKLYWFFAWIEVVWLS LWVCKILARGLPYVFQSLWGVLSPGNRRYALVLRTLEIPISLVGWTGVSLITFFPIMT YNPVQKAHGDTNPKSWEKSVKDILFALFVCSLIFLTEKTMILLISINYHRNQFDARIK KSKRHVQLLSTLYEASRTMFPMYCKEFYDEDAFICDSMLAAAGGTASSNSSFLRGRAG IIQNVGQNVNRFADQITEAFGNVAQEITGKHVFNPTAPHSIVTQALERRKSSEALARR LWMSFVIEGREALFLEDIAEVLGAGREGEAEEAFQLLDRDGNGDISLDEIILGVKEIG RMRRALHHSLHDVDQAIQVLDNLLLTVAGIVGVLVFVSFVTTGFGTVIAAGATSLLSL SFIFSTTAQEVLGSCIFLFVKHPFDVGDRVDINGRSYMVERISLLFTVFHCISDHRIT QTSNATLNTLWIDNITRADAMHENLTISVNFNTTFADIQSLRGEMEAFVRNKENCRDF QPHVDLDVLGVGDDTDRLQLCVDIRHKSNWANESVRASRRSKFMCALVLAMKKLRIRG PGDDSIPSPEDEQPPAPSINTENSTDKRGSDTSGQIRAAQEQGQSTAHLMVPHKVSPA PTEARTTGYDKSPASTNSSASSFLFHRRSIPSRQNTDTGRRPVTTTAASVSEFPDDTY QTPATSPERMRHANTDVSMRYNTSTSAQQIISRGSSTGHRSRSTNPSEVNDNDHESNS PYQARYRPFSYQAYPGGASVGVEANGEPMPPEHSEPVMQGSSYYYPYSHTETRYQPYR ENGQGDSGAPVYDEVFGPAQGEREGQEEQLQELLKLPSGLEYSDNESGHGRDPVSPLP PSPAGHSNGSRAGSEEQGIGKPRGPR ACHE_40688A MQTSPSEYQKATPDKQVRYPQYISLQMLPSFLLEFFSIHGYRFP NKSEFNLLSPKIYIYIFLSTPSHPLQQTEPNKMATTNGTNGATKSIRLFNAESAKLSD FQQFCSRTTNPAEYPLASSITHNIPIYEISKFDPANASTTSALQDEWYDILATGPGVF VLKGMYDPSKYEQTLTATNESFERIIARERNDPTKPKGDHFAASGKNDRVWNSFGKHA LDDPDSFLHYYANPWLSVVSEAWLGPGYRVTAQCNIVKPGGKAQDSHRDYHLGFQDLD ACAKFPRGLHLASQFLTLQGAVAHSEMPVESGPTRFLPFSQTYEIGYLAWRREEFREF FQGKYVALPLALGDGVFFNPGLFHAAGANVLPAETGFHRKANLLQISSAFGKPMETVE TVPVVQACWEGLNRRFKEAGRVDEELGLLVRAIAEAYPFPTNLDKRPPAPSGMAPESE QDIVIRGLEGGWSGEQVVKELLEMQEDSK ACHE_40689A MVSPSSALSAHRRALDERDRAVWSKRFDSKPVRPATADLDVEYN ELILSWKAFQDNLAPHDQPDFFPHPQNIRDVIARVRSIQSTWIASPQKHFFSTAMKLC DRFLATMDSHSALLAILPDYECYASLFYGVLQSAFKASANYPRVIDGLLKALVKVNES ICLPGKNPSDAVEDMTSRVVRFYSLLFFLLAEFMDWYVRRAKCRLLKSPNHEPYAHLE NLVCTVRSQGLDIMREFTDGLNLEDRGCAKKHRTMQANALYLWEEARIRQVGLQGKDR HVAAQNTIIRHLIWDLQDDDAQRCRMREEREFLLCKWLDAANRQLRAVAEQKSGIACL TTTPSQDLATSRFDWSYGSRRKYTRVEFQYASAHLQNYFDDDDQIVYFEPDVEVVTED NVMSSLCQWGMGSNSQVLAVGGSPTTFPSPVALISACYAFYARKAKLPVISHFCSLPL ETRENVTPFEHGLLALAYSLIRQLVDCLPPVVDSNVACHLNCERFRFLNDTLGCWKEV LSLIDCLLSLAPPLLVCVIDGLDVLQDDSTDVYIRSLVRVLLTHTRHETMKMPDGKHG QRVLLKVLFTVAGRPSSLVETLSENLHILTESNRVDPTLLADDPALISDAAVVMNA ACHE_40690S MSAYMPTGNPGSGNDNNDSSGGSPNTAMIILYSITGIITVLFLA IIITGAIRAHRHPERYGPRYATGRRSRQSRARGIARAMLETIPIVKFGDEPEGKPDPA KGDVEMGIPESGVETPADEQRKETTPARDTVQPASPDEVPPKDEKRSSATPSTPDAGN FSCPICTDDFVKGQDLRVLPCNHKFHPECIDPWLVNVSGTCPLCRIDLNPPQEEQQEE EQGTDSHPQTRDPTPERQTRQRRLTGYFSSTLNARRMREATVEERLAALRTVREEART SGEVDDADEQRQRNRLSTRLRERFRIRTRTHGDPQPDPPQ ACHE_40691A MGDCAKDEAFHNGSFFIDTANFYQAGESEQCIGEWMQARGNRDP LVLATKYTATYAPNIPSRATQPATPSSLRNLQTD ACHE_40692S MPSSTPALSTSTSSTPSQRLANLSSHIMSPSAHSPVFSSSLIAA APPDPLFGLAQDFKRDPSDKKIDLVIGAYRDDDAKPWVLPSVQKVRNDIHENPTLNEY LPIKGLASLTTAAASLLMGPSSPAITQSRVSTVQTISGTGAVHLGGLFLSRFHPSSPK PAIYLSNPTWANHHQIFSNVGLSLAQYPYFSAKTKGLDFGGMMAALREAPAGSIVLLH PCAHNPTGVDPTQEQWKQIALLMRERNHFPFFDCAYQGFASGDLVRDSWAIRYFIEHG FELCIAQSFAKNFGLYGQRTGAFHFVSAPGPDAAKATENIGSQLAVLQRSEISNPPAY GAQIASRILNDPQLFAAWEEDLRTMSGRIAAMRRGLRDRLEAKGTPGSWEHITNQIGM FSFTGLSEQQVKLLREKYHIYMTKNGRISMAGLNSHNLDYFADSVDAVVRETS ACHE_40693A MTDLTPTLNTLLTEKHSSKTIPPPASRLPSTETADEFLKEAYRI NSHIASLLHYLQTIRHSYLTTTNAPPPSQRQQLRRTSSVSSKHQPQQDKQQGSLTDAQ RDEIDTSTSLLLRDLSSSITNLSSAESLRQETESTLLRKKYGSTTSVLFRWASGGLDK NDEDKSDEQRREEEIVRYVRVVREGVLWFLRRGLEDVVGVQKGMVERRIERVREREKS VLYKAAAAGTPAKARGEGATGETLGMSGGLDTHDAAAISENEVAAIEAELSPEQLQLF AEENDTMLRYYEETLDKVQHAERSLLEIASLQQTLVGHLATQEDYINQLVTDASNTTT NIGEGNKELKRATEQRSTAQAVFWGTVGLCTWLVIWDLVF ACHE_40694A MASKGYSLEDWLRLIKQVKNPLGLTEILFADESFVDKVKILISL PKEIINDDVAVRLLEFQMENSGYHQLTRAVCWLSFYNLPDEYHQRASKWSNGIALGDT EIRRVVQREVFVQEKVKAIQKSLILSAGK ACHE_40695S MFNFSLFKSSKEETPAATWNPNTLTMEQPSSPEAPHAQQQQVVT EQPSTQEPMQMGLRGGNGGGICCGICAGLACFECCEICC ACHE_40696S MSTVILPLAAAALVLRLLYSLIQSIHHAHKARQLGCGPVPHYPS KDPLGIYNLFDTIEADKQKLVPVLTERRLETVCDRENRYVKTFRFRQMARETITTIDP ENIKAVLATQFKDFELGVLRQRSLHPLLGTGIFTADGEAWTRSRGLLRPQFTRDQISQ LDLEERHVQNAMKAITVDEESGWTRDTDIQTIFFRLTIDSATEFLFGESVDSQMAAVM NNVDDQFAYYFDKSQWFCAQRARFEKLHFLADNKESQRATQQVHAFVDRFVQSALDIV QREKNGGLDEEKNDENYVFLHALAATTQDPIELRSQLLNILLAGRDTTASLLSWCTLL LARHPSVFKKLRQTVVDTFGTYNRPLPITFSGLKSCQYLQHFLNETLRLYPVVPMNRR TAVRDTTIPRGGGPDGMQPVYVRKGESVGYNVHLLHRRKDIWGPDAEEFRPERWLEKK PGWDYIPFNGGPRICIGQQFALTEAGYVIVRLLQRFDEIEDVYPQRGVRYGLTLTSCP ADPVTVRLHEAA ACHE_40697S MRFTSLMMAASAMGLVHAATKRDASAKKSGFTWVGANESGAEFG EDNIPGKLDKDYTFPNTTAIQTLRDSGMNIFRVPFLMERLVPDDMTGGVNAAYLKDLR KTIQFITESGAYAVLDPHNYGRYSGNIITNTDNFKAFWKTVAGEFSSNEKVIFDTNNE YHDMDQSLVLNLNQAAINGIRSAGAKNQYIFIEGNAYTGAWKWTDNNDNLKSLTDPQD KLVYQMHQYLDSDGSGTSETCVSDTIGKERLQSATQWLKKNSKKGFVGEFAGGVNEQC EKAVEGMLEYMNENSDVWMGAEWWAAGPWWGDYMYNLEPTDGQAYGAYLPILKKYFPS EAGASLSASSSTSTKAVRVPLVRPSSSGSGSVSASASASASASPSGKPSGGALPPTIP VFVPTTFATVASATPSSLIASSTSSVVPSASSSGGVANKYGQCGGITWTGPKTCESGS SCIKQNAYYSQCQ ACHE_40698A MCIALVSTAHPSYSLIVIDNRDEYLTRPTSPPSWWPPPHNHVLS SRDLARAPYGTWMGVTKTGKLAVLTNYRETSAAKAAAAAQSRGVIVNSWLAAPPDDKR DTRAFVQDTITGIAARNVGGFSLVCGYVNEPLAIVSNRSANLEQVTWVAKERGETVGL SNTSFGDRSWPKIIDGERLMEEAIGANVEAGEGEDKLIERFLGVLSTDTLPRLPEGSS TETYIPNLRKSIFIPAIGAESKNERSADEVAAACVEDRVKVDGVADAIPNPAYMQGAY GTQKQTVLLVREDGRVRYFERTLYDNNVNAIPVGEGDQSFEFMVERQS ACHE_40699S MFGIFADLLSSVVTILFPIFASWKALRSSNPSQLAPWLIYWVVL SVILLAESWTVFILGWFPFYSWIRLFFLCYLVLPQTQGARLLYVQYVDPFLEQHEREI EEFIANSHERAKTLGLQYFYQLIDLIREKVLGLPPQTGGASPPPPSGAGAYAQSLLSR FNMPAAGAGAGTGGGAPAAGNDWFSTISSAVSAMASTGQGQSQEARARELHASGNLLP REMASMSREEKAKYLSNQRDILEVMRAALAHEENNLGSSDDESGSSLRKNRSDNSFDH INPEDIRDRSPAGAAGGWASGWLGGQGGQARPR ACHE_40700A MITLNGQTLEGGGQILRNAIALAALTNQPLSIYSIRASRPGKKG LKASHLAAVQSLAEISNSVVEGAKLRSETLSFYPPAPTDIPLAKQEYNIKLDTPGSVF LVFQALYPYLLRVGALAEIEGPVRVNITGGTNVTSSPSFDYVQQVLVPNLKTLGFPGL SIEIQKRGWMTGPVELGTVRCLIDPLPSTTKPDGSVDCRFPRIGLNKYRRGTITKIDI TVLAPDDEVSWSTTQPSKDDKLTTRKFIEQETVITLQNNLKHLPPHIINLGNTQSPIP INIHTSERSYHQTHIYILLVGYTTTGFRIGHDFLLADAKDPRPKKKKQDKDSHQHALV RNLIESCVANFENELWNQAYDQYDLFERRHQPCVDEYMRDQLVIFEALGRLYPSGDDE NVEEEESLEDERYWSLHTKTARWVCKEFGLKLNR ACHE_40701S MTSIPPQKQGLQGQSASSDTPANTSSSSPAPPANRSSYANATRK SATDSTAAPVTVGGSSQHGQSTSASSVSGKPMQQQTNTTSSTSPGVTIVNGAPASQQQ QQQGDHSRKPSVTITSAGTSGGFPNGAPSSRPNSLQFGFANQQTSPMGNPAVLAGQPQ SGLGVSPSMNPRVTSPQTSPSPIPQPASSGGRPPPSSYQSQGNVPNFGSFGDAGDANR PQAPLGPGPQSTHLRRESSQSTHSDMSNQMGGAPAGPGRGGYGGRGRGYSQSGYQGQM PYSPGPNFRTPNQPRGGPNMGPQFQQGRPLAPFPNSPHQASRSPALANAHPTTPQMNQ VPMAPPQMPPQPFAYGQHMAPQPAYPHAYDPNYAYYNPAAYGMGQMQYMTPPSPQPRP GMPFNPQAPYMQNQYPPQPPTQATPLSRTPSQVSNDRPNSSLGQPQPPAGPPGAGHAH NASRSSNSPAPSKPQFVLPSTKRSPIVIKDPGSGAVKTFDSKGPGSPARGTPSPVKMA TPTSTPPPRSNNGPEHQRSDSKATKTDEEKKKELRDAVRQKIEQDEAEQRRKEDEERK KKEDEEAAQKKKAEEEEAAKKKAAEDEEAARKAMEDMSLKDNKDEKKDEVAAKPAEEP KQAPAPPADDDDIDFDAIEREMAEIEAKEAAAEADYYAKKQREKEEKERKEKEELEAY EANMKNAEREAEALEEEREKKREAAEKKTEPSTPAESSASTPISDVSMGPPGKPASAA KKPAALKLETSKAVEPPQPSAAMKALHTARFVVDLSKISYPSSVASPNPALNANAPAD RKFHYNKEFLLQFQAVFKEKPSVDWDSRVRETVGDTDSSRPQSARTPMTSRTPSRTGG MPQPFQMGTFGAPNRHSLPPNTDRIAIANAARTASMNNPFGQFGRPGGMGMGPIGGRA SSSGMPGSPRVGSNRTNTRNNSKRAEKHQAKKEEEMAKSMPLTASMEVKPLQVSGTGW KPRSLAQPAGAQAAPAHMPPDMVQRKVKAALNKMTPENFERIAGQILEIVSQSKAEND GRTLRQVIQLTFEKATDEAHWASIYAKFCKRMLETMSPEIQDESIRDKTGAVVTGGSL FRKYLLNRCQEEFERGWKVNLPPKPEGETEEAAMMSDEYYAAAAAKRRGLGLVKFIGE LYKLGMLTERIMHECVKKLVDYEGMPDEAEVESLTSLLRTIGASLDVSERGHALMDVY FSRIQMMMETEGLPSRIRFMLMDVIDLRKANWMSKDADKGPKTIQQIREEAARAQQAA EMERMRQQASRGGGGRPPIGRGDARNFSGYGQQAPPPDFASSKVGSDDLRRLRTGRTA NQPMSFGPSSMLGSRSNSRRNLGPGGNLVRGSEDSAASSRTGTPPAGKKEDKEAASSI NAFSALASLEDRDNLATSPPSNPTSPMLTKAQPTAGQPANPPSKDGEAS ACHE_40702S MNRCPVFSATLTKPATDARNSRNLAQMAKEADLEIQRKQAKSKR MLASLGHVEVHVPEVPARAPSPVSAASEAEAPPSTVRASAGHFRDFLGWDNVAVSREG KVGGSALVRVATNAS ACHE_40703A MRSLQLMALLGLAALPQVALGSCPYAQQMARDTSNLLSSNPHVH SARSSNSDAITAPAGKKGVFLMNRIAPGSSQLYIANADGTNERPLLSNPVFEYHAEFS PDGQWISFTSERNGDGNSDIYRVRTNGSDLQELVSTPSIEDSAVLSPNGKLAAYVSTT NGYKANIWVLEIHSGKKWNVTNTPLTPAANESLPNGYFRPSWSPDGQWLAFSSDRNSG WYGHGDPVFLGVSGWEHTQELSLYAVRPNGSDFRQIVSKNGYSLGSPKWSHDGSRIIY YEMTRETTWDAHRPESVGSANSTIVSVDFATGKDRRVEVSGTGVKIFPQFLDNKSTVG YFYKGGTKEGLYSTNGRYINTTDITLRSPAWSPDGKKMVYEKTAWNVRPMEKKLYSWD DNWEYRFTDVFPQLSNRNVLAYTEKQLGNSSVVSRNPDNTTARLVLDDMNTDFISASE VSQGLAGAFQPSWSPDGRWITVGVGYWFQTRAESGGWLVRAPTNGSSNYEVLTQSTTD LTTNTSAINSGFPSFSHDGKKIVYRVWGANSTSGDRNQLGLRLLDLETRKITTLTTEW DNLPFFSPDGSRIVFTRKTSPTNYDVCTMNPDGTDIKVLTSSGANDAHAVWSHDGKII YSTGMFGFQYECALYDQTFQPYGQIMIMDADGSNKRVLTDSIWEDSMPLFVPNKDL ACHE_40704A MSRVSSPRGSFESDSERKDNEASRPNKRISYADEAPRRKSIQFS FGGGFENRQHRRSLSDKGLGEKNLRRSHIEFQDREKQKEKEKEQKQSVIPPSASRGPS PPPPNTYERGVSFDTFDNPDAADFSLTLNYKHKGYQCTRRSRTFLCGTDQNEYSDFAL EWLIDELVDDGDEIVCLRAVENASDAGIEAGRYRKEAEKLFEQVIQKNSQDEKAISLV LELAVGKVQDIIQRMIRIYEPAVLIVGTRGRNLSGMQSLLPGSVSKYCLQQSPIPVIV VRPSTKREKKKKKRLANPSRRSYNHILELSERRGSHIFDPSSSTDSSVARLPDEEAAV AKALGLPPSYANSRGSLSSGRSSVDDLTAPMLEMTMKSSSGESLEGSGHDKFEDARQS QKLEDDETAPVDNDLPSAATEQGGALSPTATDVDSGAVSELGDNPTNIPVIITDGTPK DGSETK ACHE_40705A MSSLSSSSSSSSLDSLDSFDASSYFSSLARRRQQKNMSITQTYY LAHTARRKLTREASRADHDLRLLVGHANLLDSLMLDLADAEQEQERWFNQTVNGVSKS SPSPSSPSSPLADTRHIQWADTIVEDPEDDWDPEDLSDADSDLSDDSDFDEDDFAEER FAVTTPIRRPTQSPVPFVTEREVPGDYDDGYSDSESEFEYDDAEDLAHLTLTRSPSRQ SPPDLSSDSDEESEDDTMPPSPPQQTLDTFADDEKQPQSNTIPLDADQAGLFDQRYYA ASQSQGAIIEAY ACHE_40706S MENTFISEDPGFILQDDLFRSIETDLSQPQQEEENILIQLSDAS TKPLKVLKLEYTSDLPEYPSTDPNGYGYVINVPPNQQRETVEDMVNSIQYCVRQNYRN RPSSHSSFLGTSYTSSSYRCSGIKICEYAGIQLKNMHHTHVTDDLWTILQDIRQRIHE MERDTIKDAAYRFYRSAKNLFKNQLSCYHFQNSCQPKLTQSSIPNPLGGFDFYVRCIN APSDPAGHYTYRVPKNGSVHLQFLEGLLNNEIIMDMEECGAVESIKSKSLYCAYDHPQ GPGKLVHAKCNVTFHWLIPTDLSQNPYFVFMSHGVHTHVPPPPRKAPAKIMNGILQLI NQARSPSLTLGTFLKSPALQSFCAEHNCHTIQQIHESFSNMDPIQAVIRKQRLLHYPA GQNVNGVMFELGKNKDLQEYIHEVYQQNDQIMIICILKEQAELLHTLSSIEIDMSFKR VQSKEMKEVVFATYLADQKKIMTLCRVFTTEDTTEGYYILFKKIYHIVYKLTGKRITF RALHGTGLHALVMDMDNKQIEGLAQFLMEIDPDHHSRIWLLKNVLLLCRVHFLRGIRE TLQTHSLNPYIGTRMAALLECESEEDYHKWCDLLIKHEHPAIQNWARHKKSAVIAAGL NKYCSLIPHHIFDMVRKSTNAVEQTHNKSNRRGKQLTLLQAILESLKLDIQDVQQNRS YNSYGLRHRYATQTLEASFLRHMARSGMYLLL ACHE_40707A MPLWQRGHTHTFITAHYSYAITWIAVASIFLYIPGGLRYIDALL LASGAATQSGQNPVDLNSLGIWQQVILWMVAMVTNVVFVNSLLVLVRLSWFRRRLRTV AGEVKAVVRGRDRGGYRAYGTITKSNTPDGRIAIQEANVYGGESEPQPINHIAASSAR PRITFDVAASQREQLHQKAISSLPPFMWHPSIASYSDWDETQKDELGGTEYRALKTLF FILLGYFIIFHVLGAVSLLCVISYRPAYGHVVDEIGAPKAWWAVFTASSAFNDVGFTL TPNSMASFNKVPLLLLVMTFLIVVGNTGFPCMLRLIIWMLGKITDETSGRREELEFLL RHPRRCFTLLFPEPDTWRLLGVLLVLNAVDLAIFLLLDKQPSYNLHDDKGYTWLINGL FQVASTRTAGFTITSLADLHPAVQISFVVMMYISAFPTTIAMRKTNVYEEKSLAIYSD AESVLTDNDQGEQIRRNDLWYHIQKQLSFDLWYIVLGTFLIALAESDHFPLFSVLFEV VSAYGTVGLSLGYAWTESSLCSQFRGVSKMVILAMQVRGRHRGLPYALDHAVMLPYEL RQHEDGDEDEEGDGSGWRRWIRRRRSDLSSLLSVTDTEENEREPLLA ACHE_40708S MHILDYYMDFTGKIISTGDKRYFVEKQLNEVINPQLAQCHVLTL AYDMETSNPVMIKIRYDLNPEPFDIHDPSSHKEITDEDFLDEVKCIWYLSLLGHGPRY IKYVTASASAEFPYPEGRVFFLVTDRVPGENVDEIRGELSDAQVESIGVQLGYILDRA LGQMNILLYDQDPNCLRYDMQNGKLYFIDLSYMCFGHPKDANSKKTDHVQYLAGWTSE DNRVLWVRRYPGMGC ACHE_40709A MIAFKLTAIHELQVLRRLGHIYGFLDGDKHERDGVPDVGVAKVV HSLVSTSTFRPMMSVFLSYRTSQTPDTISWFWLPLEIGLYGIILDFWFYWYHRLMHDV NGLWQYHRTHHLTKHPNPLLTLYADHEQEFFDIAGIPLMTYFTLKAVGLPMGFYEWWF CHMYVMFAELAGHSGLRLHAIPPSTLSWFLKIFDAELIIEDHDIHHRKGWRKSHNYGK QTRLWDRIFGTCHDRVECKDDNVDYVNMVDMPLI ACHE_40710S MPTYEAPTISDHEESALSAEPETTDSQETPSTMSQSNDSNSVHQ RPRQILPDPEVFKGDIASYQNFKHLLKAKLHVDRKALGGPYECLWYAYGRLSGNAASH ILPWMIANADSPTMVNDDTVTKLFEHLDFNYMDKELQRKAMYNLSTLKQGNKTINELL ATFDRYLMEAGQQNQPDNMKIFCEYCVQLQGIYDRHQKYQQRSAEHRRPPNRRTTTPM FPPPATSPTATSTQGDPMDWEPTISRARNPQRKRARWVSGKEIERRKQEGCCFRCGSA GHQISQCPFLPAQRPTPRVAEFTAEDVTDAVLDDTQATPVPDVPSGKA ACHE_40711A MEAKRNPKDSMKSTWRRTNRSDWTIFHWFYEVLGIHPTALDKEV PIHSKQDKVPHIRDWQLHRWVLVHSFIPLVIHHAYVRFTGNNLGPIAAFIFYMC ACHE_40712A MLSFFTYLLPLAILCQWVMALPPAVQISPNLKVGNLASVFDHTD KYPMPNAGNITAHDPNILEHDNTFYMFKGGVHIPYYKATDLSGPWKEVGTVLSKDSII PDRPNATRPWAPTTVEYKGKFYCFYSLSQAGSRKSAIGVASTEDITKGSWTDHGSLVV TGNDNQGSPYNVTNAIDPSFIVDQKTKTPYLNYGSYWHNLWQVELEDDLLSVKYNTNT TIEVSKKKGKNDKNNKNDNKKNQVKGIENLKATQLTFMPNRDNRPEEGSWMSFHDGYY YMWFSQGKCCDFENGFPKKGDEYHIRVGRSKNISGPFEDKDGNDLRKGGGTTVYASNH GLTYAPGGLGVLPGNGQRRDVLYYHYLDTRVGFKHAQAQLGWNYLDYDNGWPVASKNG NNDKKSGNKQATATAKATTVITKATTSTAKATQTNGSSKATATPQ ACHE_40713A MGLVKVLLKAILIPLALFALIVGIAIYMFIRHRNRKKEDKALES SNYGFQPPPITQWGTGPQPSSPFVPVQKPEAVVYPMQYPAPQYSVDAGRQTNNSADKN KVVGQAKWQDALCVAA ACHE_40714S MTDPTTQSPEKSWIVSQFEDRAPEAHGAGWSKIWDAGKSDLWDR GQASPALVDIVEKHQRPGELFHPFAADGRRKRVLVPGCGRGYDVVMLALHGFDAYGLD ISATGVAAAKAFTSKELQNPSATNFGLNHDNKEFQSRGNVKFLEGNFFASEWENEAGG EFDLVYDYTFLCALHPTMRKDWAARMASLLDKDGLLTCLEFPMYKDRTLPGPPWGLNG VHRNLLGKGGDGIDIDDATGTGKEGDGSFNRILYVQPERTYEAGQGTDMLSVYQRK ACHE_40715A MSDPSTGNELAEPIPDGVWATAKQSWGDLFKWKQRVIVTNEYGE THAEWQDPEPIVNPISLFMQLSARDWLFFLVGLVAWTADAFDFHALSIQTTKLAEYYE RSNTDITTAITLTLLLRSVGAAGFGLAGDQFGRKWPMVVNMIVLGVLQIATIYSHTFQ QFLAVRSLFGLFMGGVYGNAIAMALEHCPVNARGLMSGILQQGYSLGYVFAACANLGV GGSTESWKTVFWIAAGISIGVGIVRIFFPESQQFLEAKRSGRKSDPKAFWKEVKEMLR AQWKMCVYCIFLMTWFNYYSHTSQDSYTTFMKDQKEMGNAPASRASILMKTGACVGGT IIGYLSQFFGRRRAIIVSALVSGVLIPAWILPNGERALSATGFFIQFFIQGAWGVIPI HLNELSPPAFRSTFPGLSYQIGNMISSPSAQIVNAIAEATTVKAPSGKLAPAYGPTMG IATAIIAVGIAVTAAFGPEQRGRRFESAVTGVDDSAPRKEIDLEEGIECKETEKETEE RIERAEKV ACHE_40716A MAPVAIDSPAAPPKAPPKVVGPATKKATRPSTQIPQSMIDEARK VTKESFDPSKHLDYNYKYPKRIYTMEEIGLENQGISPNAASEPFPLFTENAIRQIRAE VFSEESLRDCQYTSTFAKNMIRGMGPARAPFTYTAWSHPEVVARLSEVAGVDLVPHMD TDIAHVNVSFDDGSTTAIQNQNGADDDGTSAFAWHYDSFPFVCVMMLSDCTGMVGGET ALRKPNGDVIKIRGPRMGTAMMLQGRYIEHQALKALGGRERISMAACFRPRSPTMKDE TVLASVRGISDLELLYTQYTGYRLELLEERIRIMLKEERRRQVAKRPFNLPEIRNFLT EQKEFLESMLEELLEVEN ACHE_40717A MAFSLSHDYLQFLRMPNDPEDYCRLNSPDELPPSLPPPLAPPGP EQGQLMSFSSPCGDTQRLPQSTHQGPGWSDSLEPPASEANVGSDGIAHFHPPGQIADR SSVAPAVNANRSLLPVCDFPKPSIGRRARRQYRSCDPCRLAKRACDLPPGVAIHGNKP PMPCTMCSVRNAECTVAWLASRDGSSRHAQKRPGSSSRSLTTRRTGDSGDANLRQRQN DDGLWVHSASLTPSAEWDLAKGLVARERWSQQLYLYIDIIDMPLAVCLSQRCMPPCYT LGITALAPLTESTVVSPYLDRARSRITTCWDMNVTPWMPTPPTPQLFLAVSVLDALFQ HPEDQQKRNLRDEAIAETYKWVAIATATQFTTHKYDCGETGETHSRAKDLAIVTWKRA REMLFQNIGATRSFRLALSLLLFGTILPPTGLEQSSAFAEDAAYAASEGAQRLRTLGV NARLYLQSSYGGADVPLFFSSVVDPRTGRPGSRPVQALPCEEKQNVLELLGAIEWLLC ISQSATIVTSRECKGLTCLDIDSVRIDKLPLRGPEQPRNMDEMQTRRHEKELEDAILS RARAQQDTVTGLWQQGVSYDLVDQAVNHSGSIAVLLWRSLALLTLASQRLLTGLASYA EFQRYYIATTTIISSWRLTFGQIDRSTIMNLQWSPAELRRSVLFCATDGELAILVFDE LIRELDAQLPGESWLPAEDSIHTDFRYTKMYRQEQRLMSAMHIAYLASTNVGISNPDS QQGCGLKANVRDISAHPQPALVIRAYTLAAKTFADEIQRLVAKVEMKGVPELTNSLYS CLQGLQALEEALVMFPGTVRHGFKAPS ACHE_40718A MSADPRTRANGQTSSIRFGFSHRQLRLPLYMYEPGTASGHHPYT APIQCNHRFHPNNLMAPKFDVTVIGAGMAGLVAARELTQKGYSVMLLEARDRVGGRTY RQRGFGGELELGGAYIHWTQPNIWYEVQRHGLTVQAPMEDGKAYWLADGKVHSGSSKD FYGAVGPLLARLFGDARARFPLPMDPTALHQSDIEKESIEDRINSLNLSLYERDLLEG ALSGVVHSYKEQGIAQLLHAVATSFGDFQAFFETAGNWAIHGGTKKLAHAILAESTAE LRLSTPVSALEDDGFGVTITTRAGEKIQARAAILAIPLNTLSDVKIIPELTPAARTII DRKNPVLAHKIWIRVKGEIEPFSIISPAGKSPINAARTEKRHDGDTLVLCMCSDAASI NPNDCAAVQAALRKFLPDIEVVDTACHDWVADEFSKGGWMMHRPGTFTGSASEIRKAH GNIRFAGSDLSATAPGSIEGALASGAIAARDLSKSLTKGPR ACHE_40719A MAFFKTAKPVVFIGAAGEMCRVAVERFAAASDAPLVLADINLSV LESLAASLPARALTKELDLFDRAALNDVVQGAALVVLGAGPYMRTSEPVLSACLDAKV PYLDFDDDVQSTQAALGLQERARKAGVPCYIGCGASPGMSNVMVMDAVRELDNVGTID ICWLVGDERGGTGRAVLEHLMHIAAGPCLTWADGRPTVNETWVETIYAPMLEGDGELL LHETAHPEPVTLPRLFPQAHRIRCAGALAPAPFNGIARGLGQAVRRNLLSMKEVVDFL FTLTNEEPSAFGWGNGFAELSATFKGGDITLNELFQLIRHLSHSISPWRFALYGIIET IWTGECTTGEVLSFLVNLVQGKRVENKGGLLVRAVGLRNGHPATVTKRTAKSGDKAFL SRTMGTLTGTATAAFMLLALDDGLTRSGVYSPEDWAVPDKFYHALERLGTPSDELVVA V ACHE_40720A MYRITGDKSLQDAVWCMFSSIEKLSRTKLGHAVINDVRDKNSDE SDFMESFWLAETLNNYDHFIAVCGVTLPELARSVQYSADLHYFHQRWLAITRGAVVCF VDNYGVTSFFPSSCQLSSSIAT ACHE_40721A MEMPVNSDIEHSNPLKRQRRSRVACEPCRERKRKCNGRQPCETC SDFQYTCYYDASSRKKRNKNFIMGGSAPIRPAAQMQQQQQQGSSTLDAATPSTHSAEG PPASMPASMAAATQSIESNSGAAFARELGLRIDPINAPEPQVFAWNIGFRQLPGSYSA LPIVNIISQDEMVALANIYFGKVNPYYGFIDRDICFEHLNSRWLRSSTFEPYDVVLCG VAAMGYLFSQRKAVAAELHLIESARSALEQCSLFGIPSSTVISGWTLRLSYMRLTASP HAAWMTSCSLLHLIEASGLHLDPSSRPVLIKPPQDINDNIRRKLVGFVQYINTWTSFD LGRSRIILHGASYNVPASREGDYTAEMLNLLPLSESLDPHKPVDSNQLTTMLSNIMEN NRTQQPSVLSQCNLILCIFRRLRALHSTVSVDLMNQILALITKALASARELADANCPW SHVANVPFQIVCTLLAVDSPESISLLGDAMQTLKHVTDVYDTDTLREAYRNAGLLVLL QQKRKDHDARHLSSVLNLHFAPSVESDNVPGQRRQSTMEDSEYLRDMVADMPSSETFD FDKFFIADNPWDFLEIYR ACHE_40722S MASDYQYTYFRVSKTKHLHTSARQYKELRLRALKLSPSSFGSTY EIESTFPDEYWISRVTADGRETFICAATASSESNASDPSSLEWVAQVTLLGPRSREQF TLPTVSRQPVPGTDEQEERWQMLGLYTLTSHRGKGIATKLCQEALDYIRSYRSEPKNV RFRLMVKPGAQAPLGFYEQLGFTEVANSTLAEAMIANGDGSFLPEDYPEQEKYMVRNS HVMMQLFTRDDK ACHE_40723S MRVLGFLTLSMLLPSFIFFKPRMPPRKGGPIVEWGAFKDPSYLL FSIGMFFAFWGLYVAFFYIGSFAREIIGVDQAISISLLLIMNGAGMPARILPNIVADR YTGPLNLLIPAILISSIILFCWISVSQTSNLYAFSVFYGIFSACVQSLFPASLTSMTV DLSKIGVRTGMVLTIVSFAALTGSPIAGSLVQRGNGSYLYAQCFAAASMAAGGILVTM ARIRKTGPTLNARM ACHE_40724S MDKQPEGIEAQSAFASTLINDTEKSTPEGSSRKRVVSVKAQPCM DAVYAAKSTLLSQALQDLGMGRYQWFLALVTSVGWFLSSFWLMSFKLIAPPAANEAKF FFSSSGDREAFLFISLCVGLTVGAASWPWMSDRLGRKWIFTSTLVLMGMGGLVGAGMP SFTGLCVVSFVMGFAIAGNLLIDTIILIEWVPASHQFLVCLQGLFWGLGELVAAAVGW PFISEYTCGTGPDELSTEQAISHQSRAVHSSSSGSCHYVSNKGWRYIWWTFGCVTLFL YLCRFCFPLRETPKYLLSRHRDAEATQLVKDMASYNRRTTWLSETSFARIDSTVDFDA QRSPQAGLRVLSSSTAGSLRAGILAILWCVSGLTFILHPNYLRLYLSSKGVSAVTPTT VSTSFLYSRYLYVSLCGIPGPILAAVLVKTKYGGRTRTGALVTLLTGVLMLVAPVSRS QNTALIFSCLLSCTQHAGLSVLITYSVEVFAAPVRGFGLGVVGFFGGLFGLLGMIITT FDTAIANGAAVWFSGAVWIAMAGFWLILQERDVAA ACHE_40725A MNVSGNNALPFDADCYAILCLERKPLFQRNSSESADNRKDAGVR KTFPGGKGTGPFRNPTQAGVNVPPGGNFVSPEEFFSASTMQGGDQAYLFPVTEASQRS QGGTINDFYRRYKVESAHKNPNAKSWYQITGWSGQLGPYCQALQNNGGNSNRNDPICK KDGNGKGSLGFDVGEYVYYYDGQSYHKPQGSK ACHE_40726S MHETLQVDNGFPFLTILRTPDQQEIDKWGTESPIENFETGFLGR SEDELRRFYRQWLAETPGSSQGDVNENMMAVLDERSAIDSTMILYWGMKNRHWDDYCE FQPDKPITGNGRLCEDGYIWWKWRVPFKHAFELFLTAEHCDTEVVELFCRPE ACHE_40727S MSFHVSSSDIHITHENGSTMLLCQVRDTHGKMNPRRIRLDDHIG NTDGWFIWGGVNFTQSAENIALEHTDRGPKLTAELHKRDGGFRERQGLFLADKISNQD GHLKFTGP ACHE_40728S MVRATTLFAGLAGLAGIVSAHPGHDVKAEAAERAAFLKNSPVQA RGLSQCDTKLKARGLENTNVARRQRAVENLRRKRGLASSAPLKSRDVSSLNTTHHSDL KGVTTNIDPEVLFAYNPTCVLGPDVTQGPYYVTGELIRSDISEDQEGVPLFLDIQLVD SNTCEPVPEIYMDIWHCNSTGVYSGVSASGNGNSDDETNLDATFLRGLQKTDKEGVTQ FETVFPGHYTGRATHIHVLSHPANETKVLKNNTISGLYTAHSNWVGQIFFDQDLISTV EKLEPYNTNTQELTTNAEDDILLQEADTIDPFVEYVFLGDKPQDGIFAWISAAIDVTG DSDVTPAAYRTENGGVSNPNSGMGMGGGSPPGGSSPSGSAAPSSSSSA ACHE_40729S MPNTALLVLDIQNGIIDRLGHNSTIDDYLQRLSTTINKARDSGV KIIYITTSFRPGYQDLHPNSPSTTAIKASNQFIEGDHSTQIHPAVSPDTSKGDAVVNK RRVSAFAGTDLDLILRCLDARDDLVVCGLITSGAVLSTVRQAADLDHRLTVLRDLCMD RDPEVHDVLMDKVLTKQARVVVSDEWVGLL ACHE_40730S MSSMAADRPKRARAGACKNCRVRKRRCVPSDNGDECVLCQSLSI PCSLSQNYTETEGPVLKRDFQGTPRQLAEREKPLNGASLSSFPATAATAAPSSFAFSS HALRPVKPQRSPLVTTPVVLLELVSLYFRFVHNVAHTLFHEASFIRRFNEGRASLLHV HAMCALSARYSQNQVFNGTPPGSRGQVYAAEATRLLQGYLGSPSLESTQACVLIGHFM GGEGDLKAKHTYFGLAKLHAETLSLWAMPHNLTVVHREERRRTWLSVRIADHWTAVDT SVEPAPFPHGRDMLPAVDDIAFHTFDPNLLREDRASCNMWAHMAGTLDIFARISILLA RLSRSVISFDTYCREVPLLTQRLEKWADGLPEELKYNISNLTSFANKGLGRTFLSMHI GYYHFRQMLYFPFLDARANRSTSIPTDGAAQCKSSAAMVSEIVQYAMRFQNCELDYYI YGYIAVVSSCVHLHTLLLSEDSSELWTARQRLVSNFEYLMSLKILWPMVNLSIARLRI FEDFCRNSMSDPFALDNWMARFITEHASIPPVRKQSTFPSLEPVLVDSATQAVAGLNG HGGLIGTDETGSAGAEWGVLSTLLSDRSLTSEAIVNNALDWLLE ACHE_40731A MYVNTSKLATVALATILSANSVLSAPMAGRSSNLLTRDPRGSHG STSHKVSDVTGAISDGTGAIADAMTLQEYLNQKRSPRGHHSGSGSSTGERVSTWTGAL GDITGMGADAATIAEAANNQKRSPRGHHHSSSSSSTGERVSTWTGALGDITGMGADAA TIAEAANNQKRSPKGHGSSHGGSSSSSSDKALNGLDAAGNIVGIGADAATIAEAANNQ KRAPKGHGGSSSSGKALDGLDATSNIVGIGADITTIADAANQKRAPKGHGGSSSSSSD KALNGLDAAGNIVGIGADAATIAEAANQKRSPKGHGGSSSSSSDKALNGLDATSNIVG IGADITTIADAANQKRAPKGHGRPSSSSSSDKALNGLDAAGNLVGIGADAATIAEAAN NQKRSPKGHGSSHGGSSSSSSDRALNGLDAAGNIVGIGADAATIAEAANQKRSPKGHG SSHGGSSSSDKALNGLDAAGNIVGIGADAATIAEAANQKRAPKGHSGSSSSDKALNGL DATSNIVGIGADLTTIADAASQ ACHE_40732A MQHGETKNGKYPDGSVITVWGKYSDKTSAGEAWPCMGNKTNKKK GCRQVAQELGVQWTKMKPENNDNENKRKKEGSPSDDKVPDKKKGKERTSEKN ACHE_40733A MIPNYPRTISFWRLVTDQQVITQEILNHEYAGSGTENDPHVVSW LPEDPRNPIQFAMARKVMIVVMTGFAALIISLASSAYSGSIGGVIQHFNISEEVATLG LSLYVIGFSIGPLLWAPLSENVGRQIPFFISFLLLAAFCAGCAAAQNIQTLLILRFFA GAFGSSPLTNAGGVVSDMFTSRQRGLALCLFASTPYIGPAVGPLIGGFLEMNAGWRWV EGLLAACAGLVWILVTFTVPETYAPVLLRKRAVKLSKLTGKCYQSKLDLGKEDVALWK HLKTVFSRPWVLLFCEPIVLLFTFYAAVIYGTLYMLFAAFPIVYEQERKWNPGVGGLP FLGVLVGMLTAIAYTVMDNQRYIKCQERHNGFAPPEARLPPCMLSAITVPIGLFWFAW TNSPSIHWMASVAALVPFGFGLVIVYMGIVNYLVDSYTVYSASVLAAMSVLRYMFGGV FPLFTTYMYKGLGIHWASTIPAFVSVACIPLPFLFYKYGAAIRGRCKYAAISASHLRK LQEMAAAEKGTGENNPASK ACHE_40734A MQFSEEEASQVKLWVVKKLEDISDADSDVLADYVLALIRSDAPD DEIRKASVENLEDFLREHTNSFVDELFSTFGPKEQAPPVVSHGEQLPQGIPAQQPLPF NAPSGPASASYSSPMDAYQQDGANYSRKRSYNEGFQDQEQQEDVPYNRTFKAPRRGRG GGRGDWMGRDNRQAPGQFPQASAGGFPVMPPAFPGFDQNDPMAAMMALQSMGFPQMPG MAPMPMAPGAPGQQQPQVPKSSERCPFYETQGICYLGNTCPYQHDTLREDEYDPKSSN IMMDVQRQGPNGPMRGSDRGRGRGRGDRGGFGGRGRRSEFSSAGPNEDTTVTTIVVEQ IPEDKLNEESVREFFSQFGEIVEISLQTHRKLALVTYDSHASAKRAWSSPKVIFDNRF VKVYWYRPKPERTNEQRPGEAPEGPAFDPEEFQRQQEEAQKAHDEKMQKRKETEQAKQ ALEQQRDELLKKQQEEKDRLLQRLKQKGVSNGTGVEESKNEPVADENASEQTKQLRAQ LASLEAEAKTLGIDPNQEGGSSFGYRGRGRGFPGFRGRGGFPPRGRGYDPSFRGGFRG RGAFRGRGGGVLRLDNRPRRVAVSGIDNSEKDEAFRQYLIGLGEYESVEPNPDQPHSL IVAFKERYQAEKLMFSSWTIPSVGEVQLAWLPNLPITAPSSTPATPGQELDAAKAGGD DDDTNAMAMDATMTNEPEQRKDGGHDVDYDVAEMDDNWE ACHE_40735A MVNFTIEEIRSLMDRRANIRNMSVIAHVDHGKSTLSDSLVQRAG IISAAKAGEARFMDTRPDEQDRCITIKSTAISLYAQFPDEEDLKEIPQKVDGSEFLIN LIDSPGHVDFSSEVTAALRVTDGALVVVDCVSGVCVQTETVLRQALTERIKPVLVINK VDRALLELQQSKEDLYQSFSRTVESVNVIISTYFDKTLGDVQVYPEKGTVAFGSGLHG WAFTVRQFAVKYAKKFGIDRQKMLTRLWGENYFNPKTKKWSTSAPDGVERSFNQFILD PIYKIFAAVNNNKRDEINTLVEKLDVKLATDEKDLEGKALLKIIMRKFLPAADAMLEM ICIHLPSPVTAQKYRMETLYEGPQDDECALGIRDCDPKAPLMLYVSKMVPTSDKGRFY AFGRVYSGTVRSGLKVRIQGPNYVPGKKEDLFVKNIQRTILMMGRFVEPIEDVPSGNI VGLVGVDQFLLKSGTLSTSETAHNLKVMKFSVSPVVQRSVEVKNAQDLPKLVEGLKRL SKSDPCVLTFISESGQHVVAGAGELHLEICLKDLEEDHAGIPLRISDPVVPYRETVTA ESSMTALSKSPNKHNRLYLTAQPLGEELSLAIENGKITPRDDFKARARLMADEYEWDV TDARKIWCFGPDTNGANLLIDQTKAVQYLNEIKDSVVSGFQWATREGPVAEEPMRAIR FNILDVTLHADAIHRGGGQIIPTARRALYAASMLADPAILEPIFNVEIQVPEQAMGGI YGVLTRRRGHVYTEEQRPGTPLFNVKAYLPVNESFGFPGDLRAATGGQAFPQSVFDHW EVLPGGSPLDPNTKPGQVVTEMRKRKGIKEQVPGYENYYDKL ACHE_40736A MASDSTSLTTLSLTPDPSFNWFFLLELIVSCLLVLFFLLYFNRL FATLLSYGIRAYTWHYYRAYVDIHAIQVSLLGGRVFFKGLRYHGVNETIFIHGGFITW HYWKHTVKRTELSNFKDTETQSENGQGSSAQTDGGSDGNNTGLGEQGGLKKTDSLPCR ITAKFYGLEWFIYNRTPAYDSILAGFNVPTDDVSSPPSLLSVTPQNEQRAPTGSKEKS NAPSISSLPRSKRDCNDTPGNTHGIGLDENGASDAEGETASSQEIGDSLSRLLHLLPL KLVCDKGAIVMGNQHTTSVLTTTFDGATGFIEACDAGSLDMYRQIFSFQFSHPIIQMR PNPDYKQNQLAAAKILSSAEDDFGGTKRKRDTIFNYQRQKRKVWHSVRDLIPYFQKSV ESFHVQDKHADTPKGQHEAPSDFRWAGLSRYLDKSSQDDHEEWNAVEYGRFSTILDTP SMTVSYFWDIPGCVRPSHINPASSARRSSPDINGAPPPEWGIDVKIEGGSINYGPWAD RERGGLQNVFFPNFYRSSKPSQPLTVGDLRRNTVFKFRIELSDETTLRIPTREQSKDW QWKGRGNTIKGASKVREQQRRQSRNKEGDKGHIGPDIRPFGWLSLRVAGDSTITYTMD MAGTSTGFLNQLNLDLRESRMSSSVNHGLLWQCPRQLVTCDLSTPLTWNNFRTWKFDV ESQDMELFLLRDHIFLLTDLVSDWSSGPPQNYHTYVPFIYKMGLSFSNVKLFVNVNDM NIISNPSDLEDNRFLLINGRELRSDVTIPLDKFKPEQNAVSFNVNLKDGAIDFLTPLW DTYHSFLQDKSTATLESLSIDGSYNYYLATSSGLTDTLLLNIDGFSPRMYLFGFLIRY FMTVKENYFGEDMHFKTLEEFQELAYTEKPPNSQHGVNANRKSNDLDVIVHVSVDSPC GLLPEGIYDHSQCARLTAASLQVDLRFTNYYMDLHFTLAPLKAALESCQMEGPPIISE PQLFIEGFTVHGHRLFGLPPAEPTYVCNWDFGIGQMVGECSPDFLSCLFAGLQSFDMT FDNEENALPPLAPIALYDVTFLRAKVDQIHVSVLLEQTAFILSSGPLTVSFNDWADML FSKRMKLLLPDLSIAAVDRKSVVHAPKLPSITVAPLALFQATIKLRMAQRNSDIGEGR RLQQEHLKVHDKRTQRTPWLLLEWESLGPNSSFTSIGSMEPPAIAIPSMPEPIAKGYQ LGSLSMSYRPGTRSNASSKSFLVDLDNSSLSSARKRRNRDTASLASRDGATQGNGSSA HGETQDVRYQPRTVESNKSPATGVFMKGASPATSKNPPSSWVMPEFSLYKVAFDTSQL PTRQVTSEEDEKEHASGTNLDPFFSPFGGDKTTDTSFACELPTGMKGFCTPEFLLMLS ALSKELQPKHPAEIIDSLQRGVVSDIVGYLKALNRPRKSTSLAVRVPIILFKLANASE ISMDLRPGFLDEYNIEISHLKTEFRTKVERQKGDLLSGIAQSITVHAAAQTLSVCING DRTDAYQERAELSCLLRDMDFWLVTAPSVRSHLQMRGFDTVTSTKSVEHLASLVHRTT TMLDSVTSAFQNSSSLEDKRLRFLIYSISQEAAGIADPPFLTRISYVLRVAPTHFRQH DSWKIISRIRNVYNHLPSDKQQALISQCLDEDTPLPKNAKSHVFSTFDQWRAWDLAHV EKSYIMRKIWNDFESTPGARQGLTFLSLAVGMFRFSIDPGPKESDFILENMTTAISVG SRKDNSSGVLKLTKVVNVESYCFSSSLHLRWEIVDLIEGVLKAVSSVTFESAPSVEPP RDPTEEETELQIVLGADSGSIILDGINVELALNGKSLRTSVAQSQSPNKKDDLCLLLS AEAGSTEISSRSSSLMLWTIANPYVYCSHKSEETETEVSNEWKIAGSCKRLRYDMRED PVNLAHAADRVIEDEIRYINRIARDANFPSNDHEKEAEPKKPGPNKLQIALFLDDYQL SFRLLPSLVYTISGEVARMSVMPSDHSKMEVDFDLKKNSHVFSSTDGDDKWHTLSVLE IPPINGRIVANMTPDRTEVEADITIELIYLEASAVRSLLSALSGPEMSHLLSDVKQNV EILQGHLHDILSLDKSPVRHKEPFSTPELLYKARLTMAGTKIHATAPGLNGRGYSADM DFSLGMMRMRLDNGFENGYPMEYPEFRVDASQISFDLRKQEKSGSRSYGSFAVGTRLV GTSVQHENGEVMRAYHLDSKNFDVELYPQTAALVVDIAAHLQERIKTLDLSHEVERFK KLRRRGQTESKAKPPEVPGIQVNDEPESEPQVFFNAIYTLDFYNIQVGWNMTSVLSTK SGRRPDDLVFSIKRVELSNKKKNAAKLRIEDMQLQMVPAGMDRRRRSLTSALLPELVF NVAYSSRGKEVRLAFQAAGKSLDLRATSDFIIPASMIRDAIASAAQTLRDANSVLVKP SADSTDSPASPESPGNTENTTQRKLFGNRRLRSVLVDVDFAGAIVSLQGRHLDDQQTM LTATIKGSRFSEGKYGQYVQGDPAATATLRAPGVALKVQFDDNGLDDPTLNAELKVDA STNVLYPTLVPLIKQMTATVKELMGEHERPRRPSTAAKLQPQKLMQESSLNSRDPDTI LGRCRVNVGLLICKQEFSLSCQPIARVAATARFNSVYVTINTVQSDEQGRFLALLVAF NSLEASVKHVYSNDSTASFQVKSIIVSLMNSKHVSNTKGISAMLKLSPVKVALSAKQV QDLLLFREIWVPSSDEPDSQPTFQSQETETQTYIVQRYQQVASTSAFPWNTAIAVEKL EIQLDLGSTLGKAQFTINDMWLSSKKNSEREQTLCVNFDTLGVDSKGRMSGLVELRTL KIRTSIQWPDEAAPDSNKTPLIQASISFKQLQAKASFDYQPFLAAKIAMFNFLMYNVR GASGSSKERLFSILEGDQVQVYCTTLTASQSLALFQAWQRLVQDKQAAYEASLREVER YLRRRSSAVADRVDFEAREPTKKAEEDTEKAPISLQTGVVVTINSVHIGAFPSSFFDN QILKLEAHRAQAHFDVSLEEGRIHSTLGLTLGQLGVALSGIGRPSYIDIEELSVNDLE RRANDARGGTILKVPQLVASMETWQAPGLPQIDYVFRSTFEGKVDVGWNYSRISFIRD MWESHSRALASRLGKPLPPSAVRIEGGPGSEAAGDKHEQEKITAVVNMPQSKYTYVPL KVPVIETPQLRDMGEATPPLEWIGLQRDKLPNITHQIIIVTLLEIAKEVEDAYAKILG SS ACHE_40737S MEHAVGNRNDIWSTTMAASAPGGTGSSLARAVVIVSGVSSLVAS LLSLVSIWLQTKNYRKPLLQRYVVRILLMVPIYAASSWTSIVSLTASQFLDPIRDVYE AFTIYTFFQLLINFLGGERALIIMTHGRPPVQHAWPLNHVFPKVDISDPHTFLAVKRG ILQYTWLKPILALASIIMKATGTYQEGYLGLTSGYLWTGLVYNVSVTLSLYSLAMFWV CLHDDLKPFRPVPKFLCVKLIIFASYWQGFFLSILQWLGALSNGVAGYTPDNLAAAIQ DTLICFEMPIFAISHWYAFSWHDYADKSISAARLPVIYALRDAFGPRDLIEDTKMTLR GENYEYRLFDSGDNIIPHAESASRVKRVMDGMRYERGGKGKYWIPRPGEVNSRTPLLA GETSSGRDRRSSTLERFRAYGELEESTLDDEDERLFTKARALEFGDWNYPVITANEVP RDQRLGYPRPYQSYQSLRSSGQSSQSSEPVKKARKHRKSRAGSDATHGTEGHRSPKNF KAPQKKSSLGETGALQREASSTSHGSRRGQLVDLVVENREAEEQERVHAQKTLGSAWA GPERRHFERVSDHTAEEPPDVETPPNVESEQPNVESMGTTRWEDSPDEEPPKGPAWAY GPLEEENVWDK ACHE_40738S MDNVPNKHASVPEKHPQGPYNAARKTALDHLEHSTANERIILTE SNCYESLGFCFPSWKKWMILSVIFLVQTSMNFNTSLYSNGVGGISEEFGISEQAARCG AMIYLITYAFGCELWAPWSEELGRKPILQLSMFLTNVWQLPVALAPNFATIMVGRAFG GLSLAGGSVTLGMVADMWEADTQQYAVACVVFSSVAGSVIGPLVGGFVEAFLPWRWTI WIQLIFGGFVQIIHLLFVPETRTSIMLDRLAKKKRKENSVLNVYGPNEIQSWTERFSL HEIMITWIRPFKMLMTEPIVLTLSLLSGFSDALIFMFIQSFALVYEQWNFSTVAVGLS FIPILVGYIIAWLSFFPIIRKNNLQRQQNPNDEHMQYESRLWWLLYTAPCLPIGLIGF AWTSSGPPLHWMGTMVFSAIVGIANYSIYMTTIDYMVCAYGPYSASATGGNGFSRDLL AGVLTIPATPFFTNIGGKRHLEYASTILFCISVPLVVSVYVIYWYGPTLRKRSPFAQQ LSDARIEGNASRRASSTAFAGSRTQSRKNSLA ACHE_40739A MNDSADIPSIRGVLHQHQHRGHVETATAPSYYDGKLRPAQIPRG IGDQLQPARVDGSQGSTQSGYCGLASSSGDGAEQSNTYVPETRDLGPTGIEQPLPGSV PDPRQEPPDGGSAYASDYMRQGRYAGDQQQIKTEQSVSDMRVNGSSTSPLPNSSQTIS GQKRNAFGEIKSPSADIASPGHGVDGSAGYRSRSVSSASHENRIAALSVQLRTRLSHA AAKVEKNRQSQDLCSKSPLHALRGTIPALSSTSPVNNGKQLDVGIGLDDRPDDTAASA FNRSATYNFQPPNGPPRISEASSSYSNAHSTQPTSQYSPRKSQQAPKLAPPVDIISGW GSGRRRRPNPNEWAQPPRINPPSRHRRHHSQLEFGVSKPYFGHAIHAIPGTPSLRPPY EYPTSTPYNGHTRLPTNSKESSMEQDAIETLLFMSSPENSGYRSNTQRQNTICNSIDS SMGSGSSSTSNTNLYLNRHMNTHCNTRPPALDSDDQSRHNGLEAQAGDEIDRMLDQMG DSDSEDEKRFASAHSRSHTVANHSRGCNGLSGS ACHE_40740A MEQQSNRAHRPSKEKKKYEGANPKAFAVSNPGKLNKQAARSHDI KEKRLHVPLVDRIPEEAPPVIVAVVGPSGVGKTTLIKSLIRRYTKQTLSTPQGPLTVI TSKKRRLTFLESPSDSLASMIDVAKIADIVLLMIDGNYGFEMETMEFLNVLGSHGMPG NVFGILTHLDLFKKQSTLKAAKKRLKNRFWSELYNGAKLFYLSGVVNGRYPDREVHNL SRFLSIMKNPRPLIWRNSHPYALADRFLDITPPTQIEEDPKCDRTVALYGYLRGTNFP ATGARVHVPGVGDLTVSEIEGLPDPCPTPFIDQQMAKATGKDARRRLGDKQKLLFAPM SDVGGVLVDKDAVYIDVKTQNFNRDEDDEEEDPDRGLGEQLVVGLQGERKLLGEADAG VRLFRGGGAIDKADDEDEETGRTKRRSINALEGEEDGQGLSGDDDEGSESGEGDEDED EGEDEDEIGGDDDEEVDVPAPADFETSFKERQNGNTHREESDLAFADSDSDLGSISSA EDQVLDDDDDDDMEDEEEESAIRWKENMLANAQSLHGKAKFRVTDLSRILYDDSVAPA DVMKKWSGKEDSDKDNDEEDEDEEDGEDDFFKKTNAEKEELADNRAIPEFDYEQLEKK WQDEERLEALRSRFVTGKLSRGDDDDDDDDEDVDDAFDEDEDDEGDGEFEDLETGETF GKEEDEEESESEKKEEGPVDLDAERERNAKKKEELRLRFEEEDREGFGKQKDNSRGDG DEEFGEDEWYDAQKAKLQKQQDINRAEFDTLDPASRARAEGFRAGTYARIVLEKVPYE FAAKFNPRFPLIVGGLSPTEDRFGYVQIRIKRHRWHKKILKSNDPLIFSLGWRRFQSI PIYSTSDSRTRNRMLKYSPEHMHCFGTFYGPLVAPNTGFCCVQSFSNKNPGFRIAATG TVLSVDEHTELVKKLKLTGYPYKIFKNTAFIKDMFNSSLEIAKFEGASIRTVSGIRGQ IKRALSKPEGCFRATFEDKILMSDLVFLRAWYPIKPHRFYNPVTNLLDLPEDGSHGDS GWQGMRLTGQIRHDEGIAIPTKKGADYRPIDRPERHFNPLRVPKQLAADLPFKSQITK MRSKKDQTYMQKRAVVLGGEEKKARDLMQKLTTLRNDKHAKRAAKQEERRQVHRAKVA DSLEKKNEREKRERDDYWRREGKKRKNTDGESGGGGGGKKRK ACHE_40741S MSTPRRPSPAYTAPPESSVFATSQLYRSISETASDASARELKSS FTIRPCSGQAWVVPAGHVCRLTTPLGPQVGDLNIWNAKNPRERLWAARTRQIHASHVS VGDRLWSNLPYLRPLVSITGDSLGGGQLHEVLDTEGKRKPGVGFGTTQWGGRVHDLLG TRCDPYVNLLMGGETFDFHCHSNLTRAVVPYGLTELDVHDVLNVFQVTGLDEEAKYFM ETSPAKPGEYFEFFAEVDVLCALSACPGGDLSNWGWDDKEGDMGATTRPLGVEVYQLC DSKVLEGWTEPESPKYAGMHGLRMPPRPEDGTGYVGQ ACHE_40742S MPLYNVTLKKDAPHEELEKAKQQARANGGTIQHEYSLIKGFTVQ YPDDHVQTLQTTEHIHVEQDGEVRTQ ACHE_40743S MQFVTIVALFALALGVTAHPGRRPADVHQQKNECGGGQLACCVE HSDIHADSFLSSLLTEGLLTPIIGSQEQSCAKFSLIENLNILGFTTKKGDDKPSCKSI TACCPDGQSCNRV ACHE_40744S MTVLERAGSRFASWLSCLFLRPESDNGGQQRQEDGKSVERERDL TINIQPGLVSPMRLASYDNESETGKHPSPLPLSPPPPLPRLRRDKAMSRRSSIRASFS FNRKSTIGPRPSPICISAPSDFRRVQSMTTFPPQPQPKATTHLELSIYNNPHHALPDL PSFDNFHLNEIIDDSESPIKRPQRVFSSPPDFILPPVIPRASAQNTAGSLSGPASFRL PRKPVGTAPKPRRSSTAWPTAKPERQRYSTPASPLIPHFARVQRGQPQPRALSMGVGA ALHGDLGFTLQDRMTHDTNNDDTNANMTPPISPSPTLTSPQYQNQHKSLPFSLPPSHP QPQPGPETETHTRSWSGSTLASSTYTCTYNPTSPKGFDVSMISRPGSRTGLGQGAVLY PYPAPTIYEGEQVVGCI ACHE_40745A MHKISNFTGQARHGWERMTPTFSMSRPHTDLASHSLRRPHGAPP MTPPTGIDPTVNLSMNVPFSSTLAGPDTEDVIHASPGALQRWSFPEGTPEGTPVHLLP VHVSNVESLRRLCRQITESSAGRIEATVTTSEPKVVPSLQRRPQSLVTNVCITGEGET VRKMRAKILNETPILLRCATVDVDMHLIMDGSTKGIRASVLEHLDTLAAYTGTDIFLL TPKVRDTDSAVVSSYGYASDNGLDHRFRVAIYGDMESAEHAKTRVLIMIDQILKRHVD ALKLELTMHTLVCGRTRKNIKLIEAATGTAIYFPPPFPRIFGYTPPGAHRRAEDEVYI TGDAPEQIARAKQKLRELVMGVKIYVKDVIVNSNKIDNILLDRLDKVRKVMEMNGSYV LFPQLGSQRGLVRIQGSEVLHVERTVREIMALAGQFYSASWWIIMPDPSQGGFRAPSA ADVRTMLSDICTNSEAEVSFDNLTFTINGSDDAVKAGMMVINQIPFVKRSQYQMRVKI ELANEHKEFVSGKKNGKINKIMGQSNVQIIFDGFNEYNFYIDVCGNQYESAKNGLDLV EQEMPASISFHVPDQYHKRIIGIGGQHIQRIMKKYSVFVKFSNAMDRGGMGKEDDDIK VDNVICRTPARNAQSLDLVKQEIMDMVEKVDAEYVSERVAINRLYHRELLARMPEIDE LEKKWNCKIEFPSTELASDIVTISGPEYQVPQAVDALLGMVPESHELLFNSSDELRDY FKTSDFRENVSTKLKEQYEVDTTVDTCVDAPPSPEEGSSSSIAPPEDRVVLGYTRNNA GGLKDAIDFLISRLVAHGLDATTVKGAIPRPKSDSFEESLPFFDSKLLQHAPAPIVTD SPTRPNFPDDTSERGSIFERLRKPGSISSFSSFIGRKNHSASPGSFFKHASSNASKAS LVSMESRDSGYRNPWNDSGVNLPEDDLPVLGTSHSHSSSNGWPVRFDTKFPFSAAPGD MTPKHDLRASFDSGRPSTSNSTSGYPAPIGPPR ACHE_40746A MAAHAVTTLPTSTTGLPIGEEVQEYEKILRISDEIFSGTHPKLK VPQQFVRKTTSRNPPTQAQVVNTGGLDGSPTERPSQATPSAAPAPTTITTASVHGPSD VTATTPSKNRTVPKPTSEIDPIFLTKSDDLVRAELQLQRQRVERVLRDQLEQKRLESR QKAAMQDAKPDFDVSDVLNQALELVKPASVNDISTSNVAGAPSDSFDENSFYSSRAPD SPQQGEPPSAAAAAAAPLTGDLATKIPVENFSDELQRLEALNRTGSDQEMRDAYPVAD QRDPYRHRQPYGAPVDLTSNKYHEVQQPEDAMEEPDYSPPAPGVLPMDRAVAREYQPE YVPHDARRRVENRGGYYNPMSPRDDVRIVRNHITSPAAPQPSRVSPLAVAKVPSAPQA KDMRTRYGPEQVHSAQNLDRGSPDVPAAQHPMPRKRRRLQEDKGRARQVSYNKKPAAV DSSDPYIKEEPVSPPPFADALPAYQGRSAQPVYIDIASPRYTPVIERREPSIREPVYE PDPYHEAPMPRAASRLSSRRPMRDEPDLRRVASLQNARQPEYAREYVEQQPSPRAVRA ASYAVERPPPERATRYYDEPVPAYSRRFVPAEGSPSSPQFREAYYEEEPVPVRLMPPP RRIVVDEHGNQYYEAAPAVQYVPSAGRVPRSDVYDDGAPVRQASMRAASIIEDPYGGR KYVQEMPPPPSTYRRVTDYARPAPVERRPYATPLEGEQFTRSSSVQVGEYARRPAYVE EPGLPRERLVRMPSVRPQTARYDEPREVIPRVASVRPGSVYMDEDPQRPREYVERPVY VSRPPPMREDQAYYEGEPERVMMEGGRNVVQRAPLRY ACHE_40747S MYPVSRLRPAAQVFFGLCTSLRRAPYVGLNRGIMASSNCPAGAV DSRPVFFFDIDNCLYSKACNIHEEMEKLINKFFVKHLSLEPQDAHMLHRKYYTEYGLA IEGLTRHHKIDPLEFNREVDDALPLDDILKPAPQLRKMLEDIDRSKVRLWLLTNAYVN HAKRVVKLLQVDDLFEGVTYCDYSKMPLVCKPSQEMYEKAEIEAGVPSSAQCYFVDDS HLNCKHAEARGWNTVHLVEPGLPIPRIPACKYIVHNLDDLRVHFPHLFKQTNGTQTG ACHE_40748S MGDLSPGCVVLLPDGKRATVRYVGNTRFAAGDWIGLELEEPAGK NDGSVRGERYFDCEQDYGVFVRSSVALTLVERPAKPAPKGNTGNAPPTSRARAQTGVG IKKPGVSALPSANAKRHSVSTANPSSAAKAAPQRPGLRSPIKSPTKQLSSRNLSIDET SRPPTTRSRPSSTTKASMGPPPAPSATSRTPRPSISGPTSRASRQSLSSSGAALSKRP SLRQIASKDSSAAETESGQSDAVTDSAGVEGDDTEDGSAALRAPLGSSRPSIATTRPR LSQSSTQKPPQSSAVTRELDELRTKLKVMEKKRGDDREKLKMLEQLQSERDKFEGIIQ KLQAKYQPQQVELGELRKQLKESQARLEEIESIQAEHDSVIEMATLDREMAEETADAY KHECEVLRGKLEELQLEVDVLRDENEEFDQGMSPEEKSSQGWLQMVKTNERLREALIR LRDVTQQQELDLKDHIKEMEEDLEDYAAIKSQYETTKEKLLASENNFEDLKQQLETAL GAEEMIEELADKNMRYQEEINELHAAIEDLEALKEINDELEYNHVETEKQLQEEIDFK DGLFNEQYRKISQQDEVIEDLEYTLVRFRELVSTLQGDLEDMRASQQISEAEATDLTS RSRAMIDLNMKLQASASKAQTKTIDVETGRMEAEEAALHLSIVKLYLPEYYDGERNSV MALLRFKRVSFKASLVSSTIRERNADQSSTSPFHEDFFTAHDVLEKLLWISSLCDRFT SYIESSSAESFGAIKGALFEMEPVERTLNFWLESLKKNEINMSKCAVELQRSIALLAH LAETMLPTSLEASAGELSMRALLTQSYLDHSASCLSRLRSVLQSKVSVPEGGNEEIPL LFNKLDGLASQARGSKVAMGKIYRAVSDLQARSLALPSDASEPFKKVEDSGKGLSSLS RQLGENILALINDEARTEPLSLTETLDNLSQTLLSFDQGSEPKSENNDAMSLIGTKLR DITESLDELDSISSNLSLTTEFEKRPAPWIARSEELKSNKTISPDADEKIRQLKSEIQ EASTALGVKDKTIEEQAIKVELVEARMREASKKASMVKELEAKIDQLQAQHTDLTDTV EKQRKDLETTEAERDELKSRLDRVKRASGTTGVATTADGVVVDGSGASLATIQENEAL RAEVKSLQAAVRFLREENRRANMLDPFSIQRAADMHSWLDVPLNQFANNNTSVQREKV HQTAAESRDAFAHLLKLAKDSHVSDLRSSMSHHAEGESRTAWRPSKTKLRYQVLQQRE NYERWAEWRDDIVSHEKEQDRLVLAKKERLLREQAKKHHMSRKDSMAAGEFGMMGQAW RILGMQHQHQQQKQGDERKSPISRLVEPAIAPFS ACHE_40749A MASGNIPSHAQSSLPSLPAHLQSDTHLTAHLASRFHVGLPTARL SSQALICLNTYTTPTKGPDGGKEGSSMAEAEDLAKRAFTRLATRGENQAIVFLGENGS GKTTIRSHILSSFLSYSSTPLSSKLSYATFIFDALTTTKSLTTQTASKAGLFLELQYD GSSSVNPTLIGGKIIDHRLERNRIASVPTGERSFHSLYYLLAGTSAQEKAHLGFDNPI HVSTNGGMLGSSTVSHKRWRYLGHPTQLKVGINDADAFYHFKTALRKLEFPRSEIAEI CQILATVLHIGQLEFVSGQSTATSAEESGGYALEGGETVTSVKNKDVLSIVAAFLGLG VDDLEASLGYRTKTISRERVTVMLDPRGARRNADELARTIYTLLVTYVIETVNQRICA ADDSVANTVSIVDFPGFSQASATGSTLDQLLNNAATESLYNFCLQSFFDRKADMLERE EVSVPAISYFDNTDAVRGLLKHGNGLLSILDDQTKRGRSDAQLAESLKKRFENKNPAI MVGTAGTGPGTRHVSPEARSAFTVRHFAGEIDYSVKGLVEENGEAISGDLMNLVKSTR SDFVRDLFGQETLQTVTHPREKTAIMQAQVSAKPLRMPSVARRKAGPSVAHPFDANDA TDHESPDGSSTGNTPGRRKTGLMTNSPQGAAGQFLSSLEIVRKSLSTSNLNPYFVFCL KPNDRRIANQFDSKCVRAQVQTFGIAEISQRLRNADFSVFLPFAEFLGLAEIGNVVVG SDREKCEIVLDEKRWPGNEARVGSTGVFLSERCWADLAKVGERVVPVYHGRGSEDVGE GFLHPRTAAYMDSKVRLLDSPDRSPYGDDNKQGYFGARDVDGRSDAGGSAFNSGDMFR NLETREQMLEKGNEKKMEEVDDIPVSSSRKRWMALVYLLTFYIPDIAIKWFGRMKRKD IRLAWREKFAINLIIWFSCGVAIFFIVAFPGLVCPTQHVYSAGELSTHNGKDGHNSFM SIRGVVFDLGEFMPSHYPDIVPQSSLKKYAGVDATNLFPIQVSALCQGKDGHVDPTVL LDYKSTNVSGTATATSDTDPNSKYHDFRYYTNDYRPDWFTEQMIMLRANYKKGFIGYT PEYLTKLADKSQSIASIDGKVYDMTTYLAGGRRTQAPEGKEVPQNVDTDFMDSLVVDL FRQKSGQDITKYWQNLAIDQGMRDRMQLCLDNLFFVGHVDTRNSPRCQFARYFILSIS IIICAILVFKFVAALQFGKKNVPENIDKFIICQVPVYTEDEESLRRAIDSMARMRYDD KRKLLLVICDGMIIGQGNDRPTPRIALDILGVPETVDPEPLSFDSLGEGMKQHNMGKI YSGLYEVQGHIVPFLVVVKVGKPSEVSRPGNRGKRDSQMVLMRFLNRIHYNLPMSPME LEMYHQIRNIIGVNPTFYEYILQVDADTVVAQDAGTRMVASFLSDTRLLAVCGETGLT NAKTSAVTMIQVYEYFISHNMIKAFESLFGSVTCLPGCFSMFRIRSAESGKPLFVSKE VVDAYSQIRVDTLHMKNLLHLGEDRYLTTLLLKEHPKYKTKFLFAAKAWTIAPESFAV FLSQRRRWINSTVHNLIELIPLQQLCGFCCFSMRFIVFVDLLSTIIQPVTVAYIIYLI VWLVRDTSVIPYTSFILLGAIYGLQALIFIFRRKWEMIGWMIIYIIAIPVFSLALPLY SFWHMDDFSWGNTRVITGESGRQVVISDEGKFDPDSIPKKKWEEYQAELWEAQTSRDD RSEVSGISYGTKSYHPTQSEYGFGGFSGSRPMSQVDLSHQYGARSISRPPSEMLSRHM NMELEDLSNLPSDDALLAEIREILRTADLMSVTKKSVKLELERRFGVNLDSKRSYINS ATEAILSGAL ACHE_40750S MSNRFSVYSSASAGFPTGSPRPQQNMQVSTTTLLNALHSSYNTS QPYQLDAGASVVVNTWLNANQAATVDQELALRAWEHARRRAEDGCIVLCSIHQSTPSV LEPFLSTLPVATPGTAFTTLAALRPFLSAVTSFNPSYSLYPALAACYTLSLRGDVVGF SWALSTSGINIRKGLLDIPAEAGYRAFDVFYYLLTSASTPAEREFLGLKESSAYALLN KSGTYTPPSYLPTADDGAAAEDFRAALKAIGIKGASQRSLLSALAGLLKLGNATGFLV DQEDLEETCEDVGDLLGLNPEALLHKCATDDREVLIAGIYESLVDWVLRKANETIADE VQKTLEGHSNYSGQWSEEDTVSITIVDMPRPALGKAVAMRGVFDDTLGINAEMKEDGV PIPPVGHAVANDMNNAVAQVEADLGITTGAAWSEREHELDRKQTVLEKVIDDFEPDAF LRQVLLPVESEGISVGKRGRFDLPTTLGSSRVWYHISIHPTDDSPGVLNPLMPAAVWS ASAVSRQLRDWKLSEWANRRLKEHDFTADFDMEEFVSRYARLGCLDGRDGIENWILER GWSNGDAFVGYQRIWMREGAWWEAETMLDLKPDESPASDPFAYGTGMFDNPFASNLNV ANPIAESTSLLGSRDDLSHQKRHSTLAPPSIMGGAKSIAPSLPHTMNTGGDYGLGTKG DDRKWEPYDADYGQYTGELDPEYGDPKHIEKKEIPVTRRMWTAFVWALTFWIPSFALR YLGRMKRPDVRMAWREKVVLVFLILFFNALVCFYIIAFGDLLCPNKDKVWNEKQVGWH EGDDDFFVSIHGKVYDISNFWQIQHSDTATETTSSNMKPFAGQGLDAYFPPPLTQVCS DFVDDESITLQNNDTSAVLYPQAKHDCGPRSQSDTSTKRNKITWYQDVFLPKIKEYYK GDLVWKRSEVKKQAKTSSRYWVIVNKNIYDLTDYFYTLKMMNNIDTYDFLPTTVTDLF KNYPGTDVTDKWQDTDQFQKAQSCLDSVFYKGKVDFRDSPRCTVNNWILLSFTVLICA VVLVKFLAALQFGSKRRPAPQDKFVICLVPAYTEGEDSLRQGLDSLTALEYDNKRKLI FVICDGMIVGGGNDRPTPKIVLDVLGVDPKIDPPALPMKSIGQGSEQLNYGKVYSGLY EYEGNVVPYVVVVKVGKESEQNKSKPGNRGKRDTQILLLNFLNRVHYRAPMSPLELEI FHQINNIIGVDPELYEYCLMVDADTSVKEDALNRLVAACANDARIAGICGETSLQNQE QSWWTMIQVYEYYISHHLAKAFESLFGSITCLPGCFCMYRLRTADKGRPLIISDQLIR EYADNDIDTLHKKNLLSLGEDRYLTTLMTKHFPAMSYKFVPDAYASTAAPETWSVLLS QRRRWINSTVHNLVELVYLKDLCGFCCFSMRFVVMVDLLGTIILPATCVYLGYLIYRV ASHTGPFPLISIIILAGVYGLQAIIFILKRQWQHVGWMFIYILAYPIYSFILPLYSFW FQDDFTWGNTRVVIGEKGDKRVVAVEDEGFDPRSIPLQRWDDYALANSLPGRRGDYGV TQEKFYPGMYPDDNAMEMDDMHSNYSSVKPASTILTGFPGQNRNVNPYMPPQSPAPFG GNMPGNRNSHMSNFSRYTDQPHLGGIPSRPMSMSNLSHYQDNPMRMSRQSMGMAPSTE NLLNSRPATQSPLAAGYHSRSTSAFDLHGGTGPDEASITEAIRSCLMEADLDTVTKKQ IRALVETRLQTTLTGNKRAFLDQQIDQELANM ACHE_40751S MSTQPQPHPDSTPDPDHDKRTAEAKTAFTASLSSIGTNHDAPLR ARASLLHANASALMKQENNVVQATEDLGRSNGELERVADKAREGLKEIGDVQNWAEVI ERELLVLEEVVRNVEESVEEQEGGVGGHGDRDRDGGGGSSGEQRSGDAVGRGNGESNG WLRWW ACHE_40752A MATIKAIEARSVHQIQSGQVIVDLCSVAKELVENSLDAGATSIE VRFKSNGLDLIEVQDNGGGIAPENYEGLALKHYTSKLSTYEDLTSLRTFGFRGEALSS LCALSDFHVVTAQAHQAPRASRLDFEPSGKLKKTQVVAGQRGTTVSVEGLFKRLPVRR RDLEKNIKREYGKVLNLLHAYACIGTGVRFSVKNTVGKTKNVVVFATSGNPTTKQNIA NVYGAKTLSALIPLDLALEFEPSTSGRRLVGGSQDGEVNKLQVYGHISRPVFGEGRQT PDRQMFFVNARPCGLPQIAKAFNEVYKSFNVSQSPFIFADFHMDTNAYDVNVSPDKRT ILLHDAGAMIESLKTSLTELFESADQTVPQSQLNLKQHLLGSSQASVTSRKPPTRAPS PAGDTKDKEEVQNGVSDANEGAESPRNAQDRMRSFLGSLESSSRVDTSSPARSSIPDR PPESSPMVTPTRSVPVLSSKVHNTDHDDGEQSPSELPDETQDQPPTQEETEPEPSQYT ANSDEPTSSQVDQPVETPNTVQNAFDRMRPRRMPAEVATITIGDRTVTSMVGSGIPKK RAADGGSSGFMWRKRRIHTPSRPGIFGRFSAPGTQSTEASEDADEKEEVEEDAEDAED IRHEQSEDGSQLFVPDNKSDHAADDMEEQESPEHDAGDYIEPNTQVSAPDNMTEEEKK VHEDAEVQRLIHEAEEKAALPNENTANRVNKMNKGAAHKDSTVHLIGSINGSLCKIQY QMEQLQKSLRSHYPDTSAAQGADETVTSEQTAEDRLSLTVTKDDFARMRIIGQFNLGF IIAMRSSPKNDSDSGNKDELFIIDQHASDEKFNFERLQTETVVQNQRLVQPKTLDLTA VEEEIVLENRAALEKNGFIVDIDDSGNEPIGRRCKLVSLPLSKEVVFGVRDLEELIIL LSETPSTATQSNTYIPRPTKVRKMFAMRACRSSIMIGKNLTSRQMEKVVRNMGTIDKP WNCPHGRPTMRHLMSLGDWDEWSEWKDAGDEGGDGETGIDEMDLWRSYFEMAGDDEEE DVE ACHE_40753A MGALLSLPLLAVPSVGTLVTVATSCCGAATCSAVCSACGKFQNS MATRIAYAFILLINSIVSWIMLTPWALKKLQHMTMDYMQIRCDGKECHGWVAVHRINF GLGLFHLILALLLLGVRSSKDGRASLQNGFWGPKVVLWIGFVVMSFFIPESFFFVYGP YVAFFGAILFLLLGLILLVDLAHSWAEVCLQKIEDSDSRLWRGLLIGSTVGMYIASIV MTVLMYVFFASSGCSMNQAAITINLIVFLIISIISVQPIVQEHNPRAGLAQAAMVTAY CTYLTMSAVSMEPDDRHCNPLIRSRGTRTASIVLGAIVTMATIAYTTTRAATQGIALG SKSGHNYSQLGMDDNEHGLVTQQPTSRREMRAEALRAAVESGSLPASALDESDDEDDD DYATKDDERGSTQYNYSLFHIIFYLATCWVASLLTQNLDPDSQTTDDFAPVGRTYWAS WVKIISAWVCYAIYLWTLVAPVVMPDRFDVY ACHE_40754S MGSTDKTKRIVSAVAATVVALAAGTNYAYSAWAPQFGERMKLSS TEVNFIGAAGNLGMYASGIPLGLLTDARGPRLTTLLGAITLGVGYYPVYSAYEHGEGS LGAVLLSFFVFLTGFGSCSAFSASIKTAASNFPDHRGTATAFPLAAFGLSAFFWSTVS TIAFKDDTGRFLLLLALGTFSLNTVSIPFLRILPPSGPYAPLSSSELRAESRPLRRTK SSEFSPHAGESDEAGTQSSSTLEPQRSVRGRSQSIVSNSHYPVHNSDVGETSSLVSKS RSSQDSVNEGHPKHNTEEDHDDALSDIGMDSPHPDIRGLAMLAKVEFWQLFLTMALLS GLGLMTINNIGNSTKALWNHYDDSASAKFIQQRQVMHVSILSCGNFIGRLSSGMWPYM FVVLKPANHPPLVGIGSDLLVKKLNMSRFWCLFISAVVFTGTQLAGASIDNPNTLVIV SGLTGVAYGFLFGVFPSLVAHTFGIGGLSQNWGAMTMAPVLSGNIFNMLYGSIYDKHS VVGPDGERDCPDGLGCYQAAYYTTFFSGLAGIVVCLWSITREKRIHAHSKKAEHERLA ACHE_40755A MFRASSSNAMNMNYATSSPAATTGGQGNHPPVCQNCGTSTTPLW RRDELGSVLCNACGLFLKLHGRPRPISLKTDVIKSRNRVKTAGQGPKRKSGGTADANG LTSAQSDAGTPPLGSHGYRRASRKASPSHSDRSNSPVSRTDTPGIPFNQHNSNIAPQH MFDSVTLAEQSLNQHNGHASMQLRQPSPTSTAVDRHMEAPQTYERLLAANTKLNTRVS ELEVINELFRGRVAELEQSDATARRSEMIVRDSEVRLRRSLEESQRREDDLKRRVAEL ETQLSENPSGDGNLANNESPGEPMAKRIKLSDVVEQPSASSPTKSPKSI ACHE_40756S MPKKNRPATSGYARLAQEEDRHEFYNYDDSEDDDLHGVNSSIVS QSAPRYAPISSRTQMHGLSTPPEYRRRHSGHYHRRGRRNSGVDIKAINARLERWAEEI ASKFKINKVKGKTLEEEKLEIYHSVFQPPEGVRPVTAETLESEEMEGAARRARAEFED VVESVRVAIELGTHPRMISQGSSGSYFARNTEGKVVGVFKPKDEEPYASRNPKWTKWI HRNLFPCFFGRACLIPNLSYVSEAAAYVLDARLQTHMVPYTDIVWLSSKSFFYDFWDR RKAWMGKKQLPPKVGSFQVFLKGYKDANIFLREHPWPDQTNTGFRAEDAPKRKKRPWN EACRPSGIHSDDEDEDEYADGDVPSPSPREQSNERRFQWTEGLKQSFREALEKLVILD YIMRNTDRGLDNWMIKIDSLSEEVSIVADPPKSNGNSPQPGDDEDDDYLRPAQPVSLN PNQNQSTSASYPYKRHETMVAISRTGTPLSGQQEPPVSIQIGAIDNSLSWPWKHPDAW RSFPFGWLFLPVSLIGQPFSQRTRDHFLPLLTSTAWWSGTQMALRKIFAQDDDFKESM FARQMAVMKGQAWNVVETLKHPDHGPLELTRRTRVCVWDDLVDVPVAIPLRAPSTDAQ KRKARQYDPDNEEMDIGAAMSLQSDHDLLGLGSPSSELPNPNRFELARDQGEPSNLGG SPATIGAHEVNRDSIDGLGANGRDLDRSWATLPPRSHTKSASVGGHRKNDSLSTVRNQ AAFVFGSDDLEGDLGYAAAEGMEGNQRKVIVERIEAVKSKNPVFTWC ACHE_40757S MASHAAMLLDPRAYRKRIQTNGNNSPGYTPYGPSNLHSDATSTK GPDIDGTLFFPSRIRCPSPPEDPVYGYSSSNATGSQSVGLLGEGTTGQSDPGRQSSRS HATTPSLAPAGTVTATHQFLSPQRLPLSRRTAKSRSPRRDASRSSSAGNRTAKSPQHA VRLEPTIDVQYAAPTDFENGNNENGATDTRHGSLLEDMYGVERRENQPRKRIKTVDPL DEQQQQAKRRNFAMVGNSGLGEWMKEDQGESKQSFSATNIVDLTLDAPSHGDDDDLQV TGSNNLSIQRVCYGKLENAMVQAIMVPRPAAQNIFGDSAHDWPSLKLGVHREANQGNN RIDVSDPHGKIFGAIDAKTAAVIVPLLDSPALRVDITARLDVRRRSPDEYPWGPCSAF YRASINLYGLRKDADIVGRHLGQNNVWLGTPNSVEQGVPVFNPHAERRRAQASFLPAV AARGRSGVNYEVRTAEEVNDAVMKMFDQLQSAENLPEMQPPSIISTRLLRHQRQGLWY MTEKEKPRKFGPKEEDNNSLWRVDYRPNGSKKYREIISGLVLDEEPPQSLGGLLADMM GLGKTLSILSLVASSLEQASEWEKMTPHPELVKTLPNIRNTRTTLLVAPLSAVNNWVS QIKEHVIEGGLSYYVFHGSNRTSDVDKLSQYDLVITTYSIVLSEISGRGSKRCGVSPL TKMNMFRIVLDEAHTIREQSAAQTQAIFKLNSERRWSVTGTPIQNRLDDLLSVTKFLG LVPYDDRSRFAMHILSRFKTGDATVLASLRVLVDSFTLRRVKDKVDIPTRHDKIITLD FSEKERQLHEFFRKESNVMMSVIAGQDQTKMKGRMYHHVLKAMMILRQISAHGKELLE PEDRERIKGVSAHDAIDLEEGGEITTGLSDKKAYEMFTLMQQSSADACAVCAKRLEEP STETGASDKKTAMAIVLPCFDVLCPDCFSGWKKAFKPQMDGSLNDTKCQICEGWIPAT YSTITPAGLQEYEVNQAQAKQSRKQAKAIGEYEGPHTKTKALLASILDSAEATKTLPE GEKPIKSVVFSAWTSHLDLIEIALKDNNITGYTRLDGTMSLSARHHALEAFHNDNSIT ILLATIGAGGVGLNLTAASNVYIMEPQYNPAAVAQAVDRVHRLGQTREVNTVQFVMKG SIEEKIFDLAKKKQQLADLSMNRGKLDKKEVQEARMQEYRSLFR ACHE_40758A MADILTQLQTCLDQLATQFYATLGYLTTYHDNSPATPPQVHDAA PALAKITKNSTAHPVPANVASQSQSQASPPPPGQAGGGSAAPETPGGKADGGAGGVAG EPNDPLAPAPDPPSTFASRQRELARDLVIKEQQIEYLISVLPGIGSSEKEQEVRLREL EGELRTVEDERERKVRELRVLRRKLEGVLGGVEKGIYGER ACHE_40759S MRPTRPIRNTLLSTRKEQPKPRRFTRCAILHNTPSFTPFTRRLF KLPSPPPTPPQNHHDLPSFLTYADRIALPESSTTYVGMRYEYTVQQALRRFAFSLHRV GGRDDLGVDLVGTWHLPKHEHPLRVFVQCKALKTKLGPNFVRELEGSFNLRSSPVDGG GGAGGKLGVLVGTREATKGVRDAMARSPYPVMWMMVEKERGTLLQALWNAKGEEMGLG GLGVEVQFSSEPSSTTKSIALTCDGEEIPGMDEVERNMAELEDRWMALWGKDGSMPES RKLALLDIVEKLYPEEKPLFGTTGVTGTCSMLSDEDRERVLQLVRENESVQKE ACHE_40760A MADEETKPRVLILVLFVTCGGPTCGGAGSVALWLLLLQPKRQQN NKPSPTTINADHLLLNESTRAKMLLDEDPSTLIHHTIGNFNIHPDKQAVTRINDSLST LQQSRELRSREAESVLRKLSRNLNSLNAQHEEAVSAHDSGRHAQDIVELDTKKFRIAK AASELEIESERLESELEILKERLADLEAQGLEGDEGTRREREADDATILRLKIYRSLG IDIEPDEAGNFTKAVIRNSRKGDVHVVNVDSKFSRFFYSNYFWGTMQG ACHE_40761S MSNLPYAADAESPLKPAELQVLRAQYEKEGDYVGIQTKFNYAWG LIKSNARYDQQEGVRLLSEIFRAAPERRRECLYYLALGNFKLGNYGEARRYNDLLLDK EPANMQAASLGQLIDDKVSKEGLVGIAIVGGLALAAGVVGGMIFKGAKRR ACHE_40762S MENGDRMFCHQCGGVWLRRSEDDLQCQHCGSDFTEIIEIPPDTE EPPGHAAPPPASPRRERTFPPINPFANHNPWAHGDDDDDYDTHGFGSSPGFAHRAYRS PDGRFTFSSTTFNGSGGFGGGGGFGGPPRSAGYGMDEFGDPLMPMVRRLDTIFHGLAD TYRQQGQGQGQGLGRESSRQAGATPTRPQHDDFPDPEERAPGEPDDFGPSRLFPRNAD RPQPMAPPLGTLGDILELFQQDFGQGGQRAGGGSGVRVMTGPNPLAMLSSLLNFDRHG DAVYSQEELDRVISQLVGQNAGSNGPPPASQSSIQNLPKKPVDRDMLGNDGKAECSIC MDPVELGTEVTVLPCKHWFHYNCIEMWLTQHNTCPHCRRSIDAPAQEGSRENPVPVND SPEASRRSSSAIPGQSGRRWSSSYDSGGRRASGQGQGQGQPGGGGLAEWLRNHFGGS ACHE_40763S MKTVYHNGVIVTGNRQQDISTAPTCLVVENDLVVYVGNDDNLFI VKTMENTKTKKVNLEGRIILPGFIDGHMHLLFFGASLSKIDLESCKNLTDIRTTIKSA AQQNPSAKRLFCRGWMHPMTNGIALASMIDDLDPQRRPIFIDSKDLHSTWCNTAALKE LGVDENTPDPEGGEISRDPETGKPNGLLSEAANVNIAWPHVARVATVDERVGYIRDAV EAYNAAGYTGITEMATDHTIWEAMRVLREQEDVPIRMAAYWIITPCKTDEENLAQVDR AIDLHRQYNSSTSPDFRIVGIKLICDGVVDACTASLLEPYSTNGANAETVWASDALRK VVEKADAAGLQCALHAIGDATVKLAIDTLEAVGTPGRRHRIEHLELTAPEDAKRLGDV GITASVQPVHADPAILRAWSALLGPTRLQRAFAYKEFLDHHAPLAIGTDSPTAPHWPL KNVYTATTRRSAREPEYETKVNEHFALGLGEAVSAGTAGSAYSTFADGFIGTLGEGKK ADFVVWDMQWEKEKLLEAVVYETYFGGRQVYHRS ACHE_40764A MTGVALKKVEYRLPLHPEELVTKFVDAVRAARDEGLNVRAAVFE TIVSMPGVRFPFEWLVEVCRAEGVLSLIDGAHGIGHIPLDLGTLQPDFFTSNCHKWLY TPRGCAVLYVPLRHQHLIRTTLPTSWGYIPAPDSPSTGTSTMQTAGNGKSAFESLFEF VATTDDTPYLCIPAAMKFRRETCGGDERIFTYLEELANEAADIVAGELGTEVMQEPRI KYWKESLLRKCGMTTVRLPIPIQDDTDLSPDAGKKRVCMPLPADEVPGVCRWMQDTLV DEYDTFLPIFPHGGWLWTRLSAQVYLEKKDFEWVAPILKDLCERVGKKSQPEAKL ACHE_40765A MPTPLPSSFASAAAGNVHDPNKRGDGTAAGEWSRTRMNGATQTF RRPSVATNPSQNRDTSQTTTSASTPTVGAYVPPHISSNPQSSGLRNGMATGETRYSKE QLLELYKSQRESGSLAKNVTDYFVADWDPHVETPSMNGAWGKREDQKDPPNGPEICWD HGGQVEPLALMTMTDEEKELFSASVNSPLKPPPSNAPKDNQVASTGGRKSSVSYNQNF NTSSPGSARPGPRRRETGDSAGNPMSPTPGGSRFFRDETNTSTPPPSLLRRKTDFREN SSRLDERDSKEGESASPFNSLKRSSTNPLSAGLSGPSSPWASASQSANFSPMGAFGAF SLGPAQSAQTPTTEKKGFGSLRGESRLKGLFSKDSSEDIGASVREKPSFSSLERLPET EGDKGAQSPWGETVRTRAGRSETNPFSDEPRSGSAALGGSQDLEPASQADPLGFSAFG MTSSVPGFRELIQSHENSRNPTPSLLQGHEPSSPTNTNPYQSPHGDRRGDVEDIETDG SDIQASQHPGLSGFRDSSNPFGSIRRVGSGIDLPSIDRSQTSSVAGNRSFSNLGGLGG LPTLGGTSGWPSNPAVGTPTRERSAFASGFGDPIFGSMGGELQSPSLSTLGGSGLFSP HAGISGTSSLGRSSKLGSLFPAAMQDQMQGDQGEDGQQVDKAGQTNVPTSTSASQTPV SAVGSIPLASQEAPQPSQTPGQSASNPSSVPPAQQRTMVMPDRMRWIYRDPQGNIQGP WTGLEMHDWFKAGFFSPDLQIKKLEDPEFEPLAQLVRRIGNSREPFLVPQIGIPHGPD PNATPWAAPSGTAQPPFPGSFPSFGTTLTAEQQNALERRKQEEQYLMARQKEHLAQQQ ALMKQMQIQGLPHGVPQPLQHHSSAHSLHSQPSIGSLTSPIGFQPSPIQAPMQQQPQN APGFFGQNILPNLGPQMLGTDLVNSQDQLPALLDRLNVSRPDPFAFGSPAFAARQPEN LLHQQQVASMLQDRTQLQQEQEQFDSAQGDSLFDQQAREERLRQFHSLRSQEGEFGMR TAEGLPTHPTTQQNESEQDEPSQEIPAAEPQASEATTATTTTATTTTTTTQEPLTLSQ QVQKAASAQKQQLEEEQKMQEEEQSQHEQEEQHAPRESVWNKNDSGLPRPFPPPPSAS PLPAPAAQRNRQNVAESLAANSRSQTQTPVEPPTTSIAPWAKDANELPKGPSLKEIQE AEARSAAQREEMAAAARRAQLLAEQERISQAAQAQSPGLPSTANWASAGSPATPTSTT SVWNNKGQTAPTGAKKTLAQIQKEEEARKQRMANAAATASAQTAAASPPAAAGVGKRY ADLASKTPAPAQTVSVGTGAWTTVGAGGKVKAPPAPTPAAPSPVAAKPKPAVAPSPRT ISVNTAPTASPPNPNRALEEFTKWAKLALGKGLNSNINVDDFVQQLLFLPAEAEIISD SVYANSPTLDGRRFAEEFIRRRKLADKGIVDPVSASAYADQKNGGGWSEVAKKGSSSS SSHVNKEEESPNAAFKVVAPRKKGKR ACHE_40766S MRREKFIPFAWYWEGRPFRENQIRPVYTGPEPYSPSTLASCCPS HSAAEAVHSHSTSNSTSKTHECPDHQHMEPDCCSSETCCESAEDTETEDCCCSLCCGN EHTTTTSTTSTCTLPSESPSSSSSSSASTCSTASSTPSTIHYHSHAYFCYPGYDCPSQ APYVSEPSCTCASCQTYYYPPAPAPAPAPTTRTTKHQCPDYPPPTQSRAKKTPKTAAD TYHQCHPSNHYPHYPHYPRTKARAHFDEPCEDGSDTATISSEYTDSVYVDCEKETCEC CSPAWWYRDHPAFRKRC ACHE_40767S MSKAIFISTIDGKPGKPGQVYYPLSLQNLPKPTPQGREVLVKMT AASLNHRDVFLRQHLYPGITFDVPMGADGVGTVVATGPEVSNPNQWQGKRVILNPGTG WKDSPDGPEDPQGYKILGGTKTYRNGTMQDYVVIEESELEEAPEHLSDAEAAALPLTG LTGWRALVSKAGERNSGKGAAVLITGIGGGVALMVLRFAVARGADVYVTSSSEEKIQK AVELGAKGGVNYKEEAWEKKLLGMLPAGKTSFDAIIDGAGGDAVEKGAKLLKAGGVIS IYGMTISPKMPFLMQAVLKNIDVRGSTMGSRKEFTEMIEFVKANKIYPVVSRVQQSEL DDIAALDSLFEDMKQGKQFGKLVIEFGKSGSDSKL ACHE_40768S MVADLVKLYGPGMSYYLPKTEGSTTNAEDDKKSPDEKYSAALRA LYSSNQILEKKMSKLRASTIRLNLDLMKLQRHMSTMQHDFLTTWQADILTLLIEVVFA RCHRVLPGGYAVEEIESLDYETLTRVYRTAAKRIQKERLRRNFGLSAKYYFALQKYWE VVEFRSEDPFQTECVFARWLVAEKEKDPGVYEFWGKLFPLCYRRTVEESAAIF ACHE_40769S MSAFQKPPQMALLDYGATRSVHDDAAAYPSYGQTSYVTTPLVPS PMGDQASQVSDCVPYLAGGDYASSYGDASSPMLGGDGSTPMPEVVSYTPQRGGEETTV FVQIQSPYDLHSSTVPITLVFGSKRCECIPHLLGAQGSLSQYAISAQAPPFISTGSHS FAVPLQVVINGQPSTTLQVGVYTYEQVSQSSPSDASQKKRRISSFEDDDVSQPIKRLA GPPISARDSQQDVYPYCESRSTSFSPYLQSIPAVNGFAAPYRPASSPRVNSFQYSNVS ATPQSGLRAPSPLMPSWSPSLMSMSSDIRNPAFASSHSLRPPQGHSTSTGSNPTLIRT STLHQSSGFGQTQSFNPYAMYPTKAVLKLNGDLDTMADNWTQEEHDAKRRLVQFTRAQ SGSTIHADFAPVAPEKRAPNSICISCIYWEGKDECFVTSVDTIYLLESLVGVRFTVEE KNRIRRNLEGFRPLTVSKAKADSEEFFKVIMGFPAPKPRNIEKDVKVFPWKILGHALK KIIGKYSASYSSTAAALPTSMVSSYGPGSDSGTDPQNAESPQSVSDTAPSYGPTMVTS AYSSPANIPMTPMTGNSDYRTVLPAVSQPFNSMPAPFSYPPAVCQQQNQYALPTPINR TSSWDYNGYVNNPAPVSAAPSNTCYNYMAPMSYPLTDAPNGA ACHE_40770S MDHIKATFAKCKDEKRAALVSYITAGYPTVEETVDILLGLESGG ADVIELGVPFTDPIADGPTIQKANTKALQNGVTVSTVLDIVRAVRSKGLQTPLLLMGY YNPMMRYGEERMLKDCKEAGVNGFIMVDLPPEEAVRFRNICTSYGLSYVPLIAPSTSE SRMKLLCNIADSFIYVVSRMGVTGATGQLSSNLDELLARVHNWSGNVPAALGFGVSTR EHFLAVQDLTEGVVIGSQIITVLGQAPAGQAAKNAQEYLSSVTGRKLERDPSGAVTKV VNVLEKVEKKPQAISQPTEVVTDADTPAGPGLADQLAALNGTGNPAEQPSRFGEFGGQ YVPESLMDCMAELEQGFSDALNDPSFWEEYRSYYPYMGRPSSLHIAPRLTEACGGATI WLKREDLNHTGSHKINNALGQILLARRLGKTRIIAETGAGQHGVATATVCAKFGMACT VYMGAEDVRRQALNVFRMKLLGASVVAVDAGSRTLRDAVNEALRAWVVDLDTTHYIIG SAIGPHPFPTIVRTFQSVIGNETKQQLQEQIGKLPDAVVACVGGGSNAVGMFYPFSKD PSVKLLGVEAGGDGVETARHSATLSGGSKGVLHGVRTYVLQDEHGQISETHSISAGLD YPGVGPELSSWKDSERAKFIAATDAEAMTGFRALAVHEGIIPALESSHAVFAAMELAK TMKKGENIVLNLSGRGDKDVQSVADELPRLGPKIGWDLRF ACHE_40771A MDTGSCVVARLPTGIARPPRLTTNSEVATMTYLQSKISLPIPKI LDWNDNSSNPIGTEYIIQEHVAGVQLHQMWPKMNSEQHMLCAKMLSLVIRNMASLDFP AYGGLYFSDGPLESHMKIPFNQGFCIGPHCNPVFWNRNPGELELYGSQVPTAVLVSFT ILNLLNNQF ACHE_40772S MPHDQLLTPNPDIENTKYNQRLSDLHKDMNQANKSFCQASGQLS ETNEELNDLDRKLDALEDKFKEHDKEMELLKQALAKYEEQMLRAKDALIEKEMDDATR FAGDDADIDAWCMLMERELIQTRILSYRLARAGLDL ACHE_40773A MNLTHTTTRLREDTAELRRRVTEAEALNPEQQTQKLQDLDIDCY LLEYDTESSPNERGLHYINRVLEHNANLHLTQREVTPEVEYQHHSFVRKLLLTSFVSS DGSESLIEKASYQYWGAVRDTMGW ACHE_40774A MEIHKRGDGDEDGIQEFTKKMKFKADIIVDAEIFARDGRDTFLE LYYQEPEKVLEYSGLN ACHE_40775A MLLKENAAILESIECIESNCEEPIIDWMLIKYDCQEMRQYLRIA MEIYARGDPFGKEDSTLKSIE ACHE_40776A MASEFVDPRMTSIKPRIRYNTIGGINGPLVVLDNVKFPRYNEIV SLTLADGTERSGQVLEARGNRAVVQVFEGTSGVDVKKTKVEFTGHSLKLGVSEDMLGR VFDGSGRAIDKGPKVLAEDYLDINGQPINPYSRVYPEEMISTGISAIDTMNSIARGQK IPIFSAAGLPHNEIAAQICRQASLVNQPTKGVHDGHEENFSIVFAAMGVNMETSRFFT RDFEENGSMERVTLFLNLANDPTIERIITPRLALTTAEYYAYQLEKHVLTIMTDLSAY CDALREVSAAREEVPGRRGYPGYMYTDLATMYERAGRVEGRNGSITQIPILTMPNDDI THPIPDLTGYITEGQIFIDRQLYNKGVSPPINVLPSLSRLMKSAIGEGRTRKDHSDVS NQLYAKYAIGRDAAAMKAVVGEEALSSEDKLSLEFLEKFERTFISQSAYESRSIFESL DIAWNLLRVYPKELLNRIPKRVLDEFYARSARKVPNKDTRDSTQAPQNLIDA ACHE_40777A MAYSHSRRHGTRMSLEETLEQERRELVDTLEGRQTRHVSESWTS ASSSMNNNSGNPARYSMLEFSPSPGALPLRHGSIAGIGVGVTPPSSTHRRSWNEPILS SPLRLSSTATSPPSASSPTSPQDQEKEKSSGDSETAPRKSSDGTDRSHERKKSVGKTE KSGMKSPTITTALHLDTSVSLSSPDRDSAISPTSTSLAQEKSPVGKNTVAAMMSGLDS KFGLPTFARGRDSARRSGASRGSSLDSRLPSRFARSISPRNRWLRTNPFSLATSSLKP NKSTAKAIDMHLSDAALSKLSGGLSALPEPGSRRGSLDEGSTADDERLEKDVYDSENN PIGETSDEEDFDDNSSSDDESVGRGRKVSIEIISPSDDGSPVDTEEKPRSLLAAAEEE RKLVEDKINSTPHEEQKPTLIHSAKLGPQPLNPPQPPKPQQPQVHPRTSYEATSAVNT PFGSEDEAELSDIKRAQKLSIQMSSINNSVHNRSIRTIVRGDFGNVLEETEGGRRRRR KYMVTTDLSEESVYALEWTIGTILRDGDTLFAVCALNEETSTGPSVQIGEGAKAMQDS AMVVGSQTEETARKYQNDPSTYLPRAIRDRLGSGSDSKSNSVDAKGISKAESDRMHAV ETISQTCVRLLRKTLLQVRIAVEVIHCKSPKHMITEAIDGLEPTLVIVGARGRSALKG VLLGSFSNYLVTKSSVPVMVARRKLKKHTKNKKTNIRLSNNLSTPKSLAMAKID ACHE_40778A MSDRNSPEIPREPPLETDLYDILGVSEDAKPENIKSAYKKLALK HHPDKAPADSKDEANHKFQQIAFAYAILSDERRRKRFDLTGSTAEAVNEDEDFNWMDF YREQFSASVDVNALEQLKKEYQGSEEEERDVLAAFEKSKGDMDKVYESVMLCNVLDDD ERFRAIIDKAIADGEVKNYKDYSEEPESKRQQRIEAAQKEAEEAEELAKEIEEKKEAK CKSGGGRKKKAKDNALDDNALVAMIKQRQANRAESFFDKLEEKYAPKGHRKRAAQMDE PPEEAFAVTGERKSSGGGKKKKKTRA ACHE_40779S MTTSSPHVRYISECLKLAEKSPPRPTNFRVGAILLSRKTSSNET TNDATQDDQILSTGYTMELTGNTHAEQCCLSNYAAVHSVPEDHVAEVLPSNDPDRKLV MYVTMEPCGKRLSGNAPCVQRIINTRFDGRAGIQKVYFGVKEPGTFVGGSEGCKMLDA AGIEWEVVRGLEREILSVATAGHENSEEEVKAALADYGTNVDDISPEERQRQEQQPRN PKKRMMEGLV ACHE_40780S METVDNDSLAFYPAFCFKASPTHFAWVKMAAVDVHRLKKRPGFE GQNIYFYLNHPIRFVCLVGLIVARTDVYKRTILTLDDSSGSTIEIAVLKSEQFTPPEG TEQGQQQHHHQQGSKAQTQPIIEMHVTATDKTYLDISSLVPGTLVKVKGTLSTFRSAM QLNLERFFPVPDTNAEMQFLDQRIRFLVEVLWVPWVLSEDEIAQLRTEAEEEQERLEE EQDRIRRRHRKRVEREERDQRRIQKLWEREERLREKEAASCQAAGRKIMRELETRKRL REE ACHE_40781A MPPTSSSPGQYPNNYDNNQWYPQSQQTGRINEAVNSAFSNADQP PYLSPEVLTQITANVIQQLKTTGLENIPTQQQQQPQHHSPMSPRAGREDNTSSYQPYH PPPPNVYQNSPRPPSRSSHISQPMSRSGSRASQVSEYNTRVESRSSPLSRESTDADGE MTTLEKIWGKLFVDGKPTKRLSQFLRGIAMHLIEDYPPGNTIVVTPEKMQKFYEDTAV ASDPYPWQDIFHDRTSSISRLLREVEAEHHLIQIKLNERPDVPGLTPRGFERWASLMI QAHPDREFDRLQKAVLNMPISNPDDKRERFPKEIPRRLFPETPNFELRRQLDQYITEH CVVKLPPITDEEIAAAHRPHKAYVSPAVEDEEEEDEETISGPIERERKPYSAQPGGGK KYDDGPFRPGHGHSKSFSSSTARPRESSIPPVPGHRTPEPQHQDPVYPRSGSATPHHP LIRPGRSRSSSRSVAGHEYRHSEGDILTHHGHPRYAGDHYLSPATVSGDTFDDGRRYR DFERESEDKRLYDAIREREKAKYHDHFPPRGHWVGQEDYYRALSGPGGSGGYDAYGYR ACHE_40782A MPPPVRSAYAGGYNENTKRQLEQVTIPDKVKCVNCKKVRMQSMF SKRQLEYLRHGIVTQGARAVNGTGIAKCRTCVGGQTVELKCCICDKIKGLDEFAKAQR QFHDTARCLNCVQFITDTELVDEQKLIPESELTTQDTALTRSHFDDESVAATTNRLNI TSLYARSGFSALEEDDDDLSIGGGVWVESESGNDENSLSKGKSRMYTGFAANGTAHHH VTDSQSGGYDSFYGNSNGQPHALKYQSAAAQVPNIRTGNTLPPPPLPKKKASNFAKVP GRRVPANEAPSMRVPESTAEAHHSDDDDNENGIEDFL ACHE_40783S MTVTYDLPRSTAPTSMATLEDRFEVMKEIGDGSFGSVAVARVRT AGSNIARRGTMVAIKTMKKTFDSLTPCLELREVIFLRTLPIHPHLVPALDIFLDPLSR KLHICMEYMDGNLYQLMKARDHKAFDAKHVKSILYQIMCGLDHIHAHHFFHRDIKPEN ILVSTSAPNDSAFSRYSNLVTPPSTPPTYTVKIADFGLARETHSRMPYTTYVSTRWYR APEVLLRAGEYSAPVDMWAVGAMAVEIATLKPLFPGGNEVDQVWRVCEIMGSPGNWYS KSGSKLGGGEWREGARLAQKLGFTFPKMAPHSMESILQPPQWPASLSHFVTWCLMWDP KQRPTSTQALNHEYFADAVDPLRPKSSTARLLGRKQSDKSFKSAIKETTDSPTLSTKP SWFRRSFIGKTENQVPAVETEQPARAAPVPSYNATVDAQPVNKAKQAQANSTFTHDNS SNATSVTFADNKASKKIGRQLSLNSHGNHYADVHRQEAEKALNGGNGGMATTTKESFF SHLRKRARRLSGRNQSHTASDDAEANAGCMPWSNRSSLALESNNEAKQGSDLSELDKA LQNVKYSLDTSSKGNVPVQITSPIEGTKRQSMPQGSIRSSITESPSSTSGSAGPTSGR TRRAMQMSTHPVHRYETPEEEDELLDEVLHSATKAAKRLAQQNHTSDANYSHQPMAKD NTQALPSPYPTPSPSANGASFGQDTPCRKDVKPDNRQWPTPPYEEGWTSPTSATFLSS PTYR ACHE_40784S MSARQASSASQTPHLSPAELSYIYTSLSLPNTPIRPDGRSSTQF RPLTAETDILPGTNGSARIGFADGTQAIVGVKAEVEKTVVAADALDLRSISRQAQGES LARESSEEKESGGAGEDGTATGQGSWVQMSIEIPGFRDDDALPVFLAEMMREPLVGSV SGGSGKGEDGEMAGGLKGRLVINRRWHWRLYIDVLLLSQPLSYPLPLLSLTTHLALLS TKLPKLKSQGEEDPFFDDDWAAAEYLYPRTRTAINTPKPPSQNVRPPITLLVLSAGEN IIFDPSREEIAVADSVLAVSVTRDSEFGGLKLLSIRTVDPPSRLTQPGVANSDNVTTL GSTGAAEEASLQHPSVGGEEEMPGVWRPRRGGVKRGVIARIVKMVLKKGGVGEEVLEG LEGVEVG ACHE_40785A MSVSIPQFLLPRGIPSARTLRSLQHHHQIIPTASTSSPRTLTTS PISHSQDPSKPRVLVQPDKFRPPSHPARRVVQTRNGKVVNAGPVNYPGPKLSEKEKEE QKTRQYPNMFPPEGTVMFKFLTSRWIHVWIAMGILTSLATFTFTTNFKRTSPYAHLLP SWSNLLSHPYDTISQALSVYRMDVEHRSMLTREKRLQRVEDAEKRRKYRVAHGMEEDT QKEEKKEVVDDQSPVARDVDGKGEAFVDWEGNKKPVKKWFGIW ACHE_40786S MPKEASFAPGDSAKGAKLFQTRCAQCHTVEAGGPHKVGPNLNGL FGRKTGQAEGYSYTDANKQAGVTWDENTLFSYLENPKKYIPGTKMAFGGLKKGKERND LITYLKESTA ACHE_40787S MLDELDNVFDDHPSLDASLEDFENNSNAHRSPVFGLPSQHSGFR SEESDVEEDDSTPNGERWSPPGLRQYESNGWYRHQPYMRKADHDRLLLKPTVRLSPRN SREPSPQYEDAIESPTVGKRSDTDSKDIIVAANVPLPNGGDTPLKGRSPSPGPAARTN NDGGLEFGPDNNQISNYIRFAVRAEVQHREPLAAICSYVRSKFDRMTSSKSNATLSVV LLLLSFAFMRALFLPSVPQAIPDLVKLSGFARSFEPLIYYSENGVQQIGTLQETGVAV WDLSESVRGTNMTSAPIIVRQLDELSESLKSLSLELTRFFANVDSDIDSILIVMDWAK RELETLSSQPPRTLPSIVFDNMNDMLSQLGTLERITLPNRSEADGDGSGDSADELAST PTVFGNIVTTIFGQTSAQRTRATLTRTFTEFLSVLEESINSELTHSTALFALFESIDR QFLNLQRTVVRESDAQERAEGEMLSSLWTRVLGPDATVVRKYEKNKRLLANVRSRTVA NKHLLMDHRGRLLTLKVNLETLRRKLVSPLVRRNDSVSFVGVESSGGRSNGRMLGPVE AVIDGQIRGLEGTYDYLRSVRERQKAKLMEMVYGAGRRLPSNSILTGSDDGADAIDGY ACHE_40788A MFQRTILRQGQPAARFALSSRSIPTAPLAVRRPSRLQSRLPQSF RPLIRQPAYRFYSTEKAAESEGKKEEAKEGEAAAAEQSPEDALRKELEDKKKEVTDLK DKYVRSVADFMNLQERTKRDMESARSFAIQKFAIDLLESIDNFDRALLAVPAEKLSGA EAENKDLLDLVTGLQMTQNVLMNSLKRHGVERFDPSEAGEDGKPQKFDPNLHEATFMV KSENMEDGDVMYTQSKGFTLNGRTIRAAKVGVVRNS ACHE_40789S MALKYDPEFAQAAAPILSARAAASPAAVHDVEIRRTRITAAYAA AFKKLPETPDVEHKIYQVKSHDGQPISVSHFYKKSGHATPGSAVFHTHGGGTIQGDVE LFVPLLANEVQQTGVQFFSVDYRLAPEHPHPIPEEDCYAALTWVYEHADEFGIDRSRI ATMGESAGGRLALVMSLMARDRKLSPPLAKQILIYPMLDDRNTNPIAALEPLAVWSND DNITAWTALLGKDVVGTDKVPEYAAPARVQNLEGLPPTFIDVGELDVFRDEDYAFAGR LAAANISTEFHVYPGLPHAFEVFAPEIDVTRRAVEHRMKAVTSV ACHE_40791S MIEFPSFSANRSRSSSTSSRPTLSLDLSNLPPLTTPTPPTNTLL ITNLHDLTLFQPPSLNKIRETISSVAPLNSFSPLPSLRRIICSFHATDDALTIRKMLD GDNFGSQNARAKIYFGEPTPILDENEARHPKNFLQAPQVDKLFFISPPPSPPAGWVMR QEDPPNKEVHASDLASALEKLKTENSGISASAAPVEEIGIDTPMSLTSEKRMGSWPVS DHQRSRSSTLIYVPEDHGSSPDLPAVMVEDTTTVELEEPSPIDMAVKKMPPKTSMPPV ELM ACHE_40790A MDTIDAEQARKLSLFRPLGYQRNSCGYCKSEDGSASYYSSSVSV RPHHYEELVNRGWRRSGTLYYKPNLERSCCPHYTIRLEASDFKARRDQRKAINRWNKF VLGPEYIRKAARLCPKTRQEKKHRKCNFDVLTAVHESEYANVKRPIDPSTRRPLEPAH RFAVNLEGDSVSQAKFDLFIKYQTKIHKEDVSRWKTKDFQRFLCSGIKRSPASADSKE KKLGSWHQCYRLDGKLIAVAVLDLMPNGVSSVYVFYDPDYESWEFGKLSALREVALTI EDNYRYYYMGYYIHSNQKMRYKGTFRPQHVLDPESHTWHPLDGEFVKKLDQKPYVSLS REQHNPEPNKESEADAEINDEEVSLFDLHMPGVLSVEETKALDLDHWWLLVHGSFVHM IDLVGWEQMPMTHPQSIKGIIAELAAALGPRVVKESAVVLFD ACHE_40792S MFPYGDQSPPLRHAGIADHLAKRAQLSPSALEDFTEPEPIVEHL LDLFWTYQASHVLVVDRHIFLRHRRLARESDGIGDRKFYTPCLLYSILALASLISTDK GVRRYSTPPEGIAGDWFNQRARILFEAEMEVPTVTTVQAAILIGSRYGTFVDSSLGWT FSGIAFRMATKLGLQLDCSRVVAMGEMSEEEAQNRSVTFWGSYVEDRLFSAYRQRPMM LMDWDITIARPRAQAQANPHMSPNYLPFTVLLNRLCGETLLGLYGQRHYNSQNGGLNK IASNIHRQLCEWQQNLPTDLSWPAIGSMAPTAPSVLVLHMHFYYNLILLHRPFLEFSK VLREISQGPNALTTSTTTCAIAAANIVRLVHDYREHYNIRQISPNAVHITFIAATIHL INFRLTNTDSHDHLLHGCVIALSELQDSYPMARRALEILHTLIDRFGPLDSGQPGQDA SIANQNPQSEKCSRGTPSPTVTNNQQTDSLLPSYPWALDSLLDDWSEPLEVPSLMDFD CLPGTSGAMEETIQDYERFAPNAQSSGSAPIAGFNDPGFPLLGMDLDISGVLEMENRG LFDVFYGRTYGLG ACHE_40793S MAHICRPLQFPPHILGLYKDCSVWRKVFTIRFQLDNIAVKMEKI VENPDKFEVVERPSQALYKQPTKTVADIEGYQLHKLNQKANRSQNQDHKGEPLETNAQ TMSRGLPPPPSPPRQSQGVPPELRSLTAEVILILVCSAGLIFFSLLLGGVTVPQGQLK EALGISNSEIPWLVGAFNVANGLSVIVSGSLMDLTPPKSLMVGAFAWLTIWNVIGVFS IRPKTMVLFFIVRAMQGLAVGVLVSGSMSILGRVYKPGLRKNRVFSAMAATAPLGFWL GAIQGGALQAHLKWIFASNAMLSALCCVAAVFTIPPLRPVADMVGEEAPTIWQFDLLG AVVAIPGCICLLFGLTQGSVTKWSPYTYALVIVGICLLVLFFFVIERRATRPLIPHCL WRIRGFTPLMLAYFLGFGSYVGAWQFYAVQFWLHIQRTTPLITALYLLPNAIMGVLAT WVVSKTLHRIPGHYIYAASMLAFALGPIFFLPQKSETTYWALSFPGVLLVTFGPDSAF AAASIFITSNVLRSYQGSAGSLLVTVQNLSSAIMTSVADAVGTSVNMETSGEIGLKGL RAIWWFGLAAEIVGGLITIAFVRIPREEEKEHVT ACHE_40794S MIMAPARSMESRTGRVNQRYGSKGERLVAGMVPLSTDKTKVLMI QSSGPGGWVLPKGGWETDEDSAAQAALREAWEEAGVICTVSKDLGLISDMRPSTLLTA NAPKASYQFFEATVDREEEEWPEMHKRARRWVGYAEAAQALACRPEILEALNRSSMIR ACHE_40795S MDYEMDIEPTGPQVTVREAEPYRVDFKLSSVDLAFANSLRRVML AEIPTIAIDLVEVEKNTSVLPDEFLAHRIGLIPLNSKNCDQDVEYTRDCECEDHCARC SVTLTLHARCSGDEIMHVSARDLVVSGERANEWVGNPVINDPEGKGPLICKLRKGQEV KMTLIAKKGIAKEHAKWMPTAAIGFEYDPHNNLRHVDYWYEEDPVKEWPVSHNAGWEH AAPPDQPFDYDAQPNNFYVDIESIGNLEPDMVVQQGIVVLQRKLASVISALSGTDDID RNGGMGAEDEDMMGVRSPDAYEPPEGIDGGFTAYANGGASAWGASAATPYGATPYGGG YGF ACHE_40796A MQQKVLKLGMRSNSAAAIESSSPLQRAALENKPSVVSELIKEGA NINEKFAKGWTALHIGSAHNYRNVVGVLLEHNADPELGLPNSSTALHIASTNGHHKVM EVLLCHRARLDVK ACHE_40797S MELCCGIPSVTLLGEREDWEKLVKKLDRLYQLGDEPARFAQLLQ PVLNNFVASFDRPESPDVLDFWSRCAHEHSMLSGLDYLTGWVTVFCFWDADGKLLYQG PIHLTSSAEFKARGSTLGYFASVPVTVDDNGKMYDTVKLAGLVGIQAQSSGVMLDSPE ETGLDSIQPVLGWWMYEKRKDSAGKTVDI ACHE_40798A MDQFPYSCTIDAEPLHRYAKGGYHPITLGGFLADGRYKILHKLG WGGYSTVWAARDEREGTYVAVKIRVAEEDDDREHRELNVMKKLASIHPHSPHVMHVVD DFDLEGPNGTHRCLVFELLGPSVPDMIDARFSDGRLPGKLAKTIAKQVVSGLEFLHQE KIGHGEIGHVHRSDGKALEPGIPEYVVRPAGTHSWPLSNIIKIVDFGESFLQQTIPQT LHTPLTVRAPEVIFGDHLDYRVDLWSLGCLLFELFVGQLPFDSFLITPKILVDQMLEM TSEALPERWLGLWEAMGGGVGAESSGPGLQEWLGNMYFDGERREDFTSEDIAKLGQII RKLLRFEPSARASASEILSDPWFRD ACHE_40799A MLRNRDPLAPSNITDEQLQAIHQHPEILELRREKWELKEEMRSL AGTIQNARNHFPDLYQRHDEISRKLTKLRKALQDNTQQTARKDYFHTAPILEIDRQIQ QLLGKSGAENCDADSTKDGNEDWQPPILDYIFPERAHLVESFYSLEGECFDKDRLLAK CIQVTEDLVALLHLCEPNH ACHE_40800A MLWSSITGTQPGVLLPQQDATNDPNASQDGLLSLGPRKRKQGDS FKSDLPQWISPNDLPNTICYRGIELFYLRNPDDGRDVLCAIIEFCNLKGRPEGADRTK FFMHGDYQLAYCPIAQIVSLAFWDDAFKNELTPELIWWIKVPRRIRALLLQWKKDKLN LPLL ACHE_40801A MTESLPKMASLAVKYSFSLIPTGFPGRPYKMSLFLFPLSPFSGH LFVSRHASRRTLLPNPASTVASTAFKTPFFFIHIISVCLNDAASSSSCEPQRAPVLDR SYPVRGDSDQT ACHE_40802S MNITKYGPTEPGYIFTAPSHLVTQGGNPTIYDTDGELVWQGPHG NMTYFRPEILHGEQVLVYWSGMATSRGFGHGAFHVLNNAYEEIYRVTLSEDEGFVSGL PGETPKSYIDVQDGFVTERGTILVGAVNATRIDPQQVDVPDGVEWIFNDLFYEIDIVS NRVLFRWSTLEHPEAAKPVDSLKPVGNDGKNKENPWDFMHLNGFVPYGEGYLISANFM GALYAIGKDGAVQWKLSGLTGGDFKLGPDTQFAGQHNPRVIKQTSDTLTISVYNDAKV PNQTFISPSSGLLLDLNFTSRTVSLNRKLDDPSEPFYTESQGNCQGLDNGHFFVGRGS VPEIEEYDAKGKCVMRATLGYEPYFWGTHTAFRLPWVGKPNTAPEVFACLSHGATMVY ASWNGATDVQAWDIWTGNQGVTGEDVEYVTTVGKKGFETEARLDGIHSTVTVKAVGGP NDERQSEHVRVRQSC ACHE_40803A MQQREVTNCTIYQVSSISGPFISSGLPETLDVKVNTIDSIREGP FPDTGFNTTGKFSQSNIAIIGYSGRYPDSASNEEFWDLILAGRDVHRTIPEDRFDWEA HYDPTGKRKNTSRVKYGCFIKEPGVFDAPFFNMSPRESENADPAQRLTITSAYEAIEM AGLVPNTTPSTQQDRIGVFYGMTSDDWREVHSGQDVDTYYVPGGNRAFLPGRISYFFR FCGPSLCIDTACSSSLAAIETACTYLWRGKVDTALAGGVNILTSPDSFSGLDRGHFLT TKGNCNAFDDEADGYCRSDGVGTVILKRFEDAIADNDIIHGVIRGALTNHCGRTDSIT RPFDGDQASLFNNVMRSAGVDPLDVTYVEMHGTGTQAGDAAEMKSVLSVFGPNQQKRR KNPLHLGTVKANIGHAESASGVSSLVKVLMMMKHNTIPPHCGIKTRINHTYPTNLAEM NVHIPFKPVPWSREEIPREKRIAFLNNFSAAGGNTALLLEDAPPFRGPQPTKRDQRAG LPVAVTAKNNKSLKMNIEGLVAYLKENPEASLSSLSYTTTARRIHHGYRVIVTGSDVE SIRNDLQSTLPGIDNHKPIPTAAKIPKITMVFTGQGIAYQGIGKQLFDCVPSFKVDVL RFNNIVERQGFPSFLALVTGVADSAEDTPPIVTQLGLVCIQMALYGLWKRLGVSPVAT VGHSLGEYPALYAAGVLTAAEVIYLVGTRARLLSERAVLGAYGMLAVKQSTDLILPEL TDTACTVACLNQPSSNVISGPTEQLMQLKSRLADKGVDSIPLEIPYAFHSTQVDPILE DFRKVADGVRFNAPSVPYFSPLLGKVIPAGDTESLDASYFVSACRQPVDFQAAIAAAS SGGLVQANTLWLEIGSHPACSGMIKGVLGRESLTLASLRKNTDAWLALTTGLETLYSH GIDIDWYEYHKGPSDVPQPEMLLLPRYAWNLKNYWIPYRNNFCLSKGDLVQDVKADVP RYLSPSVQKVVEEDHNSEISTILAESDIHDERLASIFEGHVVNNALICPSSLYAEVAL TITKYMMRKVAKDTEAIGLDVSDMTAPNPLVSRLDTEPQLYRVSASADWHNDLISFQI FSVNDQGKKTLVHANFVVRLTPKQAWLDEFKRNGYLIQSRIASLHASADGGTGGANKL KRRIVYQLFSAIVNYGDSFQGMQEVTLDSENHEATARISFQVDENGFTFNPCWIDSLG HIAGFIMNSSDASPFKTGVFINAGWEHMRCAVDFVKGKEYEVHSRMQLRTGNTYIGDT YILEDQKVVAVYEGVRFQGVPRHVLDRLPHQRTSPQIPQQVPVQKKQTSRPVLKQVPK NTTARPYIGTRVMAIIAREAGVDLTEMGPHDDFVNHGIDSLLSLTICGKVQDELGVDV PSTLFLDYPTPKELIAFFGPADEEGLSTLDTPTESRSSNDDSGYKTDSTDTETDDSVI DVIRTTIASETGVLVSDLTPTTPFNELGIDSLLSLTISGKLAESLKIGLSTGIFMEND NLEALSKSLGLSQTPNPKPKPKKQQAQAVVPVQSQEPSQKPGSGPHATSNLLWGNPQM AEKIIFFFPAGSGSSSSYASLPKLESGTAAYGLNCPWMKTPWDMPSTLAQMVGKYVEE IRRLQPVGPYYLGGWSAGGICAYEAAQQLARAGQTTERLILIDSPNPVGLTNPPRRLA DFFQSLGLYGSKNKRAPPIWVRPHFDAFIRMLDDYQVQAWDEEWTVPAPQTHLVYARD GVCNKPDMPWPEMGPDAPREMVWLVNNRTDFSGEGWASLVGQEMRITVLSDVNHFSII APGPHMVDVARFIQRAVL ACHE_40804A MASSEVFIFGDQTVAFEPTLHRLLHVKDDVLLSDFFDRVGFQLR RYVSSLPAHQQAWFPLFTTLLDLFAQHEKVYSVPALKFALLCATEIGQFIRHLVQTTR PYPVAASTYLVGACTGSFPAAAISTSQTLSELLPAAVEAVLVSLKLGLHSLIVRYDIE ASVPGQPKSWSALVDVEVTEAADKITAYNADKASNLPLILTCAQS ACHE_40805A MASKPTYRIASIPGDGIGPEVVSATIQAVTKLSHTLGTFDIEFT YLPWGTEYYKEHGKYVSDNYLEELRQFSAALFGAVGDPNVPDHISLWGLLLALRSPLQ LYANVRPVRTFPGTKCKLNTTKQINWMLVRENSEGEYSGQGGRSHIDHPWEAATEIAI FTRVGIERIMRFAFETAQSRPKKRLTVVTKSNSMRHGMVLWDKVAAEVAGDFPDVSWD KMLVDAMTVRMVDKPESLDTIVGTNLHMDILSDLAAALAGSIGVAPSANLDPTRKNPS IFEPVHGSAFDITGKGVANPVATFWSAAEMLNWLGEREAAGKFMKCVERVCEGGVLTA DLGGKAKTQDVVDALCAEIEELA ACHE_40806A MRLLATGRALRASSGRWSIAAPRHSILPLVHRPENWLTPRRGWS STVARRTEENADAAMIAGLNHGKKQNQQKDALTIEGQSYKTDEWTNARETILSHVGRQ LYLDENHPLAITRKLIESQFPNPIYGNYAETNPVVSTKDNFDILGFPSDHPGRSRTDT YYINETTLLRTHTSAHQQAYFQQINRNESTRPEERGYTVVADVYRRDAIDRSHYPVFH QMEGAMLWKRPDQESLNRSSETAAAIMEDLKRIPTHDVVVEDPNPTVHAERNPLQAEH HTQEEVEAIAAHLKRSLEKMVIKIFTEASKAAAAHAGGAAETEPLKVRWVEAYFPFTS PSWELEVFWQGDWLEILGCGVIKQDLLINSDVPNRVGWAFGLGLERIAMLLFNIPDIR LFWSRDPRFLSQFKEGKITRFEPFSKHPACYKDVAFWLPSATSTASAAGGTVPFHEND VMEIVREIGGDLVEDVTLIDEFTHPKKRRTSMCYRINYRSLERTLTNEKTNDLHEKVR ERLVKQLGVELR ACHE_40807S MATSAKSEVSQSVRAPAAGKVHYPFWFGGSASCFAAGVTHPLDL VKVYIA ACHE_40808S MCLRCLLFADFFVRSLIDIAKVRLQTRGPGAPTSMVGTFAHVAK NDGILGLYSGLSAAVLRQLTYSTTRFGIYEELKSYFTSSGQPPGTLTLVGMACTSGFL GGIAGNPADVLNVRMQSDAALPPAQRRNYKHALHGLVQMTREEGPASLFRGVWPNSTR AVLMTASQLASYDTFKGLCIGKLGMSDNLLTHFTASFLAGFVATTVCSPVDVIKTRVM SASPTESAGHSILGLLRDITRKEGLGWSFRGWVPSFIRLGPHTIATFLFLEEHKKIYR KLKGVPEAQHA ACHE_40809A MGAIPEADPDEPQVTKPFKFVTGYDARFPQQNQTKHCWQNYVDY YKCVEAKGEEFRPCTQFYHAYRALCPKAWTDRWDGQREGGNFPVRLDR ACHE_40810S MYATRIMRLQATRPYFFPAPKENHSAHTISQRLRNLKKIPPELI PLGVVLAVALGAAGYSLTNKLLTDKTLRLYRNSPEQREH ACHE_40811A MSEAARLKSTVYVGGLDQAVTTQTLAEAFVPFGEVVDITLPKPD APSSTDLHRGFGYVEFDLPQDATEAIDNMDGSELFGRTIKVAAAKPQKDSNEGLGSKT AIWEQEGYLAKYAVSEEDKLAAEQAQDESNERPQDPMQGLEQLDVAGPKPE ACHE_40812S MVRLRDIPRTATFAWSPGAASPLVATGTRAGAVNADFSNETCLE LWDLGLGRQDAGEELQPVGKIATESGFNDIAWTESEDNSRGVIAGALENGSLDLWDAD KLLSGASDPIISRTTKHSGAIKALQFNPRHPNLLATGGANGELYISDLNNMESSFRLG STAARADDIECLDWNKKVAHILVTGSSAGFVTVWDVKTKRETLTLNNVGRKAVSSVAW DPEKPTRLVTATLDDPLIHVWDLRNSHAPERSLGGHEVGVLSLSWCNQDRDLLLSSGK DNRTLCWNPQTSEAYGEFPVVTNWTFQTRWNPHNPNFFATASFDGKLSVQTIQNTRTD TAQAIADQNQALDGEDFFAKAQTQPQVSNFSLPKAPKWLERPCGASFGFGGRVVSIGL AKKGERGSKIKITPFEVDESVGKATETFETALKEGDLRSICETRATNAVSEEDKSDWK VIEALISENPKKGIIEYLGFQDQSADDAADSLAKLGLDKEEETNGEEKKEQEKEPEKA KQSRGPGAKKHKRLQSMFNDTTPEADAFLSDIAASKEAKINNPFQIFNGSESDAEKGI TRALLLGDFERALDVALKEDRMSDAFMIAICGGPKCVEKAQEHYFSKQTDGPNYIRLL ASIVGKNLWDVVHNADLSNWKEVMAALCTFADEKEFADLCEALGDRLEDQVRASDDKA ARKDASLCFLVGSKLEKVVGIWTEELRENEQKGLETATDSSSFSVHVRALQGLIEKVT IFRQVTKFQDTERTKEADWKLSNLYDKYIEYADVVATHGRLQIAQQYLDLVPVKHPEA EIARNRIQLAMRQAAPKRGTATTAPATRASVTRPLPPQPAAYQPQTSFTPVAPQNPYA PQTTTATPFAPTAPANPYAPQAPAVNPYAPTAAVTPQPSTNPYAPAGSYAPAGYQPTA PPAFGAQPLGASVPPPPRASSSQSPANVSTYTTATNLPAWNDLPEDFMKSSRRATPAS GGRAIGSPFPNQSPTLTQGPPPVGPPTAQRPPSVPPPPKGPAPPPRMTSPPSAVPPPS MVPGPTPPANPYASLPQSPQLGNPMGAPGPIPRGASPYNPPPSMPPPTNRYAPSPAAQ SPQLQSRVPVPPPAQAVPSPYAPQQPPVASSPFAPAASPAVPPPPMQQAPPQGPGSRP STASSQKRATPAPPKYPPGDRSHIPADAMPIYEILSADMQRVKGRAPSTFKAQVDDAE RRLNILFDHLNNEDLLKPNTISDMTQLAQAIQARDYETARTIHVDIMANRTEECGNWM VGVKRLIGMSKATP ACHE_40813A MADDETKKPSIPAWQQANNTESTPSVSETPSQTSDHNDMASRSA LLEQAAKFLEDDSIKNEATGRKIAFLESKGLQGDEIESLLGVLRNVEASSNNDTTAST STDNSDNEKPSNTENASVSSVLQESQVLTPSTSSPPSPAPSSSSSSTTQSSPRDVPPI ITYPEFLTKQPKPPPLVSINSIIYTLYGALGLGASMYGASEYLVKPMIANLTSARHDF AQNTKQNLRKLNEKLEQNVSVVPPELATRAGRGSEDFDRDDDLDSITSDPAELFHRDI GTQTTPQDITPPASEDKPTEEKPSPNTTVTNHISRLENINSQLREVASTEEESTTQDD NLRSSLRDMHYYLDSLIYSSPTYSTGYGSWSSTSDSTNGSAMGVAKSEEDAISGFRSE IRGVKGALLSAKNFPTSRGRVGGISTSR ACHE_40814S MSPHRFLRLHTLRATTQEPDSNMETRSIRLTRSSANSSSSVTIF RAPVSPGRLGKSLRLIFKMPSSKLREATNASKRNIFAEDPIVTGPRTSRPRKKLVEIN TSDEEDLSDQEEDEVDDDDAAGDEDEDADADGDLDMDDAPPQPPSRRHAKAAATSTPS RKQVKSVEAKELELENDEDDDEELSELDSDAEGEPDDDGNAELDEEDEEGLDSDDAGA DISKMTKRQRGNLGNDFLQLPMEPQIKKHLTAEERAMRRAEMARRRKNLSEKRNEEEK MDTINRLLRKQPPKKRGRGAAEGAEATPGEQEAQEPEKRKADPIMVRWVSNRQGSQLS VPEEWLGTPAGRLLGANTTGSKKLVEEV ACHE_40815A MTRNFIPAVLAIGMGVFTGYYTFQPALKDLQHDKNFSKDDLKPR QHQQESTKKEAPASTTSGLVDDSNTSGK ACHE_40816S MESWRERGYVPDSDEEDGLDSQEKLSGEKKEDEMVDGSQNLGLR DVAVAVAVTAPATAGKGSEQELRGEDGDDEESQDELLDDGDDVDVSRKSSDGLAENQG DEDEDENERHEPTSSAETPKDDTTDDETDSQATLIATRKDQNARRSPSLPARDVNRPS TPQAKQPADDQWSVPSSPDELQTEVLTTRKSKPVSLPPKPGPTPALTEHAQQTIENDG VDNSPLSSAPSSLYSLHLDGGNAQDKPENQHEDNMEEIRPENNLEDLLPHLDIPDYVL QELSQPGRRSLRQRNPIQLHPYLLEDAKYQRLMRAGGIKPVRIAQIQQALYEQAAASE ESYGQDFANAEPPSSSPVGDFEFPSSRAEPRIPERRPRQSTPEHGRQRGPWSPGHSAR APHSVKRRKVSHPNRGHHGQKEQSPHASLVIDSGVTNRGDSVFDIPFSPPGSGSISSA HTAQAQSGFRFPRGFTPPALNTPVTEPRINTRDVGDDSAMDWSGAPSDAQSVSAGSAS SYSQSNSDMDDDPEDQERAVKALQRKIKGVLPASWLRLDQEKQKDKISSTQRNRDRAA SHRPENAKGVAKKIEKKTDSGATPNARAQIISLSHLADDDNDESDGGDDGPLTEENQQ LTLADVVGFEDPSFDQDLGDDIPEDNRIDNMFPPVPRDPSASGSRKQGAKRQRTEKGT MRTGNEKKRPRLKRQARLTDPALEGRGMKQSSSRAPRVPRLGILDAPDVAERPRQEQP AFLRVAARQARSRQDRGRRSPTKKFFDLGSRNDTEDANMSLRNWRRGKLRQTRLGPLS RPRERQPLMNLSTNGQDMRHNPEGRTARDAGPSSVHIAGEAVQSEENNTAPERVLELN VPAPMAPTSKRDIMLRRPRLAEVQGNKWIVRRNLAITSLKRNTPRPAGLEVENTGSNM SPSVFQRSLSVLNQDYWRRRAHQMQRPNLTLDRFLSDSVASATPRAVQKAAVPAECSS RFNGTSLNAQPQPRRRQLKKRPPQRLNLDTIERQEPPTISSPNPVLSVIDVDADGPQP NPRTSGKLSGFQRTYTIDFDVAPLYPGTFFHESTFVGSGEFARSLELSSRDMDEDAGL CHITIGGRDFRWGAWNDTVSSELGVAFARLVEGVETHNPASPDPGSESSSNQHPYGIY RSIIKYTTEILSFIDPIDRSEFVTRAHDLVSGLNDHLAALTSTTNRNNNHLTKIALYN VVFANQIFQIARDSLANDTVASKVMDLVKSASKQAVTFLSRSLGIADIRKCLDEQRHR ENREVGIRDDHPAVEAYVVARHVLGSSDLFKKCFESFAAELYLLPDYIDLSTSKDIGS LEKGWRSLFTTLPINEIDKSGIARIGSRFRQEYDNWPIVKQLLRPVLDEYDHTFMAQP ISYNSYGRVLFQRCFHLINSWGWRDCKPILDILYDFFAKNTLYNLKHEESFGSPAFLE ELDRNPPLEVRSGEPCFHVLLKIIASGLRFLSKTYDKKKVRNFAWRLLPNHGRVYPKE MPIRHEDLDALRNHHDLLCTLYYAVPDGCRPRLEAIKNLVHPASSHRETCDISIRSWI RLVRFKLSMDEDVSGLEPFADWHSYFVTELLKQHSLARKEIEAQGNADSRFSKQLIES TITQNQRQIESLLKVAIGGLQSAVHLASTLEHARQIVSKMPIKAILGLFNSRVARVNT VVSEALQVIVTYIQKCDSPVPAPVSAPAPALVSAEDDSQEYGDWSAIEAAAYGDDGDE LIPINEAVEHVEKVFYPAVSRLVSNCFGEDHSPEDKILLDVMDCWTSVAQVLVNHKLR HWDNFLGPYDGDSWASLRSTVQTRKFMPQFLASCIRKDASLLVQCKPLVLGMWMSTLV ERTSMLKFQHRLTEALLNELYMDPLLENLPFSRDRVDGYSITLEDFSQRRLSLISSLL ANMREHLQNLEDIKSPIFLTTKQEYRELIQKLMSSMKANYQELGNSGQSVQGAYVDFV HRVVGFLQQHSRDISPIDPFFTDPTSFPLPSTDPSYIVARLKSYEPKLSAEKVARTLI IFVQGVSERAAIDGQQVYLVDQLHASMADTYESGTPIRPTLRGILLQCVFPAYLENAF SNAAAWILSLPILQTISRTLKDLLFNMDTTDLNCVSSVLNIICSVLQSSYHSLRLIID NPNMLKEPPVLITATSFIEVITVTLPMIDYINRVTGTGDIAISQARALRAFALFAVLH LRGLSFDDVMEDFTQSSNAFTELTHTGTATVPHFYQETRHSASRELQAYINESWSRHQ GKYFFTRRGAHQPQEVGVDPSIMVKLGNVPEMVFENAVGGLLRAFKGLDVFDDRYD ACHE_40817A MDSIVNDNSNGNGTEGLVDARSGMLRLQTELTDKIEPQDTQDLS HLCLTLENGHVQAGTLREEIEQRYGSIGLEVLAAAENPSQHYFSRKGFVITYRHEHIE CLYGSMTTANLVTLKAALSWLVMHRRTSVANQMSVSWGAWEKDIFVLLPLVPVDWPQS CWWKMLDSGIVTSLIELDDYDDCPNDDDHDDVDISEIYDHLRALIPQRPLLRTTSDVM SLLAGVTCPVQVGQGYILCGPYSAMIPITRQDNGSILWHYERKEAQSPELRVDDLESL KSEWYQTFDLDFLINAPAHIGWSREDELLLGTDQHTHKTAWSRRARRSPPIQITIQLS YHGIFPLDPPKNYLRMVNNSKRHPWILYDISTKRAWLVPEVCLLPYMVWLYSREFDSG KNGPVAAPSPNPENACRILTESKDNLVWGVTVKRLVTSRPVCRS ACHE_40818A MDQSIHPPRKILLVVTTGGFTHAAPVLEIGRALASRGHTIEFAT LEGQEDWIRDKGKYDFVTKVYLLGPGPTDEQLEAHYRRSQAWDISKGLGASMESKYMF DSFWPQTYHGLKRMMNNPMTRPSMMIADFFVDAVKDIHVEYRLPIAVVWPNMPFLMLP CPYIPGQPGFQLEGTLTSETASMWLRIKNELVVVMGLRAIMKWMNWTKRMRRANGVYN PPHHLEKPDYLVLVNSFFGIEIPRDLPPTCAAVGPLLSPTFTPLNESYQAFLDTHQSV LYISLGTHVIVSHQDAVKIMDGVARLMQEQLIDGVIWAIGKNSRQDLDVNYTFQIKGS NIQSSELMNNKHSDWLFPFFAPQRAILDHDSTKLYFTHGGGSSANEALYHGKPCISMG FFFDQIANTTRLVAAGVAESLNKFHFTSDELYTKAKQILHSDGDGHYQRNVLRMKRIA RIAAKRRNYAADLIEELMYDNELRLDDDGKELRPMHLQTADMRMPAYKAKNWDLYAVS ALGGLAFVGSIWFAGRLAWMSRTSAFEGLKSVFM ACHE_40819A MTSPETRDAAIREAKRYIRDIVRNDWCFEPSTSTTGPRFQTAWP ISEREVKEWRPRDYEDSCSEFEPESDDGDAELERERESLGSGPEERRRRWRRAVEEEM RWNEGLRTWMERRDAWSGARTRREISEREERLRDGGAGASVAVGDRAATGVGAATDAD GSVLAAKTEEALTLTGSDAQEDKPQQPTESNITESDPLPEDDHQHHEIPSPDPSSTPY SIADESYIPIVPSILSETNPIRASITPSMYPSIYSKVIVQSLTPTVPINLADVTKAMV QGWKADGQWPPKPTTTNIVLQDDATVKKKDDGEKETKKKGGVASAVRKVLHFSGFHPH HHPFHRRGSSHGNGHHHEHGGSPGPSNEGR ACHE_40820A MYSSMHQGKLLSSLARVRPCRFIARRRYPAVLASCSPARRFHIS RNYLSSTPSDPTGGQNPVPENVANDVSTLNGFPEGNSETSNAAIPPTEAPGKKEPGPY GSGIRRALRNRKPGKEWTPPAAVIPDWFHERNTVIHKGEGQAGVQTTPQVFIDKTNTE KWAEDTQKSAQGGDGEGSQPGSDESPSENRYALSEALWEELCASARAGIRLPPAKYAK EPSAKKTHLVLHYPGNDGIVFLDAVVKRLAQELGTDLVTLNAQDIAQLCSEQDLADSG VTSPIRSLGYEVYRPSLSEAWQEDGDADDSDMMDTSGPSTNFRPSSGSPKFITIESSK DAGDIPLPNWLGLKSLVASISGPADTSGSSGAHPSGDRVEDRRLRLLHELLSLSYDKP APKLSESKTPAEGSSEAPNHEPSRDIIVQVQDYGEIQGTREGSRFVSLLQQVIQERRK AGSRVLLVGTAAQDVGPDSQDASRLMQNAFDDHFSQMLVVTPATSSQEVEKTFTQDRK RRTLDINVRHMQDMLRTRLYEHASATKDDIFNTRAWPLDPTMIKDTGLEERYWSYGQI HWAATLALGSVKGEEAFGFEHIQRGLEIMQSTDRIKNAWLQERPPKTKTSDSSNDREK LLVSLRKTCNTHEKKLLNGVVDAKNIRTTFSDVHVQSEAIDALKTLTSLSLIRPEAFT YGVLSTDKIPGLLLYGPPGTGKTLLAKAVARESGATVLEVSGSDIYDMYVGEGEKNVK AIFTLAKKLSPCVVFIDEADAIFCSRTGSSSRTSHRELINQFLREWDGMNDLSAFIMV ATNRPFDLDDAVLRRLPRRLLVDLPTEQDRLAILKIHLKDETLDSTVDLAELARRTPL YSGSDLKNLSVSAALACVREENDAAAQHQGPEPYQYPARRTLTWTHFERGMEEISASI SEDMSSLSAIRKFDEQYGDRKGRRKKSPGWGFVPASGDEGGTDTARVRT ACHE_40821S MMMAQSFAAHQGMQQHPGLPPGHPMAHAQHPNAIHPGAGMVQQV HPGVSAPGGPQVSQAGPMMGAMPPGAGTAGPGGPVPNAHALSHLGPTQAHLFQQHQFP QNFANNPQLLQQHQQQQLLRQRMILHQQQQQQQQQQQQQQQQQQQHGALPVSLPNGTQ NLNTAQIAAMQGNPAMRPVLQQMHLQQLPHGQPQMQTPQQQQLLALQAQQAQQAQAQQ AGNAGQPGQPTPQQRAAAQPQNIHEAQSVTPQPPPGPAPHQGSSTPQSNPPQPSSQPP APQAATSQAQPTPNPPHQQLPQSQQPMQQPQHTPQPQPQPHPQQGPQGPQGLQQGAQP TPAMTAQEAQLKAQQQQNLMLQQRMGMKSTTTLYLNAFAEQLSNFRSRDEAHDLLYWQ EFVDRFYSPAGVLRQGVYNRQSGSKQFEISTPALARYYLTQFNSGIRHIQMLVEGVRE TASPSGGHIVESAKTSFIYWFMNDSQLFTNGRLRAYFDANHKIEMLDIVVMNHTEYLP RPQLQALEQAEQQKQSPKVSKNTGKRGQQKQANTPSFTLPESMVTDHGVPIAVLSFLE VAETISQMQMLLHHSQQNPQMSATESLRNLVSTLQTQQTPNMGFMPTPMNPALQPGQP PRGPPLNGPAQFASPGMHPLGLPGAQGSPHITGSAHASPAPSHLAAPGMIPQGQVQPN AHSASASPNVSNKRRRQSTIKVEGDDSGGAPEVNGTGPQGGAKVKASPRVTKKQKGGA ACHE_40822S MSHSHAHDNGISHSHSHDDPFNGHGHSHDILDGPGSYVNREMPL IEDRDWKDRAFTIGIGGPVGSGKTALMLALSRALRDEYNIAAVTNDIFTREDAEFLTR HKALPPSRIRAIETGGCPHAAVREDISANLLALQSLQKQFSTDLLLIESGGDNLAANY SRELADFIIYVIDVAGGDKVPRKGGPGITGSDLLVVNKIDLAEIVGADLSVMERDAAK MREGGPTVFAEVKNGKGMGAIVDLILSAWKGSGAYEVSLARWKEGAPRGSGSVDE ACHE_40823A MADAKALTPAETREEKKRIAEAQKTYGRGKAVQTRTIKNQKLKR NLRAVEDKVKDATLKAKDAEILLEHEAGFLEPEGELERTYKVRQDEIRENVGIETAKK GFELKLEDLGPYRADYSRNGRDLLLAGRKGHVATMDWRNGRLGCELQLNETVRDAKWL HNNQYFAVAQKRNVYIYDHKGVELHCLNKHLEPLFLEFLPYHFLLASAQMSGFLKYTD TSTGQMVAELPTRLGSPTALAQNPHNAILHLGHQNGTVTLWSPNSQTALVKALVHRGP VRSLAMDRQGRYMVSTGQDQKMNVWDIRMFREVHSYSCYQPGSSVAISDRGLTAVGWG TQVSVWRGLFDAAEADQGKVQSPYMAWGGDGQRIENMRWCPYEDVLGVTHDKGFASLL VPGAGEPNFDALEANPYENTHQRQEAEVHALLNKLQPDMISLDPSFIGKLDTISNQKN QEERQARDPDYKAPDPMEKIKNRGRGRNSALRKYLRKKGRSNVIDERRLKAEQLRKEH AARHKDKVRAEREELGPALGRFAKREL ACHE_40824S MATQVHHHRSTTKTSNKPYKSRHASKSALKDQDKGKVERGARKT PHQQLMSKLDRRNQARQKQQTKHQEKSQTTSIFNGQNGAPRQVAVIPLSVDIDVAATI RALNESVDVSSDVSGDQLSRVRVDRFRQNLQYIPAKFDLMNAMDVARMADFVVLVLSA VVEVEEQGELLLRSIEGQGISNVVTVVQGLDKVNPAKKRPQVVSSLKSFMNHFFPSVE KVLSLDSRQECSNVIRSLCTATPKGIRWRDERSWMLIENIQWPETAADVVDNVVLTGV VRGKGLKADRIAHIPGWGDFQIDSITAAPLPTGRAKRDDAMNVDDNESTQVLDTPTAE QDDMAVVAPEEIEMEDEMLSEPETEQKGVLLDDHHYFSDDDSHMPAKPKRLPKGTSDY QASWFVDDVSDSGSDLEDEEDEKMEMDTGGAPEDGVFPDQNDAMTEAGPSEYPQSEMF IDQNEEEEAKQLEEYRASRRKEASDDLEFPDEIELHPNVLARERLARFRGLKNFKLST WETAPDRPHEPEDWRRLLQFADYKGSKNKAVREALAGGVNPGARVDIHLRAVPSTLRT RPQPLSLFSLLRHEHKHTVVNVNMTLHSGVEEPLKSKEELVIQYGPRRVVANPIFSAN DNTPNNIHKFDRYLHPGRSAIASFIGPLTFGSVPILVFKTKSSQNDDPEVLDAADSDG LDINNLDLIATGTVVAPDQSRVVAKRSILTGHPFKIHKKVVTIRYMFFNAEDVNWFKA LQLWTRRGRSGFIKESLGTHGYFKATFDAKLNPQDSVGISLYKRVFPRKARALEDVVY ACHE_40825A MAAQDPRVLLQKAEKALHSASSGFSFFGGRTEKYENAADLYTQA ANAFRVQKLNKEAGLAFEKAASIQADNLNEQDDAANSLNEAFKVYRKSDPEDGARVLS SAINHYVLKGNLRRAATQQQHLAEVYEVELGDMKKAVEAYERAAEWFDGDNAEALANK HYLKVADLAALEGDYYKSIEHYERIGRSSINNSLMKWSVKDYFLKAGICHLATNDLVA TNRALESYRDIDTTFASQREHQLLVDLVQAIEQGDQEAFADKLFQYDQLSKLDKWKTT LLLRVKNNIEEATEDFS ACHE_40826S MFALRSTSRLAGPRPRLLRLNTLRSYSSSSEQDIESILAKPTWS VRSLLPDSAAKESSPSVTPKQLHHLLRLSALPLPANQEEEAAMLRTLESQIHFVKEIQ RVDATDVAPLQSIRDESPEAMKENTIGLEQLKDALSKEQIFGRRKKIQRMRTERNERP DGNAWDGNALGYASKTKGHFFVVETSKGNDGQ ACHE_40827S MFKNFKEKHGSKFGLGSSDDDAPAPASDHGQDLTTILDRSQRGD LTILVALIAKDMRNAIERNFQTQGLNDNNPPTDQPPQGAGKKKSTQPADVPRYGDEKP WFAESNTAENKEAAASALSFFDDWRDSLLLRVGEVVNQDYEVSEEEGGADSQNLESQD VPVEINERSLEKLRKIYPPTDTPLVDLPKAKKLLILHSLLLLVLSLEHYNGLSRVLML RIASSLGLDVKLLNDNETKVARGLLDMALKQPSNAESEEQAKKNDMARKVKVGIASVA GAVLIGVTGGLAAPLVAAGLGTVMGGLGLGATAVAGYLGALAGSGVIVGGLFGAYGGR MTGRMMDKYAREVEDFAFIPLRGPRRKSENEKEAAQEDHRLRVTIGITGWAEDAADLV VPWRVVGSESEVFALRWELEALLKLGNAISALVTSAAWTFAGREVLSKTIFASIMSAV MLPMGLAKVASVADNPFSVAKARSDKAGVVLADALINKVQGERPVNLIGYSLGSRVIF SCLQTLAKRRAYGLVESVILMGSPTPSDTEYWRRMRSVVSGRLVNVYSENDGVLALLY RTSSLQFGVAGLQPVEGLSGVENLDASSVISGHLRYQYLLGRLLNVVGLQSIDFYEAS QGEAALAALDQWEEEQRRKNKREVEAEAGDRSEKEILESGEGMGSEQERLQKQVDQKT QEHLLPRKMEQASTRERQDTHGN ACHE_40828S MQGTTAEVDAVLDILGQQPFLKIYTQICLCFSMPDNASDSAIIN TLTNGLERLTASFPWVAGQVINEGASEDNTGVLKIKPLEKIPRLVVKDLRNDPSIPTM DGLRQAEFPMSMLDEEIICPCRTLPLPGTFDELPVFLVQANFITGGLLLSVVAEHGAM DMTGQGQIIRLMSKACRNEPFTDEEVKTGNLPRHNIIPLLDSSYEPGPELSYQIIKLP PIPPAPPAKSTWAYFSFQPSSLAALKALAIETLPSGYISTDDALTALIWQSITRARLP RLNSETNTTFARAIDVRRFLLIPQTYPGLIQNMTYHTSALQNLVHEPLGVVASWLRAA VDPETSTLGYNSRALATFLTRVADKTVFSFSAGMDLSRDLMLSSWASVRCYDLDLGLG VGCPEAVRRPRFDPFEGLIYLMPRSLRGGITAAVCLRDEDIERLRIDGEFMSYARYIG ACHE_40829A MAALLRVLKLISREENALKPHQLMTRSDHEVELLGSDKAGFIAM GIIALVSWISSLALLSFLTYRFLFWQRYYKRPLAENQYVVLIYNLLLVDLQQASAFLI CLHWATRDSVNFPSAACVLQGWLIQIADPGSGLFVLAIAVHTADVVLRGRQLPFKGFV ACVCALWAFIIMLGLIPVGMFTSDTFVVSEAGWCWLSQEYETERLWVHYLWIFISEFG TVVLYGFLFVYLRRRMKQASMLRQQHQDNLKRLNRVVVYMVIYPFVYLVLSLPLAAGR MATARHVAPSKAYFAVAGSLMALSGFMDVAVYTLTRRHLLIETDSSANDNAYAYTDTK GFQTHISTTGGKEKKFRVGNKMRRGLQTVESINDTVHDDRDDSTEDIMRKGDMEMGNL AHGAVYQETTIEISSEPVEPADQLKQQQQHQLPRLD ACHE_40830S MRCNFQRFTLLLQPPDYTYWLRNVTLKLIEGFLRWYLENHHTVD DAELVEDRIRSLELRLALHKLNVPKPLQKRIKFNDSSAGEPPKVIIPMKSKSGL ACHE_40831A MTPKWQVTRLLHGVRAIVGGQGPPLILIPGWPQTAEAFSDIFEP LSKHYQFFALDPPGLGDSLPPLNGYDTANVSKVMAEAIHDILKERPYHLVGHDVGGWI AYPWAAQFQSRIKSLSILDASVPGFMPQLRFPLPHQTNMRLWQFSFNALPELPEILTR GRERELLTWFFNLKTVHADGLPEDHFERYIQAYSRPGAMSRGFEYYRAFGTSAKQNLE YAKTPLDIPVLALGGASSVGSDMIHLVQNFATKVSGGAIHDCGHFLPEEQPSAIAHRL LEFLEATQTE ACHE_40832S MSDTDMGEALEAHEPPTASPREPITPKTTADTEESYRAPLYPRE LAMARAIQPKYAPGFPYPRIPPESTRLGAPKPRYNIARHDCIHGQIGSLNSEPFITVS ATTYNLLKKPEHDYSLSLIALEA ACHE_40833A MTSEKTTTLSEYLFTRLLQLGADSIFGLPGDFNLKLLDYIAPSG LKWIGNCNELNAGYAADGYARIKGIGALVTTFGVGELSAINAIAGAYAERAPVVHIVG TPPRQFQESRALVHHTFADGEFGRFDKMQEHITVAQVIIKDYRTAPAEIDYVLQQCLV HSRPVRIAIPHDMVGVRVSAAGLDTKIVIPPPVSQPQVGEEALRLVLDRIYSSKKPMI LVDGESRVLDILDEIDQIVRKTEWPTFTSGFGKSLVSEALPNVHGVYTLDHKKFVDSC DLVLCFGPHYSSTNSHQNLTLPKKDVTIDFTGTAIKTNKEAFHDLPSKQFLQQLIAQL DASKFPKHTPEIIPTSTLSPVTRSDPVTQTGGFWQRLSPFLREGDIILAETGTAGYGA NEFVLPQHTRLFRPVTWLSIGYMLPAALGASIAQKDLIARSEYHNLPRARTVLLIGDG SFQLTAQELSTIIHHKLDVVVFLINNEGYTIERCIHGRNAEYNDITQWRYLKAPEFFG APEEGEYAAHTTEIRTWGDLEKLLADERMWNAKGIRMVEVFLPRLDAPKLLMGLLHSQ EQAEKKQQQKAK ACHE_40834S MKITMFSSLLTILAVSSTTLAMPVASASPQAAASQTISVSYDER YDKGASSLTTVSCSDGTNGLITQGYSTFESLPNFPLIGGAPTVEAWNSPNCGKCYQLH YQNGKVDKTINVLAVDSAVGAFNLGLQAMDQLTGGNAQQLGRVDATYTEVEASQCGIT S ACHE_40835A MNRLPYVCASCTAQIARISPRPHIQLSRLTSLRRHYSVQADRPF RIAIVGSGPAGFYAAHRLLGKSEDAVVDMYEKLPVPFGLARYGVAPDHPEVKNCEEKF TEVAGSPRFNFIGNIELGENLPLRILKPHYDAILFSYGAPKDKELGIPGEKATRNVYS AREFVGWYNGLPEHRDLAPDLTAGEDAVIIGQGNVALDVARILLSDINELRKTDIAEY AVEELLKSKIKRVRVVGRRGPMQASFTIKEVRELLQLPSVSFDPIPKDLFPPDDVISG LPRAQKRLIQLLEKGSTNDPSISTKSWSLDFLLSPECLNWSPIHPYRLSHVKFSRNEL DPSDPYSSSAKVTPKYLSSGNRAQVNIPANTFFRSVGYKSLPLPGLEEDLGVQFDEQR GVIPNDGFGRITTPKVTGDTQRLPDGSLLSHIPGLYCAGWVKRGPTGVIATTMTDAFS TADTILADMGRQHALLNSPGRSTGLGWEGVQTEAKERRLRTTSWSDWEMIDAVERKVG KERGKLREKFGRVEEMLEVVS ACHE_40836S MGRSFASLFSSRPAAQGFVGPTDRPLNPNGVPETSWAKGGSIKS KSCRPLINLNAPTNSAYPNVSRARSQWAPMSPKTKGWVLNGRRVQLGLRVLALLGAIG ALFCAIIITSLPASIVWIVRVGPAVAILHTLYGIYHLSRSPIGKPPGTQANYNVFAST FDLGLVPFYVFGAYISYIEYANVAYNWGTLLGNTNGIPHLIANVNFILSVASGALHAI SFGISMFLAIAFRRITRLPPDMNPLEDNLTARPHKRTKSEIAEKHLSQSTVDSGIGMD DPLIGSPRTMPVSMHNRHPSSGDGLTGFIYENPMPQGPFVPPDQLYYANPTPKPLQFQ PRPQQQGNVIPRKPVQSSQVLTQDIDNLSSRPTPPMHLNVPSQGPDQASISDRSRTVS PMSDNWIAYPSRSPSPLDNLQTPQKENAKNETVARRDISSMYSRSNTTASTDSGPNWV SAAQRYGWNIDEAIREDVRGEYESLAMHEHYGNDDDYLDDYPQQNGLYDDIERDVGDE PINIYLDDRLSDDDDLKDENEEPATKLLNPLALNPPTPLASRSNLYEDTTSKPLNGRH ALTDIPNLSPSPNLRSDSPAPGDSPEKKTGRYYGELESNPSISVRGDRDVSEQNVPLR KKSKLQKKNSKSKKMQSYNTLRQDDHVSDEEIDNEAPPAIPKDTNENDRKGRVVSNSG ADIRSNAAPASAGLASYGNYIAGLGIGVGRRRDVSGKMAEEGRSGTMVNAGRPGTEPE HKKPIRAAGWARFAGL ACHE_40837A MTLNYDPDSSLVNATWVATLLSERDAAGQIPINFVTHPAVSLRA ACFADNVFDKNSTAKCISNLLAVGYRRLLVDLYWSAERRSWSFCPVSVPANVGTDSSI TAVTSSTGSQLYQLGSYQCSDNLDVSGLIHILRGYFENNTSQLNVYLSFVIFNLHAAA STSAPDDPAPAVFGAQLPSNKERLGYLLDGSLGEYIYNPSQLAEERSNLNNSWYRVTN GYEPITEYFTIHEDSKGRQSTPDGWPCSKYVQLARERRVLFGYGDIDPQIEDYDLSSD SVLFPPSYLTDFVDVSSAVDGSLDTGCLYDSDAYLVSQVNSSWSLSTRIPVPSTTNGS VTLDQLSSIVTNLTACGLSPNLNDTLLNATADNDVETYRNISLSASWAWSAGQPQDSI DNEDGDPKERCAVLDLSTNGHWQAIGCGEDRYAACRVDNVPFTWKLSTKRTSYGNAAR ACPENTSFSLPRTGLENTYLYRYLLSYQSASNGTLDVFSSKDSMRQVWLDFNSLDIAS CWVSGGPDANCPYASNPQQLEKRTVLVATIWGIVICVVAALTLFVKCNANRRNSRRRK RVIEGWEYEGVPS ACHE_40838S MATPGGPSPQQIAAMQQQFAAEAAKRGLTPEQFAQQQREQLAAE AQKHGLTTEQYLAQLRARAIAAHQKQMELQQQGQPSTRPPTQQPGQQQTTTQVPVNPN NPPDPKAVAVAQFLRSQNLKPRTCIMDGQRKDMFKVKRAIRAIESPAYAKAAAKKNSL LPPVTDRASAENVFKLLPLSLLALRVSKVDPHAGHNHAKPKNRVKGLWTVKIEQHQET DPMMHYVWLYEGPQWKQKAMAAAVVAGIFAVVLFPLWPMMLRQGVWYLSVGMMGLLGL FFAMSIFRLILFCITVFVVPPGLWLFPNLFEDVGFIDSFKPLWGWQETKKKKSKKSVK GEALSTATNQTTSATTTATPAPGTSGTVKRDLAPKVEEADE ACHE_40839A MRRSILQLSRLARIQTAQPIPPVFWTATRTCPLRVPIASTSVAT CSPVRMASSTNQPSTIRFSEGEDTEQVVRGLNSLRQRGWQLDQDGMGVTKTFYFKAYF KAVSFVNVIAAESAAKKHHPTITLTDFGQTNFSRPTARPAPANRPRPNEYASANGEAM EKVPLQPPKRQSSKSSLRNLFGRDKSARKPAHDHKLAEIDEMHPTGTNANINASTTKI NLNNNNQTTTVTQPDMPLSPNFCNTPKTAVSTPTLVTSPTSAAELPTRNKLPPSKPPP PKPSEEDKPPTRDLGWKPPPLFQAYPQAIKHSTLPAPTLSADAILRAHATSKGGGSRE DENVGALSQQREDTREEAAARKKKEDKERKHLRSLSETIGKTDWTQKVYVVTTSGYIL QYSGEGKYDRLPEKMLLLGPKSVAFASDAIPGKHWVLQVSQGADGSEAPVSTDVPRPL LTRLGFHRSYTRRLTQSFLLVFNDPDELSSWLLTVRAQIEARGGKKYVSERVFDDGME QQLRSKTSVRQLVKRDPNRFSNLYLQPQNESQSEGDSRRSSYVSNSNRHSLVSTAATD VGAPQATANGGSGRFYAATTATATTSESTTTVTPIPPRDATSSPAMRNHGPANVVSSP PREITQSPPALTSPKKRRSMAYMSTQPVEPFQPDVSELPRSQSTAPDPSVRSASPPAP NFSVPSFSKRFAARTTMNNIPPLQLASIQQPDHQNEDDFDINAIASFPSPPQSPVRSL SSMSRNDPSDPHGYVSRQSSMNRRRPLRVSPSQDSLVTCESTAQQSSERKPSTAITRP PRSSVSTVNSTPRPSRPMSIVSSVDASLATGDIQPPQSPPQSTRSHRDPQKSRTSVLY PTNNTTNVTYSYSPMPNVSRRKSMPGLAVGPPTLPPPSCPLPKIPSPVPVDPSAADTA LPVSPRSCKSPPPVHGHGLRKIKERKSSVGVAGHSHSSRFSLGMRSISSSAR ACHE_40840A MAGDHKFSSEEKPRDSETSSTHVDAILKNALKEDNGVIVPQTDQ PESLDKAQRTPSDPKIELTEADCYDQLGYSFSSLKKWTIIMVIFLVQVSMNFNTSLYS NAVGGISEEFNVSKQAARCGAMIFLVLYAFGCELWAPWSEELGRKPILQASLFLVNIW QIPVAIAPNFATIIVGRALGGLSSAGGSVTLGMIADLWEAEDQQYAVACVVFSSVAGS VIGPVVGGFVEQFLPWRWNIWIQLIFGGFVQALHFVLVPETRTTIMLDKIAKRKRKSG ENLNVYGPNELVPYRERFSVREVLVTWIRPFRMFLTEPIVMTLSLLSGFSDALIFMFI QSFALVYGQWNFSAWAIGLSFIPILVGYFIAYASFIPVFRRNKAQRLRWPDDERTQYE SRLWWLLYTAPCLPIGLFGFAWTSLSNVHWIGSMIFAAIVGIANYAIYMATIDYMICA YGPYSASATGGNGWARDFLAGVLTVPATPFYQNIGGKYHLEYASTILGCISFVLVLAV YVVYWYGPTLRKRSPFAQQLSDARAEMHQHMAQGQGVSQLNSRANSFVRSQQNLRIRQ TLGSRQNSYIASRDPSQANSRVASQANSRVASRRNSLS ACHE_40841S MNHPSAEPSLPPQSSPRSRLSISEHRRSALASLNSNMADSTVAQ SDPRSSSKPRASNGGSPILATTGDPHHHHRTPSLGELHQELEQEQEAQVNRLLQMIRS QQAQLQQLQQQQQPQSSGTAIVDETTPHSDSTPFPPFPPLPTASGRTSTQLSPSFSSR RQSRPSSQAASPNLRPQPSLHHLRGPPEGLESLAGTSDNAASRSNSRDECAFYQAEAA MLSRENQMLRQRIRDLERQVASQTQPDSAVDKT ACHE_40842A MFTASARSRLSTTFTRQRLSPTPSILARSSPIMAPRRNASSVPE GYKEDLSKGKMLRFEDSLPRLPVPSLEETAKRYLKSVHPIVTDAEYERTKKAVEAFIR PGGSGQTLQERLLARAADPNCRNWLTEWWNNAAYLGYRDPVIPYVSYFYSFKDDRARR EPAKRAASIASAALEFKKQVDDGSLEPEYMRGQPMAMGSYEYMFNCCRVPGDGVDAPR KFAAQENEHIVVVRKNQFFKVPTVVNGEPLNFSELQRQFERIYEIAQRAPPVGVLTVA NRDLWNDARKQLLAADPVNEQTLKDIESAGFLVCLDDATPVTLEERAHQYWHGDGCNR WFDKPLQFIINDNGTAGFMGEHSMMDGSPTHRLNDTLNSFIFNNKIDLSDKSVRSNLA DPRPIEFKVNDAVQESITAAHKEHSQQIGSHELVVQAYQGYGKGLIKKFKCSPDAYVQ MIIQLAYFKMYGKNRPTYESASTRKFQEGRTETIRTVSDDSTAFCKAHQDSSVPREEV VRLFRTALASHTKYTMDASDGRGVDRHLFGLKKLLKDGEQLPDLYQDPAYSYSGSWYL STSQLSSEFFNGYGWSQVIDDGFGIAYMVNENSLNFNIVCKRIGANRMSYYLNEAAND LRDLLMPDLAAQAEKAKL ACHE_40843A MSNRQMPPPSPPQHYPTSRGQTANAPPLPTTFLSREQHPSNPAH RPGSSMSISAMLGSDADRPPRDVGPYSRPPVSSSPSPYTSAPPSANPGAMSPPARPAS LEYPFFRRSQTPEKSFAKSHPPARPYRSSSGGVSQASITEQSKFNPLSSSRAPPSSSQ YPDKPGSSHPSPPISSAETAYNESRRLSLNGSIPRPSSQPQAEPSARGPGYSPLSRPV LALGEGAAGPTAAAISATQPRPTPFSEHGRFGSLYPDRHSEELAQREKERAMGQELDA SRASGHRYSAYGDREAFGRPQGPGAWELGRSQPPSPEAKRFPAPEPSPGFGFGAIQSY TKSLGSQAGSGSRPVSLSTQTQPTPPPSEQPPYLHKLQTEPPRLFSSGLSTGPSPLTQ TATDDRRKGSDELMHHRNLLGVGAEKRGGRASPLPQAVQGASGPGGESSIKNDLGRVF SGIGSGVGGVTAPTAGSAHSTPIMSPFKRDSGTARSTNGDAPNDEAKTARPGSTTGKR SKKSREEEVQSVEGEILDSRTGVLGRGRRGRHAHHHHHHHHHHRKPEEEGRPMSSMNS FHRASTVSAEGSSGVTPHHHHHHHHHHHHHHHPSRTSAPALNVLSPMREPRTVVNIDP VRSSVSHLPRHHLGSTLYAPRIGVPSTKSPLESAKFGYTSTPQPLPRFEGKENCTFTV RVPRYRIDAARREEICARRALWGTGVYTDDSDPVAAAIHSGFIRGAWGDDVDESFLDL EIRDTYQHAPPPSEEERESDQPRIPPVPPADKDLHITLLILPKLDKYDQSVLFGIKSR TWDGSHDGMSFQVLRIDWVEEGAGRGEERGGEARRKRLRNLMQTGRICTGPAVIKLED LRNRGLEVDARSKMRMNEEQRTTVQPVS ACHE_40844A MASLEPIQEKYDEENIPYNEDFHDVPLYANTDYDDYDDYERWMG EDHPLRSYPTECCPGLWRSVEALKFGRTFRLVCVVVIFLIIVIQFLALLPTVFTYMLP RAGAADDRLPIPQWPSEFMTNRPCHCLSYNPRSRDAAQYAIAAGCTGAKADLWLHGTD LLVGSSFTDLDTKHTLRSLYFDPLLAKLDAKRNASKDPQAQPGLFEDPAQSFVLVLEF KTWQHAALSELDSHLYGLRQGGYLTRMNGTEVIPGPVTVVVTGQGEHHVRAGHDAEYD EIFFDASLDELTMKDYDPWKLTHRGAPKPNAAPTNVLQPKKGLSAEAPSSAIYSATAY FSESVGYPRRGRFSPQQIALIRAQVQAAHRRGLRVRYEGVPQGQGRVSDHIWQTLVRE GVDMIDVDWQAAYHRAWWQRWFTYRPTSD ACHE_40845A MFDDAAKGMPCLFKSFCYILSNFTTARLESNYYIEDLLPDPKTL LTLPLLSQLSSILHDNICWEDFKQLHFYKPDSNLAEATIVANNITSVHGVAVLLVSGK IVKTGEDAIFGAFIPEPSKDGSNIQPRDEDRDDLFSCFLFELSPVHDVSWGNVGRPGW SVTGNDELCFGENGNGAALVLGKSLETASLIRNVEQQDPVFIANAWRGNGSMQLDVEA IEVWAELSPDQDKYEYD ACHE_40846A MATGSRSPGMNNAIDNFGFEFDSEKTAIRVNFSALCSDINGTLR LTEVAFQAFLHGRGAIPLALMGTTSIIFQSMRYFSQVPFSQQTLPEALTLDELCRAVV WPNHDRSRNFNNGLDERTPADHRRIMFQSLATTEDGRKLPFDMEDWKKQAERRAVELP PSLAPRAEFCTTNCDDDGDEMFHDALDIMQLTKPDIDSPYGNVPRDCFRLLAKQYQGG GFRLHELSIPPGRLHALVKLLPIAQFGHCDHLDIEKFSDLDRVTSCVVKAFHQDLNVD IT ACHE_40847S MAAAITSWVLNPIQSLTMSRRRTRELWCALSDDLQKSFPMECVA GKDNINTLKKKIWEEIKEESKNTAARNLKLYSPVVQLNYEEEFDVKNGEFLHPRRMIT SNPLFPESKDPNVDIVVVVSGGATPQKRKCSESQNANIPRTQPITKDPHVCPRERTVS RLAAILDEVNIVHVRGTPASGKTYLSELLRDHYHKEGRKAFLIKKWEDLDSEDPWGSL IELVKKRNKVLKDDPITTFNVTSSQCKQDLSWILTSNTVILVDEAQGTYHDDVLWNTI LKKRQSSFLGYNFRLCLFCSYGSPEAGPDQTFFTPVRLSNQQCISLTPQSQQDSPPIG LFYDKEEFKDVVARSIPNEHQEKFTFDEGAQDYLPAFTYDESAQDYIFALSNGHPGAV ESILSALFQAYRHDIKYEHIRTLTEDHVIQFLEDTAAVFRKLSTQPVNRSFPTISEAT GGISDVLNKITEEGSIPFDINDAIIRHCYQKGWIHRVALGGRDIAVLPSRLHEKYIEY SIGTMSQPLPARFDSLPKLCKEILSKFSIMNLRHSAEGKKMSSASQPRPVEAQYQDEF YRGFIHVAGQGVPISSEWSRTKDGRVDFYIPEKKWAVDLLRDHREVDEHISRFKSGGK YHPWLEEKMIEDWIIINCATSLPTKEFSEPKLWHAVFINDYSELQLYNHQKALMMSVH LHN ACHE_40848S MTAPFVANYIGPASTPGSAPHRYVFLLYEQPEGFVGEKYAPPGG EGVVELVLDEL ACHE_40849A MRETKLHRAQVLVVILLGFLYLLKGNEHGPALISKLSSYLTGTV TIWQVAVALFLWLYFCRNFATITCLECPEPLIHAYTPGFFQATQITTALDAGFWTAMS IKPKWLCDIASLVFSMYYLFALEQAEAKVRQVRSVLTVEHLRVSWNKATTPYLSIVAK VRRPRLTSYPPRPIQISRPQQSSYKEPVNAWLYFDGPLSALENQTCVVLDFPGGGFVA LSPRDHDDRLLAWAGKLKVPVVSLDYKKAPEYPYPHALHEGYDTYQHIIATNGRCLGL SGRTCPRIILTGDSAGGNLAVGVTLMTLTNGLPRPNGLFLAYPCLNMKGESWLNKEQM EFIQGKCVQRTNRNVLWRKKMDFYGPAPLPSPSPSLDGDGSSSESSQPDIEKMYETYN YSFSSTTSKSTHARFAVSSALSYANDRILTPEIMRTLIILYIGPKNQPNFNKDYFLSP ILAPESLLAQFPKTYFLTGERDPLVDDTMIFAGCLRQAKLNQFLDVQDIGLVDERRIF DEKDHMEVSLLPGISHGFFQMVSFFPEGWRYVHRSVEWIREIFERGEVVDWKGVLDAV PQAAKGFCEKDAAIPMPERKCSVGSLYSEEDILDRRMNELTGGLMC ACHE_40850A MLLVALTPDYTEGGSISTKLAGIVLASLSSGGGELSFLGLTHFY GHFSLASWGSGTGAAGLVGAGAYALATTSLGLSVKSTLLVSACLPAVMLMSFFIILPR GMLRLVPVQAGYAAVDGGDGIDEEHIGDDDRNAILNEREGLLGSSIHSADSGKLGWQN IKANLHRVKGLFFPFMLPLLLVYVAEYTINQGVSPTLLFPLKDSPFSRFRAFYPAYNA IYQVGVFISRSSTPFFRIHNLYFPSILQVINLVLLTLHALFDFIPSVYLVFVIIFWEG LLGGLVYVNTFAEIGDRVPPEDREFSLGATTVSDSGGICIAGFIGMVFEVWLCDWQVA HGKDYCQRT ACHE_40851A MAAMRLVSRRVPLARKLVPAIESPVQRRFASTQAKDALPNPDPS ANSATAALVNARAPFMVPTYVRPLPVMMKGQGCYLWDMENRRYLDLTAGIAVNSLGHC DPEISQVIAEQAETLIHASNLYHNYWTGALSELLIQTTVDSGAMRDASQVFISNSGTE ANEAAIKFARKVGRSLDPSGEKHELVSFHNSFHGRTMGALSATPNPKYQTPFAPMIPG FKYGNYNDVAQIQTLVTEKTCGVIVEPIQGEGGINMATPEFLVALRKRCDEVGAVLIF DEIQCGLSRTGTLWAHAHPSLTPASGQAAHPDILTSAKALGNGVPIGATIISGKSVGE HIKAGDHGTTFGGNPLACRVAHHIVQRLASSEVQNAVEVSSAKFVLGFQELQEKYPHV ISEIRGRGLILGIQLKKEYSAKAGELIGAARERGLLVITAGDGCLRFVPPLNIGEEQI KAGLKILGEAFEAVFKP ACHE_40852S MALFLTSRRAFTASISSRSFLTRPLSTSPVLSADTPFKSGPAPP RLPKEEQEIFEELQRKSTGAFSTPQVNQSPASEAEAQSHPDKPAGMKPEFEGEKNPNT GEVGGPKNEPLRWGSDGDWSYGGRVTDF ACHE_40853A MLLRPRFRPFTPLRVVRAVPVSRRYATEARLTSDHVRIVEVGPR DGLQNEKKTIPMETKLELIRKLARTGVTTIEAGSFVPAKWVPQMASTAEICEQLLQSP PESQTAIAYNYLVPNVKGLENLVRVMEANTSSISPKSTTSTEVSIFAAATEAFSKANT NCTIEESLERFRPVVSLAKNKNIRVRGYVSVALGCPYEGPDTSPTKVADITASLLEMG ADEVSVADTTGMGTAPRTAELLRALKAAGIANNDLALHFHDTYGQALVNTIVGLENGI RIFDSSVGGLGGCPYSKGATGNVSTEDLIHTLHGLGMHTGINLEEMSKIGSWISGELG RFNESRAGKATFARLQD ACHE_40854S MSDSPAPEANNSNLRQPKKRFVGRRTAEAQAQQNGSQNDVETTT VQKATPRRTPRTLNQVPPEILNDPDINAAISLLPRNYSFEIPKTIHRIRTLGAKRIAL QFPEGLLIFATTISDILTQFCPGTETLIMGDVTYGACCIDDYTARALGCDLLVHYAHS CLIPVDVTKIKTLYIFVDISIDTSHLLATLERNFQAPKTIATVGTIQFNATLHGLKPV LERAGYKVIIPQITPLSKGEILGCTSPTLAKDEIDLLLYLGDGRFHLESAMIHNPAIP AYRYDPYSRTLSRETYYHDEMHTLRRDAIGSAKSAKKWGIILGALGRQGNPNTMAMIE NHLNERGIPFVNLLLSEIFPGKLASMPDVECWVQIACPRLSIDWGYAFPRPLLTPYEA LVALGVRESWDTANNGIYPMDFYAKDGLGRTRPEQAVRAA ACHE_40855A MPPLSAYTPFESLLFFQSLASTDSRPSNFVSISDLLRNNPFVRQ NVAYDADRLSPEALEDLYTTLMRDGLETAATGPNGHATDSSANPKKRKISSPRPDGVG DGVARHSRMVPELVAHLYAKYKELVTREIRQEEKRYREIRDDIERIHREEQKPPPVPV QPSQAQVPAGGPEPMDLDVKEEEKQIQRPVVEVAGQIPTTRIEPQQQPPQLPPQPRQS NIPQPPPAQEPSTAQRPSVPQPPQGQPLPDQVSQLQQPLRPPQPVPHSQTGHLPPPHV NGKPPVPPQPPAVAPRNPPNQLPPTPPVPSGPGTSPPGPAAPAVQGPNGSIAPGAAFA TPSVPTPTPQRTAQQGTLPTHAKAPPQLPPQPASGFQQWPLNTPTPHTPQTPLPPHGT PQHPNTIAARRPVPTPQQIPQTEPGKQYPQIFSPALPTTPGPRTQVQTPLAGPLGGFE TPRAASFSDSRSFHQHRLSIDTSGSATPWKRPLRLSIPQSPGSPDRPRPEDVSPISDR APSPEFPEPAHHRRMQPAEDKKVTRAEKNTLSGRKKREESIPSSRSRGRSRSVSQVKV KHEMPSTPAGVPDAVEAEPRATAGRRSQDERPGRGRPKRKRDPSEPGEPESFHAEISR LDSSQAGQYVLSARNFPRTGAPIMNDVTMHKHASIFTKPLTEREAPGYRDLIYRPQDL KSIKSLIHQGSKAVTAATEAANTPAADGESPAPSGTPSKNAVLMLPKTEDVIPPKAVV NSAQLEKELIRMFANAIMFNPIPQRGFGPAFPMISESGSRESTQIPEADEGGIIKDTV EMFEDVEQAVTRWRAAERTADELASKSILSLRRASDFNNADGSDDMREP ACHE_40856S MSEADQEWKPSGRPTSTMAQAFSASLDSAFSLDSDVTQLSQTID QKRQQMIIQNRELEELQQRIREAEERLKARESIVPESSSKNAGQRDGESRSAGTPFSA SRRLFGRDEQRGPQGTTTNSS ACHE_40857A MFSARFARAGLRATAQQFSVPRTAANGLRSYATPAQEVRPPVAL FGVDGTYATALYTASAKSSALDQVAKALASLGQTLKNDRKLTTIIGAPTLTTADKQEI VAELQKLAGGADKGDILKNFLNTLAENNRLGALEGVCEKFGTLMGAHRGELELNITSA QDLDNKTISRLEKAVSKSEYSQGKKLKVVTNVNPDLIGGLIVEVGDRTIDLSVSSKVS KLNKALTDAV ACHE_40858A MASLITTMSVPVPPITISPPSSSAASVSIIPPLSLTPSSLHSKA GTIRTSHAPFAEPPSYLPPALRALKQDSCGLTPPSNARTKAPFKPRSAAKGTSSYQLR QFAEATLGSGSLRKAVRLPEGEDLNEWLAVNVVDFYNQINLLYGSITEFCSPSSCPEM KATDEFEYLWQDSEHYKRPTKMSAPAYIEHLMSWVQSNIDNEQMFPSRIGVPFPRSFS SLLRQIFKRLYRVYAHIYCHHYPVVVHLGLEPHLNTSFKHYVLFIDEHRLASGKDFWG PLGDLVESMLRSD ACHE_40859A MPSPDIQVFVKWKDQTIFAGEDVECTITFRNVADSNNSESSNHH NGGQLTPHQPQRKQPRAGSTDSSFFSLKSPQNLFFGSNRRSHSLSPQKKPSHRTSSSL SAPLGGAHSFPPPSTPRNGPPPSHKHKRSVSILSIDSEGGNGGNGGDKTPSSSSPFSR MPPRGHGRSASLQVLPKRNDSYDEAFMKAGRPTRGYPPLDSPANPSPSNLRLDVSHRG GSGTPSPIRPLESSRAPSRRPQLPPLDFKFPAAPPSINERSAISPSVASTEESLAPTA TGKPINKDGPPLSAQGHLPQMTRIMSTSSQSGRSSGEFYSVSDHSSETLQSEYTNYSI PVPRPIPNRHMRHHSSVDPSPVLANGQTLLMGYAQISASFTVDGSLINQSAFEEVKRK GVVGGQGSGTGAPGPGTGRGDKSRKGGGFWGALRWNNIEESLSGLLSNNDLDGLREMR GVSSSKSIPLLSTSQSLLFVDLRLAPGQEQSYSFSFTLPSGLPASHKGKAIKISYNLV IGTQRPSGSKSNEPQRVNRINVPFRVFSGVNGQGQIIGHNLMSPYVLLRDEARVQKIG SSPYLAWKQQKSISGPTWTSAPEFLSYVDEILEQRSRQNSLQPPDTPAERREMHNIST TTLSCKDAIDLAILRSNQASQSSRSPNRFEIARNGRRIAVVILNRPAHRLGEAIIATV DFSDAALPCYALRVSLETSEKVSEELAVRSNASIHRTTRRIHASFFENTLYATRVVFT PAVPIAATPTILTSGVNHVWELRFEFVTPNMHGDPNTEQPSGAGLLEAISQDDRGQVV SALENLGCESFEIAIPLTVYGETVRERLPEENEGYSI ACHE_40860A MASPCVTCSKLALPSVLQSIFRAEFASELRPQDSFYNQARLLSL RRAHLPYRSFQIKRTFATSLPRSQEVQSEQPPSPSIEPSTVTDNSSPSQFDHIVEGTP GDKIKHTGKSQTRTDPSRNPPGAKSKNQKSPRMLQQLQQSQQKKKKREGWQIQKDALK SKFKEGWNPTKKLSPDALDGMRHLHAMAPDRFTTPVLAEQFKVSPEAVRRILKSKWKA SESEMEDRRKRWEKRHDRIWSQMAELGLRPKRKRTQEIDDSRVLYEDSGS ACHE_40861S MSSNTPFNGKCKTPAGPGHSLRITPSNSPLPRPAARSPNKPSAH QTNLALQTVIGTTTTSPNGFSSHDESRSFAFCAGSAAVLAELDDGNNVQQRFFRARPS ATSVNPVSSFYNQSTPPSTPDSRARSTPIKSNAHASGLHNGSPSNDWAESGSSRGWSS RERIKAVTSVSLSPNGRFLAMGETGYNPRVLIYSTAKDSSPDVPLSILTEHTFGVRNL AFSSNSQYLSSLGNVNDGFLFIWAVSLKNGSARLHSTNKCTALVKDMCWMGQTLVTVG VRHVKVWRLPDQRPGSPGKSRHNLESAAHSSNPTPKALSGRNCLLGALGDSTFTCAAS ISENEAVVGTDTGALCLLDDSAGCQKLSVARHVGFSITSLAIDSDGSCVWIGGRGRKM EKFSVDSLRSAASPVPLGSPGARPKPKGPAITCMGSLSSHLVTLDSSRVVNIYPFDSL DSEDDESSTDTTMPAHRDSVLGIRSLKRPNELNADFFTWSSKGTVHFWNTDGKCRASR AIPVDKLPSDDDDVSNELKTVAAGEDLDFYVSGDRLGVLRVMSAQPWMCVNEVRAHGG EITDIAICSDPDSDAFLIASSGRDRMLQLFQKRDESIELIQTMDDHVGAVSQLLFSQD GEKLLSCSSDRTILIRNRVTREDDGATAVAYLISKTITLKSSPVSMTFSPDDSNILVV STMDRCIHIVDLSSGRAVHAFRAADSESPDAVVMSALTVATEIPGQSPRVLVGVSSTD KSIRVYDMDRDLLLTGEFGHAEGVSDVLLLDNPQDTPDDPVKRTLISVGIDGIVMIWS LTVQPHQSSDPVQNSSRDEDDTPAKTASKPPIRRILSKSELAGFQKQDPSATTPAPVR ESSPPLVRRMSKLSLKSSSLRNGHAISSTPSPPHSSRRSPTYAPPHERQRRSPSTSPK STPTPTPSSTSTSTPTKKASKTSSTRRASLEFRSRTKHSHTHQNDSGSLNMSTEQVCR TLRAYRKKLHGSSEHLRSQIELERELSLTLRSLGSHNKDFGDAQLETETDSSGRENER MPLSSMSSGRTLRIPHLPSTPELGQKSLREVCVCRSRSIDDGEG ACHE_40862A MASTPATASQEQASTVTEFAKDLQDLISSTTASSSSSPLAIPDD TLRTLDTHLNHLPLSRTALTVSTRRQLDTKGTELWNTCLQIMVMYREDGVRVKYLAFA MIESAAPRRGPGNVRALELALRISKDCLESELVELGLKSLETTAARLDTFEKSETDKS KLSVVTTEYYMLRIYLSWLQGRPDIAEHLFSKVSAITGSPQRKTNMEVCYIIGSSALS KGQPGTATRWLERALESSLRSGQDSGQSNLALKDMRLLILHALVRAHLQLGTASSRDF ALQTLDSLKTEYRDIFPVVMLQLEVFGKEEHPNYHDYSECLGAVVRMTEVTDDSLRIA FYHIQKLSKQSWDLSMKVSQQLLEKLVPSNSQALIGQFLVAFVWMVTSSNQSALQELD TILGIAKTLARSGIDTLGEDATHASLVLIWRRVKTMMSNGNIFMAEQWYQFVLEQPIF QNCSTANRSVLQRKLMICALNNPSTSLSYEVLERMLEQSQGSPSTLYLAYRITLLHDE PALGISVLEALFKLGANGAMFLFSCATEAQRLGKMQQMMDSVERVMAILDSGYREIFD FPTLVGSTIHMLSMELENCKVDDTKILEQISRTFRVALKGLTESSSIFSTDDTEWFFQ KSYNLALQLVKSARYIPAIKLIDISQKLAVLYSQTAQPEDTPKVFEHNLSCEFLKALV LITEAREEAEPLKKKNHYNSLRNTIEHFRTHIVNRTQSQQNQEATVPVKWQEKYRALL SFDFEAAVFLSHWDALPSIIEESSSFADSKLCAVFLDSILSAEAPIGEMVRVVMTIIN ILHTSTAPTLNKSSFETSLPRYLRCLFQLSIQANENPLAEAILDKAMSLARGHNDENT STNTNINDNGRTKSYDYPNEELEWLATVTFNRAIDFYLVSADEECKRWAGKAIEIADL VGYNHGALGRLLRANLAKLS ACHE_40863A MTENSIAQLSADPDNHNQAGNATAEKMKSNSSDGETLETGAKSK AEQATAGAHSSPKKRRKVNHACVYCRRSHMTCDSERPCTRCIKRNIGHLCHDEPRETS KRSRNSEYDHSAGDDEASTSNDFSNVQGMPRNVDIQDAAGQQLLADGSMSLPPSSVNQ MPSSSSSGQGINPDSQQVIGYNEWMGGQSQFQDMHTFHPSYMFNAHEVTNEYNLLGDF LSSSLLDDGSIFQNDDLHPLYSDPTLINSMAMGGSNAGLIQQSHVSIPQQNQTAQHDS IQQANANVGNDKARETYYMTAADPSGSDPPEERMNKLLKAKYDAGLLKPFNYVKGYAR LNQYMEKHMQQASRQKILRQLDKFRPKFRERMQSLTDIELILVEMWFERSLMEYDRVF ASMAIPACCWRRTGEIFRGNKEMAQLIGVPIESLRDGKLAIHEIIVEDQLVSYWEKFG AIAFDNTQKAMLTSCTLKNPNSTPGDGIRCCFSFTIRRDTHNIPSLIIGNFLPSQGKK ACHE_40864A MRYPTTMPPKRKRATDEASQAGDDHQTSTNTNNSKSKKGKKTNT RDNNDPAQIPPKRKRASHDANQDDDEDDNNDTGAGTSTINNRTKTEKKTISTRNNKRY AYLKPQVRNIPEHTIKSKWATLPEPVQDKVRDMFRALERPVIVRHQNERKRIEAQAAV QAVVRNLGKRLPRMPFPPATKESSFEYEAALDEHRSLEANLATVTDSIGLLKAEIEKE EALLVKETKQLHDMEKNAKRSESERKRQMKNEHPVLRRLDKLPETQGSKPAEFTLADS KDSEATFSELESDPEVQGLLKQLTGHLQSMQTNTAPLTGLKDAVKRSQTALSLLPVPE D ACHE_40865A MMNMSTSEAQSGRDTPQPGPFGYSFLSPMDTPYEPAPAPPPGPT LLDDNESNMLDNFFMTMNSNNFSSDFWMRGQQSSSNNHKPLESYNFDWTNELPPTFEG STTSLSQPAFPRRDIEKPNGAPLSNSTDTSSDIFAAASMLYGNGLQGTGFNPIFDQQR QFSNQGMSGAGSSSGFNIQTNQMQPGHHESSEQSKDNPRSSLPTGFHTSEMLFDTHKP ISADQRASKVRTLHWGSDISFMDRGYLAPPDQPNEEEQTKGLLDNLQCLEPQSSAANT RAPSPERTAGGLEAGTGPSSNMQHSRSAYQDAMDEDPQPKKRQKTLIKAEDDNSDEES SKPRRRSKSNGYTKPRRGSNDSFRKSKAGAKAARENLSEEQKRNNHILSEQKRRNLIR QGFDDLCSLVPGLRGGGFSKSAMLTQAADWLEDMMRGNEILRGQLAELKNMNGGFAMP R ACHE_40866S MDPSGLVRGHKSNLQLLKPVLRAYALGYLSSTTPKVISSFRCLR RKELGYKEKLQEIVRAVTCTIRLNSFPSFCAFLVGGSTISPILLLRLCDLVARRLDSK ANVFKSQSFVRFVRFTTAFLSSLLSLQLLNQKPINLQTTSRNVSDDAQNNGKAQDPRE FAGRTMDLTLFTFTRAIDALVCLAWSTWCRRRKTQGRLTWAETVAPQLADAGAFAASS AIVMWAWFYLPERLPRSYGKWIGEIARVDSRLIEALRRARRGQFVYGKDTGQAPLLES MCRDYNWPLEWGSPAKTFPIPCQMVHMDCGPNCEFHAFMRFVRTLKVACATYIPLQLV FRFRALKSRQSVVRALTDALRSSAFLSAFVTLFYYSVCLARTRLGPKLFDQKTVTRQM WDSGLCVGAGCIMCGWSILVEKPRKRQELALFVAPRAAATVLPRVYPKKYQNREYATF ATSAAIIFTCLQERPNMIRGVFGKIANGVLK ACHE_40867S MLAHFIPSWGRSSISGAGFRLSMFPRTQCFESGTRYFSRSSGLR EESASTAPFKTKPSEERNTSAMYYMISLMLGTVGLAYGSVPLYKMICQQTGWGGQPVI THRGGDNDTSSRVIPVTDSRRLRITFNGSVSDVLPWKFTPQQREVRVLPGETALAFYT ATNKGPADIIGIATYSVTPGQVAPYFSKIQCFCFEEQKLNAGEAVDMPVFFFIDPDFA KDPAMKGIDTITLSYTFFKARYDDNGVLKPIQS ACHE_40868A MDNTLASLSVVAGISLHLLVFRHGEWDTSSPSVVICHLLLLSIG PASAYYGIIQSCSPLLVWKIGGYYLLGLYSLVYRGFLHRLCQFPGPFLARLSNFYLTR LSAKNLHLYEEVQALHREYGDIVRVGPSKLSITHPDAVKAIYSNAYPLPKDHGIHCST RAFLCHSRATNRFTPVGGGFGIRRLVLKVNLRINQSLYSKLTLSALQAYEPVVQVYSN QLVDVIDRDLDKPIDITRWLSYYAFDVMGNLAFGKTFDMIRDGKESYFLQTIRTDMSV IGYLKHQPWLFPLFAKTPLLNANHLAFWKWIEDRMTERIESWKGDRRDVFA ACHE_40869S MKRSPPFMSPIPPMWMPLWAPLEQRSLLDLLEEHVFEIAHLEAL CNAKPMCLFREYELPQAVEIFRCSLHYVGWCGKLSGESFPVSKGFLKIVQREPLGVCA GITAFNAPIMGMTMKAAPCLAAGNTLILKASEKSPLSTLYLGNLAAAAGIPAGVMNLL SGDGVTGSLLASHMDINKISFTGSVGTGCKIAQAASRSNFKRVSLEMGGKSPSIIFPD ADLDETVSWCVRSILDLAGQVCFASSRVYIHE ACHE_40870S MKAASAEISAVAGNPLDDATEYPLVDQAHYQRVASMIEQAKAEA TLVAGGNRLFSSVGLLATEMQPSIFVDPSPSVAINQEEIFGPVVVISGFLEENEVCSR KICSRVAGGGRHYKWNSVH ACHE_40871S MASQASRFDLPGFRLPLNFTLRRGYDSPKLFPNALDYNDLDGGA VGRSNTHRDLLMMQAVHSITEKPEWDRKAFDDEITSKWRDELLNCGKDITPKMVDWIV KELQWKAGIFRETGYTVAFDPGVVKADNTIPFEMQKELKNAVRPLEDVAEEEKDYHPG SDQKVVDLVHPSLFPVIYGRTRILPDHVIRLDDCLSAVGQGQLLPVPPKEQARLPSAF YDRSGYHRGHEGYSRKFQWLPCDIELTSDDGCRIASYINNLHPQKHRGLYQVIEKILA RTIPLWNATLNCVESDYKRIAYRDVEFEEHPEPEPRPTNEEEKNSDVFWDRHWEWEIS TPIKKPEPGEFKPPEIRPYSKVNLREQFSKTGLQVIVKLANIELTPEKPEYEGGSWHV EGQLNEHICATALYYYDSENITESTLSFRQRACEEDMNEVMYPQDQHAFLHHIFGFPP DVDSRNGTVITQDLGSVSTHEGRLLTFPNILQHRVSPFSLADKSKPGHRKILAFFLVD PNLRIISSANVLPQREDWWTERRVALEESLTVLPPELRNMVHGEMDVAPITMDEAKQY RLELMEERRAAAVEHNSDFENGNFNLCEH ACHE_40872A MAASYERFIWKQSKPGRWERDVDEVEQFYTSLGKAYAGSGRTYF AITAHISYTVVIEKNIDRLSTEQRIQEALKKAWLHLRYTHPTIASWVEYDPTAKECKK IYEGFHERNDQNSWLEDTFRIIADSKKYRTGQEFSNADPPVPKLPTLFLVQRPISSNN IQADLVLRAHHDIIDGIGTLHFWDRLFDYASQAYDEETCYQLPHFGDEWKNLSPPLRV AAAIPETLTSEQTARIERTKAVNSALREKVEIATVPLQSDATLPGKHQRIALKLDNEQ TRRLLGACKALGASVTHIYHAAIAVALRDAQKRSSQARSVRYINYSLINERDHCLPPY STPAHAVSTYHSVSGQSLAIDLGVPAASVPQRDQQQQKDEFRGIIQEIKNFYTEIRDD KEHIALAPAYWTLTALPYDPVPTPVPSPNPTPSASISSMGVIDKIIQPHRSVFELDDP WVTGEELGTGLGFFLGTFKGELSFSVAYNDVWHNLEEARGYLNRCQEIILECAGIAM ACHE_40873S MTQNDNDTREKEKGDHVSRHDEPEKRVMDKGLWQLNCGIIFMLA SSAANGYNMSLINGLLSLPEFTKNIAHAGDSVIGLISGGIALGGICSFIPASYMADKF GRKPNVGIGCIIMIIAAIVQTVRPYPWVLFGTRVMLGAGGGLMQSAAPSLVTEIAHPV HRGALTAMYQTAWYWGAILSAAVTLGMLHVSNSWSLKVPCLMQAILPIVQLFGLCLVP ESPRWHIAVGLSEEARATLIKYHANGDEQNEAVNAQFQGISKAIHGEMSEMSRSSWKS FFKTKGNLRRLTVCILIGIMTEWAGNGIISYYLAPILNSVGILSPQHQTAINLGLQVW NTLWAAAGALTCERFGRRRLWLISTSSMLLCLALAACLSALFEEHNLHSAGVGVVPML FLFFAAYDVAYTPLFIAYPVEIMSLSLRSKGLAVTLFTNAAAAFFNQYVNPIAFGQIG WRLYLVYLACLVVWFVMIYILFPETAGRMLEDIDAIFDGESANPEPSVYSESVQNSKV N ACHE_40874S MALLVDKLRPRSLDSLSYHHDLSDRLRSLAQSGDFPHLLVYGPS GAGKKTRIIATLKELYGPGVEKIKIDARVFQTTSNRKLEFNIVSSIYHLEITPSDVGN YDRVVVQELLKEVAQTQQVDLSAKQRFKVVVINEADHLTRDAQAALRRTMEKYSPNMR LILLANSTSNIIAPIRSRTLLVRVAAPTEEQICTVLKFAGKKEGWTEAAELNKRIARE SGRNLRRALLMFEAIYAQSEKVSDSTPIPPPDWEALISLTAEEILAERSPARLLQVRA RLYDLLTHCIPPTTIIKTLTFKLVTKVDDALKPDVIKWSAFYEHRIKQGTKVIFHLEA FVAKFMRIYESYLMGMDF ACHE_40875S MSNPQLLDIEPGGENTQYAISISTNFFRGLALNGSDNCRYESTH IFVDPPEKQTGQLGTGEKHTKSQMRTTFGSTENKDEDLKMARQLETGEPGGGLRGETD KDLKPENQAQEGNDSVAAKTRSQQRYGPGSGVGA ACHE_40876A MGLHTKMFGGPQLASLRPTGLTTPFTAIAFSRYFSTTSPALDWL TPKFAEKSKSPKGRPHVATGGSTRGTTVVWGDYGLRMKDHDRRVPASHLKIAEETIKR RLRGMDYNLYKRVSANIGVYTKGNEQRMGKGKGKFDYWTAKVPVSRVVFELSGKIHEK VAREAFRLAGHKLPGLWEFVRKGDPPVVGLTKLGNGVTLESLKRPRRNPPLGTEAETT GPKSVTSSPSSSQ ACHE_40877S MPTDIRSFFGKPSQGSDSSPAKPPAKREDSTATRKKRSRKVVDD SDDEDVVETKAPTPKAKAPTKPKPEPAKGEPTSTSDYFASSKKRGRSAKTSNESPTTT LTTNEPPASDRKTGSPQKAKESPVVEVSIKPANRASREATKKEKAIDDDNEGFGGDDI FATEYGKSGKGDDDYVQADSDNDSDLEELAVRPATAASGKTARGKKAPSAEEDDDVVM EDIPKSSSKASKSAAERPGRKRKSEALDEAEEDQDGAKKKKAPTPSTKKPKASPTKQA QPESKEIQDIFDSIPTIKPPSPPPKSDEKKKFNFAAQAQRSRTPPGGGSAELPEGAEN CLAGLSFVFTGVLEMIGREEGQNLVKKYGGKVTGAPSSKTSYVVLGSDAGPSKLATIQ KHKLKTINEEGLFELIRRLPANGGDGKAAEKHEAKRKADEEKIRAMAAEIDQEESRKK KAMAAAAPSPSSSQGPVVSQGVKVDDRLWTSKYAPTSINMICGNKAAVEKLQNWLRDW RKNAKTNFSRPGKDGSGKYRSVMIHGPPGIGKTTAAHLVAKLEGYDVMETNASDTRSK KLVENGLVGVLDTTSLQGYFSGAGKKVESEKKNMVLIMDEVDGMSAGDRGGVGAMAAV AKKTQIPLILICNERRLPKMKPFDHVTYEIPFRRPTADQIRARLSTICFREGLKIPPP VLDSLIEGTHADIRQVINMLSTVKLDQKNLDYDTGKEMSKAWEKHVILKPWDIVGKIL NAQMFSPSSKSTLNDKIELYFNDHEFSYLMLQENYLKTRPVLANNYHGKEQKLKMLEL ADNAASSISDGDLVDRMIHGTQQQWSLMPTHAAFSFVRPASFSYGNMMERPGFTSWLG QNSKQGKMSRYVKEIQGHMRLRSSGDRDEIRQQYMPLVWEKLVRRLQNEGKDSVEEVI DFMDSYFLTREDWDALLELGLGPMDESTVKLETQTKAAFTRVYNQRSHPLPFMKASNV VAPKKTPKEKPDIEDAIDESDEEEVVEEESKEQDEEEELDLKKDKYVSLPKKAAAGKG KKGKQKAADDDVEEKPKKGKARKPKAKA ACHE_40878S MSLLHNEDFAIWQLRTSYLSTIKDGIGDRLISVNNSVLNTPGFR AAGWSSANPYTQTAAAHIKRTYSPPIPTTTAVSGDYYRFGGNKELADGGLGEEGEDEE GGMVTGKSSAEVTGRRHHGRHGKKPRRRERQQQDQRIAEEDDSSDLSDDSDDDGESSR RTSQPISFSKVPIRTRAGSSPIRSHDRNERPELMVTSPSHPNATSHYRTGSLGTAVSV KNERPRRDTTTSSDLSSDNEMESSALKGQQIRFSSRNRIIEPPEQEHRMSNRDTMTAE DLNEEAENSGAESVGSGLSSDFDATAGSASLLEDVDITGSLGSSSPIALMHKLQNTSG SQTASPRKPKTPAPELQDLPPPRPISQVKPVSLLTQNLLARKRAPSNPVEMFATLAGK GLTDALNIKIYVPFASDPDEPLDMPLARESKISEQPAPVIVAEAIGLALWQYGSEGRQ PAIERSKLSVNRWTLRMVEDGEVEYDFPPLGRMSPITDFTSNNNNRAGGARGRSRGKQ YDEFALVEASQTDFEENERLYPKFSQVMSAEESNEPAAALAVPPTQQPAQFKAPPARS NPILGQPFSSALNDSTLTPADRPAVPVSHATPRMGVAKTIKVRFINLEASTHVMTLNT TTDSYIAELLDSVCKRWGLDKGNYLLKVMGSNTVAPLDRTVEALGNITELDLVRRRFG AGPLTLTGSPHSSSPNAPLLIDNAGNPKKSKKATQRMLHPLTQKPDLVGGYYRRYHVF RKQSMSFTASNHRTLTFDNDYMHIMPPDTGKTVSDAKTRSISFNDVVGCKVSRRHPKS FRVVVLRGNDATEQKRYDFEARNALEAVEIVDEIKKNMAHYRI ACHE_40879S MPNLGGLLKKRRTKDSHTLSKEVQESGAPMQATNNQSHHLSPAT QQPQQSHGTSIQNLANFAQPDANEIAQPQAAQHRSQQEHQYNLHAAGGHQQQQQLHQP QAQQNNQQHAHQQQQQGRSDRVTKNKYSLEDFSLQRTLGTGSFGRVHLVQSTHNHRFY AMKVLKKAQVVKMKQIEHTNDERKMLSRARHPFLITLWGTWQDSRNLYMVMDFVEGGE LFSLLRKSQRFPNPVAKFYAAEVTLALDYLHSQQIIYRDLKPENLLLDRHGHLKITDF GFAKEVPDITWTLCGTPDYLAPEVVSSKGYNKSVDWWSLGILIFEMLCGFTPFWDSGS PVKIYENILRGKIKYPPYLHPDAVDLLSQLVTADLTKRLGNLHGGSEDVKRHPWFAEV TWDRLARKDIDAPYVPPIRGGQGDASQYDRYPEETESYGLPGDDQHGHLFPDF ACHE_40880A MAIFGSSSGSSGNVDEIKSTIMKQLQQEAAVNNARQLIGKVNEH CFDKCIPAPGSTLSSSEEGCLSTCMEKYISLWNVASKSYIARVGKESKKYGSQETIAM NSLATGGD ACHE_40881S MLLPRLSSLLGLVGLATVPLANAYDDSNIKSIPLRTHSLSPPYL DSDFQSRWFDFGGDTIVRADQYIRLTSDRPSQQGWAFSRVPLTATNWEIELEFKIHGE GNLHGDGFALWLTKERAKQGPVFGSTDNFEGLGIFFDTYKNNRPGTSFPFVMAMMGDG KTSYDQAHDGKANQLAGCSARGLRGASIPTKARLTYFHDRSLTLELQYKSEDSWTNCF ELNAEETNIAIPSVAYLGLSAETGELSDNHDIISLKTQNLYSVGGGPAGPVRGGSSSA AKDRGTVKTSKNKESGGWGWFLFKAVMFVFALVGGYFGWTAYRAKQRYNRF ACHE_40882A MDHAQTKEVSPAATTDTHSQTHDHTDRDDYTRARKTKFRFKSSS SKSRSSRNDTDTHRHRHRKRTHHDRSHRSKRHKHTTTTPPPDDNDNPRGLSPNTAFRE SLFDALGDDEGAAYWESVYGQPIHNYAVPDLPKGPNGELESMTEDEYAAYVRTRMWER TREGMVEMQEQLQRERREKAKRERERERDVEGERERRAFERAMEESLARGRERKKGRV WRGVGEEYLQSWKRVDSLREGKHEITGGGKDLGELVFWPVESGKRRDVSREAVEEFMK FALVPASAASTEKDGDAFISMLKAERVRWHPDKIQHRYGALGIDEDIMRSVTEVFQII DHMWNELKERA ACHE_40883S MDTSLGPYRFKYADDGLAIYKLDDLVEQYFFCDEHFWFQVLRVG NKTFKFRVSTHTKEEQRVKIWKTFRGFPRKRRLLSDYIDIQKGTAGQFRLIWLSVAEL LQAMNALEKRDRKDDKDEKDEEPYQMLKKAMETARIWKQKEEMRDQCCCMNISWWGCS CYCPDCSGVDCGGC ACHE_40884A MKAAIITALAVMASSAAAAPAGSLPIGEVTKALNLGPSSASPSA SSSTTPVASASSIPSSSVSGGRIVQDVSKPVNQILSVTGPNAKQLLIELSPEVAGLLS GLGLGALGGPVGSIVASAANVGDLVKDLGPKVEGLLTVVDKDLGALLIQLSPEVAGLV SGLGLPNVGVPVGSVVSTLGENLKRSNGKLVEDLAPQVQDVLEVTGPNAERLLVQLSP SVASLLTGLGLPSVGTPVGEIVKKAGSVGELVKDLGAPVNNLLTVVGQDGGALLIQLS PSVASLLTGLGLPGVGSSVGAVVATLGKNL ACHE_40885S MALVNYSDSEASDSEQENVTQPQQKKAAPVPALAPANNAPKQPS GANFSSIVDRSNPRKIRVALPEIKPDTAEGDQGGEDGPARKKPRIGGGGGAFLGFNAM LPAPKRKTVTADKDKKPAGPARKVFSLKTGATPGFDRSADAELRHNQALEGDEEDIPK AGSLQAEDPVEAGGASETKPPQKPEGEVKLKGNAMMFKPLSVGRNQKKKLPKTPAPSL PAAVEPKPAPQPKQQQQSPAQQTPPPPAPKPKVSLFSISSEDSSATAPLSGPAQSTSY QPLVYNTTPDAPVAGPSLESEATTTPAASAAATQQPQTLDNIANDLNLSRAQRRHLFG RHADTSNARVLNFNTDAEYVANQEMAQTTDLAAAQHNPVRAIAPGKHTLQQLVNAASN QREALEESFATGRRNKKEAGSKYGW ACHE_40886A MDGRPAYERTLNESRLFQQLPNEVVELIRAASGTQYLNALAVGA LKPQCTEGFFALYEPIFVDIAARWLQSDIPVNQVDVLSAFARILPFAPYLRSFASQYT SSRAGPLSKELKLLQLDDTTIRTLLLSVFRLLSFDLEVFSNAVSPSQIQTLFCRSDRS IRYLAVRCFALYMHAADAATEKMVQKHVGNEPIEGEWEGIVIDYRCLGLWEERRWEML EKQVQYARSTRPDAECLPQAEALREFFTTRTGEVSGILIPKLNEAPVPPSAIVRTPTA VGNLRKIARALLDPQPLLLVGLPNSGKTSLINDIAATMGQSESMVTLHLNEQTDAKSL LGMYSTSPATGSFAWQPGVLTKAAREGRWILIEDLNRAPSEVIGLILPIIEKGELTIA SRKERIKCADGFKIIATMKSSYNIAGEEVAPATTILGSRLWQRVQVDSLSIDEIRDVI MQKFPLLESRVPVIMDAYQRLCSAFHGNLAVRSSQGRTPGFRDLIKLCSRLHRRLERL GAKTGYEPTPEGTEDEIFLDTVDIFLRYIPEKSMESSLAAVVAEALQMSPQRAGFALN ERTPAYSDQGSNLILGRENCRKMKVPSTSASKLAAASSRFASTRSALKLMEQVAASAQ MAEPTLLVGETGIGKTTVVQQLATLMRQKLTVVNLSQQSESSDLLGGFKPVNIRTMAV PMLDEFTTLFELTFSAKKNQKFLSSVTKSVANGNWARLVNLWHEAVRLAEAVFNVNRA PGGDEQPSKKRKLDSPKYQLLRQRWERFTTQLGDFEAQVSQGDAKFAFAFVQGKIVRA LRNGEWVLLDEVNLASPDTLENIASLLHHGSEGSPSVLLSEAGEVERVFGHPDFRIFG AMNPATDAGKRDLPPGLRSRFTEFYVHSPDNDLDDLFALIQKYLGDLTISDSRAVPDL AQLYMETKKLSTDNKLTDGAGQRPHFSIRTLVRALIYVVDHAHIYGLRRAVFEGFSMS FLTVLSQDSERLLMPLLEKYIFSNTRNARALLGQTPRPPNDGNHYVQFKHYWMRQGHL KPEQQPHYIITPFIEKNLKNLVRASSTRRFPVLLQGPTSAGKTSMIEYLAKVSGNKFV RINNHEHTDLQEYLGSYVSGEDGTLRYQEGILVEALRHGYWIVLDELNLAPSDVLEAM NRLLDDNRELFIPETQEVVHPHPNFMLFATQNPAGLYGGRKVLSRAFRNRFLELHFDD IPENELEFILKERSQIAPSFCARIVAVYRKLSLLRQTNRLFEQKNSFATLRDLFRWAL RPADDRDQLAVNGFMLLAERVRNPQERAAVKGVIEEVMRVKIDEDIIYSSRYLEKCAQ GVTQLAPGIVWTKAMRRLFVLVSKAIQNNEPVLLVGETGCGKTQLCQAVAEVYGKELS IVNAHVNLETGDLIGAQRPVRNRSAIEKQLFSDLRAVLGERASKSASLDELKHGFAAL SAEQLTTYDPEVLRRVQHNIARSNALFEWTDGSLISAMKSGQFFLLDEISLADDSVLE RLNSVLEPQRSILLAEKGPIDSMVVADGGFQFLSTMNPGGDYGKRELSAALRNRMTEI WAPQLSEDEDILPILQKKLNLGREEVSMAMLHFAKWFKSTFQSSSTTSLSIRDLLAWV DFVNKCQTSDLLFAIVQGAAMVFIDTLGANPAAMLAMSLHDLEGNRQRCLDKLQDLFA VDASGIYWQKSTVTGKDGYLHIGPFDLLVNGDATPDPDFIMDAPTTIANSVRIARGLQ SSKPILLEGSPGVGKTTLVAALAKALGKPLTRINLSEQTDLTDLFGSDVPVEGGDVGQ FTWRDAPFLQAMQRGDWVLLDEMNLASQSVLEGLNSCLDHRQLVYVAELDQTFKRHPN FVLFAAQNPHHQGGGRKGLPASFVNRFTVVYADSFTDTDLKRICARLFPGSPQVQTDR LVDFISLLNITITQERRLGAVGGPWEVNLRDIQRWLQLADRGDLQIPTNNFLDVIIAQ RFRSPEDREMVSKLYKRIFTDAPTNAKSYYHNLTPEYMQVGLGTMRRDPLVQRTPEPQ MKVLPTDLSILESLMLCIEQSWPSILVGSTGCGKTTLIRKVAAVNGAQLVELALSADT DTMDLIGGFEQIDHNREVSSLMQEIARFIQQNTISAYSSDGISDSFLPFLELHEMIQS SDVSLEALCSTLSTLLKSYQDPTLQQFLSRAQGLFNLSRNSDKMKVGFEWTEGVLTQA VQNGHWVVLDNANLCNPSVLDRLNSLTEPNGTLILNEQRTEDGSARIITPHPNFRLFL TMDPRHGELSRAMRNRCVEICFLPQETDDYRSTLGPAYTCESFLYRLRTAWNFDSQPG DEKLAGKSYELRLDHLSARDLAYLQRSEGSLPWNSEPFTSILRRYLSLVHDNAAWKPL DQLKAQVVLGGAPLAIQGESQPLHPLVNEPLALISGSKAPLPWLTLLARLQECKLDLY YLKQGLQLADESGKLLKPSEMTPLERSLASRRIPSLMKDATQPVGFFLSDCGQALYDF IQRLDHQVFQVPTVASVLRAVVDFCADIFKVSEVKQVDEGEFQIYLQIGRELYAALFN SSPFFQPLAVSLSQYLSRFQAGWALTTGLSMQKIWDAWRPATPATQSQLDLVMQLEAV AHEFGKLATKTRLELSQLSHVRNSLIDAQRAVLIDGADGELLVESLKQTVTELTSAVR HTDSSQYPYFAGDFEALCQYHDVSLDKKEADTVVGSIMPLLAGRPARPVDASNLQSEI PGILHKLAQLSGSGDSTTLAPAIAGTASLSLLEKAVFVDTTSLGQMEFLEAEKQALSK SVALTSNEIAMDQVKVLRQALAKIALESVSLHRELFEPQSLEYILTVLRTIEKQGSYN DWQPSNCRLEKSLQDDHYFKAFAERALPGLVSTLVAGAQNQEDNIRNAGVATIELATI LLRLYVPDKPFDPSLGLVVQRKRHAQRVSELTTKSKAISSFEVGFTGQSSNMRYEILQ GELRDLGAAPPPSSVIRPQNSSISLLQGEFSNLITSVLDRRPETMVTNGDFMKTQEVK LLRDNVQQLSRRLSTHYRSYDDIATPIVRLLQVLDLGMFLASSQSGEVQILKAVSEIT PFLGGVIHPESTLDGARPSPSSNRVLDIRFHELSSLGVAANADSTVLQGQKGRDALRR IFEQFHFLWKTKLREDQEEQAQKTNLYRYRGSWEDEEQVDESELREMFPTYDSDAVEE GKEPNRFDPKAISDRLATLHAKLFEPNDTERTLSTFVKESARLLGTLWSESKTAISPI HPKEHLPGVLLLLDDMTSGEPQKYNFYTDSNLTEARKLIALTVSVQSRFIQLQTAWPD HAVLQDVIMYCKEIFQFKHSEPVAKFLTKVEKLHGLVHEWQLVASREYSAAPLYDELT STIINWRRLELSTWAKLLDIEKEKCDKDVSSWWFVAYEAIIAAPLQMAESGEADMGGH IQEVIATLEQFFLSTTLGQYSERLRLVDNFRSLLLLYVQDYPSLGQLVSGLQNFIEHY KPFEPLVNKLLVEKRAGLEKDIKEQIQLASWKDTNIIALRESAKRSHHKLFKLVRKFR EVLALPVQSTLEQGMSEYDEEPNVTPARTDSLPTAVIPEALDMCQKDSALWLNRAPRF KNTDTTVNNMTQLYSSMPSEFDISEDIGSFVGAVIGGINDFRSQTPKMLTEDNKEDVQ HLKVQKRRFFADTLRQILEMGIKRNASTSLLETQASSAQVLATIATLDVSPMSSHLIQ NANSYFHRFLDLLPRTRQASRQYSEELSNVEASRSMGSIEHLLFTIRKQRGVISPALS NLSSFKSTIAKMSNLWQSGLTSLSTSPRSPVEGQDLLKIAAWLTPILGMAAEIVDVHS RFSGIDAAVISKGLRTWKATSDHLHKSLVNLPELPSGVSSQQHQAVVEESWSSLNQLT TDLAKWTSERPDLSFALDQIAPWIKTKTDSTMQQNGTVALTVQDFDASLLTAVDKILV GLQKLKEVPTALTSEGTLARSDEFFSRTIKAVRLSEVSSSLESVLDKLHSGADIPYAS ALIASVLPITNKYYLICEDLIDRFISVHRETCKMSYVLAKSFTTIASEGFCQPAEAST GEESKSGQLESGTGLGDGEGAEDISKDVGDDEDLSELAQQQNEEAKENQEDSGDAVNM DQEEMQGESGEHKEGEEDEEKDEDGEEGEDDIDEEVGSVNDLDTDALDEKMWDGEHDE QQKETETQEGKGATESDEQTAADKEQEKKDQEQGEKSKEGEEEEDEEDEEEEAPDDEG EAVGREDMDVTDPHAKEEEALDLPEEMQLDGEDQGGEDEGEDDDGMDELSDVGAAPEE EQTEQQAENAEDAADMDQTAEEQGEGEGENEEEKPEDAEANEAEGDDEANAPGEDEEQ PEETDFLAQPNENEAAGENVAPSEAVNGGIGADQDQNQEKGASGDAQQEDGAADPANT EQQTGAAQDGEDSKRSRDAGGADNDTKSEKPEMQAFKKMGDILEQWHRRQKEIMESSK QEDEAEQKLPEDTDMADADFEHLADQDDVADTQALGQASEEQAKALDQNKGVESDVKP TEETLPDVSNDQQEDLPENKLDDEMQVDNDAAPTEREGAGAMIPGNAQTQERTTESLG QQDLNEELDEVDSHLAAIHLSSTLPPLTPRDEAQRLWSHYESATNDLSLSLTEQLRLI LAPTLATKLRGDFRTGKRLNIKRIIPYIASQYKRDKIWMRRSIPSKRNYQIMLAVDDS KSMLESGSGQLAFETLALVAKSLSMLEAGDLCVLGFGSEDHVRVAHEFGKPFSSSAGT EVFQHFSYQQTGTNVRKLIADSIALFREARWKQSPGSGSTDLWQLELVISDGICEDHD TIRRLVRQAQEERIMVVFIIVDAVKGSSILDLSQASFEPDVESGTGEMKLKMKRYLEG FPFPYYLVVRDVRELPAVLATALKQWFAEVVDVSS ACHE_40887S MSSSQSGLANYSRPQSAETFNSDYDNLSGLPQDGGNGPLSERAH EPISFKRKHKKRRSKFSLSSLFSNDASESTPGGSAFGRNGQPSEEISHAQTSAEGNLN THKEGAPMDWYVEGPGRRVGYDDLTAIDWIFEYTKERQRKRLLYSGGQGVVGHLRRLL DIGNVWIVLIATGVAVGIIAAFIDVASDWLADIKTGFCKNGPGGGKFYLNRTFCCWGH DDMSKCLDWTPWSKALNINSKGGGFTIEYMFYIFYSIIFAICATVLVRCYAIYARHSG IPEIKTVLGGFVMKQFMGPWTLAIKSLGLCLSVASGLWLGKEGPLVHVACCCANIIMR PFENLNNNEARKREVLSAAAAAGISVAFGAPIGGVLFSLEQLSYYFPDKTMWQSFVCA MVAAVTLQALNPFRTGDIVLYQVTYTRGWHRFEVIPFIILGIVGGLYGAFLIRLNLKI AKWRRSRSTARPVLEVVIVTLLTALINFPNLFMRAQNSELVHSLFAECGTDTEDPFGL CAPGAVSMATIAFLLMAAALGFFLATMTFGLDVPAGIILPSFAIGSLYGRALGTAFKM WQESYPDFFLFGNCEPDIPCVTPGIYAIIGAAAALGGATRMTISIVVIMFELTGALTY VIPIMIAVMLSKWCGDIFGKRGIYESWIHLNEYPFLDHRDDTTPPDVPAHKVMTIVDD ITVIVAMGHTIDTLRNVLATTQYRGFPVVTDTSNPILLGYISRNELSFALKHSTKSNR SLTGETQVFFAHQPFADPAETLDLRPWMDQTPITLNSHMTFLIVLQMFQRLGLRYVLF SNKGVLQGLLTKKDVWAVLNGAESHKDKDYAHVQPTSAEEVGLLGSDDGTSLASSLER QPSL ACHE_40888A MGVPRAEAQIMAAQVMRGMSPMVLDGGHPSLLKEQICTPGGRTA GGLLVLEENGVRGSIARSVREAAVIASQLGQGIKNVNGTRH ACHE_40889A MAVSNDVALAFLGCGNLGIAILPGVLASITEARDNASYAASGDI PQSIPTKFIVCVRKSAQRIQDAVNKYPSILVKIFQNDNISGVSEADAVILGCKP ACHE_40890S MMADPFEVRMRFTAQLQHLSASITSSQKAAHYALKYRDLDEDLH SCILEQLERNNMNNRANIMYFIEHFCEMATKENHLPYVRMMQRDILRVVDSVVPPDGS GAANVKHVRRVLSGLQTKQILSVESVAEIDAALKERDTLDLEHEEGPEGSGKPKTGTP RSSRANGMRVDKRQIEQRIEEDRERNKRLRESMWTVSGDDGEEHGRFWDELSDVGEDD FVNANEEHMERKQMAAAR ACHE_40891S MFSHLVTAAKGLLTRHNADEANPAKPTATTDNEPKMVTTRRGNK TAVEPEINGTPVANGKINTGKKNGQSNKRRRSETEVSEDAQDESEVERSESKQEQAAP EKKGHIKFGSEEPELLEEIPIEEAPVGNQEDDEESSDDDAPEAIDNSAQLSKIKLEAQ KQEKLRQREEQLKKQRRKQLDEQRKAQVKLAAKKKEADDVQSESSGTLQGSTTQDTRR PALPALLPDEILNAAPATRPPTPPIDDFEPAQKKPNKLKFLDKKEKRPKDVRMGDTSI RVLDEGPSRKKAKTTLAPKASKTGRNVKDTWLNKSRSTASVNGLRRTAGGSSGFLRR ACHE_40892S MSSKAETTPLLPQYHQSEPSNTSPRGNRPPRTVTFNPLATISTY GDAAPSNPALQPLHSASPPVHGGQPRTTGLSALNSKLRRRNSQGAAPFNAPSSPSSAS KIGPQRTTKKAQKLKLLPDPETAAEEEVVEGDFPRDVYSQITRIKEPTARSHAARLGK ADRDRLPRVTAYCTANSYRLDGVIRFLKSRSNTRGANPKLYDECVYSPYDYEHEDKKK GTRIFGDNGFNTEGNRPSGERRYSDSAVEVPDNNIRRGDLIDLQGPDSHHDGDHTAGA VESTGRTDEIQDFDTTIHTPEVFLFDYGTVVLWGMSPAHESRFLSDISKFATSILSPE DTQIENFNFYYAREYQARIYNDFISLRDPRNHMIKLAISHALSQSVKTSLFEDIVSET ISSTAPYPAQIAQTGSVNLTRRQINMQIGELFILRINIHLQGSVLDSPELMWAEPQLE PVYQAVRSYLEMDQRVSLLTERLDVIADLLAVLKDQLTHRHGEYLEWIVIVLIAAEIL VAAINIVVDLYAGVD ACHE_40893A MEAPTKPPSSSLPSTNPPSVEVAYKRKCIALKKRLNEIETENEL MRVRNRRGWKYIQKMRLESCILLDRLAKVTGMAEEAQAAGGVTPELRAKAAAMMSNAP TVEDGSGAPAPGAVAGNGAPYLDDETDGSSEEAPPTPQDRPLRTKRRNRLATCKEDEG WDENGMGPGHPTVWRSPKWRSPMPPAPKQEELTSTFRIQTGSNGGPASSRRPSTQDIP KNGNGNGTADVPAAPADAPTIPMDMDTDTKEPKVEGQG ACHE_40894A MCSQYESIHLGPFSYLVNPNDPQSLYWENVVQESGTARVCALHI DVYNFVAAIGNAVAFDPLGNTIAEISASADMDETPLLYASANTSSFNARCMMLMGMLL ERLSRRLWMLIRGIFPRLRGFGPA ACHE_40895A MFFSKVVVAATSSAGLVAAVSNSTATATSRNITVSMVRSAPPSW PSPLLNYDWTDSVLNICETVEAGISLIKKAAQDGARIITFLNCSFQGEMSYQHPDYFT S ACHE_40896A MQTTLPPYAQNLREGWSKLVAKEGTGGLYKGLYPLWARQIPYTM TKFATFEETVNQIYRSLGKDKDSCSGLQQTGISFAGGYIAGIFCAIVSHPADVMVSKL NADRKSGESAMTAVSRIYGNIGFAGLWNGLTVRILMLGTLTGFQWLIYDSFKVFLGLP TTGGH ACHE_40897A MPLSAFNISISRSMTSEELPEAESYDSAVSRNEGLAQIFRSQVL QRPSSVAVIDGEITLTYEELHSRATVLARELRYGEFMLGEPVGIIARHGFADVVTQIA ILYAGGSCVPMDPTLPDRQIQARLQKLQTRYVLVDKANAKRSLPFQQLVIDDWSTLPD KTLSVSDTDLPVKADLEHRTHIIHTSGTTSEPKAVQIAARSILQVVFHAPFEPVLPTD TVAHVNNSSFDVSLFDIWAPLLRGASIAVLKKNVLLDLPVMAAHIDRFNITVMATTTA LLNLAASTYPKAFSKLRICFIGGEAANATAIKTILVEGPPANLINAYGPTECCVFCLA HRVTIEDAQSGNISIGKPIGRGLAYICDESGELVPDGEEGELLIGGAGVSPGYINQPE KNAASFISNKRGRLYRTGDIVRRRVTDGQIDYVGRRDHQVKIRGFRIELEAVEAAMLK TGQFSQAVALKVDAVPGEAAGSVLIAFAVLAPGSKREAVLNALDSLKAVLPDYMVPRI EILPQIPLDNHAKADRKHLTQLYRDRWAEQQTVGLNGSDEKSEDTYQRLARLWSYILG LPVSAKDHDADFFLLGATSLQASLLISQIRRAFDAEVSLLTLYDNSSLAKLSAIIEES QGGTTRAVFYEQDVWLDDSKMADGLGPLPGPVVDWKHETEGRVFLTGATGFVGAFFLA DLLHLRDVHQVGCLVRAADPTTGLKRLQRALAKYNLWEDWFVDKLLPICGTLEDQHLG LGSPERFEEFANWTSVIFHLGARVNYTQPYSLHRPANVVGTVNILRLACTGRTKALHY VSSISCFGPTGYINGFQHVTEDESLLKHLDALPYDHGYAQSQWVVENMLQRLIKLNYP IAVYRPGFITGHTQTGACNPDDFFSRLIHACAEIGCYPLLPNQRKEFVPVDYVNTVIL HIASLPADSALGHAYHIVPPNRAASIDMNDSMELASSSNSDNTGAKGVPYREWIEILM EKSPERLRPLQPMLTEKVHQGLTRWELYENMPLYETSNTNKALESYPGELQFPILDGE LMQKYLSYLQS ACHE_40898S MSTAKIITVIGATGNQGGSVARSLLQNAEFKVRAVTRNPNSEAS QKLATLGAEVVRADGFNSDEILAAFQGSWGAFVNLNSDDKSLTIPDGPNEFDLGKSII DAAAAAGVQHLVFSSGPNCTELTNGKVNMKAMNSKYQIEQYAKQLGAFQSVVPIGAGW FLENFLAKEVAPIFGGFPHFPDSEGILTFRTPNWGGEEKVPWLSVTEDFGDIIHGIFL DPKKWNNRFVHGVSDPGSFQYLVESFEAATGHKSRFEPVLPTWEAFDTHNIPELEDVK LMFGFAQETGGRYFSEPTEKETARELKRATAVALGRPAEQQELVTVKEWFAARFALV ACHE_40899A MATPSPSHEQHTLSDLANVIASNATVVDEELGSKGLPPLDDETF PSSFPLLSPEGSRARFELLSAALTLFRLASGPSETLDHVTMQGFELGTIATLLRLCIP EHIPLGSAVSLQTLSQLTSINLDLLTRLIRYAISIGFLSEPEPGLIRHNALSAATARD HNMRDSALWNVIVGAPGAIKMYESLQLDPTGRDNTKTGLSVAMEERGETRGTMWDYHA LHPEDEVRFNNAMASTETVSIHACEHVVRGFDWSKVRTVVDVGGNEGHLCMTIINTYP HITATIQDQARVVATSAPLLPPRYNNSITFKEHDFFHPQTTIADVYILRFILHDWPDD KARDIIRNLLPALKPGARILIVDHVIPSVGAGAQKVNRYMEQIVRQMDVTMFGLLAGR ERSEEDFKRLFGEVESRLEYRGCTTPDGSSLSILEFQFTG ACHE_40900A MVYGGKPSTGCHLCRKRKIKCDEGQPGCRNCSVYGKPCPGYRSD TIFRNETHKVEKLVRKNSSSPPSDIQPQTGGQMITWKIPETSQSVAAARTGTGTDTGS QQEPSLSLYKVADSTWEERAICYFFDQYTTYDGTNSGLTIGISHLGFLPSLYTFCREQ ESQEGSASFCLREAVDATAFMALGNESKVPSLTVKARSNYGKALRGLRQALESRTQAV QDESLATLALLSLFEDIAGERNGLSSSHRAGFELLMKLRGASQFGYQRGRDLFNFAYT HTHSEILALGEKPRFSTDWIVEGLDTSDPVHRLISAASKVSQIFMEATSAQAAPENVD AVQLFTWIDTGTALDSELSEWSQTLPNEWLPLVVHGPTGEPLITYQILFLSVVWNFYR AIRIVLQRLMLELRRIRALFLGPFPDDAAVLEVIQDMIADVCRSIPFSLGDIDTLGNP PTPLEGGKPRIRAFHGYSLLWPLWYVLACGLATPEQTQLIRDALMRVGFALGIKMALK LAEFTPGDLGFQFETPLESFL ACHE_40901A MPLHLYSLPNEVFVQILTPFPTRELLPLATVSHRFHALVLRILH YRLLVAASLKEYKLILECFHPVSKLTEPHVFCNYLGTNGLSDKHEGEGSLYENVETAQ KLGRLTSLYSRFRPEITVEEKMDGSQLVPSDSGLDPDDLTVKRAVHIEDAEDFSQLCV VVSLVKVVPGSNRLLSANTMEDGVIRLFRRWLREQAQKQDSGILWVDQGENVGLKMRV REKRRPGQAAPVLLHRDEAPVSYDVDIEELHIRTTRVLLTLEQALQEQQNYRQAVVFT QSLSLG ACHE_40902S MVENVLDDISHRRYNPLRGSYILVSPHRTKRPWQGQQESPSKNT LPNYDPSCYLCPGNKRAQGDSNPKYESTFIFVNDYSAVKEEQAPYNPDNADDLESMFL RAEPVTGKCYVLTFSAAHNLTLADLSPAEIAPVIDAWTELYTSHLSPKSALAAVAPAT TLPPNSPTANLTKPKEQYRYMQIFENKGAAMGCSNPHPHGQVWTTSSLPEEPAMELEQ LQKYRRERGGKHMLEDYAALESKKQERVVFENEAFLVVCPWWATWPFETMIVSKKHKR ALVDLDAGEKGQLAEAIAEITRRYDNLFETSFPYSMGIHQAPLDGTEEEVEASYLHLH FYPPLLRSATVRKFLVGYEMMGEPQRDITPEQAAARLRDCGGELYRRKLDG ACHE_40903A MADLLSYLPPFEGLLPKWLFLVSVISTANSLQAYRSPSYAAQLY NAKTPSGQSHTNPLASRTFGTWTFLSSIVRGYAAYNITTPVAYDLAAWSFGIALMHFV GEWLGFGSAEFRGRFVAPLIVASSSLVWMLTQRERYLAL ACHE_40904S MEFLSKYSDCLSNWQFHVTPGPQAVAALGLLSTGAFYIVWKALT FIRVILSLFVLPGKPLRSFGPQGSWAVVTGASDGLGKEFALQLARSGFNILLVSRTAS KLAILEDEIKAKNPSVQTKSVSMDYAQNNDADYANLKAVIDELDVAVLINNVGKSHSI PVPFALTPEDEMNDIITINCTGTLRTTQLVVPGMAQRKRGLILTMGSFGGLLPTPLLA TYSGSKAFLQQWSTALGAELEQHGVTVELVQAYLITSAMSKVRKTSATIPDPRAFVKS VLGKIGRNGGSPGYAYSSSPYWSHGLMAWFLTCVAGVMNKTVLGQNKGMHESIRTRAL RKAEREKGKKAT ACHE_40905S MGVPKFLSKLQPPAQDNSQSSTPARSDSTAEKDTGIIDDSPVKY LTWRSFMLGICVSMGGFIFGYSTGQISGFTTMDDFKQRFGEDMGNGEIGFSNVRNGLI VGLLSIGTMVGALVAAPIADRLGRKYSISFWALIHMVGIIVQIATDTKWYQVAVGRLV AGLGVGALSSIVPMYQSESAPRQVRGAMVSAFQLFVAFGIFISYIVNYGTHTIASTAS WRITMGIGFAWPLILGIGTLFLPESPRFAYGRGRFEEARKVMCKLYGVPSNHRCIAQE MQDMKDKFEEEQRAGKAAWHEIFTGPRMLHRTILGIVLQSLQQLTGANFIFYFGNSIF GTIGLDSYITQIILGAVNFGMTFPGLYIVEHMGRRNSLILGGAWMFICFMIWASVGHF QLGVSDSAGNVMIAFTCLFIVGYATTWGPIVWAICGELYPARYRAVCIGIATASNWTW NFLISFFTPFISGAIDYAYGYVFAGCCFVGIFVVYFFVNETQGRTLEEVDTMYVLHVK PWKSASWVPPEGIVQDLHPHGDENEKKGEEQQEEAEPIEIRE ACHE_40906A MASILRQIVAGPRLQHPEAGLDLCYVTDDIIATSGPSLNFPKRA YRNPLDALVNFLDSKHGSEWCIWEFRAEGTGYPDSEVYGRIHHFPWPDHHPPPFALIP AMMASMRNWVQRLDDSGSKDTDENGKPSGKGKRVAVVHCKAGKGRSGTVACSYLISEQ GWKLEDALQRFTERRMRSGFGAGVSIPSQLRWVGYVDRWAKSMNKKYVERPVEILEIH VWGLRDGVKVAIEGFVEEGKQIKCYHLFHRSEKTVVDDGKTLYGGKNGDGNGKKKDDN QEPLSAVSATSPASLFAPSTESTVTSQSSSSTPTKRINAILLRPSKPVILPSSDVNID FERRSKAAYTGWAMVTSIAHIWFNAYFEGGDQHTSNVFEADWEALDGIKGTTKKGVRA LDRLKVVWRYPPPSELGLQKDTKGGDVIAPVALGQTVTEPKPGEPIPETEPADWRGQH RDPSDGPLREHEQEMDKENLVPRERIAEATDHQHERGSKGLTVQTEHPWLTGASTAAA TAASATLHSFHGLEKELGLRKQTDESQDVSLANSEDELGPGKTRNHKAHVGAAPGAAP SVSVEDSINVNANKGNGDLEGVQAHSGNKADKE ACHE_40907A MQLTTILTTALLSSVSLAGTFKTLSKSKPNPALTWHVANFNTHC TAGDCTYNFHIAGQGTQNTPGFNTTCTGTTGKKEYQPCGDEQISAIVDPAKSSQWDVE VQHTWIKGEAQFWAVGSANATAPSKRFNITVDEQYGVA ACHE_40908A MPQRKLILDGLWYCLCPSFSLATLRRPGVSLIKGKRDLPSGRLP TRTPLPRRCYSSSKPAGADNGSTGKETAPDNASTTDLPTEQRKTQPGPSKTAHPDHFI GVPENLAGQSTANLESKLKESSPRGPKIHSTMQILHTLVRMRHVRPGVRHYRALILAN ADPERGSPDVLRGLLTEMEQNGIPADSGTLHAALQVLAVHPDYLLRQEILRTLRDRWL PLSPTGWHWVVTGLLRENQFEMALDHIAHMERKDIVVQDWLHGLLIYNLCEFEEFDEV ARLMQSRVNQGHDMSLELWRYVLDVASTAQHEETTRFVWTQMVQLGYLQPSREICAKV LTMASRAGDADLAASVVGFLAESGVKLEQKDYENLVETHTTAGSLISAFEVMCSMRKA GMALAENTTRSVRMYMIQTKIRPRDAWGMLKRLKASKHDIPPECARVVIELCEHEAQD DPFAVDEGVDLYKDLYTLCPGGADVTIYNTLVSMCRHAQNREAAMFVVKEMASLGVVP NATTFESLIFMCLDAGNYESAYLYFQDMIERGFTPDEEAKTEIRDQCTGSSDKFAVQL KYHPSVRGDLVRTKEPGDTLVPKDRIKKPSSPLARYVQAPKESWIIPNLSKDERRAVT KARRKAKRRRMAIARGKAEEGWMEHEAGGLEPEVKV ACHE_40909A MLPLGILTAAQGHPMLVELKNGETLNGHLANCDNWMNLVLKEVV QTSPEGDRFFKLPEVYVRGNNIKYLRIPEEIIDIVKEQQQARDSGRGRGGYRGDGRDR GRGGRGRGRGRGRGGS ACHE_40910A MSGQDDWSCDANDAVHITIVQPGQEKPKTLSGFHPQFTYPIFGD EEQIFGYKGLIIRLRFTAHDLRPHVHISYDEKFKAVEDAEPLNLLETIKPWVPEEAFI NLPEYEKAVQNDESGKDFVPPGKRVHSYVTRGRTYEIWAGSLADPEVRRLLDRAQIFV SLFIEAGTPLETDDPEWTLERWMVYFVYEKVTPPTPTASQYSIVGYATTYRWWHYKRD QSEAPAVSTDPYPPKEVRPAELPSRLRIAQFLILPPHQGSGHGTHMYTTIHTACFDDP TIVELTVEDPNEAFDALRDTADYHILHPEFTKHKVNINPDPYEAHSKKQRPRLVPTAD LIPVKLLHDIRMSYKIAPTQFAHILEMFLLSQIPVKNRQAGGANMSRLLIKKYKTDDP DERRYYWWRLLLKQRLYKRSRDVLMQLDLSERIQKLEETVTNVEEGYEVLLKGFKERE DTLKVKEEEAAELSEAKSTAILDEANENPSGTLGRDQRVKRKFTVEDDDEGEEEGEGD AAKRPKV ACHE_40911S MEGLFTLLVLSIVMAITSFVVGSLPLAFALSASQLRLISSVGMG VLVGTSLIVIIPEGVDTLYSAKSHSSRKDISARALGVNWQQEAPVVAATVDSQSENPI HPRSSLTLPGLSSAPENAVYVTHNDENHGLHGRKEDTSQDKADEHHDEESSPHAWIGV ALISGFILMYMIDKLPEFASPSKQQRPPYHISLDNLGSGLRRTSSPSREGGLLDAATS PRRSHSFATTTGLVIHAAADGIALGASTSDTGLSFIIFLAIMVHKAPASFGLTSILLK QGLSSRAARAHLLVFSLAAPVGALATFLFVHLMGSGTSGDTSGTQWRTGMLLLFSGGT FLYVAMHTMQENSPNSSSREVHVNGYGDPRDIPLKSDKSMRDLIASVIGMILPLFLQI GHAH ACHE_40912S MHPRSVSEQFATAQPRSSAGRDSVSGLSRPGASSSSGGSNHTHN SRNKTVTSIPPNLLPSAPASPPTPAPSPTPHQRPLNWQSSADDEDDAFLVNARMHFSS LPNAKKQKFLMGILGLCDSQQLSFVSSFVSPRLRKDPFMVFPNELCLRVLSFIDDPQT LARASQVSRHWRELLSDDITWKNLCDKHAYVYRRFSEDGQSFVDPFHSQPLQTNSKAR SFNGLRERSSLSDPSRDLSRTLSGNWPVTSLSSSTPSRKRRPRPASYRSHFKQKYMVE SAWNKGGKCTQHVTPDLGVVTTLHLTPKYIIFAMDNAKIHVYDTNGNNHRTLQGHVMG VWTMVPWDDILVSGGCDREVRVWNMATGESLHLLRGHTSTVRCLQMSDSKTAISGSRD STLRIWDLATGTCRNVLVGHQASVRCLAIHGDTVVSGSYDTTARIWSISEGRCQRTLS GHFSQIYAIAFDGKRIATGSLDTSVRVWDPHTGQCHAILQGHTSLVGQLQMQGDTLVT GGSDGSIRVWSLTKMAPIHRLAAHDNSVTSLQFDSSRIVSGGSDGRVRIWSLQTSQLL RELSAPAQDVWRVAFEEDKAVIMVNRGGRTVMEIWTFSPPPEDSGKEAVIVESASSTP GICTSTINNDDGLAESTNRQRALFSAPPPDVALNSDDDQMMPDAP ACHE_40913S MSTEPTGEATGSSKIPFWRTVWDQKIVTDEVINFPYDGSGTPED PYAVSWIPQDPRNPMNWGYIKKWSITFLASFITLAVSLVSSAYSGGLSQIVTDFNASE EVAILGVSLFVLGFAFGPLIWAPLSETFGRRNIFVMTYGLLTAFNAGACGSQNIQTLV ILRFFGGFFGSSPFGNSGGTIADMFASSERGIAVSMYSAAPFLGPCLGPIIGGFLGES AGWRWVLGLLAAFTGIIWLVITFCLPETYAPVLLRRRAEKLSEVTGKVYQSRLDIDRG GVSTVQMLTTSLSRPWILLFHEPIVLLSSIYMAIIYGTLYMLFAAYPIVFQEVRGWSQ GIGGLAFIGVLVGMMIAFTYTLMDNLKYTKLARQTTGRLPPEMRLPIGIVGAIALPIG LFWFAWTNSPSIHWLCSVAAGAPFGFGMVLVFLGVMNYLVDSYTIFAASVLAANSALR SLFGAIFPLFTTYMYHNLGIHWATCIPAFLSVACVPFPIIFYIYGPRIRKRCTYSAEA DAFMERLTAKHQAEVQHEEPAKGKSAASDDTENHGGSDNDSDSAASLSTVPSAVPSSR RVTRSRAGSHASRQTIATQYEENPFNLDRVNTRHSAISGHRTKI ACHE_40914S MPTILFLTNSELGQASVCLAVAHEFLLRPTYTIHIASFAPLRSS ISQLNLRAISFLPVSSRSARVRPATFHILPGPSMKQALEQRDHFDASKAFCLHGLGFQ AARQAYKDVLTKIVAPWGGEEYIAIYRECLNVVGRVHPDLVVVDPLFTPALDAVRSIR ERFVVLSPNTFKEHVVQPKLGNLWKLCSGYPYPLPWSLVLPNAILALRLLSSVKSSPQ LKQVERARKRHGIKHAIPDMFINPDDRKVLTVLLPGHAECEFPCFLPGKFTLCGPILR PYAPICDEHPDLAHWLAQRPTVLVSLGSLVMFTPAMERQFARGLKMLLETRPDMQVLW KLPRAEPQSTSQEGTLECLSTEISEGRVRTMPWLPVEPICILQSGRVECIVHHGGANT FHEAIKAGVPQVVLPIWLDTYDFAHRVEYLGVGVWGNRQNAPAVQGYELGQTLKRMLA SVQKIKVQRRAREVASELGGKEGRVVACEKIMEFVKPRHTWTFSFPRTGESYCGSF ACHE_40915S MANQHVAWIGLGNIGRGMVQNIAEKGPQSSLTIYNRTISKAESF VSTLPPNKATVASSLPDAVNPATLIFTCVGDDPALGQIFAAILEAKDLDIAGKTFVDC STVHPDTSRKTQAALSQRGAAFVACPVFGAPNMAVAGQLVVVPAGSSEAIERARPFLD GVTARATLPLTGNEDVGRASLMKVLGNTFILNMVESLAEGVTAAEKTGLGAEMYEQWV ATMFPGAFAKYAERMTSGDYHKREEPLFAVDLARKDLRHASTLASDGGMRLRSVEVTD GYLQEVKKERGEKGDVAAVYGAIRKEAGLPFANN ACHE_40916S MMFLCTSRFEIEEEERTLIDEYLSNQEIQAANEEKELQTQMDLD PISDDEKDYALSAQYQPAPEVPSQHRLDKRRNSVASEHEDQGSNELEV ACHE_40917S MIQSHQTLNLVEASINDLLHALDIRAITSVQLVSLYLHRIGYYD CRGPSLNSVCVLNPNVFEDAQQSDDHRASGRPPRPLEGIPFTVKDSFMVRDMTVAAGS PAFADLVASEDAAIVALLRDAGAVIMGKTNMPAMADGGGQRGLYGRAESPYNPRYSTT AYASGSSNGCGTSTTASFAAFGFAGETVSSGRSPASNNALVGYSPSRGVIPNRGQWPL YPTCDVIVPHTRSVEDLFAVLNVIIADDQHGARGIDFWRNQNFVPIPEASSNRPSDYY TLADPSALSGKRIAVPKCFIGAPGSKPPHVCIEPVRKLWERARATIVSLGATVIGTDL PLLEMYMKQNFPGQGCNVPGMPSSWMDIERCERSAPVSFVGDKSKWEASMFRPQISEK YPTIARVPKDFFLVVIIAALENGRTAASMLLID ACHE_40918S MSTQAPRNSTSTLTPASQSTLGSVDPVFAEKGIVPTKAGVVSGD SDVESFQEKEENIVHWDGDDDPQNPMNWSPLRKWLTIGLISISSFNVSMVSTVFAPGV PQVEKEFNSNSPALSSLMVSIYVMGSAIGPIFLTPLSEVYGRLPLTHAANTLFMIAAI VCAAAVNMPMLIVARFAMGMASSVPVTVGGGFVADLMPMEKRGTAMTVWTVGPLLGFV TGPIFGGYIVQTVGWRWTVWIEAILGGIVVIASMVFLRETYAPILLERKAKKLSKATG LCYRTKFEQDKTVCQLIRISITRPILFLVKSPIVLIVSLYSAVTYSYMYVLFTTFTEV FEKVYNFSPGQAGLGYLGLGLGFCVGQITVGYFSDRHLKKQEAIHGKMKPEHRLPPLV LGCCLVPIGLFWYGWAAEYRLHWFITIAGTFFVGLGIYYVHLVTQVYLVDAYTLYAAS AVAAELSIRCVFGATIPLAGPDLYASVGLGWGNSILGFIAVAFAPTSFFLLKYGERIR TNPKFQPKLT ACHE_40919A MQSWILKFGALAALAQTISAAQLHIETTHPPANKYKSHCTVILE DELWGNCKGSSSPFPGGCGDNSDTKPATICGDNTVNVNWKTGQLTIKSADGQKEAKCV LSTTSQWGECNTDNPDQYPLENGASSLFDAGKSVLYGLTPIAAGLLL ACHE_40920A MAILRAAEGIGTVELLQALCLLTLCDIAAGKQARTVMNISIASR LAKTLKSGHPETPDEDKSRCCWSIYILEQVFTPGPNTLQTDTYPLLSTPAPTSPSKPP LPADFQIPPFKQTLGGSANDQGIIGYCIQLISIWGEATTYMRQIHTGQIEDAWLSTST YHRLIAEFYKFEASMAQAHRYKTVRGHLKSPAEVAQYKEYWTAWLLLQTMFHTVQALL NHPLFHIATSKKPDSTFTLRPPSFLQHVVDQALMHSGWTVRLVRMMEELGMRICDPFI GYQVVVTATVHWIFAFASDDTVAEQACSDFERCRGFIMGMVREWPHFGQAINGLNYLQ SLIQQNDRTTGHHGIPSFKLSVLWDLLDPMSVGRSTDIPSLQSLSSIGERIPTQYVAQ LHEPSESREDNGDRNNERGFEDAMGQGAPGAPVYFHDPLMDEELLGSFDIPVSPQLHF LSFGDL ACHE_40921A MPSSHASSLYSSPIHHSPVPPKLDLADAHSQLYQTPSTTSASSS LFQTISTSSRKRSRTGSSDKRRSFYDFSAAAAHDGDDHLYRSSWLGRDENVAGMEYDH SDIRGELPLAPPIDASVDLLSSHTGNGRKRSRQEQQEGAQDKKDITLSPPPASWGQSV MNVVGKVWSFCWSGAFRGFYAGGGHGYHMSADSAPQLDYSHRAPSPSQSTSTEKTAVD ETMEGTSAYYFKGESTPIPGQYPDDHEIHKNWVVVQTDGPADCFSFGVEAPNPAKRVH CVRDTEDLSPTRHKSTGVPRVGKRTSLGGPITPTRIPVPSFNSRSSNSKKHRDSPVSA ETQRFVAQMRRMEREEDASLRRLNQQLEMMIQEGKQALGARVEIDDLSMDY ACHE_40922A MEAVKRFFTSPRFAVAGASNDANKFGYKLLAWYHQHSLPVTPLN PRSPQINLPSKDYATVASPSALPSPTQTSLSVVTPPAVTLSVLQEAHSVGIPAVWLQP GTFDDAVLQFARKHFEAVIAGEGGRGGEGWCVLVDGEAGLDAAGVQWGAQKL ACHE_40923S MPPFVPRKRVSSEDPPSAKRHHAIPAVKAPVVEDSEDDLPLSDA PDSDNEETPGNNDATEKRKGKSQGSEDEDEESDDDDYDAMDWEDAIDTTNQPAPTTAI LPSAVESQDLELTLDKNEVHVADLLDGKRGPSKIERQIRIRTHCLHVQLLLAHNAVRN AWANDSEVQEILRRRLPQGIHKEIKKWRVASALDPPESQPQEKPTKKKKGKGKQTRNP ERDWGEGSSRLEPGQPDMSLGDPMISLLKILAAYWRKQFKITNPGLRKHGYQHMSQVD VEIRSFNNDDHDPERHGERFSSVDEFRSAAERMEGSRDTGAQLFTALLRALNIEARLV ASLQPLGFGWTKAETHTSKSKTELEPGTAEATETGAELDEDEGSDSDSPDKNVPPRRN NSLRYDRDLPFPIYWTEVASPVTHQIIPVDPLVLKNPVATTPELQAAFEPRGAKAEKA KQVICYVIAYSPDKSAKDVTTRYLRRRTWPGKTKGYRMPAEKIPVPGKKGKFFEYDWF RVIFRIYQRPHSQRTPVDDIEDTQDLTPNQPEKKPAKEGDTLQSLRASTEFVLERFLR REEALRPGSKPVRTFTTGKGAKAKNEDVYRRTDVVKCLSAESWHKEGRQVKVGEAPLK RVPIRAVTLLRKREVDELERETGEKPKQGLYAKYQTEYIIPPPIENGIIPKNDYGNID CFVPSMVPRGAVHIPWSGTVRICKKLGVDYAEAVTGFEFGSKMAVPVIEGVVVAEENE GLVTDAWMTEEAEKRKREQLKAEKRILQTWRKFLFGLRIAERVREEYGGAMERDHEAD EINPFAKQSRPGNRGRQKEMLHEEEGEEEEEEDPADRGGGFLLPGEDDGDDGGLVVER QEQESRPRSGSHAIEIRDSDDEGEDDGDDGTGNAKANSSFVEELSPPPDEVPDFEKEY QQRTRRQARSRKG ACHE_40924A MYSSKPSRPKPLSWSTPSHLSVFVRNLQLLNLHHHQDWPGITVR ALSPSSQNQRQRMKAVEWALYHLCAIWDPEVTQDKLRPFFPPLEALQSVNLRAALFRV LSDLKKNGDLGKETILRKSMLDDCKGEKFDELLAVFSTAVLRRILTASIDGRQLNPAL RLSLANGISPEEYQLALPLLLAHRASLGSMEERRARVLNTYDKFSQLLDNKKVQLEQR SQENPQSVPEQPTDVGRFAEQVQTNWLGSEEWANSLLHGGSQSSSDAFLELPFAKAWA KAKESTVEDLKAGASRDLLLDLESRVSRQRDRLSRWREYSGTATKGDASVNMDPSPST NVARDGSVAFRDHQALTVASIAKAIREPENRATPTQQDQSLLHSMNEALARIDNKPRS SPKETKPVSPASAEPATSTLPSYGPSPQEATTTTEPEPQPIPPQDDNDQIDPEPSMDY TSPSITLTEDPDTEPRLFALAERTRKSMSLVGPRPSLPPPEDKSSRRQNRMSFPVNQF QTPPKPAPPRAQTPQDQLFEESADYASVFKSRPRIAQSPVTSPVVHVNPLGEFDLDAG VDIEVDVDVDVDVDVDGELDVDMDVDEMRWFGSPSIRRR ACHE_40925S MGSEASPDPVWTTKSVLASLPHPPEENSPSPVPFFHLLERLKTT KREGWRRFGIDKGESISDHMYRMSIMTMLAPPSLASRINLPHCMKMALVHDMAESLVG DITPKDKVTKSEKARREADVMEYISKSLLGGVPGGMLTGEGILQVFNEYEENQTLEAQ FVHDVDKMELLLQMVEYERAHDIDLTEFCHVASRIQLPETQEWAATVLKERETFWKNK TGANGTSA ACHE_40926A MPPALKGILPVHFGPFIVTTQVFHLTPSSFALVNLKPILPGHVL VSPRRIVPRVSDLTPSETTDLFLTVRRVGRMIERVYGASSLNIAIQDGVDAGQSVPHV HAHIIPRQRRDLDHLGGTDAIYEMLDGEEGDVGRIQREAVAAAQFRSGDGSGSVTEEV KQLAQEDDGGGRRTNFPAVDNDARKPRGMEEMEAEATMLAREMEKEPLD ACHE_40927A MATAGVQSSNSPRLPSPPPLTEVQIGSPSVGNECSDQDAEQSLN YTPDNHDDEASATRRVRPGSKAIDMAASPPLIPLAQLDSPFQLQEHLKALHNHYTRPE GSETVFPIHRDIAIQLAEPPEGVDRALWLYELCRFLTMKVNNLIVAFFAENPPCSVQT CPEMQASEWQYLCAVHDPPKTCCAIDYCCHTLDWATNILTSPKHFPSRLTLGSEASGG PQASMRHLINIFRRVYRIFAHAWYKHREVFWQVEGNEGLYIFFKTVCDVYHLMPEDSY TVPAEAEGVEPPAETPAEKPAEGLRVTILRKEDEGAKDGDAGATARRHKHSPSTGSRV ATIAESAEDNEDSKAGLLVVKEDAVPEDETEEGEETTIALEAPLGVTEQPNGDNIVIQ EQEVNEEPKPEEPRLEEPERTQPEEESHPQSTEEQAEPSQPGKPQTEPETSKPEEEGE PAPATEESSSEPAPEPTPEAAPEPAAEPESKPETKQETKTEEKKEQPAEQDEETKSET EPEPEPAKET ACHE_40928S MADIEDDDAPPALVDVSDNPMSSTPTTTTDTPAQNRVPITLVTG YLGAGKTTLLNYILTEKHGKKIAVIMNEFGDSSDIEKPLTVNEDGKEVTEWMDVGNGC ICCSVKDSGVMAIESLMERRGTFDYILLETTGLADPGNIAPVFWVDDNLGSSIYLDGI VTLVDAKNIHRLLDQPAPEETASSGHGGDDSRHGHEHTGPVLSMAHMQISHADVIILN KADLVTPEELEAVRDRISAINSVAKIHVTDHSKTPQIEGVVLDLHAYDHLANLDFGEK GHSHIDPAISTVSITTPPIPSHKVPRVDSWLQSLLWDSRLPLPAASKPSQSQPTDFEI HRLKGILALSDDEKSTKIIQAVREIFEIRDSEQPPQSDPEKPACCKIVLIGRGLGTDV RPWQESFEAFLVGDE ACHE_40929A MAIRIADSSSSSPSRRPSLGLCPEPDRKASLPPPKLKKKKAKHS MWHRLGLRKLLGREQRDTSSSCSPCQSRPQSYPVSGASSPDTTPNGSTANVKPHETMT RRLTRRVGVGLPRATTFKRQVSEQRDRLEPFEPPEPRRAASADRRRPVSSQTQGTKTP QSAPGPRTSAPEMQWREQGEKHGEGDGASAAIAEAAEETETTAPTEKTTEPEEATERT MVDESSEANPNHPNHTEDVDDRSDPRSERSRRPPGPDPNMETIDSAEESRIIEMELEK RWILNLTMHFRDRSRREKLFVTYAETPTLWRRVTISCDYRSPEPDSLEQDLSEMWNQK DRCARIYESLRESLAEIQFYDTVTNLKLETRDGRLHVHVTEDVNETIAYPAIFHIGHL PGIKLVSEDRLHFVRHESGFVYHVELNGRSFIKKEIPGPDMVDEFLYEINALHELLGA QNVIQLEAIVVDEERQVIKGLLIGYADKGALVDMLYYRDGKNTISWKRRERWAKQIVQ GLAEIHEAGFVQGDFTLSNIVLDANDDAKIIDINRRGCPVGWEPPEIVAKLESKQRIS MYIGVKTDLFQLGMTLWAIATENDEPERQCRPLLLPDDLQIPDYYRRLVAICMSPYPQ QRLSAKELLTLFPPFIPPTSHALPMVYYPPPTGMGVGPRTSHPHPHAHPPLENGFAHL HSRAGSAEENPQPQSPGQQHPQDDGYSSMSRQSHSDTGPRRVSYIQSDGEKTRPGSPE AVEEESKAIEASMQLVPRVDPLDFLRKQAANTDANTNNTNNSNN ACHE_40930A MLRGQTLPWRAALQQTSRPLSARPLLASSRCHASLRSVLIAARV SRSLPSSPRTFSSSSIRRREKPPPGDDKTDPESKEQKDNNEEKDVEQGSESRRRTTDR SSGKRGTSPEPGAPTSGSARRKDKSTGNKESQAIDENAKKDNNAVEGKWDSTDTPSPI PVNGNGSSDSRPSGASNGGNDDGGNGKKGKKGSNEKALQKPSVPEVYPQVMAIPIAKR PLFPGFYKAITIKDPNVAVAIQDMMKRGQPYVGAFLFKDENADGDVIEKLDDVYDVGV FAQITAAYPLRGEANGVTAVLYPHRRIKISSLLPPAEQTKAATSEDKATEKRGDVVAS FEEGTPESAAKDHYEPTSFLRKYPISLVNVENMAEEPFDKKSAIIRAVTSEIVNVCKE IASLNPLFRDQISAFYTDQFPGNLSDEPSKLADFAAAVSAGELHEMQEVLEIMNIEER LPKALVVLKKELMNAQLQSKISKDVEAKIQKRQREYWLMEQMKGIKRELGIESDGKDK LVEKFKEKAEKLAMPEAVKKVFDEEINKLAHLEPAASEFNVTRNYLDWLTQIPWGQKS VENFGIKNATTVLDEDHYGLKDVKDRILEFIAVGKLRGTVEGKILCLVGPPGVGKTSI GKSIARALNRQYYRFSVGGLTDVAEIKGHRRTYVGALPGRIIQALKKCQTENPLVLID EVDKIGRGHQGDPSSALLELLDPEQNSSFLDHYMDVPVDLSKVLFVCTANITDTIPRP LLDRMEIIELSGYVADEKKAIADRYLAPAAKELTGLKDVDVTLQQDAIEELIKSYCRE SGVRNLKKQIEKVYRKAAFKIVQDLGEDVLGEEKAITDEGKAAQEETEKEHQDHPTTN DPAEPPLEPEKATTEHPRLALKLPDSVHLNIGKDSLKDYVGPPVYTTDRLYDTFPPGV TMGLAWTSMGGAALYVESILENALTPESRPGVDITGNLQNVMKESSQIAYSFAKSVMA KSFPENKFFEKAKLHMHCPEGAVPKDGPSAGITMASALLSLALNHPLEPTIAMTGELT VTGKVLRIGGLREKTVAARRAGATNIIFPADNMSDWLELPENIKNGIEGHPVSWYSDV FDILFAKLDKEAARYVWQKQLTDTSSKKSFKADEDELD ACHE_40931S MNSVPSAMPKAAAIPARLLRTSRQCSNQSPATNYVRSSSQIRGY HAPTASAAGRCLRESNPNQKRHNPAPASSRTFHSTAPLKAISDPYKTLGVDKSASAGD IKKAYYGLAKKYHPDTNKEPGAKDKFAEAQTAYEMLSDPKKRESYDKFGASAFDQNGG FDPSAAGGNPFSGAGGFGGFGGGPFGGGFGGGFSADINFEDLFGAFTGGARRSGRGRR GPFQEVLVGEDIEVQTSISFMEAAKGTSRDIAITPLTECGTCNGDGLKQGAKRTQCRQ CNGSGTRVHFMQGGFQLAATCDACGGAGLTVPPGSQCGSCHGNGVVRDRKTVQIDVPA GVEDGMRMRVSGEGDAPPTGTNAAPGSRTQKGDLYVSIRVSPDQRFSRSGSDILYTAS VPLTTALLGGEVSIPTLDGDVKVRVATGTGTGERITLSGMGMQKLGSPRRGQKGDLRV EFKVAMPKYLTSNQRTILEVLADEMGDKSAKRVMDVGKDNLPSSDGTSSGDSQQNEGF LKSAWQKLMNQNKSESGEQGKKDEGQSGNKSDQKDKDDKKSSGSS ACHE_40932S MIQSPMISCPLKQTNEIDWIRPLKDYIRQSYGEDPERYSQECAT LNRLRQDMRGAGKDSATGRDLLYRYYGQLELLDLRFPVDENHIKISFTWYDAFTHKPT SQYSLAYEKASIIFNISAVLSCHAANQNRAEDAGLKTAYHSFQASAGMFTYINENFLH APSTDLNRETVKTLINITLAQGQEVFLEKQVVDEKKPGFLAKLASQAAYLYTQAAEAM QDYVSKGVFEKVWSLVIQAKAAHMNSVASYYQALADSQANQHGIAIGRLQLAEKHSNV ALNWAKSFPSSVSANSNLSTESGSSLLDLIKHHLAIVQSNLSTFNKDNDFIYHQPVPN EASLSTVAKLPAAKAIPVSELYQGQDIQRIIGPDIFQKLVPMSVTETASLYDEEKAKL IRAETEKVETANGEMAASLDYLKLPGSLGILKGGMDQEVTMDDEFRQWCQELAGHKPF TKDFDELQERKADVLTQLDHCSKQLDLEESVCEKMRSKYGADWSQQPSARLNTTLRGD VRTYRDTVNEASASDSQLMATLSQYEAEFDEMKAAGETDEADVLFQRALLKAGSKHGK TKNGMGSPAVEGNLLDDVDEGALSVADQISRVESILKKLNLVKRERSQVLKDLKEKVH NDDISNVLILNKKSIAGQEGQLFEAELEKFRPHQNRLLQANHKQASLMKELTKIYGDL LQDKRVRAEQSKYETITRQRNTVMGRYKKVYDSFNGLLSGIAQAQTFYTEMSDTVESL KKNVETFISNRRSEGAQLLSHIERDKASGAADQEDREREKLRQLMERLSTDPRPSSTS PAVSTHAQSPPGQTPTYPSVSSPKPYPTAPAQQPPQPQSQPNIPVSHSPSPYAQYNPG VPSYQPHQQPYQQGAAAPLSEGYNPMAYPFPKNTVSPPPQPPNQYFSSTPMPYHPSPA SGQYIPPGYVPPPPPPRPQTGVSGSGTTYPPSTGPFPSGPGGYAQSRPYGSSAHHRAQ SQSQSQGQGQAQGGDPWAGLSAWK ACHE_40933A MAPPRQQRTATVADDSRSEASSGPREPKTTTSKGRKGANGTNSS SRADGRASAAINAATANVTSAPAQQQPPEELPNIPWSDMPLDILHSYRHAYNLPIPSA YSKTYSHILLSQGIGIRSPTSIAVQRSQILAQHPHAHPQPASSSSSATANGIIHRSDN RNRNHSSRKHNNASPYNEKDKLNRIIGQSRVSKEDLALAVRKHFNSAGLAEQETIARF LYKVREEGKGRQFRLRFQP ACHE_40934S MPSPVSSVDFSNLLNPQSSDSDPLAASRQQTTSAPATPSGPPST NMASSVSLLPPLMKGARPATEEVRQDLPRPYKCPLCDRAFHRLEHQTRHIRTHTGEKP HACQFPGCTKRFSRSDELTRHSRIHNNPNSRRSNKAQHLAAAAAAAAGAQDNAHAIVN NAAGSMMPPPSKPITRSAPVSQVGSPDVSPPHSYANYASHMRSNLGPYPRSSERASSG MDINLLATAASQVERDDHFGFHNPPRSHHHHHHHHPLLSSRHHGSNSRLPSLSAYAIS QSMSRSHSHEEDDSYSHRIKRSRPNSPNSTAPSSPTFSHDSLSPTPDHTPLATPAHSP RLRPLGSSELHLPSIRHLSIHTPALAPMEPQAEGPQYYNPGQGNAGPSISDIMSRPDG TQRKLPVPQVPKVAVQDMLNPTSGFPSMSSSTNNSVAGGDLADRF ACHE_40935S MMIPPPAPSLSSVTDYYPSSTTLSTSSVDTGLASDLARHDILED DGNGVLVVPQTHSPSESQSFIQTPAPRISNTRPIFYSSRAYYDYDDYDNATNNHNYNY ACLFHILNCHETFTDIEHWKTHVLSHFRTHQPPPMARCPICPTITSPTSPTNTNNTPN NIFTDTPHSRAWDALLDHIDTVHYQHGHTLANTRPDFELLRYLFRLRIISEEQFKLVQ VVPGAGSPGYQRGMEPARARMGSAEEPFCAAYSRRRERRMTEERRRGWGVA ACHE_40936S MERLSIHDPPPAATQQSQQQPPQQQQQNLGSASMVTQGPPQLPP QMFTTAAQLLDLTDKKLVLVLRDGRKLVGVLRSWDQFANLVLQDTVERIYAGGLYADI PRGIFIVRGENVLLLGEIDLDREDDVPPNIQQAPFEEVYALKKKEDSERQTSDKKRHG NLQKLGFEAEHSGETLLF ACHE_40937S MATLAEKLEKIKSPKLQNQHHTAVVLSAVEDTLRDQKADFSPTA YFAALLALLSQSLSAEQGIVNKDLATSVVYLLDITSPFVPAPILRSKFSQILTSLAPA LSLPEVEAPLLRPSIGCLESLLIGQDAAAWNLPHTQVGPRRATAGLLSLAVDHRPKVR KRAQDALIKVLQNPPPSPSLDHPAADMCAESALRTLGDSITAVSKQKKGNQRDNQDPL VIHSLQLVKTVATAANGWPSKKIEPLCELLMHASRSSNEFITMGAFEVFEVIFSSMAD DFSSSKLPRLLDAISELKPAQNDSQLLPPWIAVLSRGYDVAAQVSPEDTFAKLPELFD LISSFLASPSSNIRISASECLISFLANCIPASVIIEPSVYDEKTLERLAKVTTDLLSI KYQAAWAEVFRVCSAMFDSFKWRSSPYLIDIVKTVGELRSNDAFQGKKEADEVLGSAI EAMGPAAVLEILPLNIVEQKAGQPGRVWFLPVIRDHVTNTNLAHFRTEMVPLSEVLYQ RLMDYGAAEKTVEAKIFETLVQQTWATLPGYCELPLDLVESFDQSFAELLSNVLYKQT ELRVDLCRGLQTLVESNQAVLELEREEDDLILQRRITKAAAAKNIKHLSGFASNVLAV LFNVYSQTLPHYRGHILQCINAYLSITPEKELNDTFTRVASMLDSSVASEQEEAQKQG KQQSSGGDKMPPTSHTLIDLVIAMSVYLPRSSFTSLFAMAAAILNGQSGDQQLIKKAY KLIPRLASTETGAVALRERSGELQSLILTTADKTPSSARRDRMLAIHELVAYLPSSDL HFIPSVLSEVVLGCKESNEKARTASFELLIHLAKRTVDPEQNPPGTKIRNSLVPHMPD NAPDALATVEEFFTMVSAGLAGSSPHMVAASVTALSRLFFEFHTELQPNVRSDLVQTI ELFLTSNNREIVRSVLGFVKVAVVVLPEDVLRSRLNSLVPNLMAWNKEHKGRLRSKVK GILDRLIRRFGAPLIESLVGEADRKLVVNIRKQRERSKKKKKAAGDEEEDEDTNANTK NAPAEQQTSYSNAFDKAVYDSDLSDSELDSDADASEIEVDEQGSLHASAKGGKKPNKR AGAGKQSEQYIRELSPEDNPLDLLAPNALANISTTKPSVRFLNTGPGSRKKQRNAKVG PDGRLIFGDDEDEDADMAGGNDPSGGGGVNAYLAAVSGPDAARRGQKGKIKFSQKNRG GDGMDIDEDGGMSKEDLQAAAAKANAGRKGLGAPKAHGPSAARVQKHKGNHGGKKQQQ RRRR ACHE_40938A MRQPEMAHSPAYYHDNGQLMTTFLHDPRAPDITTTPAPAPPSPP APAATAHRLSGVSSVQEGPYHSYRHHYPHHSLAGSMGSQLEPPAPVSSHPESGSVVTR ESNSSKRKERARGSLSERSSYMSGERPSRTPKRPVYDDVPVPDDNQDALVMLFRLSIP VPIVAFATSLYTVFGLLFAILVSPLRLCPPTAYLKETSFRAQICDLLAPALHVHERLV GLKPSARRSSSQWVYDDDPDTSTSSPPPEDRSQTYSVGGLITVLLLSSFLSLALLLTV WIAASFWVFAMVLGNPDGTERKDDGRAAVLGVCRWWQIWLGKARRKSR ACHE_40939S MAHTQPSPTGAVTPHHAHIVAHAQVNGHIPLQSQGQKGPPLNTA QKIAALNEQVWLQIGGLTELMGDLEGAMNAYEQALRHNQWSIPAMNAISCILRTKEQF AKAVEYLQNILKLDSASGETWGSLGHCHLMMDNLQEAYTSYQQALYHLRDPKEPKLWY GIGILYDRYGSLDHAEEAFSQVMRMAPDFEKANEIYFRLGIIYKQQQKFSNSLDCFKY IVNDPPRPLTEEDIWFQIGHVHEQQKDFESAQAAYRRVLDRDPNHAKVLQQLGWLYHQ QSTSYASQEKAIEYLEKSVSADNTDAQSWYLLGRCYMSQAKYPKAYEAYQQAVYRDGR NPTFWCSIGVLYYQINQYRDALDAYSRAIRLNPYISEVWYDLGTLYESCNNQIADALD AYGRAADLDPTNVHIKARLQLLQSQLSGSNQSSAPPQPQDVHPHAYQNGVGAPPQWAA PAPTGGPPPQPPAPPRHVADWNRGINELQSHAQAQAQAQAQAAHAAQAQAQAQAQAQA QAQAQAQAQAQAQAQAQAQAQAQAQAQAQAQAANGFDHREAVRGPAQPSPRQEPGRVF PDAVRAPSAVRSPKTTMAGPYHLPQITNAPAPSHERVPSGSGAFASATRGPLPPAAAP SGPGASSGPPSSGPMPPYHRPFSPNPDIRPIRDERPSSPGSTYPHQDFHYGPIPAGGI AGGAPPPASAIAAAEAAAREREDRPASAMKRSREWEADTGPTKKIANEESRARLDDQP SRRVTPPNRMPSPGEMQRRSSSEARREEQRRANEEYHPSEAAHHPPTLPSIQQMPPHS GSSLPPMAESSAPASNGSQSGPPSAQVKEEPTRPEQPPTHEPAARKMDVDENYDDDGD DEKRASTAAKGSPNGSNANGNGNGRPDTLTTSTTNDNRVTMSHRKYEAPRHGSLAFLP RKRATRHRGKVKSFPKDDPKKPVHLTASMGYKAGMTTVVRDLDRPGAKMHKKEIVEAA TVIETPPLVAVGVVGYIETPRGLRSLTTVWAEHLSDEVKRRFYKNWYKSKKKAFTKYA KKHADNSGAAITRELERIKKYCTVVRVLAHTQIRKTPVKQKKAHLMEVQVNGGAVADK VDFARNLFEKPIEIDSIFEKDEMIDVIAVTKGHGFQGVTSRWGTTKLPRKTHKGLRKV ACIGAWHPNHVQWTVARAGQMGYHHRTSCNHKVFRVGKGSDEGNASTEFDISKKQITP YVPPP ACHE_40939S MGYKAGMTTVVRDLDRPGAKMHKKEIVEAATVIETPPLVAVGVV GYIETPRGLRSLTTVWAEHLSDEVKRRFYKNWYKSKKKAFTKYAKKHADNSGAAITRE LERIKKYCTVVRVLAHTQIRKTPVKQKKAHLMEVQVNGGAVADKVDFARNLFEKPIEI DSIFEKDEMIDVIAVTKGHGFQGVTSRWGTTKLPRKTHKGLRKVACIGAWHPNHVQWT VARAGQMGYHHRTSCNHKVFRVGKGSDEGNASTEFDISKKQITPMGGFVRYGEVKNDF LLLKGSVPGVKKRVMTLRKSLYPQVNRRATEKTELKWIDTSSKFGHGAFQTAEEKKAF LGTLKKDLVTTV ACHE_40941S MRPSRGVYCVMGPALRPTSIQRFSQNFILSHRNYSLQHKSLFTR NNTHRTNPFQIGTTRTMSSDDAYLSFLEKANSDLSTGQQSTQTQRTETQTVHANAEIP ASLQSIDAFYISETDEPFEPVVLGFEGAGNGEWPGSSQLSSLIAPDNDLSHNITTLSA SSFDPRNQYRSVFKAVRAAASGPDEDVEVKVYRVEVGSSRVEYFVLGLDTEKGRVVGL KTRAIET ACHE_40942S MADLPPNDSVQNTPEMGTGPTPQIEVNSGADYPFMHAEDGDANA SAQNLIGRALTPGLQIPPIPPSPSSATGTFHSSLASPTYPQESSEFLIPPRRSHRLRD ELDQSLPTRSPDLSIMPSRRTSWSSAFGSHEIRNYGFNPFGDSRAPSRSDSYDSDVNT QTVSEKYNIMPTEGLLLFPEDVEKDDYLHNPDAGERDRRCDLFNRRGLMNVGGLTLLT LGFLTLFIGYPVITAFKHKGKHGNCDPGDTLCLDVGDRPILSNLRTGLIDPDTPESVM TKKAADGKDWKLVFSDEFNTPGRTFYDGDDPYFQAMDFWYGVTQDLEWYDPDAVTTRD GVLEIRFDKFPNHELKYRSGMLQSWNKMCFKGGRLEASISLPGDGSVSGFWPGFWAMG NLGRPGYRATTDGMWPYSYYDECDVGITPNQSSPDGLNFLPGMRLPACTCKGGEHPSP GHSRGAPEIDVIEASVAPLNGDGKVVGTVSQSLQMAPFDIWYMPDYDYAAVYDESITY INDYRGGPLQQAMSGMTTLNNKWYNGTSYQTYAFEYTPGEEGDVTWFVGKDKTWTLDA RALGPNGNIGQRVIPNEPMAIVMNLGMAWSFAPIDEIISKYFPGYMRFDYVRIYQDPD EESITCDPPGFETTEYIEKHPVAYQNMNVTTWVDAGYEWPTNSFMHGCSA ACHE_40943S MHSASLAVKIVYLKPNADTFPLVSSHLLSPPSILTCAKSNMHPT NRRGRGQQTQPIMIDDDETYDISRQPRRNHPPPRQQPITNYGYYDDDDQDMEDDEDED DTGGINFDINNDPDVLLDPDNNVPYSHLPAHELVNFGPPHHRTHAQPHAPQRPRPLSD YTYSYYAHTQSPSTQHNSKLRTRAREDRHAALCILLDRELLMLQALSHNETIPQVRRR FLSKMIAPQDNSDAGAIRAERYTIHVPGSNSSGTGQYVTVPRGVVDVCETGDEGWGNK EGQRSQPASPAASPFGKGKSRTPTRARASTPGSANRRRSGAR ACHE_40944A MRDGRVRFTTSHRLHPFYTEPDPPPSQGLTPMNMQAVRARMRNR EVPERKQVGDVESLINAEKIVGIWEE ACHE_40945A MWKPSERLMDTIRHYASFPATGVSLRQMVQFGDRPSTGTLFRAS QFLSEELPIRLAHRVQDLGQLPDGLSEMPSIKKVQDWYAQSFEEIITLPRPTLTQEVK ARLLRPGRMNGGASKILSETTQNPSVREGQYRSSPTSNGKNGNGHSKAAAAARRYFVP SDDQGDWPPELKDYNERFSKTLQQIKRRHDSVVTTVAQGILEWKRKRQRLQIDSTVQS FLDRFYMSRIGIRMLIGQHIALTEQTHVRHPHYVGIICTKTNVREVALEAIENARFVC EDYYGLFEAPKVQLVCKEDLNFMYVPGHLSHMLFETLKNSLRAVVEQHGSETEKFPVT KVIIAEGKEDITIKISDEGGGIARSAIPLVWTYMYTTVEETPSLDPDFDKSDFKAPMA GFGYGLPISRLYARYFGGDLKLISMEGYGTDVYLHLNRLSSSSEPLQ ACHE_40946S MSRRRASHSEQLSSLSTLNESPAYPFSSTQQQQQYHQPPRRGPI EGPNGRRLIRRVTWRSSTYKLMASLWVLGVFYIVWLIRDLFYLPFTPSDGVPSPKADD FLAQFMGRQECGISSLSLYNYPEMGDEHGFCRTRASLLSAMSNGGRHGFDAAYTSQGC SYRWYTTAQICEILQKFDGIAFVGDDTLANVYAGFNLLLRENLAFGALKEWDLSPEHR ETCRCDSQFTSKSCWPLRVTSSDQVPTQDDAALTRSPYVCSTSTPHAFLSINGSPITK SAHEKFHRLIAQGAGLKKALPIIHSLSHAASYSLPTAQTSMNEWQALAKSTGHNAPFL WIGPTAPGHQKKPAGNDDLPAVWQYAIDTAEAAQDRDMDVLGMYNATLQADSFDGTHY GEKVALMQAMMVSPHDVESRIRLLTVCFSRWSTG ACHE_40947S MNRAMKRALTQPIKKYQHEALGLGPRRWTRWTSRFVEREWSTPL ARTLASAIRVTGPIPIAAFMRQVLTSPEGGYYTTRPDGGGEVFGQKGDFITSPEISQV FGELIGIWTIAEWIAQGRKRSGVQLMEVGPGKGTLMDDMLRAFRNFKTFTSSIDAIYL VEASATLREVQRKLLCGDAAMEETDIGHKSTCKYFDVPIVWVEDIRLLPQEEDKTPFI FAHEFFDALPVHAFESIPPAPENEQPSKKEIMTPTGPITIDNPPKPANTPQWREILVT LNPKAVDENIPGEPEFNLTMAKASTPSSLVIPEISQRYRALKSQPGSTIEISPESRIY AADFARRIGGSSQPTLQGRQGPSSTPANFKKTPSGAALIMDYGTLNTIPINSLRGIQN HQKVPPLSSPGQVDVSADVDFTALAESAIEASEGIEVHGPMEQGDFLRAMGIAERMKQ LLEGVHDEGKRKHLESAWQRLVEKGGGGMGKIYKVMAIIPENDGRRRPVGFGGSI ACHE_40948A MPHRSHSKHNSTGEAKLPRKSNLVNGHHPNPLSDIDFDITVANC PPTHRVPAIHADEYIEKPGIARGNLAASTDNPHGDEEYSRKYRKFTPLQQHVLFWDRD ADGQIYPWDTYIGFRELGFNIIFSFLAVLIININFSYPTRLAHSYMPDPWFRVYVDSI HKAKHGSDSGTYDPEGRLVPQSFENMFSKYNKHGDGTLTLSELFTMIKGHRCAADPFG WGAAFFEWGSTWLLIQRDGKIYKEDVRAVMDGSIFWKIREERQKAAKGWNKGWGIGGD GFVGSLKVRV ACHE_40949S MSSPAIYTRRFLSNRLPLIFQVPTAKIQRLSTSATAEQATSAKP SPASNPESDGINVSRGKESRYTDAPPSSSMQSPISARRGLPSEAQEGEEQTTTDAQIK NDPRESLEKKRENVRKAGEKPIGKEDFA ACHE_40950A MSSINIATLPRMSRDALSALLLSTSAPSNLAIVDVRDSDHIGGH ICGSTWVPSTTLELRIPELVRTLKDKETVVFHCALSQQRGPSAALRYARAREGAVGEE ESHKQKVYVLEGGFVQWQEKFGKDKRLTEAYVEDIWREY ACHE_40951S MEMRSLTRCLRPRPAISQLYTKQPSTLLRGQFLRYSSSDNGSSN PQGNERSPTTQPAPSSSLSQSPSATPFSKTPRFTTPPRNSADYGDFETVLNNLNFSRK QTPTTTDNPDAASSLSRDVGASAKTDNYRQPVRRVELKLGPSLGRQVHVEPEKGYDLE AAMRTLNAACMQNKILQTQRRQKFHVRRGQMRKNLRMERWRKLFKFSFTHTVTKIQKM RSQGW ACHE_40952A MALSRGLSQYLVYVFGLVACLLLQGAVAEKNGAAGDLGSRKAGY VYGQPMPVTCLNRTIDSGEHITDSLGKLQYIPFPTCNETFLPLALRYGVPETVNCTVD SLPDELYHLLEYYVHSDVPMTCRVPTAPLTPFAASSEQDVKEKENGGGTDLDTGEPSY TPLTFALQGTLQRSHLHIWTDMNVLAHSIPSIPNPSANKKSKKKAQKAAPGYVVAGTA YSIPEFHSADLDNQKSFSSSSKEKEKETTIAISEAARDPWTAGHGTKVIRGEPLTFTF HVHWVEGGRGIGWPSGSTSLIPSSAPVEESSGAGALFSRLFFFGLAGSVGAMAALYWE RNGGRRRGWKGDGILGVPPPSSRAKGPAGIVYGNSGRMNGYGGFLAAGGSSGTGGTGY GGFSTGKRD ACHE_40953A MPGFSQATELPAWKTLQDHHTSLGRNIVLKDYFEKDPQRFEKFS RTFNNTVDNSEILFDFSKNFLTEETLSLLVKLAKEANVEQLRDDMFKGEHINFTEDRA VYHAALRNVTNEPMQVDGKSVVEDVNSVLEHMKEFSEQVRSGEWKGYTGKKIDTIINI GIGGSDLGPVMVTEALKPYGDPNMKLHFVSNIDGTHIAEALKNSDPETTLFLIASKTF TTAETTTNANSAKKWFLESAKDESHIAKHFVALSTNEPEVTKFGIDSKNMFGFESWVG GRYSVWSAIGLSVALYIGYDNFHQFLAGANAMDKHFRETPLEQNIPVIGGLLSVWYSD FFGAQTHLVAPFDQYLHRFPAYLQQLSMESNGKAITRSGEYVKYTTGPILFGEPATNA QHSFFQLLHQGTKLIPSDFIMAAESHNPVENGKHQRMLASNFLAQSEALMVGKTPEQV KTEGAPEGLVPHKTFLGNRPTTSILAQKITPSTLGALIAYYEHLTFTEGAVWNINSFD QWGVELGKVLAKNIQKELETDGAGAGHDASTSGLLLAFKKKANLA ACHE_40954S MYNPYQPPGLYGRPDFGGYGAPPGMAPPPGMAPPGMAPPPGTAA PPGMQQANAAQPGRAPGFPPNFQPPPNMPNINFTAPVIRLGTSGPAKPVAPETTNQRG GEAPGRRAGLGSTGADNQRQNIRDAMMQLQPPTKEEIVRTIFIGGITEGTGGDEGVER ILGSAGNLRRWIRATDADEKPCKFGFAEYEDPESLGTAVEVLKDVEVPVKRQTPSEGG EDNEVEKSTLLVLVDESSLKYLEQYEASKGEQDPAERESRIEAARNALSGVLSELFKP TSPTQKEEVSTIDREGDTSMKDAEGQDGAPAEVVTIPITVEDELSDIPAEMRETVAKE IAAFRERSNRRDIERLKREEEIESMERARNAGPRPSRLASPPLSAPSGPAGGANGVPL GPRDNRSAAPLPTGPKGFGVQIPKDYQNGVSFVNGEDDDPNVSDEELERRRQEKEETE LEKQYLDQERRWLNRERSRTAALEREKKRDKEEELKVQDARDDMDRRLREWNDDVEAS RKVHDYYADRGAWLRSRAAYRSREVNMDDADRSAEERERARSIQQQEQARGMADDFLA RQAEELETRQAPREPQRFKLSLGAAAQKAQAATTRRTVAEVEGLLEDEEEPQATARRP LIPIKFDSAAEAAGLTEEERAQAVRQLAAEIPADKEGLWKWDVKWEFVDETVLSDQLK PFVEKKIVEYLGVQEQMLVDVVEEHVRKHGHPQELVEQLEEALDEEAEVLVRKLWRMV IFFSESEKRGLSA ACHE_40955S MVKITGFTTRDVRFPTSLDKTGSDAMNAAGDYSSAYCILTTDSE YTGHGMTFTIGRGNDLVCSAISLLTPLVIGKDLDELTANWGQTWRYLVSDSQLRWIGP EKGVMHLALGALVNALWDLWAKTLGKPVWRVIADMTPEEVVRCIDFRYITDALMPEEA VALLKEVEGGKAERIKEAEENRAVPAYTTSAGWLGYGEEKMKALLKESVELGYRHFKM KVGGDLEEDKRRLRITREAIGYDKGNVLMVDANQIWSVPEAITWMRELAEFKPWFIEE PTSPDDILGHAAIRRALADIPIGVATGEMCQNRVIFKQLLQANALTVLQPDACRVGGV NEVLAILLLARKFGVPIVPHSGGVGLPEYTQHLSTIDYVVVSGKASVLEYVDHLHEHF VHPSRVQDGYYVTPMEPGYSVEMKADSMDLFSFPGEAKGWWRSDDAKIILEGPRVI ACHE_40956A MHFALRPSDSLLPRASNAASILFRSRAANHANPRTAQPDLARLY ATYRDVGGDSKASGASSSRPKKRGVGLLADDGRYEWGDLTGREKVARATQQSINFAVV CVGAVLTGGVFYLLWTEIISPNSKTWQFEKAVERIKDDPRCTAVLGERGKIAAFGDST GSRWSRNKPIATTIEKDSLGREHMKLSFHVEGPLNSGVVHVHLLKPHDSYEWEYLLLA LDVKGHTRIVLERRTEKNPLSLLGVRWR ACHE_40957S MAASKAESQKIFEKLKLKPANKVCFDCNSKNPTWSSVPFGIYLC LDCSANHRNLGVHISFVRSTNLDQWQWEQLRMMKVGGNESATKYFQSHGGSAALASKD VKVKYTSNAAVKYKDELKRRAAADAQQYPEEVVITDLPAGTTPDGSNTPADDEDDFFS SWDKPSIKRPSNPPSRTGTPPVVSRTASPFLNAGANGSRSKSPLSEQGSASPAPTTVK SAATVRKASSAGTAKKGSVLGAKKAPKLGAKKVAAAETIDFEEAERKAKEEAERVEKL GYDPEAEKAEAEAKAKAASTAAPAIASPTPISPSAGSTSKPQERSASDVERLGMGIGR LGFGQTTKPPAPKKPGFGAVAPTRTREDDEELEKTRTRFGSQKGISSDEFFGRERFDP AAQSEAKERLRQFDGAQSISSNSYFGRPEDDLPPIDDGYGDLEASARDFVRRFGITAG DDLENLTQLMGDGALKLQGAIRTYLNS ACHE_40958A MRHPSWRNSAVLLNCRGSVWHQQPQSASESIAAYKRSYVSLAAR RAHFLCSRFPIHTRSSPVVSGHANLRLVNHLSLRNFSSSQLHHYSSRMDPVKSSHRAF IALGSNMGDRVNMIEKACLEMDRANIKVKRTSSLFETAPMYVLDQGPFVNGVCEVETN MEPLELLDTIQSIEIELGRKKLIDKGPRSIDLDILLYDDQVFSHERLNVPHKLMLERD FVLRPLCQLIPHEKPPGHTTTYLSHFNSLPPPSPVPVTTTPISPNFPPLHSTDPARPT HIMAILNLTPDSFSDGSLHSPTDFSALTTTVQQFIASGATIIDIGGESTRPGSVPVGE EEELRRVIPAIKHIRTNIPEATNIVISIDTYRARVAEEACKAGADIINDVSAGLLDPD MLPTVARTGKSVILMHMRGTPDTMTKLTDYPNGVIKDVGTELTDRITAAEEAGIRRWR IILDPGLGFAKNQPEDLTILRELQTLRTGVEGLEYLPWLMGPSRKRFVGRITGVTKAS ERTWGTAATVTASVVGGADIVRVHDVKEMWQVAKVADAIYRVE ACHE_40959S MASPQKIRTTITDLLKINHPVFLAGMNVAAGPKLAAAVTNAGGL GVIGGVGYTPEMLREQIDELKSFLHDKNAPFGVDLLLPQVGGSARKTNYDYTKGKLNE LVDIIIESGAKLFVSAVGIPPKHVVDRLHSHGILYMNMIGHPKHVQKSLDAGADIICA QGGEGGGHTGDVPTTLLIPTVAKLCRGKKSPMTGQPVQVVAAGGLYNGQTVAAALMLG ASAVWIGTRFILADEAGAPEAHQEAVRTASFDDTVRTIIFTGRPLRVRKNAYIQNWEE NRAQEIKELTAKGVIPVEHDFENLPDDVDDDTIDNARPYLMGKTAAVVDEKKPAKAIV DELVDDAAVLLAQGNKMLAKL ACHE_40960S MLGGADHDLEKAPEVGNVPVDGNSDGAVPGESFAYGDSWYARIQ RLAGKLNIEQRGIERVPESEQTDTSYFNISSMWLAANMVVSSFAIGVLGKSLYGLGFV DALLVDLFFNLLGIMTVCWFSCFGPPFGLRQMVLSRFWFGWYGTKFIAILNVLACVGW SAANAIVGAQFIVAVNSDVPGFAGILIIAICTLLITFAGYKVVHAYEYWSWIPTFIVF MIVFGTFAHSGDFKNLPMGVGRSELGSCLSFGSTVYGFATGWTSYAADYTVYQPKNRS RRKVFFSAWLGLLPPLLFTQMLAIAIMTATEINGGDNKYQLGYAASGNGGLLSAVLAP LGRFGDFCLIILALSIIANNCPNIYSVSLTLQVLSRFTQRVPRFIWVFLGSCASIAIG IPGYSHFESVLENFMNFIAYWLAIYSGIAVSDHFIFKRGFGGYRPEIYEDRHKLPVGI AAALAFGFGIAGMITGMSQTWYTGPIAIHAGVAPSGADIGFELAFAFAAVSYCLLRPI ELKVLGR ACHE_40961A MDALAHQSVRITKFEALPDDAKTGNLLMVMYSALFRRGKKGEMD IQIQKAVILVHVTVDENTNSNSTPPNTREREDIKEEALHKLIEGKKKNIVWGIKII ACHE_40962S MVPAMWPEKAKAVAFSCAERAGFGKAFKLRIISEPETATMHALH ASSPHGLEVGDKIVLCDAGGEAPRNGTLCGNTFLNRNFEEFLKNRLSFCPGWGRDTLE EALHRFEAVAKRTFCGNEDDNFHFPVLRIADNAKAGVCRGQFCVTGREMKEIFNPVLQ AVHDLVKDQVRTSSKNMKTIFLVGGYVQSPYLRKYLRDHFALGIEALAPVNGWTAVMR GALIKTVREICASGTGALVESRVARRTMGSFGYKRHLTRKCMMIRGSKFWDKFDGIRR ITVMEWLIQKGDVIVEGDPVNHALKQIQHETRGPLKSIHTTVYELDSPTGERAPMYYN DSPDIKIYATLSPPLDKIEKTCVPLVRGYDNKSYYRVSYDIRAAFFSAHSEYSLRYDG KNYGTVKVDYA ACHE_40963S MSRPANRADWADDEEFDDPSALPPQQVTTNKDGTKTVVSYRFND DGKKVKVTRRIKTTVVREQVNPQVAERRSWDKFGLEKGHAAGPSFDTTSVGENIVFRP NVNWKVQAAEEDKAGGEKGSMKDQLKDKKVKCRICSGEHFTARCPFKDTMAPIDEPTA GGDVGAEEPAAGGLGATSGSYVPPHLRKGAAAGGEKMAGKYEKDDLATLRVTNVSELA EEQELRDLFERFGRVTRVFLARDRETQRAKGFAFISFADRDDAARACDKMDGFGYRHL ILRVEFAKRS ACHE_40964S MDEDDHNRRINTLGHPLAQPVSIPVSNGLRYNAQATASMQDVSF ATSPSMLMSPGDTCSEAFSSTSYSPTPNSIYSPSPALSYDRMSSLGTHRMPLQPPTAQ INPSIPGNWRTDNFPGSYGLQRGQLPSLPGFTSSPRGYDNAFMAQPNLYLESMHRYRD VESPPWNNETNILHHVWVGNLKSGQTIKPEIRANIPSKKFFLDGEKWTCYRRNYLSVT CSFSLHPCSSGPFWVKTENNGVQQIRSFAMSLSATVDSKPDEPRELVQHSPKRDKQSE RPPAILPVQPCPPPLSYGHGPAGTNGSQHGYGMASQSAGLYDYGASSYVSGSHSGNPP TQCTFERIQFAKATANNGKRRATQQFYNLVVDLYAEVERPIGGKPGDEWIKIARRLSH PMVVRGRSPGHYREHRRDSQSSMDPDGGAGGTGEHGGMLPPGFPKHFSMMGYEPASSG RYGRTDYHQHIKPEQSPLSDHSPHASSSSSTCTLDMGILNDTMDPMDIKATSSMDSYD NSFVMVDMPQDQKPAMDIHGTEGGENETTLAFRNPLPSFDYDTLSKEEDVGVTSPGSF PDNVASMVAMMPSETSESSFIRNPPRVPSQPAVCSSGGGGFNAFVDNSFGRFDSVQGS QELCT ACHE_40965A MSLWQSYRSLRPRTRALFGIGMVAWASVGLWTTPQVEGALGMVA SEKEKEELERKMTVRVERVERG ACHE_40966A MSKTDINLQPKMAKKPPFTVEVPGAEPVQGETKPRRHLRSKDDL ILRPAEDVGTTYDVFRRSARLFGNAKAVGSRKLIKTHIENKKVKKVIDGVEKEVDKKW TYFEMSGYSYKSFVEYEQLALQLGAGLRKLGLEKDSKIHLYGATSANWLAMSHGAASQ SVTIVTAYDTLGEEGLKHSLKQTSSVAIFLDPALIPSLHNVLSDVKSIKHVIYNTDQE PKQEDLDKLKSDFDYLNIISIEDLRKSGEENPVDPVPPVPEDLCCIMYTSGSTGPPKG VPLTHANVIAATAGVNEIVGDYIGPSDALLTYLPQAHILEFMFENLCLFWGGTMGYGN PRTLSEGSMRNCKGDIKEFKPTILVGVPAVWETVKKGVLGQLNKNSFLVKSLFWGAMS AKNFLLSTGLPGSGFGTWFLDNMIFKKLKEATGGRLRIVMNGGGPISKDTQQFLSMAI APMISGYGLTETAAMGALNDPMAWNPNALGEIPASVEVKLVDFPDAGYLTKNNPPQGE IFIRGGSVTTHYWENEEETKSAFAEGGWFMTGDIGEFDKYGHLRIIDRKKNLVKTLNG EYIALEKLESVYRSSPIVGNICVYAAEDQAQPVAIIVPVEAALKKVAEESKVSSGGET LEALVHNEDLKSAVLKQLQNTGRSLGLKGIEIISGVVLSDEEWTPQNGYMTAAQKLQR KKIVNRHKDDINKAYGKK ACHE_40967S MNGFLSSLGHPVEDTEEESFLLFAQEIPANNLGFVDSCAHSLEV SINGNEYTIQQSPSVLSSSRAGGTTGAVLWKITPLFAEWISGLTSNPLWTHPDSPLQP PAGKTVVELGCGIAGLVALTLGPAVRHYVATDQEYVHRLLRENLDENKGVAYKHKSGG SKGKGGKKKGGSKQVQSEESNISFTSLDWEQDAPELLKRSVGIAPDNNEDEDNGFDLL LSCDCIYNEALVAPFVRTCADICRLRPAYHPEKAADEEGKNPTICIIAQQQRAPDVFE AWLEETLKVFWVYRLNDEHLPVELKGGTGYVLHLLVLRDDA ACHE_40968A MTVAAPPVPPTTLHENGPVHAHGPVNPMTLPRFHPIAMNPNQHM PPDPAMQHHFRPYPPPPPPQQRPHPPPHPAQESGLHPSHIEHIEARLRQLENEEAARM AARSQLLAIRKREDEDFRRMTENAEAEEEELRRQRKRLKRESMGIGVNGSTMDSPPLR PTPPRRLSETNAATTLAFFKQQTPPDPRPLPTPPSQAPPHPHTHPHPHAHPAHPHQHQ HQHPPQPHPHPHAHPHPHAHPHHVQVHPAVPQAAPLPPQPHHPAPFDPSSGSIRKKQK YTIKNVEAWGERHGRPAAHDPSGRALWKRPSDGNLVYLTCPIPGCGKADFVTLHGFMC HLTKKHKDRTLGSQSRALEVCGVVYDPNAPLPPVRTVQRASTEESRLESNQADGDGYP QEMEYSTASDEEEDHDTYAVKTEATENLEQQARIEESNPALPPAAPSNTNGSTKQSIS SIIDNPESTAPPPSSSETPASASQEQTTPVKERVEPTEPSSDREPSETKEAEVKTEAK ACHE_40969A MTMLSSRFCRALPRATSFARAPAFRVPSAVPRRWNSTEGGEEKV KGQVIGIDLGTTNSAVAVMEGKAPKIIENTEGARTTPSVVAFAQDGERLVGIAAKRQA VVNPENTLFATKRLIGRKFTDAEVQRDLKEVPYKIVQHTNGDAWVEARGQKYSPSQIG GFTLQKMKETAENYLSKPVKNAVVTVPAYFNDSQRQATKDAGQIAGLNVLRVVNEPTA AALAYGLEKEADRVVAVYDLGGGTFDISVLEIQNGVFEVKSTNGDTHLGGEDFDINLV RHIVQTFKKDSGLDLSGDRMAIQRIREAAEKAKIELSSSLQTEINLPFITADASGAKH INLKMTRSQLEGLVEPLISRTVEPVRKALKDANLQSSEIQDIILVGGMTRMPKVTESV KSMFGREPAKSVNPDEAVAIGAAVQGAVLSGEVTDILLLDVTPLSLGIETLGGVFTRL ISRNTTIPTKKSQTFSTAADFQTAVEIKVFQGERELVKDNKLLGNFQLVGIPPAHRGV PQVEVTFDIDADSIVHVHAKDKSTNKDQSITIASGSGLSDAEIQSMVQDAEKYGAQDQ ERKAAIEAANRADSVLNDTEKALKEFEDRLDKAEADQIREKIASLREFVAKNQSGEGT ATAEELKQKTDELQTASLTLFDKMHKAQSEQQQQQQSQGGEGENKA ACHE_40970S MTSDNALLERPTESLILPTKRRFFPFKIPNFHHQLRNYISTADP DRIYVVVDKVVYAIHVESRKRESIAVIPFEPKCLAAGYGWISVGGSDHGECAFIRIAD QRVRVRDDDAPVSHPSEVDSALPIDLDPPSRVSSPWPTGEGTDSGRSNSRLLPEVQLH KFGGSIVNSVAFHRLPGDGKGLADEDVLIVSNNDKTVTIYSLTRGKVLKVLHHQSCMN YATISPDSSLLAAVGDESRAYFYEVSRDLDTAVLTENGDKLTGWEWNLIRHVDMDIGT RFDAGSCFTIAFSPSSHLCAIGSQSGVITIFNVQMVWESSLGLYDKSSIICHFHSSRS HFDGGAVRSMIFSPMPWDLLVWIEDNGRAGIADVRHAFTRRQILDLDPKDPSLQEVRT EPILDDSEGLGFAFNQAFPESRDEMDAAQRAMLDSIQGSSNEQRGDGPGRSTLRESLM HDLTERERLIVEFLNTARWTSRVEEGLTGREGRHSLHPHPRSSGIRPSRPTSPLRPND PHHDLLRDSYVGQNADHRHSSTRRQGPIVLPPNNPENTETQPSITVSWTASPAEIQPA TLDNSSRTPDSGTGDASGIQRSTSTPRRAEQHEITSESRYESPRLLNSELRANVAAER LRRQRQLINEAHNRNSLRDQRHRPHLLGFEHSPRWIRNILNELPDRNYHVHRDQDPGG TAGLGWGANGRTLYVATVDGIFEFHLNIHDRKTFPIVSFR ACHE_40971S MADSLASTLAELNLNAQQLSGSAFDARLAEEENGTYENHRPRKR TKQTAEDLKASLEAEFLTPSSRFSTEWLNHLQRRWDVSTDHTDLFEIAPTQTRTITRF DREGLEGRVTGYHEVTVPATSANAKNSTSLLRRPAGRADFVRGAAGFFPFAPGGLDGV EAIAEYETEAQNAEQSRAGEKQSGLDRIINFGAEGGLLEIAPGFSRGLKFNEVKTKET AEGDQEVEHALLQEESNLHVEPKEEELEEDEGGVKVEDEEELEEEEDIDSLLPVEFPA LEPRAPLLAGAQKKVGREWAHVVDVNKDIPNFRELVPDMAREWPFELDTFQKEAVYHL ETGDSVFVAAHTSAGKTVVAEYAISLAAKHMTKAIYTSPIKALSNQKFRDFRNEFEDV GILTGDVQINPEASCLIMTTEILRSMLYRGADLIRDVEFVIFDEVHYVNDLERGVVWE EVIIMLPEHVTLILLSATVPNTQEFASWVGRTKKKDIYVISTAKRPVPLEHYLWAGKD KHKIVDSNKRFLENGWKSADEILSGRDKLKAQKAAEAQTQSQAQRGGSQGRGRGQQQG RGAPRGNGRGGAPQRGGGQQRGRGQPAARGTGNIARTGRGGGRTSAAQDKNIWVHLVS HLRKENLLPGCIFVFSKKRCEENADSLSNQDFCNASEKSLIHMFIEKSLTRLKPEDRS LPQILRLRELLSRGIAVHHGGLLPIMKEIVEILFARSLVKILFATETFAMGLNLPTRT VVFSGFRKHDGKGFRDLLPGEYTQMAGRAGRRGLDTVGYVIIVNAGRDEAPPAGALRK MILGDPTKLRSQFRLTYNMILNLLRVEALKIEEMIKRSFSENATQALLPEHEKQVQLS EASLAKIKREPCDICDIDLEACHRAAMEYEKLTDELHVGLLASPVGKRLFMLKRLIVY RKDGIRTAGVIVREGVSGGATPSVQVLEIGTISTKRHPSDILPFLPGFRHFLQSLPQR AAQMTLKVCKIPLTDVECLTNTMVKTGGPIWYLNIKKEAIKFADKELSKLCSSWTSPV WDELDWTRIKELQVRDILEKRQEQATISQTCRCRECPDFLKHFEMQHDEWQVKENITQ LKQLMSDQNLQLLPDYEQRIQVLKDLEFIDEQSRVQLKGKVACEIHSADELVLTELIL ENVLADYEPEEIVALLSAFVFQEKTEHVPTLTPRLEKGKEAIVRISEKVNDFQIQHQV IQSSEDSNDFASQPRFGLAEVVYEWARGMSFNRITDLTDVMEGTIVRTISRLDETCRE VKNAAKLVGDPSLYTKMQQAQEQIKRDVIFAASLYM ACHE_40972S MDVAALRDRIQSTLDPNADIRRQAELDLKYAETQPGFINALLDI LQAEQVNAVQLSAGVYLKNRINRGWAPLEDNPLRTPIREEEKPGFRERLIPALASTPP NVRAQFVPLLQKILQNDFPEHWPGFLDITIQLLSANDANSVYAGLQCLMAICRVYRFK GGDKREEFDKIVEHTFPQLLNIGLRLVDEESLEAAEMLRIVVKSYKHAIYFELSPALQ THQATVDWCTLFLRVVAKDPPANSMMEEKEERELNHWWKCKKWSYANLNRLFIRYGNP STMSKTSTPDYTPFAKTFITTFAPEILKGYLQQIDKWVNGQWLSSPALSYTLIYLEEC VKPKVMWDHLKAHMDNLIAHFIFPIMCQSDEDIELFQTDPSEYLHRKLNYYEEVSAPD VAATNFLVALTKNRKKQTFSILHFVNGVVSKYESAPDEQKLPREKEGALRMIGSLSSV ILGKKSPIADQVEYFFVRHVFPEFRSPHGFLRARACDTLEKFEQLDFQDPNNLMIIYR NILESMTDPDLPVRVEASLALQPLIRHDIIRTSMQQNIPQIMQQLLKLANEVDVDALA NVMEDFVEVFSAELTPFAVALSEQLRDTYMRIVGELLERNAAKGDDDGFGDFLDDKSI TALGVLQTIGTLILTLESTPDVLLHLETILMPVISITLENKLYDLYNEIFEIIDSCTF ASKSISPTMWQAFELIHKTFKSGAELYLEDMLPALDNYVTYGSQMIVQNPAYLAAVVG MVEDIFRDEKVGGVDRICGCKLAETIMLNLRGYIDQYIPLFIELAMRVIDAGETRTKS YKIHLMEMVINAIYYNPVLSLQVLESHGWTNKFFSTWFSSIDNFKRVHDKKLSIAAIS SLLTLKGNDVPASVQQGWPRLLQGITRLFQTLPAAIRNREESTRESDFAYEDEGEEDE EGNDWDGEIEWAEEEVEGALEGDVPDESAAYIDFLNQEAQKFGSYGGDDDEDELDEES LLETPLDKVEPYSLFKNVLLGLQQEQPQLYENLTNILNVEEQQVLQAVFHQADQKAME AANAQAAAAAAGMTTNGQ ACHE_40974S MSAPNPGRQSPDPERQSGAQQRDPVSAGKTLPEFRGEPRHSQVE SEDTKNTKLESNPVHRLEEIEAKKYQK ACHE_40973A MSERSTVHVSDISSDTTEKEVQDFFSFCGKITALSLTPVSGDAN APKSASVTFEKEAAAKTALLLDQTQLGASSVHVQAAQTFDDIAGGQTAQATEAKDENH HELEQEDKPRSRIVAEYLAHGYSLSDNAIQKAIALDSKHGFSSRFTSVLGNFDKKYQA TDRARGLDQNYKLSDKATSGWRGLNSYFEKALGTPSGRKLRDFYLQTDKQVREVHNEA RRLADLKAGKGEVESEDVPAAATAANVAPGTAAPNTTAPAPPAYTAAPSEKA ACHE_40975A MIMAPESNPSLTPCPRRRPRAATTMHPTTPLGPPAISLSQSPAG DYSGLGTASGPLRHPKPLTPSDLHSMVEREQEAMVNRLSRELSILRQQTASVASTTSS TSTTFNDPIETLHSSPYLGTRHRSSSSLSSSYIPAVQESRAGNIGIAATRENGLSLSR PGRSRQQSFNSVQPSGSASPSLSSSLQQVDHFPRRRSVSHTHVTSPTAISRLEETAQH CGDFESIKRENEALRQRVRDLELALKKSQERGPTSPKAS ACHE_40976S MASEPRTTDSATTSHIPPFARQLGPYIKSRQEALRIRQILTSYL RSQITFAEEDPEQPNPHAESHLVLCAPHEAVVDVVKRAPLELTGPRKEYLEALRANVA ARQEYQSVSDNLASKAQQRKARPETTTAPAPNYELQTYLVLLRDRRRNAKLQVFQHYL QELKGRQTAELEQFGKTEDQNQHLQRIGEYEDGRQGGSREEGVEALVHKLERAVIRAR AQLEREKKLFEELKAQHDDRKNTGNGDISSASKIQALQRTRDALVQWVEEKLMSVGAN DEGPTEELSAEEIEDAANLLEERRTQIMQQYTAYVETRRALLEIAAKACQPVAPGPAK PQEPSDDNDKPTVEEPLTLEPMDVMSFANERLLPLSKYQRGLSLQKSYLSGMLSKEKT TTLRILNRLGDESHLLPEYPLLSRQPRFKHATGGSRGSLTPRELAPPDEITSLAEAWA FASGAAREHEQEYVAQKIVEGGETAHEALQTLEEICDTLNQDLQDVFEDAQDSSSRSK SSPIEKQTGPWSGLNGQVGVAD ACHE_40977S MVLAARCGQASSALLRQRCFAETRPSARALRAFTSQTPTVRSTA STLRSQQKTASSLRSQQLRNFSSTLRRLASETSNPPSATSYLNSGAVKPGSNLVDVKK VLVIGSGGISIGQAGEFDYSGSQALKALKEAGIQSVLINPNIATIQTDHKLADEVYYL PVTPEYVTHVIEREQPDGIMLAFGGQTALNLGVQMNRMGTFDRYGVKVLGTSIQTLET SEDRDLFAQALKEIDIPIAESIAVGTVDEALEAAESVGYPIIVRSAYALGGLGSGFAN NPQELKDLASRSLTLAPQILVEKSLKGWKEVEYEVVRDASNNCITVCNMENFDPLGIH TGDSIVVAPSQTLSDEEYHMLRTAAIKIIRHLGVVGECNVQYALQPDGLDYRVIEVNA RLSRSSALASKATGYPLAYTAAKIGLGHTLPELPNAVTKTTTANFEPSLDYIVTKIPR WDLSKFQHVNRDIGSAMKSVGEVMAIGRTFEESFQKAIRQVDPRYLGFQGDTFENLDE VLKNPTDRRWLAVGQAMLHENYSVDKVHELTKIDKWFLYKLQNIVDCQNELKEIGSLF GIQEEMMLRTKKLGFSDKQIALLVGSTEDEVRARRTGFGIRPWVKRIDTLAAEFPADT NYLYTTYNATSHDVSFDDHGTLILGSGVYRIGSSVEFDWCAVNATLSLREMGKKTVMI NYNPETYSTDFDTADRLYFEELSYERVMDIYELESASGVVVSVGGQLPQNIALRLQET GGAHVLGTNPQDIDRAEDRHKFSQTLDSIGVDQPAWKELTSVADAEQFAESVGYPVLV RPSYVLSGAAMNVIYNAGELKEKLLNASAVSPDHPVVITKFIEGAQEIDVDAVASGGK LLVHAVSEHVEPAGVHSGDATLVLPPTALEESVMDRVKVIAQKVAEAWNITGPFNMQI IKADQEGAEPQLKVIECNLRASRSFPFVSKVLGTNFIDVATKALVGRDIPEPVDLMKT KRDYLATKVPQFSWTRLAGADPFLGVEMSSTGEMACFGKDLVDAYWASMQSMMNFRMP EPGEGLLFGGDINSPILSQIVDLVNPLGYKLYAASPEVKNHLESATKGDVSVQVIEFP KNDKRALREVFEKYEIRGAFNLAKTRGKTQLDEDYVMRRNAVDFGVPLFMEPKTALLF ATAMNAKLPRAEGIPSEVRSWSEFVGTKVL ACHE_40978S MSAGSPLPSVHTRTAPGPAPNGLGTGLFASEDIRTGEDVLKIST PFVAVLDTLRLSDTCSGCFGKRQLNAGADLDLRACTGCQVVKYCDRSCQAKDWKFSHS HECRIFKELSPRVLPNNARAMLRMILRSAKKKYNTQEIDLFVQLETHIRDIRERNMAQ WDRISLSAKAVKMYSGIDMKEEIISAFGAKLDLNAFNLTNPLYDRIGLYLHPYAALIN HSCDYNSTVGFDGDELFVKAVRPIKKDEQIFISYIDATSPYDVRHKELSERYYFNCQC SKCFRGTDTPEDKFVTTTHGSAALASAETKAQTFMESASAPDCEPTDAIRKLESAMHV LDQTSAWSISRQPYISIRDELIASLLSSGRFKTAFVQAAIRYIRIDSKVYPYSSHPIR QVHAWALAKLAIHLSQGINTNSDDDVALERFELNFSLIIWSLLNGLVNTESESCTMPS FKRMVRLAFYEVHKEFAANGLDPSNMGDEIKREWEKIEGVVSATLEKK ACHE_40979S MAHPLQFAPFLYTQWHHPVHPDWPFPRPESMFAPPPYPPRGSTL LSTFVSQYPSKQDPLVLLILVLATHDISYKWKKMVEVSRLLPANRLWMKDRDELALNV ALYTDIADGLRDILVMKGRVNPGNSLHPPGQLDVRGDQCSDSPNDGSAILAEQVCIKR RNSNPGGDKRSLWLTDLVEVQ ACHE_40980A MADTEYNAEEAAELKKRRQFRKFTYRGIDLDQLLDLSSEQLRDV VHARARRRFNRGLKRKPMGLIKKLRKAKQEARPNEKPDLVKTHLRDMIIVPEMIGSVI GIYSGKEFNQIEVKPEMVGHYLAEFSISYKPVKHGRPGIGATHSSRFIPLK ACHE_40981A MRHSVSLSGPPKDTSHTRHELDVSVSAQLAVKSIDSRVHKSNRS LFKMKHLAAYLLLALAGNETPSADDVKGVLSSVGIDADEERLQKLISELEGKDINELI AQGTEKLASVPSGGAAAAAAPAAGGAAEAAPAEEKKEEAAEESDEDMGLGLFD ACHE_40982S MSFLDSVLTSIETGKPSAIPPATSRRPEPPVSSSTAKSEVRKPS TPSRDVSSEKKVAAAGTKRKAEEQLQRPSKPDNRAPGKSVPTRPAPTRPATTSTASKP RPNPAPNAAKSASSSNTSSAPKAAPVSSKPPPPGSYADLMMKAKALQQNAPTQVGMFK HQNKTAPKERLSKMERKKRAMEAQAKEKDPRLAKKGGATAGTLAGAKPGDGKPARKRD PDEPTYKGTARPTQTPATTEYRGTAGLPAKRDPAERRQSRAGKRSRMDEYLGTDEEDE GEYADDYDDYYSDASSDMEAGFDNVESEEQAALKAARREDEEEWQAELAAKKEKMERK KKLASLASRAR ACHE_40983S MEPVHVSTSSKALFNKVRRIVPPLLERFHKGQQGRVAVIGGSLD YTGAPYFSSMASAKLGCDMSHVICERSAASVIKSYSPSLMVHPLLPSSESVSDPNSID APKLAGPIISMLSRLHALVIGPGLGRDGVTLKVVREVIKEAQSQSIPFVLDADGLLLV TEDPELIKGYKECILTPNVNEFGRLAKALKIEVQSQAQIKGDGGDKTSKESEACEQLS NALGGVTIIQKGPHDIVSNGVTTLVSDVVGGLKRSGGQGDTLTGSLGTLLAWRAAYHN KLWDSGEKENEKQAESRQDVKAELEAEDKRMSPTTTLLLAAWAGSSLTRECSRRAFKA KGRSMQAGDLTDEVYPSFLELIGEPDTPEKSSL ACHE_40984A MALLQYPAPVDYAAQLDAFKDFLQHFKTFESASESAATEALEDL HIDGDRTSDEYDFMDDAEEGEGAQRPGAGRTRREPKLKYMQMLQDVANRERMNVVIEL DDLNTFEKSLPEETDLKLVESVQKNTHRYIEILSQAVDAIMPRETKEVTFKDDVLDVI MSQRERRNENLAMAMEADMDTAAAPSMFPPELTRRYTLNFKPLTPSGSSNERDSKALA VRNVRGEHLGSLITVRGITTRVSDVKPAVQINAYTCDRCGCEVFQPVTTKQFLPMTEC MSDECTQNNSKGQLFLSTRASKFVPFQEVKIQEMADQVPVGHIPRTLTVHCHGSLTRQ LNPGDIVDVAGIFLPTPYTGFRAIRAGLLTDTYLEAQYITQHKESYNELQMDSRTLRK IEKYQNSGNMYEYLARSIAPEIYGHIDVKKALLLLLIGGVTKEMGDGMHIRGDINICL MGDPGVAKSQLMKYIAKVAPRGVYTTGRGSSGVGLTAAVMRDPVTDEMVLEGGALVLA DNGICCIDEFDKMEDADRTAIHEVMEQQTISISKAGITTTLNARTSILAAANPLYGRY NPRVSPVENINLPAALLSRFDVMFLILDTPSRDADEELAHHVTYVHMHNKHPETDDQA VVFTPHEVRQYIAKARTYRPVVPQSVSDYMVGAYVRMRKDQKRDEATKKQFSHVTPRT LLGVVRLSQALARLRFSEIVAPEDVDEALRLIEVSKASLSNDGQMGVDQSPTSKIYTL IRSMWESGAAAVGDDESGALSMRRIRERVLAKGFTEDQLTMAIDEYANVDVWQVIANG TRLVFIGAEDDVMEDM ACHE_40985S MAPRKPSSTAEVALVPLKNCLVNLPPSLTALLVNANATAQNVIV ELQYRPSAGRANGSPSQRSLYLGWTGMPSKRKLAPVVGRDGINRGSSREQEASTVELD TTFGRVLGLTEGQPVAIYIHLDPPVAHTINIEPMTPEDWEIIELHANFLELNLLSQIR ALPNPGYNNAGQLEHMHPLALHLSPTSTANIVITSLTPAPSDTSAFAKIAPDAEVIVA PKVRPKNAAGSRADSRSVTSRKSASGRSSSGTARPKSRSDSANRTGLYLRGVNRKSAD QWFDAECDDDNNEGFRVWVDPDLLATNELRGAGWVCISVVQPAGLKPMPDPQQQINQV EQQKSSEAGSPATKLVAKLVPWVDAPDTQHVAISTLLCSALSAEGIVGGIVRVEAAPP QTQRSTVKSLKIYPFMSDPSKKRDGLKFGADTVAAKDALLERIKVIHGAPGSDIGLLS GALTDGMILPRVENPGSVSAFDGAIVRFEPPLKGNDASKSSFGWLLGSESKLSLEVQS EIPRLSEASALPTDDPIPEVAPELVGIESIISQSLDSLTKSTSILLTGGLGAGKTSLT HLLAHRVQKDYLFSVKFFSCRKLVTDETRISNIKETLNRLFMSAAWCARLGGQSVVIL DDLDKLCPAETELQVGGDNGRSRQNSEVICSMVREYCSMNSPVVLLATAQSKDSLNNV IVGGHVAREVLHLRAPDKDGRRKVLEKLTSQDKAADSINGHARSVSESTHDSWLDPSN PGSRPGSSENDGFVLARNIDFLELAGKTDGYMPGDLVLLISRARNEALIRSVQDLSAA SKAITLGADDFENALKGFTPASLRNVTLTSSTTTFSAIGGLHSTRQTLMETLQYPTKY APIFAQCPLRLRSGLLLYGYPGCGKTMLASAVAGECGLNFISVKGPEILNKYIGASEK SVRDLFERAQAARPCILFFDEFDSIAPKRGHDSTGVTDRVVNQLLTQMDGAEGLSGVY VLAATSRPDLIDPALLRPGRLDKSLLCDMPSHSDRVDIIEAVSKKLNMSQEVVSRLDE VAARTEGFSGADIQAVVYNAHLEAVHDALGDHTAANDKAPTRSSAKSSSTSVSSKSFI QFLYSSEEQTGSVPMPSPAIVASKLEAIKSTRRRQRQLEQQGTVGAAQSASMTNGNAS QEEPRVEVIVRWEHVERSLANTRRSLSEPEQRRFQAIYREFVMGRNGEMPNGEGGREV GGRTSLM ACHE_40986S MPVTSSYAPVQVPDVDIWDFLFERKDKPFPDDKIIYQDADTKRA YTYAGVKEAALTFGKGLKAIYNWRKGDVLALFTPNCIDTPVVTWGTHWAGGVVSPANP AYTVEELAFQLKNSGAKALVTQMSALGVAEKAAAQVGISRERVILIGDERHPEAKFKH FTSVRNISGATRFRKTKVDPRKDLSFLVYSSGTTGLPKGVRLSHRNIVANTLQMEVAD GANLSCSGGEDGKGDRILAFLPFYHIYGLNCLLHQTIYRGYQLVVMQKFDIEKWCAHV QDYRITFSYVVPPVVLLLGKHPIIDKYNLSSLRMMNCGAAPLTQELVEAVYARIKVGI KQGYGLSETSPVTHTQPWGEWHSSIGSVGKLVPNMEAKYMTMPEDGSEPREVGAGEVG ELYLRGDNVFQGYHENPTATEECLSADGWFRTGDVGYQDAKGNFYITDRVKELIKYKG FQVAPAELEGILVDNEAVDDVAVIGVESEAHGSEVPVAFVVRSGKSKSSGASAEQEAE NIIKWLDSKVAYHKRLRGGVRFVDEIPKSPSGKILRRMLKKQVQDEAKAAKPKAKL ACHE_40987A MHVLLLGGHGKVALHLTPLLLARAWNVTSVIRNPDHEPEIRALG QGKKGSLHVLLSSLDDVKTENDAKSIIDRVQPDYVVWSAGAGGKGGPARTQAIDEVAA KNFISASFANTKITKFLLVSHIGSRRKQPSWLSDDEWAHLQHISNNVLPAYAKAKLEA DEYMTALAAKQQRKPFQAINLRPGTLADTPATRKVQLGKTAGRGTITREDVAIVADQL LARDDTNGWYDLLNGEEPVDEAVERVVREKIDTVEGEDVEGMVKRFS ACHE_40988S MNRLRRHKKEKAAKDELVQSEKAASSSTTTIISSITPTKREKEP ASKKDDALQTTSPKVSEFDLANALPAPDDFRTSLIMPKLSARFSMLKEQDDPESLLGK ASDDSVLFPKRASRLNLFGHNQLADIDEMSINSSRPSAFGQAESNDGYGTDDDRSQAG GSSMMSRARRAEVGNNLFGGRQKVFKIPAKSRGASPNPGPDGGRSGLGRAVYEHDVTL SAFQRLRLKEKEERAAAEDSALNSPTFSNEDALSSIGSTDRTTYSSTASGPPPTFGRA STAATSVDGELATPQSMEGSTGKQFPFPERSAPKPTRRYGQGLAQSVQNQQNLTLNRL ESLSRQRPGTPEFPQMNRAFSRSAASLRDRLQRLPLVDAARPSSRPTSPPLSTASPRP QLAESESKDPVPSGYGVPSGYNAPPLSPPISEVEEQPVPLAAALQPEDHGKATASGLF NKPRAPFDEQQFTRRQLQMHQGRSSPPLRRPPSPPRNAPVAPAPTTLPQERVGRSRGI SNASSYRSRAGSASSQYSEGPKGMNQTTFFSNSSPSDSGDEDEEDIHPAFRSRSPSKP STPTGEPQERHPLPEVRFSDLGDLKPIAEHDIAENAGSQNNAQAPQEPDSPTLGPSGL GLSGLVRTHLRRESDKSIQLPSPGLPPKLTDNRDVPEIKQDAADTTPTPPPAPADEPS SQSTISAESMTPEQQSEEIQRRTPNDSLLDDESRDSSNSSHRRNASTETQREREEFEN ELAERRRKVQEKLQNFADIETRSNSRAPSRAASRSASRSGSPVRCGTPDSSAQVKPGN AFALLKSRAGKHHLFQKSGNSSTQSLVNLDQNDPWREEDEKVPFPSLSTQQLNSSTPH IPGDRPSVRSRVAAFTRGNQDASRESSHSRNASPHSFTGFRARRDRSRSDASCRSKSR LRNDDLGTVDERSVSSHETSHHPDSTYDPRANTSVPSSTRPSVESEEGSSSRSPGRYG SGSRSGTPSYFDLPPPPQHHPPLMPGPNPAMIGNAPRPSPIAPYSANATPPLDLTPSP SSPPAPTFAAAASHVVPQRAPGHTGLQRRPINKLQISEPTLLSCTSNVPTIGLPPGAS LSNGMETPPVPPMNPRRRRQTTTQTILGALKGEKQDTQSSSPSEYASSGRGTPAPDER SMFEDERPLSRNRLRKTSSEGGSLNVKARQEVISGSAPPMPYPPPSIMD ACHE_40989A MVLLRSIYATMATVLLGAQSVAAAPVAAAAAAPDLGSDISSGFQ EAGEKIKGVFERCVPDDPTSCGSGNVKREAGISDLGQDIKGGFEDAGDKIKDAFIKRE PGIASDIKGGFEDAGEKIKDAFTKREPGIASDVKGGFKDAGEKIKDAFTKREPGIASD VKGGFEDAGEKIKDAFTKRCVVDDPTPCESEIS ACHE_40990S MPRRHSICLSDINSLADSVEQSYPQISKYEDPDEDSTIWAFRKP STPTMTITTESASVTPAKPSTSTTTAENNKQEQQPQDPPQTTSAKKKKKNKKKKPKSR TKGKDKLDQDQDQTEGSIVETETESLAQLIQPMPLGTPTPFIVNSASWHKVDEGKSNE DGLQRFLSSQRLYAGDSDSPSVTELTPSTTTDATVRAASNHGDKPSQQDQPQEQPQQQ PSNVPEQPPLPNAYESDGGNKENNGNVNPETSVPADPKPEAVSKPVIVIESNLPGVSP SDASLRTHTLGLLDAGSFTDSRINLHSPRNSFYLTFHTHKALLARSPRLAAILTFLGH SSSNDSENTSEAEIEVLVGETFYMLKAFETALQNLYGLPLLNRQNLPRFTMMAMGYTG EDVHRTVKFGMSAATADFALCYAVSGAFLGLSEIMETGMNLAMESVDWENIEMVLYFA LSVSKFLITCPGDPLSLQFLAEQELVNTWIPRLRTYALTYIATYLQHLQQQQEPFQLY VSAQSKGMPDRIPEHLRKVPGSILSNPKLAEVKFGSFSSVEEQQKPPNVVLISAILIG LPYRLLQEAFDIMVERKVLDENQASTIVIEREARRLYALRVWGAQRMDHGGGGVGGDE EVKELGYREIVTRKNGKVLLERVWVGLK ACHE_40991A MGSLEKQKLPLYFGQPQPQTDRGKRGVSAMAILRVVTAAILSLV LFIVYLPTVYTSPVLARDRQHSGEAFGEIQAGKLGAVASESALCSRYGTDMLEKGGNA ADALVATSVCVGTVAMYHSGIGGGGFMLVRAPDGSFESIDYRETAPAAAFQDMFENNT DASMRGGLASGVPGDLRGLEYLHKKYGSLPWSTVLQPAIQTAREGFTVNEDLIHYIEY AIAEDGNEDFLCNDPSWAIDFCPNGTRLVLGETMTRKRYAGTLEAIAKQGPDAFYSGP IAEAIIRAVQLANGTMTMDDLRNYTAVTRDTAQIDYRGFTVTSTTAPTSGIIGLSILK ILEEYGDFFAPENVNISTHRLDEAIRFGYGERTLLGDPFFVDGMKEYQADILNQSTIN EIRKKILDYRTQNVSVYDPKGLESIETPGTSHISVADYTGLAISTTTTINTLFGSKVM VPETGIVMNNEMNDFSIPGSSSSFGYIPSEANYIRPGKRPLSSTTPTIITHQANGTLF FIAGSAGGSRIITATVQNIIHVIDQGLSAAEALAQSRLHDQLVPNQVAFEWTFDNATV SDMRQRGHNVTWMELGKSTAQAIRVLGDGGFEAAGEPRQRNSGGVVV ACHE_40992A MRKVRRSHTKSRKGCVQCKNGHVKCDEVMPTCGLCLKRKQECTW PSGSGNDTHSQESSTPKDGSDAHNGTSESPSSFASDHLRCLEMRLFHHYMVETYLSMP EGRLTHHHYQVVIPKFAANHAFLLDAMLALSAMHLAFLNPSETRYWLELGLKYQTSAC TSLSRLLTVDVTPDYFGPAFLSSVFIMLTATAYPSVSRDKIAFNALSQVLEMRRLLTG CSLLMERLRSSPSPEMMQWFNVQGSVRKDDSPREKVKDQNMHRIHGALSDSLQQLYPL IESCSTPQRGAYQNTWDLLRDSVHSWPMYGARGGFIALPIHVSDAFLTLLQEDDWMAR ILFLHYGVGLHLLSHRWYVGDWGRRLVDSIIEPLESEIPPEWVNVVMWARMTVGLDVA VP ACHE_40993A MLAKPRYWNQAALANYLGGSEDEIATVRRGLVQLMKSYDTIHKS FQTKAAKNELKKFLLSNLDKLPELVRDARENDVRLDGLMGLAFKVNYSHKRHGREKVA SMITSKSPEVSNNDDDDNGDEDESSCEESGEEEDSSNDDSSTGDGPETHKFNDPPRPE ANGTRNHERPSESRGHEWTHEIRNDHSRSDGRADHSSPAVIADRSPAAEPPNKRMRPL EPLAPPNPLSGPPLPSSQYQKPSFDLSVRNIWVVNEADDESHGLCPVMELVKKDSDHN NLQISDLDFDHWMLIVQAHCGYDYTIHRLEYRPSATIILPSMIGRPFTVPMRTPSQWR GALNSQLQAKPEQDPIFYLVSQSESRPESSPSSGFDFSQTYQPATRLSPTVKQEYVEE LLEQRARNNLR ACHE_40994S MSVSKLRIGCAGLGRMGKRHALNFLERTPRAELVAASTPDDTEI EWAKEHLAPYGVKLYKNYDDMLKHEGLEAVVVASATAVHAEQAIKAIDAEKHVLCEKP LSTNVEISQQVLDTAAKKPHLKVMCGFSRRFDASYRDAHRKMTTGALGSPSVLRSQTC DKLDLSGFFVAYAQFSGGIFVDCSIHDIDLALWFFGQDSKVKSVSAVGITAVEPDLRK HNDRDNAVGLVEFHNGKMAYFYASRMMAAGQEDTTEIIGTKGKVTVNAQPQINLVNTY DNGGVHREIPQNYYDRFEYAFVTEANEFTASCLEDKPVPLKLEGAVQAVRIGAALQES LITGQKIFFGEDGSRLDKSRL ACHE_40995A MAPAAVDTPPVAPVFSKRDGHALEDLSDAIDDVNVLKDTLKKQQ EAEKGLYEQSEFDQDKDKTKFRQYEDACDRVKNFYKEQHTKQTVAYNLKARNMFHSKT RAEMTVWEAMEKLNTLIDESDPDTSLSQIEHLLQSAEAIRRDGKPRWMQLTGLIHDLG KLLYFFDAQGQWDVVGDTFPVGLAFDDRIIYGSESFKDNPDYYDPIYSSKFGIYTPGC GLDNVMLSWGHDEYLYHVVKEQSTLPAEALAMIRYHSFYPWHSAGAYHELMDDHDKEM FRAVKAFNPYDLYSKSDGIPSVEELKPYYMELINEYFPTKVLKW ACHE_40996S MTAAADVRFKLNTGAEIPALGLGTWQSAPGEVERAVFHAIKAGY RHIDGACCYQNEEEVGKGIKDAIDAGLVRREDLFVTTKLWCTFHTRVEEALDESLKKL GLDYLDLYLVHWPLAMNPNGNHPLFPKHADGSRDIDHSHSHVTTWKSMEKLLATGKVK AIGVSNYSVKYLEELLPQATIVPAVNQIENHPSLPQQEIVDFCKEKGIVITAYSPLGS TGSPLFTAAPIVEVAQKRGVTPATVLLSWHIARGSSVLAKSVNPSRIEDNRKLIHLDD SDIATIAKYSDDLAARQAFQRFIFPPFGVDFGFPDKVGKL ACHE_40997S MHTRSQKRKMADAVNTDAYQPFDEIFVNTVENILSDEHTYNTSF DEYKPNFMGIGDGREEVQMQGLDGARDDELPYERSTSTSEETGNNNTRNGRARSSGKK KSPYFVSGRVTRAKAAAAQKLITRIVEDQSESETELGVESEIAAPVHELEPELEPESE PAPEPAPAPAHEPGPELEPEPQPEPEPELAPEPEPEPEPVPELAPAPVLEPEPEPEAD AMNLDRADHDGEMEIDPVPVPQNDGTHETGTDNLTFDDEAELPEDRILAFLGPDQQFK LLGFIESHPFMKGHGYPVGRCARRRFVGDVRGKASAVGLHQSAIDALVRFIRRIYLET WAEGHGVDDDLSEFGEEFDEDEALPKKEQKRKRCAEKDKDKPRKSKKERRSRPENGTT QAEVNKPEMINIEDTASVLPNHAPQVIVDDDLIAIAAPKSPEFTKKNAASKHESNHDS TAPASTHRSPSKGRYSDLGSEADPIPLDNDVENKPDTRTATETDAQKSTAAVQPTQPT PLPERICLNGKLHEENHLAESDLPLRNHLISLDDVDINNVDDIDKSEASEVAIASPKP PKSTLKPKKNGRKPHTGVHNVTEKDTPKFDLNNEAIAVVDPAVFEPKTKKTRAERERQ RVPDVDEILATSTLPGVMSAEEKKREKNRRKRQKRKQKKRHLKQEQSGYQSGRQLEKP QTEARENAENHRPIPDYPEDDPMDYSNILEDPHWDLDL ACHE_40998S MPQPVKLSRSKIPKISPYFSNPLIEPESCLPFPPIDAPSFGLIQ EQLAHDPFRLLIATIFLNRTRGGVAIPILFQVLSRYPTVEAMAAAELSELVSIMHSLG FQNQRAKKCISLAQTWLANCPAKEKRYRKLHYPRKLDGRDINQDECIDDNDSRVAWEI AHLPGVGAYSLDSWRIFCRDELRGLARDWNGTGAQTSDFIPEWKTVLPHDKELRAYLT WMWLKEGWVWDRETGERTRASEKMMRAARRGGVAHEEEGNWVLEMSPVKKVANGLT ACHE_40999S MSSPLHIMLPLRLNERDRDRNRHRNHRTSSSSSSQSTHRHHKHR SSYGSTRSSTKDPEREYSTAQPSTPSTSPTSPTSSRRFSMPAVDDPAASFLESRTSLP YPNFSKAHSKEFVGNPDPPTPDPTDLTETQQKEDRHHTENGNGNNNHHAPPSPPLTGL DQHSRKGSSVGDKQEKKEESTERPKAKIRIRTGSNRSSSSLRSKEEEGKSTRTMRPET PKSKMSGSHERDTPSRTASHKPSRSKVTEETTLPKRASTHRDVRSPPRSPAAAGSANG SDATIGPQYRSSGSRRVKTPEKPPSRTQNRSSTSSPYQHRHHNNSGYRTPADAAYDHG RPSTTNSSFGAPPPPPPPPEVPASIPRVDYLLQNGGLDRHTHKTLLLGSHYPDIAPPP SYQPHIAAHRIFEPFSSLLDEYQNVMTKNGSLAVATGYRSVARRLLDRLEAVFARDIS CESCSCLMCENEDMTEPHPGVSWGEVLELVSGRKELPTWPPFMMAPSVVDTGASGEEH IPMQKMDIDIPEEYREHYVRQSRKTKLAVDKWLSEQAGQATSAPDAVDDDTLTFAMLT HLGSEQRPLFCSLLGISAISPAPSAVGGPSRSRPHALISSASAIQRLYRLSSLPRDPE TAIYMLNNPGIHHVLATLSAISDDEWDILISGRFDGFLRSGAEDAIPPVSGSTPRWGS RSNTPFSASRGPTPGMDRPVSQPYSMASNPASFGGPITIDEEMEIAALAEVEREIYLG MEALEDAFEALHCKAETVRRTLRERGAGLSVANQNRRGSYVEARMGTPSAVGNGFDSG PEDEFLDDGISLAPDDSASNISSNRRRRPKRRTERRTPAPVEEEDEGEEEPHISRRDR ERGSRRR ACHE_41000S MTEPWQQQAENKRRAILESIPQKWHLPPDSIPTADEQPDITGSY LHRFLERKEVEITECDATVIVQKTTSGEWSAVEVTEVFCHRAALAHQYVNCLHEFFPE VALEDARRLDTYFTTHKKPTGPLHGLPISLKDQFQIKNISATMGYISHIDQPSITESE LVLLLRSLGAVLYCKTSVPTGLMSGETANNIIGYTWNPRNRHLSSGGSSGGEGALLAL KGSPLGFGTDIGGSIRVPAAFNGIYGLRTSVGRIPYEGAKNSMDGQNTVLSVIGPMAR SVGAVRLAMKSVLGMEPWVSDPGSLPIPWRGEVEERIKGLLCGGGEGKGKMAFGMFEH DGIMRPHPPVLRAVRLVREVLVKLGHEVIDWNPPSHAKGCSLAYDAYTLDAGHDISTQ ISASGEPPVTQLSWILNLPSKSQRTASEIFALNVARRRWKKAYMDYWNSTANQTSAGR PVDALICPTTIMAAALPGKHVHPGSTMFVNVLDCSTVTLPVTHVDREVDAVEAEGYDV RDYEGAPVGLQLIGRQYEEEKILVLAEYIGAALRGVDVARGDW ACHE_41001S MPGGTVYNVSSGYQPEEGTYANGQSIPDLFTAGIIFTLADGNGT ISNRTGSEDERPNIWEPYLGSLFKLAQDVRPSAKNFATGLTEFRERYASLEHSQDAQF SGRPSNHTMWKRSRQFTDLRDSSRANSTPASYGGLRNALSPLVSNWGSALEHIMLPAR LLHKVDEEILVPNLF ACHE_41002S MMSLGDSDLNTHGDMLRRRAFTQEGEPVMDIDPLPYKHHQGLIA VSVMAILSFIATVILLGFITYRMLFWRSNYARYIGYNQYIVLIYNLVLADLQQSLAFL ICIKWIAEDKISADTAACFLQGLWLQIGDPASGLFVLAIAIHTFLLVAMGRKLSHRVF VAGVIGIWAFIAILVIVPLAVHGRYVLIPSGAWCWISEKYENMRLATHYTWIFLAEFG TVVLYAIMWFQLRRRIAQSAILGTSHTESLKRLRRVIGYMVIYPIAYIVLSLPLAAGR MATAQGTTPNVVYFCIAGAMITSSGLVDVLLYTLTRRNLIIESEPSNDRSYGKFASSK GRKTDNHLTTITADPNRLDASQMGTRIYHEDPDHTVRDGSTDNIVQPQGMELAPIGKV YQQTTIEVTSEPAYPTGANSEHSSKDSMDHHHQDGLHEPSTRMWGR ACHE_41003A MAKADTKQQSVLVTEESGVNAGYFLTIDPSVEKSILRKLDFKLL PVVSFMYFFNSLDRSNLGNAKTDGLDSDLHLRGNQYSIILAVFNVTFCLFDLPSNLLL KKYSGKIMLPGMMLGWGSITLIQCAVHNFAGLLICRLLMGCFEAGFMAGTIFYLTQFY KRNEIAFRLSIFYGTVTIAGAFSGLISFGVFQIKHNHLHGWQYLFLIEGGCTLLVASF AIWHLPKSGSYCHWFNELEARTAQLRLLQDGSVRTGDKLDMRGALSALLDWRIAVWAV ACFCYGIGQTSVSNFLPQMVALLGYSTVKTNLYTVAPYAVGTVVLWLLCWSSDRFRER SCHLAGSLMITFAGYIILIAIDAEKHQAIAYFGCFLLCCGAFAPTALFHSWHTNNVPI ESSRAAIVGLMAGSANCAGIPSSFAFDDRTAPKYLPALIVNCVFLVTGVVVILGLGMW FRYDNYRRDKLQGVRLTAADIPTESLVGGWKDPSWRWTV ACHE_41004S MSGDTLAQKDSPIIIVGAGVFGLSSAIHLVQRGFINVTVFDKQP YHETLYDFENGCDAASADCNKIIRAAYGDETWYQNLTLNAIQQWNNWNQSLAQGQKLP PGMTKKDRIYVDCGNYHIGDEGPNPFEQRSIANLTKVGLGHTQYLFHNAKEVERAKSD GHGHAIDPFHLSRDGKGYQGYFDAVGGFVYADKACRYALHLAQELGIKFVLDKQKGLF ERFYESNGTVQGIITSDGAIHQANLTIIACGGWSPSVLPELDGLCETTAGSVATIQIP ANNPHLCQRFSPESFPVWQYKVRAGANGNLYGFPIDEKGVMKLGYRGTKYTNPQVQAN GAVRSVPITRWTAPESITGLPEKSVQVIRTFLDTYLPELQENGLHVTGTRLCWYTDSF DNQFVIDAVPGKTGVLIATGGSGHAFKFLPVLGSFVADRIEGGAKSAVGKEMLQRWRW RSLQDGEQPTNAIMKGLDDPNALQRVHLVQDLSDKAKL ACHE_41005S MEALATLDSRPARRKFSKPPVKVACLSCRTLRIRCDGQNPCTNC IAKNANCFYVPSRRGGPRNCQNRKRRKAPASIPVSVATVPGPEPAVQENDRSDTHATD TEERRSQQSSSFEGGVEQTTSGSLSGSGFSSEIGSDDADWFHQLMDLSEPGAGLRNVE MPIAEIESIFDSIFAGEQGGTEVPDANVHFPMVDLVQIYGSYGDILDAYYIFIHPYYP ALPPPERLPVYNRPLRQKSTFHPSSPLSLAIAALLVLIPHPDEKDPSRPEYVKLRRDT AHSFAQAALEAVEVDSELVASSSDPSSALSEGVPQLDRDPFHPFVPVCLESVLALLLL SVYEYAQRGNINKMRNRAGQALTTAMSISLHETVEEDEFAEAKRRAWWMTYMAVCQGS IVSSVPPVFNVYDPRFVTPYPESWKFLIEAQQTILEATTFVHDLDQAAKTPYNASWIP QRMQELDSQITSLLLLCKPSQSSSMPQTPVVGLDSPEVAALKAMGYIAEIKLQSARIK THRFNAFKDIPIFRRRHCDLEPLRAPTPAQSPITPSCCRMKIPPISSIPSPENSISNS SSISSSMPSIRFPFSSHVSSKICLHAALSIVTLLDNLPYPNPTNEIPWTIPPYLSWNS RVEIPRTMPTFACCAMQSGYALLMLCFKARAFNRYNRSGGGSRAVDSPTANASAGIGG NGSPSLNGFMNELQQNLRLVVRCLGNYSIAFEAMQGMRDEILGAVERGFGEA ACHE_41006A MGAIDYGNITLIPQGTAYGLLIGLAVLFCCVILIAVKLQKVYLE EDSGKSEMFMVANRTVGTGLTASAVFSSWMWINETVSSALNCYRFGLSAPMWYGSALC FQIALMAVMGVLSKIRVPYAHTSLEIVRMRYGQIGHIAFICLNLIQNIFGCSSMILTG SQLINGISGMHFAAATILVPLGVVLYTAVGGLKATFLTDFFHTAIALILILWFTFGVL VHEQIGGLYGLYDKVIALEDHDTAFWQKSFATEVKATVPGYNLAALCIFGVPWALGTV IGLSCRVIHTLPVFPTYPNPFTQDQVLTGFVMPYTIKALLGSGGVVGFFLLMFMALTS TVSSSMIAVSSILSYDLYKTYINPKATDKRVVSVSHLAVCFHGVFIAGFSLALNYGGA NMNWINYFVPMLTCPGIMPLIFTLTWSRQTRLAAVVAPILGMGSGIAVWLGATYSMYG VLNMDTTQEQAPAIYGAITALFSPALYSVLISFYKPYVFDWRNFLRIELADDQSASPP SSSPNETESEHESSENDKHPTTSTRPTTDLDNVSSPFDAETLRLLHRWYRIAWIMFVT ILLLTWVLWPLPLYRNWIFNKPFFAGWVTVAIIWQFVAFGGVVIFPLYDGRHAIAKGF RGLRGSIRSALRK ACHE_41007S MKFCPSISALLFSAPLQAETGVGVADSCCAALSASSVGHQVVYP HHQAFVESVVSYYSHSVRLSPTCIVQPESVEDVSAAVSTLVQEGGSLSCKFAVRSGGH TIWAGAANIEDGVTIDLSKMNSTTYNPENKTASIYTGARWASVYKALEKDKVIVAGGR GGPVGVGGFLLGGGNSFHSARVGFACDNVVNYQVVLANGAIVDANRNTNPDLFKALKG GSINFGIVTRFDMKTMPYEGIWGGVVTYDWSTAYKQIPAFVRFTDNIHKDPYASLITM WWYDSKTNTNSVVNALHYTKPVPYPAAYDEFKQFANTSDGVRIDSQYNLVEELSQPGD SRNVFVTGTHVNNAEIIRKCAELHEQVIEEAKKYAKSKSWTIVIIVQPWPKLFTERGS ENGGNVLGLERFDKNLIQTLYDYWWDDEADDELFHRLARSIHQQVDGYAKMINADNEF VYLNYADKSQNPLKGYGEENVKYIRHVANKYDPHGVFQYQVPGGFKVSNVN ACHE_41008S MTSNASPDLDSNQIDPNVAASKLIPLPVPKRKLITDLPPVHAVT TTRHGTSYWATTNTARITLTLPDNSIKSYFLKTLTNDLGKNILYGEYTSMQEIHRVVP DFAPKPIAWGTYQNNPETHFFLCEYRDMVLARDEMPDPGRFTERLAALHQRSQRQSLE GKFGFHVPTYSGYLPQYTGWERSWEVFFSKSLRVALDLEIKAKGHDSELDVLVPVVFE KIIPRLLRPLESEGRSVKPALVHGDLWYGNSGVDKVSGECLVFDACCFYAHHEYEFGQ WMPACNRFGEEYRAAYRELVKPSPPEEDYDGRLDLYKLRFNTHVSALFTDDISLRKQM INDMKGLVSRYG ACHE_41009A MIMGITHIVQFQFKSDVSPDVVKDTCHRMLALAHTCLHPKTEKP YLKSVTGGKDNSIEGIQNGITHAFVVQFENEADREYYCHKDPSHLAFVKRVVELVEKV QVVDFTHGVVV ACHE_41010A MAEVIGTISAVAGIVTNVVQAIQLIDTARDRVRGKSKTMKQVAT QLDSIYQSLSLVRDERPLQTAKVEQHCVVIIGIANELEACFDRLQSGQQHGSVRRFFS AMKNGDKDDAELSEILLRLDRAQNELVLRISVAQVGLMGNLNQGFKVSYDLLMDTNRK CQKILGTGLQLASQLQSRQPERNGQLVLGHTELQELGLVRPQEQVDESPKLDAKFTDN ITLDQASIIIGNVGVQNWEHTARVKATISANKFGNNLSMVVGNMEAFPMQRVFG ACHE_41011S MECVAGKDNINTLKKKIWDRSPARFEDTIIDYSDLKLYSPVVQL NYEEEFDVKNGEFLRPRRMITSNPLFPESKDPDVDIVVVVSGGATTQKRKCSESRNAN IPRKLPITQHQLICPRERTVSKLAAILDDMNIVHVRGTPASGKTRLSELLRDYYRKEG RRAFLIKRWEGLNFKNPWGSLVELVEKWNDEAQEAPTTTSQSEQDLSWVLTSNTVIIV DEAQATYSDDTLWNTIFKERLTPNVYKFRLCLFCSYGSPATGPDPTFFTPVKFSDEQR ISLTPQNQQDSPPIGLFYDKEEFRDVIS ACHE_41012S MKRHSILMKVPWITNGHPGAVTSIVDVIYEAYRHDIKRGCIRTL TENHVIWFLEDTATVFDKLRSKPVNRSFPDISRATNGISVILSKITEGSIPFDINDAS IKFCYQKGWIHRVALDGGDIAVLPSRLHENASQPRPVKAQYQDEFYRGFVHVAGQGVP ISSEWSRTKDGRVDFYIPEKKWAVELLRDHGEVDEHISQSSQVESIIPGWRRR ACHE_41013A MTLTRRSITEVAKNPGQLDKLIHAFRKIQEADPGEPGNPNLKSF YVIAGLHGQPFRGAGYANSAWWGGYCHHGNVLFPTWHRAYLFHLERALQAVPGCQDVA LPYWDEMEDELPEIFLRPKYKFTNEAYGTAPIDNPLHSYVLQQGFFDKLARYVKSEGN DEPKLQDYGKHTGYRTVRCPYSGLVGKDDLKETIQHNWMVDAMGMGYADKVLNENVKR WLHSGVIPGRDQWDSGYVGAKMDYQRSLEAPNYTVFSNITSAGRWNDDNISHVSSPDY RPQNLNKYVSPLERPHNSMHLAVGGHDFPDLKENEVRFKAANGDMGENDTAGFDPIFF FHHCFVDKVFWSWQEYNRKKIEVIPDYPGTSSVDEQGPTPKMVADSALDENTPLYPFK EDPVEDYGRYLTSVVSNFERKSFSVTLRTLTRACNDQDVASTRRLGYIIIII ACHE_41014S MDYQILSASSTAKTKDNWVGPGIYRLTSAVHDGKYLAVDDHNNL VVASGSQENNDPKQQWQIAYAGKMNLKGDSKEAFVTEFFLINVKTGSYLIDDDNDSLT MGVSPPTFNGKTRWILVNRRITEKNQAFDDRYIRKNTKDAKELACNGTEKAEAAKALA CNGTAINFIDYVKDEPSNDCQWHIVDNIAE ACHE_41015A MGVRYCIASMFSVDTECPNRDLRELAAGDTTDNVIYDFYIVREL NPRVTLPFFWEIDIKTWCEVCPSLTGWILLDLAFIAQQYRNYSYISDSIVFTTAHPDQ DGHYHDGLGFMLSFGDLAWVPFLYSTQCRYPAAYPVHLGWTRIAAVSAIFILGIYIFK AANNQKHTFRTQPNHPAVAKFS ACHE_41016A MATILTVCTAQVPANVINHFLKESLQGSPYAFDLFCILQTTNQT EVDRKGTKSDIQDFASDFQDKTEDEVRHLIREWIERNGRSGGISNRWITVLDSKSMED ETLLIYYATKKSYWDKTHEQPGVDASIPGHARVCEDGYIWWKWRVPFRFAFYFWNSIT SCGMEALELYCRPGYLRADGSVDMDTCSKIIDGDIEDPMGRM ACHE_41017A MKTVDAILLTGLLASSASASTCQAPGPYTGTPFSYVQPLNTTIL SPYGHSPAVYPSPKTTGNGGWEKAIVKAKDFVSQLTVDEKAWMATGQPGPCVGNVLPI PRLNFSGLCLQNGPQCVQQGDYSSVFVSGVSAAASWDRNLLYDRPYALAKEHKAKGSH VLLGPVGGPLGRSAYGGRAWEGFAADPYLTGVCMEESILGMQDAGVQANAKHFVAYEQ EIQRNPTYAPDANATTYIQDSVSSNLDDRTMHEIYMWPFANAARARAASFMCSYNRVN GSHSCQNSYVLNHLLKNELGFQGYVMSDWGATHGGVASVESGMDMTMPGGFTLYGELW TEGSYFGKNLTEAVNNGTVSVDRLDDMIVRIMTPYYWLNQDKNYPSVDASVGPLNVDS PPDTWLYDWKFTGTSNRDVRSNHSSLIREHGAASTVLLKNERNALPLRRNLRNVAIFG NDAGPITQATNVRGNYQYGVLANSGSSGSCRFSTLSTPLNAISSRAEEGGAIVQVWMN NTLVIENGASALLDPQVPDVCLVFVKSWAVEGEDRQSLEFDWNGSAVVESVANYCNNT VVVTHSAGVNVMPFADHPNVTAILAAHYPGEQAGNSIADLLYGDVNPSAKLPYVIAYN ESDYNAPLTTGVATNGTYDWQSWFDEELEVGYRYFDAHNIPVRYEFGFGLSYTTFNLT KLVAKANHPSNLTTLPAQRPVQPGDNPALWDTVITLEAQVANTGSVDGFAIPQLYVQF PKTTPSGTPPSQLRGFDKVWLRAGESKTVTFDLMRRDVSYWDVTAQDWRIPTGSFTFK SGFSSRDFRANTTATLVR ACHE_41018S MAIELRTHIDYTVGWVCALPKELIAAAAMLDETHQDLPRQPNDH NSYTLGRVGVHNVVVACLPKGEIGNNNAATVAARMTSTFPSIKFGLMVGIGGGVPKSV RLGDVVVSTPTDEFGGVVQWDFGKAQQEGIFKRTGALNRPPTELLSALTKIEKEHTMK GSKIPQYLKDLETNWPRLAPKYTRSELLKDVLFKADCKHIENINTEESQEKYDDGEED EEDEEEEEGNYCIHCDQTKIVRRKPRDMRVHYGLIASGNLVVKDAPFRDKINKTLGGK VLCFEMEAAGLMNDFPCLVIRGICDYADAHKNKNWQEHAAAVAAAFAKELLLLVPAQE VGQMPTIKLNAKNVFSLQC ACHE_41019S MTGLHLAAYFGLWNLASILLGKNHDIEFEDKDGRTPLSFAAGNG QEAVVKLLLEENANVESEDKDGRTPLSFAAGNGREAVVKLLLQQNANVESEDKYGRAP LSFAAGNGQEAVVKLLLEKNANVESEVKDGRTPLSFAAEYGREAVVKLLLEKDANVES KDKDGRTPLLGAAGNGREAVVKLLLEKDANVESADKYGRTPLSYAASKGHEAVVKLLL EKDANVESAVKDGQTPLFVAARLRQEAVVKLLLEKDVNLKIKMAGLRSLLQLRMGMRQ L ACHE_41020A MTASFEWRLIDILHDAESQRPNNTEFALIVLNQPLRAGRLFESL WRNAQVRVAADGGANRLYDLLQSTQYHLQDQDVGLDAIVGDLDSLRLPAKQYFTSLDK PARVICEPDQNFYDLDKALSWVRSNYAANMDVAILGDFGGRVDHGLRQIHYLYVFQPG PAYADGRVFLITSQNLSILLKPGHHQILVQEHDGGIIGKSVGVIPVGKPSFITTKGLH QDAKQLKIVLGERIIRGNVLPGMSTIEIETSDDVLFTIDVLDI ACHE_41021A MDPPTAAQQSLRAYDIAPALESYEIPVDTYISQNSSDQLVGVLG TAVIIHQDRVLLIQRVADDDYPDLWEVPGGVADDGETIIECVVRELREETSLRASAIS TMLGEFEWEDSIPVSSSHPRRGKWKIFTFLVVVDGLNEDHLDITLDSREHKAFLWVTD KEVGSDLCGDVTLDWISLNQKEAILTAFKKVSTAV ACHE_41022A MLRDHDIQQLAGKLRTVGQENRRHHENLSNLLDNFNSLLESYNL LKSDYEEEKEAREKYKKMARGQERNPFVLVLVDGDGYPFHEDLIRSRNDGGITAARRM TDSVKELLHDRLGDQAEQCRIMIRIYANVLGLSKALARAGLVGHEARSLAGFAASFTR SQDLADFIDAGDKKEGADHKIREMFRLFADINQCKHIFFAGCHDVGYLSFLMPYRGMA DRITLLKGPSFHPEFRSLGLSISEIPSVFMSSPLGIGPEPITSKSAVPKSAVCWFFQK GICKYGNECTKQHITPQQHEISKPQDDKSNTQSTVREMKHYTKMPQSPSSKDEEPLIH VNKNGERIDTAISQPTNEEWNAYARRAKQHKLCNQYHLGGECSNLSCQFDHSNVDDSL IEVMRYIMRQHVCPSGPDCRLSKCYLGHMCQKPGCTGGKPCRFNQHAHTLDRQVWGTS TPTDTCSYDSNSSDESYAA ACHE_41023A MMKLVLPLLGVSTLYAAFYFAQINGSRDLALQSVTSKTLPGRDD PLRTFYTGIEPIDRLLTVLTVFFWPMTDGSTPTLTLHSLGFAGTFGSAWMLLTVESWR KGNAWTIAAFPFIFGILAQTLTFAFAAPLYAGLHLIFSSTASRPNAESIRAPRAVLNV IPYVFIIGYLVPSLLLIAPLSETITTDVKQIIIAAWQPWPLYISILTTVAHILFSPFV SNDKTIDGGRATLGSLRKVYAVAFANTAINHLIPWTISLFTILEPRFFNKEFADALHP LNVFQLPLPWAQPTLQITELGAGVHVFLRWDYVIGSTGVLLWALSLHRNAHRAILGKA GGLRLLIKVALLSVVASPVGAAVELMWERDELVVHETGGLKSRVSGSKKSS ACHE_41024A MQALIMLPELGESLTSFEIKNYFQTTRNLLDVDDQEEHTTYPLR EFARDSAKLEEASSGRVHWIGSNRAIDNLSEVVVKMPQLEELSISVTQKSHRYIKDHV LPVMPNLKNLFLI ACHE_41025A MTVNGHCDPRFNSVRQLFERQISSGSELGASICVNIAGTNAIDL WGGYTDEERTKPWTENTIVPVWSSSKCVTNLAALLLIDRGLLDPYARVSQYWPEFAAN GKEDVEVRHFLSHTAGVPAWEKPYPDMYDVPAATERLAGQAPWWKPGTASGYHVISQG HLVGELVRRVSGKSLGQFIRDELATPLNADFRLGAEEKDYPRIADLTKPEAPPVQFKS DPNSLPLRAMKGTPVLAEHVTTPAFRNAELGGGNGISNARALNRILSTITLNGKVDGK RIISPETINLIFREQSNGPDLVLQVHVRFGIGFALPARQTVDWIPDGRLCFWGGWGGS MVIMDLDRKTTITYAMNRMGGGTIGNDRSEAYVREIYAVLDQLPRAAL ACHE_41026S MDQQLQHSTTRNDFSPSSSSSLNQVPEPTPISRRPTQVELSRTE TSQLHHQSTVRSRKARAPRDEWLPFGDKEFPPDLPDPEKYVVDFAGEYDPLHPHNWPT WRKIMQSAILTYSTITSSFCSAIFSSAVGSVSAQFGISTEVATLGIMLYVMGFASGPV LWAPASELYGRRWPMTIGLFGLSIFTIATATAKDVQTIMLTRFFAGFFGACPLAIVPA VFADLYNNKYRGAAIAVFAMGVFVGPFVSPFVGGFITMSYLGWRWTMYISAIMGFFAT VLLLLLFRETYAPVVLMGKAATLRRQTRNWGIHAKQDEVEVDLKELLTNNFSRPFMML ITEPIVFLVTLYTSFIYGLMYALLGAYPVVFQGIYGMNLGVGSLSFIGLIIGELLGGA FTLMGHPAYVKKLQANNNMPVPEWRLPAATLGGTVFTMGLFWFGWTGFTKDIHWMAPI ASGVFVGFGIYVIFLQCFNYLIDSYLHLAASVFAANTILRSAVGACFPLFSRQMFQNL GVQWAGTLLGCLAAIMIPIPLGFLFYGPALRKRSRFQPPQAVFHEKH ACHE_41027S MAVPKPSSVLQYLLAENQYQHLSHHVAHQDIWIQLQTLQRLLCI RPPHPPLPESIQNGIDIILQYQQSHKLLTPSETIRPILSIPNTPTSLGLWKGDITTLT NVTAIVNAANPQILGCFQPSHRCIDNVIHSAAGPRLREACDAIMQEQRDPEPVGGVKV TPGFNLPAEYVLHTVGPQMRGKDGEPTAEQKERLASCYRSCLDAMEELPPLADGRKVI AFCCISTGLFAFPPKLAVDIAVDTVVERCKDHPETSVTDVIFDVFAEGDWGLYEKKLK GLKSLYSPVQKPLPPSLQDIHTQHPSILKAQQWIKEADTLIISAGAGLSAATGLDYTS PALFEKYFPAFLPLGLNRLYDVFGFQEWDSPAQKWGYYFNHLNMVKTWPKSSVYAALQ RLTKRFESRYFIRTSNADGFFVANGFGEDRITTPQGQYRYLQCFAKCHRDAVFLSEPF LTAALPYLDPGTQYLTDTSKIPRCKYCGGELTLCVRGGDYFNPIRFLPQEERYKAFVE DTARSSNTVILELGAGMNTPVVLRWHDEDLVRESEGNIRMIRAGIGAAGCAPWDMEEW NVAVGIEGGLDHVLDVLIDSPSFQS ACHE_41028S MQGTDALAKAFSNDAWAKSTEFEDQYMRVTPSIETASERLSWLN KAAFVGKGKLDVTPEGTLVVYECFKVD ACHE_41029S MGSSMVSGLRDGTILITVHAMSQSFSGGLVYEYSQESNNYGLVQ LNTNGTATLRIDYENLRIRYTKLEMKKVQTVKHSQTSVRSPPCKSGLIENSKSNSFLD TFDLPSRPLKVQDMIDHGLEGSRTGQLVDVFSITTPQTVYDHTGKELPGIRLKVLASS GSNAPGENTSGSSSFSSSSPHSARSNRGGSGSGSSNGKSSAISVSLSVSFVGLATVVL FC ACHE_41030A MASLWIYALSILILYGASKLLRMGARERSLPPGPPTVPVLGNLH QIPLTGFYKKLLEWGEKYGSIFSLKVASGTTVILLDRMAIHQLLEKKGSIYSDRPRDH VVSIIDSGGLALWDKNAHWMMQRKVTSSSLSPSQVEGKLRQIQEAESAILIRDLGLTP QRFFEHVKRTIFSINGIVSWGFRAPTYDSWWASGACDVSDEFFSGITPGAYPPVDQFP FLKYLPDLMSPWRIRAQQLKKRVDAFWGDARRRLDKRRQKGDKRDCIADNLLDGTQPI DMPLTDRQFNDFLGFLVAAGSDTTSATTLTSIRYLAGHPHVQKKAQAELDATCGADRL PLWSDYGKLPYINCIIKEGMRIHPVVPVVFPHRARQDNWYQDMFIPRDSLLLIPAWAL HHSEGCGYKDPERYNPDRFIHHPKLAPTYAASAEYMNRDHYAYGAGRRLCPGIHLAEH MQWHITATLLWAFDIVPATDPETGEEEHLDLDAFRDSLVQHPMPYKVHFKIRSQAHME TMMRVAKEAESYLQQFEEK ACHE_41031S MAALETFAATRSELFAELQEDLEQRGNPSVNISRFLDCLTATTE GGKLNRGITVVKADYVLLPNPPTPQEHAHLRILGWLVELFQAAYLVWDDIMDGSEYRR GRPCWYKGEGVGLTAINDACMLKSCIFMLLRRHFRGHPEYAAFVELFHEAAFRTELGV TDLTAVGISRFLTEQYQLMPD ACHE_41032A MDLPTWRPAPAAQIDLQKEWNDFRKRVKFDRPEFRVSALVNGEQ VSRTLVDTGCTTYGMVSENFTRKHQLERVTIKPRTIDDYKGPTDDCIREVAKISLNVG GNHQNTAWLYVVPKLGRGLDMILGLAWIDDQQVFIDPNGPKLRFTNGIVVSSMEDQPR MDIQPIGANAFALWNRQKKKDSSVQIFAASLKDIEKALRPKLPTDPRTKLPPHYHKFL SVFDRKEADKQPPHRGPNIDHKIELNKNADGTTLEPPWGPLYNMSRDELLVLRKTLTE LLEKNFIRVSNSPAAAPVLLVKKPGGGLRFCVDYRALNAITKKDRYPLPLINETLERI GKAKWFTKLDVIAAFHKIRVAAGDEWLTAFRTRFGLFEWLVTPFGLANAPSTFQRYVN WVLRDFLDEFASAYLDDILIFTDGTLSEHQGHVCKVLGRLQEAGLQIDIDKCEFEVKS TKYLGFIIEAGKGVSMDPTKVEAIMNWAAPTTVKGVRSFLGFANFYRRFIRNYSELTT PLTALTQKDKPFVWDDKCEESFQQLKRMFTTAPILMQFDPDRETVVETDSSGWATGGV LSQYDDDGVLRPCAYFSKKNTPAECNYQIHDKELLAIINALKEWESELISVVNFQILT DHRNLRYFTTMRRLNERQMRWADLLSRYDFTLHYRPGKLAGRPDALSRREQDVPVLGD ERLKHREQRLFDPEILKDGPVEGSSKRGLVEEPHPINVSRILLAPVGTEPCNSEPSAP QGYEQANEPTNLNSERPSLEELLDMTLDEHWARVEPLDEKYGRIREAVQVGAPQFPRE LGIKASISECSIEPNNRLCYRGRRWVPDIESLRTRLLQETHDSVLTGHPGRSAMYAIL ARRVYWPAISEDVRRFVRNCDKCSANNVWRDRRQGLLKPLPIPDRKWRYIAIDFIEKL PTSNGYENIMVIVDRLGKGVIPIPCEKIDTYTVAQKLIQSFIGYHGIPASIVSDRGRQ FTNEMWKRFCELLGIKRQLSTAYHAETDGQTERMNATLELFLRSFCDHTQSNWASLLP MAQLAICSRDAASTGVSPFFLDHGYHVDPFQLEEDVEINLSAPDLGTMRERGERIAAK LRGALDIATTELAVAQQKQEDYANRRRDVAPEYQVGQKVWLDLRNIQTERPSKKLGSR QAKFTVLEKIGSHAYRLNTPGTIHNVFHTALLRPAAMDPFPSQRKDDYQPPAEMINGN EEYMVERILDERFRRWGRGERHEFLVKYIGWQEPEWNDARNMEDTIALDDWETYKTMN GIVIQSALSIPNEPPHAGGRSRRRRGGG ACHE_41033S MGFAAVTEWAHRVNLAVARSPVGKHFRLEGSGHPKERKGSYFFT EIRAGLATFFAMAYIISVNANITSESGGTCVCPPESMADRCNTNTEYLLCVQEVHRDA VTATAAIAALATFFMGLLANLPVALAPGMGLNAYFAYTVVGHHGNGLIPYEIAVTAVF VEGWVFLAMTLLGIRQWLARALPASIKLATGAGIGLYLTLIGLSYSAGIGLVTGATDQ PMELAGCSDAQRDASTGLCPSADKMRSPTMWVGIFCGGVITALLMMYRVRGAVIAGIL LVSIISWPRPTPVTYFPHTEVGNSNFDFFKQVVTFHPIRKILVAQEWDLSGHGAQFGL AFITFLYVDILDTTGTLYSMARFAGTIDEETQDFEGSSMAYMVDAICVSIGSLFGVSP VTAFVESGAGISEGGKTGLTSCVTGLCFFIAVFFAPIFASIPPWATGCTLVIVGALMT KATTEINWKYHGDAIPAFLTIAVMPFTYSIAYGLIAGILSYITLNVIVWIIEKGSGGR IKPPNKEEDHEDWTYKLPGGFIPPWLKRAARGKKDFWRDEESSAYASGVIETDGSLSS RDHHLHDTQEMGEKAAPVVAGKPAP ACHE_41034S MTDIHRPLAPVPRGTRRERNGDDARQQPRKRTNIGVACTACKAR KLKCSGAPPCQNCLKNHVECTVDNTSDKRRKSGIKRKLETLEDRKDLLLNLVRTLRDS ADRRVLHLLDLIRSDASLAEIQFYLDEDRPRGESEGKKVTPKSLEWEQKNGNGAQSES ESPATRAVFEPEQLADVPIFDVPAAPWTNVTQDARLVSQLVSLWFTWFHPFFNWLDRD LFIRDMRSGDLRVRCCSPFLVNAMLALACTHSNSAQAYAVPGGMASKGAHFYSEAKRL FSQEEGRITLATTQALALLSVYASLTCKERSSWIYRNNFAFAVDELSKQHPISRPTVH HRVIDHTIWGLFNIATLKALAFCKVPTIKIPNRPLPSLVCSAEDVWHPYPLQLRGMQA HGSCVFHALCGLNRIVHDLAWVFFGGGNPPQADLRTQTKEFHSRLRDWENQLPVCLRE QEETQVPHALCLHMYYYCICITISQYAPSIKPDPDNTSPFPNPNHLPDTPSLFYARKI SALMRIHRSAWGADRIPVPNMLWVTTALLILLPSLSNPQNREAFIRLAVSAKTFARRW AVGKAKLELVQRRARDMGVEWPVEIGALFADEEAQVASGSGSSRKRARVGS ACHE_41035S MKLSLATLTALLVALAHAAPVPGDSTEISGNALVEANVLNDAVK NVGNNAASGLLKEVDGLVKQLTEALGGLVPLRKRGDETEITDNVLIKADVLNDAVKNV GNDAVRGLLEELSGLLGQLTGALGGLLKRDSTEITDNALVDAKVANNAAKNILNNAAK RHDDIDLPLDLNDLLKRDNTEISDNAGVDAKVANDAAKNILNDALKRGDDTEIKDNTL IKLNILNDALKNILNALASS ACHE_41036S MQLSIATLTAIVVTLANAAPISPADQIAAIVDGKPLPQHAPHRE SSKDDSKDVVDLLGHTQLLNGNGLNVLGGKASGGISKRQELERLYPEHYGSVESSEDD SSDVLDLLGHASLLNGNSINLLGGKASGGISKRQLGALTGLVNGAARKRGYPQQEHNK DNSADVVDALGHVQALNNDGINVLGGKANGGIGKRGHPEVEHNKDNSKDVADALGHVQ ALNNDGINVLGGKANGGIGKRGYPQQEHNKDNSKDVVDLLGHVQALNNDGINVLGGKA NGGIGKRGHPEVEHNKDNSADVVDALGHVQALNNDGINLLGHSASGGIGKRGYPAEQE SNKHDSEDVLDVLGHVQALNGDGINLLGHSASGGIGKRGYPAEQESNKHDSEDVLDVL GHVQALNGNGINLLGHSANGGISQRGYPVEHNEDNSADVVDLLGHTDLLDADAIALLA QNANGGIIKRGYPVEEEHNKDNSEDILNLLGHLQLLNNNGINLLGHSADGGIDKRSDI DNSEDVANVLGHTKLLNNDGINILGGSANGGASHKPEPKPVVIKEKEHKPEAPEQDHV AVVGPSFEANQVQQVHQQNHQ ACHE_41037S MSSDKHNDVPAPAYHETEMPQAMPNAPIIIEPDHAYVQPNETGQ SYIQPDHAHPQPTQAPIQQQQLPVQQPVNSQYPTATPLHALQSSPAPVDCPACGQREM TQVIMESGNTTHAWAAILCFCCCLGCLPYLASSLKDANHHCAKCGVLLATWHNSGKVE VVHGRQRQAPARKEKK ACHE_41038S MPALPIDDISSASSEILHGIAKRGNWASKNPGPILVFCIVFVVG MGIALLVVYRFMMAKKAARQSYEVE ACHE_41039S MIAILTSLLTFVLATLYFTHRSLRSTLHAHHECTLPPQITTRIT SLPADLTTHPEKYIIFYDQASRPVSRRLLPDLPLPELLTALLRRNMAAFSHFPQAWLL RLNCPTERVSFCSSYIQKLEFGQGECVCGVYRVAARKEDSVELEMSRGDVVGRLVIGY VVDKEQDTVTFSNETVMWSRKDEDGGGGGKIPLENRVVRFFHEMTAWWLMDSGVCYLM DMDGSEVDERVAFEKDGE ACHE_41040S MCNYTYHHDPTCGHIASFNVDTCTAFTSSLRMAESETDKHPVSC TKAIHSHDLVSPANPSLCLQCEQEWMERAKKRVKAMALGETLLDEYEEQPEFVQLEGL DCPPKVGLTFTFSAPEGKQKKLIGLGITMDDVEQKNHDADVENDIFINAGVDYLINKD DDGPECDYENAHEHDTDEDGESCPTNSPFTSQDSSFFEQRQYFDFDFDYDYENSPIPE EYVHVSAVDDESSGDDDIELVYLDYPKATPDHGKLLHDLESSSESIEIIEFFDLSRAS SHPEISFGDEENMQLVSGTPRSPRTKEFKEFEESVNDAAPSVTHIMDFRRFFRRSL ACHE_41041S MCCYNLEGILATVRAAEAKRSPAMILLFPWAVHYANGLLVHAAA EAASTASVPIAVHMDHAQTPEIIRRAADIGGFDGIMVDMSHYEKEENLALTRELVAYC HERGIATEAEPGRIEGGEDGVADTADLEGMLTTPEESEEFVATGIDWLAPAFGNVHGE YGPRGIQLEYDRLLSIRQKVGDRVRLVLHGADPFNKEIFQKCIECGVSKININKVLNN EFVRVWGERAGKAPLTSVIEEATDAMQKAVERCMDMLGSTGRY ACHE_41042A MAALRSTSRLFASSRPLFRPAVFARSYATVERDNPTTATGYANP KTIPATQTSDVAEQKPSPDARMKTFHVYRWNPDQPTEKPKMQSYQLDLNKTGPMMLDA LIRIKNELDPTLTFRRSCREGICGSCAMNIDGVNTLACLCRIPTETTQQSRIYPLPHM YVVKDLVPDLTHFYKQYKSIKPYLQRDTPAEDGLEFRQSPEDRKKLDGLYECILCACC STSCPSYWWNSEEYLGPAILLQSYRWLADSRDERKAERKAALDNSMSVYRCHTILNCS RTCPKGLNPALAIAQIKKELAAP ACHE_41043S MRYTSLFTAAVAAFTTTTTAISVSGSAEGFAKGVTGGGDATPVY PSSTDELVSYLGDDEARVIVLSKTFDFTGTEGTTTGTGCAPWGTGSACQQAINSNDWC TNYQPDAPSVSVKYDNAGTLGITVASDKTILGEGSKGIIKGKGLRIVSGASNIIIQNI AVTDINPQYVWGGDGITLNDCDLVWIDHVTTERIGRQHIVLGTKEDNRVTISNSFING ESDYSATCDGYHYWGIYLDGNNDLVTLKGNYIYHTSGRSPKIQGNTLLHAVNNYWHDN SGHAFEIGEGGYVLAEGNVFQDVTTPVEDPVDGQLFTSPDSSTNAQCSSYLGRDCQIN GFGSSGTFNQADTSLLSKFKGQNIASADTYSNVASSVTSNAGQGHL ACHE_41044A MFFLKSSVFCLLAASSIAFLASTQSKQVDFPLPDSLPDPSPSQI ESIQMRAGGTLPNTPPPMRISSEGINNLKVMAFTELSEVAFFDELFRNITTNVPGYQI ANAQERDMILKSLTTLLAQAELQTIAENNGLRRFNLNTVRPCHYSFPVSDYKSAIALG EVFTNLKLGTMQDIVTRFARGSDFDLALFATSIAGQKGQQSGWFRILQNKVASAVPFP TTGNVDFLFSAVQRFIVPSNYSSIVDIPLRTFQPLNILTPPGPQTQLIRVSWKPTTGG NPGQLWIAYINQLNTPIVERMNIISSNNETVVAEVLFPYEKYLLNGLTIAAVTTSGGP FANAAEVSQATAFGPGLITVN ACHE_41045A MPETSGASKKDIFNEPHWTKTHSHRVGLRDGDDRFPGLTHSGDD WRFAIEEEAEEKIQELKEKAARGELLTVRDFIAKQEDFEFKRPEVHPKNRRYVLHITE DFIKEGQGWLINEKKRQHEEEKRQTQDTDKEEDSEPESKKGKKENESKPEYSPEQQAF LRNLCEEAEHIRSMKQNDGKGRSPAWTEMTSTQIDELDQFSPDNWIARPDTLIRITGK NPLNAEPDLSQLFDAGLITPNRLHYVRNHGSVPNLEWANHKLEISAGRSLALLMDDLT DQFESVNIPVLLACDGNRRKELNMIRRSKGFNFGPGAVSCAYWKGVLLRDVLLKADIM QLLDDYPSASLWVHFEGADELSEGRYSTCIPLEYAMDPNNDVLLAYEMNNSPLPADHG YPLRLIIPGYIGGRCVKWLANIWVTDRENDSYYHIYDNRVLPRFVTDPNSEFADILFH NPSTICNEQALNSIIVKPAQGESIRLIDVKTGQTYRIEGFAYSGGGQEVQRVEISLDG GENWLYCVRRYPEAPLRHGKKFWTWLFWHVDVDLSRMLEAQTITVRCFDVGKNTQPNT PSWNLTGMMNNCQYVVKPQVINAPDTNELLLMFRHPCEPGSGEGGWMKPSTEIQMEQA KRSAIAPQKQFTREEIENHSSERDCWIVINDKVYDATSVLSWHPGGITPILNHAGRVH SETTEAFESIHDDFAQRKLQECALGVVTDKVKEFMKHMAEQKAKQRAMSARRDTDVAL SRHKWTLVRLVRKTKISGDTSRYTFQLPPSSKTLGLETGQHVQLGFHFRDRLVFRPYT PVWPILSSQDGGSFDLVVKTYYPDPGQPGGTMSNILDCLREGEVVEVKGPSGQIRYQG NGEILVDNKKYSFDRVTLILGGSGITPGYQLVARILLTEEDRTEIRVIDANKTEDDIL LRTELDQFAQDNSDQFSIIHVLSNPGKDWSGETGHVNERILRQYAFEPDDRSVALLCG PPAMIKKAVLPVLKDWGYHEDKNLFGF ACHE_41046A MGVTPEISVYNDDKESGESKAHTRDTHLSVEEFSPTLEEGFRFR PSIHGEDVEDRFEDEDSGARHRRRLAKRQNAGWRPGVREWLVLICVSIVVMMDAFDAT VVIPLVPYLSHAFARPLENILWLPAGYLLANAGGQMIFATLSEIFNYGSLMIAALLFA TAGTGICGGSMDFPDLLAGRTVQGIGGGGVMAISLLIVMDTMPGSHWVRYIGWLSTVR VIGAILGPLLGGLFVDYVRFNWAFYFNFAFCALGLLVCPFAVDLGSKKGASLSKFKRM DWPGCILALTGVGLFLVGMCWGGTEYYWKDWETVMPITVGGVMIITLVFWEMLWATRP LFTLRALGLQDPRSTAIAYIGSFLHGFLVGLFD ACHE_41047A MPCYERALIEHFSLLETQIVSFLQSRPSFAQDAVLFEERISKIP IRIDFTTLKEAKETFILIMNTAMNLSTRAKNSLVFNTEDESERDENGLFRYRDTYNAS MQFSAIPRTLTEECQLALTQWMHAFDALHRRTRANLCSGDGVDACPVPGVYGALHAFR MRLLEGQDGIRCVCPGL ACHE_41048A MVSRSSSFSDTDPPIQFNTPNPPVDMEDVPEPRKNYPKIFAAKV KRGWQRLELDIPTVLQMMKGAIPPTIALAMYQADSVSSEFTTLGYMVAIISVLGFAIM PRAKFIQMMVLDVLAVCVAISIALLMMYCCIHSRQHATTGYRTALGAYNSSASAVSGV WLFFQTFMVHSLRAKFPQFQFPVIIYSIIAVVTSTYAPQFTSMATAIGFATRLLKACM TGLALTTGVSLFIFPLSTRTVVFKEMAGYIGGLRAALKAHSNYFVTLERDDMFGRTET FDETVEKMGKKGKVYSSEAQAIHAAVQKITELHGKLHGDLTFAKREFAWGELGPDDLQ MTFRQLRKVMIPVVGIGFVVDIFQRLSEYNKWNEPIDPNANDLPTDAIRQRVVREWND IMRAVHDPFASMIETIDEGLQHVSYTLRLTKPPKKKAAPSPANSDMSDNKDGGDIEAD AGKVEPGDKGFAEHFQRKLSEFRVAKKIALQTWAEEKGLKLPDDFFDHPSAMEDINDL PADVTGFSRDRNRRQLYLFLFMEQLLFASGQTVLEFVQFADEKRDSGKLSRTRLIVPG GKRMVKWLASIFHVGDTHEDDNIGDINTQGNILQLGEAYKYRKDPEHLPPQTAFEKVG DKVRMFSWMLRSTECAYGFRVACATMTIAVIAYLYDTQEFFVRQRLFWAIIMVNLSMS PTSGQSIFGFVLRILGTVLAMIVCFLSWYIPDEHTAGTLVFFFIFVSCAFYVPIKMFR FRIIGIITIITASMIIGYELQVRKVGEQIATSNGQTYYPIYLLAPYRLATVAGGIGVS FIWTFFPYPMSEHSVLRQSLGASLYLLANYYSLNHETVSARIRGDEGDMALKTSSGRR LEKARNQVFSKQMLMLNGLRTYSGFLRWEVPVGGKFPKKRYDSIINCIENIVNYLSLL GYASEALSKLGTNESESAWIHDFKALITQARITTHEITSVLCLLSASITNRQPLPPYL KTPRPYSFSKRLEALDKDILSIRHMAEPGYAAFSVLQISTRCIVGDVDRLLRDVKALV GELDFSFHAVSTRETSKSSMNVTRSSMYASGLSRASSFRDKSD ACHE_41049A MENLERTCASLRAQISATETKLAGLRRELESAEKAAIDIRKQED TKTEEENKNRETRTWPLLAEEYRRYGRQMIVPQLGLQGQLKLRSSRMLIVGAGGLGCP AAQYLAGAGVGTLGLVDGDTVEFSNLHRQVLHRRRTVGKFKVDSAIEYLQELNHHSTY IPYRAHLTPQDAPEIFKDYDLILDCTDNPATRYLISDTAVLLGKPLVSASALRTEGQL MVLNNPPRPAGDATGGPCYRCVFPKPPPANSVVSCADGGILGPVVGTMGLLQALEAIK VITASDSTPRNPPSLLIFSAYSSPQFRSIKLRSRRANCAVCSAEAKVSLDTLKSGSTD YEFFCGTATPETLLSPEERISPQEYRARHPDTFAAGTTPAVDGKHTMIDVREKVQFDI CNIDNSINIPISSILSSRPTPAAEDGIPAPLPSWLPRDIASPDSTDPVYVVCRLGNDS QVAVKRLKELGLDRGGQRFIGDIQGGLHAWRRQVDPEWPEY ACHE_41050A MIFCRQLSCLVTLLYTSAGVLGYPACVKAPAGDCTYPSLINATS DQLQDGLSKGCFTSADLVKAYVKRIHEVNSTLHMVLEINPDAMQIAQSLDEERKRGST RGPLHGLPILVKDLIGTNDKMETAAGSYALVGAKVPEDSTVVAKLRESGAIILGKTSL SEWANFRSSDSSDGWNARGGQTYAAYIQDQTPSGSSSGSAVSADLGLALAALGTETYG SILWPSEKSNIVGIKPTTGLTSRHMAIPISERQDTIGPMARTVKDAAMVLQAIAGQDP KDNYTLASPFSAGFPDYVAACKSSGLQGKRIGIPRNVINHNGGNDTFVVPAFEAAISE IEAAGATIVDDANFTAYEESVNSNTYIEMMALDFISNIANYLSGLKINPNKLYSLNDI RNFTQHEPLEDFPDRNTESWDMAVSVGLNNTSPQFWSLYQQALKIGGDGGILGALTRQ NLDAVILPTAVAPAIPAMVGSPVITVPLGVFPEGTPEIKDRGVVYSAPGIPFGISFLG ARWSEEQLIGMAYAFEQRTLKRDQLRRIIEPKTELRDVL ACHE_41051A MQNKHYFVSIEGLHRIQTEYSTDADPSPPPLRRAPPITTTITGT AKVSPWYNPCGLSRKTKWMIIMTGLLVAGVILGTVVGGVVGSRNTSDRYPDYSPLQYR LTNDYMGPDFFGHFYYFSEDDPTRGTVDYVDRATAQDLNLTYTTDNSAVLKVDTVNPA TERGRRSVRIESKAQYDHGLFIIDITHTPVGCGTWPAVWLLGPDHPWHGEIDIVETDN LALDGNIVTLHTWEGCRVEDVERKQTGKKGKWNCGDGDRDGCDVQGYPESDGERMNHM GGGVYALELREAGIRIWFFRRSQIPPDISTNPDPSTWGLPLADFPNTKCDILSHFNNM SIVINIAFVVNKVLIRSSILTSTSVRGIVRIL ACHE_41052S MAYKSMISTLALFPMTWAAAADLTSHVDPFMGSESGGNNFPGVA RPFGMVKLGPDLYDAKSDAYSGYLSTGNFSGFSMMHEQGTGGAPKYGTVAQLPLVGKT IKDPLSNLTVERDGDDQAELGYYKAKTGEGVSVELGASAHAGMYRYGFPEGSQGNNVL VDVAHVLPSFRGQGLGQGYKGGNITVFADGHYEGSGVYDNGWNLSPDWAIYFCGYFDT TITSNKTYVGTDKDGSTEQPSGTASSASTSKRVGAVFSFNESEVTSRVGISWISTAKA CRNVQDEIPAKTNFDTVVQDTKSEWNTKVLNKITTTNTNSTSLQLLYTSLYFMHLIPT NQTGENPNWTSNEPYYQDIFTFWDLFRCTTSLLQILQPTAYEEQIRSLIDIYRYEGYL PDARSSNYNGRTQGGSNADNILADAYVKGVRGAVDWEDGYRAMLKDAEVTPANEPVDS MAPDSSTKEGRGALPDWKALGYITPKYSRAVTRAVEYSCNDFGLYQVASGLGKSDDAE KYLNRSRNWRNHFNSGQTSLGFKGFVVPRNSSGFIETDPLNDSGYWSDPYYEASSWAY TWAAVHDMKHMIELMGGRQTVLNRLDTMFTPGADGSDSGMIFDPTNEPMFNIPYLYHY ISRPDLSTLRSRRIAKEHYSTGKSGLPGNSDAGAMQSWLLWNMIGLYPITGQTTFLIH APWFESLAVDLGDGKTLEVTARGGDADGDGEKVYVQGLKVNGERWRRSWVDWSDVFES GGKLEFELGSRAVNWTDGKVPPSPAS ACHE_41053A MKYILVSGGVISGVGKGIIASSCGLLLKTLGLTVSSIKVDPYLN IDAGLMSPIEHGEVYVLDDGGEVDLDLGNYERYLNITLSRDQNVTTGKIYQHVIERER RGDYLGKTVQIVPHLTDAIQDWIERVARIPVDNSGREPDVCIVELGGTVGDIESAPFI EAMSQLQRRAGQHNFLQIHVSYTPLIGSEQKTKPTQRAISDVRSAGLRPDLIACRCET PLAESTIQKIANSCQVERDQVVGVHNVSTTYMVPILLESQGFLNTLSRILEVHTIPKH PKLVDYGKAIWQDWQGLATSQDQILGTVTIALVGKYTSLHDSYLSVSKALEHAAMHCK KKLDLLWIDASNLEEGTQETAPAEYYKAWHAVSTANGILVPGGFGTRGTEGMMKAARW ARMNNIPYLGVCLGMQLAVVEYAQNVCGMEKASSAEFDETCEQPIVVYMPEVDKTKMG ATMRLGKRRTVFQPGTEWSRIRKLYGEKKDIWERHRHRYEVNPEMVETLENAGLHFVG KDETAQRMEIVELKDHKWYVGVQFHPEYLSRVLAPSKTFLGFFAAAAGCLEGITAVFK DRHDLSHQGVEIAPADATAESESA ACHE_41054A MFKSGLARTFGRAAFARPAPVARRALQPRFPSLARFASTEATQA GKIHQVIGAVVDVKFEGEKLPAILNAIETENNGQKLVLEVAQHMGENVVRTIAMDGTE GLVRGAAARDTGAPITIPVGPGTLGRIVNVTGDPIDERGPVKATTHLPIHAEPPAFTE QSTEAEVLVTGIKVVDLLAPYARGGKIGLFGGAGVGKTVFIQELINNIAKAHGGYSVF CGVGERTREGNDLYHEMQETGVIQLEGDSKVSLVFGQMNEPPGARARVALTGLTIAEY FRDIEGQDVLLFIDNIFRFTQAGSEVSALLGRIPSAVGYQPTLAIDMGIMQERITTTT KGSITSVQAVYVPADDLTDPAPATTFAHLDATTVLSRGISELGIYPAVDPLDSKSRML DTRIVGEEHYNTASRVQQMLQEYKSLQDIIAILGMDELSEADKLTVERARKLQRFLSQ PFTVAQVFTGIEGKLVDLKDTIASFKAIINGEGDDLPEGAFYMVGDFASARAKGEKIL AELEGQ ACHE_41055A MFLQSVTRAAARSSAMPTTAIRSYRAVPSPMACLNVRSQPMQKA IAPQQTRASSEHAIANPTLSGIEKRWEAMPPQEQADLWMQLRDRMKVDWHQMTVQEKK AAYWIAFGPHGPRAQAPKGEGVKIFFKVAQLVAASCALFYVIHLFGKPLPRTMTKEYQ EASNEYARQERINPIHGISKEGYEGKGFVQSPPAEKE ACHE_41056A MSSATSVSVGDDVVSAFNEFRTSANSKGDRIKFVIFKISDDKKN VVVDEASKETDYEVFRNKLADAQDDKGKPAPRYAVYDVDYEIPNEGKRSKIVFIAWVP DDAPTLWRMVYASTKEDLKRALKITSEIHADDKSDIEWKTVLADASNKKAAELQN ACHE_41057A MVSPKIILYTYHGCPYAHRAHIALKETGLDYEEVIVDIKKPREP WYLEINPRGLVPAISYNGEILIESAIVAQFIADAHPSHLLPPSGPAENALYRARVSLF ADAFSSKVMPNVMAGVRAASQEEREAAGDALVEAVAKEIEPLLSNEGKGPFFGGSEKL TFAEVLTGSFLLRILAFTKPEHNLNSTRVPGLLKEKAPKFLRWAEATVAQESVNYIWN EQAVVEHTRAKFAHKP ACHE_41058A MSGSCISLSGSTLCPAFNASSISTSSSLYPDFPFMRNVSSLTDF DDALSNYINGTYTKSKYENYLGCQGANLTDTNDYYARYTTSAICNGLVQSSKDDCNLS DDQSKPLCADTCALMATSEQEIVANSDLCPELSDDYMSQIRSDFTVCALPADSLTGTC ISGADNEPDECGYGPNVMGLCGYCAGSSANSTDSCCTNANAASRCKDVTVPTTTASLP PLMPSSSSNSTGNDASPHHGLSGGQIAGAVVGSVAGFATLVALIVLALLCLRRRRRAE SDTALNQPNPQRKGFAPMQNPSDNPGMVMIPGGRVARMSALREMPSLTSRRSRRNFFG GSSKYTTEPSESEAPSASPGRMSRQIPPVTGKRLGSLTSSSFLAATSSDTSPRSGTKV SSPEGLSSQSEQMSSFQDYYSQDNIHPGDRVAVLWAYQPRAGDEFSLDRGEMLKIIGI WDDGWATGVRLSESAENYDFKHREQRDSGVSQGSHLMPSPAPTGDIKAFPLVCVCLPQ HWKKIIDGASEADGEVSP ACHE_41059S MCGSDLFLGILAVFFPPVSVWIKVGVCTADSIINLALCCLGYVP GLLHAWYIIIKNPEPNDDDPNYQAIPNGSNGSRQDLEHGRVTYYYVSHEPYQNPPTVR AYGTVGGQPAAPAAKNAPAPQSYFDEPHDGGDGGQGSSEGHAHAPPTYAEAVKGDYKV QTQD ACHE_41060S MQSMPLLLRQSLKAPGLSTLSRSTTTTATATRSIRIPPAAPSAL LKGSNEPILRFSVARRRQFHSHVRFYSQESEGNNHNVKEGGKAEAGEAKASAPASAPR ESSEQNLAFTLPQEADVPKSSDVNTNTETQRDLFTSRASAENAQYAEKQGSDGKKDTG NGNGKGRGLPSYLENRRSRYSKQFTEMMDNLQSNVFVAGQRLNDLTGYSSIEALKKEI ESQEERLRAARRHVREAKDDYASAINRRSTSQREVNELLQRKHAWSASDLERFTHLYR NDHTNEVAEVEAQEALSKAEREAEEAATKLSRSILSRYHEEQVWSDKIRRMSTWGTWG LMGVNVLLFLIFQIGVEPWRRRRLVKGFEEKVIEALEKEKALTYEEHQQQLAAATAAA TAAATQTQDSVQEIQERTSETPVSTATQTDQNYLDFSKSQLSHISPPSTTVDSWRRTL DDLLSSRTLILITQHDLTTVAVQSAAAGAAVMGLVIALIRPRTE ACHE_41061A MALSAASGSMLRVCARQQLPTTSRAAIASCQQQRGVASSFDSPF GPASKESTYKIPDFSKYQSKKSPRSNQVFSYFMAGTMGLASAVGAKATVQDFLVNMSA SADVLAQAKVEVGLGAIPEGKNVIIKWRGKPVFIRHRTQDEIDEANKIDVASLRDPQA DEERVQDPKWLVMLGVCTHLGCVPIGEAGDFGGWFCPCHGSHYDISGRIRKGPAPLNL EVPSYSFPDDETMIIG ACHE_41062A MSSKDAPESSDEITGQSALPISRIKKIIQLDDDIVQCSSNATFV ISVATAMFIQYLAEQGHNVVKSERKPRKSIQYKDLATAVSRIDNLEFLSDVIPKTTTY KQFKEKKAKEVPVKSGGIEKGQRTLNGTKSSKPKPLKPKVNGDSMDQDRPLTEEPLRS SGAPITLVVDRTVEGVSKEQEQDRDVEMTDQ ACHE_41063S MIQTRTSSVSIRSPSVASERQGWRRTSGLSVSSPAAESHRPPAN DNGQPPLITEEISEIKRYEDFTTIDWVQDAVHEQARRRAKRREGFGFWEHEGAFGWRR KVSESYDAGQAWLVVTIVGAAIGLNSALLNIITEWLSDVKLGYCTTGFYLNEQFCCWG AEGGCPEWKHWTPFWLLNYIIYFFFAILFAFISATLVKSFAPYAAGSGISEIKCIIAG FVMKGFLGAWTLLIKSIGLPLAIASGLSVGKEGPSVHFAVCTGNVISRFFSKYRQNAS KTREILTATAAAGVAVAFGSPIGGVLFSLEEMASYFPLKTLWRSYFCALVATSVLAAM NPFRTGQLVMFQVQYDRTWHFFELIFFVCLGVFGGLYGAFVIKWNLRVQAFRKKYLSK YPITESVVLAGITAILCFPNMFLKINMTEMMEILFRECEGAHDYNGLCEAKNRWGMVL SLSIATLLRVFLVIISYGCKVPAGIFVPSMAIGASFGRLVGILVQALHESFPDSSFFA SCEPDVPCITPGTYAFLGAAAALSGIMHLTISVTVIMFELTGALTYILPTMIVVGVTK AVSDRFGNGGIADRMIWSNGFPFLDNKEDHVFNVPVSHAVTADPVVLPALGFPVREAE RLLGDNKFQGFPIVEDRTNKILVGYIGRTELRYAIDRARNEGILAPNAQCVFTKEAAE AMVARRASVSSRNLDTFDGIQSSVGANHVDFSRYVDHTPLTVHPRLPLETVMEIFKKM GPRVILVEHRGRLMGLVTVKDCLKYQFKVEAEEHALAATNGPDMYGANGLHGNGNGNG RNAETTLEDKVWSFMQRIRRKLPWWQTAPAIARARGTDGFEPQEISHILEGTEDDEGL VELEERR ACHE_41064A MTDSNTIIHIRELNQTTMTQQKQEQQKQQQPQQTRQPRWLLLAI ASGAFAAMNGLFAKLTTDTLTGTLTKFLNTNDNPTLELVIRGAFLALNMLSNIIMWAF FTRALTASPSTTKVSITNTSANFLVTALLGMLVFKEQVGGLWWVGAGMMGGGCILVGM RDA ACHE_41065A MTSESSARKTSMGTDHNHESRRPKKLIFAPGDIQATPDLKISSS ETSDPSASGSNPRPSTTTATIQEQEQENLRSEISTPDSTTEQQLVSHPSRAHQFIRNP PLTISQIHPSNPLHQFHAWFRDPRLTPSLAPETCTLATASLPSGRVSARMVYLKELDE RGWVVYSNWGSREGKGGQVFGADDGEGLEHLGNKWGALTFAWSTVERQVRVEGLLERL TREESEMYWRTRERESQIGAWSSWQSKVLWSAEPDTLLEHRRKSLVEKPEVPADMDEM DIPDGRAVLEQRVNEVRERFKGVEEIPLPPFWGGVRLVPESVEFWQGRKSRLHDRFRY VRVHGENREGGESLYRWRIQRLSP ACHE_41066A MVFKPFTHLARQSFTKAFTHGYAQSVVAASQSSYASSTTFNQLA NQPAKLSRTQLQNVFQPSSSSSGAGAKASQGGGGSGDCGLAAYYAAWQHAQQTGDDSD WKQFQNKRRIGWKPNPNDVIKSKNGEGQNLPSDSQHDFRESPRVNKASANEDVSAKVE EAVAREIQIQEEQAQAEESVDAKDDSGVEAFPDLPEEEAVISNLASDKARDGSDRIVE LASGNKYAEIPAAFETLLKEGLTPTVDAYNALLEAAIWLHTDASQAIPKALDVYSDML RRRVVPDEDTYRTLVQLFVTRAHDAIEAKGALEHDRVRYGGMEEPGKFMLHSSELEHD LLVEDHSLGIALKLFNTAITRHPNLVFPSDLYPRLVTACAKEGKVEDMVRIYAHMESH KVTPHASIFPSMIEAFASMGDLQSAVECYNEYRTLAVSDDNGVFSVIQRLDGEVYAAV IRAYLSCGKEEAAQRFLERIRSSFEVAENREARQDAVESVIAQNATVRHYLQSGQHEK ALEEAKAQLKDETLVQAMTEICVTAADAGSIQTATEAYDRLPSEVQARQRPAISMLAL HVRQGNVPAARPFWRLLTSAGQATSDMVQPTVMYSVALLKSGQIDEALVEARNMFARI RSAVPNLNNNLVVSVREQINESIDLIGRVLMQKMGPAIPPQAAMVLLWSMVENGGLLS PVADHAVASLGPMAISQLVPRDLLLVLQVQAGMLINNRAMPFDGSHQVRFAHLLEVAM SIGLPLDQFTIGLVDQAASSLLTCRPDVVQRWHDHLGLTSSPSSFVSDRRTPVSEPST AMSSMPEDSFDPYAYATDFRGSTLIADQLESAGRLESHLNDALLRLKNMRRAGRHPRY ITYAKMITAAAKVNRVDLVHEICSMARRDVPLTAQYHAVKYGWVSIFDAMVAACLTLG DRKLASKFHQELLELGAAPSANTFGLYITTLKESTKTFDEATEALKIFHRAVAEGVEP TSFLYNALIGKLGKARRIDDCLVYFAEMRANNVRPTSVTYGTIVNALCRVSDERFAEE MFEEMESMPNYKPRPAPYNSMIQYFLNTKRDRSKVLAYYERMQSRKIAPTMHTYKLLI DAHASLEPVDMAAAEKVLETIKATGQHPEAVHYASLIHAKGCVMHDIDAAREVFQSVV SNGKVRLQPCLYQALLEAMVTNRRVHETGDIVQDMSNRKVDMTAYIANTLINGWAAES NVAKAKAIYDSIGINKREPSTYEAMTRAFLGVEDREGASGIVKEMLSRGYPPAVANKI LDLVGETAPATAAI ACHE_41067S MSITALSAPGKVLLTGGYLVLDREYTGTVFALDARIHAVVQQMR RPRPRTASGPNPDAQLVNNGLQNLNRPLEEEVDEEYEDTIIVQSPQFVDAVWEYRIQA HEDNGGISVVQKGDGRRNPFVETSLNYALTYIGYVADSKDFGSLHVTILADNDYYSET AFSRGPASQPRTKFTQFGVPLHEAHKTGLGSSAALVTALVSALVIHRTMSPEDLGLGR DKLHNLAQAAHCAAQGKVGSGFDVAAAIYGSCLYRRFSPAILESVGDIGTPGFGERLF GIVEDVNQQHPWDTECVDFGMRLPRGMQMVLCDVECGSQTPSMVKKVLEWRKNNQAEA DILWGALQSNNENLRTELKRLAQSRDGGAYNNFADVRTYIQQSRAYIRTMTRKSQVPI EPAVQTELLDAASEIEGVIGGVVPGAGGYDAIVLLIRDDPMVIKQLNDLFASWKSDDE DDFGGKIGKVRLLGVRHGSEGVRNEMLYQYVGWV ACHE_41068A MPPLISSLTIRTLRTIVQRPSIASTAIKSPSRFQPTLRTPAALT GLTATRAPTAAPTGPSALLRRQFSTSPFRQATCNQVRRGCRVSQRARRSRSPALVDRS QMKGVCLKTGITKPKKPNSGERKTARVRLSSGKVVTAYIPGEGHNVQQHSVVLVRGGR AQDCPGVKYHLVRGAMDLGGVASRLTSRSKYGTKKPKKD ACHE_41069S MSLRIAPQPNHPTQTSNTTTRQQIPISLPRPSKGAPSAPGLPDI LRTKLTAQAPHGPPSANTNTIPSSAHPLEARLLAWRQTQDSLKMEGLRRAYGIAEPVR RGMELKIVRDGTFKPAVLGGGRGGNVHEDILVLGGRDTEVGWEDVFQGDEFKEPPAFH DEMEKRLRMD ACHE_41070A MSANDNNNNNNNNNNNNNNDLPEPGVAPAGTGNGPLPPTTNESQ PSSGLVETAKNAASEQSPEQTTENAVTADTKPVEGGESAGPTASTTTNDTTVASSNDA AQTAAEKTKDPSPEAAGAHAKEVENPKEEQPKEDEDSGPSLVITLLLTSGSRHPFKID GKYLRKQSVNVENNDPFAMSVYTLKELIWREWRSDWESRPSSPSSIRLISFGKLLEDK APLSDSKFNRDAPNVVHMTIKPQELVDEEDAAGAKGQSIRERVPSVRSPGCRCVIL ACHE_41071A MSSGPVRYVRYLLFAALGMGILFFVSRSAIPMPDTATLSKLNPS NYKGKGSLTVTGGSASPSQDGAALPYPSKAPGSESVSVRPESRVNATFVTLARNSDIW DLARSIRQVEDRFNRNYHYDWVFLNDKEFDDDFKKVTTSLVSGTTHYGIIPKEHWSYP DWIDQEKAKKARQEMGQKKIIYGDSESYRHMCRYESGFFFRHPLMMNYEYYWRVEPSI ELFCDIAYDPFRFMKENNKKYSFTLSLYEYYETIPTLWDSVKKFMGNHPEHIASGNSM DFLSDDGGKTYNKCHFWSNFEIGSLNWLRSKEYIDYFESLDRDGGFFYERWGDAPVHS IAAGILLSKPEIHFWNEIAYYHVPFTHCPTSEKMRLDLRCHCNPENNFDWKGYSCTSR YFHVNNLQKPEGYEDQQ ACHE_41072S MSFFGFDTTLPNKKGFFDNSDPFAEVARARAAGQQDDDDAIDFE DTYDGLGDQLDEDQDAFNDDTFGDVGEAAPVGKDFDFFGRTAQVADAFGEEQVRYNLQ YPAPSEGVAETTTQKNAVQEPKPKRTGYEKYEDPGYIPDLQAKSSVWGVSKKPEEPIY EPPAARRILSLEEVEAQLRQSSARSTLLAQPPVSLPPGIPEPLYPPQQMPLLPEIFSQ IPPEILQAQLAKGVPPAQLLQHLPQPMVPEPYPLPPQAPPGIPLHLLQNANFPPQPMV PPPQRHAVPPRPLQQQPPLPPQALQGANNPMPVITNPQQLMQLPEEQRMAYLLEDAKR AKRNHKIFLLSRFNGLMTPQDKNFITRIQLQQLVAAAGNVGDSDPEAVLAEDFYYQVY SQIRGAPRQHPHQPLGHFAQTYLFQTGNRFGGHGGRRNGQNADNHMQRMQQQVQRAVE AAKAKPKNKQLIIEGSLGKISFSNAKAPRTMLNIKRPESSEGGKTAKKAQTDLSLTDR KSILNSIENVYNNLMAMEDMERTMPPPPDESDPEAIQRHMDWRQKVRLLNQKLWQALK VMEPIVPNANTPHPFIAFLSYPKGKKAIPRIFRQIDQEQRVTILTMIVVHLDTLDVVR RSQLAPGESPSLDVREAVELFSQAVMPSLLMYVSEAPFNIIIGLLGLVIAQTHVQTVA KTRIGLGILTMMLSRAEIVKEAGQAEERDWQQWVEKFNVLFDTLEPIFADIFPASINA GDDMYVWQFLAAVGIGASPEQQQRLVIAVKDRVMETVNYSKTLPPDMGSQRLGNVNLF MRAIGLDVELLG ACHE_41073S MSAGSVLVTGGTGYIGSFTTLALLEAGYKVVVADNLYNSSDEAL KRVELISGKKAEFAQINVTDEAAFDKVFEAHPDIDSVIHFAALKAVGESGEKPLDYYH VNVYGTLCLLRSMVRHNVSNIVFSSSATVYGDATRFPDMIPIPEHCPLGPTNPYGNTK FAVETAITDVINAQRNNALKAGNQAEANKWNGALLRYFNPAGAHPSGIMGEDPQGVPY NLLPLLAQVATGKREKLLVFGDDYESRDGTAIRDYIHILDLANGHLKALNYLRANNPG VRAWNLGTGKGSTVYEMINAFSTAVGRDLAYEVAPRRAGDVLNLTANPTRAHTELGWT AERTLEQACEDLWLWTRNNPQGYRQQPPAELLAALKK ACHE_41074A MPIPIIQKGVQEGLSSIPYAYTALRIAPWVLILAALKFWFGGAR NRSERLMHSKIVIITGGTSGIGATVAYELASRGAQVILLTQHSPSDIFLVEYIEDLRK STGNQLIYAEQVDLSSLYSIRTFATKWIDNAPPRRLDMLILCANTVVPSKGGRKTTVD GLDEEWQVNYLANFHLLSILSPALRAQPAHRDVRVIFTTCPSYIGAEFDLRKAVIEDK PAKPNKKSKTVPASQNNSLFALSKLSLMIFAQSFQAHLNAYKRPDGQPPCTRVITVDP GFSRTPGTRRWLTGGSLWGLLLYLLTWPLWWLILKSPQQGAQSILYAAMEARYGRDKG GWMIKECREVDFSRKDVKDEERAKELWEFSEKQIELREKEGAVLRAIEKKEEEEEKRK AEDKGAAKEPMPGSRRSRKAK ACHE_41075S MSDEEDYFLPLEDQRVFGAGIRRKRVPFVRSSEHELNTTNATTA APNTGRPGLSIADKYLSIVMPKNSQSKTANSATEQNPAICEVCNLPLYPSSTTTTTTI DNNYESTDTHKPHESSLAHQLCLTHSHPPSHLDRTRHGLRYLSSYGWDPDSRVGLGAP GREGIREPIKGRLKADTVGLGADGDSDDDESRRKVGIKRREREKKAEKNRPARVGKLN AKEIRKGDLDARRREEKLKEMFYQREDVQKYLG ACHE_41076A MGTSIINIQRDIILNTIRYAGGDEWKVLVVDETSRKLIYNATTE DDILNLNVTNVEQIEHRRETNPEMDALYILSPMTHIVDCLMADFERKRYRKARLVWTS VLDPQQRVRLERSQMAQEQIAEFRVMNINFYPRESHVVTFRDPWSFPVLFHPGCNHLI KNHLEELAQKVVSLCGSLGEYPVIRYYRPRVPTHEASVLCSHLARFIQNELDQFAQSQ RGYPPPSSKPRGVLLVVDRSMDVYSPLLHEFTYQAMVHDLLPVQDGDKVTYKTVINEG TAKEELKEMEISDNDKVWVDYRHLHMKDVLERLGEDFAKFRRANPQFAEEDDKATVNT IKDMLAGLSEFQQGRDAYTLHLNMAQECMKHFQVHKLIEVSSVEQCFATGLDENYKKA KNLAAQLVQLLDDEAVMRSDRLRLLLLYIIYRGGLLGGDIRKLMAHAQLPPHDGEVLY NIDLLGARAEKPLKDERPPLQPLFQRKPPAPAEADETSLSRYELNVKLMLEEQIRGSL DATVFPFTRPPTDAEGIASQHTETFSSSQASLRSAKPTWARTRPSADQPKQRIIVFMA GGATHGEARACYEASQAFNKDVFLATSHMLSPGLFLRQLGDLSVDRRRLDIPAERPKP TAPAHLFEKEAPLPQPQPQQPIAKKPAPKLNPPVSNAVAMGKLSLEDAQGGTPPGTEK SDKHDKHDKHDKHKEKKEKKEKKEKKFHFFR ACHE_41077S MQSWACRGSCRLLRAVQPQYRPAAWRTFATTPALQRSTQAQKRS KKRQRIESAKNPSGRLALGGVTQSMRKSVSSQDFSVVVASILHEIKQGLGPDDSILEK WPNFERSVLNACKVDDKSPSGKSTPLRELKLSLQRTYLIRGLSGLREDIEYRLFAEDL TAKYSLPNIEHQKKVADLRFPAEWYGQARAMQRTIHLHVGPTNSGKTYHALKRLESCK NGFYAGPLRLLAQEVYQRFKASGVSCSLITGDDVQIPEGSAPTIVSNTVEMANLGKTY EVGVIDEIQMIADPRRGWAWTRAVLGARATELHLCGEARAVPLIRELAALTGDNLEIH RYERLNPLKVMDKSLEGSLRNLQKGDCVVAFSRLGIHALKADIEKHTGRRAAIVYGGL PAEIRTQQASLFNDPNNDYDYLVASDAIGMGLNLSIKRVVFETLIKRLPGCLQKLSVS EIKQIAGRAGRYRSAAQTKGKGGNARSESNIGLVTSLEDIDLPQIKEALSAEPPPITV AGLFPPDAAIQKFSAYFPRNTPFQYVLRRLMELTQVSPLFFMCDPQSQLENAELIDTV EGLRVEDQLALMAAPMHLRDPRGVQASRAFAKCIAEHTNGRLLDIPELNLEVLESPVS GDKGYLHELEVLHKSVILYAWLSFRIGGVFTDRTLASHVKQLVEERMVRALTEFSANK QLRKDASLHRQIALQKQIQEQRRIMSAANIDSAAASDLTSSRVTHSSVPDEAADHASD NAPEDIRTSDDVTDDHKTSENDPLGEEEGDIPEEDLTDDSLPDDFADKRLEK ACHE_41078S MDDLQSLELFSLVSRITGELQNHLGVNDKTLAEFVIDQHLSCGS FAEFKAKLEDMGAEFPQSLMESIDRLVLTMHPKYKSKKTETAGESTGDDDMDMLGELE KKARVFKGLAVPDKVPSWEQEERNAVDEGDAKADAMDDTFAMLEGLAGKAQEDKSRPT RDERKRRSRSPDYDDYDRGRPRQNRYRSRSRSRSTRRSRRDDNVDEFGRSLGKYSSRD EKYRNGLGERRKKRDRYDDDDDFRRLPPVELDDHPILYKVYDGRVTGVKDFGAFVNLQ GVKGKVDGLVHVSAMQEGVRVNHPSDLVSRGQPLKVKVISIQGSRIGLSMKEVDQVTG MDLVPQRRLASGANMERLDGYSADDRYGNLSSDVPVIEDSDGRPMRNRKRLTSPERWE IKQLIASGAVSAADYPDIDEEYHATLTGEGTFEEEEDVDIEVRDEEPPFLAGQTKMSL ELSPIRVVKAPDGSLNRSAMAGTGLAKERRDMRQQEARDKAAEQAAEVDLNAQWQDPM VAPEERKFAADLRSAQQPKPDDSVPEWKRVTMGKNQSFGKRTTMSMKQQRESLPVFKF RKQLLDAVRDNQLLIVVGDTGSGKTTQLTQYLAEGGYGNNGIIGCTQPRRVAAMSVAK RVAEEVGCRLGAEVGYTIRFEDCTSPETKIKYMTDGMLQREVLLDPDLKKYSVIMLDE AHERTIATDVLFGLLKKTIKRRSDLRLIVTSATLDADKFSEYFNQCPIFSIPGRTFPV EIMYSKEPESDYLDAALITVMQIHLTEPSGDILVFLTGQEEIDTACEILYERMKALGP TVPELVILPVYSALPGEMQSRIFEPAPEGGRKVVIATNIAETSITIDQIYYVIDPGFV KQNAYDPKLGMDSLVVTPVSQAQAKQRAGRAGRTGPGKCFRLYTEAAYQSEMLPTTIP EIQRQNLSHTILMLKAMGINDLLHFDFMDPPPTNTMLTALEELYALSALDDEGLLTRL GRKMADFPMEPALAKVLIASVEMGCSEEMLSIVAMLSVQSVFYRPKEKQQQADQKKSK FHDPHGDHLTLLNVYNGWKNSKFNNAWCYENFIQARQIRRAQDVRQQLMGIMDRYRHR IISCGRNTIKVRQALCTGFFRNAARKDPQEGYKTLVEGTPVYMHPSSALFGKPSEHVI YHTLVLTTKEYMHCTTSIEPKWLVEAAPTFFKVAPTDRLSKRKKAERIQPLHNRFGGE DDWRLSAQKRQGRGGGGGTWG ACHE_41079S MPHFDSQSPRTKRRKLDNGQPDKSAAITSHTQLRNLLVFQQNAA EVKQGINTFKEFLLSINQTQDGNEKTKRLDVLKAFCDSQISRTGGEDDVAVCFPDLIQ TWNFADSNNNESLLTVVPSVLAIFLKTVSSHLEFREFGLALCKYLLEKEQLKLFNRGM TAVKSKEHLISPCLRLLTEIVSFDGGAAARQVYSRRHTTFKRLEVFLTPNKAQLENAE DDSSKSTLRRNAQRYVLANLRFQQASAKNDILEQHKMIRALFEYVRKDSRDIVLDIIR ASERDVAQDASLSRQAKTKFFSRWNLERLVTLYGYPEENSEEMSIPDAVHKVLMTVCT KPELGVLLPESGWYPNGSDPESVPTEDDACIELGLDSAVHVDKYRESVPVRNGTLSYL IQTLRPDVNSSQIQLLVAIFKVAPELIADYFTKKTMFIADPKPTPSWMAESALLFSTV QLRVPANCGWKDKLPAMPPPVSVVIENILPRPLTQKILTRCINQNAEIVTLFAVRILT IAFNKLRAVLKIFNADHGSSQTFWNQATDKLVAEFCRRCPAMKDVILLYRRTDKDDLS QQEAVAELLACFYEVVPDIALEELFDVSLVLVDILKRLGTPDLNSDDSESLLGQLQSA LKIAQQSASIRWWQQPASMQYSAFTSIFKVFVDAADKDSLQEIENLLSAVLVENSVLQ SSPKSFASLLSSFEKSEQLPSQLAFFDNCVCRSAKKPVHYQDLIGSMSAEAGSVSALF AAISEQWPFVVKTGDAAAEAAVGSWIARALGKFRQAGEDTKVLRSVRDSCMEATENKK VKSILKKALKSAEESDDEDTTTKKQSKSTQEASQMPAGQEQKTDLEDIFGALPTEGTT HNALQRWEKEDLEVSVEQGRIAELMLCLCSEHEEVRRQAFTNLSRFMMKLKDSKYVEW RSVYILTGELLETVRQLGFEAPVPWVVGECASSCLSVLTNPMHKLYGKVNKFLQKAPY WEVEKIATYWVDKILLHEPELDDGYFEEINWLLDLFVKGLRTGADMEIYRRANVFERL LSFYESPSAGFSAKRRILHLLYRSTQVGGSTTLITRAAVVSWIQSQIVGVGNARDVST ISALAEVVGKSSDHERVDKWSGGALMQAVENIAG ACHE_41080S MARRYEIDELLWLRSSPLVTKPASLPPVEEWMGPLPERKPKDPN NQNETTSNRRPSIIEARHFSRGSTSEDIILGPPRTAFASASRIAGKGSIDATERPSRI QDSDESKNDRFNFREKFFKDRESGDLDKRDGKLGTFINRRNDKEDWNNGRPRRAFGPD DLERKPRRNGEFDRWEGRDNIRERDPRDSTTFGNRDKDSRFFIRKDGQLGRSRLEGSW FRDDNHAQDSLEAEEEKPSIRTREWRRDRHGADRDWTRGAKFEQEPEWLDSTDREEPR PVHTQEDFEQWKQRMKAGSAQVQEKKEPPLEPATSTLPKLETRPTDGEIFSSTGTPLQ ADATMERFFGLLNEAKPSPDIGSQSPVVEPTSSKKESVPSKSIKSSRFAGLFSPPPGT PTREPEPQAVSQSPADPDQEGFQRILQMLGGGAKSRNATPQNDTPQPQRPPSLVQAEQ GRSALPSPVREHLHRQDQMTFNGSPARTTAPPPGLSPNPVQEPFKDPHVGEREHLLRL MQQVRISPVPNMPHGSQGAQSAGPASGMMNIPEMMSHPPGLPTPQKGPNFLNDPAIAN MQRPDAADQLRRRAANGPPMGYFDDILFAQGNNLPMTPGGSRAPQGQGHPAMGIQRPP GFEHLPPPGFGGQQLPPQQGAPGPLAPPPGIPAPNRGMNPNLMSNVLPMHGNLPPLND RQGFPRGAGGNGAAAFGPPPGMMPPPGYMNMNGPPPSGLPPMPHNAEALMGLGGQGPF GGNPGPQGPPPSSRHLLDMFGQASGGAPGHFR ACHE_41081S MMEEIDFELCLGVEKHAAIARREKNMTSSFDSVNETTTPNLKSP RLRERQIDEHEQGKDEVRERETETGSERCAQSNKRTKISASGSWREGDQAWSDKGAAL DSVNSSFSSLFSSSSTETVDISATAISRDSFNHDEKEQDEEQSNAYSPLSSTFRKLHI HEYGAPPSSDSGFTIYEDPEDRVIRDFGFYMPEDWYRYPEDDKENTDGLDDEDEETSV EESELFGGEEIDHQRPRRRQHFDHSQDTRMYYYHDVQWNSPSISVDEYTDTGGEIRLT ERNPHLRYFNSRMVSFSEGEELSSFREVRGATETMSFLGAPPRRFLGRGRQ ACHE_41082A MSSLFDAVIQSELGSTAGSQQIHSDQIGSSRPQLMSESNGPMSD AQGFPDDQVVGTNSSTINRLRNPYMPGPPPVTDLAGEKVQQAFAEFLESYIEEVSSTA PPPSSARLSDKYYIAQIYGMKRLGLSTLYVDFTHLTSLDNQILADAISNQYYRFQPFL VKALQNLISKYVPEYYISHRQATSAQSQNSSAMQDLEESAAENDESINDPNQPKLGRQ ANTKTRHQQTDKLFSLAFYNMPLVSRLRQLRTSQIGKLLSVSGTVTRTSEIRPELSLG TFICEQCKSVVPNVEQTFRYTEPSECPNSTCGNRSGWRLDIAKSTFVDWQKVKLQESS HEIPTGSMPRTMDIILRGEMVDRAKAGERCIFTGTLIVVPDVSQLGLPGVRPEAVRDM SNRTGEVGGGGVSGLKALGVRDLTYRLAFLSCMITPDTSTPGQQTNQQLTGNSDNILG SLNQHKDPDPEDDMAQESFLHTISAAEVDDLKQLVHSEYIYSRLVESIAPMIYGHTQI KKGLLLQLIGGVSKSTEQENMQLRGDINICIVGDPSTSKSQFLKYICSLHPRAVYTSG KASSAAGLTASVVKDAETGEFTIEAGALMLANGGGICAIDEFDKMDISDQVAIHEAME QQTISIAKAGIHTTLNARASILAAANPVGGRYNPKTTLRANLNFSAPIMSRFDLFFVI RDEPNEAVDRKLADHIVNVHMNRDEAVTPTISTEQLQRYIQFARTFRPVFTDEAKAVL VEKYKELRANDAQGGMGRSSYRITVRQLESLIRLSEAVAKANCVEEIVPNFVIEAFNL LRQSIVTVEKDDVEVEDDDEEAALAQVAAADLEDQEMADGDREGDSPMRDGDDGEQQE QPAQQRQKPKTKITYDKYMKILNLVVRRVNEDESTSGEGVEREELLVWYLEQIEAELN TEEDLQRERDLAVKVLKRMVKDNILMPIRGEGLLDDEQDEDGQTHKTVYVLHPNCAIE EM ACHE_41083S MKPKRRRRLPTTDDGPPKNQIFYFVDSNSSSREKRAHVMRHHVQ EKRRQRKASNADSDSEKMSNQAVRYVPWQDQTLEHGDRRLDATQDAGINGFSSGSSSV FRAGYPPLKPSSHSLAHIQSTLPVYDMPRKESIGSLPVGLSREDLELADFWNTKLTYW SGQNKHMKDHIFRTAMGHPLTFQAVVLTYCARWKAQLYNLPEGSEVQRHVGQAARGVE EALAGIIPIHEDYLAMALTGMALQEERFGQKSIARAYIDRAVQILRSRAGSNNAVEVF LHYVRYIMTPPKPGYGIDSDGKQWLLTFLRGAEELMREHNTPAYLSEVPQRREAFQMD SPLFPLLSSGPRPSQVPIEARMYVVKDAPTQEITRTAALIYITAALWDFQDSPSKMRR FLSQAMAMAREHGLDRSQACETLVWLLLEERWDADLRDAERGWSTGELLKTHKQLRPD LQFQFTEILMSFLMMTPPIRGINTFAEELNR ACHE_41084S MDLYGVGRRGLHQMVARSSDNSNSAAGLVWVLVPSLISAVAMVL VFIILRRSERRMYMPRTYVGSLRPSERTPSSPTGLLNWVKSMYKLPDEYVLQHHSMDA YLLLRFLKLITVIAFVGCCMTFPVLWPVNATGGGGGQQFDMLSIANVSHQYGRYFAHA FIAWFFVIFIYFTITREYIFYINLRQAYSLCPAYANRLSSRTVLFTAVTEDYLNRHKI RQIFGPEKVKNVWLTTDVSELEEKVGEREDAAMKLEAAETKLIVLANKARAKELKKQQ KQGNTEEAPEIESGDVDFEESGSVAARWGVKQADRPTHRLKMLIGKKVDTINWAREEI ERLTPEIEELQAKHRSGDAKLVTSVFVEFYLQSDAQGAYQSVAHNLPLHMAPRYIGLD PTQVIWSNLRIKWWERIIRYTVTVAFIVALIVFWAIPTAVVGAISNITFLTENVFFLA WIDDLPGWIKGVITGLVPTVAMSILIALVPIIMRLMAKLGGAPSQAAVELTTQNFYFA FQVVQVFLVVTLTSSASSAAGQIVNNPTEAANLLATNLPKSSNFYISYIVLQGLSFSS GALLQIGGLIVGKILGKLLDSTPRKMYTRWSSLAGLGWGTVYPAVTLLAVIAITYSCI APLVMGFATIGFYLFYFAYRYNMLYVSNADIDTQGKAYVRALQHLTVGCYLLVVCLIG LFAIGTADERIALGPLVLMIILLIFMILYHMSLNKAMDPLVNYLPKNLEAEEKSLLSP GEQSSSMDGNGAAVDGDSAEKAISSTVVSDNKPTNFLIRYLRPDKYDSYYQLRQWAPK ADDLPPYTPDTEENAYYHPCINAQAPLLWIPRDPLGVSKQEVEHTNKVISITDEDAWL DEKNALQWDVNKGVPPIYKEKIYY ACHE_41085A MVSKNVANAEVLPIEHKNGPWIRLLTFLQWYPKGMSNAEKKLVL KLDLSILTFGCLSFFTKYLDQQAITNAYVSGMKEDLHLTGNDINYITIAFWAAYCISM IPACYYLTRSRINIVLPTLEVGWGLFTFGCAWAQNLSTIYAMRVFVGICESCSFTGVI YVIGSWYKPKEIGRRVSLFFIASPLGTMFAGYLQTAAYTNLENVHGLEGWRGYIAFPD VPHHSKPRFLTEEEHILSNTRLAEFTSPSQLKVSRDILKRVLGRWHWYVFVSQWILID QNFLPSSTPFSLYLKAKPNIYSVSRINTLPTIATAFSIVTALIAGVVVDRAANFWAPC VVATMPVLVGLILLVVWDVGEGGRLAGFILTGSEGAMSPLTMSWATVVMGNDAEERAI VTASMNAIGQAMSAWTQLLQYPAVDAPNFRKGFISNLATILAQLVVIAVIALLCRRGS HVHRG ACHE_41086A MPAGPMDALGAFSYLSDNLPTWITRVTDLTAHTAAKNAEYAEAH KKHATTAGKPRRRRKNSSVCSIRTEELFPSTQISNAPASSNVADQNQAPTTNNSTCAL NEFTENSRKRGTNHDDAPSFEDPTASLISTRHNLIIHYDGHTQKVLEDIVRNIATARN NIRRGRMAQLPRMGFRAGMPSIPGRSPPDAVLSSIRSARNRGPPGPQKETSAFDLADK QLEVAHGLCESAAYQFLRSGDCKSELRSVDEKFKLLLDISGVEVRRLKEEQERAPPEP EKEGTAIEPQLPTSIPTIEPSEKLRPASPNGAATGAIEVDDDSSASMEPIDLRAFRAN RMARMRRAPV ACHE_41087A MTTPEPPKAPWKDLLNYHLSQNSSSEFTLATVAYDATKKRHVPR ARVCGFRGFFPNPQLHPSAVDALKTQGDGLNPDVYESDMLSFTTDVRMEKVGHIQPGS SESDAGSEVEMVFWLKDAGSQWRIKGDAFVIGDQDGGSVEDEAREEIQRGLRIRSEDG CGRWTWERQVTTYFANHTPILRGSFKSPSPGRPKSQDPQDPSLKPGQEVEDLHDSAAR KNFRVVVVRPQEVERLDLSDYKNPARWTWTLVEDGKRQGLWDEVELWP ACHE_41088A MRLTVELIQNSISYINPVKDRELDLRGHKIPAIENLGIAKDQDA IDFTDNSITSLGNFPFFPRLQTLLLARNRIKQIQPTLASSVPHLTTLVLTSNNLTELA DLDPLRNLARLTHLTILDNPVTRKENYRYWVIWRVPSVRFLDYQKVKDVERTKAQELF GTAKEPSALASKIMGIKSRTFDVPSGGVADEAQGDKAVRVKLTDAERKRVEKMIREAR SLQEITRLEKELNEGRIPGGALDMAEAEDADKMQT ACHE_41089S MFNRWKSAILVYAVLLFIVGTALFHKLDKNDSFSPPSIFNNNAA AVATEEGPDFWEWETKTRFSSRKHEKDRICESFPAQILSQVQIVLKIGASEPADRVDT QISTVTRCISNLLVVSDMESELHGHRVHDVLADLPESVWTNKADLEAYMELKQGNTKA VNGQQGWNLDRMKFLPMVERAYDVNPTAKWYVFLESDTYYVWDNLFRLLDQYDPTVPL YFGSPSPGKPTAEETTWFAYGGSGFIISTAAMQKLVYRKTGVYGEYIQPSLSAQYEDI IRGTDCGDTVVGWALYEKGVKLSGLWPMFNAHALHSIPFDEMHWCRPVISMHKTKLAD MEGLIRWENERDRTYPLLYADLFNYTGMGTFDHRSDWDNADWGGWQEPPESPAHTSLN ACGAACHDNADCLSYTYSSTGHCFFIRTMRLGDKRPLNLEERQIAGWDLQKMQNWIAN HQCKKAQWAKPSLSRIF ACHE_41090S MRLRSLSSFNLRWVVLAVTATLLFAFSLLRLYSNRSLNYHNAGN DALLSEETYGIDEKEDHPINELIINANAQWRSFLEKETHTLAAAADQYRSRHGRHPPP GFAEWFEFAKSRDAIVVEDFFDQIHHDLNPFWGFEPREVRRRARGYEPRIEIRNHKAK LVGDGVWTDTWLDLVKTLEKYLPDLDMPINQMDESRMVIPWGAVNSFIDKEEASRGLT ETDKTVSEYMSLEKEVDEERFEPRFLGPVDSSVWEMTRLGCALDSPSRNSFIPHIDFA NPPPEMDNYLRLGYKGYVKNWTQTMDPCLRPELQALHGTFIEPVSVSTTHDAFPLFGG SKLPMNNEILIPPAMYWADNKLYNGGENEHGGSDWDAKKDSFLWRGSATGGRNKEENW TGFQRHRLLSMLNGTSVEAAQQTRHFVNFILPDYNYYNLATGKDGNLPAFLENHTDIG FVHLLCFPGDGDPHCPYTDPYFAVTPGMPMKAQYDYKYLLDLDGNSFSGRYRSFLRST SLPVKSAIYKEWHDSRIIPWVHFVPIDPTFMDIYGIMEYFFGDGEQRKGHDSVARKMA FDGKAWAERVLRREDMQIYVYRLLLEYARLSDDRRDMLGYVQDRFDEESGY ACHE_41091A MGRYGVVLDAGSSGTRVHVYRWLDNAVARKLSSDKDVKSLPEIK TKTEWTKKIQPGVSSFADRPELIGPDHLAELLKHAREIVPDDAVRDTPIFLLATAGMR LLPNMERQLLLDQICSYARANSDFLLPDCDVHIQVIPGVTEGLYGWVATNYLLGSFDE PKGHDHGKGHHTYGFLDMGGASAQIAFAPNMTETEKHANDLTLLRLRNVDGSPQEYRV FVTSWLEFGVREARRRYLEALRTASGTDGIKEFPDPCLPAGLRTTIDGKPLHPGDNPY LLGTGRFDECLRQTFPLLDKDAPCADEPCLLHGTHVPAIDFDVNHFIGISEYWHTTHE IFEMGHKDKAYDFNTYQERVKSFCSQDWDSIERGLLQHRWGKKVDQEKASEICFKASW IINVLHNGIGVPRVGLENTTGSGHNGTKEVLSHTKDKGYLDPFQAINKIDSTEVSWTL GKMVLYASSQIPADADEALPVGFGSNSAGVPNDFQYPSVDLLPDPDGLHSEHWHDTLF DGDSPRRVPGFLLFLLIIIMALFFLCGRTRRSRIYHKINNMFCGAPSHSHYPKKRKFF GGKMPFFGRRSPSYERVLEDGARDFDLGPSESRGNSLDEGRRSFDADSKGLQPPRRAS TWGSGNSTPTFKYSNDNSSTGTIGLGITAGTGVSAMDRAGLAVRTEGRDHLSPVALGP TNNGRRSRTGSPARSHHQKSPVMTPLAHD ACHE_41092A MPETQKKSSNLFDNPTSKLTPLEKSELHLADNYSSPDVYINGES DTLWHPWVNNLEIKPLRFETRTGTFVIVLRAKEDTWLGKHRHRGSVTAVTVKGEWNYK EYDWIARPGDYVVENPGTIHTLHMGKGAEVVFTITGSLEYFHDDDSLKNTMDLFSYAH LYYEYCRERGIKPNDGLWY ACHE_41093A MSEPVYNASTPKGGDPTQVDVNLQYSGAEYNYVYIISCAFIVWL IMPGIGLLYSGLARRKSALALLFQCLLVIAVITVQWMFWGYSLAYSRDGGPYIGTLKN FGLIDVMVAPSPGSAVLPEVVFCYFQLLFCACTVIIVIGGAFERGNILPSLLFSFCWA TIVYCPLARWTWSSKGWLYNLPSLDYAGGGPVHIASGCTALAYAFVLGKRKHSSETEP RRRPHNVTLVFLGTAFIWCGWAGFNGGSTLNASVRSMVSIFNTNAAASTGILGWVLVD LIRHRGRFSLVGACEGAIAGLVGITPAAGFVSIWLAACIGFITSIICALLQDLNKWIH IDEGMDVFKLHGVGGMVGAFLTGIFASESVAALDGATYQGGAIDGVGVQVGRQLVEVC AISGYAFVASVVLLYVINWIPGMRLRVGEEVEGVGLDRGMFVEEEIGDWGLFKMGFVE GRSSSPAQTQMVVDAK ACHE_41094A MPPDKSPVGDRDSAPDLEIVGDQVTFHPTGFTGGPEQQDGSITE RNLVRHMARFRQNPFDFLREVSLHMSGTGWRAYDDIIGQPIFYSGFSENIKSHILGSP LLQNKVNELAETRLAVEEKEGLLAIKTGTLQQKRTQRRSELKQSLEDVVDSMMDNMIC KMESKRFIRGAYYLCTQLLTRAYHQGIHVSSEEVLRLRSVAEEAAKKKQSIVFLPCHK SHVDYVSLQLICYRLGIGLPVVVAGDNLNIPLLGPFLQHAGAMWIRRSFGNDPLYHTV VQAYIDTLLQQGFNFECFIEGGRSRTGKLLSPKFGILSFIVDSLLSGRVEDTIICPVS TQYDKVIETESYISELLGQPKRKENLADLLSSSSVLSLRLGRVDVRFQQPWSLREFIT QQISRMPRQLGSNSQALSYIERGRILRTLGYRVLSDINNVSVMMPTALVGTVLLTLRG RGVGKGELVRRLNWLCEHVRMKGGRVAHFYRLPTETVVDRALEVLGPQLVGIVPGLVE PTYYAVDRFQLSFYRNMTIHLFITEALVSAAMYTRIKQGGGPANQRIPYEELSAQVTF LSQLFRGEFIFPPEGFTTNLERTLAGLEKDDVIQVTRDASGAPIFIELSASERQCGRE NYDFYCFLIWPFIEASWLGAVSLLGLTPPSDSQKDLWIDLKKAQDSAQVLGKTLYHQG DLSYFEAVNKEALKNAYQRFAEEGIILVAKSKESRKAPMTKLAPEWTPERDPDTGRLL GRGRLWDFTEMIAKSRREGKNRRDGATVSSRVMAMSEVVGRQLYEKATGPNPAEDSDV STRQMRRKDITTGAKL ACHE_41095S MFRCARSVITPSPSSLSRTVTMSLSSSRQYSFQVFRDVPPLRQF RRQLLLENRSLGFVPTMGALHEGHLSLIRQAASENTDVFVSIFVNPTQFGVNEDLDKY PRTWDSDVAKLEEINDELSRDAQNGRVTGILAPTPQVMYPTMPPSSEVDGQGSFVTIT PLAHKLEGASRPVFFRGVATVCTKLFNIVLADRAYFGQKDVQQTVIIKRMVKDFHIPT EIRIGDTVREHDGLAMSSRNVYLGARRRAVGLVFYKAMKAAEAAYQSGKTSRDDILGA AYAVANQVLAEQQALQPSERALYEIDYISLADPEDLEELQVVDPSKGGILSGALKMAP LEAVKPDEDRGLGDGQVPVRLIDNLVLNPRV ACHE_41096S MADDGMLLNFSLGDDIIKPEPKLKGGTWRDRLSARKIAKHRTKA GPKKPADESNTSQNPNRIQVAQRPAKRQRTEGGEVALGEGEGHRQSHSHSQRQGQQPR EFISSLFSKNPLPQTAEDQHQDGENAGEDAKPTNAPLIDGLDTFTSLGLSPQLGAHLL TKLELKAPTGIQKAAVSQLLKEESDAFIQAETGSGKTLAYLLPLVQRIMALSLGNSEK DEKGQPIVHRDSGLFAIILAPTRELCKQISVVLEGLLRCAHWIVAGTVIGGEKKKSEK ARLRKGLNILVATPGRLADHLDNTQVLDVSNVRWLVLDEGDRLMELGFEKELQGIVNK LNARQRPSRIPGVPTKRTTILCSATLKMNVQKLGEISLSDAVHIKADPADEDENKNKD EEDAFRVPAQLKQSYAIVAAKLRLVTLEAFMKRTFFRKGSVMKAIIFVSCADSVDFHF EVFTRNKQSRNEESKSSDDESSDKEKKEYQPLSPHGTIAPATAFSNESNPVTLHRLHG SLPQHVRTATLNSFAKNRDPSVLICTDVASRGLDLPNVDLVVEYDPAFSAEDHLHRIG RTARVGRDGRALIFLQPGCEEGYVDILKRGYRDGGKALTRTDVNEILKRGFGGNVEST SHDWEQKAGDWQIDVERWAIDNPQYLEMARRAFQSHIRAYATHIASERNMFNIKELHL GHLAKSFALRDRPSKINVPGLRQGQDATKKDYKADRRSTAGKKRKAGSAGRADDDDDV PSQTDASVAAQKMKAKMREHMAGASEFNLA ACHE_41097A MTTLSNHLQTQQQSPATPTYILRGHASPIHALHLFANNLRLISG DAEGWVIIWDMVSKRPVVSWKAHGGAVLEAKGFEFGGNGTVVYTHGRDHKLRVWRFGM KDEGFLDKTLPVDVKEGKAAQNQPWMLHSLPVNALNFCAFDMIFLEAPHPGSDEEEDT QASPDSASQVPVLFAVPNALNSGAIDIFHLPLERRVSTIPADPTMNTGMVMAVRLLLS SSGELYAASAYEDGQVMVFVRRGRLTEQEITSNASGKGTAWKWEKLYASKPHTQPVLS IDVAPSKDCFFSSSADSVLVKHPIPNASLMCVGHAEKALKTISTKHSGQQGLRIRSDG KIFATAGWDARTRVYSCKTMKELAVLKWHKDGCYAVAFAEVLEEGCTANDSSTADAES KAWKDGDEKKTQLTKRNEGSLATVQHQRSQKAQKTHWLAAGSKDGKISLWDIY ACHE_41098A MTRATRSHASLQPDLGTPMTLVSEPSDSGPTPNDDGQASTPTSS TISTPRATMTKIGKKKSGRGIPKSKRVRTGCLTCRERHLKCDEALGKCQNCRKSNRVC RRGIRLNFIDTQTVAPPYYAIRKTGTRLTFRDESRHIASEYVGGFERYPSPEPDLPLE KQGPAEFELSGILSPPWQTSRSFVPPLEPSQLEAIDAMLNGSPQALFSVFPEHEVPLV PSTRQMSVNPDSRPLSRQDEIHLVRTFTEEIGPWLDSVDPSKYFTHILPFRVLDEPML LKAVMACGAHLHPVSSANGGDRASYFYFAATQDLLSHLQNPDRESTLCAVTATILGMY EVMSSRNVHGLNHVAGARALIRECHWDARSPGHGGACFWLSASMELFTCLRFNWSVAW DPDIWGVDMNMGETRSHATGAEELWVHRIVYICAKIVNFRSSGLQFQDVDHGVGEMRF HEWELYNKWCNQWEKAVPRSMMPLGHLDPLQGSTKSHFPEIWLLDQMAIIGRLFYLTA RLLLCKTHPFESEYSPEMRNLQQGHAHEICGIIAHVKGHGIASFSIRYLALAAECLST REPQEEALQILDRLLNETGWQMDSLKTEIQEAWGWTSQLPTSADPASLMNEHPMLDSS MPFSEQSKMPSGVINPTMITADFSMENHPYRDFYIPPHSQHFIDEFQFGNF ACHE_41099A MEGRNEHANESTPLLGSTGTSPTQNHRYHGAHGSVGKGPHCDSS SIASTVKDEDATVLDISRITSASQGILDSGPPPPDHAGPEDSQSKENTGYAARFINVS PARFWLIFGGIMMGYIVAFFDSTLMASSHPVITSYFHASNSASWLSNSFLLTSTAFLP LFGRISDTFGRKPVYLFSIVVFFFTTLWCAMAQSIGSLIAARAFCGLGAGGVLALGMI LSSDLVRLEYRGVYQSYINLVLGVGGCLGLAFGGYLCDKVGWRGAFYVQLPFIFVYFF VAAWTTPAGLGLKGAKREKMTLSQLVKNIDLVGSLILILAVTSLIMGLNLGGNVFSWT HPVIISSLSLSIVLAVIFVRYERGVERAVMPISLLSKQPRASIIFGNFLGSISVNTMI FNIPLYFQAVKLVTPTESGFKMVACTLAVTMSSVSTGFLITYTKRLKPTIIIGDILIL LGGCAAATIGAATPDIIAMICVSLSSLGQGFSFPSFMVGVLATSDQEEQAVATTALSL WRNLGSVMGVAISSWIFQNSLTYRLEEMVTGPNKEDVILLVRKSVRAIAELDLMHQEQ G ACHE_41100S MTSPLRIAVLECDTPLDNINAKYEGYGGVFKELFRESAKRLGQP EKLDPATGLEISRWDIVDGDKYPNLDEVDAIVLTGSKHNSFEDQPWILRLVEYTKKAI EHPRVQILGICFGHQIIGRSLGVKVGRSDAGWEIAVCDMDLTEEGKKLFGKDKLRIQQ MHQDIVYEYPPNVTPLGSSPRCAVQGMYLPGKFITVQGHPEFTGFIVTEIVSTRAKLG VWPKDVSEDALNRAIADHDGLAIGAVFLNFLLEDRK ACHE_41101A MFSVIIPGRPCLTDIVAVDGQPNGQPTKFAFTFPLTPSFTDVVV FFLPGTVLPQDTAAAIYIQLPEQTPSPNGPAFRFIGALANEKPSAVFKVRPGESASTP RRSEAEEQDEMLDEGASNLSGGASPGGIVTLGISIEPVQTVAPQLAQLEAEKPAAGTS TDLVRQSPEQRQRKEVSTKVLAQRIIGNAFNFLASFAAPDPNHRGEEVVTLKSFRDWW SKFERRVEMDPSFLEKEDPNAQG ACHE_41102S MTKSYLDLVNECDRFPYYHDDPVFYTSQLQNYHFFKVTGCPAVL GYICNSVVEKFPWPEDCWSIDSTNRTVTLVTGPDATPSQRSELVAKTLAEAVRRNTFE ILSGWRDELYPVYGPKGEFLLELERSASPLFGIVSYGVHVTCYVEDADGMRIWVPRRS RTKQTYPGMLDNTVAGGMSTGERPSECVIREAMEEASLPEDVVKANAVPTGCITYLYV RGENAGGETGLLQPEVEYVYDIPLDASVVPKPCDSEVEAFHLFTVEETKQALANGEFK PNCSMVLVDFFLRHGIITPENEPDFLEISARLHRRLEFPTASHALAN ACHE_41103A MSDPLLFEDTFTITAINSQKYDRVSRLTCTSSDSFTTFTLDVNT ELYPCAVGESLSMALASTLSLDGKEDSKASWREVGMGEQTLANDYDYVCHGKAYRFEE GSSQGNMAVFISFGGLLLYLEGPYKKLAPLRIDYVYLLLKK ACHE_41104S MSFRKRNIGLSTGPGQTPAANSPAQASQAAAVADSSPGIRPSPD DGRPTTSTGTLSLDNLLAGHGGLPIGKMLFIEENGTTDFAGALLRYYAAEGVVQDQKV HVIGVPEQWGRSLPGLIGSAEVGDEKPDRRKSERMKIAWRYERLGEFGAGVAGSRGPV ASEQNQSTDANKVKPAFCHSFDLTRRLTHPSIAKMNFIPFAPTREPFFASIYKRLQSA IASSPPHTVHRIVIPSLLSPTMYPPEVSQPDNVLPFLHSLRALLNTPNARITAIITIP LSLFPRESGLIRWMELISDGVIELCPFPHSADALATSGAATSGEEPPQGMLKTHRLPV LHERGGGSDQNIGQDWAFNLSRRKFEIKPFSLPPEEGDKEAQGGAAAGGMPKKEDLEF ACHE_41105A MDFFLLFLVFFSPLLLVLAWFAFSRVRRHFEDESTRPAFGRSYL RTMAQTGGAMSEQIELQDMLEDSNHEE ACHE_41106S MATDPVLPIPISYISGSYYLFSIDAVTYLRREHHICGVLIGTLP QIPQQNVFLAMPLQLMPEEARLLVDKGVACIVDEIKAHKDGMTSIMEVDRKRYLRNLE AEGLQAMRLQNRRKEQLREEALKNLDAKKAAKASKKAAQKQAVADNAVGDDPALELFA GGEQAQDAGPQRPTPSETAMAVTPATSYPPMPSRPASDQVLPSPEVPSSYPLFAHLHS KGYFLSPGLRFGCQYLAYPGDPLRFHSHFLVVSAEWDEEINLMDIIAGGRLGTGVKKG FLVGGAEQTGPGAEDSVRTFSVEWAGM ACHE_41107A MSLETSFPSLFIVILHSYLCFLFFFLVRWTLLTDIQSHVDPPIS LSLITIFFPLPTSLLPSPPPTTKPQTPKMTQPPPPKQHIAQTLLTRAHPPETAETLFT ERIKQKPLYLRPTSPTASDNRTRRRHHRLRKKEYFLRKQRPKPLSAKEKRASGIYELG EDEAKYEVFRGLNALWVRYMQEVLDLRSDGSTGTGGGMVTALSHGSKLVSADFHGAEI EVVRSGCAGRVGMRGIVVRDTKFTFVVVTEKDEVKSKFFLFLLRWFGCGVGVVLMVAT SYSEGTNDYSLSRAVTEASDRCRRRCGYRDEGG ACHE_41108A MFARLSQLTRHLTRPSLAFTPAVTNPAPSLSPLHHRTPSTMTSS AVSNASKTIHTAACLIIGDEVLGGKTIDTNSSYFAKYCFQLGIQLKRIEVIADDEGEI VEAVRRMSSNYDFVVTSGGIGPTHDDITYESIAKAFGLKLKLHQPAFERMKKLARPHP MQPHFDWEKPSPGLTAKLRMVELPHDPKVPEEQQAVFVADDMWVPIAVVNGNIHILPG VPRLFERLLECLKPNLLPRLTNPEGKGIYRFLFSTPLPESAIAPYLTELARRANPHGV KVGSYPRWGKKRNTVTLVGGNKPFMETLVPEVEHNVHGRKVSREDELDPPSDHEK ACHE_41109S MASESRLYSFSPETKDKLRKFRLGTSRAKDPQAIIYVIDSKNQE IRPDDDQIYSKMEDVADELPESSPRFILLSYPLTLGSGRLSVPYVLLYYLPENCNPSL RMLYAGAVELMRSTAEVNRVLEVHEEDDIISIESRLQGDD ACHE_41110S MSTQENQDEPEGLSKYIKRMRTVLKRGSTKSSSISSMKDITGEA STSNAGPTKAPFGNVEVPIEPHPNPEEYPTKIQEPTVISHWSAVQQAKAQALFAKYGL TLEPGEWKSPSDMTVQRVTKPIRMRVRRTCHRCQTTFGPNKVCVNCQHTRCKKCPRHP APKSKPVTEPEQGAVRALLEEKKARDARLAELPRRTQKAELTIPSRSGGQDLVRRPVR QRVRRICHECESLFPTEDATECINCGHIRCKICPRDPPKLRKYPDGYPGDAEPPIEIP QRTWRKPRQRVRYTCHVCTTLYRAGERNCSNCGQERGPSTIRDPPKKIRPEFDPEVVM RVQERLAQMNIGA ACHE_41111A MASKFLREYKLVVVGGGGVGKSCLTIQLIQSHFVDEYDPTIEDS YRKQCVVDDEVALLDVLDTAGQEEYSAMREQYMRTGEGFLLIYSITSRQSFEEIMTFQ QQILRVKDKDYFPIIVVGNKCDLEKERVVTVEEGEELARQFGCKFIETSAKSRINVEN AFYDLVREIRRYNKEMSNPSGSGAFGSRAPEGKMDVSEPGESAGCCGKCIVM ACHE_41112A MNHLRAFTRSIGFLRQLTLSPKSLSALSPSLRTNPFHLTIQPTI PKRTMASAMAKRLEGKTVVVTGASAGIGRSTAKEFARTSPKNLKIVVTARRIDSLNEL AQEIKEEVGEGVKVLPVKLDVSNPEEVRGFVSGLPAEFQDIDILVNNAGLVKGVAKAP DIDPQDIDTMLSTNVTGLINMTQAILPIFKKREEGGRGDIINIGSIAGREAYPGGSIY CATKAAVKSFTDALRKELISTRIRIIGIDPGQVETEFSVVRFYGDKQKADAVYENCEP LTPDDIAEIIVFAAGRRENVVIADTLIFPSHQASPTAVHKRA ACHE_41113S MERNYSVSGLTDDMFTCQRSPSKTDKESIKQVIESKDLLRPSHF DWTDESEELEGVEEAEGQSEGSIGEGELEETMASFYDLERQPNISLGEPENEWPDFGE VMVQETTLPEPDYEELKRASMQEDVNQEFAFRQGWMEVAGESIHHFNWLGSPRYEYSS TPAAISLLFLFAAPKIPGPGDEWRFSAIMRRAMRHVDPVVLYPENGREDFRPRGFGLI RWVTGRVFKFYSPHGNWQTDSDDIADGTHTDSGDVNMYMGSTLQFRNGYVRDYTIRSR AQWGDEQQRLYAEKFPAPSQTRSSKKPYKPSRLRQCILPGDLEDSAEAEPSVKPEIDR LASRTPRMRRAFSESTPDLLGRLHRETSVHDFESGLDAMIETTSGDSDNDSNDSNDSD DGFPDIGEFVQLSYEEFKDAECRRMDIIERAAIAPGLETIPEEDVNDLKNEPQEAGGA DMTDNIEPPKDNQGDQMVEQEKEDQSIGIVMQNQNIQSNLAVEQAGENDQNNHDHHCL RTNQLVEYGQRGQYKHKIGTPEQENNGQVGQNNQVVEKHIDIQNEQLGQNDQIAQNIE TVEQVKSDQDYNGEIDQFGSIDTTDQKQKDHQHISTGTHTRSDSDIIYYISNEPKSEA VNVQTMVNEPLSPPTDQSNEKWKKRISHISQEARSALRPKDARFLPESNSSGSFESTP SPTSNCNPDRQHISLFHTEIPRDGGESPHKTSSTKELATKVKRILSRSSSENDTARPK KQRRITFSDLIYEGIWAFESFSMVMA ACHE_41114A MDDIKNPIALTVSWDLASLGAKLEQAVKVKRHESLKRYGSHRTP ATEVLESFLVGSPVLSVRLVWEGVDELHRTTGSGYPEEKPKSH ACHE_41115S MMGREDWTIVISLAFAIIYLGFVAGEVHFGLGAHSAKLSDQELM NQLKMLWVAIPMYNASLACTKFSILFQYLRIFPRRPFRISCYIVMSIVALYSTWAFIT GFLTCVPVAKFWDRTVKGYCFNFEALWFFNAAMNIATDFTLLIMPMPLLSQLQLPRTQ KIALCGVFAIGGLVVITSALRLSSLHTVARDPDTSYSNVAAAYWTAAECNVAIICASL PFLRPVISCIFPKLMPTNSYRRHQTGFNTTNRSRLQTELYSQQRDYDMYTIDVKSDAV PRDPFRGIEVTTEMIQETGKPSMSKSGIESTNTSQRELVMGA ACHE_41116A MTNVVALEPFVDKTLSVLFEQLDSRFVKTQCEFDLGNWLQYFAF EVMGTLSFSKRYGFLENGCDTNGLLESIWAFMKRVAPMGQIPWFDEVWYKNWFVALFR STPGMPILRIVDKHITARQRTTQDSDDANKATPNSQLDGRKDMLSQFLETQATNPAVP SWAPRAWTFSNVIAGSDSTGNVMRTVMYNIIAHPQTLHHLRDELQEAQQQGNLSQPFP TFKQVQQLPYLDACVREALRIHPPFCLPFERVVPASGITICGTFFPPGTVVGMSPYVV NRHKGIYGEDADLWRPERWLECDQGQRQKMENSILTFGSGRRTCLGKNIAILEIMKLV PALTINYEMQLVDPARYQTENYWFFRQWGLDIKMKKKETPLPALNIPASTSTVDVRVI DPGTTLDLNPSLFWEPPMEGLDVVKAPDYSFLISNGNRHVLFDLGMRNDWENLPPKTL SLIKNTTNVDIGPNIADVLDSDVSGLNICSKDIQAIIWSHHHFDHTGDPSTFPESTTL VVGPGVKDAAWPGYPTNTNGTVLDSDIAGREVREISFSKNAAETVQLGPFDAHDYFGD GSFYLLDAPGHSVGHLCGLARVTTDPDTFVFMGGDCCHHVGVLRPSRYLQLPFSEGSE DSSLCAEMESTQGSAKTDAFFRVSPALTLNHGQAVETVEKIKALEGSGEVFVILAHDG TLQGQIDFYPEKINDWKQKGYDSRTRWLFCKDLKGAHRDDK ACHE_41117A MNAVAERGISVRLLGLRGRYHHDNHESSVQRIASLCESDPRFAF PDAQCLALPLRSTVNGAVITNGSLHTALLEAILTEQSQWHLTVSAVLEDARSKNVHMH FIPIGAGSFVPPSILRNEASRAPARPKIDNGCASTYPIPIDPVLTPSDTSSEGAEGSK FPVAIIGMGCRYPNADSPEKLWELLEKGICAVQDLPESRLKISQLTRGPDGPFYGGLI RDPDVFDHRFFGISGREAKSMDPQQRLMLHVAYEALESSGYCGLRSDKLPNDIGCYVG VGTDDYGENVGCHPTNAFSATGTLRAFNSGRISHHFGWSGPSVVVDSACSSAAVSIHL ACQALQTNDCSVALAGGVSIMTNPRTSQNLAGASFLSKSGASKAFDAAADGYCRGEGA GLVLMRPLADALRNGDPVLAVITGSAVNQGSNCSPITVPDSSSQQSLYRKVLSSSGVD PREVTYVEAHGTGTQVGDPIEFDSIRSVFGGRDRKDEVHVGSLKDNIGHTETASGSAA LIKTILMMQKGRIPRQANFSHLNPKIRPLGDDRVVIPTRSMDWEATEHIALVTNYGAA GNNAAMLVRKHAITPSDQNSSISEIPFFISARSPESLRSYCKALHEYILNGQCAAVDT VGTIGYNLAIKQNRDMEYFLSLTTSSKTASLLNQLNAAGCGDTAVQQRSSPQPPVILC FGGQSGKDAYLSEDLVRSCKVLQSHLNNCDRVCNALSLPSLFPTIFNPEPKNDLVNLH CTLFSIQYSTAKAWIDCGLKVDRLIGHSFGQLTTLCVAGVLSLDDAMRLISGRAQLIQ KAWGPDSGTMLAVECTKSEAESLLQQTKQQFGTCAVDIACFNGPMSLVLAGDGASIQA VEEVAQGLPSKPKIRRLENTHAFHSRLVDDIVPGLLVVARELQYQKPSIPIEACSVNN DWSSITAETIVEHSRGPVHFVNAVWKASKQLGGPIIWLEAGSGSPIIPMIRRALDSRS SQQHVYQRIDIGNSSAQSKLAKATSNLWTNGVRVQFWPFENASYQWVNLPPYQFAKTR HWLEYVPPQPSSQQNGTVSSDAQNELIYLVDAANGIVQINTKNPFYRACTQGHAVVDQ TLCPASLYIEFVLRAVTLTSKADYSANMVQIEELEISSPLVLDPEGQVFLQLQEGQKN KWAFLLFTRKEHKGKVMHATGSVAFHEPKSTAVMRLRSMERLIDPARCHFIIDSPNSN GFKGSIVYQIMKRVTDYADYYKGVQTVSATNEEAAGYVSLPTQPSELVGGQCDPILMD NFLQVGGIHVNCLSENDPDEVYVCGAIGEIFISPEFSQKQCEDAESSWMVYTNQTRHS MTELTCDVFAIDPRSRKLVLTFISAKFKSVSIRSLRRLLSRLNTSPSVDSGSPNETAA TASLNRIETQQRPSIQEEKTEAAHQSETNGAARHGKVQEMLSELIGIPIDELQPSLSL DDVGIDSLMTTEVMSEIKKRFGVSIDSSALQSLVDIRSLVAYIFPETSTSTLSSPNAS VVQQVKATSQTNQSPAASSEYLTTIQHILSDILDIHTEEITASSSLDSLGIDSLVATE LTTEINKHFSVSLGPEELQNIKDTQGLCARLQGLSEVENIGAPSDSNDVPERPFASVA HDCFASSSDDFLKEARESQWTGFYSSVYPAQMDLATTYVAEAFRSLGCPLESLHAGQP IPDVKIAEQYGKLKNQLYAILESFQLVSKSRDGAFIRTDKPVPATTSQMLHEDIIRRF PQHQSEHLLFHTTGPRLADCLTGRENPLSLLFRDATARQLVEDVYTNAPIFKTPTAYL KNYLTSLLKQIGASRDVQILEIGAGTGGTTQHLMPQLTAIPGVRFKYTFTDISPSLVA LAKKKFTQYHSCMEFTTVDLEKEPPASMHARYDIIISSNCVHATRSLVRSCKNIHKYQ RPDGILCLVELTRNLFWFDLVFGLLEGWWLFDDGRKHALACEHLWETTLLQSGYKWVD WTESNFEESSTSRLIVASPMENLSQYPKIDKQISLSRPVTKETVTFAHRDGIALQADI HYPEKFEDPVNPRPIALMIHGGGHVMLSRKDVRHKQTQTLLELGFLPVSVDYRLCPET SLIDGPMRDVCDAFSWARTTLPKLQLQRPERPRGDQVVAVGWSTGGHLAMTLAWTAPE IHGIQPPEAILAFYCPTDYEDPFWWRENIPFGQDVAPPRIKYSSLQESIRKTPITGYN PPPNKRALAGWMAPDDPRTQIALHMNWTGHTLPILLNGWMCRKDGTSIADLPIPTAEE IQAVSPLAIIRQHRYSSPTFIIHGTLDDLIPWEQTMRTYDAMREKGVDADIRMLEGVV HLFDLYPSFPQNLEAVKAVEEGYKFLRNHVEI ACHE_41118S MTEATEIQTLAQKITAAREGDIEPAIPILEDLAFTPYACSSVEQ VSGGVVNFTFRGFLSNPLPDGSISVIIKHSKDFSGFIPGVQLQAARCQGEQRILQALA DGLSPIVHQDGILVRTPCHYHFIPHLNAQVMEEFSGVTALGKFLLSPESNKLSGSFGT SIGRALGCWLASFHDRSSGPEVVQEVGCNEKGRDAMYTLLTGGVAKAMDSCPTLFDGC ADDFRKYVQEAIYGEIDEASKTIVHGDFAVRNILISNSVASTGQDILISPIDWEVYHL GCIEFDLGQFLGDLYTHEYFKSVGSCTALLQGFVDGYKPLSKKQLASVAVYTGIQLLA WAPVVAAPITREQEEQLVSYGRDLILKGKKEDWGWLENSYIGPIFRKETV ACHE_41119S MVQSPAITRDGQGIVTAMAEKDTEEKHHFEDEQGKTDKERETKE ADERNEPPAPQHSVLSKYEKVYIIFMAALAGFFSPISSQIYFPALPTLAQYYGKTTTL INLTVTTYMIIQGIAPAFVGNFADISGRRPAYILAFTIYTAANIGLAVHDSYGALLGL RCLQSAGSSATSSIGYAVAADIASPAERGKYIGPMTAGSMAALSLGPVIGGLLVRYLG WRSIFWFLVIISGAFLVVYTITVRETARKVVGNGSIVPAELWRLSVFQCLSPTRYRRT KTQDTSQPQRSKLSYINPLKSFVVFADKAGLINLCLIGIAYLSCIAVMTNTANMFGDL YNLDSLKIGLCFLPFGMTGCIGSLAAGKMVDMNYRRMARKYNFPLDHQSNRGSDAFPF EKARLQIAIPVVIITGLTLIPYGWVLQQRVHLVAPLVLQGILGFCVTATVNVLMTLLV DLFPQTPASASAAANLVRCWLGAVTAAVIEYMITGMGLGWCFAFFGFVTLASLPFLWI EYTHGMKWRRSKQERERC ACHE_41120A MNEVDLAIIGAGPSGLVTALWAARQGLTVCLLDKKEGPIDRGHA DGLEPRTLEILDSLGIADEIWKKANKTVEICTWSDKQGEIYRTSRRPNYAKGTSRYQE ATLHQGHIEKALVSALEKEPSVKIYWQSTPTNIEILSHHSYHKYPVYLSWCHRPLAWK KQSRSVRAHHLVGCDGAHSWTRDQIGVKMVGDKELWGVIDIVPETDFPDIRCRCVINN DADILMIIPREQHMARFYVDISSSSSRPQNPKTLFNDMVSRIHGILKPYQIDFELCDW WSSYRIKQQLATRFSDPKCCVFLVGDAIHTHSPKAGQGMNASIQDAWNLGWKLATSIK GRGYPALLTSYETERRPVAQHLLSFDKKMLGCFRSFCKEESNGIEKEKDLEETIKEEH SSASGIEVVYRGLGFESIWEAPEAAPGIRLGYRIGDFNVIRHADGYVHSLHHILPADG KWRAIVFPGDITQQEPKRRLRHVGSALSQDDPDELPSLPNSLTMGVIAQCEAIIIHAS SRDEVEPMDLPVVFRPWRQSLGWDYDRVFADDPSTSNPDLYFPVYEKLGISKTEGCLV VVRPDQHVSFVGKLDTLLQESGFLNTYH ACHE_41121S MELAASPTSIDRTQSTRTVPMRCLVLGAGRTGTKSICKALTILG LGHVYHMDSALNNPPDNDLWLRALAAKYSDGRPQESAFGRKEWDQLLGHCQAVTDFPA VIFSKELIEAYPEAKVVLTNRNVDSWYESILRTIDWRYHDPGLYITSLISPTASKYAS MFYKTWFHFSQGNFREHGKQAFIEHYEIVRSLVPPERLLEYEVRDGWGPLCEFLELER PKEEFPSGNDGGDFKVLVRQLDWMRVREAIWQNKWAVAAVTAAVAGLKYILT ACHE_41122A MPTPHPHPSTPMTYTLNFTGDVMLARLIDQLFPTHLHSPHYANV IKTFIERYPYLSKYNHKSPWGSALELFRRGDLNLVNLETAATEGVEKWPKKVFNYRMH PENLGVLGEGRVDFVALANNHTLDFGESGLRDTIKGVRGVGVEFAGVGDSAVEARLPA VLQLPRASADGNSHGDGVKHTVHVYSASDHPRDWSVIPEFHLIDYTPSTKQHLKTLLT TPPDPQSQSQTAQPDLKIFSIHWGPNYTWQPSAHIRDMAHFLIDECGVDIVHGHSAHH IQGVERYGRGVIMYGCGDFVDDYALNEEFRNDLGGIWRVVVNTNTNNDSSGGRKGKGL VLDRLEMYPTRCDRFQVTLLDVDDEDHGWVRRKVAKLSEEMGTRVRRELGREGQVIVD LRD ACHE_41123A MVAMLASIPQMDAARSIPRNPDVLSRHPSAEDLDAAQQLISSAQ AGREHMVDRPWDDNQNGRSVETAESVNSESSFANDKASPKSQKESSFLGHSCSNCGTK STPLWRRSPTGAMICNACGLYLKARNVARPTKRNRGQTDQEGAQQQHTSPAPSTDTAT KHGGGCNHGPKGSCPGGGNCNGTGGAEGCDGCPAYNNRVYKATPRGAVPMHAWNRAAS AESENPPPVLPDPEVSGKNGPSAEGGTMLVSCQNCGTTVTPLWRRDEHGHPICNACGL YYKLHGCYRPTTMKKTIIKRRKRVVPALRDQSPTAATQSSNGSSSPEASPAGLAHGQD DYRYLSTEPADHYPPMGRVSPQARPFAFAPPPVDFTSYSSGTVSLPHHPPPPRLLEPE RMGVPSHSPASSQFDRRSVSPNPSGNPKKRTLAESSGDSASIPTTLESGSNQLPPIMS SVNPSPPTRLSSISSLLNHADKRQEDSPAPLNRQQHSHHHPYPQHQPHQHHHQHHPPP SQAAPLAHPAPPHQQLPGVNELDGYMSERRIKLQREAEEMREMLRAKERELAELGQ ACHE_41124A MDFSNIFRIVNLAVAVIMVLGGIAQFFPASMSSIIVGTYVIIFG AALGGLEFLPTIPDYAYRYASFLFSFLGRGVFYIFVGSLLLHDGVLRYIAGSIVGFIG LGYLALEFIPSIEPPSNMREADQGWGAEQVYGEQV ACHE_41125A MKHQPPKVEDYNSEDATPKPSSTEKRKRGSKDYKQSQEQTPELE AQQPPSKAAKFEAPTENEDAEQPEPEDNVEDGKDGEDGQEDEDYGENYDEGDDGQGEE YEGQGEEDEEEDQQNSTVDEPKAHKVIEEFGRAPLDRTPLADKTLTAAPEILLAMAID AMLKSRPISHDLSQRAVSHIIEVGYHDIQNLGKSSWEERAMVLKDGGYNRYREQGATN LGDLVDLVDGKYDGDLNNLLKKAGNNRDKARGLIKEIKGLGDLAADLFFNNVQSVWPS MAPFVDARSLQTAEQVGIGTDLDTIYAELDQDPLEMSKFANGLSMVRLEKKQGAIEA ACHE_41126A MATNNIVVLGAGVSGLTTAYLLAKDASNSITVVSKHMPGDYDIE YCSPWAGANYFPFGKGGTSAAEWEKATWPVLKEITENHPEAGIHFLDALVYNRKKDQS ANLFASELARKDPWFKDVVPNFQEVPSSELSPEIDNASRFTSVCINTTIYLPWLVGQC RKNGAVFKRASIKHIADASRLHHSGQKAHLVVNCTGLSSKNLGGVLDDKLYPIRGQIV VVRNDPGPMLATSGTDDGEDEVLYIMTRAAGGGTILGGSYQKNQWDPLPDPNLAVRIM RRAIAAHPGLVKEGEGIEGLDIVRHGVGLRPAREGGPRIERERVEGVDVVHNYGHGGF GYQASFGCAGSAVELVNEVLGTGRAKL ACHE_41127S MADVSNGGRIVPIVTPTPTVEVQEQETPPSESPQPQEEEQELKK LGKRQQPRRKTRKNSIFEDAEVLEIPCLNELGLYALPTEGDGNCLYYALSDQLYGDFT HGEEIRLRLADHISSNPEYFINFTAAVGEVRRAPRRAATMSKYSSHTRSSPPSAPNPS DQDKEKSFNDKVTESRKNGVWGGAEEIQACCQSFKKDIQVYTMYGVQTFRDVHAPEWE EREILHIAFHDFHHYSSVRHTEGPHTGMPSITKERLKPRDPNTLSAGTVVEMATPWKI SAIQEGLGGKYDRDTIIEMLQQCRGNIDRAFCNLLDDDSGTSTPSTNETNAITSAPNK AILKTRLQPSSRSSSPFSSGSKRSAEDGDESDPDSEDPRPAQRRRRPFQARERKRRIL PDVTVGIAFRDDQNDLVSLRLRVSPDAVAKEAPPSQTSESDGSTSSNSSFNENIPKPE GGPIGVSTSQPPANEANSERKPRRSLRLTKRSSSDSANS ACHE_41128S MFPARLLRSSSRLIPLTAPARLTTPFSSVSSRFTPRTTTFKPQQ QLTSTPRTYSTMADHGNVIPIKTEAEFNEKVKNSTGLVVVDCYATWCGPCRAIAPRVA QLSVEHSAAKFYQIDVDELSNVAADLGIRAMPTFILYKNGERLAGADVVGANANALEQ AVKTNIA ACHE_41129A MAIWPWSRKNKRHTIQLGDPETATTASQPLPEMASSSFAPNVEP RLTRKKSKRQKNRHSDSASLNNNNNNHTNSVNSANAKKSRAQKHPRFHESYTARSGPQ QSTMSRSTSIKGKRGDNGHAVLKKRLSQRKLNKIAREQEIRLMASCPIDIPRRPGEPV PVAPVKRAPRSRSRRSERHRSETSLSVRDSAASSMSDIPEAYTFKVNALAAFTPRPLV RYEAPRLPTSRSNNASAASTRRERLPALTVSEENLSRRRVDHYVDDLDAGGLRELLER DRRRQERQAIEKQEKLQRKLERAAEKQRAAEARAAETADPSGTAEDERQNFLDGPSRP PTADAPREPDSRSDAVQSPEPAGSWLRDSSKEPTRSRETLESVHVIGNIDDSSIREPP KLVQRPSFAQSQDISMSRTTLSPAQSQSTSRHGLGSPTSSQIYGLGGESLSDASRTMD SERRLSDHSGMRMNTFSSLFRRGSSRLKRRYRERFHDQTSDFSSHASHASRESFSRIP TQSSAPGPAPAAPSPIPARPLPRSTNTVKRSQSKFTEHFGDEPLSPPDSRLQSPEIAE EPEEHPGSMPIPIGTAIGTAYPIPGSDSDLPAARNRHRSWISDNMDDDNVPLSQSLAS IDSEGSWMSGNFLRRISQRRSNHPVRHSTGQFEGPDDASHVDDLPGFVRFSSGNDEER TSADAIEEPEIQPDLRLPDEDPAETWHTEVAKRPVLVNPTVRPKSTEGMLKNIQSLSP ISAEAEFSPIEEHSAELDYATDEDDHDHGHGPVA ACHE_41130A MIPLGNQIGHRMSLLVAAGIATTGLVTQAASYSLPQLVDRRVIS GIGTEAGKNVVVIGVSIASGIVAAGWVNVGFSFVEGEEVAWRLPLALPVVSSVLLMGF SMCFSESPQWFVVITTVIDNISRTFQQASGAERGFLDLLKPSPERLFQRLCLVIGINV AAQMTGANVISHYGKTIFKEFLNLEDTKAFFLNAGVLTWKILAAVSAYLNVDRFSRKP LFIASV ACHE_41131S MKPDVVIINAARGAIIDEAAMADALESGPVGLDVYEREPETREK LLGQERVLLVLHVGTHTVEMLAKMEAWGMECEEGGFGGGGQRLCLLGGR ACHE_41132S MTKDDLFKTNASIIRHFAAIFCVVTNLVNSTLPVAAETLRKAGV FNPARLFSVTTSDVVRVSTFIAHALGDT ACHE_41133S MAYAGFRFVKGLLAPRHGETMTEEAYVYLPDTPGGKEISAELCV EYFAVKIALGEAGATKPLPIGIGKISESEKGLLEVAVRASREYRDRVGFRGVVSAYMQ CSSGHQSSHCS ACHE_41134A MQESARIHGRQFDDSASINTAHVLEKKKAPGPWVHLLAGASGGL ATAIVTSPLDVLRTRLQSDIYRVSDRPFAASFPTPKTNTLNIISSIYRIEGWPAFFRG LGPSLAGVVPATAIKFYVYGNCKRIGANLLNNGQDSPFVHAQAAICAGIATATATNPI WLVKTRLQLDRAQTHAGEAPARRYRNSVDCVRQVIRHEGIRGLYRGMSASYLGTVETA LHLVLYEKLKTVFSQSLKTTEGASPTWTEVAHWVSTSGAAGSAKLAANILTYPHEVVR TRLRQAPKENGVPKYTGLVQCFRTVLKEEGMAGMYGGLVPHMARSVPSAVITLGVYEF VLRLIGV ACHE_41135A MGAESLTALRADNDYNYAYSNYYPSGSRHDHRGSFQNIDDSNNK TCYNNSNGSSESWSLKRLLSRHGKRRYRVLEREPNRLRKRGT ACHE_41136A MPIAAIEALVLLLTHSPSSTISETLDLLEKSTGHLKNSIPNPIG LSAGTDLFQRYLITTLQRPGQLGPAGDFNAIRAHLLSNGRLFIRRAKESRDKIAAFGR GFVRDGSTVLTNGGSRVVASLLQKAADEKGGPSAVRFRVIYVLSSSKNVESSPGAEPE GMETVRALREKGVPVATIPESAVAYSLGKADMVIVGAEGVVENGGIVSRMGTYQIGLL AKAMSKPFYVVAESHKFVRLYPLGQYDLPIEQRVIDFKTEEEIADEAKQQPQATTTEA TSKPVARNSCQSVDFTPPHLISALITDSGVLTPSAVSEELIKIWF ACHE_41137S MAYNNRMSQQFNRNQQQQGRGRKKEDENDALMRLPDKEIAGCIN DIGIPFNTADLVKPNPQQIQMVMEWFAELLMNVTRETVEPAMRAAADDVGGDYPDLVP NDTRNLMGFFISLRRLMMECGVNDFTFSDLTRPTHDRLIKIFSYLINFVRFRESQTAV IDEHFNKSEKTKARIDTLYMENQEMEQRLEEMRRNLKANEGQVKEKVRRNDELKSRLL ELRRNQERVAEALERAKTDKARRQTLLEEKTEKVVRSRQEVEKLRPYVLESPATLQSS LGELSENLMRDKAQIDSMEKRARALQTSSDTFTVVGNDVQACVKLLEDIAVELQKEED EESRASRNREAISERGNNVREVEQTEKLLQRQLARWNERIEALRKNAQEKAEAAQAQM EELRNVQKQLREERAEKQRDMERRRIRIEQTEKKMADLKENIESEIQGAHDQYLKLES HIKLYITEMEKCL ACHE_41138S METISRISSMLETARELTLEAAQSATSNRTSNLNPSSRNSNVPH IKKLLDSRHEKEVLDGLRKVISSMYEDPELSLEFFSAVVKNAASTSFEVKKLVYVYLV HHAEAEPDLALLSINAIQKSLTDTNPQVRAMALRTMSGISVPVINQIVCLAIKRGVGD MSPHVRKAAALAIPKCYRLEPNTLSQLIGYISTLLGDSQYFVAGPAVAAFLEVCPDRI DLVHKHYRSLVKKLVDMDEWSQLATLRLLTSYARKCFPPKTQKVKRAVTKGVSKGFYD DEEVAEGQDDGEEYEVPVLDPDLEVFLRTSKSLLQNRNSAVVLSVVRSFAYLAPPEYL DSAVGPLVALLRSPQDIQHIALYNIVSVALRHPKPFAKYVSHYLVHSTDPPHIWRLKL EILTIIFPHCGLHLKSVIVSELEHFSRSTDRELVRESVRAIGRCAQSDTRTANHCLRV LLNQVTSFDDTLVSESLTVIRHLIQHDPASHERTVIQLVKYLGSTTCPDARATIVWLV GEFAGVEPERNVAPDVLRLLVKGFSDEPELVKQQIVLLGAKVYLHHLLRNPPKEQPPS VQEVEQYSNEWTDDQEKQQEQGEGEEQPQEEQEDNITILWRYILLLARYDTSYDLRDR ARLYKALLASPTTLPLANLLLLAPKPVPHAPSPSETRKELLIGSATLVLGPDAGLHGL KGYEKLPDWVAPGDEPDHVLRDQGVVKPEVSEGAALTAGERLDRALKEHESVAAAKRQ NGRMQGPMPAKQKSLNEWLEDEEEESETETEETESEEETGSEEEEEEDDDEEEETDSE EEDEEEEEEEEETDSEDDREGQQLLTQRGT ACHE_41139S MPGLPSSIDLDECIERLYRKELLADSVIEAICAKAKELLMKESN VVHIAAPVTVVGDIHGQFFDMIEIFKIGGFCPDTNYLFLGDYVDRGLFSVETISLLVC LKLRYPQRVHLIRGNHESRGVTQSYGFYTECARKYGNANVWHYFTDMFDFLTLSVVIN DQIFCVHGGLSPSIHSIDQIKIIDRFREIPHEGPMADLVWSDPDTDRDEFSLSPRGAG YTFGAQVVRKFLEVNSMSHILRAHQLCQEGYQVLYDDRLSTVWSAPNYCYRCGNLASV LEVSDTGERYFNIFDAAPENDIHRSEQQAQQKDGQSPVIDYFL ACHE_41140A MDTEQYQPLPVQHSGRINRLVSIRYLRNNEQAKEHPLCQPTRTN PLLLTLFLPFLSFLTFLLYLYQLTVHILSSSRYPTNPGTQSTTTTMADHHDDDLAASK TEGFKVGEKKTIEEYKQLDQNDESLNRWKASLGLNTGDPIGDPNDPRKCIIKSLALEV EGRNDVLIDLSTPGALEGLKDKPFTIKEGATFRIKVVFQVHHEVLSGLKYLQVVKRKG IRVSKDEEMLGSYAPNTTGKTEYEKKFNAEEAPSGMMARGHYNAVSKFVDDDDTTHLQ FEWSFDIAKDW ACHE_41141S MARSLPKKNNPLISGDGAPAYDDLLARRRLGKTHLAVKATQIGT SNATRPENLGPFEYAHLRAPLPKDLKGSEIFPSHTPQQHPETYFLMRRSKDGYVSATG MFKIAFPWAKVEEERSEREYLKAREETSEDEIAGNVWISPVFALELAKEYQMFDWVRA LLDPTDIVQSPSSAKKQITPPPKFDMPPIEAPIQLSAAATRLRRGRSASPSKRAASPR KPRTTRATKEANVAATNAANASLQSSLEADAGSANGTVEQSVEVDEEETKSEVVEKPR TTTRSKKAAAPVEKEEEGEEEKKVKVDVEESVDKGKDAQTKQTTFSVEMPVKFLPDAP SAEDTEQMIAKAREMVQEAVDSKATEEVPAESSSRGAQKRKTDDLSKDSEDEETKAAS TQRVKRAKVLEEKLKRERVRNRALVGVTAAFALAASIPYFF ACHE_41142S MSLPGLDLAQSSVETEFTPAPPTQVSLTRGSEWRFEVAHGTTVR VKLLAGTAELFGTELAASQTYTFSGTKAAIYTWHGCTLEISAGDTIDGANGGATATGT GTGVPGLAGPTRGYGAGGCQSEYVAEETPMVEYANVHFALETLRQESKATGKDGPRVL IVGPENAGKTSLAKILTAYATKMERQPIVVNLDPTEGMLSVPGTLTATAFRTMIDVEE GWGNSPMSGPSPVPVKLPLVYFYPSQNPLENEGALYRPVLSRLALSVTGRMAEDEDAR ETGIIVDTPGVLGHGKPGSLELINHIVTEFSSTSSPSHWPSLHVRDANDTVTTILVLG SERLYSSMLKSYDNKPTSSASAAASDERISVIKLPKSGGCVDRDAAFMKGVRESAIRS YFFGNPIPSTASAALSLSSASSTTNITLSPHAQQLDFNSMSVYNVAGVKDEDEDDYDP SQLESFLPGGGVNDYPESQPQPQKESTPTPVLPGTTPTPAPAPASTPYDPASATTTNF SPSNENETSLKKLTPPFPTTMANSLLAITHAPPTASPSEVRDASIMGFLYVADVDVEK RKMRVLAPMGGRVPPRAAVWGRRWPGEVVGLVG ACHE_41143A MPFLHRHTGPRWPPSPLVEDEYVSLSRELHGLSNLGEKPGLEGV CARGTINQEPMILDLDRSSTPPPLVHCTENCSSDEGNGPPTPPQTYASRKPFFPAIKN LSYEAWPMSPRAGTPPPQSFNFGDLSKIVAESKRENTRPRISKEQLLPAQQRPVRTPD RRSNPIAAKPNASQACLPRESPTRREQSPGALSFSRKMEERFRQYEQRRAPRIEEQSS RESLHSAATDSAIIPFPRSIKMPAATEKFLMTQSSSAPQSPIREHQRMSPRPSRTFGP STFPSPRRMSSNVAPPSPRRTVSFPDEVQWIHAAPGRRVELSPRASPRASPRASPAPR RSSSSLESKRPASSRTSDLRLSPCPRSVGMPGHQDWSTIRGFDHLYICPSCTENISNS KFGSFVIPSVPKPRTDLISCSFSDPWARLAWFQTIKEDLNHLDILYKITRGTSVCPGR DKATECWYKVVDSETKTHLPGFNACPACVRNLRILMPTLRYAFKRSTVKDKRSCDFVT DSPRFVNYIDLLDRAANRAEREIPPRAKIPEFIAYARRKTSLPECRRDRPKYKTWHYI PQLPEFTVCEDCYEDVIWPFAQDDEPIANKFTSTPRLLPGNDKCREATCQLYSPRMRA KFKDAVQRNDFGFLKAAVLKRYGAEQMYQARRSKLLGQWDRGYDVDLELRRNKVPWRN GWE ACHE_41144S MSLRSLSRSLLRPMSMSTPTTTRLAAVHSHLQHTNTPLTTPHQT RPKTTTTMSSPTTMKAIEITQTGGPEVLSYKTDHPVPQPVEGQVLVQNSISGINYIDT YFRTGLYPSPKPEILGREGVGAIVSLGPGADSYGFKVGDRVAWLGTGGYAEYTAVPAA KAVKVPEGISDEDVMAGFLSGLTVLTLVKETYPVQKGETVLVHAAAGGAGSLMVQVLK SIGANVIGTAGGEVKCALAQSLGADTVIDYRAEDWVSKVKEVTGGKGVDVVYDSVGKD TWEGALEVIKRKGTIVWFGNASGPVPPLPIQKLSPKNLKVARPQLFGYIETREEYEFY TNELFALLKSGQLKVKVHNVYPLEQAAQAHIDLEGRKTTGKPLLKP ACHE_41145A MEVPGDSQIQSQGLRIRTVTNDNPQPDSPKEDTRSAADPSQQLR VGDADEEGNEENNITVDWVHPTRQEKKKKWRSKKSKSKRGRTKPTGFEEYYVDAPITP EQYSQERDIYDETRPIIHRMEDAILRFQKNRRIESDRREIFTKYLAYGGVDVGPKMFG GVDDHDLREMDNEEILLTRGQASIAQERADLVIDFNAVVKGYLTSYFPYYFNPESEDM IKMAIVTIRNFLSYLMYHDVCPEYNANIDEARKSCDIAGKELWRNQQLTAKSPGDFNR ASSTLFGGFFFDNYVEDDQWLNPKDDTVRMTNEIARKVVKFALVGAGTDEQAHRFQRL ANENSLRAMRIEDIDGFEVTAVIMPDDDTREFYEHHASDLHPIGRLLGKAYRDPGKPV YDLSPEERKEWESGNQPVDEFEFFLEEDLLKECYPGMKVITSVFELNCGLHFFDEIFT AYSSVYTVLVNDLMFGWKKPKDLVNGEDTEDKDEDGKGESGARNEEQEAEDCI ACHE_41146S MPSPSKSETLEHDNFVAFDHAAAGHDGVRCTLSGSLIAKPCTPA EVEFYESCALHPAFRELIPTYIGTLSSAGDKQQPQQQPSLAVPAAADTPQSDPTGTPT VKPASPYGRKIDTELSIVLENIAAGFKRPNVLDVKLGARLWADDARPEKRAKLDSVSQ QTTSSSLGLRICGMKVYTGENGESDEGGLTNPYDAKHEGREGKKGEVVEKDGFKRYDK WYGRSLTEGNVKEGFEAFFAGAKLGGVDRSKLIAKRVIEELKRVQQTLEAEESRMYSA SVLIVYEGDPEALEHALEEEKKALDKPPTQDDDEEGEDEEVSLEELQQDGTLQLVDVN NLGQAGIPQQAINIPIDPSTVADLGDEDDEEEDVPKVYDLRLIDFAHAQWTPGQGPDE NSLRGVRNLIKVLDGIVE ACHE_41147S MLCSVVHTTMQCLHNSEQFEQKIHIANTPQTTLEAIQTIAKMFP TRALFARSVWKGPNIVPLPLPRQVPPPPNHPPIKTQKRAATILPNFVGLKFAVHNGKT YHEVVITEEMVGRKLGEYVPTRKRFTYKLSKNK ACHE_41148A MADQQQPPVQPQASFSQPPSQTTESQDQQQAQAQGQSEPQPQTE TSVKQEPDATNPDLDVAIEQEDIDMNNSENTAGAAANANREGGNDGLGNPLQEAVPTS VDALAAAAAPSKKETSLKEFLGKMDDYAPIIPDAVTAHYLTLAGLPPPGHGPNQTPPH LARLLSLAAQKFIADIAADSYQYARIRASNSSSASNPMGSLNAASGLVPGAGAGAGAA GGGAGVSGESGKGKAGTHLGIQRPGFGGGGSGGSGQGRTVLTMEDLGMAVGEYGVSVK RGEFYR ACHE_41149A MVNTRGARPSIDGQDPSDVPPSPSAPVDDKADVKQSHPLENDEH GSISPRASKKRKIEPEDDDTPFSTQPTRLPHDTAGNQIEEELASALGAGMVDPNERPA DNGPSQSENSAESATAPGTGTDMDTDMATVISSIMNHAERVEEQCAMGEQQLGEDTSN QEVPKGVVFVKANSHLKIQSLPILDNLSTQILSLLAKSSYQDITSLVSEPESENGQAY ATMRSLFDHTKKVYSTKKSFLSPVELELTEPAQVDVIRKANLASFVSSIFGTQEIGFS ELNENFIDVFVPEGGRLLKVQGALFLELKTQAFIASMNSNERTRTEILFDLFPEDLEQ RLMERHTANRALAPSEADFAKRAASRRDILLADINNEEALKALPDKYHWEDFLRDLSS YITKNFDSISHQQTKKATKGRQPSQSSGDAQENQGAPLQSQFSVAPQPPDVPVDKNMH GDLVARAARAAQIALQGHGLRRSQQQSQQQQQQQQQPQPQAPPQPQPPQPGQQPQPYH PPQQSQPQQQPGQVPPSYSMPPPQHSYSQSPVPQGYQPQQQHQQPPGQLTFQQSPLQA NFQHYNHGAPMSGRPTPGPGAPANHGYMPGIPHYSQSQPTQVLYERARMAASAKSSPS SRKSGLPSQRRPWTTEEENALMAGLDRVKGPHWSQILAMFGPGGTISEALKDRNQVQL KDKARNLKLFFLKSGIEVPYYLKFVTGELKTRAPAQAAKREARERQKKQGEEDKAHVE GIKGMMALAGAHSQPVAINHGHEATMSASPLPPSHHDNQFDQTAEQNLMQTLGQEVHG ESFGQPQHHQHQDQLNSGMHMGQ ACHE_41150S MLPVQRWSLALAVALQAATSASAQGLSEKVWAVFAYTLHGDNIP TALPRPKNLSPYGANELYAAGSSFRDRYIAVHSNDSAEKLRIQNLSPYVLDSEEVIVL SSTEQSVVGSAQAFMQGLYPPLKQSGNLTYSDSSSVLANGSITTAPFEGYQYPQILSF SSDDPQSLAVAGHDQCRGYEVASSEYQSSNEFQRITQETEAFYIDLYNQALSGVYDRS SATYKNAYDIAEYLEYELIHNGTLMHVLSMEDIKHARLLADQYLWATNGRKSTWNSLL SSHTDTAIHTIAGRTLASRILEAFGTNVQYRGANEKMTLAFGGPEPAIALASLTELSS RYDGFYPRPSLGGSMLFELYSRETEDNPTYPDPSELYVRFVLHNGTDSSTRFTTYPLF GSGPSNIEMRYSEFQAEMEQIATRSTREWCYECGSSAVFCSGVLSRNREPTDNDDRMD PGVAGVIGAVVTVVVFGSIGSVFGLLTALRNRKSRQGKQGGFKGDRKMAGDADITFRN PSWGDAYTAGKQKSDDDMSGAVVVRGQERSGSWEMTEPKERNGNSPKNTGESVRSPFD DENENDEECLVHSAVEPVKVRETV ACHE_41151S MKYDIPTLLALSRNARIDVEKFSSQAASNNLIRRRKASSNVLTE ISANHSRDVSNHSLQFEKARTGSGTQLRRPSRQPHDPPQGVITQSDNGFTRFLKEHSS SKHQRVTAGGRIVPMNSLGPAPKMQLPMGQQGVSATLFQSEPTTKLPEKNPNPTTDSD SNNSNSSTLFSIPGGIFPEHTKLFSGSGTLGSQFQLPGLFPSITPGPVTPAMLIQPNI SLQSGGQHFVYPENQSSDYFSLLSNCNPYGLGAEQTTWFPTANQSLNYHGASIPVMPA TSQPNPSNSGSSSEFSTGSSLSNATTAFSTPSTGLDPVYQATGLFFGQPHTAPQTSAF SQPLSLLNVPQGVPHGKSLQEATKEHVSLSAELSRLDRYMAMHTWDLDPNQKQLLVEQ RIKLVRDLDGVRTYKEQLEMIYGPMKSGTAKVPLAPPPDARTHSIRCNSGNVANDSTA LPNRTAGSTANRVPSTQGATKSINVSSTVPSVDQQSRPAPQRQKKENRSSLEVKKRTS TKKDFSHEAKLQSRPKGASINQKSGKQETQARESSLNDVSTLLGDTDGWATPTESAPP EIRTVYRKIEEATKRGAPLDGLLQELAAVTARLVKTAGEGSNGSPRPKPKRMQRESSH SQTGGETDVTRAFPQAIEGGERPARLAARRQWASEEQSRTSARVIITYETDDDEESWS SYSTTDSWATIQEGDKRWVERQLLGDGNRETSKSQEASKSAAKTGSQVQKKLDTLGWI QSTSPDRMAPGELRQSSSSTSGNNGLSSQTESTKKSHHPLSKQYFSKDREGLVPQKSA ALATSQNVNAHACLPQFDGAEEIPSGLALGPCSTVLRQSFRRISENDR ACHE_41152S MTQLFASGASSTVSNEKRGNFPSLSLPKSKHSNDPSHFPTKIKN FFRINTSSGNSSPSAQSSTHDRDHLSPAVKPESKSTFRQSRFLPIIGRNRSTTVASEG NPLDEGVSPTATANPYFVHQGQPSLQHRNEGSVPSSPPDTPELQVDGVSAEEQATTTN KVELARKLRRVASAPNAQGLFVSGQADNRPQTAEHDKEPVPELSGSAVDSQVSLTDVS GAHDSSLSVPDLGPDGKVPSPGQIRNSVAFRRTYSSNSIKIRNVEVGPSSFDKVKLIG KGDVGKVYLVREKKTSRLYAMKVLSKKEMIKRNKIKRALAEQEILATSNHPFIVTLYH SFQSEDYLYLCMEYCSGGEFFRALQTRPGKCISEDAARFYAAEVTAALEYLHLMGFIY RDLKPENILLHQSGHIMLSDFDLSKQSGPGGAPTMIPGRNGNSITSLPTIDTKSCIAD FRTNSFVGTEEYIAPEVIKGCGHTSAVDWWTLGILIFEMLYGTTPFKGKNRNATFANI LRDEVQFPEHAGAQQISNLCKSIIRKLLIKDETKRLGARAGASDVKTHPFFRQTQWAL IRHMKPPMVPNQGRGVDTVNFRNVKESGSVDIGGPSAPSKMKGVPMDSGLATPNGEVA DPFEEFNSVTLHHDGDV ACHE_41153S MEDSEITSTPPDNTTTESPSNPLLRASNPLVTDLEQEVLDEYSR LLGNVNKLSDKLSDLAGSPSSLTLDGLRLLERKTATVCTLLKASVYSIVLQQQIFNES EEQQQQREQAQQEEMEGQFGGGAGEEESQYGYGFQYGGGGGQYGNGYGEESFGG ACHE_41154A MSLARPAKCLFCSFSRAASAVGPRVPRRQFHPTPTYLNDRKPDL PNAKPEDIKTPRDPKRNTPEQQAPKQNKTANEAGEVAVDANAQVPVDTNVQPEEKKTL EEIVRNLKPENFQPYTAEQKAHLAKVYTPEQIAAIEAGEASIDPKDMAEQFGVRRDPM KLLYVDDFSVVEPGVDKHIRAPESNSEYNPQLKTEEDFAADFGRFFAEMPENGSVGDW VRFVETLRVTKGKEEAELNPHSAMVPDLFGPGESLSGQKQQQEMKETEEKGKESEEMT DALKRLLQDTGFDAMEIRTLRTKTLVAHSVVNQTRLGKVRRQYCLSIAGNGDGLLGIG EAKSEEAPDAALQSKYRAIRNMQPILRYENRTIFGDVLGKVGAVELKLMNRAPGFGLR CQHLIFEMCRAAGIHDLAARVDRSRNPMNTVKAAYEALMSQRNPEDIARARGKKLVDV RKVYYSGRITN ACHE_41155S MQQNDTPRQANSDAKRRRKAKDEGSGKPNGFDEVMQVDIDRLIR QSQQEANGETQHEQVVLPERFSEIEVDISELSSTGDGLGLSADGRHVYVVPFTVPGDK ALVKVVRHHPPLNYSMTDFIKVLSPGPQRNDAGIGCKYFGQCSGCQLQMLSYEDQLAH KKRIVEKAYANFSGLIPEMIPAVDETFGSPLQYGYRTKLTPHFLAPGGGRRRKAPRDQ SVPVEVPPIGYTFKNRKMDMDIEDCPLGTDIVRRGLKTERKRVADNIQKYTKGATLLM RESTIRIPKDRADTNAPAEEQIEPQPDAKGRTAESGDVIRVEKENYIQEKRCVTDHNA TSIEYIDDYIFTNKAGAFFQNNNSILSGFTEYIRQHALPPDNEQDPKPIKYLLDAYSG SGLFTITLSPLFKSSLGVDVDPHSIASARDNARDNNLPNTGFAANDAATLFKDVPYPP DQTLLVIDPPRKGCSEDFLRQLLQFGPRRVVYVSCNVHTQARDVAVMVNGDANIRYDI ESIRGFDFFPQTGHVEGVAILNKAHINKDV ACHE_41156S MVFSNLLLAGRNLTRRLWSKASSLCRHAAFGFNWFAHNFSDLVK KALKFFLRPGVIGVVAFAVALGVLLGLGFGTAGIAACSFLFLCSSGKVQVADFESLFA GSAAAAFQFFAYGAFTPAGGIFPGLTSIVMTGCTLLLLWFLLLLLEWLLRLS ACHE_41157S MCQDCEKKRKALPSTRAIDKPGATSESSPSKTGDSTPLQQVQKV EGLTINNYGHISFFNDKPVMGIPSPLTLSNKDITGERAPYGALGQSPKATDKYGNRLG GTTGFTDQTAHIGIENKLPVVLSKVTLEHHYSDWGWESKTWEGVINSTAADFHAHYQT GWNSWDTDHWKLTIYFKDGAVFQTSVKDCMMREQDDFQHGPMWFQITRNIFNINLRSG ARETTIDNGSVPYETDDGTAYYKYTAYCFVWLHNKFPVAAMAHVSHQYSSDPAFRSNY SGPTESEGISHPWIVYSSPWGYRDYWNISVQLDVVAPTNSDYTQGKPFTNRKQDKECA IGPEDAGKDIYISIYPTGWTIGIPSGSCDDSWITPLGYNTVAFVRIKNNFVQTVRYVI LTHQYGSDLAYTYEYPYILEGEESSQLNMVEYNTGALHPGLDYWTVDVFLADEIHYQN SKPGKECYLEEEDARILTFGVSEDKFNINLHSGSSSCDNGMKALGEVDVLLGANPYQP YNKNCYLAAHNAFANYPDGFIVANQALGIHNQMCVGASTLLLDIWVYDDGVYLLHEWG KPVGYVANNPFATAVPLLSALKTINQYLSVFEDNVITILIEDHLDASHRDDLWSIFQS AEVADKVFTPYPALETDMDWPTLAEMISRGKRLVVFSDYNGPDKVFPFQWDYMSENVY GDESLDPEQEEWITLRKESRRSPYGSKNMTAMNHFPWIQVGQELLPNWFPLVTHANTP EFIWQHVEAFQRGYNITPNWLNLDFIDIGGGITSTRKCNDALHKRGITESVKIAAGRF IYKKVFEQPTADGEPAIDGNGDACQKAGNVFSLAVFEGLVRLYFGAMKAVHEIMEIGF IARCNPSLLLFLLAILFLMLFIIAIVHPVFIIITFPVFVITIFSAAIRLTAVQEELMI SLLNIQSPGISLLICSSL ACHE_41158A MLLVWCFNTLLILILGCRQNTLASDGDSTGVQLAYRQTQPVAAP KLQDIYARRKLLLYLIFTACGEGKIPFVSATDIAAVAYRAVTDIESHDCDHRVLGPEL LTYDEIAQKLSAALGREIQHVSLSGEDRYQGLVSAGVSEYYARFLTNLETAAATGFET HLNDEVEKVTGRPPKSFDVFAQENRAAWI ACHE_41159S MGDVRQPAPVLLRPGHTDPDQQRSSSRVVNVPWINKVFSRQKSE PSPLPLAHVNQDSSFPENIRPGHSSQTLQNNNVGFQDSVPNRYRNKPDINDRDENPRQ PISNYSSLLSITDSRQTAHTRPKEDMAKTLKPMLDKDASSILICWGEKERCHIVPVII ANSADEVTAWREISRAFYAFKGSWRRYIPAFGVKQVDVVEISIAGRKLEMNNRSTSNI EYYPMGSRTRRSRRK ACHE_41160S MGFFYSQFFIKPEYPTQSFTGQTVIITGANVGLGLEAARHVVRL QAAQVILAVRNPATGEAAKRSIEQSTGRPGVCQVWHLDLASFDSVQSFAERVARLPRV DSVVANAGIATPTFKVVEGHEQTITVNVISTMLLALLLLPKLRETAEQHPDESMPRLT VVVSETHAWTPFPEWKCSNTFQALKDKSTADMENRYATSKLMEILLLREMAGRMSGSG VIINMVNPGLCHSKLAREIGWGFWMFKQLVARSTEVGSRTLVAGASAGRNSHGMYMTD GRIANEALSTFVRSLEGEQAQEKLWSEIAEILEDIHPGVMQKV ACHE_41161S MNPIDATEHYETSAHDPAPSLLTIILDTNPHAWSLLESSLPFSQ AVANILVFLNAHLACNYANEVAVVASHNHQASWLYPTETHRGKGTDTDGDVSMNQNNG QDGQAPNGQVNKYEPFRVVEEQVTRNLRQLLDSTPASTLTSTTSTMMAGALTLALSHI NRRAIAWSEAHGGAGDASRASGDATTMGYSGSKETGPEGELQSRILVVSVSSSTDSAH QYIPIMNGIFACQRLRIPIDVCKLSGDAVFLQQASDATRGVYMALSEPRGLLQYLMMA FLPDQRSRKHLVLPTRVDVDFRAACFCHRRVVDVGFVCSICLSIFCEPPENGDCLTCG THLEMGDYGAKPAVVARKKKKKKARPNGTAATPTPTPTPGP ACHE_41162A MSRPRKGGDISAIFVHAGAGYHSHHNERSHLEACENAAKAAMAL LKNGGSAVDAVELAIMLLEDSEITNAGYGSNLTLDGKVECDAAIIDHEGRSGAAGAVS HVKNPISLARVILDTSSNPLSLHRVPPNFLVGSGATDFAYENRLPVLPHDALIAEGAK QRWKRWSCELRHADMVAKKKAGAQQNLNDRHIRQPLQYNPAQLLTTPSSIATPVTSNA DQASFPGFSAAPIQNQVQDTVVDEASSAQLQQSMDMDTPDFPTPDLRSGDDADDVSDT VGAIAVDSFGNIAAGSSSGGIGMKHPGRVGPAALLGIGTAVIPADPSDPDKAQVATVT SGTGEHIATTMAANTCALRIYYSQRKCSDGLFEEVTEDEALKGSIVTDFLGHPGVKRS HCEGAIGIMAVKKTVDGIYLFFGHNTESFALASMNSEDRKPVSVMSRNNKGHGNVAQG GRVCRRKRHKVASSRTGSSSQ ACHE_41163S MASQTPAVVMDNGTGYSKLGFAGNDSPSFVFPTAIANKTGAGGS SSRPAVGNKPSFLSGGGGGASSNLSVKRGAEDLDYSIGDEALAAANGPGYDLKYPIRH GQIENWDSMERFWSKSIFKYLRVEPEDHYFLLTEPPLNPPENRENTAEIMFESFNCAG LYIAVQAVLALAASWTSSKVTDRSLTGTVIDSGDGVTHVIPVAEGYVIGSSIKSIPIA GRDITYFVQSLLRDRGEPDSSLKTAEKVKEEYCYVSPDIVKEFARYDREPDRFLQHTV TSPNGRTVNIDIGYERFLAPEIFFNPEIYSSDFLTPLPTVVDGVIQSSPIDVRRGLYK NIVLSGGSTLYKDFGRRLQRDIRHLVDARIRASEARSGGARSGGLDVAVVTHKRQRHG PWFGGSLLGQTPEFRSYCHTKAEYDEIGPSIVRRFALLGGPGT ACHE_41164S MSPSRIPETGSRTALDPQIQAFLTQNSSLQLGGTNFLAERKHHT QVFAFHNHPQILQAAIDQVEFTAIRGPHGTIPIRVFYPKSGEKRKQARDAAALIYFHG GGYTVGTVDEFENGLRILAEESGAQVYAVEYRLAPEWQFPTQLDEYQEVLEWVQGKGG AERGVNPQRVCGGGDSAGGNMTAALTLRVKDGKGGKAQPMRAQILLYPEARIPFDTPA AAENNSGIYLECNGIFSFADHYLPRGTPPSHRYISPGMQPISSLRDLPAAAVYTNGFD PLRDVGVEYASKLDEAGNQVVWHHFDTLPHGFLQMAPWSEKAMEATKQVGKNLRELAY GK ACHE_41165S MTGRVSIGQRIRQVSWGWYASSMSTGGIALLLNQTPHQFTGLKI IGKIVFILNLVVFSSITIAMGIRFISKPSTLKESFQNPQETHFFPTCVLAIATIIMGI SSYGTSSCGPWLVVALRVLFWLYVAVATLVAISHNWYLYHSCMASRQTFALLRLLPSF PAMLSGTMASVLTSNQPVHHAKSMLIGGTTLQGFGFLMSLLVYAEYIFRANKDGLPSP LERPEMFIAVGPWSFTAVALIGMAQVAVEKWPQRYIISIADTSSVSPTSISAADIALV LATLSAVFMWMMALFFFCIAAISSLAACRVVKGKGKGELGMSMAWWSMVFPNTGFTLA TIDIGEALQSEGILWVTSVMTILQVAVWLSVAIGTIWGVWNRELLWPAEVEGDEKES ACHE_41166S MLSPGEERAIHLATEAIELFDAGHREAASRNLREALSLAPHHPE VTGTLLKVQKDETQGHHLLDLCRKYAANKDESAGKDASLYLRTDGLKPPEEVALQCLM LLLAERPHALTATQDDLISSLVRQNTSVRKFFSNRLQVSVTTFFDEIYDRGDGAAVCL DTVVLDPSVWPSEKVRYHCECELFLLFIAKLMESGHDLDGRSLKGIARLLAVDAEKLQ HLVDDEGLEVILSSLDSRLPAEWRGQATLATVKYLEVAKQAGEERFSRLIADKITKAR NDDIVVAFSAAVAVFPVAPNAAASLFLSDEFMKALMPLASRSSKNRNVEVALLDLLNA ACVSTACRETISKRFSDWLSHVLTNGSDETSDLAAVVLAKIRTSEAANGAGAVASQTN GKVQEEDSVPELVHRFKELMSQRKVEHVPHAIEGLAFSSVKPDVKEQLAQDRSFLSDL IQILRPHATNSTPTSASSVLYGGLTIIQHLTQFLPNLSEEQKKMAQLKAYANAKTGEA RSGPDPRQQDEAVLARCRVIVEAGAMPLLVDCAKTNLPSIQSLVSQILLSLARDRSSR GALAQQGAVKVLINLAAPQQGSAGAETSHYAAHALARILISVNPTLVFPSSGFPSVTS AVRPLLSLLSAPETAVFTADQPRDLLPVFEALLALTNLASYPDESASETIVRQGWTVV EDLLLSSHAWIQRAACELVCNLMACEAGVMQYADGSKQAAKRLHVLLALTDVDDTATR KAAGGALAMLTEFESAITAVLDRPRGVELLLGLCQDSDAELQHRGVACIRNLTSLAAG NTGVRARKAVKDQQGVETLAGVLKRTSNPAVLQTGVEALKPIMQ ACHE_41167S MKFGRNLPRNVVPEWSASYIKYKPLKKLIKSAAEDLKADHEADL AGFFYSLDRNLEDVDYFYNKKYHDFSRRLKLLESRYGHSLDGRQRLDGEDVEDLLAAL LELRGQLRKLQWYGEVNRRGFIKITKKLDKRVGGQSQKTYLETKVDPAPFASNVRVSE ALKRINDWLSILGDQKVTDDASSTVSSLSLKKGPSRPNLNVPASLLTAVDEALRSDDT HMLLELIETLKKAADEAQDNLFPRVLKSLLQRSIYYRSKACITALLGRIDDDLEEDDD INKRNCVHRLVISIGRAQSTTDSEQSASMVLDFPLEASNYITPAAPPTLQPARSVIKE SEKPQHLERSDPAVALLLHLLDQLRPQQRQAVLAKDLTGRTPLHYGAQYGFKVVCDII IEHLQAWDMFDVSGGIDGVEWQDNDGWAPLHLSVVGGHPLTTRTLLEAENWKGESNTE RAFIRKQVPKSSAVLAMATKANFVDIVQLLVDAGVDINYQDEQGETALHVAARFGHDQ CARILLNGTDNQKADTELFEYTYSWTPLFIACVDGALSVVNLLIEANADLERSDSSGW TAKEHAALRGHLDIARRLAEVTPEPEVSEPEVLPAPAAISPAALTPSSPPQAQSSLAE RRSNNTTPGSSGPRASEPIKSFGHRYLTDEAMILVSLGTMDIRKPQQAVNLDRIPMEN AHSTQLDTALSIVVSASGAHGEPEIIDLPVQDNISTEPMVFHAADPTKVRLLFDLVPT YAGSKDQIVGRGVALLSSIKPGVGSHRMNLKGDSTVPIVAANTLEVIGSLTFNFLIVT PFKHPNMSIARDQTYWKSLTSTMVIGHRGLGKNFASRKSLQLGENTIQSFIAAANLGA SYVEFDVQLTKDHVPVIYHDFLVSETGIDAPVHTLTLEQFMQLGDSRSMRANQNASPR RADIIGEERSGGRMRSMSVGGSEYNVAELNEKIKHTRDFKKKGFKGNTRGNHIQAPFA TLEELFRKLPKSVGFNIELKYPMLFESEQEEMDTYAVELNSFVDTVLKLVYDLGQGRN MLFSSFNPDICLLLSFKQPSIPVLFLSDSGASPAYDIRASSLQEAVRFASRWNLLGIV SQAEPLVLCPRLVRVVKESGLVCVSYGAINNEPENVKRQVNEGIDAVIVDSVLAIRKG LTEHESKMETPSTSPQPSPLAIPSTGDEGLKDTNKIPVLEQAEKRGDHLHVKPEAYVR N ACHE_41168S MFVLWLTLFLASIATSTPTTANTLPYTQKHAPNYTVFTHAPTGA TLSFVNNSGICETTPDVNQYSGYLSVGEGLNMFFWFFESRHTPHSAPLATWFNGGPGC SSMIGLFQENGPCHFPLGGDSNEPVRNPYSFNEVANMLYIDQPVGVGFSYADADGNDG AEMNVNSTESAAEYVWVFLQAFFEAFRVYEGRDVAIFTESYGGHYGPSFASHIQSQNE LIRNGSLSGEIINLVALGINNAWIDAGIQEKSYIDFAYNNTYRSLITSAQRDKYLSTY ENTCLPAVHNCTSTGTDESCRTANRVCSNQIEGPITRAADFNVYDIRKPKSDPEPPGT YMGYLNREDVRGRIGAKGGRFRECAGGVTLNFARTGDNAKTLLPTLSTLIQSGLQVLL WAGDADWICNWVGNYNVANAVIFDRQEEFRRKELVPYTVDGVERGTFKSVGGFTFLRV FGAGHEVPYYQPETSLQVFRQVLEGRGVYST ACHE_41169A MTAVAETFISIAWGVLSIATSLYLLSYFNSPLRNFPGPILAGLT NLWRFLDVLHGRPDKTQLALHRKYGSAVRIGPNVISLSDPSLISKVYTTKGAWRKSDF YTVNDARVHGMRVPNIFSSTDEKWHSMALRPIRQAYSMTQVLDMEPQIEATIDLLCEK LDERFVRTGISCNIADYLLYAAWDAMGQATFSGTLGMLEKGYDPTKTIRTTKNNIDYF ACFSQIPKVDHVLGKNKIVEAVLPSGISWAVKYIQDMYVERSKESQATSRPVDFMDRV LQAQKKFPEIVNSAMATIYLLSNVLAGSDTTATAMCSAIYYALKHPSVHRKLREELDS ANLSFPVKWKEIQHLSYFTAVMREAKRIHPGVGMLMERVVPAGGLCLPDGRFVPEGTI VGMNPWVINRDADTFGLDADQFIPERWLKLSEEADEEHQARVARMQRAVLTFGAGPRS CIGRNFSEMESDKIVATLFAKYEMELADPKDTWNVTSLWFVRQDNIVVNLKSRV ACHE_41170A MDSFSMDDAVPSFCIGHHESNRSYAVTSDVVRKAHDCNYDMLTT PITTSHFHSRVLTLLSSHLSSMQPATADSNGTMGTTRNTRPLVIPPLAPADTHLTPND AMSQVVGITSAWIDLCSPDPLIADISRQVLMLEVAYAAFCGIGYLLLPGPKLHHGSMH SEGIVYYARAIQDALNLGSYIQFHIWLRTVDNPENEVDQMGDLAPLARGEFVEPEGDA TPKVDPFGTWEAWDLIRRTCKYHARLLVALSIPKHLPPMSVQSRWHSEPVHLLTFDTH CFIKNQKGYPVLSKAHQSLISRFMRLRSAPWILLCDVGPIPGIEANDDTNQSNLSGSE FPSLGQASIASKKHHDPTPQLSYMRNLQQRQPARSAIERFGTGYQDYLQAPLQPLTVN LESITYEVFEKDPIKYDWYERAISKALSDWADQKKPTSNPDGRVVVAVVGAGRGPLVT RALRASADTGVAIDMWAVEKNPNAFVLLQRHNETTWGGKVTLVQSDMRAWKGPRVLKK VSTEPPAPVGQSLGIEGSLLLSGEQATVPPVTPPIPEYANTHVDIVISELLGSFGDNE LSPECLDGITPLINPVHGISIPESYTAHLTPISAPKLHADVMNGSSTNPAAPETPYVV MLHAVDFLSTNHPPSPSVLNNSGSIHNHVRSSISTLPGPEFATPFVQTAWSFSHPNRN IPPQAPSSSTISNAHNVRRTRLTFPTQNRGVCHGIAGYFETVLYRDVELSTNPVTMDS KSANMISWFPIYFPLKTPLGVPENGEIIVTMYRQTDDRKVWYEWMVEVFVLERTAETA TSGVMSPVMSGARTDSPGADSASATSKDKQQQQQRVGHRARRIKVGMSDLHSSIKEGC LM ACHE_41171S MVDRRPETEDGSRAKRQKMAKTETDPKDNPYLAHMYADENLNGN GWDDSMAEKNPAYGKLKRHRTTAQLAKDVEDGEVNPFNGQPFSNKYVSILQTRRDLPV HAQRDEFLQLYQKSQILVFVGETGSGKTTQIPQFVLFDDLPQTQGKQVACTQPRRVAA MSVAQRVAAEMDCKLGDEVGYSIRFEDMTSPKTILKYMTDGMLLREAMNDNTLSRYST IILDEAHERTMATDVLMGLLKEVVERRPDLKIIIMSATLDAQKFQKYFMDAPLLAVPG RTHPVEIFYTPEPEQDYVEAAIRTVLQIHASEDEGDILLFLTGEEEIEDSVRKIALEA DEMMREADAGPLKCYPLYGSLPPHMQQRIFEPAPAPRRPGGRPGRKVIVSTNIAETSL TIDGIVYVVDPGFSKQKIYNPRIRVESLLVSPISKASAQQRAGRAGRTRPGKCFRLYT EAAFKKELIDQTYPELLRSNLSSTVLELKKLGIDDLVHFDLMDPPAPETLMRALEELN YLACLDDDGNLTQLGRLASEFPLDPALAVMLISSPEFYCSNEILSITALLSVPQVFVR PASQRKRADEMKNLFAHPDGDHLTLLNVYHAFKSPEAQENPKQWCHDHFLSLRSLQSA DNVRLQLLRIMERSELDMVSTPFEDKRYYENIRRALCAGFFMQVAKKETQGKNQYITV KDNQNVLLHPSTVLAIEAEWVLYNEFVLTSKNYIRTVTAVKPEWLLDIAPTYYDISTF AKGDVRSSLLRAAERLARKEKMRADPSKRR ACHE_41172A MQRLWSRAAPATTCRQVTQPNAAVVGVTRGATAASKRRLRFANS FTAFYSSIFAAAALADAQVKDKRRVEWEEKIAAVKEEVDVLVGEEQRLISALESRRGR RVVGGTTIQSRSYSTTVPRFSIDLDNDLDEHELVNRSLAEFDNQDDAAIRQHEADEEP DELRTPIWLAGPSMKEKAIRVLALKQLAIRLMLRPTLAHDYEGLPMKYAGDNDVPRIK PTRLLTELNNLRKRIDFLRENEDVNVDDIMHPFRANPYYVVRQEKMKLDNEMEQITNI YLSGRISVQEYLLRVASNLSRSIEPDRPRAFKIMLLAFTHTRQNDLGLMVLRTLFPNR FRLSSPLIITILNFYRKTKDLLNFDLFLQMLIGGGYPVNLGKMPHFRHKVINGIDLTI PPLDSSNPVLYAALISACLRFDQPERADAYLQAARLTGYMDDYHTLFAYLKFYSIRQD WWNGVNTLKRAIAYMGSTSVHIEKYAERLIFLMVHLCDSCEKSAVSEALIKAAVESGF DPKLGERQQDIEDEIDPLFERWIGASMMSESSNEGLPIGEKCFSFLRTAGDVVSDLGE FPEQQSPARLRRKWSGHFSQSLLSSVLSERHPQHNSPAQDAVAFTGTESQTASGLVGE QGPPELAKATEPSGSGILIQQGEEIAMLRRDINRLDQRLDEAIEANRFQTETMTAHND VISHMKDEIRELRKISKITKESYQAHEKTSERQDKEIQSLKHEVSELKESLASERGAL SEALRSLKTALNELHEVKSQVAGNGKTGQSIQADLADSTTNIRDQAASLKAKAGALPS KLEFKLAKQQGVSLDSMPHHRKK ACHE_41173S MRAQSFGLATVLLALSGLASAHGSHSNESPSSDWATRHMQEEHH IDSFDAGSFFTLHDYDSSGMWTADEVRKTYGLDDQSNAGVTEEQKQQAVREVFSLFDP GRTGIITRDDWMRLISSGVRLPDLGYGPGHHGDIEYEYEIHHFEQFHGDDATEEELTH PEDIEHFRQHDQLEDAADRLEQLERMPIVQANIPQKFLRQ ACHE_41174S MTRSLPFLFSKTCSVLTPLQPSRLYMNVIFQFHIVSGDDDGVVR SVATSLNISDSNSRSYRDPAGKQAYAQDLLSQTRAGDKSKRPNVMFCATGPTMQSL ACHE_41175A METQEKNHKHNNHGKSKPKTSQTRPKKYSQPLQKGIRDFVTAHL PPSHLPAYNLSLETLMDALPKRYTVYQPLLLLPPNALTTPPAWGALYAALDDSQRVAL FESVVRSFRNMGVTHVAMNAPIAPTHPLGQENRIRSPVGLVPLYGDFGSAPCASAGGE GDADNAHPSESDLQRALWVHTVQNQGIVQTWAPLYTMFSRGNITEKARVLGHAGVFEG LDEESLAGEEVGDVGVVDMYAGIGYFVFSYLKRGVKRVWGWEINGWSIEGLRRGCVAN GWGCRVVKLRDDGGLMDGSIPELVDGLDDETRVVIFHGDNRFAAGILNEVRNLMQPRQ IWNSIRHANLGLLPSSRDSWDNATRMIDMDKGGWIHVHENVDVQRIDKMKDDIVAEIG RLRSQNCSDSSCTERTVECRHVERVKTYAPGVMHCVFDIRLSQ ACHE_41176A MEMNFVTFNQDYSYLAVATSKGFRIFTTDPFAKSHETKEGNIAI IEMLFSTSLVALILSPRRLQITNTKRQSTICELTFPTTVLAVKLNRKRLVIVLEDQIY LYDIQTMKLLNTIATSPNPNATCALSPSSDNCYLAYPLPQKAPPSSFQPPAHAPPGST HVSPTSGEVLIFDTLKLEAINVVEAHRSPLACITLNSDGTLLATASDKGTIVRVFSVP DGHKLYQFRRGSMPSRIYSMSFNTTSTLLCVSSSTETIHIFKLSPQSQAPESSYSPGG YDRASISQSSTLTPESDDMGEERSPSDFASRKHNGTLMGMLRRTSQSVGSSVAAKVGG YLPKGVSEMWEPARDFAWIKLPKPNQGPGGAASSGPLKSVVAMSSNTPQVMVVTSDGN FYVFSIDLSKGGEGTLTKQYSVLDSNDRLGYSVMDY ACHE_41177S MAPKKKNAVPAVSAKAEKEADLVMGTNNSSIVSKRSVEMFYYPK PHFFRYFVKKPQRRAPLINRGYWLRMYAMAESVRRFMQEPSDKPKFVLNLGCGFDPLP FMMLSAEKPLCRDTTFVDIDYEKLMVNKKTAIQRTSEITDHLENVEFFPDDKAVQIRS DHYLAIGCDLKNIEKLDKTLHNEVLPAKCSVLYLAEVSLTYMDVESANAVVNWASKLS SDAQFCILEQFFPDGPEHPFASTMMKHFNKLGAPLYSIHEYPSLREQERRFRNAGWTQ AQARSLWDLWSDDDFLSSSTRASLDSVEAFDEWEEFALFASHYFLLHASTREPTSNHE PEYEDPCPSVSPSYTLLSHCLQGNDQRRYGAIVPDTDHSLGYHGGLGRQTRLASTSLY GKSKAVTRSSQPFPPNDMPARMCHTVTPLAGDDCLLVGGRASPAAVFQDCWLRKGGQW KPTHSLPEPRFRHSAVRVALPDETEGVLIYGGKTSDGQVLDSWILWNENGNGWQAVET FGEKPPARLGACLELLESQTNKGTFEGRSETGILFGGVGKDSKIMEDIWTLTLHQRTD GKYTLHFNDLTYALQSEPLLKYTTRFGATTNSTPWGLVVAGGIMPRQIVPADKEILLL DFKELLKCLDSGDDWNGNLISEIGLGKDFRGPRPLLTGHASHAASSDQLVILGGGAVC FSFGTFWTEGTWVLKRTESTLENKWAVVAENVQPTKTSVTQKSPEKSVLQKVEGIPVI PRVKVQEPAQFQQILADGKPVVIEGSDIGPCRNLWTKEYLTDAVGSDRKIVVHEAQSE HMSFQSKNFAYTTKEFGTFMDEVHAGGRQYLRSISADQPSKLPANLAVDFPNLHRDFQ LPAALSFVMENAHSSPLRISGFVTLWLHYDVMANVLCQVRGERKLVLFPPSDVQHLHV PPGASSSNVDIFRNLDGSVACPPGTSPQEAILKPGDILFIPPLWLHTASPTGEVSVGV NVFFRNLSTGYAAGRDVYGNRDLQAYEKGRGEVQKIAKGFEGVPPDMVRFYLLQLAQE LREQAGV ACHE_41178S MATVVVQQQAPRSSSDITAFNLNRNPTPIPNKHLPVCPTGPSPA SHSPSPDVRENSDEEQVSSLLYPPDAYFQMSRFPPVYTIDIESLAAALDHWASQPLPD PSQVFPWLHGLHPRNRLQLGFFTNRKRSLRQAPKCWRGITIVKVGGDLAASRLKGAVA PTEVLAPSGLEFLFPDPPEGFSVRNFQIQTAKLAPLSDIIVYGDDEADQNNVLDIAAR IATAQHDWKMKNDPKRLVPEFNTFILTSSFREVEERYPSLVTIDSRGEMTGQVMDFFL WERLEMYSMTEASEISTNVWQGPTPEYLLKPKAWESTRDERFDLLIEANDLASIPPPH VLDHLERKLKNGPQRLEFSSSGSLMPPSSGPGEQRELENFVNTIRWMHYLANSNGSAD ESDSEGDIPMVTSSRKPYKILIHGPDGYTESSLLALAYVIYAEGIPAKDAWLKLHTEK KRNFFAYPIDVSYLTGIQERLLKESPATKTPDLSSLSKPSWFDNCDGSFPSRILPYMY LGSLTHANNPDMLWKLGIRRVLSIGESLAWSEGDYARFGSENLMSITDVRDNGIDPLT KEFNRCLEFIRRGKRDGAATLVHCRVGVSRSATICIAEVMASLNISFPRAYCYVRARR LNVIVQPHLRFVYELLKWEELQLQKRGEPVKRELEWQIVAREIALMNMPYTR ACHE_41179S MYIAAKTSEPYALPTAASTIYSSNIAECNPAFKNATRPFLAMQQ RQGVLNAADLLDNLARTQTTDSNDDKIDSDFYYHPLFKKGYTPEAILEIQKAFLEPSK QTPSNSSFSSSIEAQRQTSSSRGGYVKSGDNKIFDLLLEMGAR ACHE_41180A MDQMDVDAREPHGTKRPAEDAETEPPQKPKRIRALDPDVVNKIA AGEIIVAPMHALKELIENAVDAGSTSLEVLVKDGGLKLLQITDNGHGIDRDDLPILCE RFTTSKLKEFEDLSSIGTYGFRGEALASISHIAHLTVTTKTAGSSCAWRAHYGDGKLV PSKPGQSAAPKPTAGRGGTQITVEDLFYNVPTRRRAFRSASEEYAKILDVVGRYAVHC AGVAFSCRKHGDSGVSISTPAAANTVDRIRQIHGSAVANELVEFKMEDDKLGFRSSGL ATNANYHVKKTVILLFINHRAVESTVVKRAIEQTYSAFLPKGGHPFVYIDLQVEPQRV DVNVHPTKREVNFLNEDEIIESICNEIRSKLAKVDSSRTFLTQSVLPGTGVPTIESLS RDDEDTEGRASATPKTPGTTKKPYEHNLVRTDSKVRKITSMLSPAVPGTASRTDDAEA TSSTVLDEGLQYENTDREPIRIALTSVKNLRAGVRANMHNNLTEMIASHTYVGLVDER RRIAAIQSGVKLYLVDYGMLCSEFFYQIGLTDFGNFGIIRLNPAPKLVDLLRIAAEAE KESHKAQRRQQRRSETEEENEIFTNAPEIVANTLIERREMLDEYFSIQISEEGDLISI PLLLKGYLPSLGKLPRFLLRLGPYVNWSGEEECFRTFLRELAAFYTPEQLPLPPSITG TKDNGHKGVHESQTESDTGEDEAIQQRRLQMGRMLEHTVFPALRARMVATTRLLRGVV EVADLKGLYRVFERC ACHE_41181S MAKEGERSAPVDKGKGKAEDVKDVPAGKKPQKDEKEQAGGKKKG DEPQEEELSEEDQQLKGELEMLVERLKEPDTSLYSPALDAIKNFIKTSTSSMTAVPKP LKFLRPHYDDLTALYEKWSAGPVKDSLADMLSVLGMTYGDEEKLETLKYRLLAKSEDL ASWGHEYIRHLALEIGQEHQDRLNNEKDTTDLVDLALSLVPYFLSHNAEADAVDLMSE LEIIDQIPKYLDENTYSRVCLYMVSMVNLLTFPEDQQFLRTAHEIYVQFNELTRAIVV AIRLNDTELIKSDINATSDKSLKKQMAFLAARQQIWLDVSLEEEEDQSFMDCLNNISI HKHFKSLGKELNILDPVMPEDIYKTHLETRGAGLTNVDSARHNLASAFVNSFTNAGYG NDKMMLVDADKSSWVWKTKDDGMLSTTASLGMLLQGDVEEGLDKIDKFTYASEDQIKA GALLAIGILNSGVRLDSDPALALLSDPDNLEAKNVPMRVASIMGLGLAYAGSNKEELL EVLLPIVEDVSLDMQLSAMAAVSLGLIFVGSSNHQVSEAIATTLMDEDRQKHLKDKWT RFMALGLALLYFGRQEEVDVILDILKAVDHPMAKPTSVLASVCAWAGTGTVLKLQELL HICNEMIDEKEESKGDELVQSYAVLGLSLIAMGEEVGQDMILRQFGHLMHYGASNIRK AVPLAMGLISPSNPQMKVYDTLSRYSHDNDNDVAINAIFAMGLCGAGTKNARLAQLLR QLASYYHRDPNSLFMVRIAQGLLHMGKGTMTLNPFHTDRQVLSRVSAAGLLTVLVSLI DAKQFILAEHHYLLYFLITAMYPRFLVTLDEDLQPVTVNVRVGQAVDVVGQAGRPKTI TGWQTQSTPVLLAYGERAELEDEQYIPLSSTLEGLVILRKNPDWENAE ACHE_41182A MCFGSSKIHDDPYWWGYIDDGQGWTARPKSEYMKTPEYNPELRR QLKRERKERKLEAKEAEKRMRKGWLEGSGASKLKAKSETG ACHE_41183S MKLDAKAIRYLNSEDFRVLSAVEIGSKNHEVVPTPLIAQISGLR GSGVTRSISALAKTNLIAKVKNAKYDGYRLTYGGYDYLALNAHQKHKCIYSVGNQIGV GKESDIVVVADKTGKQSILKIHRLGRISFRSVKNNRDYLRHRSSGSWMYMSRLAAMKE YAFMKALGQNGFPVPEPISQNRHTIVMSLIDAFPLRQISEVSQPDVLYSELMDMIVRL ARFGLIHGDFNEFNLLIKEIEDPDAKEGESPEIIRLEPILIDFPQMVSVDHPNAEMYF DRDVDCIKRFFQRKFKFVSDMPGPFFKDAKKQLIKNPSERLDIQVEASGFSRKMAKEL EAYMKEVGANEDNEESRNEDSEDEDEEEEEEEESGSEEDEKQTSGTRSDEVDDSSRKL EELRVS ACHE_41184S MVRAFSKFPKKPAGWLPPSAPLEQRKQVFLPEFTIALIRTPFLP PRFASFHVPLSFNKLDMRDYLQRLYGVDVLGVRSYIEQQKITRLRPMGRWGYGKLRRP ESKKRMTVEMKQPFVWPDAPADMSPWEKDQFFRAAKYQEDIQKANRPDAGMEPNKPER EAFEEEAKQLLEGKKAWRPTWQALGLSYDRSALGRGNNAPTS ACHE_41185S MIRIILRLKTSFTIITRPARLTNVEDGLIIYEESELRASCRVEL IMVQGKDGFVLEERYVVEGYRSLWLGTQLLGGRQPHIVLLTRFLEKFACEASKNEAMP KPSREKSEIDIIGCFLICYIH ACHE_41186S MASLGTSERLALIRENLAETLNFEIIENIIAEGNNPKVYWGTAT TGRPHCGYFVSAVKMAQLLAAGCELKVLLADIHAFLDSLKAPIELVESRVEFYRYTIT AMLRAVGVSTEKLTFVLGSSYQKSSEYIMDVYRLCSYISEHDAKRAGAEIVKQSANGP LSGLLYPILQVLDEQYLDVDIQFGGLDQRKLFIAAKEWLHKLGYKQRAHIMNPMVPGL HGGKMSSSDPDSKIDLLDPPEVVTRKIGKAVSAPKVVEENGVLAFVEHVLLPASALRG RREFRVDRDRDGLEPLVYNTIAQMHDDYRDDVLTPQLLKPAVAKALNALLAPIQEAYQ ASTEWQEIALKAYPPPPTKEKKVKDKGDKGTRHPEGKPKKH ACHE_41187A MTLEIEEQSLCRSSIAWSHSDEASNDFRSDYCTKPTLPMLRAII NTSLGDDVTEEDATTNSFQEYVADLLGHEASLLVLSGTMGNQVSLRTALGAPPYSILA DHRGHIIHLEAGGASMLCGALIKMVVPSNGHHLTLEDVKLNSTVTESPYDCPTRVISL EIPLSGTIMPLSEVRAISQWARAQSPPIHMHLDGARLWEAVVLGSFTLREIGECFDSI QLCLTKGLGAPIGSVVVGNSIFIKRARWIRKVLGGGLRAAGLIAAPARVAIEDVFLGG KLKAAQEKANRASVLWEQLGGKLQRPTETNMVWLDFDASGLTRDDFYPMMRKFNLIAM EHPLMNGRLVFHYQITDDAFAKLCQVFRVVLKGGSD ACHE_41188A MAILDAVPTGGDAKSENPTLSELVSFARTHSPFYKASLAHLPHD TSFSNIPVTDVEAYWSSASASEKNILTVPFRDGVVMRSGGSTGPPKFVYLTRDELRRI CAIKANVVCTASGIVPGDRVANLSPMGGMYGSFMLNNTALMELPIDNIQLPLGWQVSE EVMADTIDQFEATVILSTAYSVTKLAGWLVEKGRKLESVRLILFTGEQFFKDMRPLWH GAYPNALFSPYLYGSVECGPVGLPTHPPQPDGDDDVSPLYKVLRPAVYMEIVDKQGSP ITTPGVKGSVVATHLIKRLQPLIRYPVGDIAAWEDYTQQTFRLYGRETVALKISNTLL DLPLLKALIAKHISENATGRFQSVVRRANGKNVLVLRVAIAKPDNAAAIRDAIEDHLA KVSHAWVLNREAGTIAPVEVEYVDFSQLVLGSDTGKLKNFVEERFE ACHE_41189A MENSVISVDAQLVTWESADDKENPKNWAKARKWRATLSISAFVL MNTLSSTIVAPALPRIADTLHVTNEAEETLILSIFVLGFAFGPFLACPLSEVHGRRIT IQSWNLLYLIFNTACGPVNSAPAMLVLRFLAGFFCSASQGVGTGIISDLFTKEERGRA VAIYSIMPLIGPVIGPILGGVIAQYTTWRWTFYSTSLLDLLILVPSLFTLEETYEPLL LRRKKQRLAKETDQQYYTEHDHLDQARLQVYSAALIRPLKLLGTQPIIQVMAIYNALL YGQIYILYANFPALWTDVYHQRPSIAGLNYVSLFVGSVFAAEVCTRAIDRIQKDLSAK RNGIHLPEFRMPVMPPATIALAAGMFLYGWSADFHIHWIVPNIGAAIFIGAAMTCVIA VSAYMIDTYGKYAASAMAAVSMLRQIFGCVFPIFAPYLYRTLGYGWGSSVLGFIALGF GLPAVVLLWKFGEALRKRSPYAKDGTG ACHE_41190S MISSLVDPERAVATIFNSAIAAAAIGAAWEVGLLTELRDKEKVD VKKFATQHDLDRDSIQGLVTALAIVDVVERDQDTIVAGTLLDEAYRTKSLFHWLTLGS GGLFSRMQYVLRNENRKGDFYGRDPEAIAYACRDINRHHFDPVFRATVDGLDYKFHSI VDLGSGSGERLMQILDRYPGTTGIGIDLAGPAIKFAAAEAVERGFSDRLSFIEGDARE MGYRDEFAQADLLTCFMMGHDFWPRENCVATLQRLRTAFPKVRRFLLGDTTRILLDNP CSKYTVSEDNVPIFTLGFEFGHALMGVYLPTMEEWEGVFTEGGWHCVKKHLIESPSLS VIFELEHA ACHE_41191S MELRNRDPRADFPMQYVLLHDKRAGMTLVQGTRVQDLSGRRTNK IDLQVSPVQHPQHAVTVIIRICKFSIRRLISNRAKTFDITRATGNNIHRGLRIRHVLA VCGSIDDGEETLGIMYVSEDTEVDSITVEEALESFLARFASARTRGVPWTMSSDNHPW RDSSVHTGKIGLEEVQLLTAPAERTAVQPGRSILPIRRVGKVGFGVDHHDVGHAILEG IPKWWVR ACHE_41192A MSTQSGSPSLLSRDGGKTKQRSRRQNANKPSAKKKNEKQQLLFD SVAELLNRQWCIDHINHSSFHLSSERQHYRPYSSAPLSLPKHEPYQSANAESSQEEQA RRSRSDGGSRPTTLVNSISNPPSSRSLPSHHEYNSDYPNHSDLSRERTQRPQISTSVD IAAPDAFQDDDIRKLITRLAAQYGHVSHMGLLDPSYKFFVNGSRTGVLSYKLQNQVAI VTGDPLCDPAILGDILHEFKVYRKRRRWGIVIMGASEVFMKYARQRGWTAMQFGVERV LNPMDNEILLERHGKRIITQNKHLLDPQKGGISLGIYIPSVRTDPILQRELMEVYDSW RHMRSETARGKSQAFITIYDPFCLPDLMIFIYARGANGAALGFAALRRICDSRAYHLD PCVAAPWSPKGVSELLTYTSMILLNQMNIFSLSFGYEPLQSLGEMMGIPSGIEKVIRH VHHYTFRRLPLAGKKAYHDKFRPDERQDAGVWLVFPGGVPGLRQVFAVAHVAHISIRK LAWPEGRKGKTRDGARKCVAEKMEPS ACHE_41193A MGSSKNDKTGAVKLAIVPLPKGTVLLPGVTARLPVPNRPDLSNL LSSLLDQASTGQRHETSISFGCVPLGSPYLSKDAQNVIDDGSLDEDQKEEYEAVDAGQ ARKEDLFHYGTVGKVVGLQRRVYGEPSLLVQGVQRFSIQRWLKERPFFEAEVILHEEK DPGISDSETVESFQQLKRLSRELLTLLRFSSLIPSHSPRLSPLVARKFEFLISKSDLP HASRLADFLADISDSSIEEKLRILASLDLRTRLERVVDILDRQVQNIRNNVKTTHISS SSLPPSPQFDIGQIDPRDREVLARRAMAGFAGLSPPGPLSRRNNNDDDDKELNEVDEL QQKLDEAQLSAEAKKVADKEIKRLRKMNPANAEYGVCRSYLENLAEIPWSKFTEDQLG PDTLTKARKQLDDDHYGLEKIKKRLLEYLAVLRLKQTTNQDVEQQISTLTKDLDSSDE DIEKGVPLLSEADRVSIESKLELLQSRRMTDKSPILLLVGPPGTGKTSLARSVAASLN RKFHRISLGGVRDEAEIRGHRRTYVAAMPGLIVNGLKKAGVNNPVFLLDEIDKVGGAN FQGDPSAAMLEVLDPEQNHTFSDHYISIPIDLSKVLFIATANSLDTIPAPLLDRMETI SLSGYTTLEKRHIARRHLLPKQIRSNGLSDGQVELSDDVLDKTITSYTRESGVRNLER ELGAICRHKAVQFADAGDAGKPETYNPVVTVDDLEEILGVERFEEEIAEKHGRPGVVT GLVAYSTGGQGSILFIEVADMPGNGRVQLTGKLGDVLKESVEVALTWVKAHSFELGLT HDPNEDIMKSRSIHVHCPSGAIPKDGPSAGLAHTIGLISLFAGKAVPPQLAMTGEVSL RGRVMPVGGIKEKLIGALRAGVKTVLLPHHNRKDVKDVPQEVIDGIQIVYVKHIWEAI RQVWPDSHWGQQHVNFVESRL ACHE_41195S MTSAPPPISSDASASKRTFIIGTRQSKLALLQTNLVLAALKEAN PDCSFDIHSRETAGDKNTNIAFREFTTKNLWTEELEELLIAGHVDFIVHSLKDVPTLI PSSCTLGPMMKREDSRDVLVVKQGLPHKSLADLPAGAVVGTSSVRRSAQLAQKYPHLK VVDVRGNIGTRLAKLDAEDSPFSCIILAAAGLHRLGLEDRISQYLDSKNGSMLHAVGQ GALGIEIRKNDKQIHDMLSNIGDKETTLAALAERSLLRTLEGGCSAPLGAETEWVQAA DGSSKLRMRSIVVSVDGSRSAEVEVDGVVDSAEAAEEFGVTVAKALVKKGAGSILEEI QQNKVTS ACHE_41194A MDSDQPQNLRSLFVAAKADKTALEARPDTTSELYRRDVTAVIAK FEECQRLVRLLSLFSSNEQIEEVSTGDLQYLTVEYLLADLLQRSYSSDREALLRRSLE KYEGYLSRMDDYGLLNDSNRKLYERYNSNPTSFSLTPVNDAATRREVKVSRFREEKEL KRKLEYLSQNHSQLDDEDIRRLYLAELDLYTHQTFQALDLLAQEFSMLSAMRNAAPPP VPRQQEDNRRRNNADQSGYSERLDAPLSQLLRGGRGGPILNRDGRPMQPFTLLDRRTQ LQQGVFRPSHNLPTMTIDEYLEEEKRQGNVVQGGEQSGIQPEIDEDDLDIADAETMKA RAWDEFKEANPRGSGNTLNRG ACHE_41196S MEDATAEQEYISSGESDDDGEKQPAEDLNDVTKRRRAQNAQFEA LLSKRADTGPVTKKTVRADIPDAELSTAHLVARKDLGHGVLDPREYQLELFERAKAQN TIAVLDTGSGKTLIAVLLLKHVIEKELNDRAEGKPPRISFFLVDSVTLVYQQGAVLRN NLDQNVGQFFGAMGADLWNKQTWDEHFNANMVIVCTAEILNQCLLNSYLRMDQINLLI FDEAHHTKKNHAYARIIRDSYLKNPPSKRPRVFGMTASPIDARGDIVDAAAKLETLLD SQIATTSNLTLLRQFIKRPTEREWAYDRLEAPFGTELYKSMKDRFGDIASLEPVFRFA WNASSELGKWCSDRAWAHALAEDVLPKLEGQVSKAINEESSGRVRESAYKEIERIKDA SDIVRNHTFDSPDAPGSLSSKVQLLYKELRKQFEYPTDTKCIVFTDMRYTARILFELF TELNIPYMRPGVLVGVRTGDLAAMNITFRQQFIALVKFRKGEINCLFATSVAEEGLDI PDCNLVVRFDLYHTLIQYVQSRGRARHSDSTYATMVEKDNVEHRERLAEVRDAEQTMQ SFCEALPEDRLLNGGEHDLETILQHEEGKRTYKIASTGAMLTYHSAMAILARYASSLQ YEKESLTQVTYVTLPVNNTYVCEVILPEKSPIRGITGSPAMKKSSAKQCAAFDACLLL RKHKLLDDHFNSIYHRRLPAMRNAKLAITSKHTNEYDMISKPSFWDIPEGALPTNLYA VLISFKPSEPLSRAHGDIILLGRERLPDFPSFSIFLDEDVETNISSTTVLDVLEVSDE ELDCLTDFTLRVFRDVFHKVYTKEPKKIPYWLAPAVQSTELNSFIPSRDLIDWETLSF VQQNEEFQFSSDIDPKSLVNRLVYDPWDGRFRLFTGEVDDTLRPTDPPPEWVHRRRHM DNIMSYCVSLSKNSRAKFFAKANWDQPVFHAQLIRLRRNLLDKMVDQEREVETRSVVC LEALRISAIPTSLVTSFLAFPAIISRMDSHLITLDACKTLDLTVHPEFALEAFTKDSD NTEEHKGQQIHFQRGMGKNYERLEFLGDCFLKMATSIALFTQKPDDDEYDYHVNRMCL ICNKNLFNVAIKKKLYMYIRSRGFSRHTWYPVGLTLQKGKDHSKKVTSESKHSLGEKT IADVCEALIGASLLSGAPDHRFDMAVKAVSALVGSENHTATNWKDYFSVYSLPQYQTM KADGFEIDLAKKVEEKLGYQFRYPRLLRSAFTHPSYPSAWAKVPCYQRLEFLGDSLLD MVCVEDLYTRFPDKDPQWLTEHKMAMVSNKFLGALSVRLQLHTHLRHFSNPLQSQITH YAEEIQTAEAEGEGAVDYWVGTKDPPKCLSDMVESYLGAVFVDSEFNFEVIETFFNKY VKPFFHDMSIYDTFANKHPTTYLHNKLTNEYGCTNYCLKAGEFPGVDGTPASVLAAVI VHDAVIGEATASSGRYAKVKASEKTLALLEEISPAEFREKYHCDCREVKDGQDNMPDV GTAI ACHE_41197S MEVASTEVSNGVPAPPQALFVDPGVVIQYLAEVLQVTLGALKTE LESTGSLLSPSKYNDTVQRCMRFASEAQVALYVQKDIVASEDTTDGTEDGQASSTQYV YNLSAEISSASTTVSSVAFIKRPAPIDPTVPIATQIQVMNLPGLASLNNAQAQQGSSM SPYEILHLLVHHGLSPYFEAYTRNQDAVTGSKPRTDTEAKTGVPGTKKKFAELELGLL HLQQNVEIPTLNLPLHEVVQAALDEAAARGLPKATTDLIDSATLDNSGFINSIQNTVN AWIRSIQTITKMSRDADSGSAAQEINFWLSMETALEGIENQLRRDGVQLTMDILRHAK RYQATLSFSADTGLREAMDLVQKYNQLMRDFPLDELLSATTLQKVQESLNLIFGHLNK KLKICPYPIKRALALVEAISGDLDAQIHSLLHGRTILHLDYREFRSLMKTAGAIWRTW DENLKEFTSVARESTRRRNEKFIPIKITPRHEKTQERLKYINTFRVNHEQLQRTIVNV LGPKSSSSGDATAAGSDEAVIVEEIGDVDAVEEVAQAYAALKNVDVLDVSPEGTQLWI QEEIAYNERTSRVENSIIARLRDRLATAKNANEMFRVFSKFNALLVRPKIRGAIGEYQ TQLIENVKQDISALHERFKQQYGYSEAHAMSQLRDLPPVSGAIIWARQIERQLEGYMR KVEDVLGEDWHLHSEGQKLQAESSLFRKKLDTRQVFDSWMHDVQRRHISISGYLFNIV KNRAAGNSLELAVNFDAQVIALFKEVRNLTWLNFHVPHAVSNISKVAKRVYPYAISLM ESVRTLLQTSRSIASMAEVAILLNGYINDTQGMIQKGVRLRWESLVHSYELHLKESAL ANGAIDSTLATRGESKHIQFVREFAGSASVLQSKTAVLASINESIQKAIHELKTCPYE APAFKQRLDTIQMAVDKLNLENYVNLGYWVASLNQKIEAILCERLTRAIREWITAFQE AKDEQQNTQTSIGTQYPATGDVEATVYNIEFPELTHEISMRNQVLHLDPPLQYARASW FSQFDHWLGVICNLERIKSSRYQISIEYCTDGLNQVYSAVETRVKEVSEYVDKWLQFQ SLWDLRSEHVYDILGDDLSQWLQLLQEIRKSRATFDTSEVSRSFGNIKIDYEQVQTRV NAKYDQWQHEILLKFGSKLGGRMREVHSDIASARRDLEGQTLEASSTAHAVSFITIVQ QCKRKAKVWEPEVDLFRQGQATLARQRYQFPSDWLHVENVDGEWAALNELLARKSKIV QDQTEGLRAKIAAEDKVISDKIAEVIAQWNEEKPISGTIPPDEASRTLSMFQTRLESL QSEFEMVSRAKEALDLPASSQSSLPQILEEVQDFMSVWAALSTIWKSLNDLRETLWTS IQPRKLRQAIDGLIKMTKEMPSRMRQYAAFEHVQNVLRQLLKVNPLLSDMKSEAVRER HWQKIYKALKPGKRFSLVSLTLGDVWDLNLVATEVVIRDIIAQAQGEMALEEFLRSVR ETWQNYSLDLVNYQNKCRLIRGFDDLFAKCSENLNSLQAMRHSPYFKEFEEEASSWED KLNRVHVLFDVWIDVQRQWVYLEGVFTGNADIKHLLPLESSRFQNINSEFFNVMKKVY KSPYVLDVLAINGVQKSLERLAELLNKIQKALGEYLERERVSFPRFYFVGDEDLLEII GNSNDIFRVAKHFKKMFAGLSGLLMDDDNNIVGFTSKEGEEVRLKREVNLVKTPRIND WLSALENNMKLTLAELVAEAVEQFDTIYNAPEVDRTAFNDFLANYPAQVVVLASQVIW TGVVQKELENGGANLEALFDSQVRVLELLATTVLGDLDTITRKKCEHMITEFVHQRDV ISKLMQSNANSPMHYLWLLQMRFVYHAEGDFLQRLHVYMANAKLAYGFEYLGVPERLV RTPLTDRCFLTLTQALCQRLGGSPYGPAGTGKTESVKALGLQLGRFTLVFCCDDTFDF QAMGRIFLGICQVGAWGCFDEFNRLEERILSAVSQQIQNIQIGLKNGDVDKSEIELVG RRLSVNQNTGIFITMNPGYAGRSNLPDNLKKLFRSVAMSKPDKELIAEVMLFSQGFKQ AKPLSKQTVPFFDHCSTRLTNQAHYDFGLRALKSVLVSSGGLKRTRIANSEGELGPDE IVEPQIMVQSLRETIAPKLVREDVDTMLDIQKEDFQGVEYVPANFEKLTATIREIAQE QHFVDSEMWITKALQLYQIQSIHHGVMMVGKSGSGKSSAWKILLQALQRIEGTEGVSH IIDSKVMSKEALYGNLDPTTREWTDGLFTGILRKIVDNLRGEDSKRHWIVFDGDVDPE WVENLNSVLDDNKLLTLPNGERLNLPPNVRIMFEVETLKYATLATVSRCGMVWFNDDT VTPTMMISNYVESLRTKTFEDLDEDSAPAGQAAVRTQDAQEMLSNFLKHMLQTDELVL KSLQEAKKYNHIMEFTDIRALNTLFSLLNKACRNVLEYNVQHVDFPLDPEQIESYISK KLLLSLVWSFTGDCPLGDRQDFGRFVSALSTVDLPPDGESSLIDFNVTLPKCEWTSWQ SQVPSIDVNTHSITQTDVVIPTVDTVRHEDVLYSWLAEHKPLLLCGPPGSGKTMTLFA ALRKLQNMEVVGLNFSSATTPDLLIKTFEQYCEYKKTLNGVVMSPNQIGRWLVIFCDE INLPAPDRYGTQRAISFLRQLVEQNGFWRTSDKTWVTLDRIQFVGACNPPSDAGRTAM GERFLRHAPLIMVDYPGEVSLNQIYGTFNSAVLKILPLLRGYSEALTKAMVQFYLESQ TRFTPKIQPHYVYSPRELTRWVRGVYEAIKPLETLSLEGLVRIWAHEALRLFQDRLVA EDERNWTADAVRRIALEHFPTIDEEAALKGPILFSNWLSKNYAPVEQEELREFVKARL KTFCEEEVDVPLVLFNDVLEHALRIDRVFRQPQGHLILIGVSGSGKTTLSRFVAWMNG LKVFQIKVHGKYSSEDFDDDLRNVLRRAGCKGEKICFVMDEGNVLDSGFLERMNTLLA NAEVPGLFEGDEFSSLMTACKEGAQRQGLLLDSQEELYRWFTQQIIKNLHVVFTMNPP EEGLSSKAATSPALFNRCVLNWMGDWSDQALFQVGSELTQVVDLDKPNFVAPDSIPVA YRELSLPASHRDAVVNSMVYIHYSLQKFNKRLQKQQGRTTFLTPRHYLDFVAQYVKLF NEKREDLEEQQRHLNVGLEKLRDTVDKVTDLRASLAEKKSQLEKKDTEANEKLKHMVA DQKEAEQRQAASLEVQKALEVQEKEVAERKEVVLHDLARAEPAVLEAQKSVSNIKRQH LTEVRSMGSPPASVRLALEAVCTLLGHKVDSWKTIQGIARRDDFIASIVNYDNERQMT RGHRTKMQNEYLSKEDFTLERVTRASKACGPLVQWVEAQVNYSAILDRVGPLRDEVGH LEEKALQTKAEAQAIENTIQDLERSIGTYKDEYAALISETQKIKAEMSSVQFKVDRSV RLLESLSSERVRWEEGSRSFETQISTLVGDTLMAAAFLAYAGFYDQQFRKAMIDDWAQ QLSQSGVSFKPHNPITEYLSNADERLTWQDHSLPVDDLCTENAIVLKRFNRYPLIIDP SGRVTEFLQKESTQRKLTVTSFLDDSFVKQLESALRFGNPILIQDAEHLDPILNHVLN KEYQKTGGRVLIQLGKQEIDFSPSFRLFLSTRDPSASFPPDVCSRTTFVNFTVTQSSL QTQSLNEVLKFERPDVDERRTNLVKMQGEFKVHLRQLEKRLLQALNESRGNILDDDNV IETLETLKKEAGEISRKMVETEGVMTEVENVTLNYSIIARSCSAVFAVLEQLHHINHF YQFSLQYFVDIFNAVLYQNKQLAQEKEHTARVQIILRSLFVTTYQRTSLGLAHKDRIT LAMLLAQAAPYPMDKGIIDTILDESVEAADLSSTPENRDKVVEKIANMSLFKADFPSV SAEQWDRFLSEELAENAVPVIWEEKAPQLDQLLRSLLLVKLCRMDRFVPSAERFIGAV FGRELFEGSSDLKDVVDQVTARTPISLSSSPGFDASYKVDALVERTGARCANIAMGSN EGLESADKAISNAAASGNWVLVKNVHLAPSWLQSLEKRLDTLKPHKNFRLFLSMESSP KIPVNLIRASRVLMYEQPAGIRANMKDSLSTLSLRASKPPVEKARVYLLLCFLHAVLQ ERLRYAPSLGWKGFWEFNDSDYECSAFIIDHWIETIAQGRSNVAPQKLPWDMIRTLVT ETYGGKIDDQGDFQQLESLVNNFLTPAAFEEDYNIVSGVQEQGDSLILPGETGIRDFM EWVNQLPEREPPTYLGLPANAEKLLLVGHGRTVIDDLARVTTLLDEGEQLMIDA ACHE_41198S MSPISVYPLTWNINKDRIVPGWTTFTPDNPNTFKGEREKKGHLS PFVINLGKDTLLSILHDHLLLRQPYGPTIHSTKYYNSYFSPRYNHAGQIDKRIE ACHE_41199A MNWLKSTLASVAGTQEPIYGPEAIQSVAKQTEATRYTELTKEDL RWRALQYTNVETQTFYAMGDNGTLAMVQVIYSNIVGIHTTAQFNSKIFNLKGDGPHNW HSDPLSNFMFDEKMLSFGADNLTVSLNEEGTAYTIRSAVNEDSVVDLTFSRAAPGFVI GKDGTTYFGTDAENPWGSMHHAFWPRCTVQGTIKTKEDIVDLGGRGMFIHAIQGMKPH HAAARWNFVNFQTPSYSAVMMEFTTPPSYGSTVVNVGGIVKDNEIVYAGVNNTATHTE TAKDEESEWPEPKSIKWNWDGKTADEKEFHAELDGSLGNRMDRIDVMAEVPGFIKTIA GSVAGTKPYIFQYAPQEKLTLKVKVGDEEVVEQGTMFSEATFIS ACHE_41200S MARKALAQDQIVKLIVGAGQASPSPPVGPALGSKGVKSMDFCKE FNARTAHIQAGTPVPARVTVRPDRSFTFDLRTPATSWLLLQAANVEPRKNRLRGAISP GHETIGQVSLKHVYEIAQIKQSELRLSGLSLEGICKSVISQAKSMGIKVSP ACHE_41201S MTSESTTDLARSSNAMDSESQATRNSLHMQDAQVPEASDADSSK LKSQPGQVRFSSVTEEIEPSVKSVSAQDPALEGKPSSSIANQSSDEEIRSLAATFQRS QLQESRLRNFSYEPVSLPSSRVASRESSDRSAQEANGSTPHSPRTSPHASAMQSPPLT PAATYSHDTKTSDSGVSINETDKGGLTQSTPMTPETSPPGSTAEKKSAPRSAPTSRPS STDRLSHRPSDISRESTKRPSDTTKHHLQFFGAGADVKHQEDSRPSSARKIDTESYTP PGAITPVGEPNDPYARSKRPPPPKNLAQLDPRFIFGSRDLKRRAQSLRPGTPRSSSAG DLKSSDKRGHLFGGHKKDLGRQDSDGRPHGHMAELKRLFKIGHHRHKRNDSPSSPSKK SSRSSGKNTPFQMAPDNVPFADDHGLNSKYGKLGKVLGSGAGGSVRLLKRNTDGVTFA VKQFRDRHSWETLKEYSKKVTAEFCIGSTLHHGNIIETLDIIQEGSHWYEVMEYAPFD LFAIVMTGKMAKEEIACSFKQILSGVAYLHGMGLAHRDLKLDNVVVNEHGIMKLIDFG SAVVFRYPFENDIVPASGIVGSDPYLAPEVYDEKKYDPRPTDIWSLAIIFCCMTLRRF PWKQPRVSDNSYRLFVSPPTPGTPVPDAEPRRHRPTRSNTDLPSVTHDEHKQSQANKN GSFGKSDNNQCSDQRESTSEQNENQPPESPQDKTPSNGTSNGNNKPTRTTSKEAPPLP PNQQSNAQRQEVIKGPWRLLRILPRESRYIIGRMLKVAVSQRATLDEVLSDDWIRNIQ VCEQDEKTGGIVKANNHTHILEPPSHAPPVASKASKAAKGK ACHE_41202S MAQQHETEPLVSLWTRSLLSGAVAGLTVDCSLYPLDTIKTRLQK ARSHAPSTNAPTPQLSLRQTIRSIYAGLPSVLFGSAPSAASFFIVYDGVKRSLLPAPN SSEKASRTHITLTHSVASSMGEIAACAVRVPTEVIKQRAQAGLFGGSSLLALKDILAL RNAHGYGQVVRELYRGAGITIAREIPFTVLQFTLWEGMKERYAKRMAAAGAVPTGTEG QVPASTSAMFGSVAGAIAAGLTTPLDVIKTRVMLARRGDGAGGEAAKVRIRDVVQEIS KEGVGAFWRGIGPRVAWIGIGGAIFLGSYQFTWNTLEGKDRGKSE ACHE_41203A MAIPLAQIKPTYPPNTPDASSESPTIQSTIKTLNLQPHIEGGYF VETDRDTLRVPNPHPPRSENDTTRSASTSIYYLLTPRSPLGAFHRNASRTVHTLHRGR GMYVILHADKESVRRNGGKAAVETFVVGQDIEKEERLQWVVEGGKYKGTFLLPDDGGS SNGLLISETVVPGFEFADHDFLRADKMDELLTPDQVQELDWMLRKTN ACHE_41204S MAPKPNHQRVAYVLPLPDAPGGHRLGVNGLAVDADHSILYSAGR DGVVCSWDLNRPLSSKTPPSFLGSKQPGQTTFRNQIQAHSHWINDIALTQNNTALVSA SSDTTVRLWRPHSESTDVPARIGKHADYVKTLATPGNHANWVASGGLDHKLYLWDLNG GGEVLNIDASGDDRTAKGSVYALGAVSSVLASGGPESVVRVWDPKSGKLITKFVGHTD NIRDILVNRDGDTIMTASSDQTIKIWSLTAGRCMHTLTMHNDSVWSLYSNHPQLSVFY SSDRSGLVAKTDTRNSPDIEQGICVAALQENEGVVKVAAAGDYLWTATPKSSINRWHD IDTTAEIEPPSSDDRESAASPLPANGPQRRKKIPYNSVLLLSSTSTFPEANVPPGRPS IESVVEDELELTLPIQTLPEETIEGQNGLIKHLMLNDRKRTLTQDSAGEVVLWDLLKC APVKSFGKRHMDDVEAEINTTESIAHWCTIDIRTGRLSVILEPNRCFDAEAYADEIDL PDRSELRDDQRINLGKWILRWLFAPLVDAEINRDQDYRAETVMKGEEVARQNSANLSP LDIPSGDVPRSLNIPIPHNGASPTTPRAGSDVFGSPTTPGFGIGFANTPGTLASSMGG GSNSNNLGTSPGQSLGEFADSMASPTPQQTLDATRSSMSDRSSDYFTSRMQTTETEKG SQGDQTPTALSHSPVEPDKEDKKKGSSLFSKKFRMGKLGRTPSEVKPQQQIQEEKEKP EESERSSVKEEKVFENNLSGFIERIRHGYDEFLAANPGQDLTSAITPIPDSETPVLNI PPRTAVFIQEESGDTAVASDLYRGSVGRLSEEIDQLEKSIPLWLAELLLKDQMPHKEP VKVAFTLRPLDNLLPPVVKPDGPPLNGPSNHNNSRLNANRMLRAKKVLSYVAERIDPT FTEEPAEDALKPEEYLELYCQNMLIPPNMTLATIRNHIWRTSGDMILYYKSNGKKDLP ILGLGGDEDNSQAGSHLRAEPAPANGGENGSAPTGSIHSLTASGSGSTSINNF ACHE_41205S MRASCLLASPATITIRQFLDHFRRAWTESQRLPGLRKSLIPRRL GLAVSGGADSMALAYLCKQLEKSGLVEDLSVTAFVVDHKAREESSREARMVRGWLDQL EITTKILELDWSTAITSQGKGKPSAFETFARRLRFQTLGTACRNDDIETLMMGHHQDD NVETTLWRLCTGARGAGLMGIPQVARIPECHGIYGVAESGEAVKLSSTKMRTRVSRGV IASGGISICRPLLSFPKANLLDICREHNVPFVNDPTNFDPTLTPRNAIRSLVSGNRLP RALTTPSILSLIQASQDLLKDSIDLSNEILRHCKLIDLNLTSGTMAIQFPPSSSAQPA GTIPTRRFTKNQDQRNNQIQAVTLRRITELVSPFPENHFPLRSFENFTEHVFQPPSTE EPKRQPFTLGGVMFQPSQRKLADAGNSGDTWFLSRQPFMRNRLPTLDFDIPVLPVIKQ QEQHIHSSDKYEHTPWKLWDNRYWFRLAITPSTRSLSPPTAKANDKNGNKEILLTENT LPVTIRPLQQSDLRHLREYPSSSPSSSTPPPSAKHGQPTQNKERKKTNPLWTQLSQTL SRESPAQSRFTIPALFIQEQQESGVKENLLALPTLDIRFPYSMSLSGTEISCEVYWEW QYKMIDEETVKSMSD ACHE_41206A MATVSTSLSDLPLEQLTLYQTSDPFLASIFVFYGPVATANATVS SSRIQAHVFTPAGFHSYPRITVSPAAPLYAAVNHLPREKQGDEVCRGLAVSMLKYFAD LSESTKECLYDLARAGKPGGKIPKMFDEMHAADLANRMVPVENMVTNSHNNYNNNNTA LSDTIRDIRGAFQERKLPWIDLDVILPAGTIQPPPRPDDNDTSQDLEDTPDHQYGRYS SIIRALGDPIFLPTSRLKRAPSQPTNVSRSRLFSRGQKEALRLTMCELVDTEERYVAK MYHLVRVVAEEFRIKAQSRSATSTSPDESALKALFPPCLNEILDINMGFLEVIRQVLE ETEKDAIVDIAEDTEMSHRASSRESKDAIGAATFANALIEWFPRFSEPYADYMRAHNG FTQTLNSFMKDKNSSFSKRVYETGEQQLRSLLMEPVQRLPRYSLLIDTMMGSLPLIHP AVRPFLKARDIIKDICSLDNPSLTDHSQSFQRLKELVDGWHASIVPNGRLITAVDFDE LSPPYHMDVQTPSPSTGIMLLYKNCLVLLSKAPDSRMTARGLLADLDHAASTTTDAPG TFSSTEIRVARVFDIHSVRCMQSTCGRILFLAPASGKSGVANDNAMDLLALELSGMYE TRANRLIEEFAKAKIEGRFSESERENGKWTLRSPTSGTVGNIGILACVFEEDADGKMN RSGPAKIRVLFNTPKTESSRMLATSDVEVVVLVTAGEEDQYRVDIDSTVGTPSSDLVT ADSFIPVLSKRLLNVLLPLHGPRNPTLTVPIVHSNFEILRYIAGNLITQVKAPRGFRP PSPSKLLSSLLGGNQPKDSSSNLKTPNSASLLGEFPRMPPPRINLTRANTLPSSVPTK EENPNRISVVETTGSKGPEDQFGLLEQTFAAYALALQSRSGNIVGRTLRGRDSVDRSS VNELYNVLLEDPGKIQAAAEVPVDTLFVAFETFVANAWREHMGPVLDPSSLKLIQSQF DTMFPRDFDESFRKFLAEMSPQNRRAFAAMVRLLAELLDASGNDGDRGALTAAFAEIL TVDGDPMQHISLLDRLVDDFDNLFDEYIPGGASLEGTLICDQTKSASHNTGSINSNAS SFRKRFGFSLHREGSKHEGESKVSSILRTLSKGKGSGDSESNTPKGSLSRSKSIDVDN SLSLLLRPGSRDRTAASSQEQIIRPGSGHEHTGSISSSIRGISTNAPVKVRRKRRSSL SDLRPNTASTTTTSDSSPSRPVTPGSGSNVMTPTKTRPQSSGSGRSPSPVKFGSPSRS PTRPATPSRKENIDPRSQGERSPRKKGENASTGSPEEYKKRSRALSIPSRGGLRERPA LANNPDLKRGGTPQKTQKLRMQSPQKLRDRLQNEKRAQASAHLGLKDELDLISNELQG LKLRPTQQIHAVNEDLDADEHSSNAVLASRIETLESRFETLSGDLNGRTSAMERDLES SLLVSEKRAKKLDELYREASAENEALYDRFNSELSKLAKDVRSGSTEEALKTQLNSAL DEIGRLKKENFRLKREVGGLRAQQAAVALLKASD ACHE_41207A MEHNYNVALVFGASGISGWAMTKNLLSYPTANTFRRIIALTNRP RSLAENGLPQDRRLELYSGIDLRGSLEEVIERMKGKIPGLSEVTHMYYCASSNATSFT ETILNAREINIAMTHNAVHATDRLCSDLKFVVHQTGTNYYGVAVFEHLDKFQINPPLK EDNPRVPAPYGDEVFYYAQVDLIREAAKGKQWGWCEVRPDMIVGFVPTETAMNLLEPV ALYLSLYRFIHGPGATVPFPGSPKSFIYTHTDTSQDILTKAEIYLSVVKPTEANGEAF NIADTATPGPWSVKWPMLAECFGLMGTAPIVDGWGGIDQWWNEHHEDYQRMCETYGLQ RRAVSESAWVFSKVSLTMLNRNRELSLDKIRSIGFTEELPVGQGHYVTLDRMVDERIL PPKSRFWQIVMGNRYAIDWL ACHE_41208S MAAAITSWVLNPIQSLTMSRPRTRKLWCAVPGNLRRPFSIECVA DQDDIETLMKKIRDHAPAHAKKDASDYGDLILYSPVVQLNYEEEFDVKNGEFLHPRRM ITSNPLFPESKDPNVDIVVVVSGGATPQKRKCLESQNGENIPFLMIFSCL ACHE_41209S MNIVHVRGTPASGKTRLSELLKDYYRKEGRRAFLIKRWEGLNFK NPWGSLVELVEKWNDEAQEAPTATSQSEQDLSWVLTSNTVIIVDEAQATYSDDMLWNT IFKERLTPNVYKFRLCLFCSYGSPAAGPDPTFFTPVKFSDEQRISLTPQNQEDSPPIG LFYDKEEFRDVISRLLTFHYEETFNFDEGALEYIFAVTNGHPGAVTSIVDVIYEAYCH DIKRGCIRTLTEDHVIWFLEDTATVFDKLRSKPVNRSFPDISRATNGISVILSKITEG SIPFDINDASIKFCYQKGWIHRVALDGGDVAVLPSRLHEKYVEYWIGKMSMPLPARFD SLPKLCKEVLGEFSITILRHSAEGKKISTASQPRPVEAQYQDEFHRGFVHLAGLGVPI VTDLIVLVSRDNTGR ACHE_41210S MAARPLPIAVDPVALVTTECITVTSAMRKHARWAHSSVSAILGG SSAVSRVYDRDTQQNTSSPPSPRGSAASTPRLRATLDEDHALANRWGLRGKKGKSMQD NPLISAFTRLRSDLKGCRDIRTFDTPALLHPFLQVIRSSSTSAPITSLALIAITKFFS YDIVNRNSPRISMAMQLLSAATTHCRFEATDSSADEIVLLRILKLMEGMLSRPEGELL GDESVCEMMETGLSMCCQVRLSEVLRRSAEMAMVNMCQVIFMRLSHLEVPPPSDPNDP SRQDSTGEDNAPNLRMDPSVDGNTVTSQHPSAMGSDTATPERERVSGDEGIQDQAASG NAVAAPPNPHDGEQAEVMPYSLPSIKELFRVLIDLLDPHNRQHTDSMRVMALRIIDVA LEVAGPSIAQHPSLAALAKDDLCRHLFQLVRSENMAILTESLRVAGTLLLTCRSVLKL QQELYLSYLVACLHPRVDIPREPAIDPALYDGVPQAPKLVKPAPSQTSSGRSTPVPVK DRQKLGLEGGSRRPETREAMVESIGVLARIPTFMPELFVNYDCDPDRADLCEDMIGLL SRNAFPDSATWSTTNVPPLCLDALLSYVQFIHDRLDDEPELENFPSLDRLRSQRRTKK IIVQGTQKFNEDPKAGIAHLTAQGIIENPDDPTQVARFLKGTSRVSKKILGEYISKRS NEPLLDAFVDLFDFAGKNVVEALRDLLGAFRLPGESPLIERIVTTFSDKYMQKAQPEG IVDKDSLFILTYGIIMLNTELYNPNIKSQNRMSCESFSRNLRGVNAGGDFAPEFLQDI YDSIKHNEIILPDEHDNKHAFDYAWKELLLKSSAAEDLVVGETNLYDAEMFEATWKPV VATLSYVFMSASDDAVYSRVVMGFDQCAQIAARYGLTEAFDRIIFCLASISTLSTDNP PSTALNTEVQVGEKSVMVSELAVKLGRDFRAQLAAVVLFRVLRGNESVPRNSWKYVVR ILSNLFINSLIPPFENAELNISRIPLQPPSQIVDRANRPNETGLLSAFTSYLSSYAAD DPPEPSDEELDNTLCTVDCVTACALNDVLANIRSLPVPSVASLVESLVALLPEESTPA VIVVKHERPASRMANGKVDPNQPNYDPAMIYLLELATILTLRDEETMGTAGESLATSL QAFIRDARNVHPLALSRIITYLLNLLRLGHEQPFMRVPVILHGISSFDQDILESVAVP IVNALSQCVTDAGLLRNQITVSPDFWSVLQRLHQHAEAAPLVYELLQTIIESTPPTVS ADNYESAVNLANEFISAGSVGAFEERQRDAVSRRAKGVKQPKQSENQVVARGVKAIGL IYHLTRRAPALISQSHLEKSEAWSAYWTPIFQSLTSQCINPCRDIRHHAISTLQRTLL SVELISGDDKDWTSIFDQVLFPLVLRLLKPETYHSDPLGMSETRVQAATLICKIFLRY LDQLPNQDAMLDLWLKILDILDRMMNSGQGDSLEEAIPESLKNILLVMADGGHLVPPS QDASKEQIWVETKKRLERFLPALFAEIFPDANQEKSAPVSGVSSPVPPSSSAGEKAND EDTGAAGAGAGGDEKSD ACHE_41211A MARPHSSASVRSNQTHHVPDGINLAALQGATLAFTNTPSKDTAS QGVKNRIQTMGTAVFPDIQPPRPHHHDAPTRSLSPSDTDSLPPPEAGSVRDKIGRFAA HSRASSPLSQNNENTLENVKLPVRSRTPQLVAARLAAERSPVRNEKKPTGGIMHAQSR QEPVLAAPKPMRNPTASSPALQNLLREDREPPPLPRKPPAIPRKPVMSPTSSTSSIAR IAATRSTHSPSPTPSSQKLFQPPLPPRSSTFPTAPQNNDVNHKPTNGSRTSLSSGPLS PFSDERRPALPPRPRPNGSPVSRGLPRSQGVIMQDPEPSKSIPTPSMASLSTASHKNS STSTLDKSSGMDTESLSDAIVASSIASSHAPPSKKIPPPPPKPRRRSRSRSILNSLGH FRSNSNDANRSHPRLPSPPKGMRQTLRDPPKPEPPEHNHHHLIRHPHKHHEGDRKRWQ SAVGEQERKRYEGVWAANKGHLIPVPPLPSRAKALGPNAVAYYQQVRTKYPPNTSDMV LNLVVRDIWSRSRLHENVLSQIWNLVDRQKNGLLDREEFVVGMWLIDQSLKGHKLPFR VPDSVWDSVRRLSGVKPPSLI ACHE_41212S MVSDEVMGPAAEELDEDLDEKFPNRPHNHSPTLPFHELFLTLFN PLSEIKKRPAGQGPARRKVGPSGKSAANLNPIERRRDIIERFISRWRREVGDDIYPAF RLILPDRDRDRAMYGMKEKVIGKMLVKIMKIDKNSEDGFNLLNWKLPGQTAATRMAGD FAGRCYDVISKRPMRTELGNMLIEEVNEKLDQLSVASKEENQLPILAEFYRRMSPEEL LWLIRIILRQMKVGATERTFFDVWHSDAENLYSISSSLRRVCWELHDPNLRLEAEDRG ITLMQCFQPQLAQFQMHSLEKMISRMKPTDDDPVFWIEEKLDGERMQLHMAPDSSLPG GRRFGFWSRKAKDYTYLYGNGIHDENGALTRHLGDAFVDGVQSVILDGEMVTWDPEQD APVPFGTLKTAAIAEQRNPFSTGPRPLFRVFDLLYLNGRDVTKYTLRDRRNALQKAVK SVNRRLEIHPYQEATTTAEIETALRTVVAEASEGLVLKSPRSPYRLNERHDDWMKVKP EYMTEFGESLDLIVVGAYYGSGRRGGSLSSFLCGLRVDGAQSSQSTNPMKCYSFCKVG GGFNAQDYANIRHHTDGKWMDWDPKKPPMTYIELAGGDAQYERPDVWIKPDDSVVLCV KAASVSVSDQFRMGLTLRFPRFKRLRSDKDWKTALSVQEFLDLKSNVEQEHKEKEFSV DNSRKKRVKRTTKRPLEIAGYNADKEVGYVGPSGRIFEGLNFYILTDSSVPEKKSKAE LEHLVKANGGKFFQTSTAAPNTICVADRRTVKVASLQKSGEIDIIRPSWLMDCVKQNT VDVGLPDLLLPLEARHMFFMTANKQEEVESNLDQFNDSYARDMTANELKDILEQMSKK YNFDSSQCPDTITKITERIQERASTGYETPIGWLFKGLTFYFNHPQTEETKETDQAQS LRTVAMANIARFAGADIASDTGDKSITHVIMDPDTPAAEISAFRGSLAARGAGRKVPH IVTNKWIEESWDAKTLLDEERFQPAR ACHE_41213A MIPKAFLFTPVASPKSSYTHGDGKRCRRDAFGDVSDYYDFPTEA PSTTHTSPVVIPAKYGSSVRSSRQLSTGSGPKTPKRSQTLRRAPSNVSGKGRPLPSSV ASILEATAIPAPRRSRSMRTTRKLPRKIHVEDFSKMLMDDVEEDRLLVGTGNSALDLL LSPPEEAERMSITGSDSDMASFSGRSLSTESTPSLDHDLDSPSSSPGSFSPFSPRSPS AKKHRRVSLPEDCAFDHPLLDTVLSDAEMDDMVQTKAALTDPVSPQTPSPSRSFARFG SFKSNLTASLRAIKSAAQTVSAFTTPSVQPDDFLTRSLFTITPELTDDRRPPPMDEPP SPALRRYLNPIIVSPAEMHIYHDEHPHGAAEASRKCPVSIQMQTYRRSGGRSNRKRAF HLAGSGGRGSRPCCPFDPEISPMSRQREPRENSDFLRVVVLEMNMRRSGKLRNDIPPR ARVWLPPRKNSQPRCAYNDYFYDDFDEEDEDENGIPSRWIGITV ACHE_41214S MEMQNSQTPKDHRATSKAKNASADDKGPSSKRRCVSTACIACRR RKSKCDGNLPSCAACSSVYHTTCIYDPNSDHRRKGVYRKDTGTVRTARNTTLQTIIQA ILNYGDEEEAFELVRQIRSCDNLEDVAESIVNQERGLAASANAGPADEDLTHADRFES ELADKVSELMLDGSRKFIGGTSNLIFLPPGSELSELNTRSEPGHGDFAVVQWTNVSND EQLISHLMTMYFTWHYPFFTTLSKDLFYRDYVRGISSQYCSSLLVNAILALGCHFSFR EGAFQNPHDSSTAGDHFFKEAKRLVLANDEHENAKLCTVQALALMSVREAGCGREGKG WIYSGMSFRMAFDLGLNLDVSSHGTHNLADEELDARRITFWGCYLFDKCWSNYLGRQP QLTTSNCNVPKIDVLPNEEAELWSPYTDAGVDHERTQPSRTRNVALQISKLSEISGDL LIFFYLPPSAEKPPSKQLELKKLTEVHTRLEAWKKGLPLELEPKEGQLPQVLVMHMFY QVLLIHLYRPFLKYTKSTSPLPQHVSPRRLCTQAASAISKLLRMYKRTYGFQQICNIA VYIAHTACTIHLLNLPEKNAQRDIIHGLRNLEEMAGGWLCARRTLRILDISANKWQVP LPQEASITFERTHAKWGSWGSWDQPTSPSAISEESPPAATPPRMPAATGNSPSSHPAL SQQSNFQPISAGLAMPMTPQYQQNNSIPTSVPATQPPSRPVSIQRQKPDNQFVPEPTY LRPVSHLFHPVQAVPFTHHDAWYDANPIDNQQTSPSAGTDASPMTGGFDGSTPNLVDE SQDWWTRNYMGMGNWEEGWNGITGSAAAPSQPQSSHIQTPTGSSGEVPSQPMEFPVAV PAPESGQGPNPLVYGNMGFTQNFQP ACHE_41215S MSTRAAQVEEYGWGAVPINPKWFAPTKAPTPQLVKDKPLPGTQV AKAALEYAKAELPAHTFNHSMRVFYYGLAIARQHFPAWKFSDETWLLTCLFHDIGTID KYTRDVFMSFDIYGGVVALNVLKEQGAPSPQAESVAEAVMRHQDSVRVGTIHTVGLLI QLATQFDNVGAHKEYVHPDTVKDVTGHYPRRQWSKCFSSKLREEIGLKPWCHTTAEGE SFPHDIEHNALMEPYDGLF ACHE_41216A MSDLDEAIAQLRACRLIPEAQVRELCYKARELLIEEGNVVSVDA PVTICGDIHGQFHDLMELFRVGGDVPDTNYLFMGDFVDRGFYSLESFLLLLCLKVRYP DRMTLIRGNHESRQITTVYGFYDECIRKYGSANVWRYCCEVFDYLALGALVLGASSEL EPSVSNVESTQAMMPIDDGELETEVLNSKGEVTVSTYRRRQRSSSNTSTDSRNISPPR DISAAPGNSSQAPLRSGAPGTGASGSGSGSYGNTSRTGAVLCVHGGLSPAIESVDKIR LIDRKQEVPHEGPMCDLLWSDPDEIEGWGLSPRGAGFLFGGDIVKGFNYTNDLSLVAR AHQLVMEGYKEMFDGGIVTVWSAPNYCYRCGNVAAILELGEDTSNGGTVARNSGEYGR SNGLLMGSNGVGSSVVSPGRRYRVFEAAAQDTRGMPAKKPVADYFL ACHE_41217S MPPHLHPRSRSTASLFATTLLASLVVVGLPHVFPCPAPRRTLAD SEMTVTADGQQVQRIRRRRRKDAEMLDRENTSFPTTQPADEEVSTFFQMEAEAEKLEH VRRQCPVPKPSGVLGDLLGFSNQKDTSQQQGREEF ACHE_41218S MGPLFVLGDALLCALVIWKVPYTEIDWTTYMQQVSLYISGERDY TLIRGSTGPLVYPAAHVYIYSILYHLTEQGRDIFYGQILFGLLYITVLGLVLACYRQT GTPPYLFPLLVLSKRLHSIFMLRLFNDGVAALAMWGAILLLINKKWAAGVVVWSVGVG IKMTMLLLAPAIATVTVLSLGLLPSIRLGIIAVLVQVLLAIPFIQADPVGYASRAFEL TRQFMFKWTVNWRFVGEEVFLSKNFSLGLLALHAVLLTAFFSTTWLKPSGSNLFGFIR STIKGRQHRVVLSKPFIMTVMLTSLAIGLFCARSLHYQFFAYLAWATPFLLWRGGFHP VLIYAVWALQEWAWNTYPSTNASSLVVVLSLAAQVLGVLANGSRAFDAQHQKPDGKAK VHTQ ACHE_41219A MAPPRRKVLATAEETLTPPDELSQGQTIAKVIKATGKNIYQVEF PSKESVLVELPARFRSTIWMKRGSFVVVDTNALEDRDNKLQGEIVNIVRDEKAWRKAP FWPEEFAKQPVARNEDSDDEEEESNVGKMPSSDESDA ACHE_41220S MDGDELIASVYRKIEREKALITAATNMRQSTDNPLVQQRVDANI RDGRKNIAYLEEKMRELQIRRIEHDGGGSPTESRHPYGGNPAASGPVPPPKDYGYGGN EEYDDAAGGFPQGGAGSMPSGAPFSDPRPFAPVPKARPNYTKLDLIKYDTQYLGPKIQ LMLSQLEFKLSVEKQYKAGIEKMVRLYQDEGDRKSRADAEGRRIESNQKIQLLKQALK RYEDLHVDIESSDTPDDESLSTPNMRKPLTGLLTMRIQAVKDVDHAASSRFSRGPETF VVLKVEDAIKARTRATRSDRWQDEAFSIDIEKANEIELTVYDKSGDRPTPIGMLWVRI SDIAEEMRRKKIETEFNASGWVSADKMEHGSSTARPDPSAALGSPQNPGPAGPTVAAG QSQGGAGGPSTSHVMVDSWFALEPVGRIHLSMSFAKQLKDRRPFDIGLNRQGAVRQKK EEVHEKQGHKFVTQQFYNIMRCALCGDFLKYAAGMQCADCKYTCHRKCYPKVVTKCIS KANYETDPDEEKINHRIPHRFEGFANISANWCCHCGYLLPFGRKSAKRCSECGLACHA HCTHLVPDFCGMSMEAANQILETLIRAKNHNKSTSVSSGLSGRTLRPGGPPQAPQDNA ALAYPQKPVEGPYGGPPPRRASAEAVSAATNSYMPPQSPTAVAQRQQMPLRTTPTAGP AAAAAAAATGLRSPQQPPVDMGRPVQPPPQPQPPSHAPYDPSAYVSYQQQAMPPPQAM QKVGSPYGMPSPQQPIPVMQPPVPVKEDIPSAQPKVRIGLDHFNFLAVLGKGNFGKVM LAETKSAKKLYAIKVLKKEFIIENDEVESTKSEKRVFLVANKERHPFLLNLHACFQTE TRVYFVMEYISGGDLMLHIQRGQFGLKRAQFYAAEVCLALKYFHENGVIYRDLKLDNI LLTLDGHIKIADYGLCKENMWYGCTTSTFCGTPEFMAPEILLDKKYGRAVDWWAFGVL IYQMLLQQSPFRGEDEDEIYDAILADEPLYPIHMPRDSVSILQKLLTREPELRLGSGP TDAQEVMSHAFFRNINWDDIYHKRVPPPFLPTISNPTDTSNFDTEFTSVTPVLTPVQS VLSQAMQEEFRGFSYTADFA ACHE_41221S MGTPRTPQNTMTNTVYPTPNSIRFRPQTSKPLDPTYLPVVDDHR ASDDEYERLPSGTASKRPRKLNDPTYCPAEDDHQQLDDKYEWLPSGTKRARKSKANDP TYLPVVDDHRHSDDEYEWLPPETKRARKSKTIDPTYRPEYVPGDSDDESMSPTERRLS RKRKGISSAVTKTVEGQHLFMRPPKRAKVSSGVDARVKAKTKSSPRLADITDHTHNHT ERPTTPKSQALNPTIYPTPSSLLDGFSGKDTSFQSHFVNAKSPILDPGSSLEPELPDD ENRDPSWGSPKSLKRGRSASREVDDDNLYHPPSKSVKTAFFRRQLFTDANDGLSDGDD EYEYGDERGVSKSETKGVVYDFSLERAKRWVAAVSLPEDAWSEAEKELFFRLAMRGFE PLLPLHWQHDFSTLPNTMFPLPEDETVPIIRACKQSDFHAIKSLLGLFSLGGQVRDCN ILRTRPEDKIRKAIKRYVRWAMRDADIHDKPGTIPVYTIRSQKKGESVVSAVSKLNNR LGKLAKRYHDSLGISSRATSPRRVKTTGAQIKQGLDTGLKITSRRNFPLLVGFVICGP IVAILTLDTDPLSPKGWGEGTGSKFISQFDVGERGQDVWTSLALAITIMHIRKTMIQL ADGGKGGFCRNGEDVLVSEDEDL ACHE_41222S MDAADDREIKLQRASGDLVDEFSTKLPSLLWKTRTENGHKLRVP RRWTQANKTEKLVGLLEPFQEWPQLLDPHLQKLLPSLVDVFLAYLLKHRDQYASETAA TKAQVQGALYPLPRAVCRLLYTFCKVRGVKVITRFLNNEPKYLDPMLHAFIEWDAVPQ HDPMEVALGDDISRRLVWEERYVMLIWLSHLLLAPFDLSSISSDDIPIPYDNLGRLKT LSPQAPVVTKSLLSASLDYVDVSGKEREAATALLARLVLRQDMLAVGVLNNLTEWAFS ILQPVDGGEPPSLYTCIGVLSFLARLAASGQVEGFAPLVEPVFEQTLRVTHGESDVSK MIRSSALARKIIIKILRSVSVMALSLNENGSMSDDQVSVILEEVIDHLLVSLADKDTP VRFAASKALSIITLKLDLGMAADVIEAVTGSLEENILYETRDGIIVAPFEARRIGINT LKRNLSAVNAQRWQGLVLTLGHLLFRRAPPTHHLHNVLQPLVSGLDFEQRSSTGSSVG TGVRDASCFGIWALSRKYTTQELLALRADAISTPTQQKETSILQMLAVELVCAACVDP SGNIRRGSSAALQELIGRHPNTIAEGISLVQVVDYHAVARRSRAMNDVAKAAAALDDV YWSPLVESLLQWRGVGSPDAESRRHAARAIGTLSLHGSYTTLKIVLQRLLHRLSNLPW NDVETRHGCMLSIAATVDAYNKQRETDSSEAVDLAFQVAQLWDVFGSSFGPSKDDLTL QSSRPELTAEASARLIDSLSGTAIKSDASNSPQPSTVSINNARETLLLCVSRGEDLAI EASSDAVSQLFPLLSLKKQKETVQGWFSHIQATRKLPTGRGQISALGAVFKSVEPENS LRSEIIQELLQCAGPEELIEKRVAAVKCLATQVLPYIAVTDGIVDHFINFLNDYTTDR RGDIGSLLRLEAIQAAKIILQKESELGTRSTGAQKVIGCLCRLAAEKLDKVRIQAWLG LQNYWESAEDFPPLQRKYDHFSHVSFPDYFVQLLELQAIDWLRLPLYQGLATSTVAGA EGLIRASRLALVQGINSRKAGQREAMLLLILKDLSTILSENLQDDRFAIPIMELLAFL VDGYITSIPEGSEQIFRRLFTLIQKAHFKSSNIQRLEAAVKVYAVLSRFPQLRPEVLK KLTAMLLHPFPRVRSTVSEYLYTATESDEVKMGDWTRQPKQMKGEVEALRGVLVA ACHE_41223S MATVAANSSIPPNPTVYVRNLQERIKIDNLKEALEELFSEYGTI VEIIAKTNLKAKGQAFIVFDNVESATNAIEEINGFELFEKPMVLDYAKTRSDATVLRE GGGEELEAHKRRRLAEKERKQAHEALETQKKLKRPAGAAPDTARPAKTTKGAGLKPTS GAAATVIPDEYLPPNKILFLRDLPDNIDQDTLTSVFGRFEGFTEARLVPGRKGIAFVE YENESGAISAKEATSGMPMGDGKPIRVTYQRQ ACHE_41224A MSAAGREPVFPTRQSLGLMKSKLKGAETGHSLLKRKSEALTKRF RDITRRIDEAKRKMGRVMQVASFSLAEVTYAVGGDIGYQIQESAKQARFRVQAKQENV SGVFLPQFEAYQEEGINDFGLTGLGKGGQQVQRCRETYARAVETLVELASLQTAFLIL DEMIKVVNRRVNAIEHVIIPRTENTIKYINSELDELDREEFYRLKKVSNKKQRDTAAQ DAEILAARQKAAEKNTGDVPDVLGEQEDQDVIF ACHE_41225S MPGTLLPPRSHRQHAEMDRSSASGPDLYLIADQDTVYEQDLIRD PGSIKPWLSYIEYKQQHGTLYEQAFVMERACRQLPRSYKLWKMYLEFRTSHLRGRNAT KYRAEYQKVNALFERALILLNKMPRIWEMYLSFMLQQPLVTQTRRTFDRALQALPVTQ HNRIWKLYKAFARSASGQTAVKIWARYMQIHPENAEEYIELLVEMREYTEAVKRYMDI LDDPRFQSKEGKSHFQLWTEMVDLLVSKAKQINTGPQVGIDVDAILRSGIDRFADQRG KLWAGLATYWITKGNFEKARDVFEEGILTVMTVRDFTLIFDSYVEFEESIIGSLMEAA AVRADKGKTDEDADFDLDLRMLRFEQLMDRRPFLVNDVLLRQNPNNVIEWEKRVALWG DNKQEVVNTYTAAIAAINPKKAHGKFSELWVNYAKFYENGGDLDTARVIFEKAVKVPY KSVAELAETWCEWAEMELRAENFDRAVEVMAKATQAPKKSTVDYFDETLSPQQRVHKS WKLWSFYVDLVESVASLEETKNVYERIFELRIATPQTVVNYANLLEEHKYFEDSFKVY ERGLDLFSYPVAFELWNLYLTKAVDRKIGIERLRDLFEQALDECPPKFAKSLYLMYGN LEEERGLARHAMRIYERATRAVSDEDRFEMFEFYITKSASNFGLTSTRPIYERAIAAL PDQEAKEMCLKFAEMERRLGEIDRARAIYGHASQFCDPRTNAAFWQKWEAFEVQHGNE DTFKEMLRIKRSVQAQYNTDVNFIASQAIARSQQRPQEGGEEEGEMDGAKEKADVMAA LERQARAPVGFVAASTGPEGGNRPPAAGQEQQPSAPVNPDAINLDDDMDE ACHE_41226S MYCISTAMVQESFRHPDHESITAVARSAVSKPSSVVRRKFAKPP VKVACLACRASRTRCDGQEPCANCAGRNRECSYMPSKRGGPRRRKKKSISDGNNVQHN GAQGTTMVPSPDFQEASSDMFGQIDELSMPGAGLRSLDYSSDVQTMFADLFLPNTEGH PDVHMQSTPLSSSIPMQPPVRTYGSEYDILNAYYVFIHSYFPILPPRVASPSPDKPLN YAASCTSSPSEDLALAYRPRSPLSLALSAILSLIPHPDDPEPSSPNSVIQRRNCAHAF AQMAADSVEADCELNSSSTDPSQGLCGERPSINREPFHHRTPVELENLLALLILSTYE YVQRGNLLKMRYRASQAFTIALDMSLHILGDDHSEFAEARRRAWWMTYYCVLQGSIVS TTTPSIVIQDPQFITPYPQFDSDPDGWSVLIQAQQVLVSATQFIIDLNKCIRSRSNIS YIYERMQQLDAWAASVLSQSTLTPSISQPHGLDDRTELMTARSMRAIARIKLSSAQIK THRFRAFQDIPIFVKKHCDLTAAAANTNNTAPATCTPLKGPSQITNIRCSCTSSTMEH VPEYATPSTSTTPDLPSTSSSQQPPIPTEFPTECPFTSQHSAKVCLRAALLISHMFQS LPLPRPSRQDLHPHASQHQQQLPRTMPSFACCLMQGSYAMLMIFYKARVARRMSPPLP LPSQQGTGFENGRRMSGNMNPNSNSNQTPTPTDRLILELRQGLERIIGAVRNYTIAFE ALVGMRGGFLFSFPYSIGFDLVY ACHE_41227A MAPTGFRKKVEPSPPGTAPSTPTPSHGDLSPSSGRQRSTSSQKG GFGVNRYPGTWPAGSRASKAAPVTEVARESISAKNVPNVASSSTSHLHLDDHPKHNRN PSLQLTRRQGASSRSLPANATTTRVNIASDGSTSHGSTSTPAANTPSDLAAQPTDKEQ PSDKGEKVVDDAAKEQPDSITETTAPNACDESAESDSKTATAIQTGGWFSWLYRSSPS VTDTTGGSQATETPVENPPPEPPTTDQTLKKDTVETNNRIPDEQATTKPNTPETTQVT AAPQRLSWFQMWYGSPSSSKAPEEPKKEEEPAKDLPPSIAEEPAEAAQHDTSVPDGRP EEQNDSPKPPAQNSRSSGWSFWFRDTSKDAAQSKTQDFGAIETSINQDTSSQQSKGEH KAEPEQEVEIKKKGSTKVKPPQNNAGPPILPDKVAAQVELAADAVPPKAPQTAASRDL RKNIPNQVLPRFQDTFSMQESPSLLQTLGNFLHYGKKQHSKHAYRIRDPPRIRKALAI GVHGYFPAPLIRSVLGQPTGTSVRFSTMAANAIKNWTESRGYSCDIEKIALEGEGRIS ERVDLLWKLLLNWMEEIRSADYIMVACHSQGVPVSIMLVAKLIAFGCVNASRVGICAM AGVNLGPFPDYRSRWISGSAGELFEFALPHSQVSQDYEAALRCALDFGVRISYIGSID DQLVSLESSLFSPVNHPYIYRAVFVDGRVHAPSFLSHLVGFSLKLRNLGIADHGLIRE LSAPLAGSLYTGEGHSRLYDDEAVYSLAIAFALETSDVSSATLQIKRTPGSPVANPYI LPFAMRGLLEEEHVKRELYEETMELLKQFDDWKPSSKVLKDVKFRLEGIRSKL ACHE_41228S MAVQKKHGKGRLDKWYHLAKEKGYRARAAFKLVQLNKKYGFLEK SKVLLDLCAAPGSWCQVAAECMPNQSIIVGVDLAPIKPIPRVISFQSDITTDKCRATI RSHLKHWKADTVLHDGAPNVGTAWVQDAFSQAELVLQSMKLATEFLAEGGTFVTKVFR SKDYNALIWVFKQLFASVEATKPPSSRNVSAEIFVVCRGFKAAKRIDPKFLDPKHVFA ELADPTPNYEAKVFDPEKKKRKREGYEEGNYTQFKEIPVTEFINTIDPIAILGEYNKL SFDQAPAGDLAMATLNRLEETTDEIRACCEDLKLLGKKEFRNLLRWRLKVREKFGLVV KKGPRKPEEQTEEVAEVAPMDDELAIQEELHRLTEKESAARKKERRKENEKKRKEIVR MQMHMTTLMEIGMEQLGPGGDDATFSLKRVDREGARDAIASGRQAIVESESEESDSDS ESETSDDDGDRLERELDNLYEQYQDRQEERDSKLRAKKARKEYEADEWDGFSDSDKEG SDGEAEEEGSDAEAESTPGNASKSKALSNNAAMFFDQDIFQGLGDEDVEDEDSADEKQ EDEEPKEEVKSKKQKAKDAKKQEQEQAAQESDEEVEEPEDPRKGNGQLDIDIITAEAM ALAQSMATGEKKSSDLVDDGYNKHAFREVEGLPDWFLDDENRHANPNRPITKAAAAAI KEKMRAINARPIKKVMEAKGRKKMRAATRLERLRKKSSLLAEDDALSERDKSAAITAM MGKAAKKKPKQQAKLVVAKGANRGISGRPRGVKGKYKIVDSRMKKDIRAQKRLAKKKS K ACHE_41229S MGFFDHLQKGGSFSLQAQKPQIRKVVQTRPVPASKPTSTPQPSR IPPRDKATKTRDSGSRPASKVPEQRPSKSRSITPLRTNRKRQTPELRLSSDDDASDTD TSFEVRKRARTDDSAEPDPSRRVRSLKAFSEEGVKPFSMVHASDITSGQKAKNFKAAF GNGDNPVEILLQYPSASQKERFQLVVPRDNDDFKPIDDIVQVIEVASQHYIPEEEADE FNDESTGIKRRLRRALAHASEAEVRETVDNYNKAIERFRRNGSIAKKLDATSRLSLPH VERILNQIYSRTVSPRVESLRQYENGSDNVYGELLPRFISNIFKETGLKSGQVFVDLG SGVGNVVLQAALEIGCESWGCEMMQNACDLADLQQAEFKARCRLWGVAPGKTHLARGD FLTEENIIAVLKRADVVLINNQAFTPQLNNELINHFLDMKEGCQIVSLKSFVPAGHKI QSRNLNSPINLLKVKQKNYWSNSVSWTDVGGTYFIATKDSSRLKAFVEGTE ACHE_41230A MAHWREEYSAALTARDRREKTNVGLYNAYTQLADRTAKAVPTSA DAQQHTRATSPAGKPAGHPSGRPDSSMQDALAATRADLIEAQRSRAELQDRLARISAD LEKLRKRSAQDGKRINALEGERTHLQLRLKDRDEELRGKAKLLDDFQDELATLNLQLN MAEEQSNRLQRENQELVDRWMARMGKEAEAMNNASKYS ACHE_41231S MSSRKKVLLKVIILGDSGVGKTSLMNQYVNKKFSASYKATIGAD FLTKEVLVDDRLVTMQIWDTAGQERFQSLGVAFYRGADCCVLVYDVNNSKSFEALDSW RDEFLIQASPRDPESFPFVVIGNKVDVEENKRMISSKRAMTFSQSKGNIPYFETSAKE ALNVEQAFEVIARSALAQEEAEEFNGEFSDPINIHLDNERDGCAC ACHE_41232S MRLSEIIHSIFTMCPIPGHFLSMAPCIAAVVMAWHHQSSFQHFF LTVNHVSLSLSSHSRFFTEYLTATERTSTTECCIVPCSHTGHPHVIRSHALINWPTFR KPSEFLPLKIETLLCAE ACHE_41233S MPETFAGPPSQQEPLLSSESPLEQRTIRPMSPANGTRLGGTARR TLGISLLLVVVVLWTASNFLASTIFADNTYSKPFFLTYLNTSCFVLPLLAILLARVFK LWRLKKLSQVTSLKSLLQHLDLGDPLQAEEQEQAILYHRAATADGEDGVEDDVRATDL GTQREVVVKQNESGKLGLKGTAKLSLQFCILWFLANYFAMACLQHTTVGSTTILTSTS GVWTMIFGAFLRVEKFTLRKFLGVIASLLGIILISRVDLSTPDAPAPDDDGDNGSFPH KSPAEIALGDAMAAFSAIMYGVYTIVMKRQCGDESRVNMPLFFGLVGFFNMVLIWPGF IIMHFSGLENFELPPTDRVWTILLVNSASSLLSDIVWAYAMLLTTPLVVTVGLSLTIP LSLVGQIVLQNQYASPLYWVGAIIVFLSFLFVNHESRPVEEEASASGGETGEYRTVPG E ACHE_41234A MNSQEERKAEPEAMENNLGRARTRSAAADTEPPTSPTTASRERA TSFSRRDTQTQDGPGVRRRSSTASRLSRLSGPSIGARSQGNFTLAGPSETPQLRAAQE PFVHPGYADLNPSYDQAANTKPVWSLAKPLPRVMRSGMVPTKDELRETLQNAERPAQN SQELGLNVDPNDLEQGQIDRSADPRKMAAQVEDARLQREANFMKTVLSGGDGTSVRPP SGLSRLPSVRRRRSSVGGVSPDLVVPEDEEQESPTDSEPKIPQHPSDQPLQTVPEEPE DHDEKFQFPILDDTHPDDLHPLVQNLVEDEVHNNHTTWSVIRTHHREALAEALAVYIQ LTIAFCADLGVTVANNGNPNTTQWAWGFATMIGIYISGGVSGAHLNPAVTTMLWFYRG FPKRKMPEYFTAQFLGAFCAALTAYGLYYQSIHQYRITSLDNTEIINSFVSSQREAWI DSCSAFFTEFLGTAFLAATILALGDDQNAPPGAGMNSLIIGLVITCLCFAFSYPTGAA LNPSRDFGPRLALLALGYGGDLFRNPYWFYGPWAGAVGGAFAGGFLYDFFIFEGGESP VNYPLERTQRAMRKSRMKWERRLHLTKKA ACHE_41235A MDRPLVTSVPALSEGHPKNAKSSNDTKLQPLHPSSPLRNGEIGF GTISPIENGSFAFDRVLKTGKVHRRIKHKRAFKATWKSGHLVLRPNLLSVYKDEEATR LKLSITLSEVTAVAPFKSPRSKRQHLFVIYCPTGNYRFQAESQKDAEDWIQRIRSETR LDEEEAAFLALTKKKKSQKSAAKPSGDDSTSTSSRPSSPELGTSLSPNSQARQFAYPL DFSANDMTSEWSDGPSNNTNLRSKRSTNNLASSAQKNGQSQPPLPREGNRNADVGILR DPERVLCNGYLQCLRTKGGVRTWKRHWVVLRPKSLGFYKNEKVSALRCFTSRKERTLN DPQEYCAVKVIPMSQVIEAADVDPVSRSKNFCLQVIAEEKSYQLCTPDEESLAKWLGG LKSIIIARKKLEASVSATA ACHE_41236S MSFIQRIAKQLPSSPSLPLDDVSNEKGGVYPRFAFFRRRIRLKG NSSISIPLGFVLLFPCLVIILILLLFVRHPSSPGGILIPAGTPPSIRKISEQHDKVFA TGCLPVETDGPRANAAFVVLARNKELDGVIQSMKSIERHFNRWYNYPYVFLNDGDFDD TFKETVKNYSSSSVEFGKIDSTMWGFPDWVDHEVAKEGIRKQGDAAIMYGGMESYHHM CRFYSGFFYKHPLLLKYEWYWRLEPEIKYFCDITYDPFIKMAEANKTYGFTIAVKELR ETVPNIFRYAAAYKRTKNLKSKGLWEMFLENPPKEEEARPEEDKKDRLPEEILQNEPG ENTVPEVDPEAMEGESYNMCHFWSNFEIARLDWFRSKEYEDFFEMMDRSGGFWTERWG DAPIHSLAAGALLSPSDIHYFRDIGYRHTTIQHCPANAPARQLPRIPWLEKTTDDEKE RIEEDEYWANPDPVKENGVGCRCRCDTDIVDVEGKQGSCFAEWVEVAGGYASP ACHE_41237A MNDLYNCAMYLHRELSRHNVPYYFLGGFACINVAMTARTTADID IAVPNGQNGYGVLLNILQRAPFVQDTEGFISQDSYYFFVESSGRFVEVDGVLAGFMAF PKIEQAGTIQLQHLQLNFLNPVGLLKLKLSSWANKSRRSGLKRDGDIIDINSIRNLLI QNGEKMSLKGLEGDAANGLWDWIQEFEDLKTWQLLDSSYKGR ACHE_41238S MPSFPNGLGEIIEPNTESAALIASTLFQAGISAALWGLCAAAEY GGDLCPLDIELLVNDNDQEYAFTILTSHGLIPSIADDHDTPSPTTFANWRQSSSTHQY HDRRNLRHGAPIYEVPPAQLLDSNSQPVFIPRMFIILYSTELTGLAPLPPPASYPELL SPLVQSLSEPPYIPLSALPGVAGREEDSPTEFATCLLPTFPRLVESEMHILLQDPSPD SLEWGQHMAQLSALVHSRSCPGGLDHVPVIAAPKFHEFIKWVQLSRKGKRDYSALQRL QEDYKQHGTPVKSITSRHLTLHVPLTIQKRINLICSII ACHE_41239A MDSSTGLSSTMSSLHNPLAGIPAQPTNSSNINVSLMSPPQSVYY NYYNSASSDLKDLNNVLSHSMHNNTNANANTITNAGSLLDWFTTPLDQGLGGTGPMLR EYDILKGVA ACHE_41240S MTSEPPSEHSSSTKLLSESWATLSISDAHSEDGTRSEQTDIGSL IDQTSTGDVASLDDRSEVGENYEDHDDDQDSEEEDNDEEADNNDDDGAYDGGSISESQ ELPALYHRFGGDIEDSGLTAKAAFHQSTDSIEFIEPDKWPEMEQVELKHTVQIFEGVE AARLKEQLPPNQQNALLTATVQQTMTKYSLDIDQPFRVLYIGNPDFRTIVLDKIGDVL VSSSCSSYESSSSESSRYHVIPTSFGADAVPNFAELLPIHVQLIVDECLEASSDPRSG KPSTVSLKFKNRPSCTSYWTGSEYCLSSPSDWTLPDVAIFFVSSTDDAAAVETQHQAR TFMERHGVPAMMISEKPWWEMTTELIPVNRHSLHMCLESRHSLGGEPTVLRRYPIDLP TFESIASSQLNRNLASLANLYPKQVNKVTAEVPKPCAMEPFSLDIEKYSKYIPRFDYL KYSDHAQKLSPSLRLLAITVISAIAITLAYTVVSAAFVYLSQFFSRYDISEVVSPSSG ISNKNIIPIETLGQNSLSVIHSSAGEVQSLRNQYECSTQLEELMGIALSPPVKQGKPD RFELQVIGDSHVILKPPRRLSLKKPKFGVSVTRYGNAIPYELSKLFEGVYSLNLDRED AYGLVNVTITTSKPPLEQTTQVDFGTPWLKIANWKRAASTLSTELVKDLNTAQTGLSK AYDRLYTDLQVAMGDVVKRSHLLQQEVDDIRGSTRLSLENLSLETRNAVLARSKQLSE FVRRDAVQPFWAVCSVFQEQTSKANTEAKDLVMNTWRRISSIPAPRVDLGTMMDRFRD ARKSEALNKAQKRARGLVRRRAYDSGRRQR ACHE_41241S MSDAAKPDPTTAAPAEKEKPTTTTEESKPESGKPAAPKTTDSVF SMFGGGPKKEKKEEEESQEAKDEPSGSSKAQKEGEEEEAPESPEVHFEPVIRLTEKVE TKTNEELEEQTFKMRAKLFRFDANSKEWKERGTGDVRLLKHSENNKTRLVMRRDKTLK VCANHYIVPDMKLSPNVGSDRSWVWNATADVSEGEPEAATLAIRFANSENANLFKDAF EKARQENEKAISQ ACHE_41242S MPDVKRGIRLVTEQQIVNGDSGVEGFPLRSWSIEVYQVNEHGEL VAANVFDKVTYTLHPSFGDRAIQTFKNPPFRISEEGWGEFDLSITLTADKDYTVTHDL NFAQPRYESKHVLTFKNPKPALLATLRETGPVPGDENGMKSKRAAGADEGSKKKKRME KNVDMDKLADGLQRLGEDDLLQVVQMVHDNKAPDSYTKNDIEQGEFHVDLYTLPDNLI KMLWDFTQEKGAL ACHE_41243S MHIHFGHCHKAQPGWVNYISWFNSGGGLLYDIEYLTDENNHRVA AFKYHAGYAGAAVALMAWDHEVTTGPGGGPLGSIPVFETAGNVVDAVKKGIASTSQHQ QEQREPRVLVIGAVNFCQQAGIPDSHIIQWDIAETSARGDGLYPEINDADIFINCIYL PTGTHIPPFVARESLSVSGRTLKA ACHE_41244A MREVNFSIPNVNKASVNITTTLYDRRALDCTSTLPLINSLNHLA YLTTSSARIRDILTVDGGIERLVCILKEGRSRNLMEMWKWSLAFQCVVNIGVRGSENV RTRVVEADMVPVIATILDNYIKVVDKARARVDAETQRHSSRHHPKPIPAPASVTGDHE LRPFRRQAPPPHIEIPQPSGQENQVRDSNAMDISSSPTRMPMTSPPERNVFGQEALQH HHHHHHHHHHHHRTNQPIGHRHRAMQPLATAVPTMDAADGFHLRPVRDTERLPSMLPR LHTGFISQPDSPTTPSGPVQSRSNPPTSSTSPAPATTTLQRPALHQHPSASGESDGND EGSAAGDDAAGPSEPIVGLQNQMEIDEVGDRQAMLEGVSNTHDLTVTDPSEGQDAETF NISHRSAVDGSTINNDTTQTNGALGLSPARNANNTNSPAIVPSPYALYYRDRATTAAQ GVLTTMPRDEDVLMSLQLLAYVSKYCNLRSFFQHSHLVPKLKIDRELNMLDDPSASPV EQPEEEEYLLPDDVNIFPLVEKFTVRHHSKDMQYWACVVMRNLCRKDESRGGIRQCAY YKCGKWEEFQRQFAKCRRCRRTKYCSKDCQKAAWVYHRHWCQTTP ACHE_41245S MADMSGEQMQAKITAARREAEQLKDKIKRRKDELADTSLRQVAQ NQTEALPRIGMKPRRTLKGHLAKIYAMHWSTDRRHLVSASQDGKLIIWDAYTTNKVHA IPLRSSWVMTCAYAPSGNYVACGGLDNICSIYNLSSREGPTRVARELSGHSGYLSCCR FINDRRILTSSGDMTCMLWDIETGSKVTEFADHLGDVMSISINPTNQNVFVSGACDAF AKLWDVRTGKAVQTFAGHESDINAIQFFPDGNAFGTGSDDTTCRLFDIRADRELNTYQ SDQVLCGITSVAFSVSGRLLFAGYDDFECKVWDVLRGEKVGSLSGHENRVSCLGVSND GISLCTGSWDSLLKVWAW ACHE_41246S MSSAAQAEFNQLLYNSQEKSSSHPEDRDRASSPSDTEEDQDPPT NPPSDSEDIDDMRSRTATYHVPNTVFDANTGPKGVIADAQAFERARKQSHRRTLGGLV SDDTTPLNHHSPPRDGAKDDDEEGFMRKWRESRMHQLQSQNVRRSSPRRKRFGGVDTV DAAGYLDAIEKVATDTVVVVCIYDPDVCFAAILIFMSVSVADLVQSSASGIVEDCLNT IARRNVTTHFIKLHQEIAEMDHIQAPALLAYRGGDVFATIVEIFRQIPKGRACSADSL EELLKQYVLSPCPQLAYANSYRYRVL ACHE_41247A MYDSCYTCRRRRIECQMTQPPCTKCKKAGIECFQKRPLRWVEGA EFRGKAKKRTSGNASLVGSVAESDSGMTSSTLVSTGVNGGTQAPRGYLSTRPTEFGEG VSNPMSSIPSNPGDPSSSSLNRASQYYLYYYSKCICKLFIMYDSNRNPLRNLIPASLN QPVLLNSILALSARHMENAGQSFQARVSTSPNALWFKYKAIRGLSQALNDASLCRQDT TVASAFLLIFLDLLESGSDKWDVHLEGIKRLIAQVQPPSSTGTQQDLGQTVQGLRDFI SRQIYLIDTLGATFTRPRLLSDSTSLQQSWTPLQLSVDQSYLGCPEYLLDVLRSFSVY RDILTSPEPIDEIVSDSYIQQAGSVLESTKEFDCYAWASSLPQSYSQDTQKLYTLAQS YKIGSLIYGRRVFDALANKTTSRDDLVRELIGTIDALKADEALFKCMLWPMFVAGLEA QEKTQRDFVIGSLDKFWFETKCVNVVNAGNILRKFWKQNCQTSWIFNIGQLERDWLLI ACHE_41248A MAAINLPIIDVSNPHDPSVGKAMLDAAAKYGFLYVASQSTDFSA EDVERAFGLSKEFFASPVDEKAACRITPNNRGWSGMHVETLDPEHQRTGDFKEGMNFG EFTGGKAQQLLPPSLSPHEATLSNFSILCRKTCDRILTLLALGLQVHPDFFTTRHDPI HGPSGSILRFLYYPSLTSPATASYKHDEDVRAGAHSDYGSITLLFQRPGQPGLEILTP EGEWAGVPVEPNQSSQGQEQGQGKDGRFAFPPILVNIGDLLSYWTDGLLKSTVHRVVF PLSEQRAENLQDRYSIVYFCHPVNTTELVPVPSKIVDSHRKERKESQGDKVGFGGGAG YLVPGKRALTASEHLQARLAATYTY ACHE_41249S MAIRALDEWASTRLQSLPLSALKGAVVGIDASHYITQHLLQQST REPLLIALGGFPFALKNNIERELQIFKNLGVACVFVFNGLEFGKKDRRPHVRPESVRA FEQAWDLYDQQQADQVVDAFSSAGTPPPVIFYKFLQRILLQNGVDFIVAPYSAAAQLA YLASGPNPLVDAVFGPSEILMFDVDKLITRIDSEPAQFFWITRQTCQEELGKLTNDQF LDFCLLLGSSFLPTFPAFETQPFPPKGATVRDALPMFNIAGRSALALCAQFEEDRRVQ DIQYTDLYKRAYMTVKHHVFMDVDGKVAPMDLNNASNDMHEIIGQRLPEELYFYLSKG ILGADIPNTLTSSEVLVSLPLGAEDTPIYRQVVGDTLTPIRAQAISLVSNTLHRFHQT KVINVRTWFDENSDRSINLKNLSVKDTIKSWKINNGQLPEKLKKLPVCLLTFPVRYMA DNTQESAGLFKFTVQSLKDKDFVPKSFAAKDSPALSAQDEVISNVYWRFLQLRGYINE KHELTPWGEGLEQALSVLDPADNLEESTFLAIEMARLGLLNTKQWFSHLSGGPMRGSD DDKTFNLLVSRVACIGKLQHKPIGYSGPLSRQLLCYRSLVSQVRATLRILIEAVLAEL FLSGDADRDREDWSEMTLKLPFINDNDCGLGIAVRTYLDDLPAQTNPTSPEARAETKA KGKAWFQHSDSFSGNLDLAFKLWDAVYKATQGAGKEAKDAKTWDNANAWLAGRR ACHE_41250S MIRLSTLLVSCLTLLVGLAFANPAVVDLDTKNFDQIVLQSGKPA LVEFFAPWCGHCKNLAPVYEELGQSFAFASDKVTVGKVDADEHRDLGRRFGIQGFPTL KWFDGKSDKPEDYKGGRDLESLAGWITDKTGLRPRGPKKEPSKVEMLNDATFKEVVGG EKDVLVAFTAPWCGHCKSLAPTWETLANDFILEPNVVVAKVDAEAENAKSLAREQGIT GYPTIKFFPKGSKEPEAYQGARSEQAFVDFLNEKAGTHRAVGGGLDEKAGTISKVDAF VGKYVASRDFQGLVGEVKKSVKGLQDKYAQYYVKVAEKLSQNQGYAEKEFARLSKIIQ KGGSAPEKVDDLVSRSNILRQFLGGGKHDEKDEL ACHE_41251A MTEDAKPTEAVAPPTGEKVQSQQNIDAQGQFNAAVDELLDQLQH KFDNVSRDMFGKLDDMAHRLDDLEASLTSVTDDAATATPAK ACHE_41252S MPKRSKLLQALDDHKGRDYEAEKQKKLVKAAEKKKKAKKDGGEG EDVKMGEEEEKTEAKSKKSEPKTDDNEDASDDEEEQSEREGEENEDDEEEGDEEEEED IPLSDLSDDEREDVIPHQRLTINNSAAINTSLKRISFITPKTPFSEHNSLVSKDPIDI PDPNDDINRELAFYKVCQSAALTARNLLKKEGVPFTRPGDYFAEMVKSDEHMGRIKKK LYDEAANKKASAEARKQRDLKKFGKQVQVAKLQQRQKEKRETLEKINALKKKRKTDSS GPTEDANDLFDVAIDNADQAGSRKRARTDTNKPGAKRQKKNEKFGFGGKKRHAKSGDA VSSGDLRNFSHKKMKAGGPKRPGKSKRAKARS ACHE_41253A MTSREDSVLAARDPSLVDENDWEEFSLSEVRILVPGKSRYANLL AASPENPVQVTGCLDEVEEEQESLVLDEEYQSKRIVIENVTHYAYGQHNDGEVGIWVA GRAGWFSISPAKGYRPMFNEIVEAIDLLYFLADRHQKKRNKRKDWNPTVEYLCEEYVS HTHGICEDADDSAEVFYKYHAFLLSRMIKGEEGVQWTKTNLFEHLCEKFPETYEEIKA ENEVKEDEREQTPEGEIETHSTPEKETPPPDPATVPQTQADTIYQVILDLKEAGYLAK RELNLDLVISTVVDRFEIGSREYAVDLVAARAKNVLKLMDEAKTAHFDWSRKAIYREL KSATKRKRNPEDITLTPLRPRANDKDESSNEESEEQDDHPRHRRRRARKSVLRPKISS VSAKQIGKRTRSTAVNDDGYLSDEHPHDGHMDNFETPSKIRGHELVRDPLSTTRAKRT RSVLSDADIQAKTPLRKLVLSRHTPVHGSDLEREPTAEPDDTWTCQVRGCEAVIQHSS SKRGKELVEDHRSSHEVDTQTKLDLVFAEKQLNVGMPVDNLLSRIREMGVGLLGGEMD APASANEA ACHE_41254S MATTFLVVVALAVGLLILPYVSTRKKSKKEGKVLLKLPLIGDLH TSPIDKPLTNWDTWVTQNGPIAVPKLFGIVPIVVLNSYDAVTELFSRRSQWYSNRPAS VSMEMITGAEPGQSRFTLMHDYDDYLKLHHRILAPSLGAVAAPQYQSLMELESKQLLF DLSNAARQSQDGSMISTDTIYRLLERTQSSVILGLHYGLRIPRFDEPILYEIIDTQTQ VTHLAANPGLPDLIPPLRHLPAFLSPWKHAADRLFATQVDLYMRLFHHGRDSAGWNAT KQAIATAEKYTPAASPVADLDLAFTLATSIQGGMETSPRQLLWLFIAALYQPSFVARA HAILDEVVGRDRLPRFSDRSRLAFIDAAAHELFRWRPISPGSIPRRADRNDKFKGVKI AKGVTVMANAWAVGRDEAVFDPALGNLQEFMPERWLQQGDAGDTKLRTDLPLPVFGQG RRICQGKRVATDGAFMQVASLLWAFDFELVDGEVVDPWEMVVVGFMTMPRERKFRLKP RGNWVLEAIQREWEETDKSLDKVMGTADDVEK ACHE_41255S MSLPRGFRLFRTTMSGEKIYEGVFAVHKPQGVTSADVIRKLQSH LKPSELFRPWIEHERIERARSSSREAKFSRKRRNKNIDVKIGHGGTLDPLATGVLVTG VGKGTKQLNDFLGCTKTYEAVVVFGAETDSYDRLGKIVRRGPYAHITREGVEKALAQF RGKIMQHPPIFSALKVKGKKLYEYAREGQLPPIEIQARPVEVSNLEILEWYEPGTHEH KWPEEEAPEEEKSVAEKLLAKDDALPVAASAEEGQPEKQVLETSSATKRPAESQEEEK EQSAATTTEVTADDSIPAPKKQKVSDAAQDAPTEQSAATTIAETTESSESKPETTACP QPPAVKITMTVSSGFYVRSLAHDLGKALGSCALMCELSRTRQADFTLDSDKILEYKDL DAGEKVWGPKVQSFLEEWEEKRERDARAGSS ACHE_41256A MSLNGLDNSDVLEAYQSALTEAGGWFLLHYTSRDEVALLSRGTG GVPDVRNAIDGYEEASPLYGFLQYRRRKVVLSYLPEDLSRLVKARTTVQFQSVLDKFS PHDTVFSLSKSTDLTESALSSACLLHAASGSITSSSSSLRRRRLMEITEDAEENTPDK DDSQAQSPVSETPQRPFSQRSDATVTASQRAPSRLYQTTSASDQPEPETNASDVSSTI DNFPAPPSVTFDHSSERASLHRNFRDDLASAPSDSRRFSQSSRPSMQDLDHISPYTTP KVKRGPRPSVESSSRPRSQDKRPVASLPAGVRSSALRRRSPNTTPLRPRSQGNPVASP KSGKGATPGVPPLLVPPLSMPISRPHISPGAKSLSAVSTSGLSPEKERLMKALQQRKK QMEKRSGQNKQKQPSAETDENKENVGYGQLDHDKGAAAVDNYKTRSDQSTEPRNKATS FISPVQSKPDSAVDMVVSDSDGDQHSSTITTPLTTPTATTTTVDKEQTNNNHNTDDDD ADDHHGEEEKEPKADGFPLLVSSSSGIIDSKPEDGPRSPSAVDGPLENKSGNAPENEI VVSPPPTNPPPNSSEQEADAAPETLPRPDTSTTIPNASSNSIAPIDCDSQHDPPTPES SDPVRQHVTERREKRKPYLEPIQVPTPEYSDEDNLLSDDSFMEELKSATVQEAKPVSV GSPVIADNGDEQPASSPSPETQVNTRAVSNPTAAVESQSANLQAVAGGRSVSSTYLET TAGPKSPALVARKVNVSSGISSRIKALEKFTGNRDVPATATPPNMTGSHAAQSFETFR KRASISQPPGSFNSPAISRSASTSRPASQAANLTRDDSQSSLNNTQTTSSVSVTARIV RDADGASPDNQLQASPLTVEHETSEVPVSPNLTAESATDDREKRSMSTSSAGSGAAPT IQRSESRMSVSSKGEGEDQKGSRTSRLLNRMSSITSNSRKSLLGALGPSGSLKGEEGI TTPEKSPEPESAPAPTKAPAPAPEVQAIDIGEVNVQFPDTLLWKRRFVRIDDKGYLVL TPANVDSTGRNMTKKYHLTEFRTPCLPDEDCQELPNSIVLDFLDGSTLQCACESRQGQ ASVLQTLVQAHGSYQSV ACHE_41257A MSFAAQVQVTDDEQQLVDLLHRYLPRDQEDGLRVHVPWNLPCAD SMHEILYRERKDKPTSSYDPERRILTVKARGEHFRRRVSCVVYNFFLAGCLTGFLTGS EGKLLRSRSSVQFFTWKREHGREKGPSWWKSPDASIVFRGKGPYACSRATMLLGSKEV NVVILVTIKEDKEALSETRATEGKGARRVRNGKASVLKLFQRQTWQPL ACHE_41258S MLSTLPSPSSISSLSLEQQFQVLDTLFEPSPELHAITGPVLATH SFASYASLADAVRGQLSALSLSQLPMDQQTLMKILGSHPRLGRPPAKATEHLSELSRK EQANLNASVAEDQMERLSLLNHEYEEKFPGLRFVTFVNGRNRDVIMEEMRQRIDRGDK SREIEENIQAMCDIAKDRARKLQQPSNI ACHE_41259S MAEASTAGPRASSVPAIVTTTGSASSSSHITRDPSNQSGNSKHQ SGPARTSQSSPIGPTSPDVASGSSSNQQQDARRNHRTTQSLSYSNHRASGSVTRYSTS SPTVVDPSAETSAIDPLSQHIIQRTNTQKSIPLKLLGRAPYEAEAGGTDNYNADEQGL IRRDSVPHQRPPEKKKKGVSFLSRIILGKKKDRLSDAEDDVSESENGRMDPDAAAQPI GFIPRFPRPPKYLRVRAHYKKEKTFNRVFVAQELEGADGILSAADKDVTNSVVGSEKG YDTGKAVWSLVFSKDGKYLAAAGQDRKVRIWAVIASPSDRNEVESDEEQDNDELPKLK APVFRAKPIQVFEGHTGSILDLSWSKNNFLLSSSMDKTVRLWHVTRSECLCCFQHSDF VTSIQFHPRDDRFFLAGSLDTKLRLWSIPDKSVAFVVSVPAMITSVAFTPDGMYAIAG CLNGLCIIYQTDGLKPIDQMHVRSARGRNAKGSKITGIDAFALLDDPNADVKLLITSN DSRIRLYNFKDRSLEAKFRGNENTCSQIRASFSHDGKHIICGSEDRRTYIWPTNTTEK DMDKRAVEVFETHSSMVTAAVMAPAKTKQILGFSEDPVYDICNPPPVTLVGKDESTLK DNDSGNRNSGASKQAQESPTYISRSTHPDGNIIIVADYSGRIKVLRQDCAYQKRRYAN WDAHSTISRRLLRRSNSTRHSLASSIGKDSHKTPSERILSWRNSVIRHNAGHANGTRT RSPSGKSIPNASRYSSPGGGSSRPRADSRSFTMSPSPSAYKNSLDSPRSSADTSRQNT TPRKREPAPKSPLPLSSTAIVASGQDHDNPLWIQGEQSNAYWNKITHDAFAAQNRQSR LLAPDRLSVPDADRKLSIGSEFLSSDYASSNGEGEDGDGDVLKCDDCQGTNFRASRGR NGKQRLVCVRCLRPVN ACHE_41260S MPYLHTPQAYLEQSALLLQAYPDSRITTKYSFPSQRPTALKKAQ ARQSQRQEQQQQSQDTATTPSQSTPAPQTPVATLTLKTYNPAAGICLQYRTNKAAEVG RLITSLGKLAGGADVAALGLGAAPATAAAAGTAGDVEMTDAPVAAEEGSAAAQTAGQA EGKPDVGAKGGKSKRKGKGKR ACHE_41261A MAAQVTPSKQTASSLENLKMSDSPVKKLNFAGKENAPAADIDAT VTKPVEKPVQAPKAAPTIQELEASEPLLQENPHRFVLFPIKYHEIWQMYKKAEASFWT AEEIDLSKDLHDWNNRLNDDERYFISHVLAFFAASDGIVNENLLERFSGEVQVPEARC FYGFQIMIENIHSETYSLLIDTYIKEPKQRTYLFDAIDTIPCIRRKADWAIKWIQDKE STFASRLVAFAAVEGIFFSGSFASIFWLKKRGLMPGLTFSNELISRDEGLHTDFACLL FSHLNHRPDKQIVQDIITEAVAIEQEFLTDALPVALLGMNAKLMTQYIEFVADRLLVA LGNQKYYHATNPFDFMENISLAGKTNFFEKRVGDYQKAGVMSSTKKEESQSATTNDAG LSFDDDF ACHE_41262A MSTTTGAFIAGGIAACGAVTVTHSFETVKIRLQLQGELQSKADQ AKKYRGVLHGIKVILQNEGPRGLFRGIGTAYIYQVLLNGCRLGFYEPLRTGATKAIYK DPNVQSLGINVFAGAASGIIGAAFGSPFFLVKTRLQSYSPFLPVGTQHQYRHAFDGLS QIYRSEGVGGLYRGVGAAMVRTGFGSSVQLPTYFFAKRRLMRHLGMEEGPGLHLASST ASGFVVACVMHPPDTIMSRMYNQTGDLYKGVFDCLSKTVKAEGIFAIYKGFFAHLARI LPHTILTLSLAEQTNRLMRRVEDRFLSDDLKSRL ACHE_41263S MIDKQKARFCCIGSGFCGTIWAHPERGEAYKRQNAGPERSLPND SYMHQRALAGFRALSSMQNPQFQISRCYRFIRATARGWWDQNLSSFPAGFAPCDTTYF QRIPPFLEATRRLLIDKYCPTVVYQGCVERC ACHE_41264S MAEGQQAQQPVQQTQKVSDVIRTYRPTKAFRPPKQDHPHVTSLD FDDQGDFLVAAGEDETIQVFDVKEGKSTKSVPSKKYGVHLARFTHHSRQVLHASTKVD DSLRLLDLHNEGYVRYFSGHTDKVTSLALSPGSDAFVSCSKDDTVALWDLNSRHVQGK LNLATPYLATFDPSASVLAIASQSTSSVLLYDFRNYDKSPFSTFDLAPYEERYTPSTR GRAWTRLEFSNDGKSLLVGTDYHGHFILDAFDGNVRTFLVGKNGSPGRAAPISTTGKP LGQGDVCFSPDGRYVIGGPGDQSDVLVWDLQQPPDSSLLLQPTHRLPSRGRTALIEYN PRFNMLATADKETVFWLPEDGSKQPEK ACHE_41265A MPDPRSQGDSPPQTSQNPTKEDNKPPHKPETSRGLATVLLTKCN LILTEIDTFQFLLSQKLRNPQLIEIRSLRSSIVSELRTLEKLSDRIEAAFAAAEQKGD GGEEIEARYIHALRSSNLPFYEAVWGIAKESCSGLAAFGKRFYWGEGAVEDVDVDAST GKKKGKGKKDGEDGKKLPNKDKRKSVLVDIVADDGEEWVKVSTISESRLLFEMAEKGW EKESEPGSDNEDEEKGRTVLRNYEDENEDDEDDEVGLIKLAIDMRRAANATRVRYRRP QIRFVLPRIEEQKSSDIDDLIDTIRGYGITVECGGRYTEVSDSQTAENQLSHLLPSHF VRFTPSLNVDCTLLLAMVSDLSHCKSVQPSPEHHTAINRQIEIEREHPLLPVEIWPAT VDREMLCTDEAGKRMQEIVDTIGTDSEKKRTDVLLGVPPFDGLDSDTLVQKFQELSDY EVPTHWRLPIKTVEARPVIDSGRKQAKLPPIVESVAEMLSDINYSVFLYGWVTGLVTI SSNRTVVRQIETTVENHRNGDEDLVGPTVWVCDTPRSLIGKERDRRE ACHE_41266A MKGWLQTLCLSAFFAGSVLANEVELKQDDAHRQRCSGMYSRKSW GGSVDPFILTRFSHESETSDTDPLVSLVIFEWTDEELIGRAVSDNPEVSYCPGNDRMP RAGLSDCLYKTVQEIETICDEASVDAGLCTDQDIGSFILAANATETSKFPIVSKAIHL NNPVAVNYPVKKTGFYCVSTYGYSGQDYTAVVEFRNAYGELPAAQIAKLPFYGGLTIV YAVIGIFWAFLYVQNRHDILPVQNYITAILVFLILEQLMTWGFYDFQNRHGLNVGAKV LMVLVAVLNAGRNSFSFFLLLIVCMGYGVVKPSLGRTMIYVRILAIAHFIFGVIYAVA SLSITPDSAGPLVLLIVLPLAATLTGFYVWTLNSLNATMKDLIDRKQKTKALMYKKLW WCILISIVVIFGFFFINSFAFAGGSDASFVPDHWKTRWFILDGWLNLVYLCDIAFVAY LWRPTANNRRFAMSDELAQDDDGFEIRSFGSALDEEDVLGAPEVNVGTEHRRDLSPVP PKPVPSAPRQRESLDGETIFAVGEDGDKWSDDEDEESRRGSTDRKSQEGDDEERERLT KRTD ACHE_41267S MPAKELANPCVDCGEAEFVVDVRSRRLCKPCFERYVSLKVLRRI ENYRRPKSVPKGQPYKLLLPLSFGLSSSVMLHAVNAQLERQLSKPYPMVGFEVHVLII EPSSISPSSASAESRFDLLKKTFPRHSYNMLPFHSIYEYEPTIQNVMTQFAGEEFVDD ASLSHKERLDAFRASIATATSKVDVDQVLLNRLIVAYAKELNCDAILWGDSDSRLAAK TLANVAKGRGSALTWQVSDGKSPSGFEFNFPLRDLFQAELHSYANLIPELMAIIIPDQ PSSDNTLTKNLSIDELMMRYVQTHGEKYPGVMANVTRTANKLQPAAVPAKAQRCAFCD AFMGDSDEKREFCYACVRSRPDLAC ACHE_41268A MSLWGVLGKRVLAESARNHFGQEDPYFEDVPASRLNRAFGKKTQ KRRKAAPPGLSDNDAKVLTQVKRRAYRLDYCLFNLCGIQFGWSSVIALIPVIGDIVDT LFALMVVKSCGNIDGGLPSSLHAKMVSNVIIDFVIGLVPFVGDLADAVYKCNTRNAVI LEKHLRQKGKKTLKNQRGQPDMSLPEEWDKYANGATGEHPNRGGQEYGTVETPAEARP ARHPQRSRSQGRWFGGSKNREGDIERGIA ACHE_41269S MQLEDWLDDLCVRFIINLPHEELESVERICFQVEEAQWFYEDFI RPLDPALPSLSLKAFALRIFQHCPLMSQWSHYHHITAFSEFLAYKTRVPVRGAIMLNE EMDEVVLVKGWKKGANWSFPRGKINKDEKDLDCAIREVYEETGFDVGEAGLVKDEKDV KFIEITMREQHMRLYVFRGVPRDAYFEPRTRKEISKIEWYKLSELPTLKKSKQHDQGF AVANANKFYMVAPFMHPLKKWIAHQKKIDAKNQVGHRAAELMDEAAQIASTITPVQAP VEMAAPSDLPKVAPSGDASAHLKRLLNINNVPSQFSVQPSQEPAADPKSNALLELLRG GSSEEPAMHTPMNEQTVPLEALSQRFPLPDVFPDLPRQTQYAEQSTSLPQTLPPLLSG MVPPRLQHAVNVPSANQPMSQRPLDRQFPPTTHMGPSTGFQDFPPFSAPQPLVPSYRE EPMHNIPPQRPAAAPYQKTGDPQFSQQGQPPQIKGASVPPASKLPPPKLTSHSLALLN VFKNETPKTPQASSATMAPGAGQDSVQARKPSQHQDQLLSLLRGSPAPVTSAPVPVEL AADSTPPARKKILQRPNQRSPNAGKGFNSEGQTSATVSGPLNMPHFEGIAKPAARRMQ NGTNRKAHKDRQPQTHPLSPPITILARPQSAKGDQSSTPPPGSWTLSQSRTSKTKQAE PQKPFQPQILRRSEKTGSETDIPLRPKTAHEPDQREQGMEPPVHVDRRPSQTAAQRDA LLSLFGKKSDASGSPSPGPVHIQTSAAKPSSSSAISPLSPSIAPSVGAPVSGRGTPAE NGLETPASHRVSSPDNKAFLLGFLEGVAKGNK ACHE_41270S MMRPGISTHSICARCLSRGRFFSTTPQPHEQLPPSPPRAGYTRL TNRGLISLSGVDSTTFLQGLITQNMLVTNDPNRSPRHTGSYTAFLNSQGRILNDAFIY PLPKTDSEAASTDEPAWLIEVDKNEVPILLKHLKKHKLRAKLKLRGLEDGERTIWSAW KDHAEPRWAAYNLEADASSPFSPSSPIAGCIDTRAPGFGSRIVTPGAEDLRTHLPDES QVTGAEVSLDSYIVRRMLHGVAEGQNEILRESALPMESNMDMSRGIDFRKGCYVGQEL TIRTHHTGVVRKRIVPVQLYTDDQSTVASIDVPVYDPSTSIPQPPRESNLSKAGARRG RSAGKFLNGIGNIGLALCRLEMMTDITLTGEGTQYSPEQEFKISWTGEEEGASGAGEV KVKALVPSWTREFIYSGLKNQNARRNEDDGSRAKRLVEQLEEEEEEYDRRND ACHE_41271A MASNDDLKAHAASNQDFYALLGVSPAAAEPEIRRAYRRAALKYH PDKIANPTPADIDKFHILQIGYDVLSDPSVRQLYDNAREARERKQKEREMMGAAKRKM REDLEARERAGAAAMGAGAQRGVKRSWDMDGGDAEEKLQAEIERIAEDGRRRRREAED RLKKELEEEEKLVQQEEEEARRAADRSSQRVDRSQEGGTNVPELERAVKVRWFREGRG LELDKDHLSSLFSPFGTIESVAMLKDKRQRIGDKREKKTVATGVVVFASIVSAHAAVL DSEKRRRQSAEQADSEWAIMDSVTWASGAQPDLGMAAETRPASPPSAQEKKPTSTKPS FNFPGAKAAMSDGATKKPGKPSFASFGSAAAAANVSKPASLEEAKASNTPSMEELTFM RLKNAQREKERKALEEQLRKEDEAEDAAEAAAGKA ACHE_41272S MADLTHQSNGSLPSPAQLALAMAIVKHKPADLSIRDYILQIRSY IMTAKDPDFNPVSSQPGKFFDSVSFWQQAYEQSEAEQSKLLDRIFELEQRNTALLAKT QKGDVGKEEQLPESSKRKANPKNADATATARKRAKTQMPSQGGIGSLGVQGALSSVFD RMKYVEEFTAPFMRHFYTLQTTLQKRPNRSNVVQAAINLSKAAADALVNSVQEKNISA GRSKTTTLQHKDPEVISMVSGVESALPMLYQALGKVSTLEEKTFDAGLVTYHIVCLYE TAMKMLGQHCKDRSAQLLATTTNGKLAKKQNARSKRAQRKTDDEVAGQITRLLGTMIL LLDLTRAEHQNILEGALFILLNRVGRFLCLFVFKELQLRPDLRVDPAQLPLPQGLKDV SLNEQSICAAKMESKHLIWVLERALAHLETSTSSPSSREFTSKIKGRLQSTLLQAMYG TDDECFQEALRRSVAPDILEFDRLRSCAQVPEQTVADWFTQEVWRLVGWELVVKKEQE T ACHE_41273A MPFIVDIHTHVYPQSYLDMLRARKTVPYMHDPSTPDAPPRLIIL SSDDDPSIPLDKRGRPVDSSYSDVNVKLEFMRRHGINVSVISLANPWLDFVEPDQART WAERINDDLDATCANVNKESNERLFAFGALPLSAPSADIVVGEVERLKMLPHLRGVIM GTSGLGNGLDDEKLEPIWDALQNTDTMLFLHPHYGLPDEAFGGPDTINRYGHVLPLAL GFPLETTIAVTRMLLAGVFDRFPRLKILLAHSGGTLPFLAGRIESCIRHERRFVANGG DLPGPHRSVWDILNTNIYLDAVVYGTAGLKAAVAAGGEDRLLFGTDHPFFPPLDCKEK EVWPSVATNYEAIQDAFDTDEKDVAAVLGGNAARILNITN ACHE_41274S MATISNQPASTVVDMDDIQIPIIDFAPFLSGTPAEKHSVALSVT DAFRNSGFLYLKDHGIPPSVVSEIFASSARFFARPQNQKDSLRWTGPESNRGYIATGQ EKLAPLEDTAGIGDLRAVSPDLKESIEIGREGAPGMPNQWPAHIDEEGQSFKQTMLSF FETCKTLHMQIMQAIALGMNLPEHFFDEFIDAGDNILRLLHYPPVPKDIFQRQPGQVR AGEHSDYGSITLLFQDARGGLQVRSPKGTFIDVTPIPDTIVVNAGDLLARWANDAITS TRHRVVEPPAPVSAADEGEYPARYSVAYFCNPNMDQVIEALPGTYDEQGGRKYAGIKT SDYMVQRLGATI ACHE_41275A MAFLPLLDAANKRQPPSTPGTISPPRAQVIVNSSIAGWFHVVYP SVPYTLTKPALTQMVKLLSTTFAPHDIRVNGIAPGVYATEYISSLYGGYERITSYGRY CGASPLYGECFWGKSQR ACHE_41276A MSQFDPSRLFSVNGQVAVITGAGSGLGRAMAQALAANCASKIFI IGRRLGALQETAKGGPDGTIIPVQGDITSKESFQAAYETISSQTEYVDLLIANSGVVE PNPKPLFQSPGPDTRQPRRDPRETLVYPRGGLFARSPD ACHE_41277S MAPKHHLVLSLLGWLPLALALPNIAARETAPPVVSFATSMDHTS FSGTPSVTGALNASSTIAMTIPSLSVEPSATTYPSDGKLQNPAPAPYVPAGGVGTNGT TPVYNAKSDFDFQSLALVLYAEYIELDLFYDGLARFSEKEFTDAGLTAEDRYLIQFMA EQEIGHATLITNILGAKAPKQCHYNYPYKTVREFLDFCQKLTRFSEAGVYGFLAHLDS REAATLLTQTITTEARQQMIFRQFEGLFPMPVWFEVGVSQSWAWTLLAPYISSCPEGQ TRLAWQNFPALHIVNQPNPDRINGSAAYNETLTPGMNTLNSTGIHDSCLKSNVVGEAC NASITHNRTIPLSFPGREVLLSWETPGKSVGPNNSYVTTTTAGNPKYVMWVSQLNVTY TDLKMGDNSTSGSTIQPDMSTFAGDPAINGTMFIAITDSDPFVTSYNFSMANAHVVAG PALYQAG ACHE_41278S MDPPRNRKQRRAAAAADSFDPSSVPLAHPPRDTASNGQKNERTL VDIIAERKESLLSTEGIANSTDAKAGPRTRFVTVDPSTGEISGFDPNVQGDKGTGQEK DESESQGVEEPLPVFLDTVLLSFPLTTLHLTLAYLAAHQYAVEIPVSNLLRESAFITF PILTLLIHLAHGHIISFGHLTTMNDEPSLFPLTPEKRSISFLRRLIFPPSLKTLAFLP LAVFLGVKLMTITNNAPYYAVMKRAPAIGTLWVWCILEVPLGASLLGALGPLGWGVWY KGYGIF ACHE_41279A MRGRTGHENKTVNTYCKHRLRPTLLIEYVAEFWPPSLQPSMDVA LVNATLETDQGGSIMRTDGVRVVPARRVWSAIRLRIWKTWRAAATRGWMQYPVRPFVN RSASIAGAFAGSGYRPIYVIGWGHLDSGADAHGSQSGLHCMGRAQ ACHE_41280A MGSNPRSQPAELEHLLELSDPKLIITSPDALDTVLRVSGGRGML PSQVCLLDTSAPQYVTQLLQSGSLAYPGAIESLLPEQGYHRNFAHLLGYGENDWVTFN DEAIAKSTPAALYSTSGTGGLPKAAILSHHAIVSQHLAIAYDVPYSVTRLLSLPFFHL FGSLWAHVFTVRYGQPLFVLPRFELTQFVAAVHQYQITETYMVPAMVHVFNQSALPLS HLLSSLRYVGVAGAPIDAASMQLFQSQLHPYATAGQLWGMTEAGVVFQCRYGDREDHG SIGPQLPGYDVRLLGADGTPVTGEQQEGELFVRGPGVLTCYKGRNDAKDANGWFRTGD IAFIKNGRFYIRGRTKELIKVRGWQVAPAELEAVLLKHPAIEDAAVIGVTNKDGSEVP RAFVVRSKDPNIHHPNEEEVYKFSRKQLASYKALDGGVVFVEDIPRTASGKIQRFKLA KMNEYRRLVSLYIDAKKAELIALQPMGVVPAAAGGVAV ACHE_41281S MPDPSHMQCRCTCLVVNESLILIIPFLVRISPGIRRLGTFTSLY QYFFISPGAPDSSLLVSCVDTPSHSPSSSNPAAMAPSGEHQISIPPPAKCASESSSPT DSVFIESEKAFLEDMSDPEKQQVSMDPEKTLEKTASSGLRDLEAQTEPEPKRRWYAPI RYTVLDIYRRLFSIVFLANLGVFIWVMVDNRTLMALINATAANVLACGLARQPLVVNT FFRVACSIPRSAPLRLRQIACKVYHYGGVHSGCGVASLVWYVGFVAVLTRTYTNPPGG QPVISSAPVILAYIILALLMAIIIVAYPGFRVKLHDYFELTHRFSGWVVVALFLCLLL VFADEASTAQGVSLGSFIIKIPGFWFLMIVIASIIHPWVFLRKVRVQPEYLSDHAVRL HFDYTKTAFGKGIQLSKHPLRDWHGFATFPDPEGNTFSSLVSKAGDWTADTIKEQPTH LWKRGVLIYGFAYSMRVFKKVLIVTTGSGIGPCLSFLGDENRPELRVVWQTRAPVKTY GEKVIDLVKQMDPDPVIIDTNERGRIDMVPLIRKLYKEFEPEAVCVISNGKVTKNTVY QLEATGIKAYGPIFDS ACHE_41282A MPGRLLSNLVRPSYSLHSSSSSSRSNSSSSSSINEIPHSSTNHT LSILPKKPTVFKHHHDRARSPERRLSVAMDHLMHPHRDHSKDKRRSLGRSKERANKED ALAASAKLDVVVESPPLVCYGTPANSTGALFSGRLRVEVTDAVGVVNLESLDMRLMSK ASTKKPVSRDCPSCLSRTEELTHWNFLTEPLHLTPGTHEFPFSYLFPGHLPASCNGSL GQIEYFLLARAHSTTGENFTFKMPLHIKRALIPGNDKASIRVFPPTNLTGRVVLPSVV HPIGTFPVEMTLSGVVEKGEETQTRWRLRKMMWRIEEHQKLVSVACSRHAHKIGGEGK GVMHQETRVIGHNEEKSGWKTDFDTAGGEIKMQFEASINPTCNPVCDLEAPGGLEAKH NLVIELIVAEEFCSNRNARLMTPTGAARVLRMQFNLNVTERCGLGISWDEEMPPVYED VPASPPGYTKIDHESTMEDYQGSPLPLPEYEDLEQMENLRLDSDSTHSTREQARLTND DLMMTPEETGSNNRDISAESRSSQ ACHE_41283S MSSSAELFTIGLAISAVIIFWGLSSTSSSPFARSFPRLYNKRIC LLIAHPDDEAMFFSPTVLSLTRPELGNHLKILCLSNGDADGLGSVRAKELQKSAKKLG LRSESDVFVVDDPSRFPDSMTANWTESDVSNMLAYAFAPELASATLKKKSTKDGPPVS TIDVLLTFDKHGISNHPNHRSLYHGAVHFLRSLMRDKAGYTCPVSLYTLSTTTIFRKY IGIFDAPLTMLRGALHTLFSGSGKGKGKKAELPGQLLFINSVSEYLTAQSAMVNAHKS QMVWFRYGWITIGRYMVVNDLRRQWV ACHE_41284A MRVGIMSYRYALLVSARRFSSSAIRYSTNFSIHKGRKTTAAAVE TQYGSNWGDAKPQNGGKFALAQFPNPLDGPQPGYKTEAEREDQFYQVIQNAQPDQVMD AMRDPRYAGMVASMPQNIFVNALHLLSPGYFIDPYREIHRPLHPSTVQTKGYKSLVSI FDEFVNNIAAIVQLRQSAGHHLDLAEYTYLLGCARAIGDGVMANRIWYGMKENEVDPD VQCYNYYMEAKAWDGAYTGREKYNLRVTPFAYRKRRFVDPNEGWQGYGTAGRSVRKEV LDILNEMTEAGHQGDEATFVNVILACGRVGHLRGIKNVLKTAWNIDVDALLAESDKSN LLLATTYDPSSPLHPSSRLLFAVAHALVPEKVWLELFERAFVLSRPRFGPDARRNAKG KIPYDFLNGMYHTMISAPYNVRPTIQIHHMLAKTAWDRDRLSEFLQHVRAAYNVLVET RQMRKKARYIIEEYLCALHTHTNPQQRNEIINSPSLADAVHNYDILRLRAAQQTMIME RLARLLIINNRWTGRNNPVWERCLLPRAVEEWQDFLPESFNYPTSGGLVQFRGKTRWG EAYVNYHDRVPMRRRTAGGPCADEQVDGEEPREIDDDFFWERCRWAFSSVDFDSPPLS RLFWGCGAPRFENAGAGDAK ACHE_41285S MADTEDAGPSSVSEPLDLVRLSLDEIVFVKLRGDRELKGRLHAY DSHCNLVMGEVEETIYVVEEDESEEETIKTIKKQEEMLFVRGDSVVLISPQA ACHE_41286S MPSAPKLTLEERRRGEIALSEFAEYADKQQAYRTHVAPSAPSDS GYLTAAHEDHAELEILDQLGLSDTPRTVKLKDLLLGTGENTEDSTQALAGIIQTRIDE GHGETIFDLGLEDGGDSMGFDLEQWNTALQRLREAAGMLPAHDRILLTHNVGGPEESK VKNDRIQGTWGKVLLRQPAESVEELAELRVAVVGNVDAGKSTMLGVLVKGNLDDGRGK ARVNLFRHKHEIESGRTSSVGLEIMGFDSHGEIVGSSQGRKMSWEDIGKRSSKVISFS DLAGHERYLRTTVFGMLSGSPHYCLLMVAANNGLIGMSKEHLGIALALNVPIMVIITK IDICPPQILQETISQLRKILKSAGAQKIPIFLDNMEETINTAGQMVSQRICPIFQVSN VTGENLDLVRTFLNILPHRVQYNPEGPFEFLINDTFSVPHVGTVVSGVVKSGVIHAGD TVVVGPDSLGQFTTTTIKSIERKRVQVNACFAGQSGSFALKRVRRKEVRKGMVVLRKM DQPPKVYREFVAEVLILSHATMIKRRYQAMLHVGAVSQTCSVIDIDRPFIRTGDRALV AFRFIQRPEFLAPGDRVLFREGKTKGLGIVKSVGYDPAHPLYPDAKLNEET ACHE_41287S METINRALDSASHAIWGETHPQHQQHGEEPLSGVQGQGKATDPY DAGNRDVQPGAPSTTSPTATTPASQKKSVRDPTGRSSEPLSPAVSEDADPQVGGYPRP GTGNGFTSAGLASGVPEEPGLDADPVLNGVDPKTQSQSQGMANATGVPEGEQRTVMGN NPPGLNSRTSMGPGLGAMGEPSTAVAGGTAGGAAGAGAGIGGGAAAAGSTAGRDGSST SQAAEQRASSDGGGGGQNAQYGKPNGNGGHQVSEEALKGPQAPPPREKYEFEKEMEGK PANKGAGGGGQSQPQGGKAQSGNGGHHHFKAMEKVKEKMGRATKAGTHK ACHE_41288A MDFGDGERPYKKRRFFVDEDETRATDSTPRNLDASSASRPEEHD NDNGQKNGTRQRSFDQSDQELKVSRLPSSPITPAEHQDETLQRHTNGAVRRRNPSPVD RIVEEFIDGKNGEPISPPDEPDQEAESTTPQVDNGGFDTELFTSIVGEQLPSGTVDKI RTASNNNVETAVNIYFDGSWKKMSRPSRTTQSALTSRQRIPTQTTPFMRPMVGTTNGS RTTASKEKIPAYLSTQPSSRYIGAFGVAAWATRSGTGLLKHGERVRIERTRSQPLEKR GRGGKIITNQKVDVLTRFTNQAGQEIGRLPRDTAEWVSPLVDQKICTFDGICVFVPDR LRVNDTVYLQLWCYLRKEAFMPRTFVGTADDNRSTGVFEEKESMEEKNLRLRQVGLVK LFDEIGLYATTTNDMTKKHKKEGLLRAAEMSEQYDKTKKENKTNNSSQDENNEEPELE KDQLDALYKKAQTFDFNMPEAQPSSTFAMKLRKYQRQALHWMLSKEKDNKSESTRKSM HPLWEQYKWPTKDADDKDLPVVEGIDYFYVNPYSGELSTEFPAQEQNCLGGILADEMG LGKTIEMLSLVNAHKYDPGDLYLRDPSMTQPDSSGVVPAPYTTLVVAPTSLLAQWESE AQKSAQPGTMNTMVYYGTEQSTNLRKLCCAKNAASAPHIIVTSYGVVLSEYSSHVLRP GWQTESWYGSLFTVKFHRVILDEAHVIKNRRSKTALACYDLNATHRWVLTGTPIVNRL EDLFSLVRFLKVEPWNNFSFWKTFITVPFESKDYARALNVVQTVLEPLVLRRTKTMKT PEGEPLVPLPRRTIIIEEVDLSEEEREVYDFIYTRAKRTFNDNVEAGTLLKSYSTIFA QILRLRQSCCHPVLTRNKAIVADEEEAATIANASNGLQDDMDLQDLIDRFTTAAGGTN EGQGQDPANKFTTYALKQIQNESSGECPICSEEPMIDPAVTACWHSACKQCLEDYIRH QTDKGQPPRCFSCRAPVSSTDIFEVIKHQSPNSTPAENEIYSNTPPSSSQPASRISLR RINPLSPSAHTSAKIHSLLNHLSRVPPNTKSVVFSQFTSFLDLISPQLTRAGIQHVRL DGTMPQKARAEVLAQFNKTETYEDIDEDPTSTPFLTTHSNLKPQTSQSPNPTVLLISL RAGGVGLNLTAASNVFMMDPWWSFAIEAQAIDRVHRMGQLRDVTVTRFVVKDSIEGRM LRVQDRKMNIAGSLGLRVGGDGDGDGRKERIEELRLLFE ACHE_41289S MSLLRPTIRNCTGRHLCLASRTKRYFSQSSLVASPAAGAAEAEV QAARRYCVELLAKYDRPSYTLSTFIPPNAQTFYLALRALNVSLSLIPDTVSSYTIGLM RLQFWRDTITKTLSGTPPKEPVAILLASALSDLHERTKGQARISKGWLLRMINSREQT LTNDPYPNIAAMESYAENTYSTLLYLTLSALPMTSVTADHVASHIGKAAGIANILRGL PLVAFPPPPAQSPTQVGGGIGGGAKQGAVLLPLDIMAQTGVKEEEVFRQGAEAPGLRD AVFTVATRANDHLITVEQMLGNLRAGQDVGHDFEHEGEEGHEYDVFQNQRQESPLDEV NRAFGVFMPAIGTRLWLDRLQKFDFDIFKPELLRSDWKLPWKAYLAYTRKTL ACHE_41290A MSSGIDRNLYLLCSLWQTSNAVPPSCYKSMPPFPSQCLQIESST PSSLNRRLFSPATMSIRSFDHAQAVGTLPGGSDTIDIEAHPRSPSKEDFPNFEDGPSP DTFTTATKVNSADTVRNRIRRSTTARSYHPEGVAPDPNWQPGTEPGIDTTKPLPPYSS DWTPSIPSHLHKRCEIIVVDFSQHEMRQYALDNDTLEPFLAREREPWVQCRWINVNGL SWDVIRALGNYKKIHRLAIEDLINTTNRTKADWYSDHAYVVLTLQKLIKLQQDDSDSE DEDADDQAAPGTRDRKSSVASDTSSVSLKWLSKRGVILEALKDLFKWSPKKSNRNKFK SPAGVRPAGKAFSKQASQLGANTIRGAPARSIQRFRGGPNEDRIEFMERHAVLATKGL CVTLEQVSVFLHADNTVTSFFETSADDIEAPIVKRLSSPETILRQSCDASMLVQAILD AIIDLAIPATMAYQDAIGDLELEVLTDPDIDQSKSLYILTSEIAILRSFMQPIVTVIN ALRDHRSEPVSTPGFGVFKSTPFHSNSASEPHVGTMTPNLKSLGGSSVTISPMCHTYL GDALDHCITIVESYDQMRRAADNMIDLIFNTIGAFQNESMKQLTLVTCLYLPLTFLTG YFGMNFDDFKGIQHSDGYFWKIAVPFVCATTLLLMRDKIQRYVVLLAQRRLITSSRKQ RRERKVK ACHE_41291A MDLGHYTIPPSSSISSPASQPPLPHSRSTRLPSSSPPSASPNSP SGSEGREKKRRRTIRGENSETPEFIDSEEEQPVESIDLTEVDKPSALDKVLAKQREDA VKAQHDGESEKGRSLLTAYKCPVCMDTPEDATTTVCGHLFCHRCIIDTLKFSEEQRAD SATKGPRGTCPVCRKPLARKDEAGPKRSLVPLKLQLTKRSRTSIPADV ACHE_41292S MLWNFSCAPYSRGIVRPCPQRLRSPLPVPLRPRSPLSFARFYAA ESASPNQDGKNLRRNSLSVPALPRVNDIGVQQLSDYVYAQIFPGNNRIPDPALVALSR EHLSRHDLLGKSQDPTDPVAFDFPALQGETKTLDEHFFRLGMDSSDPYLEYAKTYMTG TSPNMPREWVRRSGWTRYNSIDGSSEPVEAPDESMMTFDTEVMYKEHSFAVMACAVSP TAWYGWISPWLLGESDNPVHLIPMGDPSKPRIIVGHNIGFDRARILEEYDLKQTKNFF IDTMSLHVAVNGMCSQQRPTWMRHKKNKDLRDKIASEHNSAELAALVESKVLSDEEEE LWVGRSSVNSLRDVAKFHCDVTIDKSQRDYFGELDRPGILSRLEELLDYCAADVAITH RVYKKIFPNYLEVCPHPVSFAALRHLSSVILPVNQTWGEYLESAESVYHQRLVDVRRR LVDLCEEAASLKDKPDIYKNDPWLRQLDWSGQEIKMVKGKKKGDPPRPAARQKLPGMP QWYKDLFPKATGDINLSVRTRIAPILLKLSWEGHPLIWSDKYGWTFKVSQAEVKKFEN QPVVPCDMTEEKIQELREDRAHTYFKIPHKDGPQARCINPLAKGYAQFFERGSLTSEY ALAKEALDMNASCSYWISARDRIMSQMVVYQDQIGKPQADENNRLGFILPQLIPMGTI TRRAVENTWLTASNAKANRVGSELKAMIKSPPGYSFVGADVDSQELWIASLVGDAQFQ LHGGNAIGFMTLEGSKAAGTDMHSRTAKILGISRNDAKVFNYGRIYGAGVKFAATLLR QFNPSMPEKQTMEVATNLYKETKGAKTTRRILSENPFWRGGTESFVFNKLEEFAEQGR PRTPVLGAGITEALMRRFINKGSFMTSRINWAIQSSGVDYLHLLIVGMDYLIRRYNIA ARLAITVHDEIRYLVKDEDRYRAALALQVANVWTRAMFSQQVGIDDLPQSCAYFSAVD IDHVLRKEVDVDCVTPSHPHKIPHGESLDIQQLLDKNQEAYLDPSIIPHSPPDLEKYD YTPRESVMSSLQATYDPAFIRAQICKDDNELREIVKEAMKAKAPPTSTASSSSRTSRT NVKSPAVPPAAGPQQAILLDVNSGLYNDFRNVPPHGNKHSHVGFQRSTWKSKPTARA ACHE_41293A MATHNRGIVVLSGGSAANNLVDVFNAVRESKDCLLSYIIPISDN GGSSSELIRIFGGPGIGDVRSRLVRLIPESPPDSERAAIRSLFNHRLSADAVEAQRSW YSIVEGTSDLWKRITPAKKELIRSFFNMLNLEILKRARPPASTFDFTSASVGNLFLTG ARLFCGSFESAIYLLGNICGVPETVRVIPAINSNFSHHISASLANGTIIVGQNSISHP SEVTALQPKPGSRRPSLLLADGDGDDSLEATEPPDAADAVSYEDDHLPGSLPTLRNKN IQFSKSDNEDLPARITRIWYINPYGQEIRPPANPRVLEALRDAQAIIYSIGSLYTSII PSMILRGAGQNIVSSSARHKILIVNGSLDRETGPSAEPFTAVDFVEAITRAGEESRGR GPLEFSTPPAISADKLPYNSYVTHILHLEGPGTPHVDRERLAGMGIETLRLYGRKIVA NNGKTGEVVTIGMKYDPKALVQALEVVLGKRGDGILRGAAGSCLGRRNTLDPGRKREE KREAS ACHE_41294A MNLWLLGGNGEVHAVLLLKWKKVGSTDKFTGEAELYNLGANGLP VLAQSRTVFPAPPVQGPRNILLPRVAIFGSYVPDANPKDMLSLSIDDLRTVAKQALEF TCLVPA ACHE_41295S MTHWKDIAVWKGIPFAATTGGQNRWKAPQPASAWNGTLDARNGG NVCPSATSRDNYMIDEDCLDLNIWSPANSTNAKLPVVMWNYPAMSTAVDALFDGGGMA DQGIVFVNYNHRTGPFGWLAHPELSG ACHE_41296S MLDQFAALKWVHANIDAFGGDPSRITVMGQSAGSAATRHNLNSN LTRGLITGAIIESGVRDPRNPLYTSLAEAYITLNDSYATGVEYLSSLGLSSIADAREK SMDELLNATISASGDSISFSATLDYYAMPDTYWNTLRRQLQPEHQRYRVLVRFELNIL EPLVQALPLSVSLQRLKTTASGAYNSMFTDRSKVGTYFWSRLWATARSSPVYNYFWDH APPGQSSGAAHESEVNYVLK ACHE_41297A MEEIRLDRAIALLSSEKLKDRNEALSDLKHVLQQNKQSSKLHAV DDKACHKIFECLFRFVAVERTSYNRASSKGASSTRLSTCASVLRIAVDVFLRNLRYKT LRAIVDHITETLPTPEEGLWEPLSAGYTKCLVALLRYPPHVEHLSSSEWGKLMSFCLR SLGVMEDDSQTSFRDSFRSSLDDYLDASGRSTPSRPTSSLTIRDKYTGNKSVIGEIIV CIQLLTASPNAPVQASAEKILHGLAEYVKSSPAAGSGQQAAFSSINSVVTRVLFDQSG LAQEFLFDLIPVIRRLWSTKLAGLRDELLGTIMLSTIVLADAARREPSESLSHLIESL MGTLYSEYIKRPEKEILQVDELVFNHKISANIDRVITGPLLGNAKSEHNWSLVWVISC LLKLLEDIAARLSTPQVREEVSNKKQRFTSEIGDVLRDSFSSLGTKRICALQLIPILI EGQADIDTKALLLQRLTPSILDDNGTVSSWTMVAISSIASSRDAKSPSLNKYWHQVWD LASRASTSQSTSRAACNLMNVILQFDLLEYSIMAEKIRSMLSSVNLSGPSTLTDSSLA FWAVITRMGAQINPGSMSNVSKQVCAWLREAWTIGTVTDRIQTAQVAAFARPLDLLNL LLACTNRPFELPHSPFRGPTGLIAKHWHFFHSNRNLLNYLFDLEGAMCPVNPWTIAET LSLEQSTRQDPNDAVVLNLLQAKSELFLQTWQSLSEEKSHHITVDVLQILASFCIMTA LYVESLPTQAASQLQVLRRNSNTLWNNICCFLARGETTLIGACLEPLSFLMDSTTCFF NSRSVVSRALSSLVPPISAILENERRSQGELSHAKGDEAMDLDDPLSSADKSSAVIDH IKVLNREAMPLFFDAASFQRCMTIRLSIFQKGEADNDKPGRFIAHTDLVGYLTQLDEV DYLAAHHCMPDVYRACSRMDRDTLLKILEDIGEKCLPSYELERCESFHSLCIRMMASW VSSWTNMQDDTLSESASDMYTWFMEVLVARRKASSRVHMALSDLLGAVISSNPTYTND QSSPSPRTSLLTILQEGDAPVKFNAANLLPRLFDQFLLKDHDAIFDDVLESLPRDPDW DEGIALRLYILAQLASHWHTLLRRSIYHMFETPAQVPHSLWYAEKCLCQVAEALGLED ARELFRLFSSQILYTWTESQSIKSMPFSLFGYTSLSDMLKDVQDEVVGQIMMRANGDE AAELSKCVNIPFDRLLEGSFYKAEAYSIARDISIPPGQGHHPKGVETGVKKALGTDRF VKLVEKEFPSVIATFFRTLDHYGQIERAFSKRENFQAALDILQRITAKSSSIITLSAN QQPSFRARYLLDELEFLCRRSGYEFETIWTPTLVTFVGRTLIESIHPALGSLHACSVI RKIRILVCVAGPVMLQEYPYEMIIHALQPLLTDIYCSEDALGIFWYLLEAGEPYMTEK PCFMAEVAVSTFASLKNFLESSPESTAQENQFRIALAKIREFIKWFIGFLDGYSSPVL DARRKEAFRRLLKSSQHISNTGASLNGAKEHELLLEILRDQNAGKSLLSKPISDHVVS LLCADSKVLPDYRSAIIGNANDPVASTTAIYKTLQNFKPGPEYRLWAARVIGGAFATT GKISDVLSREQDPFLFDIHDLPLMDDWCTSKARIIRVLCNMLQSSSHFEVGLVERTLQ LIVSSIQETQKFNGCAGVIPLSLMNSLIWNPYHCPALPLSTSEENWSEQQTSWPEVSA DSFARKIALFLSKSAPRNPVIGPLQKVLNAIPGLASQLLPFIIHDILLSELYGEARVR DSLSDIFKEALYEVEDNKIPHVRLVIDCILYLRDQPRPRETKIVDRDEWLNIDYGEAS AAANKCHLPKTALLFLEIHASRVLSSARRSSLAKYEPPSDLLHDIFKDIDDPDLYYGI QKKASLDSVMEMLEYKSSSFKNLLFQSAQYDSDIQMGDGSSRHGILKALNSTNLQGIA NSMVSISGDTNDTSGSFDSMLQSAISLRQWDIPVSPVNSSPAATVFRVFQSLNTSTTL PEITTCLDECLLSTLDSLTRTDRSATSLRTATRVLGILTEVNDVVSARSIEEVSQGSL DIMTRNSWLKTTSVHEIGEILSYHEALFSSIKQKNYLKSAMKLSDDDAQLLEVKVIRR SLEITRNHDMPQASLKSAICLSKLAEACSSKGINIEGAAKYDLANVLWDQGEMTTSIR MLQQLSGQKHLHRQAVPISRAELLVTLGHHVAEARLEVPEVIIEEYLDPAVKELKDRS EGEDAGRVYHGFAMFCDQQLQNPDGLEDFKRVEQIRNRKERELDALESLLKKAQGRER ENLKNHRAKAKQWFDLDDMEYQRHLKSREAFMQQCLENYLLCLRESETYNNDILRFCA LWLDNSDSKIANKAVSQYLNEVPSRKFAPLMNQLTSRLLDITDDFQNLLTTLIYRICA EHPFHGMYQIFASSKSKGGKDEISLSRHRAAVKLVDSLKADKGIGGTWVAIHNHNIDY VRFAIDRVNERYKSGAKIPLRKLPTGQRLEDDAALQKLPPPTMNIDLRVDGDYTNVPK LAKFLPEFTLASGVSAPKIVTAIATNGLRYKQLFKGGNDDLRQDAIMEQVFGQVSSLL KDHRATRQRNLVIRTYKVLPLTSNAGIIEFVPNTIPLHDYILPAHQRYYPKDMKPSTC RKHIADVQTRTNEIRVRTYNQVAESFRPVMRYFFMERYNNPDDWFDKRLAYTRSTAAI SILGHVLGLGDRHGHNILMDEQTGEVVHIDLGVAFEQGRILPIPEVVPFRLTRDLVDG MGITKTEGVFRRCCEFTLETLRQESYSIMTILDVLRYDPLYSWSLSPLRMKKMQDQQE AGGAPEFPRAGDQQAYNEPGEADRALKVVEKKLSKTLSVTATVNELIQQATDEKNLAV LYSGWAAYA ACHE_41298S MEERESLVGLRGLYQDLSALSDSSLVNIDRLRVELETHIDDFRK LLDKPAKNNASRQAVMSGKITLDDVEYSVNQEFQQGVLELADSINIDELQAAKMFLVA QEEAQLLDRPPLIAAIMRFHERRLFLLEALRLVFQESSFEVEKEMTQELMQEVVAHVL EIKGAPLRNASLYARKVMSSMEDIEKTLILLGEQIQKASIVGQAGDPDVMEAIEYQRN SLQQQHESLGAILCYMFKGPYTSPEEIRHLLNRLRKLDRFDGLLVHYVPSIISSFVKH GSPESAASYKEARSLHTAITASSKDGQVWILPAFHSAVIALWLAVYSGWDYDGPSSPL PGVDLEKEAQERTKMFMTALDDGGLDFILAVCAGVNNEEQGDPARGELVALLLKESTA AMPESDSCSEYLKKLLMENLEVFVESCIANMPDAVRMLKTEEDTQRLDQITALRDGLT SSLHRGLVEARTHLESFLMVMAFAFDNRADAAQEFWADPDGNLYGFLQWASKRQTVPR VSAFCELLCSIAGGEENAIAAHRFLSEEDKFMSGKFRRPTSMNWSQMFAELQLYASRV IEKPPTTSQAILRARKPESADMSEPESPVMLTCYLRLMGHLSQQSATIREWMLHHPSF NLVSTLLTLCSGPIPTHLRATTFKTLAALMTDRSTINGHEMWLSLDQWISGGSMGASG LSKAPMVSNPLVWHEQQAFQKISESFNQANAFVGLIHTLVSPIIDTSGNPLSLSFPES LGSSYRMPGIEPYVDFVLGQALSKKVPDLNEQQARLLTYNCLDFALACLRSFNENLVT VLSQPTVASDSTLKTSSLVTYIRLHPFARVAEWLFNEEVLKALFATAHQDIMEVSRAP SESTLVLGLLRSLEVMDEMMELQSTYLNIVRPLIRSQAGGSRVNVANSALSSFEDSIL NNLTIVPALCLYCGTGHEQLTVTSMGLLEKLSSSKRLNKLSSPELSKWRPSNKIVEVL SAEVDVDSVSRPLVTHMQPELRELESGSQSSGYVIREGLLALLNTCLGMITDRPTVAH LLLGFNSVGNMLDVAPDGLFANQMSLLHAVIGFLESNPDEVDGNILPWMVHLKRMAFE VLKHLWSSKLASFFTLSEMRSNQFLVNMFASQPIIGTNTMWNGFLIVTEEFWLSDSAS ALAEFLLYRSFLYNYAATEIRSAAKLGSSTLQTEILSTLLGTSRVETGETVSHPTVFD LFDFADLDIAHQLLPPKLVFLDALDLEVCAKPQADESLVLYDVDDVEELIQVRKEDLL DNGQLRPQDEELFLVEAGDVILFVQSTNRNRQISYNRYLALRSWAELITTMVNCSDID GGRSPTFILHSIQMILPKLETAVEEDLPEATELARLAETLISNLESTTSKAKSTRSGD IIDDKLHQLFQICIRGIILATGNVNLRETFYNICSHYITRIASPDPAHENLRRHSQQV VKTAGLALIEATCDDAYSGQETCRVSALLLLNLLATLDNQADSILAESISQSNYLGLF LDAIRALPIELRNAQANDTPLLLSFYQSLLSLLQQLCQTKNGAIHVIKTGLFDAVRES QLFAADPDIGIDIDNPDALRKYYDLLLAVLRVIVSAVFVRGLHNEQMIEQTRAFLAEN RQSMVGIFKRFAKIGGAGSADHHETLSDLTKSYMALVAATGFLDFEDQEVMEQSSTSM FS ACHE_41299S MVSLAPKNFYGSKPDVEDADEFIDEVEQYVISGHGNLSSEEMAT KQDIIEQDLIRVFRHHLEGQARRWYYTTPKELRRSWPNLRSFFLATFPSRALEMDEYK KGLRREFGSLEQLPNESVSDYVYRWEVLAKQIQCLKAGDSDPDFLIGQACAGIKDMEL SQHILSIAGGSEEIPFSRLRRFSNLIEAKKVDMEGRGIHLKLNKPQKPSRVSTVDCAS SLRVVGSNTFG ACHE_41300S MQQNSRGATEHRTGKRKSIGKRKNPEEEISSSSQRQQPQHPLPQ QQQTISELLSRNQDRGHPPYLSPKRARLSPTPSDSSSSTRPQALRSPTSMYNFSNSDS RAGGAFGQSSSGPGTYNPTAKAGPAFATSRQSNFTPHTGAKKLVVKNLRTTPRLNQDS YFEKVWGQLDTALGTVFGGGKPETSLEELYKGAENVCRQGRAAILAKKLQDCCREHVS GKLRETLVAKAGGGNDIDTLRAVVDTWATWQSELVTVRWMFYYLDQSFLLHSKEFPVI REMGLLQFRSYIFSDAILKPKILQGACDLVEADRSEGNDTTADSSLLRNAIDLFHGLD VYISDYEPLLVSRSKDYFSLWTQQETTGYLATFVENSHRLIAREVNRCELFSLSRSTK QKLSEILDEVLLSQEDFLLDKKDILGLLRASNKTALEKLYALLERKDLGSRLKPAFGS YIVEEGSEIVADEDKEGEMVPRLLEFKQQLDDTWTDSFHRHEELGHTLRESFDAFMNK DSKMETKMGEMIAKHVDRLLKGGWKLPTRRKLEDMPLADEDAEINRQLDQVLDLFRFV HGKLVFETFYKNDLARRLLMGRSASDDAEKSMLAKLRTECGSSFTHNLESMFKDMDVA RDEMAGYSSIQRQRKHRLPVDLNVNVLSAASWPTYPDVQVRIPPVVATAINDFEQFYH NKYNGRRLHWKHQLAHCQLRAWFPKGVKELMVSSSQAIVLLLFNDVPEGGTLQYPQIQ GATLLPDVELQRTLQSLACAKYRVLSKRPKGRDVNPTDEFAFNEAFTDPKMRIKINQI QLKETKEENKTTHERVAVDRHMETQAAIVRIMKSRKTITHAELVAEVIKATRSRGMLE VGEIKENIEKLIEKDYMEREEGNRYQYVA ACHE_41301S MQTKHFFSDPSHLVTTALHSLTVTNPSLAFDPEHKIIFRRPNPQ GKRKVAIVSGGGSGHEPAFAGLVGKGFLDASIAGTIFASPSAEQIRKGVMDYIDNEEG VLIIPMNYTGDVLNFGMATEKARAAGIQTEFFAINDDAGVGKKKGGKVGRRGIAGGIL ILKMVGALAEEGGSLKQVFDLAQLANENLASVGASLEHVHIPGRPIPEDTVPHDEIEV GMGIHNEPGSHRTKATLPELVKTMLFQILDHNDPDRAFITHNAGDEFVLLINNLGGLS TLELSGITDEVHRQLDDDYQIRPCRVLQGTFLTSLNGLGFSVSLLKVVDTGLGQGKGM LDLLDAEAQAVGWAAPIKRETWNQRAGSHVEVKKTKLAEEQPSNVKLDPAVIKKVLGS GLKSIIAAEPEVTRYDSIVGDGDCGVGLKRGAEAVLAFLNDPKANIKDDVVTAVNRIV TIVENTMDGTSGAIYAIFLNALAHGLRAQDKGTATPATAQVWAEALKYSRDALAKYTP AQPGDRTMIDALVPFCTRLTETKDLGAAAKAAEDGSEATKYMHASLGRAVYVGGEEEW VGKIPDPGAYGLSEFFKGLAAAVI ACHE_41302A MSAEPKIQDLLNKPKSELTEYEVALVEEHEMTAGPLSLLQTATR THTQVLISCRNNRKLLARVKAFDRHCNMVLENVKEMWTEKPKGNKGKGVNKDRFVSKM FLRGDSVILVLLS ACHE_41303S MESQDGISVRPMRLKVLYTFDDANKTNCLARWPNLLEIQTAFLD EKTQIGVIELKTCIQAIVSASPELVANLGQDYTVYAYDYSEYETPLVGQGMLSWVLSS STPTPNAHDSQSKTMITGRVCKNVLGLFSKGAQETLEVKLRLVPVPTASQSEYFDSMQ RYRELSNIIPHGFDPQTWTNYIRQNPDILAGLRTQQPDRTVSSPMDHAGIERFHQILS EGSTPRDFPAMTPTESFRPLSPAQSSASFVPPSRHSTPGGQHSSQRQSLQQQSQPQSQ QLESENRSSQHDMIRPSSSASMHDSEFPTQMSFAGRRGSVHSGYGGGEESEPHQRKRA RVYQTGQPGKADFNIEKQPSSLRVAASTAASVRIHRPTPLNPSSGIVESSIEEPIRPP TPISGPNDLPRRIRPLTSSLRESFQPSTRYTSPYPPSDDQPSGDQNAHSPEEPRYHGL FEPSFSMPSSPPVLDGGIPTRSSPNLPHLATDPDSGFMSGGIDELLDDDLGTPLDDCT AGLSNDTTRNKQTVRPAISANSPASAPTIPGRNRSDSFLMSEGPSEQHFKESAPPLPR APASASGSRPSSRASTRPGPKPLAPAPISQSELEQLMNAVPASDPIPPPPPPPAQPAH SCAGPMSDISAAETPAPIVIPDDDGKVRSKTGARRSKQIHDRLDQCIRSGQAPPYCGN CGAIETPTWRRAWFKEVVGSEEDANDCKTADTTVLFWKALDRDDEEKVTKFQICKKTL LDNDKDFDQVSLCNPCGLWLQKFKSMRPEHKWNNKTQNANSSKRKRSQRRTGPLSNMN ATTRIQPLSAKPAASSPGGTDASSPAEEGPTPRTEHDKDDNESQNLPSKRRRANSLEH RKDQDPMEALRRAIQSSPVRNAPLTSENSLTPKPVRRNLFPSQNEGPLKALGESTVNS PRRSPRIASRESDKRPQDKENHVPGVHGDLDCLFEGPGFELEFPASPTPKRRNARSGE KRLALPYNSPTSRRKNVDSDLSPTKLTAQKLQRIQNSPSRQSKSLKQPRSDGHAFPSL PGNSLDNIADIFEDGTNGDSSFMFDPSKSDWADWMPSDYVSPVGSDAEQPNGGDQDLI NLILSDPNFQNENNPFSDSSLFGSDFLGLGVKTNDDKENHASSNDASASA ACHE_41304A MSLQTPRVLPAHLHAFHPSSGAPSTHTIRLLGTVSALHGDTATI TCGGNGDVTLVLRPDSHLQMGKLVEVVGKVMDLEGNGLGVRVLGTMDWGNPADCDYKI YEKVVEATHKLKPIFYDSNE ACHE_41305S MPPPLPSHHRGMTANPTRPARYRPGKPIAEEPSSDEDEDEEDEA TIKAREEEKRKRAEAQRRQRQQQQQQQPKASSFPAGAITKGVKGVRIEEPEQDEGEDE EGFVTDEGEDEQGISGAAPAKVAPRVTGAHAPAPIAKDEDEEEEEEESEEESSEEESS EDERPRVLLRPTFIKKNQRKPDTAQDTTEADTAAEAEAQQAQRKEKADMLIREQLEKA ALERSAANRQWDDDEAEAAEEAAIDDTDGLDPEAEHAAWKLRELKRVKREREAIEASE KEREEIERRRNLTAEEREREDREFLAKQKQEKDATRGQPGFMQRYFHKGAFFRDDLER EGLDQRNVMGRRFVDDVSRETLPQYMQVRDLTQVGKKGRTRYRDLRSEDTGRFGEGLD GRRRRDGPPIGITDERFMPDRVDEKTRPTGANASAVRERRRSRSRSYSPRRGDRGDSY RPGGGGRKRSPSPYEDRDKRRRTEA ACHE_41306A MAHPKHGSMLSFGGGSNSTATAEKPPFQNAPVPTASQSSPGLRV PSNRKTIYDRHLNRSRNAELSRASFAFLFSEMVTYAQRRVTGIQDLERRLNEQGYPLG LRLLDLLFYRTIAGSSSSSSSASALSTSSTTSSPPNRPLRILPLLHLIHGPLWRLLFN RPADALEHSVSPDTPNEYMITDNDPMVNTYISVPREMSMLNCAAFVAGIIEGVCDGCG FEAKVSAHNQPSEMWPGRTVFLLRFGESVMEREKVLEKAGVK ACHE_41307A MDNERESDALSDGSSACDTDPQNQLEVVFYPDSSHRRKSSLVAA DNYHSKPQIDKNEDTTACFVHSLIAEEWVSPSRGIPDPGGDQPGYSGDEAAILDDTTL PTGDEAIPVVNLGPKPIGGRGAPTIVQSRHLTKRQLSDMAWNVRKLSKKLGSIRLKLT VKTVFLVTKAHDESLISLTRKVTQWLLSSQRDTQYVVYIEKRLETHPDFAAWQIEEEE PTAKGRLKYWDAQSALENPHLFDFVITLGGDGTVLYTSWLFQRIVPPVLSFSLGSLGF LTKFDFNDYQNTLDTAFRDGVVVSLRLRFECTIMRSNAQPKEALSGTNKRDLVEELIG EEVEGTLTHTPDKVVQILNDVVLDRGPNPTMSQIELFGDDEHFTTVLADGICIATPTG STAYNLAAGGSLSHPENPVILVTAICAHTLSFRPIILPDTIVLRMGVPYDARTSSWAS FDGRERIELHPGDYVTVSASRYPFANVLPQGKRGEDWVHSISKTLNWNSRQKQKALH ACHE_41308S MAHWQPTFQWTPSWPTFKPHNNTAAPANRRRAITTAMSSSSNMY PSTGPSPSYDPSFDPTLLRRGRRSRPMSWHPAGHTTPNYMPPSTTASINLSAMALPQQ MNDDPLFYTDDMILPSTSYSLSGLPLSDEPLSMAPFLPMDDGSQVEPSAWDGSMPDLS TTSHLSDAWSFDMMSMNNNMPSLDATSGYESVPSSGEVTGPPTPDLLPMQPLESEAQD DKDELVGMGLYNQPESFNGGVLGKGLKLEETFTPLSPNTTKDGEDDDEEANNDQSVQR TPEQSQYYEPLKNPVKPDMNLLSQSFLFDDEASFDQHVITDTQPFLPLEQSCMNYGYG WI ACHE_41309S MAKVVFTPWKTQAQLLAVRDQFYPPPTYDGPDLRSQACAKVSIW KLRGSLPHPIEATALLTDAILHDDAGKNSVFSIRATYSAAFCRFVTGLVDAKIPGMRK TMFQRAIDLGLPASFVELRHEATHRELPSLIVLRHATQRSLEWLWDYYWAKVDPHAAA APPVSALVPADAEEIDCDDLGVKKSILDTLSPLVKGEGEEQQPPRKKRKAQYQTTTAT QLVSICKALRKGAVLLSRVLLDESVLVPAGRRLGDSLHDTFIKWEQLLQMITESHSAF LSTLTEEMVNDLAFSTQTKDTKNNACHEAIYTWLDHILRSTEWKSSRRLLSFSYLLAA CEANPNHWTDMLKKVLLKEEVKRAKGAVSLSVPRMQTRTEAMILDGNADADAGALREL GWESLELWDSRPLGVA ACHE_41310S MTLTRTLIRPSIRFCQSRAQKTPQQTRKMHVHSIPMWTGKGNNY AYLVTDEPTKHSVIIDPANPPEVAPVLKSQIKNGTIDLTAIVNTHHHWDHAGGNNEIL KQFGKLPVIGGKDSASVTTTPKHGETFKIGERITVKALHTPCHTQDSICYFMEDGDQR VVFTGDTLFIGGCGRFFEGNAQEMHKALNETLAALPDDTKVYPGHEYTKGNVKFCMAV SESEPIKKLQTFAAQNPQTQGKFTIGDEKLHNVFMRVNDPEIQKKTGKTNPVDVMAAL REMKNAM ACHE_41311S MDKMATCKGLPVDDNGNPGDPLSTKSRVLETGARMVQDFTPVKQ ICAHLNAFHVYASDPTRCVEANHYCAHVTEDVRQCLLYESDKPNAKLIGIEYMITPRL FKTLPTEEKRLWHTHEYEVKSGMLIMPSPAGVPNAAWEAAETAEMEDIIPLYGKVYHF WQVDRGHPVPMGPPQLMGSFTSEERVKSANPEGLRALVKERDERFGVDVSVKAEKRGY IPSPEKDPEADGMWR ACHE_41312S MDHFIRSTMRRSTPGGAFRLVLDGVGLFCACTLIWEHLVTVQLS EGPSMYPTFSPRGDYLLISRWHKHGRGIAVGDVVRFYHPSFLGVNGAKRVLGMPGDFV CKDPAFSTEAGMDKEMIQVPEGHVYLAGDNLPWSRDSRNYGPVPLGLVNGKIIARVWP LSKMQWVRNPMQLTELSDE ACHE_41313A MAHCERASKPLLQCLWSTPTRGLHGLQSARAFHSTSVAREEAQA ETKSQPFHKSPDPELVSSPRLERRLMRQGITPVGSRRRRAALQNSPNVPFEQLPYQCF QEARNILQADRIEKLKDIERIREKIAKYEALNPEQAGGKQVQNSKLGAWKEHLEKLKI QADINDPIVKRKFEDGKGDMSKPIYRFLADRKWREYRRKILVQRITQMKVIPDVLPHC DPVVDAKLYFGRDLIQPGEFVNSKASTTAPKLDVQMFGGGNKLVTIVVVDPDVPNVEK DGFDYRMHYLAVNVPLSATLTKVDLSQLSTESQVVLPWEPPVAQKGSPYHRLSVFVLE QNNSQPLDFAAIKAKETERHNMSLRPLQTLYHLKPIGAHLFRNQWDDNTLEVMKQIGY PEADVELRRKRVEPLPYKRRNPSTMR ACHE_41314S MPGSEALRMFRWLSRSPGFFARPEATTIRCLSTSVSRSTTTEAQ PSTEYLTREAPVTSHPLLNPEPVKATAYHFPSMEPLRFVEYSRNHLLMPLRKDILHRA VVYEGDKTRQGSANTKWRDEVHGSHRKLHPQKGTGRARVGNKQSPIRKGGGVAFGPKP RDFSSELPRKIYDQAWRIALSYRYKRGQLIILDNEISIPEDATPHLITDMFKANNWGR EFGRSTLITEHLDEHLFSTVREVGQHAKILDRPDVDVKDILETGRLIIEKKALDRLLA THSRDLNSKPARALY ACHE_41315S MLLRNSLCRFSTPSSWISRASPSIAMPLRAKVTNPAFGVTAKMS TLAELTKPQEGDETDDVLFNSLYGVRLVELNRPAKFNALNGSMARKILPRLKEWEKSE LANVIMVSGAGEKALCAGGDVAALALQNEQGIEGQKKSSDFFGLEFRLDHAIAGYKKP YISVMDGVTMGGGVGLSVHAPFRIATERTLFAMPETTIGYFPDVGGSFFLSRLDGEIG TYLALTSERLKGVQSLYAGIATHYIDSSVLGNMTQRLSELVFKDYSSLRERLDLVNQT ISEFSTGLPSIQEQPILLAGNLRNAIDRCFQYNSVEEIFQALEREAEQKEWAQKTLET LSSRSPTSLKVALRALRLGRRWTIDETFQREHGIAAHFMRHPDFVEGVKARLMSKPPR QASWQPATLSEVTDEYVASFFKLPEGESRMELFGDAEFREYPHAQYALPSEQEIERFV RDTSKDRNETVNQFVSKWGQKEGVKEKVAEVLARRTVSDGKGGLKWD ACHE_41316S MSPEETEESLMESSSVAELLLPGPDSESPRSSKRVLVRLYISHF LSTWNSRMFEFGAVLFLASIFKGTLLYASVYALVRSLAAVVCSSWLGSVVDRASRLGA VRQSIVWQRVPVAASCACFVVLLDTVTTPTIVSHGLFVGVALLACVEKLAAMANTVSV ERDWAVVISDSLEVSRQDLNAYMRRIDLFCKLLAPVFISLLDGLSTKAAIWTVFGMNA VSVVIEYGAIAQVYKAVPKLARNENGDNMLEESESSTHSLVGYIKQTTIIPWREYTSH SVFLASFSLSLLYLTVLSFGATMVTYLLHTGFSPLEVSGMRIGSVVAELSGTWAAPFI MDRIGPIRSGLWFLNWQSCCLAAAAAGYIFLDASSRMVAVTLIVGVSLSRIGLWGFDL AVQFMVQEGIEEHARARFSSTEMALQNVFELLSFATTIIFPRPEQFKYPVLISYGAIV LAVICFAGYVRKERGHLLHLSKLCYGGDKMQRLNNSLNLRHS ACHE_41317S MEPPRARGTGRSGPRRRTGCLTCRSRKVRCDEAKPHCANCTRLR LGCIYDQFFFGRVMPRRRSRTATVSESDSSGTPGPPLVPAAEAPATVSVEETSTIGTP GRANVNFLDTVLRADEQRQREFGTGDNAGGSGLTGPFDMLGFIGEITSELGQKQLNIL NGVSDFVSPTLASSGEQQTSINDGFPTEPIDGIHAETPEVSVSEDGTQATYEEQLLMY FLEIESLPTIFGPVNMEWKYARPALLSQSLDSYPLLNAVYCYADVHKAIMEGKRWKLA PTYHRRASLGIQECILGDVDESILKRVFAAVFLLMLSELLSSPDLCRPGTSFLHSAYL LLQRFRNRTKPWTGLAHLTVSWVSLLDVKALIAGRDGDPLIELGQLSEPNLSWHADNS SMHSARAKEPNGDKDLLSRPGYLIYDAIAGPVFRFYIQAQQVIRRIIYIDLHHRSRGT LSDEFEVLQIAHKVGADLETLWNRRPRVLDIYDKPEELFDTLSPPVAIEICRTFRQYV ANFLASFIYLHRVAFAIYPRTDRVHGAVDQIIQLARVETAQQEQGNLVPMSFIWPLFV AGLEGSLEQRRWIVQEMRRMAENAAAPQQRHPNATQALMLLAEMTRRQDESRTGADSR CVRRELFADSFVMI ACHE_41318S MGRPCSSKLSRLNEDFKIDLAKSPALRDAWFSRTAMEGQIEVLK YLLYQHGADHTPVIEGSYAAIHGAAWCGHKEVVQFLLGTRRIDVNSKDENGMTALSWA VLGDRQEVVQLLLSTDQVDVNSTGDLGGTPLSYAATHGHKEIARLLLGMNQINVDSED FMAMTPLRWAAKEGHKEMVRVLLETGQADVNTKSEENGWCYTALEWAIENNDEGVARL LLDLGQVENGHLLDALSFAASRSNKKKVLLLLDTEHFEFNSLAENGGAPLRYAAEGKD EEIVQMLLDAGRLDPNGKDKDGRTALHAAARFGRIGNVQLLLKSGRVDINSKDEDGCT PLDLATKNRHKEVIPLLHAA ACHE_41319A MSSVTPPSYRQVPQLDPGDPGFLTPDATVAIPSSTSNDHAVARK AAFRIYWLTAVLCCGGALFGYDSGVIGGVLTFDSFQNDLNFTSDDKTRVSSIAVGIQQ AGALIGCFAVWPLTNRGGRRLAMMVCSGIFCAGVVLETIRSGTLMTFYMGRTICGLGI GGSATIIPIYLGEMSPKEIRARLGSCYQFTFTIGILISYWVDYAVAKLLPSSSSKQWQ IPMALQLVPGALMGLGMLTLHESVRWLLFHNANNHTQNKVLEEQAWASLAWVRGGGTD EVHAEFNTIKTGVETELQTRADFHLSELITHKPNLHRLFLGFTIFLAQQSTGATALAY FGPQFFAMLVGPGDKNLLLTGVFGGVKAVACFVFVVFIAERFGRKPCLIYGALVMSVC MVITAFVVKAHPPPEPLSPSSTSSDEGGIPTAGLITVLLIYLNIIAFNLSWGPLPWPC ISEIFPTRIREPGVAFGVASQWLFNFLWSSTTPYIMAGIGWATFLLFGILDLLFAGFV IGFLMETKGKSLEEITALFDGPSYLLLGEEDDGFKRSDREEQVPLRS ACHE_41320S MPRPNFLIIVADDLGFSDVGCFGSEIRTPNIDRLANDGVRFTDF HAAAACSPTRAMIMTGTDHHIAGLGNLIEWTNISGQNGPKGSQMSTAPQRGMPGYEGY LNERVAALPELLRDAGYHTLMSGKWHLGLTPERSPHKRGFERSLAHLPACSNHYAYEP QLQGNDETPTFLEASYIALHTEDDHYVKKLPEGWYSTDGYGDKMVDYLKDWNESKKDK KVEDRPFFAYLPFTAPHWPLQAPREYIDHYRGVYDEGPDVLRQRRLQRLKELGMIRED VEAHPVVAEEVKEWNDYTPEEQKLSCTAMEVYAGMVECIDANVGKIIDYLSSIDELDN TFVCFMSDNGAEGAAYEAYPLVQSGVLPHLQKYYDNSLENLGNYNSFIWYGPRWAQAA TAPSRLYKAYTTEGGVRVPFVARFPGSVNSSHARNHSITDQFATVMDLAPSILDMAGV PHPAPTYQGREVVPMRGKSFYPWARGDAARIHEKDFIQGWETCGRAALRFGDWKIVYI PKPKGPERWQLYSLVDDPGEIHDLAEKDPERLQQLLKLWDQYVLETGVIPLNPDLGEF LEATEAQMPENAWMEYDYWKKGARDEPEKFMRKPARLQRTVKQF ACHE_41321S MSMASTTGRHSALRAATADNGTGWDRGHEGLIVQPSSYLRPRGL SHPMAFVTAGALSERAIDREERQGLRAIRNFLKVRNSYDVLPLSFRLIIFDTSLSVKE SLNILIQNGIVSAPLWDSKTSTFAGLLTTSDYINVIQYYFQNPADLDQIDGFRLDHLR DVEKALGVAPPETISIDPERPLYEACRRMLESRARRIPLVTNDSQTDRAHVLSVVTQY RILKFVAVNVSDTQKLRKPLQELLLGTYDDIATASMDTPVIDVIHILVKKSISSVPIL NSEGVVYNVFEAVDVISLIKGGVYDDLSLTVGEALKKRSPDFPGIYTCSLTDGLDTIF DTIRKSRVHRLVVVDEHFRLKGVLTLSDILQYILLEGETDET ACHE_41322S MGFFNLFSYWSPPTNNNNSTNTHSPDKYEAGRQSWTFIQDDGIE IATQKTTPSPTMDAAFKDLIYADKPVSPEAIEQDLQRDSKLSWRGSMRLSGIKTLLRP RRHRHSGSEALSESDRFDSTIEQPMQRNSLGVFTRFPDTIGNKRVDSDETPTQGFSSQ LAIPEQRKVSDKTVETMASFESEETTSTVCRHPSKCPAAQAILENRGYVYENPFGDFR QLSGSSNLSTDANTCSARPSISEYGDDNLWADHGLRCSKRRAGNLPRRSSIFRDISNI SNESTWSAHIDQQKTVEAFNDMAGQLCLRPVTFGEEAHAGKAKKPDFSAADKLHKRDK ILGRIRSMRSNIQMRSQSIPPETEQRGVRRMKTFANLSSRPDSFTALKGKSLETLARL GGYSYLKPQADFAPAVLKLPVCLAATATYLQRYGHEVENLFFDPGDLKTASRIYEHFA NQVLSAEREEDKIQTTMRSNQMPADIIEPLQRDTTPKQPPPYVLSVAWVFKALLAGIP DGILGSKELYQVLVDISYGRQPYIFSKRKSKNQSKAPPEAEVDRQSKSETGSQSKQQL VSPSEPQVKAQKRPDDCLEGLTPWEHTQTKAIALAILSLTNKMHLELICAVFGLCEVL LHEVQRHIEDQWVRNIPRKERLRPSWAAGLLDVDRLSRTLGPLLTNRISHGDEKLCSE YRMVPSALQEERVVRMLLEHWRGVSRQLRWWEHCGCPPERVILPPEEEEQSMNQDEQQ EMQIEIEGVEEERRAEKGTSGL ACHE_41323S MSLNMLDQSNYARHGLPMPEGVSPGLSSALQGDFLGLSLPEEDS HWGIGPISPSLPSWNGKHEQAFTNPNLERDFKHTRVRNGQPTPPPYDDARSSQEIYPP LPQYHLGASPPTLPDHGHRRSYSEHSGYDDDQSYAGSSSNSNKRRKTSRSSAIDFDDS HPDRAKREKFLERNRLAASKCRQKKKEHTQQLETRYKEQSDKKETLVVEIARLRHEIL GLKNEVLRHAQCGDEPIKLHLAQMVKKITYNDTSTTAAELSDAADAVSTSEEPSTPTA QQQPQQSQQQGVMSFGFDDALQPAVLEQQMRRDSEASLVSHPFSSEDNFDDLINV ACHE_41324A MAAEPSETANAPVPSPESQNTAATAAPTAEAQAKGELELKLPSS AADGLIQKPFPKPLNTTHIPAPEPLTEQQQGLYNQVLKEVSKWSTIPTTSKKNAPTAP ITDDERLWLTRECLIRYLRAVKWDDKKKKDKQKVDEAVARLQSTLTWRREYGLEKLTA DYISIENETGKQIILGYDINGRPCHYLCPSKQNTEKSDRQIQHLVFMLERVIDLMVPD QETLALVVDFNQASSDQNASPTQAYQTLQILQNHYPERLGRALVINMPSWLSWFFKAI SRWIDPVTKEKMKFNEDLRQHVPADHLMKTMGGDVEFQYDHATYWPALIKLAESRRNG YYERWIQGGKRIGEYENYLRGGPQPSLSQTQGDSGEKS ACHE_41325S MDDTTAELNELFAASAPDGLPKDVLTELQSILRVQLISPQELFY KWESYCLKMGAEETKMNLETVRLFKRDVQDSLERESRGRAGRQTEKRSTVTATPRVGM ASDVFGMLDGLTPNTKTPNSAKRKADFASPSISKIGKSEVTPVKGKTADGLQPVTFAE RQNPGQTLETLNSHLPLSETPIAPFPEARIRPTANTDLKKFGYKPMAMRLSEASEILD DRIDELMSLLQPQYESEDIAFGSASIQSTSEIIAVGRIASDSLEGKLNTASLVLETSR RTGAGLRVPLKLDSVPSANFFPGQIVALRGINASGNYFTVKDVLPIPTLPPAASSPVT LDGITERVGETPLNVMVASGPYTADDNLNFEPLHEICQKAADSLADGLVLLGPFLDIE HPLVASGDFDLPDVNGYDPDTATLATVFRHCITASIQKLVAAVPTITIVMVPSVRDAL SKHVAWPQEQMPKKELGLPKQVRMVSNPVTLSFNETVIGMSSHDVLYELRREEVVHGR PKEGNLLTRLSKYLIEQRHFYPIFPPTARENLPKTGTESGLATGAMLDVSYSKLGEWW NVRPDVLIVPSALPPFVRVVDSVLVINPGTLSKRRAAGTYAQLAVHPRTFDDEERDQK QMSHKIYERTRVDINRI ACHE_41326A MSKDSSITNLHMHHPRLADFFEDFTRPHTSPTSTSHNPQSHTHN NTTVTYGSSSPTLVPSFLPIEEIYVLPQYQPPNPEDEDDVVPDQHAAFGITRAMERRR EAVWRDLGMEGIVAGENIGAGAGRGRGRKIRVKESGRLMGGRRTICLR ACHE_41327A MTNPVDPALASSLSAPASEVPAQQRQSEASSNPSQPQPQQQTQR SKESGKPKKEKKEAKPKDTKDATPAIDGAEKLTGAELKKRAKAEKAARRAKEKLDREA GGAPAPAGGSAAGGSAAGAGAGHPATPKKGHGQPAGGSGASGDKGRGLPRRPSGQTPG QPSAAEQKKKAEEKNVAVFGHLYGQQRRATVAGAGKEVHPAVLALGLQMRDYVVCGSS ARCVATLLAFKRVIEAYTTPLGTSLSRHLTAHLSHQITYLSTCRPLSISQGNAIRALK LAIASIDPSVPEADAKETLCEFIDSFIREKITVADQVVAGSAAQKIKDGDVIVTFAGS SLVKQTLLNAHKQGKKFRVSIIDSRPLFEGKNLARALANAGLDVQYSLMNGISHAIKD ATKVFLGAHAMTSNGRLYSRVGTALVAMSAKERAGGVEVPVIVCCETVKFTDRVALDS IVVNEIADADEMVTTHPPQQVTGLPDPAAAAAAAAAESSSENKKKASKTPVPPTNASP LEPNPALKNASSPLKDWRDTPNLQLLNLMYDVTPAEYVDMVVTEMGSLPPSAVPIVHR MSTSL ACHE_41328A MTEIPKEKRLELAIEAFHKGQFPSKTACAKAFDVPPRTLMTRLD GTVSRQHTIANCRKLSNTEEESLKNWILDMDKRGLPLRVSNVRHLAQLLLSARSKPSK DISISEKWVSRFIQRHPELKSKYTRQYDYQRAKCEDPELIKGWFNRVQETILRYGIAE QDIYNMDETGFQMGVASTAKVICGSETRDSHAKSIQPGNREWITIIIAINASGHALPP QIIMAGKKHQSQWYSAIPKEYRISLSDNGWTNDILGFEWLQEMFEKHTASQTAGRYRL LILDGHSSHATASFDQFCTERRIIPLYMPPHSSHLLQPLDISCFAPLKHYYGQKVREM AENNIHAIDKQDFISIYSSIHGRAFSKANILSAFAAAGLIPFKPERVLAKLNIKTPTP PSSSSSNQSFYLGRTPVNLYQLNQQKKQIQELQSQSLSSVVAEQMLEKFIKSTEVAMQ DAILLRQGFHQLHTSNKHQKGKKNMTRAFIQDGGSLTGSEGQQRLIEREAIQEPSRRP RRPARCSNCNEEGHNRLKCPAK ACHE_41329A MMGTAEQPGLIPRTCEDLFQRIEASETPDVSYNVRVSYFEVYNE HVRDLLVPRTDPPNYLRIRESPSEGPYIKDLTEVTVKNYSDLMKHMRKGDTSRTTAST KMNDTSSRSHAVFTITLKQIHHDLSTDETTERTARIRLVDLAGSERAKSTEATGQRLR EGSNINKSLTTLGRVIAALADPKQGRSGKRKSRDVVPYRDSILTWLLKDSLGGNSKTA MIACIAPSDYEETLSTLRYADQAKRIRTRARVNQDHLSAAERDKQIAEMAETIRTLQL SVSQAVANRRESEVQSERLEDYQQKVEKMQRLMEETKMVSECKIRQLKTENEALRNHL KLAIDSLRNPIPPVTIEKRKSSLYALGEDEESENPDLTDDKEDDSSPASDEEPEPDLI WEDDDTIEVEAGQIEAQDMQSHMEDLLSDLSMFKRKLASDHERFRSIRDQQNRKQRRP LSDVMLNE ACHE_41330A MPSSKTDTAIRLPENADQTRRLRNVTQDRKAVSRMQNEANSSKN KVEDVNCWGTGQLLATGIDESANPVPDPVTFGNGRKARQNQQQQKDEADVYEAMNQQF D ACHE_41331S MKSDIEAHPEPESPTKPSSLAQLWNQSISPVYADWIGLVLCFVT GLCDSSAYNAWTCFLAMQTGNTIFLGLGASSQPFNKPWGWLKSLVSIAAFFLGATTFS NTTRIAGARRRGTLFVSFLVQSILIVIAVALIEADLIPHTSQDASLTGGPLFLELIPI ALLAFQSAGSITSTRALGYNEIPSVVLTSVYFDLASDPKLVSGPTGNVKRNRRAGAVV MLLVGAIVGGWLSRSAGGMESALWISAGIKFVIGFVWFGWWGEQ ACHE_41332A MYHYNPPPPGWSAYDYASPPTSPAYAYYATQYASPYVSSPRGAS SKRHTRKASYAGPSAKDWGHPASYSHSSYYDFAPEFGTPPPSRKHDPVSASCGYPPSS FSHRRYSAAADPRPPLKQRHAFVDVVDDVPRYVFHDRRSRSPPPSYSTPQRDSDRRPR RPSSSHRKPKPPTDQYFYYNQVPAYDDAELSAKRSRARRQSTSTRTPSKPKSTSSTKT TPVATEEDAERAGIPAGYSTKNWDPTEAPIVLLGSVFDANSLGKWIYDWTVFHNGAST PMADVAGDLWLLLIKLAGKVKRADECLDRIQRRESRETVADFLESGERLWVRFKKLLK ACEHFMWKVAKREGKAVSMGRNAGCEFVESLFGRDRELENTEKLMNSIRLWNMRFDAN CDEILRRPSA ACHE_41333A MTLASNSNRLESTSRPRSRKLPTNSSAAPRKRRKRTVASGASDD CFTCAKRSTRCDRRRPYCSQCLDSGRECAGYKTTLTWGVGVASRGKLRGLSLPVTGAQ PTASSKSWPSPSTDPPPEPVASSSPPRSIPLIAADATPLESTPPSVAPYQQPSQQLSP ASDTVQNVMPWPRGMPLAPEVWPSTSMYSPAAYSPSSVTQIDFGESPAANTPPYFTVG TEAVSQAPMAAQWPGPEAQEPRDHDNSFSWAQFPSPSYSQMLLSRSVGHTPRLRYLIS YYAEVIAPMIVAFDSPTNPFRAQILHLARDSESLQEAIATLSTSNLRQRQARKTMSTE RTPASRMSCMAHRALTRDDLGNHSASEIAQEEQYHRGRAVRALNAELADPQQRLSDSV LATLLILCLFHVCDTGVAQFKTQFAGVTKLLAIRMRASGRVTDDLKWFIRIFTWFDTM TATTNDRESHLRGTCLDITSLSDGDWGLEQLAGCDASLFRLVAQLGRLNLLSQHRTPR GPAPPEMSVPTTTLPPSMIHPQGVFVVPCGVPGAVDLNGFPTATPPQVNVPSPWPTSP AFWTEWYSLRQKLEAWRYLPHGSGTRPAPPYISPPSSPSSLSTVASQHYEEIFHVSES FRHAAILYSERLAYPDLPSSHPRIQNIVQVAMHHLTAVQSDAYLLWPLFITGSECVLE SERSLIRQRCRDISRDSGFCNNLSCLDLLEKIWAQNATTDGYGNWRAPSGALAFERGF RWQQAMRSKRADAEYMVV ACHE_41334S MALRLARLRSILLAMITILLISAIYLYWIPTPASTPASVVPNTA FQVPLAERQSSFWKALHPILQKNAPANPPPERRGDVGAIHFNASSTDPRPDLILLSDQ DRNAMQVAHENFVLDVRHSKALRPVHKPGSRGIVSTAGGSYMPVFLSSLRMLRRVGST LPVEVYMKDSTEYEKRICAGILPKLNARCLVLADVVGKEAIAHYQLKVFAMLFSSFEE ILWMDADVFPLYKPEEIFDADPFKSTGLITWPDFWASSVSPAYFALSQQQEPPMSVRQ SSETGVLMVSKKSHLTTLLLAAYYNYYGPSHYFRLLSQGGPGEGDKETFLQAASAVEE SFYAVSERVAAIGHPKNGEDGISGSAMAQSDPIHDYILTSQDKYRVQDPSVAEPPRVF FIHAHYPKFNPAENVFGMKWETAPTLRPDGTDGRAWTTPEDTLERFGYDAEKAYWEEI KWVSCNPDVEFRTWNDKPKICARVENYWQNVFAEPHQDDPKFGG ACHE_41335A MQSRTSGAGLSNVGHGAIYEAGDQRNVPQSEINERERYEEGQHR SHKNIDSKDDRSIANKLAAQSQKTDSSKASGSGYDPEAELSKRNPEAPAVLHGNPPSR GAQVDHNLQQEDEQRLREKGIK ACHE_41336S MTFPTSLPAEVLTEIFNSCDTLQQAIALGSACRRFRSLWLSISS LIIRRLGRAEIRAFDDALIAVRATAIAQKHLANGNLPPDSFPFHQLSPETNLPSHDEL LGIFGYEHLVKCFENIVWHDLFKGTVGLEDFDENTERWETWRVGFHASMYRLFLVGAA LFRAYQEPFFPYNDDHPRDFLQTLKTAISEEQYFVQSAFDLNDIQYLLNYSAYNFGDH EGHQQIFGPLVEFLVRLSRARAHGHQLKEVPSLFESMGFTSPPGLDYNEACTLFAELV QLLFAYYQLDRLYVKLVTYQKRNGECIRSRTRLRQKVHRQPKKTTVIIPGVFYPDEFD MPRRIEDAGKLLSVRPADLSTKTAVLSTDFPYENLDILLQCLHRASGQPNKYDDFFST HEPHYEFIQFLCRRHLGLRFSEEAFDPMKEESHYSLWEGERNVFDQTTQDIQAAAEMF TSADTEYESYFEEMECMI ACHE_41338S MTVEVAYQNLFQTLESRFQSVTSIDASKWPILAMATLVAGPDPD QAHNLYLYLLNQPEYNKPGSEARKVLIRRLRETLFKAIPLVGVCKPIEAILAISNVER EEDREYTFTRENWQCDAANHGRAIEWFQKLYAGNSSGTLDLFRSHRDFAWLSTEITYG LFLSDRQVLDDVDTQLVVLPGIMSQNLKTETHWHIRGTRRLGVPKEDVQVIWDCVQLV AEFFGIKLNRVPTVDAVEPDV ACHE_41337A MASNVETAIEPHKTFDTILVLDFGSQYSHLITRRLRELNVYSEM LPCTQKIAELPWKPTGVILSGSPFSVYEEIAPHVDPEVFNLDVPILGICYGLQELAWH HGKNVLASDKKEYGHANLNIHRQAGKEGSIDRLFQGLDDQIQVWMSHGDKLAKLPDNF EVIATTENAPFAGIASTIKPYFGIQFHPEVTHTPRGTEILKNFAVDICGAKQEWSMEK FVDKEIERIKTLVGPNGQVIGAVSGGVDSTVAAKLMKEAIGDRFHAVLVDNGVLRLNE AQQVQETLEKGLGINLTVVDASDRFLNLLKGVTDPERKRKIIGNTFIEVFQETAKSIT DAAAGSDKEGNIEWLLQGTLYPDVIESISFKGPSATIKTHHNVGGLLEGMHLKLIEPL RELFKDEVRALGTNLGIPHDLIWRHPFPGPGLAIRILGEVNREQLRIAREADVIFIEE IKAAGLYQNISQAFAALLPVKAVGVMGDKRMHEQVIALRAVETRDFMTADWYPFDGEF LKRVSRRVVNEVNGVCRVVYDITSKPPGTIEME ACHE_41339S MGKRKQLKDSDVVMGGADPSAEGDESDEEMDIVNVDFEWFDPQP AVDFHGLKNLLRQLFDADAQDFDISALADLILSQPLLGSTVKVDGNESDPYAFLTVLN LQEHKDKPVIQQLTSYIQHKASSVPSLAPLATLLSQTPVPPVGLVLTERLINMPSEVV PPMYSMLQEEIAWAIEEKEPYNFSHYLIVSKGYEEVESKLDMEDARPNKKKKKSGDKV EQFYFHPEDEVLQRHAQCYGSYEYTHERDEGHSDSKRAFQELGIKTTGSLLLIDASKF EDAVKDVTGYLKPPV ACHE_41340A MDAAVSLGDPSKALDLANIRFQLIRLEDTITFHLIERVQFPLNQ TIYVRGGVSIPGSQLSLLEYLLREQERLQSRVRRYQSPDEYPFFPDALEDPVLQPLRY PKILHENDVNVNETIKQRYVEEILPAICPKSEQGDRGEAQENYGSSATCDVNCLQALS RRIHFGKFVAESKFQKEPERFVKMIKENDRAGIDAAITDSKVEQKVLERLGLKARTYG TDPAFPVESGPKIDTDAVVSMYKNCVIPLTKVVEVEYLMQRLKGTQWE ACHE_41341S MEKHRRDESPSGLSDIIEQDGLLGTGLTSRHIEAFGRKVTSTAG HLMGPSGDSTNSGHYHNAMVGIHRELRRPNTQRKVFSLTQTTPTDLVRSKLSTSEIQS RAVCALPDELLANIPDDSSSYSLFEGFQVSQEDREYRKSHRRRTSKGKQLEDGKSAGG LPSSPDGLKKEREIHNRRLEMMGVRKNMCSSEIHDIDNKIANLHNMRKIVLDRLAGLE MDEAELENELTEIDNKLEDIQEEPPETPKTADTPRTFETSDNSSASGDPAMDASFMSE SIYQKLPSTSPKSIKHKSTRKRSMPVLHEHFEPGSMIKEIEAHTDMVTAIDFDYPFGT MVSAALDDTVRVWDLNAGRSIGFLEGHHASVRCLQVEDNIVATGSMDASIKLWDLSRV RTVSRSSHTGKHEEDEDDVFSSPPSTTMEDCFVHSLEAHVAEVTALHFRNNTLISGSS DKTLRQWDLEKGRCVQTLDVLWAAAQATSLGTESQWRPTGRTPDASADFVGAVQCFDA ALACGTADGMIRLWDLRSGQVHRSLVGHTGPVTCLQFDDVHLVTGSSDRSIRVRFFFL FCFPDG ACHE_41342S MPPKRAVNRRDGPVARSNDHGTRSYLAEISGPQATNPNLPNIPA KPSWGYGSSTAPVFPRQLSIKSGMNVDDMAESIESGVKNAQDRDENGQENPPKANPKP RANSRQTRRSVSASVSPARRRRRREPTPDQVQLLGTLRESTVSPNPHARDGLSTATPS PPIPHAISTESSPPPVPPYPTLPSNEPLYPSPLLRLDSTARPGAPREGSPQQEDSLDN ESVISWSLERNIHEDDLQRTRPARFREERHGRNLTAPPRRFSGLAFANETIQEEDEPE SEASAKNSPTPEPVPEPQTQSNAQPSPPPERQPEPGPAPAPEPAPELASEPALELEPP TAPTRTIIPGINLRETSFLESSPPSPVQSVKAAVKSGVQSIPRPPFSFRQSQAARIAA ILILGTLSVFTVFTFSEDLADISSGIRSRLPFGRQSPYIPLDGTAMDAIHSLSKHVAR IDTRVSSLSGEVATVRSEVENMPPSTTVVEPVPVWKATPTPRINFLTQSMGVEIDPQK TSPTVGLPSNFFERATHRLMEPATSLVRWLFSSPEPLGPLAALTHWEDAGDCWCSTPD SGVSQLSVVLGLPMTPEDVAVEHIPKGATADPESAPREMELWAHYVVRSEDSSTSRSW MPSFLSSSKKSPKRSGSTGFSDQYLPREKIMDALRIAYRGEPDGFFSDDELLGPTFYR IGRWTYDINSPDHVQDTNLAAVIDSPDIKVDHVVFRVKSNWGSDSTCMYRLKLYGHL ACHE_41343A MKDQTPNEKPDVSLGQDGDTAHPQPTSDTLDPLNWSRLQKHTIL GIVMLKYFLFTYITTTTVPSFPGIQSEFAINYAQVNWTVAIPALGLSVGPLFWSSLSE IYGRRIVFIVGTTLALVSTIGAAVANRYDGYMAARFFQGFGVSPASTVGMAVVNDLFF NYERGQKLGLWVLAIDSGLLLGPTFGGFFNLLGVAWINWFNAIFFAILLVLELFFMPE TLYPRNRMLQPASESSTETGITTATDPEKAFHQTVSSKAGIPRTKSLSFLNFRPIPGM RHPKPWDSVIRFFLTFRFPVVVIAILGYSFLWYWWVLSVITMVPAAYASYTPLIQGLL FLGLFIGTLVSEVCCSGRLSDWLVDRLARKNGNVRVAEMRLWLAYPAILVTAVGLILW GISVDKNYHWMVGQVAFFLFAAGIQVGNTVTSSYIVDSYPLQSTSVITFYAVFLNLSA FINPFFISSWQASSGWTWTFTAQALIVAGGGTLVFALLQKFGALMRASAPLPSWVNPD FDSSV ACHE_41344S MDRLPLERSDSVSVASEAPTEYTSLLPKPLDEENCNDRVDYRED DSIPRIRLIVAEFWVLLKGSIPVILAYTLQNSLQTMSVLVVGRTSPENLATSAFSFMF AMITAWMIALGGTTAVDTLASSSFTGSSNAHDLGVLLQRGFFVLGLFYFPVAILWACS EPVFLFLGQDPDLSRDSARFLTCLIPGGLGYIFFELMKKYLQAQGIMRPGTYVLLITS PINAALNYLFCYTLGIGLLGAPLATSISYWLSFFLLVLYVRFISGSECWGGWSREAFQ NLGTFGRLAILGIVHVGSEWWAFEIVALAAGRLGTIPLAAQSVIMTADQILNTIPFGV GVATSARVGNLLGLRSPSGAARAANTAAWLSMSLGAVVLAVLMGTRNHFAKLFNDDDR VVRLTAEVLPLVALFQIADGLNGSCGGSLRGMGRQHVGALVNLISYYCGALPLGVWLA FHGWGLQGLWAGQCIALYLVGMLEWAIVALSNWNVEVDKAFKRMDGMQ ACHE_41345S MMLISKTTLLALAAVAAAHGDHRQEPLAGPHKGLWYNTIPGDGG TQADSAFSGISTFGRLPYFPCLASEDEKYDIAFIGAPFDTGTSYRPGARFGPSGIRQG SRRLNLYGGYNVPLEANPFVSDLRILDCGDIPVTSYDNGWAIQQIEHGHNNLLMRKPF TNADEYGLSRAGKTLPRIITMGGDHTITLPLLRSINKAYGPVTVIHFDSHLDSWKPKV FGGSPSEVASINHGTYFYHAAMEGLLRNDTNIHAGIRTTLSGPSDYENDGYCGFEIVE AREIDTIGIEGIIKKIRDRVGTENPVYLSIDIDTLDPAYAPGTGTPETGGWTTRELRT IVRGLDGINLIGADIVEVSPAYDTNAELSTMAAADVLYEIMTMMVKKGPLSIPTRKDL ACHE_41346A MAFAKLTVALALLGEASAIQWPLSLPKIPQIPNAATERKLVDSA SLQERIDPDNLLARAKALFQVAESSVDEYNHPTRVIGSEGHRGTLEYIYETIHALGDY YQISTQDFPAVTGNVYESRLVLGHDVPKSAQPMGLTPATKDNQPVYGSLGLVSNFGCE ESDFPDLTKKIAFISRGTCPFGTKSELAGKAGAVAAVVYNNEEGPLSGTLGTPTPNHV ATFGISDEDAAPYLKQLKHGKDVDGIAYMDAVVNTITTTNIIAQTTEGDSENCVMLGA HSDSVEAGPGINDDGSGTLSLLEVATQLTNYHVNNCVRFAWWAGEEEGLLGSDYYVSV LPADENRKIRLFMDYDMMAGPNFAYQIYNATNAANPIGSEELRDLYIDFYESNGLNYT FIPFDGRSDYDAFIKNGIPGGGIATGAEGIKSKKEEEMFGGQAGVAYDSCYHQLCDDV SNLNLTAWEWSTKLISHSVATYALSFGGFPERTDVESKTLLAAPTKYHGHSLQM ACHE_41347A MSPGDASVEGFVLMSLGLTFIIIRVVVRWTSVGPSNFQLDDYLM PLAGIVFVLETVAAHLVLAKFNGLTNSYMTPEQRAAVDPNSEEYYSRVWGSKIQVMGW SFYAMILWLVKFSVAIFYSRLTTGLQHLPTRVRIAYVLLGVTYLATGLSIVLGCQPIQ KYWQINPDPGNICQPTKSLLYVLVVVIMNVITDLYLLSIPLPLLWTVNIGLRRKITLM GLFSGAAFVIMASIIRAVVILTAGPDGAISGSRWACRETFVSIVVSNLPIIQPIIRRA CNKMGLSGVFFSTSGRPTNSNTYPLSSRGLQTTNKDKKKNNNPPYIPETTAWGSDEHI LAVESGQAQSKDITVVSETVVQSEPWHDPGPATTPPDHWGSPLGHR ACHE_41348S MASYDFIVVGSGPAGSTLAANLANASQKPKVLLLEAGGRNEDRS LRVDGQRWLTFQNKDMNWGYKTSAQSDCNGREIDYSRGLGMGGSSAINFGVYSVGARD DYEEWARIVGDDAYSWKPIQRRLKALETFHGDLPEGIDSKYASPKADDHGTAGPLHVG FASEWERDLPPLLDVFQQAGFPLNPDHNSGNPIGMGVLINSSHKGVRSTAADQLVLCS DNLTVVTDAPVQRVVLDGKKVIGVEAKGQKYLASKEVILSAGSLNDPKILMHSGIGPE DQLQKFNIPVVHPVPAMGKGLRDHCFVPLVNVRKEQSNDRRAFYGDKKVMDDALEQWN TNGTGPWSKFACELGIGWFKLDKLTSSKEFQELPESEQKYLLQETVPHYEILTHFPIH WFIPDFPNSALDYSCLLVFLFNAQTRGEVTLQSADPDVPLHFDPKFLAHPFDRRAAIE ALRDAFRIARHEDYVKDNVQELAGPKSDSDEDLLEYWRQNISSSWHMTGTLKMGKQGD ADAAVDSDFRLMGIENLRVADMSVVPVLANCHVQAVAYVTGATCAEKLINEYKL ACHE_41349A MTSLANAISDGFRARRSIYSLTSESTISDERLEELIAEVLKHTP SSFNSQTTRIIVLLKEENQKLWEIAREVASSSIPPELYEKFFKNRIGMSGAAYGSVLF YEDPAPTKALSEKFSILKGQFPEWASHSTGMHQFATWTLLEAEGLGCNLQHYNEILQE RASQQWSIPEEWRLTAQLVFGKPAAPPRDKTFEPLDQRLFVYGKST ACHE_41350A MAPIKDTNILVIGGTSGIGYGVARRCLVEGANVHIASSNVSRVS ESIDSLKQLFPDAHITGHVCDLGGQDVEKRLEKLLTTVRPLDHLVFTAGDNLSIKSLK DIDLETIHRAGHVRFFVPLLLGKLAPRFLRPGFRSSFTLTTGSVSEKPLPNWSLVAGY ATGLHGMTRSMALDLKPLRVNLVSPGAVETPLWGPNGVPTGTEKSTALGKVGTIDEVA EAYVYFMKDTNATGSIISTNGGALLL ACHE_41351A MDKAHGPRLRFADVAVTYTADQFHGIYRGKKYHESDWAEVLDRA RQYGCEQMMLTTMNLAGAYQNLDIVQQYADMCTMTLGVHPYHAGEIYEQGGYLDQLRE FGQRVLGEHPACLVAFGEIGLDYEYLDRADKQTQKRAFRDQLDLAVQMQLPLFLHVRN SCSDFIEIIKPYLSKLPRGGLVHSFAGSKNEMLQLVDLGLEISVNGVCFRTEEQLEMV RHIPLNRLQLETDAPWCEIVMSDTIAIYLDNARPLPASRKHGKFVAGQMVKGRNESCT MERVAMVVAGLKGVSVKEIADAAWNNSVRMFGLPN ACHE_41352A MCIFPREGIISLYYESERGQQRKIPDLPYSKLLATVYPTLTLGL GLAAPSPDEHIKSSESIAHWPAFPDAVEEFSYLRKYYKLVVLSNVDRASFARTNAGGL QSDLVTTAGDISSNKPDLRNLKYILDSANSAFDIDADQVLQTAQSQLQDHHLAH ACHE_41353S MSDNMNIDSPQRHMMTPNSASYGVGGSGPSSAQVPETPTPATRI RDRPSTPSTVRPAAIQPMEAQPTQAPSIDISSIVSSVTQQVMSEMIRQQATISTTLST QLREYVDTQTQQQETRWHEIQQSILGNQTQQHQREPEQPVHPPTEQAHQPESNRNPRG HATAPQTPNPPMQAIPSPQPVIELEPYCSYTVPLARTGLN ACHE_41354S MAKFLENSRWYQAYKELASQGKLQLPEYEQNENGEFLVKPGELF CRYPDCDKRTTEFSKTVNLRWHLKHHRDVQIANSGTGRFKQVEKDMTNAWYKELVESN QIMDESKDEEQSKEDDQEHQKPYVPWRKDLMDINRIKVRAIAKALGVFPCDACQEAGI SK ACHE_41355S MPSSPASFGNDLPRSNGTKGWVVGGRFCEDSARLELYSSDRGRP DEVNFDFPPTAVESRHLDETKEDVLVLDFLEGDRENPFNWSWARKSFISILLCLMTLF VGLATTAYSSGVTRMTRDLGVSTELGQLGLFTFNFVCAIAPLFLAPFCELVGRRVVYV GAYILFAVTFIGLALGKNIATILVCRALLGLFGCVGTILVGGTFSDMYMPDKRATPMA GFSYVAILGTVAAPIYAGFIDETIGWRWIEGIQGLSNIPLLLLVAIFLQETRGGAIIQ KRAKLFRQQTGDERYKANNELEAPTLKHMLHNSSVKAIHMLLTEPAVLAFGLWIGFAW FVTFLFLSVIPITFQEKRGWSEGIAGLPYISLCVGTSIGFVTNFIQIRKYDAISAARH RRLVPESRLYGAMFGAIWLPIGLFIYSFTQYGYLHWIGPTVALAPIAIGIFYIFESTY SFTSDCYGENSSSAIAGQGLLRNTLGGVAPLFASQFFHNVGSQFAGLILALAASVLAL IPFVFFKKGKQIRARSKLTKPQQGDKS ACHE_41356S MLVVDPENNPYSFPALEYMAESPALVHIIQSISAHHEQYFSRAI VTAFEERGKALLLLRKELEQQPRTGSQASLLLTMMLLAMSHGVDCDMADFEREEPSKW INAHQALREVTPNDIHRFLNYCLKLKYGQGGRHLKGTKKASALRADWKSLRGYYRKIT RNKISQDDSEEVNAGIRSLVDKFQLDTQERDKTPVYIQDLTEFTETILRTQEKRFYVG YERIQLCIFTMLGIYTVNRLSALLSLQFKHLQFSIQRDPLGGPPVLLVEIRSEHTKQF LGISQLNNFPLPEIIDDPSLIFSPHVFLFGTLFWLNAFAAPRLNSMERLRELLIEDGR QQMVLPLKPEIKEYYLFCMTRVVDGDAVLQWNQPMNESTMSGRLNSLGLIHGWLHSMF AHRFRYGGGKMLNESGAVSEAQQNLIMKHADIRTFLDHYLPRNIDTDMQNIMNGRDPN TTLMRAITRMSRWIDKRRPRHLSDKQRASLRQHPDYLEAVRRRDKRARAIKHNSSPQM QSQLDRLSREVTNTFNRLCRALRETTRKEFDHKQAVIDIERQLSGTAVNDDEAKEVLQ NEAQMLPDQIHLLEKLLTWPTSRSLEAEWQRRNVAVMAVTKYCSVREGGPLRGRSKRK VPSEGFDDEQPVTRKHNAGGHCSLDLSPPPKNILQKAEEHIRTAERPKKCFQCYGDDQ LPDHRRAQEWTEYKSILRHFRSKHLKDRRCDFCETELLHEMHLRNHAAAIHRLVT ACHE_41357A MYLAARGIEGITGWTEKQWNRIDIAGKGGGGMDDLFSLLGATLL KFLFRPTAKKFMEERARRTLISLDPTGPKGPELVTPKEYMDKIAATVLDPEVFSAYIE ILKENGISMDENTLKSLLKEAGQQAERESEQEELDWNKEFYDDIKDLETKVFADSDAV KLLKLYNAAESQNAPALSGDKDSTGPDNDNQSKEQQRVEMSYRVFAGKILVEAGLQTL K ACHE_41358A MVRKVIEWLFENESKPHATQEWYRKIRDNPKTYENCFVISFTRV KDLDSKWKHEYVQFVVEDESQEEDKDDSNRTRVYAERGNAKDLDWVTVGVNDKDTNKR RKDLPLPLTTLLCERPQAQIGRHCLAP ACHE_41359S MRKHWRQVHQWSQQTRRGRVGQRERTQGAAELRRSFTTVAWQQI FPSGPGSHYIHIRFPEGHPPPPLPPADQAQRAVDAIITAWDQARTAQEQQAVIQADRI TDANPWLRRTGWARYLEGVHPQDLLRLVEAPPEEPPDPIEQAIQAIWNAMGQLARRSQ QTVQRCGTGICMEAARTEAGQTPYRPLQAYMDETSVQKHVQAWQQVLGFIARTQATQA GQGMPEWCGPLPVYGMTARQQRKWQMLWQLAMPTMARPQQAPHRARARAVHMFPGAGR ILEQGGNPGSYRATEGRGVSPGDQPTAGHGVSPEHVEEAEETGNAGSTEPAWMMSPME RACLEFCIELLNQRHRAHEYESPLVCAMAVLGWGETGWRDPDSYPPFYRG ACHE_41360S MVVQKALWLDPHVGDIIQMWQAQASTANGTVNGMVNGTPNGTPN GTPNGTPASPIAWPLASADAQLADIDEGCDSASPTRSTPTTVHDRPSFHDHVQQMVSR FMIRGTHGPMQTLLDWRTYGLKIHYNSTAPGHVAWMGADELLYKDLHFTMGEFRGFIH RLVGATRELLCELLCIADGSSSAHTPSTMPLPAIPWQGLYDDPTQGHPGWNFLHDRRT RWPVDGRWWMIQRLRTERPVQQQFMRRGAIHGPLVAQYLARVARFKEKLAVAIHMTAG QPARAPELLSVQYVNTPNNQFRNVFIEDGMVTLVTAYHKGFHASNDSKLIHRYVPRAV GELVVWYMWLAMPFIDQLTAWQAGTAHGTVNGTSNGMSNGTSNGTWNGMSNGTSNGMS NGTSNGTLNGTRAGTVNGTVNGTVNGMSNGTSNGTLNSTLNSTWNGTQAGTLNGTLNG TANGILNGTLIGTQAGTANGTRASTVNGTSNSTLNGTWNGTRAGTVNGTLNGRANGTL NGTSNGTANGISNDTLNGTSNSTLNGTRAGTVNGTVNGTVNGMSNGTSNGTLNSTLNS TWNGTQAGTLNGTLNGTANGILNGTLIGTQAGTANGTRASTVNGTSNSTLNGTWNGTR AGTVNGTLNGRANGTLNGTSNGTANGISNDTLNGTSNSTLNGTSNSMLNGTTNSIPIG TLNGTSNGTWNGTRAGTVNGTSNSTLNGTWNGTPNGTVNGMLNGRLNGTSNSTSNSTP IGTPIGTQAGTSNSTLNGTLNSTLNGTWNGTQAGTLNGTLNGTANGTLNGTLIGTSNG TPAWQPPSPYLWGPDPGMQRPWTPERFREVLKRETQARLGQALNIPAYRDIAIGISRR FLRASSTFTSDRQDETEQAAALDADCEDGMDADQWMAHMTDLQAGHSSHVAGMVYGRQ LMEQAGTTSHRRAMFRQSSVDWHQFLGFGCGTGVPGDVHADIDAGGLRAGLVDEGSCP SRRPGQEQVRARLVDDPGQEWVRACLVDDPGQEWVRACLVNDPGQERVRARLVSDPSQ EGVRARLVDEGNRPIHHPGQERVRARLVDEGSCPIHHPGQERVRACLVNDPGQERVRA RPVLGKRKRAPWQVEAEEHHMERRHQLQTMDMAAALQQMTGQAGMQFQGIQAPAMAAI QQGKSPVVAVMPTGGGKSMLFMLPAWAVPGGTTIVVVPLISLRQDMQQRCRRLGIPCM AWDRQQPCDEAAIVLVTPESAVTPDFHSFINRLVVMQRLDRVVIDECHVIMNQQKNFR SAMAQLGKLVRARTQMVFLTATLPPEMEPEFSQRIHHPQDQIDIYRARTSRGNVAYGV WRPPIPHTAPHGYGWEQDARIIQFLQAQLQWARARGEDGDICQPGPPGAGDGGGIGM ACHE_41361A MVQAHTPGLMPSLMPGLITTHVPYTPLHITPITCLLVPVIPAML PPVSIHRPIQIIVQYHPPASPTCHHPHVLLHQLHLRCAQMSLILRPPRRLDNDRLAGL PIPPGSPTFLCIIQ ACHE_41362A MNINRAGHPPGPWRRYNIDPATAEPPAPQTPHPAMPGTVAGGIE CRPRPTGAAAGGGSGSGAGAGVGSGYGSGSGYGLGLGYGCGAGADLGSGCGSGSGCGS GSGCGSGLGYGSGPGYGSGLGSGLGLGYGYGSGMGYGSGYGLGLGYGSGYGSGLGYGS GYGSGSGVGYGSGFGLGCMSPLLCWNHTPFRA ACHE_41363A MVLTNPPSLCLPTPTPTQPPDSPAAANKTPQTTGYGPPGCAAPA TQSPPQCPRRRPAIPPVAAAMPPECPPPMAHAASSPPWGSKPPGTDTGRPAPTRRISS AASHRKNTR ACHE_41364A MDPFQELRNEFSSTIRALQNEIESIKNEPKPLQRPKPCLPDPEK FNGQSLKFDTWLASMKAKLRIDAPAIGDAVAQFYYVYLNLESKVQALVLPQLSYAEDT NTWDYNTILDQLSLVYDNPNKIQEAEDHLLALKQDSGESVAAYIAKFERILYEAKGKD WPDVTKISAFRKGLNPTLRGRLNQQLNLPRSYIEFLRVVQQLGSHSFSSNSTNVSHPQ SHQSGSHTKSDPMDLSVININSLSAASTSLDERNRRRQQGSCVRCGSSNHWVKDCSMK AHKESKQSWNQQMLAKLETNGIDDGGD ACHE_41365S MGGWWMGMVDGDGSEAVGQVWRQGLDGFGVDVEDDSGVVGFVGE EHGAAAWVGGG ACHE_41366S MSGSDQVTRRLRLYNRILQFGSPVEPRCEFCFLRGHTCIMDSKY QKCAECTRRGRKCERQFHDEKEWNRLEKSRKELRDKIRKVRESIATSYATLNRLERQE EYLNERGSRMLVHDSNMLERLDEENPPSAEDLQELERSANEEAARIAAVSKDLSLSQV MDSPSFWENFDSAVAGGIPSPTGGNQSSSR ACHE_50001S MNINRAGHPPGPWRRYNIDPATAEPPAPQTPHPAMPGTVAGGIE CRPRPTGAGVGGGSGTGAGAGGGSGSGAGAGVGSGSGSGSGYGLGLSYRCGAGADLGL GSGLGSGSGSGYGSGYGSGYGSGLGCGSGYGYGSSVGYGSGFGLGCMSPLLCWNHTPF RA ACHE_50002S MVQSHTPGLITTHVPYMPLHITPITCLLVPVIPAMLPPVSIHRP IQIIVQYHPPAPPTCHHPHVLLHQLHLRCAQLPLILRPPRRLDNDRLAGLPIPPGSPT FLCVIQ ACHE_50003A MSNGTSNGTSNGTANGISNGTLNGTSNSTLNGTSNSMLNGTTNS ILIGTRAGTVNGTPIGTLNGTSNGTSNGTSNGTSNGTRAGTVNGTSNSTLNGTWNGTP NGTVNGMLNGRLNGTSNSTSNGTPNGTANGTRASTVNGTSNSTLNGTWNGTRAGTVNG TLNGTVNGILNGTLIGTQAGTANGTRASTVNGTSNSTLNGTPNGTPIGTPIGTQAGTS NAMSNGTTIGTLNGTANGTLNGTLIGTSNGTPAWQPPSPYLWGPDPGMQRPWTPERFR EVLKRETQARLGQALNIPAYRDIAIGISRRFLRASSTFTSDRQDETEQAAALDADCED GMDADQWMAHMTDLQAGHSSHVAGMVYGRQLMEQAGTTSHRRAMFRQSSVDWHQFLGF GCGTGVPGDVHADIDAGGLRAGLVDEGSCPSRRPGQEQVRACLVDDPGQERVRARLVN DPGQERVRARLVSDPSQEGVRARLVDEGNRPIHHPGQERVRAHLVDEGSCPIHHPGQE RVRARPVLGKRKRAPWQVEAEEHHMERRHQLQTMDMAAALQQMTGQAGMQFQGIQAPA MAAIQQGKSPVVAVMPTGGGKSMLFMLPAWAVPGGTTIVVVPLISLRQDMQQRCRRLG IPCMAWDRQQPCDEAAIVLVTPESAVTPDFHSFINRLVVMQRLDRVVIDECHVIMNQQ KNFRSAMAQLGKLVRARTQMVFLTATLPPQMEPEFSQRIHHPPDQIDIYRARTSRGNV AYGVWRPPIPHTAPHGYGWEQDAQIIQFLQAQLQWARARGGGEDGDICQPGPPGAGDG GGIGM ACHE_50004A MRRGAIHGPLVAQYLARVARFKEKLAVAIHMTAGQPARAPELLS VQYVNTPNNQFRNVFIEDGMVTLVTAYHKGFHASNDSKLIHRYVPRAVGELVVWYMWL AMPFIDQLTAWQAGTAHGTVNGTSNGMSNGTSNGTLNGMSNGTSNGMSNGTSNGTLNG TQAGTVNGTVSRRSTRIMERPTHGFYLGSYRRE ACHE_50005A MENELFQKIPSLQVMICRQCKHGVRPVEVERHLKRKHQFKHQSA HQLAQAVRQWEDIEQDSAAIQIPPVVDNPLPILPCEPSGLLCQRHDPLCHYVASNMGT MRNHWRQVHQWSQQTRRGRVGQRECTQGAAELQRTTREKPGCDRQQIFPSGPGSHYIH IRFPEGHPPPPPPPADQAQRAVDAIITAWDQARTAQEQQAVIQADRITDANPWLRRTG WARYLEGVHPQDLLRLVEAPPEEPPDPIEQAIQAIWNAMGQLARRSQQTVQRCGTGIC MEAARTEAGQTPYRPLQAYMDETSVQKHVQAWQQVLGFIARTQATQAGQGMQEWCGPL PVYGMTARQQRKWQMLWQLAMPTMVRPQQAPHRARARAVHMFPGAGRILEQGGNPGSY RATEGRGVSPGDQPTAGHGVSPEHVEEAEETGNAGSTEPAWMMSPMERACLEFCIELL NQRHRAHEYESPLVCAMAVLGWGETGWRDPDSYPPILSRMIKLARFMVVQKALWLDPH VGDIIQMWQAQASTVNGTPNGTPASPIAWPLASADAQLADIDEGCDSASPTRSTPTTV HDRPSFHDHVQQMVSRFMIRGTHGPMQTLLDWRTYGLKIHYNSTAPGHVAWMGADELL YKDLHFTMGEFRGFIHGLVGATRELLCELLCIADGSSSAHTPSTMPLPAIPWQGLYDD PTQGHPGWNFCMIAGPGGPWMAGGG ACHE_50006S MTYVRRSLQDLQDEYDNKRPEALDKLVKAFRHIQSLPAHDPDSF HEIGGYHGEPFRLEPESHSEDWWGGYCFHGSVLFPTWHRAYLLRLEQALRKVEGCGDV TLPFWDECATMSQEEDSQTPSIIPAVLTWPKYTMDGETFDNPLYSFKLKEAIGDKIKS AGNRYKKPAGYETVRYPLLGLVGTSDDIKTTKEHNNQFPDAKLRAEKLNENVANWLRI GPNIPDDGFNTPVPTDTTSVFSRYRNCLETPSFTLFLNTTSEKKAMSVSAKNNEARHL VSLENPHNAIHLAVGGFYQRGNFNADVIVNANGDMGDNETAGFDPIFFLHHCFIDYVF WKWQVRHKATANLVIDEKDDGAKVPKGGMVNLPENTKLTMDTPLAPFVKPGFHSTGDN NENCYTSNDVVDIAKLGYKYGLGSLDEDKPKTSPRKKGGAIK ACHE_50007A MSITFDVFRGSPEGRIVADKTSRTLGYNEVFIETTHSGLCGTDE HYLKSGQVLGHEGIGIIRALGPAVNTVKVGDRVGFGYTHSICNSCDNCATGWDQYCRN QKQYGFHDLDNGSFSYGAVWDVNCIFPIPEGYDSIHAAPLMCAGATVWTVLTEYGIKA TDRVAIMGIGGLGHIAIKLAAAMGCHVVVLSSSESKRQEAMDFGASEFHVFRSGQGPP KDCKPLKHLLLCGSASIDYPSLAPLMDTHGSIYPLTVAFETSPIPLLQFAFKGVRVQG SLVAARHSIRTLLEFAARKDIRPTVMTFPMNVAGIEDAMQTLREGKMRYRGVLVKE ACHE_50008S MDGLHRMPIYRHEECIARVVWAAHILSYLTNNRNHAELHEAENN AERDSDTDEPSDCETDYETEKTVILSGPRYSVRRKFLDSIAQLLSPCKGWDGVTATAI REGEDGVEVDIARNDAFLSDEDRFDSEVVGYCKMLEKYLAGSAGGMNATATSPTEFEL KLIDYTSRRIDYWIEDFRKTQKIDQNCPDWNSQRWLGQEAAVETWTTMTELILQFDAD NGAVKSRPLIVQQAYRCLKFTQVRQLLSDTFGTQAGLKLWSRLNFIARPLVDCRLLGS IAAREPQFRNCQISLVPSKSKTTLDAKYVVGIFEAWERLGLGSTPEPVVGILGPFSQR FEEACVESFSLHAEMQLVLHYDEGCAPQPTLDYFGCSKRTCLLCETFLGALPSPISTR GRHGVCYPAWAVPASNSATMEVAIERLEKSLVARIRGLLNDLMHPGQKSLAANVMQSG MVSDFSHFTLEEWRQREQDVRLFKNKQTTQRKDLLITEGVTPTAKSRYRPLENFEPED CCVMCNTSPGLQCAQCRSTYYCSRDCQKSDLPSHRLLCKQFATQPDRPSLEHKRAIFF PVERDKPCLIWIPCRRQYDEEDGISWTQIDPYPYLGTDEPFKRIMRVEHNPVRCRNLG SGFAGFAPYKEGYCVSLIHREAYLKDGSITNRSILASVGASGASTTLHEYRGPMIALQ GIHHEDYADITLADFRHLMDYLVSYRNRHIRESVPDLHHRAPTTVRGVKICCHGEVKF HGSEPFVSVDVTRANQISLGSGSISPISVCLGMPIRLWKDPDAEFRHDPPGWEGGMTA DSNPNVAFLMMETDFSKDKWGWAPMYWNSEIGNVWAVRKDGRDLSVEDVAMMCHFARR KLQRMFEDVMEAGSSLVSRQGVLDFITWDNMVTYWDETGGH ACHE_50009A MAGEELTITYIGGTNRTRSQRQAELDKWGFRCTCPACDDTLQGR ERERKRAELFALDQKLAMNMRVGGGMNKSYRKGLQIAQKMAAIQKSEGLLNGELGAS ACHE_50010A MDMDHDAHLIEVKDSAPKGLGVFAKANIPCGSCVIAEPALLKVK GGNAKDIVRAFESLPSSQQSLYLDLHGHACEPFKRAAEREMEQNWQQIPELHRRVLAI YAANAFGNVFLL ACHE_50011A MAGRPENPTLTPSQVNDEDVRKSVPIRKKPTQEKGIKIYPFTID KLCEENARYWFHVMENQLKAQFSWEAIEYYHEVGRKEFSTILREDVEWFKINLKADMI IEQGLQPVTILDIKDLDNAGLKWDRLKEIFLKSSNAKKAMKLMKMANWTWDSTRMNEK EAYREIKQLGKEFVDMNGGNKITIEELVVLWYLRGLGDKYATLRDTVMSSNVTLDEDY ILNRIDDMMHMKSGSTEKGSRVSNHGNKKKKGSKCYVCGRAGHFARECQSKHEDSESD IEWDQQKPKGRREGRQEHRRGGRQESRREGRQGKSSKQKGRLAGEQDDDSSQEELCEF SSYAAERSELGRFTSEKGSQANGSCPSVWCFDSGATSMSTGNRDIFEKLDMKSRGTLT IASGVQMPILGRGTVKFNLPNGSATVRLSNVIYVPGLTENLLSLEALHVAGFESRGSI RGYTLLKDGKIVARGRRIGKSTYLDTVSYTNALYVKPEQARKCVELNAKPDERTILQL LSRRAVRADDETEQRREIIHQRLGHPGRKRFNWCVETMDMDELKVRKRDKLLDDDCEI CVKAKQVKSQSHLPVPRARRPLQRVYMDYWGPYVGGVGEERYYLSLIDDCTRYSWVFI KKDRTSSSVQNTLELWLRQAERETGKMLLVIRTDNAKEFLALEPWAQLKGIQLEFTEP YTPPQNGVAERFNRFILEVTRALLFNSGISKRYWKYAVVTANYLRNRTTGAKGSGGKT PYELWHGYEPDLTHLRIWGCRVLYHQRSNDKLESRVMEGTFLLYGKSDKQYAVLPKGA DEIRLVTNPKFREREPGYLTMDKDSSAFEAPMMEPATNVNDAPRPTPMAIDVESQQRD AAPLGGKEASDQQGVANGQSRETNESTPEVDGSPLKSASKVDNAGNEADTQWEEQHEV DAPLGEGHQKKVLLEGEKRQENLPQSDTGAIDEHQVERRHSGRTRQPSSTLMESRQTE KIYGRKRKAEGEDTGNSDRPAQRLRAHLARLAVATELLIGDREYEATEGARAAREKAG IRIPKSYNEAVNDPIYGSKWKEAIHKELSTLIGFGTWELKPRKEAEGTISSTRWVFDV KLGLDGRIDRFKARLVVRGNEQSDDDFDETFAPVFRLDSLRILVAIAALFGLEAHVLD AINAFAGSDLDKPNCMEIPEGLQDFDPEATRGLVLELKKSLYGLRQSANLWHRKISNF LKNIGFKSITADPSIFLNSRGLIIAVYVDDIVIFGKDVRDINTVKQKLKEFHPMTDSG LVRKLLGIRFTWGRDRSIRLDQEPYAQQILEEFGMADCKPASTPIGPSVKLETPDSSL LGRTEHKLFRRLIGRLIFLVIATRPDIAFAVNQLSQYLAEPREVHLAAAKHVLRYVKS TIGYGLTFGAKGSQGLYAYADSAYANSAKNRSTTGFVFSINGTPISWISRKQSVTAQS STEAEYMAVSEAAKQAIWIRHFLYAIGKGSIFCNVPTTIYEDNQGAIKIADNPVDHPK TKHIAVRYHAIRDHIGNGEIQLAYLPTDKMIADGLTKAANHVSQGRLVEDLGLA ACHE_50012S MVTYTPDDVESDTMNSPNMEDDLAAMVESMRTRMAEMERELQNV RPAQRTSPPRSEPTPSTTDSLRRPRPKIGDTEPYDNSDRSLYPQFISKLRAKLNIDKD AIGSAYDRIWYAFSRLTGSAAAQVLPWMDHYAGDMDTVTEQTLKDFLNHLDFNFKDRN LRERAVRALGNLKQANKPFATLLNEFNRLLMEAGGHDWDNEVKRSYLDNALNHEMNDR LVTVEKKENFSEYVVQLQLIADRMEKNASRSRTLQHNNANRRANNPNPSSFSTNPAPP VTTPQGDQMDWTPTISRHRPRQTAKWVPSEEINARKEQNLCIRCGASGHFISKCPYNA PQRTHVSKTQVAPKLENDEDERSPRETQLGKE ACHE_50013S MILGLAWIDDQQVFIDPNGPKLRFTNGIVVSSMEDQPRMDIQPI GANAFALWNRQKKKDSSVQIFAASLKDIEKALRPKLPTDPRTKLPPHYHKFLSVFDRK EADKQPPHRGPNIDHKIELNKNADGTTPEPPWGPLYNMSRDELLVLRKTLTELLEKNF IRVSNSPAAAPVLLVKKPGGGLRFCVDYRALNAITKKDRYPLPLINETLERIGKAKWF TKLDVIAAFHKIRVAAGDEWLTAFRTRFGLFEWLVTPFGLANAPSTFQRYVNWVLRDF LDEFASAYLDDILIFTDGTLPEHQEHVRKVLGRLQEAGLQIDIDKCEFEVKSTKYLGF IIEAGKGVSMDPAKVEAIMNWAAPTTVKGVRSFLGFANFYRRFIRNYSELTTPLTALT QKDKPFVWDDKCEESFQQLKRMFTTAPILMQFDPDRETVVETDSSGWATGGVLSQYDD DGVLRPCAYFSKKNTPAECNYQIHDKELLAIINALKEWESELISVVNFQILTDHRNLR YFTTMRRLNERQMRWADLLSRYDFTLHYRPGKLAGRPDALSRREQDVPALGDERLKHR EQRLFDPEILKDGPVEGSSKRGLVEEPHPINVSRILLAPVGTEPYNSEPSTPQGYEQA NEPTNLNSEQPSLKELLDMTLDEHWARVEPLDEKYGRIREAVQVGAPQFPRELGIKAS ISECSIELNNRLCYRGRRWVPDIESLRTRLLQETHDSVLTGHPGRSAMYAILARRVYW PAISEDVRRFVRNCDKCSANNVWRDRRQGLLKPLPIPDRKWRYIAIDFIEKLPTSNGY ENIMVIVDRLGKGVIPVPCEKINTYTVAQKLIQSFIGYHGIPASIVSDRGRQFTNEMW KRFCELLGIKRQLSTAYHAETDGQTERMNATLELFLRSFCDHTQSNWASLLPMAQLAI CSRDAASTGVSPFFLDHGYHVDPFQLEEDVEINLSAPDLGTMRERGERIAAKLRGALD IATTELAVAQQKQEDYANRQRDVAPEYQVGQKVWLDLRNIQTERPSKKLGSRQAKFTV LEKIGSHAYRLNTPGTIHDVFHTALLRPAAMDPFPSQRKDDYQPPAEMINGNEEYMVE RILDERFRRWGRGERHEFLVKYIGWQEPEWNDARNMEDTIALDDWETYKTMNGIVIQS ALSIPNEPPHAGGRSRRRRGGG ACHE_50014A MEDSQSWYERVDELAAQGLLAPDAVLNALTRMIERDVLDEETEL LYLRKALNSFGTEESGTKRLTRSAFLSFLESSGFLPPSMRDAGALVYRSLLYLSQYPF YRSIPEALTYDDLVRALAWIMPERSRRIYDESYDTRSRSPADFRRQLFQSFATTQNAE SVVFDPEDARKRAERRAFDFTGADRPDTRRFAATNYDDDGDEMFHDILDVLYGTQPKE IGWRAPPRDSFRPIARELAGDRCVHVHRLSIPQDEFRPVVKLLVTTYFGKPRVAVEQL VDLDHVVDCITRPVIQRPDMGITWDMFEQAAGNGMPMLMRGLQRFLGPLYRDPKDENI TIDPLQPGKVATWPVLAQLGSLGIFSPIFPYVHQHKHYNVMTTSV ACHE_50015S MTASILGGVDDVDKAMDSMTTSMTDIIRSDATAFTIEGDAFRDE TYINVRWPWIILPTLSVLFSIFLFISTSIASSRLNVVLWKDSVLPLLMFRLQTDSADD IMSLSKVEEAERISKKIKVVGAKKGSPLVFSEVNN ACHE_50016A MDPLSLATSVAGLASLALQIGPSLREYFSDVRDARKDVARYCNE IDGLFEVCKQLQNFLKTDTADAFKTTESVLCRTVVLCEGCLCELAKLLDVPREEGHSA AHWIKRMKWPIYKKQVEGIISRLARYTQLFQFALTVEGCAVLSRTPEDVSVILKLQRD TSSKLHDVTKDIAALRVSAEEYRSTADKTASMLESVHFLKEPSQRLLNIQESVGRIGN QLTDRLDREILDWLSFEQAHGRHHEVRSRRTPGTGKWILNTPAFQTWMNNACPEQLLW IVGAPGCGKSTLVSLIIDELKGLQASNNAPIAYYYCDYRTQVTQPLTLALGHILRLLV ERLSLLPGSLRELFESCRREGRGPSPLELEHLICDVLPCQSSYILVDAMDEFSVNDPA QTAQFTKVLDSFAVTGARVLLTSRTLPTPSLSASHIVETHTASNSDIRSFVAHELYAD DLMVDILNNELEAEIMSTITEQSQGMFLLAVLYIRTIRGQVSRSGIRKALSGLSGNLS DAYDKTFQAIKQQSGPRQLLALKTMMWVSSSHRPLRGIELRHALAARLEDKDLDFDNI PPLRLIVRSCCGLVSVNDLSNDDSEVRLVHHTLYQYLHSQQEWMCHAHTVITQTCLSY LLFESLRIPRDRRSQPNEYPDEKCQFQPTENEGLALTRFARDHWGYHANHSPLKSYKQ LAVRLLTDNKRLQTLYPENKHIIGLHIAAAFGHNMLIDTLIKRGQDVNAKDSSLEIPL HKACIKNNNNTALFLIQRGARQNLMGFKCSTPLFIAVENKNLELTKLLIANGAIVNMP CKDCWTVLHKAADMGDLEIVKYLINNGSTTSETSTQGLTALHRAAGRGHLNVMQFLLE IEKTQIDPVTSDGWTPLHGAASSGQHGATLMLIRLGANIHHSSHDGWTPLHRSVQGGY PDTVQVLLSHEADVKRADRQGNLPLHIAAREGHVTIIHQLLERDLRQLSCSNLDGWTP LHEAQLTGSHAAESCLQRYGKLMSNADNTPETEDNTLVKALQSDDADTIEALINSSKH AEGLIGIEDRDSRGRTLLHRSLLVGSYGTASTLIANGADVHARSANGGWQAIHYAALS GNAQAVQLCLDHSADANCRTDFGKTPLHHACQNGNGETVQLLLDYTVDITRTDEQNWT PIHYVAAAGHRKALELILFSGKLNGKEIHWSNLQTCAAKRGHHELVEIFRNLRYSL ACHE_50017A MGRFGSQTSTYNRLVTIFVAIGSLTYGYCTSIISSTIGQPGWYT YFNLPAEGEPGYASITTPVVSTANGVFSAGGAVGSLFIMWSCDFFGRKANIQFGAFFS LFGGALQAGANSLKMFQAGRFICGLGIGILVTVCPMYLSEMASAFRRGWLVGHHAIFL VFGYMLAGWIGFACYYAETTIPAFGWRFPLAVQCLSPLILLIGSPWLPRSPRWLISKG KMEEAEHVLTQLRASPDDPNNEVAKEEFFQTKEQIRLEAERLSQWGSSPWIAVFKKPS YRKRMIIGFLTQWGAEFGGPLIINNYAVLLYTNLGMTGSMPLLLSAIWLTTAGLIYNP LGAWLHDKVNSRRGMYITGFVGIIVTTSCLAAMTAEYAGTTNRVGNGFGIFFMFLYLA FQGTFCDTTMYLYVSEIFPTEIRPIGMGFSLFGQFASTLILLQTAPMGFNNVGWKYYL VIICWSAFFIPVIYFFFPETARLTLEEIAKNFGEEVAVHITDATDTERAEVGRQVTQQ SPTSASGESEEETAKKETEAPKVE ACHE_50018S MTSQSPFDLPFDQLPNPKQVWVGKPGSYEEGLGRLAILTPEVVA RAAATEIKTGRRVTTNWEMTKLDYPNLNRQPCHHQIVPLLGGVAFDDIFTMNPQQSSQ WDGLRHFSQTVPGQTERLFYGGTTAAEINDRSNDRIGLQHWAREGIAGRGVLIDYATW AARKGITYSTFSTHQVKLSDILEIARECNITFQKGDILFVRVGVTKEWETVMTDEQKK AYSDNKAPEHAGVEATTDVLRWLWDTGFSAIAGDAISWEVYPPQNPDVFLHEYVLAGW GMPIGELFDLEALARLCEEHQRWSFFVSSVPLNMPGGVSSPPNVMAIF ACHE_50019S MSFTFTDLLRRGDGSVDRSVQQWNTATQSICIAAMTIFFGLRVY TRLFILNGFGREDWTCLGAWILGVGYSVISLIMGSYGGGMHKSDVPEENIIPFQKTVY VTMVMYGPTAYLTKLSLLWIMTRVFNPYRKAVVFIYIFLGVMLAYYIPAVIVKIRICK PISTFWNPDNGGTCLDQTAIILADAVVSVVSDLIVLILPLPLTLSLQMPTNRKMRVMG MLGAGGLAVACSIVRLALIEIIGQSPDVTMSFLRISMFGNAEIAIGVICACLPALTAL VTTIHREYSSNKTTYPSQYEMGKSYGNSRTERSRRGLNTLADDEDVLMYNAQGNPKIE TSVMGDADRQSSPQSDMLGIMKTVDVSTSVTTDRR ACHE_50020A MAAILPQAAFRALRTLPRVASVPRTAVHPGIWTQLPRAPQPLNR RFVSNIPQEQPRLRLGATAPNFTAQTTHGDIDFHQFIGNSWAILFSHPADFTPVCTTE LGAFAKLKDEFEKRNVKMIGLSANDLSSHGEWVKDINEVSSTNLQFPIIADAERKVAF LYDMIDQRDLDNIAEKGIPFTIRSVFIIDPNKKIRLTMMYPASTGRNSAEVLRVIDSL QTGDKKGVVTPIDWQAGDDVIVPPSVSTADAEKKFGNVRELKPYLRFTKA ACHE_50021S MHLSYILFAPLLGTLAAARSIPNTYHRNAARSTPYAVKQSPLDT PWTEDVGTTPWPEYPRPQLQRTQWKNLNGVWQYQNASSQDAVQQPPAGQTLANEVLVP SCLESALSGIQGKDMFYSWYSTKFDVPSSWNGQRVLLHFGAVDYEATVFVNGKQAGFN RGGYFHFEVDVTDYLTSNATNELLVFVHDPTDSGDSVIPVGKQTLNPSHIFYTPCSGI WQSVWLEPTPSNNWITQLDLDANMDGQVNVTVHSAKGNATSVEVTVYDGDDEVASHTG QSDQPFLFKVSEPKLWSPDSPNLYNVTVRLGNDQVQSYTGFRTISKGKVDGIVRPLLN GEFVFMFGTLDQGYWPDGLYTPPSREAMVYDLKVLKDLGFNMVRKHIKVEPALFYRAC DELGLLVIQDMPSMRPLQERTDSNCKKTRILANDPQQTEFRRQLEVLINQFKSYPSIS TWVIYNEGWGQITSYYPEFELTERVRSLDPTRLIDSTSGWIDHGAGDFSDNHHYANPQ CGTPFYSTDSSPYDPSRIGFQGEFGGLGNNVTIENLWHDQAAINTINQTYEIDTTVEA WNYRSHVLLSELEDQVRRYACSGGVWTQTTDVEGEVNGLMTYDRRIKRVDEEQWKADI KALYDAAAARGGASQKN ACHE_50022A MAASSFAPELATGDITVRDNQSSIIESLQVLRPAESVFRKVKSI IAEEMNVDFSNVAENVRFEELGIDSILRMPIVSKIQEEMNIYLSLSAFDDYPTLAALR GHIQDMIGINTSNGSRNISSSMSPILTSTPSSKNDNLPTDSRTPPSDISMARKHFSSR PILLSGRPIDCTQILFLMSDGAGSPSSYALLPALPRGTAVYGLESPFCHNPLDWNCSF KEVATMYVNAMRKIQPDGPYMLGGWSLGGIHAYEVAQQLLQSGEKIQGLLLIDTPNPN FLGHISNPVVELLEETGIMAAAERINNGKILELERVKDHMRKCVESLQDYIPGPIYSR CRPDHVFAIWAAHGLEQCDDDAEVIVEAKDEVRQLQQWMKQRNTSFGPNGWDRLLDEI DCRVAEGDHLSILRPPWVEKTGQLVAEAVDWFMSRATA ACHE_50023A MSPREAKTVDPTQRLLLMAAYEALEKAGYSDNQGCRIGTFFGQA TDDYRETNAGQNIDLYYIPGGMRAFGPGRLNYHFKWNGPSYSIDTACSSSAAALEFAY NALVTGGCDMALAGGGNILSGPHMFAGLSQGGFLSTTGGCKTFSDDADGYCRGEGVGV VVLKRLDRAIAECDNIEAVIKSIVTNHSVHSTSITHPHSPTQQRLYQHVLQKAMLKPE DVQYIEMHGTGTQAGDRTEVESVTSIFGQRRDSPLYIGAVKSNVGHGEGAAGITSLIK GILMLKHNLIPSHPGITQLNRHFPPLSKKNIRIPQSSVPFLPNTKYGKRRILINNFNA TGGNTSLLVEDPPPSRKSIVKTTRSYHVVTISAKSWKSFECNKRNLVDYLRSHSEVGI EDMSYTTTARRMHHNFRKAYRVTNTISLINEIETDLRNKSSEMDRPLERPPIVFLFTG QGSQYSGMAQDLFESCKMFRKRIIELDAIAVSLQLPSFLEIIRTGGQAISCMSSVQTQ LALVALEIALVDLWRSWDIAPDIVIGHSLGEYAALCTAGVLSVYDTILLVGNRARLME TYCIPYEHSMLSIASDARSVEKYLSDAGYTTCDIACINSPSATVVSGPMQHLIALKNS LGDTRSTMLEVPFAFHSSQVNPILADLRRLTATIQFQAPKISVISTLAAEKVDSEGVF GPEYLIRQSREPVRFLQAVEGYRNRGIDEYSAMWLEIGPSPVCLGMLRSIQSVNPERM LFTLCRGRSCWDTITHTLSCLYDARVDIRWPEYFREQSARLQQLDLPTYAFDLQSYWI PYEGDWALMGSTIPQNTTSNVPRLVSTYLHRIEERTGGNGYHAITFVSDLTDTALLQA IDGHRVNGLGLCPSSVYTEMAVSAASYMQRTIRCSSVTEDMSVMHLSINRPLIVPQNC EGHDIKIYCKYLEDKSCFEITFHSQTDQCPQEHAQCTVRFGQRAQWKDEWQRTRHLLK SRIEHLSDPPRTESAHRILKDMVYKLFSRVVTYGERYRSLREVFLDSEDYEAAATVQF SPNVRTEKFTISPYWADGIVHLAGFAINAHPIVSDGLVYISTGWDDLYITASLSAEKQ ACHE_50024A MVVSNISAGTVREELKKFQSNNSHPSHKQAYISAEVDTFVSISG PPSTLELVANHFRSHVHEIPMRLPITAAYHASHLPKPDLNNTVRCAEVPDLPIREKVN LVSTTSGELYDARSLHQVLGCILKDIFQTPISWDNSLQSVRKFCRGETAIVSGIGPTN LNKTLCRALSQEGVDTIEAPHLIEETECPIRNNPNDVAIIGMAGRFPGGEDLDEFWET ISKGRDMHTKVETSS ACHE_50025S MENMDTIQFRHRINARRRDGQPLRDDEINFITSQSTDLAGSPDT KYPEQVQWAAKAEQVLSKPANEISTDDAKNVTAKEAHAFDTLPALGSVASHIQSVADK NTKS ACHE_50026S MLEHNIAPEDIEESSRDWPESWIPTKDFQEKITTGNVKQKWSRV TGDDAVASGRLPKKSSRAQKEQEYRKRIQSQ ACHE_50027A MYNLASTYRNQGRWKEAEELDMKVMETRKQVLGPEHPSTLNGMH NLAYTLKQLGKIPDALTLIKKCADLRNKVLGLDHPDAISSSNALSDWETAVSHLLENQ KQTTNFSGHPSSPANAHSCRSSN ACHE_50028S MPRTRNTVAPTGGNRNETNDNDTGQENRQNPIFIDDDEDEEQRM VTLEEFLQYASEEPEWLYDKLQVTHKRYDDRLEDHKVRLAEEELRCQTKDGEITLLRR KIGEIEDVKQQLVEVTTERDAFGSQIARLVMDGTNGRQASPMAINRKTTKIPDPPMLT DGKEPRFEDWLLLMNQKLTANADHFDTSQLRIAYVASRCDGKARKHITPRM ACHE_50029A MRPKSRNDFAIAIICALPLEADAVEALFDETYDRLGKHYGKQPG DVNVYINGRIGRHHVVLCYLPGMGKGSAASVASSLQVSYTGIQLALVVGICGGAPPPP KYDEIFFSDIIISDTVMEYDFGRQYPGGFQRKTGVKDTLGRPDREIRTLLKSLQAYNS RIEFQNQVLQYLHTLQQAGTRWQHPDVDDVLFKASYLHKHHGETSSVKCCCLESNVLD SICHDALEKNCKDLRCDNDQVIRRREPIKAANISVHIGTIGSADKVMKSGQHRDAISR KEEIIGFEMEGAGVWDNAPCVVIKGVCDYADSHKSKAWQAYAAATGASAAKAFLEYWR PVNHEDASKNRHLMIPFGRNPRFVGRHDENHKLEDLISMPDGAKKIAITGLGGVGKTQ AVEQAFRGIAQVIGIQDVKPAEIKECIKAYFSQIDRKWLLIFDNADDLDMWMKGSSTA SALKDFLPYNTQGHIIFTTRNRKLAVKLASSDVVHVRELDEKAGMEYLERSLIEESLL HNHHAMITLLEQLTFLPLAVTQAAAYMNGNGIGVSDYLLLLQEQEADVVELLSEDFGD DGRYKDAQNPVAITWLISFYQIQKLDKLASDYLSHMACVDPRNIPESFLPQPTSKKKM IDALGLLSAYSFITIQPGNGSITLHRLVHLATRNWMKKADQFTLYIRKTADRLSETFP HNDHTNRQLWREYLPHALFLLGANEFQENQEQYVDYIENVGTCLDSDGRYKEAEKLLV QVMEIRKQVLGPEHPSILTSMANLASTYRNQGRWKEAEELQLQVMETWKQVLGPEHPS TLTSMANLASTYRDQGRWKEAEELFLQGMETLKQVLGPEHPSTLTRMANLTSTYWNQG QGRWKEAEELLMQVMETWKQVLGPEHPDTLNGMHNLASTYWNQGRWKEAEELFLQVIK TRKQVLGPEHPDTLTSMHNLVTGSE ACHE_50030S MHFSRGAAVAALATAANAKSFADVCTVSNVLSALPANGTLLGMD IIPSAVTAGAVYNASAGMGSTETYTYCNVTVAYTHTGKGDKVVVKYAFPKPSDFKSRF YVAGGGGFSLSSDATGGLEYGAVGGATDAGYDAFSNSYDDVVLYGNGSINWDATYMFA YQALGEMTKLGKVLTQGFYDTNNKVYTYYEGCSDGGREGMSQVQRWGEEYDGAITGAP AFRFGQQQVHHVFSSAVEHTQDYFPPPCELDKIVNATIAACDPLDGRTDGVISRTDLC KLHFNLTSIIGEPYHCAAETSTSLGFGFSKRAEGSTTSYQPEQNGTVTAEGVAVAQTI YDGLHNSNGERAYLSWQIGSELSDATTEYNNDTGKWELSIPSTGGEYVTKFVQLLDLD NLSDLDGVTYDTLVDWMNIGMVRYMDSLQTTYPDLTTFKTSGGKLLHYHGESDPSIPA ASSVHYWQAVRSVMYGNLTQEESLEALEDWYQFYLIPGAAHCGSNTLQPGPYPQNNME TMINWVENGVKPSRLNATVSSGTYAGETQMLCQWPTRPLWKGNSSSFSCVNDQDSIDS WTYSFDAFKIPVY ACHE_50031A MKFLAALSLFSLASAFPLEKRQSDDAPFTLNLRWSNSPLSGPIN ANGNQFWHGKDTATFCPENIPGCKTVNTTSFVGRNGQLFLNTGVPGGQQVYVSPTGLL TYTVPHSANIPEGSLTDLEVFSTNNFINPFLTFHLCSVDPEDTQWHIVLEYTNATTGS IINSGYTENNACTRISLEAVPYQGDTAWEYS ACHE_50032S MSGGLVKKLLDPLQWSSHSPRGPCTRRHGYHRSQGWRPISLRPL YLLFVAILMFFMFLAIEILRRYSEWNGGLIYFKDTASVSHIQSFAYNYVPIIIALVLV TFWSFVEFDVLRLEPYFQLSRPEGAPATVLFINYNFGQSVITPITSARRGHWVVLCVS LFTMLMRMSLPALHSTLFELREVTLLSDETMHGWPNLVDLHTQATWMASQATNNFDSV VSSNDDLQRSRSTKYAVAPVEIPGVDQRESTVWTMNQTIYWAEPICQDVVVNEKVSVV VDGSQEDPTVSWNVTGVPLVKDGATIHDCKLDFNYSSVLFAMTDKLQVRYWEPVSANT SLSSNVATKKAFTARGCDPFDLYGVLLGVNASSDAVSAPTQYRSSASIFACDIKYNKA EAEVSMHANSSITGITIHNGTTRELTPQQFNVPDFQDLLSERAPYTSDLLYIRINQTS GDTTVTELPVISQQLGDMEPVLILDTSSVMSPEEFESKVVRGVKQTFVLTMGRLFNPD EKPTIIHATRLSNQVAIATVGFAAFWSEVVLAAGTVISLLLVYIYHRRPNIMQSDPGP ISAMCSMVADVFSPSNILTDSRYDLHQFSTRQLRRILRNSRIHWQDGPTGKRLEIRPS DDDASLVENLGENLQARVDPMPHFLLIPVFILEFLLLTAVIASMALIIGLLARNGKFR HLTQSDSSFLQVVLSVLPSIVASAVGSLCTSIHRNLSILEPWVHLQRGMAAARTSLSM NYSAQNPWAVLYKAARDRHLLLGLVSVACVVNTVLTVVAGGLFTQKLATSYLPTDQLL TNYSETTFWRTDFAADFTEYDLIQSSITSGVPMVAWTSTNHSFVPIKIENPDPNAVYS AHTLGVGADLDCQKLEIAENLVDNHEEGRVYWEYNPFDSPERRCKVDMTSLKNTTSGI SLSIHFLSPVAVDETDLCQTSTVVVLGRWNYEPGAPVTDHNTVALHCEPRMEMEEYSI FFDQKGQIEEYHPINSTAITSGEMYDNATASVGQFNKVFAAIPQSYAGNSSTGNGSYI ASYDWAGFLVARLYQQQEVNMTRLNAADLIEVSRTVYQWVYSTYFSIWREIYLQPLQD PYPAKNSTVIYSMWAMDPSVPSLAIALMIIAFDTLVVLVVFGTRRGRFKGPRIPRSIG AVIPWLAHSRMLNDFPGTYTWTNTDRRAHLERLDKRYAFRTFLSPDGRWRFAVDEEQD VPLPPPQPPEGGWDPSKAGGIQLRELGREPPGREPPSPGTPTPNGHGHI ACHE_50033A MDLFGLIGRTALVTGGTRGIGQQMTIALAEAGADIVLVQRNESN VETKSHIESLGRKATIYTADLSNREDVLSLVKRVLSDGHDISILLNCAGIQRRHPAYI FPDDDWDEVLQVNLSTIFTLCRDIGAYMLTRQSPDGHNNIHRGNIINVASLVSFQGGI TVPAYAAAKGGVAQLTKALSNEWSARGVNVNAIAPGYVATDMNEALLRDPERAGSILA RIPMGRWGVPEDFKGVTVFLASRASAYVTGEIVTVDGGWMGR ACHE_50034A MSEQPVHSPTTEQESKSSTAHFEDSQHTIPEKTHNGDTALALFA HGDIQNEPIGPAENKRLVHKIDWMILPFLSICYAFYYIDKTTLSYAAIFGINEDLGLS GEQYSWLSSVFYFGFLVWSVPTNFLLQRFPVGKYLGVNIILWGVFLMLQAVAKNFVQL AVLRVISGAAEACSDPAFMLITSMWYTRRQQPIRIGLWYTANGAGIAIGGLLGYGIGQ IKGALASWKYEFLIIGALCAAWGILITIFLPDSPVTMRYLTNREKWVAIERLRDNQTG VENKHLKWAQVWEALTDWKVWTFLLLGLSGNIPNGGISNFGTLIIQGFGFSTLVTTLM QIPYGTLIAILILLAVFLNDRLPPNNRCYVTILFLLPNVAGSFGLAYLPESNKIGRLI CYYLTGSYNASFVLILSILTANIAGHTKKVVTNAMIFLGVCAGNIAGPFFYKDSQAPR YPLGIWSMIVANSIEIALVLMLRIALALENQRRDRVQAEQGRRDLDETAFSDLTDKEN LNFRYIY ACHE_50035S MSGLISPSEPVIRHPVEHISKDTSKDEAFSVEMGNANSKSHHFD DLPETSSFRIVKLSPGKRRDPISCETEIADLHEFPKFEAISYIWDDSTAKVTVTCDNV KLKISANLQRALAHLRLEDEHRYLWAHELCVDRKNTAERSHQARLVHAIYRASQKTLI WIGSDTAGHLAEIAVKSIIQISDFLCHTLQRYLPEISVTSNVYRDIIYENRKKLPSPN KCEFSTETIWHSLIWFYSHAYFTGVSIFEEINANKRRSVQCGHETVEWERVELVAGYM ILDRAFSKCFGFDKTHCWWATILTTGQIRQPRNWLTTLYLASNFKSVDPRDKVYGLRG LLKHSIVTPLLEPDYSKPLLDVYREAVEASFLKFHNLDALLYVAANESPSWIPRWDKP MLFRNPFRFSSPVPWRPAGDREPAWIIDPATNILTVSGSIYRSVKFVEPYNQAFFGEV MINSAEGRHTLKRKWETILQTARASQPAREPLRRETITALAMSFLFSLDENNRVADPK KAMHTFVAYLMKILDDEILTLYIPRVFQEESAHADGDTFGKPIWDFEYPASSFFATED GHVGCCITSIKPGDVVVIVPGCTYPLVLRPDGQYHYIRGYAYVHGIMMGEIHYLKRQD FMIR ACHE_50036A MYQGLELSTRAIEKAGWKVSTPLQLQDLDTDTAKHFIQKDCKRD LRINWDGDCLRCLVVHLEPQERVAIKDPVLQTALRKGWIPAEFVRLLGSGNAGTSLLW TADRRSLFLQLPKAGNGLVTMILTCLPSVRPNARCQPQTDWACIILSSDGVDIESLLA KDPFPNDYTRMPADFMILPVSLFRWRVELLVEELENLTRNVVNEEEQLISAVELSELD LIRKAIFELGKVQLRLRRKWVCTLEVAATLSQYFDAIERRYAEEEVAPRYSEILRQRV RMDAQLCGSLEYDLQIIPSKIDSQRQMVCPHGEIQK ACHE_50037A MGSATDSSARQLLQLNIYENSLQSRSTFSVSYSVENITTLEREG EWYSPTDVANTSGTTILVLEDKEDGIIDNSDAIDFFLKARPDFVVYHMTRPLGSGKLW DVVRLGPVRRDTGKKDPRKLVVVISAEDLRTEGVELSKGLSWEKTCEDFVLNLRANGK LATLVTCAHLVVLFGCDGAIYRQGQWDNRQVLFFDPSGTEGGYIKGRWVPGVTEAFIA GMTSHLAQQPQATLDKGMITGLHAARRLVTEGIHSQGTKACPAYPVELVMENSRPNSI LSTTIPSEDICGGANPDWSILKSLPESDVLEIARGIVREGPLSGLHQVPMANFDKLFV YDRREMENFRFVHDVIEAYASDQSTSPLNIAIFGPGRCGKRFSVMEVANTACHSYRTS HLHFNLSDFEGPNDLANAFYAIRDSTLEGRLVIAYFEGFDNELLNKPFGWLSYLSSCL SSGTFHDKNNTIQHIGRAVFLFGSSNAATFDDFERRLQSLALSGKSMSNCTKFIACIQ GTVDIRGPGRCDVFDSVFSVRRAIILRNMLVDLASCPTDGPNFLIEDDVLDGLLLTAL RNGTRSLRTLLEVCRFDQRPTFDRMALPTPSQLRLHLDYDEFKECMDSRRNQVT ACHE_50038S MLTREEVEKHSSRESCWIAIHGSVYDVTNFLDSHPGGPEVLLRC AGKDATDDFDAVHDIQLLTQSLPPSACLGRIDSGTLAKFTDLGTNAPLPDKNTPPPLT NIINLHDFEEVAKQHLSPNAWAYYSSGADDELSKRENAQSYQQVLLRPRILRNIPAVD TATAILDHQVSLPVYISAVGLAKLAHPEGECALARAAGKEGLAQVLANGSSIPIENVR MSGVKEDQPLFCQLYVNRDIRRSENHIRRAEKAGASAIWLTVDSPVVGKREMDERVNL AVQGRDNQTSGAGVAKTRASTISPFIDWDILTWLRNLTKLPIVIKGIQTVEDAIMAYE NGVQGIVLSNHGGRSQDTAQPPLLTLLEIRRYAPFLLRSRMQIFVDGGIRRGTDVLKA LALGATAVGLGRPFLYSLASGYGEEGVRRAITILRQEIEANMVFLGVKNLSELGEHLL NTARLERDVARSVKL ACHE_50039S MSDKIDVLLYGLGAIGSFYAFILQRNPNVQLTVVARSNYEAVKN NGIFIDSANHGQHRFQPYAVVNSPSELAGPFDYIVLAHKAIDQDAVASQLQSVKASTL VIIQNGVGNEEPFRRTHPDSSIVTCVTWVGATQIQPGQIKHTQSEDLQIGLYLNTAVD SNIEESRLSTLASLLETGRTRFQLLSPADIQRQRWEKLVWNAAWNSTTALTMVDTQTW LHSSFEATSSTRRLMREVIDVGRACGVKLEYDLIDKLVGKILSMPGIGSSMQTDCNNG RPLEIDVILGVPVKKARELGIETPTLEMVYALVRAVDTRLRANI ACHE_50040A MHILAVLPLIGLAAAHTAFTTLYVDGQNQGDGVCVRMNRDPKTA TFPIEPLSSGDVTCGIDGEKGVARVCPARSSSTLTFEFREYPDGSQPGVLDRSHKGPC AVYMKRVDDATADNNAAGDGWFKIWQSDYDASAGEWCTERIIDNNGHLSVVIPDDIQA GYYLVRPELLALHSAQDDPPDPQFYVGCAQIFVQSSGTASPSTVSIGEGTYDLGIPGM TYNIYQDPLPPYPMYGPPVYQSRQTKRSVNKRAPMVQQIGLKPDGCILQRDNWCAFEV PSYNDQQGCWHAVDKCWEQNDECWETAYPTGGQNCHIWEEKCHKLNDSCNNGAFSGPP DAGKDLTPPAPPLKGSATVFKRDHRQFRRGHV ACHE_50041S MPSISSASLAVAILVAGYLSALCTTPPNPSPDQSKRYKSDRAGI MAGTFPWVVKHVQIVVFAYHALVTLLYPAEDNAQLKSICPHSENLPPALFTWNERMYK VLFVIFIGAFIRLSAYGGLGRNFTFHLSNPDHLVTDGVYKYLQHPSYTGAALVTFGMA GLLTRWDTAAGACWMQPSTLAMLNGYGWLFTAAWGVFLFSVLGVRVRDEETMLKEQFG KEWEEWHAKTKRFIPFVV ACHE_50042S MYWSEPQIQTWLDTMKTLAQCTANALALQPSVHDYWDRARFALR PNSVKDQKTKMKLRFYWLKPQLPLAQGSSLLLVDPPILFDDAETGSRDHHRNIPQALL ALFDSQTRQIIRSGDEIIITTPDTTRFLLPDEELLRLQWILHRLAALCAAAGFYADFL FEDDDFSAGAACMVTPPGVYGPDERDRRNKRL ACHE_50043A MIEEIPNPRSEGPKVMVYCVGIGTFTASAFNAIVSSSVVALDLS YAMPIAVNCLRGRKLLPPRAWQLPSGMGWLADMVSYRVTCYVVQSASAYERNAAWLVV YCSDNGAVSVSTWAAGHWE ACHE_50044A MKDTDNNSEGCPELQRNFSTWSMLGLAFAVLNSWTALSASLSIS LTSGGSTSVVWGMSAYISLDNANAGTGLVTAGICNLCIAASLAEFLSAYPS ACHE_50045S MILKMRALLTSALLAGWAIHGCSGYIPRPRTDGETCTKTTVAVL GGGMAGITAAQALHNASIDDYVILEYRDRIGGRVWNTDFGQDKDGNPYSLEFGANWLQ GVGSETTENPVWTLAKKYNLKNHYSNYSSILTYNETGYTDYSDLLDAYDEASTEAGEN AGRILTENLQDQTARTGLAVAGWRPRKDDMAAQAVEWWSWDWEDAFSPETSSFVFGMA GENLTFNQFGEDNNLVIDPRGYREIITGEASTFLQPDDHRLHLNTQVTDIEYSPHGVT IHNKDGSCVSAAYAICTFSLGVLQNDAVKFTPALPPWKQTAIHKFNMGTYTKIFMQFN ETFWPDDTQYFLYASPTTRGYYPVFQSLSTEGFLPGSNIIFVTVVSEQSYRVEQQSDE ETKEEVLEVLREMFPNKDIPEPIDFGYPRWTKEEWAFGSYSNWPAGTTLEMHQNLRAN ADRLWFAGEATSAAYFGFLHGAWFEGKEAGDQVAALLQGRCAEVEGIDEGCTGRVHYS PLHGTTPEDAYSAENGWLVDSVTVTA ACHE_50046A MISAIPNLPASRPRPGPVGRWYLPAMALISIGFGISNYYSQSAT NARIESQIREEERLKRNRQLMDAYGHKDSLEDVERASKAYEN ACHE_50047A MAAPRGRLQGKNAIITGAAGGIGLETSILFAREGANVLMADISE PALEKAQAKLKEVVPQAPRVETIKCDVSKESDVQRMVESQDSWGGTDVIFNNAGIMHA DDADAIDTPEKIWDLTHNINVKGVWFGSKHAVQSMRRNKKARGSIINTASVVALVGAA TPQLAYTASKGAVLAMTRELAIVHAREGFRFNALCPAPLNTPLLQDWLGDDQPKRFRR EVHFPTGRFGEAIEQAHAVVFLASDESSFVNGTDFVVDGGMTKAYVTPEGPPLAAPQN LGH ACHE_50048S MSQVTADNVAEILQNDSAVKVAGVDVDGQLRGKLMAKKKFLSIV SEGFGFCSVVFGWDMHDQTYFKELGISNKENGYRDIVAVPDLSSFRRIPWENNVPFFL VSFLDPDTRQPLSACPRGLLNTAVGKAEEAGYHAMAGAEYEFYQFRAPGNHSSPERNA SSTATFLKENPVEALPPLTEGMFGYSITRPIHNQDYYYGVFNACESFRCEIEGWHTES GPGVYEAALQFGEAKEMADKAGLFKYVVKAIGTKHGITPAFMAKPRQGLPGNSGHMHI SLMKDGKNAFFRETPDPSPPYPDVAHLSDLGRHFLAGVLTGLPDIMPLFAPTINSYKR LVENFWAPVTVSWGLEHRAASVRVITPPTASPKATRLEVRVPGADCNPHLALAAIVAL GWKGVEKKLEIPVPPLSKGEDMGGASDQGARLAKSLKEATIRFMDKDSMAREVFGDAF VEHYGGTREHEVRLWEEAVTDWEVRRYIETV ACHE_50049S MVNVEAQLKDVAVLGALNDDTRKILTKDACAFLAILHRTFNPTR KALLQRRIDRQAEIDKGHLLDFLPETKHIRENDAWKGAPPAPGLVDRRVEITGPTDRK MVVNALNSDVWTYMADFEDSSAPTWDNMINGQVNLYDAIRRQVDFKQGNKEYKLRTDR TLPTLIARARGWHLDEKHFTVDGEPISGSLFDFGLYFFHNAKELVARGYGPYFYLPKM ESHLEARLWNDVFNLAQDYIGIPRGTIRGTVLIETITAAFEMDEIIYELRDHSSGLNC GRWDYIFSFIKRHRQNPNFVLPDRSDVTMTVPFMDAYVKLLIKTCHRRGVHAMGGMAA QIPVKDNPAANDKAMEGVRADKLREVRAGHDGTWVAHPALAAIASEVFNKHMPTPNQL FVRREDVNIGANDLLNTNVPGKITEDGIRKNLNIGLSYMEGWLRGIGCVPINYLMEDA ATAEVSRSQLWQWSRHNAVTAEGKKIDKAYALRLLQEQADSLAASGPRGNKFQLAGRY FATQVTGEDYAEFLTSLLYNEISSAGSAAKL ACHE_50050A MPTRTIFLLSSRNAPFQRMHFAIFIPSPTSPNAGRGTLINVVGA PMAGYKLEFERNHVPASRQHYQHYELFPIGEVNVQHIVDSEDEVHSVDDSPRGEIEIV ATQVKQPGISENFLAPVNDTTNRRCQEWTMDYVRHLVKKGIIGEEAVEIVQGRRDPPE HGIGLKAGQR ACHE_50051A MDSEILRDTSPSEAGAMPALTQVPTSVTLSAEQFEKLYLSPMMH RQPAMAKNLGNPTPLGIGAFVLTATPLACCLMAWRGAGGGGAAFSGVSILFGGLLLVL SSILEFVLGNTFSSVVFGHLGAFCLAFGATMTPAFNSAAAYSPDGTNTLAGLHSPGFV NTFAFFFLFMALLMLIYCICATRTNLVYVLIFASLIFVFSLLAAAYWKLGAEDEVMGN RLTVGGGAALFVATMLGFYLLTAQLLDSVGFPFSLPIGDLSGLWDRRRKQDDSDV ACHE_50052A MSGRRAPSWQRTPRRPRRRACDSCSKKKIQCDAEFPQCNWCKHH NLACTYNRIRKQADACQVTLSSPNIGGEHTPVAYSDPSISGGGVGHLRNGNTFLNNVS YLRGHHVFSDEGQKWIESQIGERINFDKLFSLEVQWLKPLRLLSDSAVPPPPLPELPS RANVEKYMLVYCSSFQGLVFPVLSRSMIGKTLDLAYSPVRPFGSASAKSCLYSLLSLV SLFGFEDSIHGAMDCRSYASAAQRFITPVAEEMTVDGLQSLIMLVQLKYFLGDLQSAA VTLSIATRLLYTLGAHTLPANNKNPASSFSAYDKSDLDFHLRDLFWLCYSFDKDICIR TGQPPCMNDTHCNLTLPSDYIRLHNINLQQDMLLIDNHTMPLFPWDLRLSMLKSRVYQ NLYSADSLYQSVSELLSSIRDLDESLEQWRLSLPAEFRPTLYFSPETPVSANVNTQAV ILRLAYYHCVISIHQASSRCQSSEMNLAGPPLQGITSSTGLSITASRSTLSYLQRVLP VVKGECFWVILFYATTAVLTLFCNIVSNPRDRETRHNVDLLQNVPDLIHRIPVRKLTL GEVIHLQYLDGFTTELAGICVRAISKAQQNASDLDP ACHE_50053S MSQVVLVTGANRGIGKGFVTYYLARLNTTVIATVRDASSEKAKE LYTLKKGNGSRLIVVSLSADSAASAVEAASEIQTKHHIDHIDIVVANAGICNSWGLVL EVDESDLVTHFEVNTMGPLRLFKATAPLLQKARTPKFVYISTLLASIGEIGRIPSLTG AYGMSKAAGNYLVRKIHAENEHLIALSFDPGLVQTDMGGRAAESLGLDVAPVTVEETV RGITKQIDAADKSTTSGQFFNYNGDKVAW ACHE_50054A MPLSPGIQDFTRNLGSNWGPSVNATCEQFFDSCHASLAQDPGPS IACEKNVKYGTHERHRLDIYWPPTTITEQPKRPVVVYFHGGGFKIGDNMITPHLHANI GRFFAANGMVGILGTYRLLPEARFPDGIEDIATTLAWIQTHVHRYTGDRDAVFLLGHS AGGGHLAMALFSGRLQPYLTLQSHLERGTGPRGVLLMSAALAYDLTQEPRRTDMEEYY GTLDHEEIQVRSALGMFRNLPTVRRGETSLPEVFVTVAEWDFEECVRGNLKFLEAYAM RTKRLPRFEVLPGHNHVSFCLSIGLPGDEVGPRIVEWVRQCLE ACHE_50055S MSKPTYSDAEWRRLVKLQQQIAEERRDALAKVMRLERQESLLRS RAGDFIARDYKEIAELEDLERREKEESERLEKERKAREEQENLQKQRKDIEYNAQLAS MSDDPSLTQMLNSPSFWENFDSAVAGGIPSPTGGNQSSSQ ACHE_50056A MPPLKALETRDLYTVGWIAALPLERAVAMAMLDEKHEKPLDFVQ PHSDTNSYTWGRIIDHNMVIASLAAGKYGTISAAASALPMLSSFPQIRIGFLVGIGAG IARPDHGRDIRLGDIAVSQPHGNSGGVIQYDLFKAKPGNQRESRAFLNSPPEVLLHAL GNLQAQHILEPPRVLEYLEETMTRYPRLAKQGYVHQGFENDRLFKTTVLQTLPYQPHV MIQG ACHE_50057S MKVSTIICTLTTAGLVAAIPVDPDVHDNVVRDAILPGGVHARDA ILPGGVHARDAIAPGGVHARDAIAPGGVHARDAILPGGVHARDAIAPGGVHARDAILP GGVHLRDVVPDTDLNKLDHVDAKGVDGYGNDNGKRNGEGHGNGEGHGNGEGHGNGEGH GNGEGHGNGEGHGYGNDNGYGKRNGEGHGNGEGHGNGEGHGNGEGHGNGNDNGYGKRN GEGHGNGEGHGNGRD ACHE_50058A MDDLQGWYERVDELAAKGLLTPDGILKGINQNVLIWCALNEETE MPHLCKVFDSLASEKNGTKILTQSAFLSFLEATGFLPPPLREAGALVYRSLLYLSQYP LYLSIPEALTYEEFMRAVAWIRPRKSRHIYDAGQDGRSRSPADSRRQLFQSFATTRDG RSIPFDAVHARKQAEQRAFDFTGADCAHTCRQFAATNYDDDGDEMFHDILDVLYSVQP KEIGWRSPPRDSFRPVARKLVREERVHHLSIPQNEFQSVVKLLVATYFGKPRVSVEQL ADLDHVVDCIVRPVIQRPNIGITWDMFDQAVGNGMPLLMEGLQRLLGPFYRDPEDNDI IISLPQPGKVATLPVLAQMSSLGVFSWWFVSVKPPKSYDVSAPTITASALADDLDTLP NAAIALLVSGKNSQTGEKTAFGYYIPDPEFKEVQKFLFQLSPIQDAFRGNNTRSGREL DRGELVFGQKGNGVSMVLQQDLKKAIVSHSISGQHEPMYPATAWRGDWRVEIEVEDIE VWTEQQPEYDDEDEEE ACHE_50059S MKFTTLFSLFLATLSVGVGASPIAIPNDVQEAPTDISNNTAEFA GDFEKRAPPKETERLQQIQKSLGKQRLQAGKEYAIQVTWTKNAPGSSTGFNTPAKAEM KKTQEKYGFDHTAIIVGKVQKDGQSHELDFKGNWYHLTSEPQGSGKTEWFKTSADTGN EWKKSNTDKIIKFSNLKEISGNWEEKVKDAAEAASKVSTSNGNKWQGGKNDCKTYVEA FENALK ACHE_50060A MPQVYIAAFRLVKIIAKDFAGCFGIIPQLLQVMEEPVSLDSKSS YLCLQIQE ACHE_50061A MASLDASRLRLTRASVLRPVPDPESSELWAQNIATDHMATCRWT VDEGWEDPEIKPFSDFSISPLASCVHYATQCFEGMKVYRGLDNRLRLFRPDRNAKRLA TSAERVSLPTFDTEQLVELIKALVRVDAPRWLPKPGNFRYIRPALIGTGRQLGVQVPK EALLFIIIVCWPDFSTESPPGVEPRSDLRLLTSRSDTIRAWPGGFGHVKVGANYGPSF ASHCEAQRAGYDQILWLFGEDGQVTEAGASNFFAVVKDGRTATPKLLTASLTYP ACHE_50062A MGSSEDISDNSTHKAMEPFGEKQGEVNPPPVYDGDMVGEISERE QHIYEHGVQKFNRLGWKRLTIVLIVEAIALGSLSIPSTFATLGMVAGVICTVGIGLVA IYTSYVVGQVKLKFPLVANYADAGKLMMGRFGYELVTVMLVLQLLFLTGSHTLTGTIA FQNITQSGVCSVVFAVVSAIILLLVAVPPSFAEVAILGYVDFVSIIAAIGITIIATGV ESTNAPGGLAGVDWSAWPKPDTTFSDAFVALTNIIFAYSFAMCQFSFMDEMHTPKDYV KSIWALGIVEIVIYTVTGALVYAFVGSGVQSPALLSAGSLVSRIAFGVALPVIFISGS INTVVLGRLVHGRIYKNSPIRFINSPMGWITWLIVITIATIIAFVIAEVIPFFDDLLS ISSSLFISGFTFYFPALMWFILIREGKWTEPRNLLLAAVNICVLLIGLVTLVAGTYSS VTDIMNNYKAGTVRGVFTCAKPE ACHE_50063A MAENEVNRIIQARFGDDNGLKIADIIENKPIQADGTLSLNGAGL KTWEYPFKA ACHE_50064A MCPPLGAISAQLTDSTEFPECLPPDDLSRGAYKLAQSCLPPAIL NHSVRVFRIGEWLAKISQSNWAYEKRSLLFVACILHDTGCATQFDGPQRFEVEGADAA ANYLRQYKVADSDVHEVWQAIALHTSPGIAERISVLARLVRQAVLIDFGTQLDQESRD VRHAAEEAFPRLGIEKILGDVVVDQALRQPQKAPPASWPGVLLRAKREWPESDGVNKG F ACHE_50065S MGFDLIFLIGAAVQTAANGSLSYIYGGRVIAGFGIGGMSAITPV FVSENCPPKIRGRIAGLFQEFLVIGVTVAYWLCYGVGENIAPTTKQWRIPIAFQLVPG GLMLIGMFFLTESPRWLTKENRYEEALEALAYMRSKPANDPDVQAEFSEIKTAVEHEV EETQGLTWRETLQPGNRLRFINCFVMMFWQQFSGTNSIGYYAPQLFQTVGVRGGNTSL FTTGIYGVVKVVATGIFLIIGIDKIGRRWSLIVGGWWMSAIMFVLGAVLVSKPPDPES TSGISSASLAMVVMIYLYVIGFSASWGPIPWVYVSEIFPTRLRAYGVGLGSATQWLFN FVITYITPAAVANIGWRTFIMFGIFCFVMGVWVFLFIRETKGRSLEEMDVLFKRTFAF GHLRDIEHRPDTKLDEAKGEVTEHAEDVDRR ACHE_50066S MFRTIFRGGLSASGGALAVTTGTYLYTTWDVQAVPVSNSLDPIF QNKHYRQCNPNDNPTVHDLHVLKVPFSQIDPALLENKEKLLERYSGGVWGGVGFMLQR NFRKCFTPITTTDDHLWSKPDLLASPYNPGTTITDEFLVLQKSADTILVRGGDKVTNQ GLRPLDAFIELTASVKPDKEVVEFGFKSVFFQGLGAAKGLPMPGFIVWLHEVYAKALL GSGVRYVLR ACHE_50067S MPNILITGSARGLGLEMVKRRIVAQSNGRVVFIPLEVTDEASVS QSVKETQSILGQSSLDILVNCAGVMSASDGKVAEMDNLEWHMSVNVTGVHNVIRAYLP LMQSGQVKKVINISSALGSITRAPQMTFATAPAYKISKAALNALTVQYALSYQDEGFT FIALCPGWVQTDMGSEKAHLTVDQGVRGIMEVINSVEQKDNGTFKNTYQPGWDIYDGK GIPF ACHE_50068S MSQGYFNGDILSACDRCHSRKVKCDRQQRCANCADAGVECQRVR PGRSRKRPLPGVLTPEESILQLERPILTPSSQRGGGQESEVEVRTPARQRRGINSHDR LEKSPEGHVRSAGIDCSNHHAMRARSVIQLELVDSRHTNQKQQVVLKAALQLVNQIGG CEEKQPDENVGNETTVITAEDPATVPDAPPAELLLMLLHPNAGPQWPDHISNKTLEKM AAALMKGDFQGQVFHQYCVCIYVKAILHFYQLSRQINSSVVKEQLVRSRNTYITATLR SIQQFNILASLTLQSIQALVSSALLMQQLGDVKQCWTLNSYAAQQIVALGYDRIRNIP ARSQAEEEIHSAVYWCYYLDRTVSALLVRPTALPNLNVSPTELIASMQQSAYDPLIRI LLDLAQIQGHLLAFSSDLKRADSRYILDTCNMIEERMHRISQDLRTSRESLPEMLKYD WVAADFCYYAIYVEILRTRLRCTFTPLVHRECLVYARRSLEAFRFLQQHRAELGFDDP YPSFLTWTLLLYPLSPFFVVFCNIIGTLDKDDHELIYQITTGLSQFKRDSHLEKVLSL LISLERLCESLFHEDGEALPEMQRPVEALPSIQSLTNAGDTDLGQDVSGSDTGASADW LMWQLFNSEVPLGWLNPDFPIL ACHE_50069S MVNPNSCTHDAGYTINTNSLPWLPLAPKVTIKIIKLVPSTGEYS IMVHAEPGGILPRHRHLENAEIYILKGSGAHPQTGEFVQGDYVSEAKGATHDAVVFGD EVELLMVSRGASVFLGEDGEELYTMDVNWLRNFREGFR ACHE_50071S MASEKSQNPFEILGSHSNIVAGPSNISPANVEPPPYTTTATESG PETTPRTPPQPNTQTKPIAIPAIEPSFDSPFLRAYAPILTDYKLPHESFLSFLDHLNK LITSNPPLQVLDAAGGILQSVPLLFPLHWLGSAVSGLANLGRHGVSKSRTDSYIHQAN QEIFGPRGLKVEIVKLDALAYIANIPILDSKGKVNRQMPVLQCLLDETGARTAPAVDG HGSKQGGVDMQQQQIRILQPWIAELELHILPWTSKSKLTRFNAALKKRNDPGQMESGS GQRSEMNDEETKLQKCFWLVIRPDV ACHE_50070A MSGLEAIGIAASIIQVAELGTKLAVKLCTFYHQVKESNEAIQSL SSDVSLTCSVLHQLGDSLEQDAETQLYSAKAFITAQEVLGECRKVFDKIDHAVEEQKR DNTKNRVARVTRKFGRALMERDLDVMRTNLERLKSTVLLMLNVIIYAGQIRWYVSPSI ALILTNRYSKSESPLLKEQRELINILVAEKKANEDKYANLQKALQSSQVNNHFQALSP SISRDSASTTSTAYDPSTELQEYYTLFRDLLDRVDACKYTFEPSRHRRIRNGIMDLNL AEESLFQSANRQKPSQLAREDPISEWHPMHTSAQTKKKRKAQPPLAYFGSDDCSPAAC YSPRSSFEPDLSVIKHPAAGVIREPKASQQPFQWLNPNAPSLMYEDSGHITERIYGDH DGEPLLYQPGSWGGSGGASHSVDNVSDVVEDVILEWTTIDRGELHG ACHE_50072S MKLATILSVLPMALALPTSSGHAKRSQGAFSVMSARSASPIHLL PLNADGSYFYLGGEASTYCPETVSNVAPCPKGDVTAFVGTGAALDVSVPGGQAVYVDS NGALRFTTPHSGYMGEGASTGPFEYTPASSPNGIGSWVYKGQGASGFMACPADDNKWQ VFAALQNATVPQGDVSKCLGFSAMAVKAQQNATAAWEYI ACHE_50073A MKLATLLPILPVALAATDHAFTVEANAQGSEVHGLPFNALFSYF FLGHSPNVTAFVGDDGDALIRPFPFYINTKLYQQQILTKKTIISPLNQKMYIDSAGHL RYTDPSTSLPSNARALHVSHAPNDKGNYTIQDENGAEAGFLACPVDGPGGIASLAWQI SVALPDQVLPEGLRECTEFWGRTFGV ACHE_50074A MPSTPATASSARSGSFRNRSRTNSKTKSNTTYMPVHEAIPEEEG DASSSRFSAPPPPYRDDDEADDTASLLASSESDDNVDYEEGVKLRKRSVSGPKAKAKA KAKAPAPSHAAAYVPVVRNSGDIESYLDSITEAEQELLSASRYDYEDGEGYDYEMGIA DSDSDGDGYGTTMKRKKAMRKMSMVDGGPPKGWKAFWYSKTWCRALVVVVVCLVLLIM GFVTFARYRKVAPPYYPVVPADHWYPTPRGGTVKSWEDSYNKAQDLVRNMTLIEKVNV TTGTGWQMGLCVGNTGTAEEVGFPSLCLQDGPMGLRYADHVSAFPPGLTTGATWNRTL IRNRGIALGLEARRKGVNVLIGPSMGPMGMMPAGGRNWEGFGSDPVLQGVAAAETIRG IQSNGVMATAKHYVMNEQEHFRQPFEWGISTALSSNIADRALHEVFVWPFAESIRADV ASVMCAYQMVNNSHACENSKLLNGVLKDELGFQGFVQSDWLAQRSGVNSAISGLDMSM PGDGLHWANGKSLWGSELTRAVLNTSIPIERLNDMVTRIVAAWYHFGQDDWERPPPDG EGGPNFSSWTDDEVSYVHWGSNDNEYRIVNRYIDAGVGHGQVAREVAAEGTVLVKNEE DTLPLSRTPDGPYRVGIYGDDAGPAEGPNACPDRGCNQGTLASGWGSGTVEFPYLVTP LEALEAAWQDSENVETTAYLRNGVMPEDAADKDLCLVFANADSGEGYISAGGIHGDRN DLYLQKGGDSLIKTVASHCGEGQGRTVVVIHSVGPVVVEPWIDLPGVHAVLFANLPGE ESGNALVDVLFGDVDASGRLPYTVGKSLEDYGEGAQVLYEPNAPVPQVNFTDGLYIDY RYFDKHNITPRYEFGFGLSYSTFTISNAHVNSLQWKSRFPAARPENEIEPPDYDTDLP DSASALFPADFSKIWKYIYPYLDTLDGTEPANYTYPKGYNLSDPSPPSAAGGGLGGNP SLYDEMVKINVEVANTGRRRGQEVVQVYVSFPSGVVEEVPQPASTTIEPTPRTTSTNP STPTPAVLQEVEFPDRVLRNFTKIELDPGQREAVEMTLSRKDLSYWSVRWQNWIMPEE GKFGIWVGRSSRDLELVGEY ACHE_50075A MPHPESPEMPVAVSKTPSGVPPTQEEVSSLINTIFNAETSQQSL DGSYALTNLLIQSVGTRGLLQYNILPEIKKAAADKKNGARRESAMLILGALFERFPRE HPLSEYVLLLEDGGVLHLAFEALADKGAVVRDAAQYAIDALFGNLKPESLVNALLPAI SAYLSKGTGKWQGFVGAYALIEKMAIKSQMGAGSADEERQKDLLREAMGKTLKELIPL VESGMHDLKGEVAKRATKAMNELTTLLSNDDVLPRIPLLMNTMQQPSEQTLQKAIHAL SQTTFVAVVTSPVLALLTPLLERSLNTPTTPQETLRQTVVVVENLTKLVHDPAEARTF LPKLQPGVQRVKERASLPEVRELATSALSVMEKAMGDSNLAAGAVAKVTPDEVLAVLD AKIQAHGGLADPRDATLFTLGKTYVAEMVREDVNCRMHDRIPACTAPYLRGLLKDDKH DAVASDLEAHFVEDDHRKFGKPVEDDPNEVVIVNANFSLAYGGMLLLNHTNLRLVKGH RYGLVGRNGAGKSTLMRSIANEKLEGFPTQDQVRTCFVEHNQGEDADLSILEYVSKDP LIAETGKEHISSVLLEFGFTDGPEGRQSQAVGSLSGGWKMKLALARAMLKKADVLLLD EPTNHLDVANVKWLQEYLKKNTEITSLIVSHDSGFLDEVCTDIYHYEQKKLVNYRGNL ADFVKVKPEGKSYYTLSASNVQFKFPPPGILAGIKSNTRAIMRMTNCSYTYPGASKPS LTDASLQLTLSSRVAIIGGNGAGKSTFIKMLTGETIPQTGKVEKHPNLRIGYIKQHAL EHVELHLEKTPSQYLQWRYANGDDREVFLKQTRILTDEDKAQMEKPVDLGDGRGPRRI EALMGRQKWKKSFQYEVKWVGLLPKHNTMISRETLTNLGFFKMVQEFDDHESSREGLG YRVLEPAVISKHFEDIGLDPEIANHNQISGLSGGQKVKVVLAGAMWNNPHLLVLDEPT NFLDRDSLGGLAVAIRDFKGGVVMISHNEEFVGALCPEQLHVADGKIVKRTNNAISLD RFEDSAASSPQPGSTAVNSTATSVNASAANSGAEDQGELKFKAKKKKKLTRAQLKERD TRRRLRHLEWLQSPKGTPHPPDTDDEA ACHE_50076S MAFSMRTSRHATKLATGPRQFLRRYATAEPDLKSALKEVIPAKR DLLKQVKDQSEETIGEVKIGNVIGGMRGLKGMLWEGSVLDPDEGIRFHGRTIKDCQKE LPKGTTGTEMLPEAMFWLLLTGQVPSTAQVRAFSRELADKSHLPEHILDLIRSFPREM HPMTQLSVAVAALNTESKFAKAYEQGLNKAEYWEPTFDDSISLLAKIPRVAALVFRPN EIDTVGRQALDGAQDWSHNFAELLGKGGKENADFHDLLRLYLALHGDHEGGNVSAHAT HLVGSALSDPYLSYSAGLLGLAGPLHGLAAQEVLRWILAMQEKIGTKFSDEDVSNYLW STLKSGRVVPGYGHGVLRKPDPRFQALMDFADTRPDVLANPVFQLVKKNSEIAPKVLT EHGKTKNPHPNVDAASGVLFHHYGFQQPLYYTVTFGVSRALGPLAQLIWDRALGLPIE RPKSINLLGLKK ACHE_50077A MWVYNQSTPDPHSHTATVITINLLFPALALLAIAFRFFVRLRLK RTPWLDDYAALSSAVLAGVYGAIAVAQSRWGLGLNAAYFPVENVVPFGKIQYAGGPVY TMALLGFKISLLSSYLRIGGFVNTYKYIIFAAIAACTINQLIFTFLLLFACNPVAKQW DATIPGHCINTVPSYYGLAGTSLGFDILIIALPLPVLGNLQLRRKQKIALMGVFALGF FVTIIQIIRIFTVKNLKSYTDSRPIVIWSVIEISLGVISTCIPTYAPLFRAFTSLNSY YNRYGYYNDNGNAYALATRNMTNRASRRHANTHTNQSTSTSRLDRDLEILDDGTTIGK GGGFETTIMSVNTPMSSTFAGSSVGGAGGSVGRPRDSDSEELIKRSALQVQMPAGVVL NQSQEEPPGTGVSGREEEDAFQIHTFTEFKIERHQV ACHE_50078A MTVSTRLLGALLALGSVSTASQSCRCFPGDDCWPSQDVWSSFNE TVEGRLIATVPIASPCHAPNYDAEVCKELKNEWMEPEVHYNSSSSIMAPFFTNGTCDP FHPVSKPCTLGNLINYAVNVSKPEHVSKTLSFIKKHNIRLVVRNTGHDYNGKSTGAGS VGLWMHHLKDIEINDFSSKYYNGKAMKMGAGVQGIEAYTAAHNQGLEVVGGECPTVGI AGGYSQGGGHSALASRYGLAADQVLEWEVIDGRGNLVTARRDNEYKDLYFALSGGGGG TYGVVWSMTSKAHTGHATSGLNLTFTNAGISQDTYYKAVKLYQEKLPAIVDAGAMSVW YFTNTSFSISPLTGPNIPVAELNALVKPFTDGLRKLGIKYQIYSQQFDSYLEEFEAMQ APIQVGIAQYGGWLVPRSVVAEQNDALTDAYRYITEDGATFIGVGLNVSRALVGEDID EINAVLPAWRETLIDTTITTPWSWTASRAAMVAEQRKMTDQYIPRLAALSPHSGAYLN EGDFQQPNFQSVFYGNKYDKLRAIKAQYDPDDVFYGKTAVGSEEWRQLSDGRLCQT ACHE_50079A MDGQMDMKKYYMMENDKPVAIRSSSEPFSVEDIKRCATCRGSLR DLSRYGRLVRRAILDESTKRFLLYLSREFVPLARELPLQIAATLENKKELSPLLLQEQ ITINIGGSRDKQFRQMADIIQKYGGNRWREVIRLRNRIIQYYNTVEEKEQPLSRVQAL VESARRRKGSSGSFEFDGSVVQTKGVFLAITLLMRLDISLLGDFLSLFQISRTGLKRC KVQINLQAIQEECRNLIKRAGNAMRVAHEVEGYIFLAKLHALERSQSEAPNVREKHAE QAREAIATARKLCQRYPGQNNGLGSEIDEAEEMLNIGTFYSTVTSEERLEVLAAMTRE FRGTGHWYYCQNGHPFTIGECGGAVQLALCPECGARVGGRDHRTVDGVTRADDLERAL GHSRI ACHE_50080S MDIGGRKKAASRSEECTVNGRMERRRAWSTGYADTLMDISDLRM ECRALRKVSGTLNEHTGSRRACCMEDIAPTRGRFAYTYERRSIDSGHKFGDICRGPL ACHE_50081A MVNARGVCYQFLRNGTCRYKNHCKFSHDVARAEPTERKEPKLTS SERAFRAWRDDIPLKLVNLRPLGKRLGHFFREARKLLDEGDGMYQEVIRALAKEGGLK RIQELVEYDYSRMTATTKLFVFKNQMLPFLKLITAPEVLESMILEQAVGTIYNVLFGH SGRRGVLLLEFLADVCSTSNKEKLTETLSYLEVSLFIFWQIIELNSFAFVHEPFKPVA TEFEGIFKAFHSEETANSLQAARTYLERILRHLEIGSSLPSVHGTQKLAESNTNTTDL SFVLKRDLPGGRHDNDSADICKVKIMPTIEEIQSSRGEYLPMKDPRQWHLGGIGGLLD RSFRLLREDTVGQLRDTIHGLLKPSNKGDGRRSTQLRTHIHHNVHVVELNFDRFHGLQ FVTEFQQPINMKNMSSKKRESWWQQSKRLQSGALVCLVFEAKNVVFCTVAAPPRERRG KGQKQPSGKKLPSLWEEKETGAVLLELVQLTDDNLKCILNQYKPRTAVPPVSLVEFPG IILATFEPTLRALQKMKKSDVLPFSPFLAPMDPEGPSGMVTPPPPAYALRPGFSFDLS CLLKDRKMRFTMRPDEPVDIEKLQQHSALDKAQTLAVVNSLRRSIALIQGPPGTGKSY TGVALIKVLVHALSATERTTPFGTRGRGRGGRRVEGNRRSAEGDIGPIICVCYTNHAL DQLLEDLVENKITSQIVRIGSRSKSARLEQFNLRELSGITGKSKTEWKDEQNIHKELD EAEKEFNELPLGSTSLEGKIMYFLQRHNPHHHRQLYGKDDKGYERAQGSKKRSVLSQW LNHGKTKGETRSMEALQGIDVNSMRRQERQNLYEHWVAEIRRESHDKASDLFERQQRN KVQFDNIRDERSLRCLRQAHVIGVTTSGLARNLDMLRRLRSKIVLCEEAGEVLESHLL TALLPSIEHLILIGDHLQLRPQVQNYELSRENHKGGEQYSLDVSLFERLVSPDEGGGV QVPFNTLEMQRRMHPSIAQLVRQTLYPCLEDAPAVEQYPEVTGMRRRLFWLDHRHHEG NTSSNDALGTSHWNSYEVDMTIGLVNHLIRQGNYKSGEIAVLTPYLGQLHLLRNRLSE SFAIMLGENDEEHLDKVGLNKEAPEEKQRIVKTSLLQSLRVATIDNFQGEEAKIVVIS LVRSNDQSRCGFLRTSNRINVLLSRAKHGWKLRHKSGAAVPTPSRSSYRRV ACHE_50082A MSYLQTGTRQWLSPPRSTSLKDYHEHKINVQEAAYAISRLTATS QSTALNHGRNKLRNLLIAALEEWPESELSPIFALLEEMENLPKPAIREEARHSVTTDP FWKQLPGFGNMKVGIFQWGEWRQEIEGHPDDPDLQRQMREKYIRIASLEVLLVDEKIG PILLDWGYECLADAFERCDVIPDIQFPMAAEWLKRLARRTHDDALREEEDWPFKRNYL DLRKGDDAMFVERWQYWKSRLEYAKEDLPENKSIER ACHE_50083S MSDYTYNLVQSRYSDIAKQSNNTQQHHKEEEIARAFGYSAEDLS SLPEKTNLGLSCGNPVGFANVKEGETVLDLGSGSGIDVLLAARRVGPNGQAIGIDMTK SMVKLAEKNIQKAELSNTKFIEANISSIPLPDSSVDCIISNCVINLVPATDKAAVFKE IARLLKPGGRVAISDILARKLLPDHITKNMALYIGCVAGASQIGKYEEYLRQAGFEGV FIVDAKSDLNLYKGSLYLPQSSCCGGGYGGKETTSDIAELDFNEWVGSFQIYAIKA ACHE_50084A MTTLKTNSSSKRTITVKTDQISSSLRIRDNQRRSRARRKEYIQD LEQRLHKFEVLGVQATREVQAAGRKVAVENTHLRSLLRLHGVSDQEVQEYLTAHTANI ALPTFHSGAVLEARSPAWKSPGLNIVCEGSSQLSSLNREYKDSLHEMAATEPTSSLLS PAIHQQVTISENQISDEEQHVPVDSPTHGTSKSQPPSRNQNSGQSTPCETAARIITSM RNYSDARDVRSELGCQSSSNCMVRNMDIFRLLDE ACHE_50085S MIPRFRIPLVLKPSPVIRSAVRFPPCRYTTMAHPAAAQPELTAP GGHESMQHPEHPSLAISQSEDDTDIRQKYRPFILVDDATEDWVSTLELTTAMSMAAQE LHKSNNRLKVLVLYGSLRRRSYSRLVALEASRILFRLGCDVRVFNPEGLPVKNDTEHG HPKVQELRELSKWSDGHIWVSPEQHGNLTAVFKNQIDWIPLTTGSVRPTQGRTLAIAQ VCGGSQSFNAVNSLRILGRWMRMFTIPNQSSIPQAYTHFPDEGQPGDQRLMPSGNRDR LVDCMEEFVKYTILMRPHLELFGDRFSEREEKRVKEGNMRAARAKTS ACHE_50086A MADKPGILFVCVHNAGRSQMAAGYLTHLAGDAIEVRSAGSVPAD SVNPIVVEAMREEGIDLTNQKPKILNADAVQASDVVITMGCGDTCPFFPGKRYIDWQL DDPAGQGLDAVRLIRNQIRCRVERLITELQLFS ACHE_50087S MAIGIILGNFVPSTGPALQKGKFVGVSVPIAVGLLVMMYPILCK VRYEGLHHVFKTRQIWIQIAFSIVVNWIIAPFFMLALAWAFLPDEPELRQGLILVGLA RCIAMVLIWTGLAGGDGEYCAILVAVNSLLQMVLFAPMGVFFIQVISGDSIVFQYSTA AKSVAVFLGIPLGAAILTRFTLRWATSPRWYDEVFLKWASPWSLIGLLFTILVLFASQ GRQVVHQIVSVIRVAAPLIVYFAVIFFLTLLVTYRLGFGYKLATTQSFTAASNNFELA IAVAVATFGADSNQALASTVGPLIEVPVLLGLVYAVKFVAQRLGWRD ACHE_50088A MKLNKILKRLEIDDEGGPIPNRWMNNDIKPVEAGRRTWGFWMFH NFWILINSNISTYMTGSSLIANGLTWWQAVVAIVVGNLLVMIFVVLNSLPGIYYHLGF PVVNRYVWGLYGSQFVLWNRILLSLVWYAFQAWIGGECIYVCLQAIWPSLESRIPNHM PLSTGMTTANFVAYIVFMVISLPVIYVKPHKLQVFFYVSAATILIFEIVVLIWSILTM GETGFGDTMSDKDDGYSGWNIAFGIVSTIGSIAAGILNQNDYARFAKRPHDAILGQLI SCPLYAIACSVIGILVTAATQERYGEALWNLPDLLSAVITHGGSRSRAAAFFGGAALA ISQIGVNVPGNALSGGFDLAATFPKYINLRRGAYITALLSVVCNPWRLVNTATTFLSV LSSYSVFLGPMIGIMIASYLVVHRRRIKVEDLFPSQNPRDSIYWYSYGVNWRAGIAWI CGTTPSLPGFVASVNTSVTVPIGLTHLYYICFLTGFIISAAVFCILHWVFPVPEVQRF VESAGSVQVFVREYREEWDGSAEGVEGVVRVGGGKI ACHE_50089S MKIYRIGVDVGGTNTDAAIIDITATDTPSRGVCASSKTPTTPDV TTGIYTIIENVLTDTGIDRADVLSVAIGTTHFVNAVVQADERRLSRVAVVRLCGPFTR QVPPWADFPPTLKSIVGGPVYYLDGGMLDPSIHKFS ACHE_50090S MDHRGLHEESCKRLMLELDPTLSVVCSHAIGGIGLLERENATIL NASILTLARRTVGAFCRAMAQLRLECPLYLTQNDGTLTDAATAAELPIKTFASGPTNS MTGAAYLAGLDKGTASHLRSDTQVLIVDVGGTTSDVCGLLPSGFPRQAPNFVEVGGVR TAFSMPEVLSIGLGGGSRVVQDVTTGNVSVGPESVGHNLTSQAMVFGGNALTATDIVV ASGAAEIGDSDRVQHLPSSLVTTARTQIKKLLERAVDDMKVSELPITLLLVGGGSVVQ MDPLEGVAESITPPHHDSANAVGAAIAKVAGELDIIEVLADRDHKAVVEQAEKRAIEV AVARGADREDVQIMEVDQIPLQYVTNKATRLVIKAVGKLAPPDSDRAVTTGPVVNGFD DEELEQAEEHRNRPDAVSTVKHAAYMDIQTYRPDVRNGVWYLSPVDLEFIATGTGVLG TGGGGPSRLQCLHSLEYLRDPQFKGTMRVIAPESLADSDVCVFGSWYGAPSVSGERIP AGDELMTAIDFSVKISGHKHFEAIVADEIGGGNGLAAFPSSAYYDIPVVDGDLMGRAY PTIEHGTPYVYGHPIVPCAVADGKGNAAVVMQAESHRRIETMLRSQCVDLGNKVAVAA TPLTGDVIKQYAIPNTVSQAWYIGRAIHQARKSKKNIIQAIFDTTPGKVLYTGKIIHV QRDISRGYTVGQCTIAPLRNDEKEVLTQSDITEETRNLVVPFQNEFLYAGYADPANPE RELDIICTVPDLISILGTDGEAIGSPELRYGLKVSVIAMAAHPLWTGDERGLRIGGPE GFGLDMPWKKLGEYQKPRSVVEEFNNR ACHE_50091S MSLGPRIELPSGRTSPSLSTTPATPATGTQTPDPLLGQSAKNHT VDADTLAQSVSRMQQPENEAYELEHRRRKPSHAVEFTETDTATATGAEQHANEAGTPS PPSGSQGIPPELRNFTSEIILVMVCSAGLMFFSFLLGDILVVQQQFRSALGIKNTELP WLVGAFNVANGLSVVVSGSLTDLTPPKLLMVGAFAWLMVWNIVGAFSLHQSRYVLFFV MRAMQGLAIGVLVSGSMSILGRVYKPGIRKNRVFSAMSAMAPFGFSLGALQGGALKAH LPWIFGTNAILTGLCCIAAWFTIPPLRPVADSADKEAPTLRQFDWVGAVFAVVGCVCL LFGLTQGSVTSWSPYTYAMVVIGILALIAFFFYESRVARPLIPTSLWKTKGFTPLMIA YFLGFGSYFGAWQFYAIQFWLRIQHATPIAVALYHIPNAIVGVLATWLVSRTLHILPG HYIYTTSMIAFTMGPAFFLPQTPNTIYWALSLPGVALVTFGPDLAFAAASIFITSNVK RSYQGSAGSLLVTNQNLSSAILTSVADAIGAKVDLGPTGEVGLKGLRAIWWFALATQL TAALITVVWVRIPKEEEKEHVT ACHE_50092A MALLDLPPDLLLAIADFLPESALNALLQTNSSLYFLNATLYQYN VRYSHSNALLWASLYGHEPVIRRLLSHNADVNTTTIRRGPRRGIRRNKNAMRSMADAL ERAEKKSNCVTSLASPLVHAAAGGYVDIMELLIQHGADIHATTLTNTNTTLRKPKRLT PCHCLSTPIMTAAHHGHVPAIELLIHHGVDINVPQAACNSPLSLAAINGHIPAVRALL LHGADVNTIRRGTTPLVKAIQANQLDAIRVLINEGNADLTITSNHPQLFTPLFWAVSQ NNPAIISLLLNHAPAEIERQDAIGRTPLAFAVWEERIEAIECLLSYGADINAANRVGQ TPLWWAVLSNSIPATKTLLTHDADTSVLAPLDNEDEVMPVLLAAMKRFHYGLVEVLLE HGIDPNCLSVSLSGQRDKEVTPLSLAVEYREVELVRMLLQHGADPNARGGRRESTLLD HAIAAGNVCIATMLLDHGADPNALVKLSGKRKGKVSPLCSSLKCRQFAIARCLVDHGA NVTEPGLLVKAVGREDVGLTMAMLQHGAREGINQALNLARFKKDGILEQLLTL ACHE_50093S MADIDFIETPAPKPSAFEAAEDCGISLTKSPAIHNAPLPADGAG NESFSNRLLIAALVGTPTFLTYTLGGGMKTAVFLGLITTVPVLVVFWTWSSTCSPRTN DKVKLPGRPIEQYVTFKNSADRAKWQGRNRIPLQTFCEMYLDGAVDFNGDCLDVMEYR HDWAHFGFTWQLFKFILFTFARDVLSHTKSQDDEQIRPNYDRGNDHYSWFLGPRMIYT SGIISDPEREETLEEMQDNKMAIVCEKLGLKEGESMLDIGCGWGTLAKFASLNYGAKV TGLTIARNQTAWGNDALQRAGIPEAQSRILCMDYRDIPHMQYDKITQLEMGEHVGVRK LTTFFRQCYDMLKDDGAMYVQLSGLRQAWQYEDFIWGLFLNKYIFRGADASTPLWYYV MCLERAGFEIKGVDTVGVHYSGTLWRWYRNWIGNGETIKAKYGQRWFRIWELFLAWSV IASRQGSATCFQIVVVKNLNSTHRINGVKSQFGLSAALDSSRRAGKSRLEK ACHE_50094A MPQCAASIMTLHDAFRSALQKRQSKSSRRQLTLRSPNAVDFSSN DFLSLSTSSLFRERFLSLLNQAPPDYPFASGGSRLLDGNSPFTEELERFIAAFHNAPT GLLFNSGFDANVGVLSSIPQPGDGILYDEHIHASAHEGMRLSRAGWRESFSHSCPVSL RRKLEGRIQRDAGIANGDRNVFVAFESIYSMDGDIAPIREIVDVVDELLPRGNAYFLV DEAHASGVLGPKGAGVVQELGLEERMLIRVHTFGKALASHGAIVLCSPDTREYLINYA RSLIYTTALGYPYLASIRAAYELLSESLVEPHRQNLQHLIHHIRQRLLNLNPGHIQLD HSPSSPILSLRTPYPRQLADACQKAGFVVRAIMAPTVPKGQERVRVCVHAGNTEEEIE GLVGVIKRWMGGKL ACHE_50095S MTLWRSLRALQVYGANTDVGKTIVSTILCRSIQRQNQRAAFLKP VSTGDLSEADDGHLRRFGAGTITRCLYQFDKPVSPHLAVNEDINDDTLLRRISSTLSD WHRTGINLSLVETAGGVHSPGPNGNSQADLYRPLRLPVVLVADSRLGGISSSISAYES LVLRGYDVQSVLLFRDDYYQNHQYLRDYFQRKNIPLRPLPGPPRKEESAARDEENMDK YYEGVARGDDITSLLEEMGEKHKERLERLDGMADRAHELVWYPFTQHQGMAAKDIGVI DSAYDDNFQVYSKSDAQNGQLHPTFDGSASWWTQGLGHGNPDLSLSAAYAAGRYGHVM FAGNVHEPALQLAERLLQTLGNPRLQKVFYTDNGSTGMEVAVKMGLRAASVRYGWNAR QDSIQILGLKGSYHGDTMGVMDCSEPSTYNQKVEWYRGRGYWFDFPLVTMTNGVWKVQ MGGLGDVLGSDLEFPSLQAVFDVDARVRSEAAQRYREFIHQSIQEQVQKGVKFGALIL EPVLLGAGGMLFCDPLFQRCLVDVVRSQPELFRPGAQQSDGWSGLPVVFDEVFTGLHR LGRRTAASFLGVHPDITVNAKLLTGGLVPLCTTLASKEIFDVFSSPEKSDALLHGHSY TAHAVGCQVAVDTLQSMATMERGRFWDVYRRDWQQAGAVDQGQDQFVWSLWSPDLVTD LSRVDSVEGVFALGTVLSISLRDAAGGGYTSTAAKGLQQKLSAANVHSRVLGNVLYLM ASLTTKPASLRALEDLLRRSLA ACHE_50096S MSLLRLRPLRLARAYGTVQGPPSAGSLPSRIPSALQEATAASAP RTNWTREEVQQIYETPLSQLTYAAAAIHRRFHDPSAIQMCTLMNIKTGGCSEDCSYCA QSSRYSTGLQATKMSPVDDVLTKARNAKANGSTRFCMGAAWRDMRGRKTSLKNVKAMV SGIREMGMEVCVTLGMIDDKQAKELKDAGLTAYNHNLDTSREFYPNVITTRSYDERLK TLSHVRDAGINVCSGGILGLGEDDSDRIGLLHTMSALPSHPESFPVNALVPIPGTPLG NRKMIPFDKLLRTVAAARVVMPSTIVRLAAGRIALTEEQQIACFMAGANAVFTGEKML TTDCNGWDEDRAMFEKWGFYPMRSFEKPGLSAAEPQAESSSSFKEAPAAVEGAAQDVA RA ACHE_50097S MPKIHNNDDLSISLAAPLGWTYAPGDTIIGNIVRKTHLVTPDAS LKLSLVGHTATKIEERHGNSNREYGAHWNLWPVISDEFFRGPLHIPEGAGTDEYLTSS FEVTIPTRPSSTLIKRHSQAQSFLSLDDDSVARQTIPGSFECGRYSSTATVSLSYGVI KYNLEAVLRYTRGGALAFSRATCPVRLRHTRSEPPLLFYETKRWLSLTQTVQSQRLEP GREDAPLSFLQKTQKFFGSSSVPKFTYKLEIRTPKTVQLDNALPIPFTMKAIPLLDQT SESIRSLAKTIQIYIHTIKLSIDARTDLRAPGTSNVNNVHYDQHIEPHAGFFTCNPPM ALPVGQEREPVDIGRKFELWLRNDGLQWASQSRTYLRPRGHPVYPDFMSYLINHCHWV SWEIMLGVVGERQKVTGRAELRVLAAD ACHE_50098S MLDILKTNTPPRDLSISLAAPPNWTFAPGDTIIGNIVRKTHLVT PDASLKLSLKGITATKSEENYNDSARTYELHWDLWPVTWDEAFRGPLHIAEWSDADEY LICPFEVTIPRRPSGTLIKRHPAEQSYLPLDDDSVAQQGLPGSFRCATPGGSKSCHGC IEYFLEAVLRYTRGGSLVTCRAIRRVVLRHIQTPSEPPVLYYETKQWRSGPQTVQSQR LEPGREDASLTFIQKTHKFFGSSRVPKFTYRVEVCAPRTVQLDNPLLIPFTVKAVPQL GPDKTSSSIRDSLHSIQIKIHSFKLTIDAKTGLRVSARPYANRIHYDHHTTFHTEVLD CNPPLSLSVGGNETETEPIDIGQLFEINLRTDGLHWTGRRHPIWQTIINSSSVYPDFV SYLIKHWHWICWEIVLGVAGEKQKVKGRAELKVLAAD ACHE_50099S MTFTALFLALCAFPIRAVGGASAIPQQANPSANPHCGSDFCTWW HDTAEVNIHSAVRPENVRQSRRYLVQVAAAGTNDFFDSFVYETIPRNGNGNVMRPGDS PASNTFNGTDGISIELEAGINMAWSHFEHGKDVDVKISRRDGNPVDQNVILRPAAIPY DLKHSDGSVIIRVPADPNGHRFSVEFDEDLFTYRSDGQGYTTHGEGDIVGVEPRNALL IFASPFLSEDLIPPMDGSDTKVMKPGPITVEDIEASPIVYFPPGVYYVNSDPIGKAHL KLDPSTYWVHLAPGAYVKGAVEYTTPRKDFYATGHGVLSGEIYVYQANVEKKYFNIKD DVTSLRMWWHRNIQGGQTWHCIGPTTNAPPFNSMDLKDGATDRDDISVEISDYKQVGA FFTQTDGPQMYTNGTIHDVFYHVNDDGIKTYHSGVNATRLTIWKVFNDPIIQMGWTPR DVHGISIDTLYIIHTRYQWSDTYVPTSIIGASPSYLNDREVDSSKSMSMSLSNISCEG LCPALFRLTPLQNYVNFTVSGVSMPDGLIGGDVHTGDSIIATTPATTYPGLEDLKMDL RISDWTVKGNKVTTQNAATLGQFHINKAYAGQWSIS ACHE_50100S MSHNTTPFNQAFAILSLRSTYLFTSISTTNPQHGATRPNFHADT PKVDDQFTLQATLQATLQQEVKAKSIQRLNLSDTKRRRILDVPNAETEEKNIAASSSS TKQGLLARAAHSPDQLSQSEIQLLMHRYWAEVTVDSRFTTEQKHRSLFAEKGDGLLEG IQRDVFLYIDKDCVDSTLGPSRMTDNMDNMWVYAVDPEYTPNPDEKDGYKGYLRVRVQ QTMHRFFKARRFHADEYPMQYLWRASLKAPRAQAFMSIYDDELDDWEDGLSTEDWGLS VDIDGAVHTYRFFC ACHE_50101A MKSNERNPLQRRRSSTQHYQTFDTPPPKSRGGGPTSEQSNSSVD DSRHHDHEGSISHHSGPESPLPKKQMAVLAMIALCEQTAFNSISPYLPDMVLSFPEVE LGSVGVYVGTLASAFALAQFVTNYFWGWLSDRVGRKPVILLGTILTAVSFLAFGLCRT LWQAILVQAVMGAVNGNQGLVSTCLGEITDRSNQSKAFTYLPVIYGIGGVTGPLLGGL LIFERNPFNKSEPNPFPYLMPNLISAIVLLVDFILSIFFLEESLEDADAFPKFQRKVR ALFAWIWQYTSFAKRARFVEPPHYQPVRNESQDHDSELDSASEAPDDEDHQHELMPSE LWNRDIILLLVSYLIFALCNISFNSLFPVFAQAKPPLGRGLTPSEIGLSQGFSGLVTI LFQLCVFGKLRDKMGNRSSYRASLFGFALSFLFLPFVGYKGDDPKGHMSSKSVWVAIE FCFVLLIKTVAAVGGITSSLLLITNSAPNHAVLGALNGLAQTLSAAGRAVGPFLSGGL FSLGSKVVPQGVYMTFGVFAAVSFLGFVLSFGVRGRSLEAEGWHSDSDDNYKSDDEER DA ACHE_50102A MLEAFEILTTSGVVLWSKSYAPVGAHVVNGLINDVFIEEKVLNQ APTANGPSPVFKKEKYTLKWRRVKDLNLIFVAVYQSLLHLGWIDKLLDNISTIFIDLY KDQLKSSRATVIEYKFDRYFDQQVHELEDNTGSAPVEVPVADGEVKKDPFVSSDNGGP PPPPVPGLLKAQQQAAPVVATSDEDTPPPSRDTSRSPAPASHILAGKGGPAGRVSRRA RKAANSSANTSSGDEKVRKGKGSKGEKKKRRWDADGLADEDDGEVLDYSAPAGGEEAP TAAVDEVSQDSMGRRTGKGQFVLKDLGEEVHSILDNADSEKAKSTQSSGFVGSGFSAI GSMLRNVVGGKVLTEADLEKPLKTMEDHLLKKNVAREAAVRLCEGVQRELVGKKTGNF QSVDAALHQAMESSLRKILTPTSSLDMLREIDAVTSPTSKGQTPRPYVISIVGVNGVG KSTNLGKICYFLLQNNYRVLIAACDTFRSGAVEQLRVHARNLKELSARENVGEVELYE KGYGKDAANVAKDAVEYGAVNKFDVVLIDTAGRRHNDQRLMSSLEKFAKFANPNKIFM VGEALVGTDSVMQARNFNQAFGTGRNLDGFIISKCDTVGDMVGTLVSMVHATGIPIVF LGVGQHYGDIRGLSVPWAVNLLMK ACHE_50103S MYRQPFAPPPAQSPPLHHPVPQHVSTVPMMRSPPPPAPQQPQMA GYGNPYQPSPMQGSSGTYNPGFGGFINDPTAQMGFQVGKTAMAAGQEYMEQNFNRYVS IPALKHYFNVSNSYVLNKLLLVLFPWRHKPWSRQQARLTAATPGPNGQISQQQYSSMF LPPRDDLNSPDMYIPVMALVTYILLTSMLAGFRGNFHPELLGSTTTTAIAVILFEILV LKMAMYILTINNESQLLDLVAYSGYKFVGIIATLVTAEILTPGKGTGGWIGWVVFIYT FLANAFFLLRSLKYVLLPDSTSDASMRTGSMHTVARSQRNRRTQFLFIYSYIIQFIFM WVLSREGPIYVRAGSAQ ACHE_50104S MADIDVKIAQWKLVEVGRVVLIRRGPYTGKLAVIVEIVDHRRVL VDGPSGEEQKIVPRHVLPLAHATLTHFTIPKLPRAAGTGPVKKLWANNEIDAKWAKSN YAQKTERADRRKNLTDFERFKVLRLRKQARYEVQKSLAKAKAAAPKA ACHE_50105S MADPRVEEVPDEEPTKQVEEAGDSSESEAGDEPTIPGGAAVTIH SRNEKKARKAIGKLGLKHVPGITRVTLRRPKNILFVVNQPDVYKSPSSNTWIIFGEAK IEDLNSQAQASAAQQLAAAEAAAGGEHAGHDHEHDHGKGKAPETEGKKEEEEDDGEEV DEAGLEAKDIDLVMAQANVSRKKAVKALRENDNDIVNSIMALSI ACHE_50106S MAARSAALKIDWTKVTSSLGLRGQTATALQAFKKRNDDARRKVQ LLSEQPQNIDFAHYRNVLKNQAVVDEIENHFKTFKPATYDVSRQIKAIDAFEAQAVQN AEQTKGKVEAEIVELKKTLENIETARPFEDLTVDEVAAAQPEIDEKTSSLVSKGKWMP PGYKERFGDLAAV ACHE_50107S MSEIREPARIECLPVEIIQTIFLHCVEFNFPRASIHIARALSDP VIYTWLIRLAFTIDNHETRDILTPEYLPPPLDFHGLSKQERTDLQNAILGTRWCTLPV MRKCQAGFLKHVISIQCNHLDIPSPEDKNKLSNLEQHFEESTSITDTQGIPRANPFED LKIPAMREIIPGRPDTYDDCVLSIWFNSGLVVLGRPVDESFTAGEGGYRLPACPSTAP RMPDKLLRPPYTPDKLSFLTLLAEEAYIDEDENFDCSRRILRRVIRDRDLATFQRLLK LCIRLKCYNYSRPWPLLPCHFRAAMKYAEERNDPFIRFLVEECWYLVPEDIQLKDELL RRYAKDNAAGVGYK ACHE_50108S MNMSGLTPIRVRGRRKRVKLSHDDQNHAAPQVNRGNPRGKQLLP LDASRRSLYARRKRPFISGSSWLSSQPQSQLDSLSQLQYQYQSEPLPKKKKRKNLSRL ERLPVELIEKIFLYSLNINFARCSPSLAAAVSSERVWRVLILLAFWRGPPSPTSSKSE ERSGNCISAGIARILRPLDYAPLGEDEQRILQSTVFRCKWCTVHRVLNNFPDLMALTI QRLWIDAGITMDNDQQESLNQYLDSPTNHPTETLTFQGTKDTNTYTLALAPLASIRIT SPETNEDTTIPLFNLLEFPPHLLRGGSHGFNNKDIAFLELLRVSSGFNRTDTNSMHLA RNISLNRDALQEGIHKAIISRNHDALVTLLKIDEYHFRATTTNNTTINSEDMIYTLPA EHYCTSLPDIQTFKLLLRASAESVPSDDSSITAWAMELGGAFGNWLLDLMLRMPEIRE GVRERPDAGVFYMGRCNGESEMGGRYLRDVLGVEEVGGWMEEGADFVSLARNED ACHE_50109A METLTTYIPSQFHPLMEHLEPHTQWLPIALLTLTTIYFTTIYVS HKREAAVPFNIPLPPEIRSNYDWTGKSWGDVTGEQRRVLEGQARGQWDKDLIMSYCPA DGRVLGNGIRPATREVVERAVEAAGNAQVEWARTGFAERRRVLRTLLRYVLDHQDDIV TACCLDSGKTRVDAAFGEILVTAEKLKWTIDHGEKALAPESRPTNFLMMYKKNMVTYE PLGVVSACVSWNYPFHNFIGPVISALFAGNGVVVKPSEQTAWCTAYFLEMARGALAAC GHSRDLVQSVVCLPSVADVLTSHPGISHLTFIGSKSVAHKVCESAAKSLTPVCVELGG KDPAVILDDSRTVSEASSIASVLMRGVFQSAGQNCIGVERVIALPGIYDKLLDILTPR IKALRLGSVLVDSSSKTPSTPDVGAMISPASFDRLESLIEDAVQHGARLICGGKRFSH PTHAYGHYFTPTLLADVTPEMRIAQIELFAPVFVLMRADSVPHAISIANSTIYGLGAS VFGYNIPDVNKCVSQIKSGMTSVNDFGSYYAVQLPFGGIKGSGYGRFAGEEGLRGICN VKSICVDRFPKLMATRIPPRVDYPIQKGDSVKQNGTGAWEMCKGVVETGYEIGIGGRV RGIVRLLGNM ACHE_50110A MARITRSAAQAALLAAKNDPQLLPDVPKPNGTETPTETKRSRSR KIDSSEPSAKKQKATSNQKPETNDLPHNLAPLPTPLTSKSNTPDIKREQLADLATSLQ STVDKTTQTLPIQQQQQPKNQKKTTYGLSPGLTPFPSYPRPTPSECEEVNRLLTSVHG EITPPTTIPEPSLTVTGCGEVPSVLDALIRTLLSGATTGTNSAMAFNGLVQRFGILRE GVGKGSVDWDKVRRAEVGDVFEAIKRGGLADVKSKNLKKILDLVYQENQERRDLLTNN PDGSDPALKSESNASKDYEIACADQNVLSLNYLHALPTESVMTHLTSYPGIGPKTAAC VILFCLQRPCFAVDTHIFRITKWLGWLPSDSTGSKVNEVTAFGHLEVRVPDHLKYSLH QLLIRHGKSCPRCRAGTGRGAVGWEEGCVIDHLVKRTGGRKA ACHE_50111A MCGVIALILANPSSAAAVDLHEALYLLQHRGQDAAGIATCASGG RIYQLKANGIAARVFQDGARVADLPGSMGIGHLRYPTAGSSANAEAQPFYVNSPYGIC LAHNGNLINAPELKRYLDLEAHRHINTDSDSELMLNIFADELSETKKARVNREDLFAA LGRMYSRCEGGWACTLMLAGFGIVGFRDSYGIRPLSLGSRPSLDGEGMDYMMASESVA LQQLGFTNIRDIQPGEAVIIEKGGEPVFRQVAPKKNYAPDIFEYVYFARPDSVIDGIS VYRSRQRMGDRLAARVLNVLGPDAVKDIDVVIPVPETSTTSAAAVARYLDKSYCQGFV KNRYIFRTFIMPEQKTRQKGVRRKLNAMQAEFKDRNVLLVDDSIVRGTTSREIVSMAR EAGAKKVYLASCSPEITHAHIYGIDLASPSELVAFNRDTDAISRHIGAEQVIYQTLDD LKGSCAEIARENGLSEPQDFEVGVFCGSYVTPVSDGYLDHLEHMRGEGRKVKALDKAK EAVAHGYASERDFQIAANGVKLDGAGKIVPADSPRDSEVPAVSMSAIRNGGATHVEHP AVKDRMDISIHNIGDHA ACHE_50112S MSPSRRSESVIEPIIIDDYDSNSSRTIDNDPDARYFLDVDEQSG TAGRRAVASALFSSLESGADVVDLTDGPQCFNEGDYLTDECFQRLVSSWQEPAATVAP KSPSREPGKRVISEACMDGILYRPGQSVELHDESYLHIKTVVEHSTGSVFLRGRRLIP TATHPGTYIPKWQNELVWMVDEVGEVPLEIVKQFVTVIFTNWCKFEREKQYKSKLFCR LKETKKANATAVEYLSFEDADPNHRFHPRLLRQNWRGETRLFGEADNAGNYAIDLDSP EPVIDLTDSNSRRYTFGDGFCGAGGVSAGASQAGFNIKWAFDKSSHAATTYRLNFPTA MCEESDIFSFLTNDADFVRVDVSHGSPPCQTFSPAHTIECANDDANSACIFSCADLIK KAKPRVHTMEETCGLFERHKEVFFRVIQDFVELGYSVRYGVLNCMDYGVPQSRRRLII VASGPGEALPQFPKATHGLPGSGLKGFETINSIISKIPPGALDHDVEAALDRGLRNGI RLPFDPNQPARTVTCGGGELNYHPSGRRGFTNREFACLQTFPMRYCFGPREIRKQIGN AVPPILARAIYREILRSLGKTDQKEKEMAVGEIVLDD ACHE_50113S MSTCAALRATHRAPRTLSSRILSARPVSLTSSSSFARNTTTFKA LNSSAPRVSRFSTMAPLQQAAPTTDKSYDPEIKDMADYIHNYNVNSDLAYDTARLVFL DTLGCGLEALKFKQCAKLLGPTVEGTVVPNGTRVPGTPYQLDPINGAFNIGAMIRWLD YNDCWLAAEWGHPSDNLGGILAVADWIARTNRAGGNLGNGKIPTVKDVLEAMVKAHEI QGVLALENSFNQVGLDHVVLVKVATTAVVSKLLGLTERQTADAITQAWVDGQSLRTYR HSPNTMSRKSWAAGDACQRAVNLVLKVQKGEGGMHSILSAPGWGFYDVLFKGKKFSFQ RPYGSYVMENVLFKVSYPAEFHSQTAIEAAQIVNKKLAELGKTAKDIKEITNRTHEAC VRIIDKQFKPMDNFADRDHCVQYMVANMLVFNRLVASDYADGSEAATSPLLEDLRQRI RCVEDPQFTKDYHDPSKRTIPNALTVTLNDGTVLDEVSIEAPLGHRLRREEAKPEILA KYKRHLSAHFDQARVDQLVNLGNDKAALEGYEVDKYVDLYVKEKVIDSA ACHE_50114A MDSQWMPPKVPSALPLGKANIYPLDEPHAVSCSLPTWDSVFGFC RQEEWVMKHVEWNYPRFYINKPLRDLSAAVLHRLQITDENITCLLFASPLDAQQCIAS FSKAAPRKETCHFFIPGSNHWASFSAAIFSSDLIEEAMAFWWNTGSGLSTRHAAFCLQ RFDNVASDSSNPDFQTSASRQRDPDRPGDAAWMHSAATTMLDLKSFIAQLATSEKSGQ LPASPNDVFLFPTGMNAIYTLSNTLSYMETSPTVVAYGWLYPETVDVLRSGRWETVLS YKAGDDADLDALENVLKSGRRVHALFCELPSNVTLACPNIHRIRMLADQYGFIVACDN TVAGFVNIDILPYVDVVMTSLTKGFNGASNVTGGSLVINPTSPHHPKIHNAVSTTHTN TCFPLDIHTLLTNSTTLHQRTKTANKNTLALLPLITSHPAIKTVNHPSIHPTAGIYNS LRKTTTPATTNAEIDGHYGNVLSIIFHNPSSAEAFYNALDVNKGTSFGTNFTIAVPYV VLANFYTRNKVAAYGLSEHVIRVSVGIEDISGISGRFAEALRIVERGGG ACHE_50115A MPLGASGTEIVGVIVGAVILFTLVSAAPVIIARLRRRRNARQQQ HQPEMQSNGTYPSFRSPDNGPMPMQQVFVERWLEQQYAPSSTEPYSHDICAICLSSLG FCSSRDSLPTPPEAAWIPASHSYAGYDSRDDRRSVSPRMLEECHSSTTNSEIRVLNRC GHAFHAACLASSFQYGRYRCPICQAAYFPD ACHE_50116S MDEQRRKEAQDVDASTIQPLSQPAHTLSPEATIKELSTNADEGL SSQEAQRRLEEHGPNELEGGEGVSFAKIVIRQIANAMMLVLIIAMAVSFGIESWIEGG VIGAVIGLNIVVGVYQDFAAEKTMDSLRSLSSPTAAATRDGKTSTIPASEIVPGDMIE LKVGDTVPADLRLVDAMNFETDEALLTGESLPVQKEVEAVFDADTGPGDRLNIAYSSS TVTRGRARGVVVGTGMKTEIGAIAAALRGGDSKRRPVKKGPNGETKKRWYVQAWTLTT TDAIGRFLGVNVGTPLQRKLSKLALMLFFIAVIFAIIVEGANEMRGDKEVIIYAVATG LAMIPACLVVVLTITMAVGTKQMVQRHVIVRRLDSLEALGAVTNICSDKTGTLTQGRM VAKRAWIPAKGTYSVGPSDNPLDPTDGEVSLIPETPVVMDKNAQGETTGTEELLKDNP LLEDFLNVGAMANLAHVHQSEQEGNQWQARGEPTDIAIQVFASRFNWNRNRWTKGEKP VWKQKAEYPFDSNVKKMSVIFSRDEGSEKHREMVFSKGAVERILETCTNITWTDGSSK HLDDDLKNQILQNMEELAKEGLRVLALACRDNQPGTTSGKEGDEPPAREDVEKELTFC GLIGLYDPPRTETAGAIEECHRAGITVHMVTGDHPGTARAIAAQVGIIPANMDNLAKD VADAMVMTASQFDKLTDEEIDELPTLPAVIARCAPQTKVRMIDALHRRGKYAAMTGDG VNDSPSLKRADVGIAMGEAGSDVAKDASELVLTDDNFASIINGIEEGRRIFDNIQKFV LHLLAENVGLALTLLVGLCFKDADGQSVFPIAPVEILWIIMITSGLPDMGLGMEIASA DIMDRPPQSKQGIFTWEIIIDTLVYGVWMAALCLASFSLVLFGWGDGNLARGCNSNYT PECDTVFRARATTFVCMTWFALFLAWEMMNLRRSFFRMQPGSKKYFTQWMFDVWRNKF LFFGIMAGFILTFPILYVPVINDIVFKHTGISWEWGVVFVEATLFFLGVEAWKWCKRI FFRRMGRKQKDKDREFGRDPASRSREFSQYTTMSRSDTEKAETSMV ACHE_50117A MPSPSNKMSSSRIEYTPPASPSPTASFYDVSDDEEDEYNTIAHS ASGRGVKLLFSKSKVYVHPSPSAKDNIPGFIALIQQKPAHRPEPASSSKRSDPSSYLL AWVPESSLGDAYNTYVKVDLSDAGSSPRQRYLVPPLPTTTTLKDPIGLYAFAVPLSEI YSLLVRPPSLGWWFGSLVINTRAGDSFPALFFHDSECESTILQKKKRTKETFDPFSDD GSMFWGGDEVLKWLRNYVNVQRSEVEKNAYLINPSDEDLVSFGNPMMREENTEQENQG GMDPWMKALKETRWKVLEQLSKITTFTKRTANDIAENPRIPPQIRRLMKNPQVQTLQD EFDSARIYLARWAMSLAEQSERERSQRIWTAKDVLEMEDSSVGEFEILEMETGTMGIH ERRKIVTLEEWKGFFDMSGRLQVTVDEVTERIFHGGLDPNDGVRKDAWLFLLGVYPWD SSHDERQALMNSKRDEYLRLKGAWWERMIEGTSTAEEFDHWKEQRNRIEKDVHRTDRT IPLFAGEDIPHPDPDSPFADTGTNVHMEQMKDMLLTYNEYNPDLGYVQGMSDLLAPLY AVMQDDAVAFWAFVGFMDRMEQNFLRDQSGMRTQLLTIDHLVQLMDPQLYLHLQSADS TNFFFFFRMLIVWYKREFEWADVLRLWESLWTDYLSSSFHLFFALAILEKHRDVIMDH LKHFDEVLKYINELSNSMELIPLLTRAESLFRRFERTVQAIDKKNNFPPAPTAHRRKP SQTDKGKSRAPEGSSTGVSAGSTRSGDGEDAETKVISPELRMLLRRDIPWRRQVRVEQ KKPA ACHE_50118S MALPPIAKATLQSALINAGSNVLAQGIKAYRAEVPFTLDIQTLY QFTTCAFILSPVTFLWLEGLEASFPGEETVPKGNEKEKRPTKLNIPNTIAKILIDQIL GGALNTALYIVTLGTLRGQDYDVIQTQLQNDFWPIMIAGFKLWPLVSILNFTVVPADK RLLVGSLFGVIWAVYLSLLSG ACHE_50119S MEDRPYPGLLPKRPNVVDRGLKPGPLTIPKNLGASVKSPVRLIA EDERSDIQSSVSPMSRRNPGSLASAAHSRNASVISPLSVQQQSQQFQHPFATHLQNRQ STSRKEKGHSRSESWESDLLDAYSSSDDDSVDRASSCYSRRSSLTSMGSEYPGFGYRS ADAFSIGSPVALGVFDDTASVLAESVSFNEDKTIAVEHDEDQKAKKIAKKPSMAEVNK PLPREPPIQLAPLTVVKPPKTPRTPKTPKTTMNRAEGEAQKQCDGKCDEQRYATLRTG KSSRGPTLSQAEEELENALLQYCTKPSTAPNNGANAPNTSARAKQAKKACSTSNLTAS RGSLPGALQISRGDMQMKPTRPAPRPPSNTLPHTILQQLEEEKKKQQNSKMPFHLAVP GFGRKLHLRSFSSSNMRSEMESAALRDRGTESLNNNINHNKKNDDDNNNNNNNNKEQI QSVPESQRPTSIGSERELRLQLPRLQTKKMEPVGQWAAAATAALAATRTPPTTTATTP STLSERTPDSESSVVGGPVKPVDHEFTEEKVFVSSSKMRRSNTYVLPYQLGSLQAPEI IYELDGGMPSPVRVGTTNVPYPSSPPSITMPSIMPDRAVQSILEQASSLDDLFSLAVL NRQFYRIFKQHELDMIKGAVFKMSAPAWELREMSPPWASEWQVFLDPDAQVPDYTPSL YLQRYAHDIFTLAQLKSLILTRCSSFLRPDTIRGLAGVDSERATEIDDALWRIWTFCR IFGCGKGRENDITGQMDWLNGGVQARNQHTSITFSISEPFGMNNVLFEPPAGFGQGNL GGLSKGQMYDMTELFTCLNVLLQPIHGKCAEARRAGVYNGLDVKVGDDSKEEKYLEEW TCYVLTLGLSAVLSLGSVCPIKNPTATFEKAKSMGLTKWDLLDDDVTRSSFLKEAVSK SYMTCEAAAAGSSCSSVRSCGASATSSSSSLVDDSSFRSRTNSSSSDQSVQDHRVRQA AFAAELRNQRSQPRINVTRTSFSDERPISSYTVVMNGLEQQSRPPVPPMPDLARFNQP VFYMQSSTRPGSGSGPVLDPVDRAIDMIVRELGFNEKDAKWALKTTDTGEGIDTNAAV ALLMRERQNQASHGQTTQRLGGKRGLFSSSFSSSSSRSGQGSLLSSVISEESGSGWRW A ACHE_50120S MEYCQGQSQGQVSRRDSLLDTPLQTSTQQEFSKELTLSGSADGS SSKAQGRTRILRASEVLLSLAGRRSMNDILDEGPGIENFQEALRIFPPFRDDDGYMLG AVEARCPDCEAVFSSTTELEQHYLTRYCPGSAVAVYVMTRDRLITKGYRMEKRLVAFD CRPLRFFCGKCERAFAIDETERVDRLKRTAFVACKNCGEKCNISYEPVLNDPRDSGSF REVSMDLTPAVHGCSRSSSVTPRNITPRSVSRSKAPMSTNPNGTGELFFSKKARKIRD SWATKKLEQEEDELVAISFAGLYDLERVHAPNGVFQSIDDHIAGPLGGMTMSAPDGVP LIDKKPLTLCAEGNGLHRDISVDSRGDLLVAESRDSSLDKNTKRQSLFQKLFGRKTKG LSRAKSTSNRGKKLLKRVNTVRFSFISRESKHSSGKNTPRSTMFETEQARQALQSKED LNSLAIPESEYIALLRDSQDIFVHMQYLSGAGAVIVHDASGRQRILQMDEVAQLFLQL KDEVAAATTWSKMLSCRVRGESALKQGNLRAAVVEYHDALRMLQETPGLDVDKLVRAA MLHAMGHAYRGLNMAAESEMCYLESLGLYKRALGRDHPKNFEILHDIGTLCEKDGYAT EAAALYERSFAGRLKTLGHNAPETLNSMQDLASLKDLLGDLESALLLLEKAVPALETV FGAQNGTTLDAMNKLSQLYQKLGLNKESRTISGRTIPHCKTFFGLNSPVTRDTVIRYL QSSDNFDFPADIKDILEQYRRSRDPESLKVIHQLGRSYMSAGLNRDAADLFEGLVDDF LAVKGPEALDTFHALSALCVSREHVDSIEKAIHAYKQLVHMARKTPEDHYSRKKIGYA EKRISELNHRREILAAERIEWGLNQPGPCRNCNTPTPRLCNSCKITRFCSEPCHAAAI EAHIPSCIPSVSLRESKSLAVKPICPAQDQALLRIRCSDPADISVLNSYTFYLDPRNF TTFRMKFRPEVNTVILFSQNSDVKFAMVDSVPAPAAPFASTSTSTSTSTEEYDHEPNQ NHLNWLTPTTQDCISFNPRTDLPTTTIPSNTTNAQSRYLLVTPGNDMLKSIIDKRVHA RSGANGSGGRSDGDRFRSLDVPSMELIEYAQGLHLTGYMGEPLMYVIEWKWK ACHE_50121A MAIDDTAFEGTDITKGFNAVAIGAFGPGFISTHDPERVIGFGVF KPHYSQANVHKPNLRERGFRFLTVPGSGEEVAVSHKLCLDQLFKSSGLQHRGELLPGE MFKLRLSPGRLQPQWWCLGDLEGDLKGRNLHAWAKGGSYLSYYEVPPSKEEIEEGGYV LGEDADRIKIEDHAGWIEVEMG ACHE_50122A MAFNSPSLANPPFPFRPPHPTKLSYDDSSRASIRPVPAYELDPL LRTLSPEATLQALSSTDAVPNNEKAAHDILSRSISQVSQADRALGIRAAVAAKNLTLW YMEVQSWEWPKRADAHQGKAFIPPSPSNAADSGVEYLGSLPSAVVEQHGARIEEIRDG MDNLRVEELKEHVLNAHIPSRSRPSSSNSAMSIPPPLSYVQLSDFTAVITATILRALP TLSRLNTLLSTWDVRLLVLRQIPGLILSLRLAREALDDAFRALKSHDPPSEHDALYSR SNFHAKQAELGAAVAAAGRRMDNVLDALEGREDSLPESWIDDLETIESEFSTWVVEAE KRSAENEWSRANADNLCQRSDDTPRVSEPVVNTSDSARRNARSFPMETIAEEETTSAA PSVNEALDKPEPVQSQADPTPIPEITEQLATPVERDATPVPEPVREDPTQNGSPAPDA QDTIARSPEDVQPDRPRTPIDTPQLSPKSSPIRDGQFDKSIPPFSLEAHLPREPEQPS IPDSQANVVSDTAQESTPDNRNHAGELVSVADQIEPPKHSEPGKTVPASRPVAVEEKP AATSSLVAAGPASTQEQPSAVTKHSLEPSPCSLPEMTKEATLQPNASEEDGSPPLKQP LESPIKLGRQAGDKNGKTRQRVTSDASMGSLSSFPSLMSSPDFQEPHAESSNATPLFF DTPPHFQDIFGRPGAAPSSNDHTLREDSLRRFDQKVSPRPQHNRAVSLPLQRFINERI DMNYENGAGMEDSPSMGRRPSVASHPEQQEPTNRDGASTPTARFRQLAYRSEIPRDTK TRPTPSRSKTDGPVSGPRNSPRKVPLKTSAQRSLNAQPSARFATARLSRELNTNGSRE SLATRGRPGSRPQSRAQTPADLAPRKTNSGSSTPLSKKKDYLDEKISTILDTLPARIQ LLSEAQDDDDTFSVTSAAPVPMRGRYRSSSSASLYGAPAPSLTLTPAHTRRRQSFARG PEESSVKLYHLHKNGKSKPTKLFVRSVGESGERVMVRVGGGWADLGEYLREYAIHHGR RHVTDTPRVEVQGLTSHESTPGSTMLTPAPSNGRRTPSRPRSVISNRPSSSLAVRKTR RASNVSDATDFRAASFGEPTNPSHSPISTRRHSVSSNNSVGTISFASEAHYGSSAHSP ATTIAAGSSRSTPLGLAGPKPRSRQKSMSPESEAWVEDVLGQARRSSLRPKGLPSADR ETTPDVPPVPALPKVRSVSDMGSAGNSRRVMLRGLGSRRNSRQG ACHE_50123S MQAFQRFPYPPSLPAEIPEDLLPKLSELRDEFGTDKDHLKHVTD HFVKELERGLTQEGGDIAMNEADT ACHE_50124S MFIKEHHSKCSAEEKLPLAFTFSYPVLQKSIKEGILQRWTKDYS CPGAEGNDIVAQLAASMDKKQVPVEVVALVNDTTGTLIATAYRDPQVCIGSIFSTGCN SAYMEACSAIPKIKHAGLPPDSRVVINTECGAFDNSRKVLRRTRFDKDIDACSPRQGQ QLYEKMVAGRYLGEIIRRVLLELHNNNGLFRDQDASELNTPHILEASFLSSVEEDNSH LREGVYSLLKERLGVESTVPERRITRFLVEIVGTRAARLYACGIAAICKKRDIKTGVV GVDGSTFNYYTRFRLRVAQAMRDIIGRMILRIR ACHE_50125S MRFKTQLTNIQTFTKLTASLTSLGKVCWLRLEDSIVRFTIIPDQ GTQVWAQLPVDAIFEPNTYTLESNSGVINLEVPIGALHRALRSANGANSAQIRLTKKG SVPLLALTILSSSWTTGSNAIGISNDEEFEGLFTDEGDRRGTGPRERETVISQEVPVK VLHESAVEGLHEPTCRDPDVHIILPSLLQLKSISERFTKLATIDTKTPSTITTTTNST QPPPSSAVPTTTAPGSSTASPKLELSANMHGSLKLGIASDSLRISSVWTNLVNPALDP AQLSQTEMQELPSERMRRLGEEGNGEEGWARVRIDGRDWGRVLSVGRLSPKVVACFIN ETALVLYVYLPGSWNGEDSCLTYYINSYST ACHE_50126A MSQIMASSDETPSWNPAMLPERHGTPAATDSSDLTTNSNVDTTE PVPPPISSESVDDDIAFLEGGAVEEPSASTDVQQDASMDVIESSRALEAEVSSKPNKS PEGTVPDETTTAVTDADNTETTQTVAETLVKEDGKHLSNEPERQIEQDPFSFPVSVDQ TAGPAVQEQTQAYDSYDRAPQAGYLGNQEQVATNVEVNDTAGNFWDDVEDDGGDDFFD QLKTQTKPIYMPPEPETRFEEGVPLLSPQSADFEPLAETRAEPPETHAEPTAEPTIEP VAEPTAEPTTTAETQIHRIFDGDEDEGEDFFSQVQKPEPKQETPHIKRKSTSEAMDAV GEPLDSPVDEATQQFNEMLLAPTSNNQVKKASSEEDLAARWQAELSDNEEPLEEDLAA RWEAALDDDDDDLLMDEAAGDSTTGQEQNLPNVNGANRYAPQTGFNGPQAFEAPSVPS TTSYTPHQPSTSDLLQGAAPSNSAPAPSYFAPQPPPKPEANRAESFAERSKQGYKSPY DLPEDLARPRRPAKPVLTAGPVAPPGPIAPPASVPPAGSMPPPPRTSSIPPPGPSAVP PPPMGASPPPAAAAPAPKNFFEELPLPPPRPKSRPASSGRYTPNPSTAPPPVPVASYP PPPPPANPYAGIPPNPSAMTTASAAVSQPPPPPANPSANIPSGPSTTTAPAGVSHPLP PPANPYANIPPNPQPPSEPQARPQPQTQPPLQSPERLEPYMNTLAPTPPAPPSASSRY SPKPPGLHTGTKPPPSPRYSPAPPPASGPARNNRYAAQPTSAAAPGLSLPFQPRTSSP LAYHEKVSYQPEEPARRPSLEPSASLSPPNRFQPRPSLEQSPPRISEPSNDLAEPKVA QAEPEKVTSPLNQQQLSPPRSMYAPPTYVNDFANRFPPMATGPPSFPVIETPDAGESQ FVPPRRSQTQSPSQQLSGPKLSVPSIDPLQRPASVHGSGSPTKAVSPYAPAQVSATSR VTSEPLNFIPPSDGQELDPLQRWKGAPIVTFGFGGAVTSCFPKHIPRYSAGQPAPMIK SCPGEVKVCQLNDWIPPAEGIVAHPGPLKSKSKKKDVLNWLSSKIAAFENEGFSGSDG LEPDSHKRHEEKILLWKIIRVLVEKDGLLDGSPDVQKSLRDVLFPHLQNAEADQTYGN GYSAQNNFPALNAPSQPDAIDSRSIDMLRNNLILGEREKAVWGAVDNRLWGHAMIIAS TMEKSVWKQVVQEFVRREIRSTTSNAESLAAAYEIFAGNFEECVDELVPPSARAGLQM VSTVDGRGSSKSALDGLDSWKDTLGMVLNNRSPEDYQALISLGRLLLSYGRVEAAHIC MIFSRAAVFSGPDDPQASIVLLGADHQRSPYSFVHDEDAILLTEVYEFATSILATAPQ PPLPHLIGFKLHYAWTLADRGRKSEAQQYCDAIAGVLKASTKPSGYYHLHLFSAMDDL SAVLRQTNSDGSSWISRPSMEKVSGSMWARFNSFVSGEDSDAASIGSGKAADADVGPF ARVTGTPTVSRSPSMSDLYGGYPAPGAQPIPGNPASRYHPTSQYAPNSSPEQFRGRSS MDSQRSSGGLGFSFGRRGSQEPATPTENNYYPGGSSFSSPLAGYQSTPPQTSYMPLAP VEEDLPSQPQPPQPVSVQSPAVNGSPYQPPMYTPDTFAQPFADQGAPSRPQPESYGYM PPTTGYGPPVAEASTSAPQYQEEDDEEERPKKSIMDDDDDDDLAARSAALAKAERERQ DQEANEAFRKAAEEDAKKAPPPKKGWFGGWFGGGSKKEESVNPNKPIRAKLGEQNSFY YDTELKKWVNKKDPNSATAAAAPPPPPRAGPASRTGSTASLPPTATPPMASATSRPSS SAGAFAPPPMSGSPAPPSGLGAPPPLPRSVSTGAAAPTPPGSSGGLAPPSRPSTSLSN ASSIDDLLGAPTARKGPSGKGKKKGRYVDVMAK ACHE_50127S MMSSSPDYVHPHDSASIPTDSDSDSDLDLEELDPDTAPGHRPSR LSRDGSTGQGGYGPGIALRKLRAGVGNRLRRSVSGMKHRESEEDTNGLLEDQDGGRMR RSQASSHNLTDDDAPLLHEDRRISAHSLSNQGPTSKKGFRLRFSGFSTIFNRSSRKAP VTAESQPPRDVLVGQAQRSKYPPNIVSNAKYTPWSFLPRTLYNEFSFFFNIYFLLVAL SQIIPVLRIGYMSSYIAPLAFVVSISLGKEALDDIGRRRRDAEANSEEFTVLSLDGST RRTNEHVLEITKKSRDLKVGDVLKVRKNQRLPADVVILKSISADTTITQQEPVTESPV DLIDSDQGPSAPTDPAPSSTATPNEKNSSAADTFIRTDQLDGETDWKLRLPSVLSQSL LLGELTRLKITASAPDQRVNEFVGAIELEPPSGFYDAHVHKSQNGRDDEGENPQNNSA PLNIDNTAWANTVLASNTTTYAAIIYTGSQTRAALSTSPSRSKVGLLEYEINSLTKIL CILTFALSVILVALKGFQPTNDKEWYVAIMIYLILFSTIIPMSLRVNLDMAKSVYGRF IERDNDIPDTVVRTSTIPEDLGRIEYLLSDKTGTLTQNEMELKKIHVGTVSYANDAMD EVASYIHQSFAGNELVTPSSVFGAQAGTGTAPRTRREIGSRVRDLVLALALCHNVTPT TDEEDGVKVTNYQASSPDEIAIVRYTEEVGLKLAYRDRQSIVLESTDNGQVVVRVRIL DIFPFTSDSKRMGIIVQFEHDGILESPTDNSEIWFYQKGADTVMTSIVAANDWLDEET ANMAREGLRTLVVGRRRLPMQQYQEFTAKYKQASLALQGRDAGMAKVVNEHLERDLEL LGVTGVEDRLQKDVKPSLELLRNAGVKIWMLTGDKVETARCVAISAKLVARGQYIHTV AKVTDPSAAQEALDFIRNKTDCCLLIDGESLSLMLGQFRSQFISLAVLLPAVVACRCS PSQKAQIADLIRQHTKKRVCCIGDGGNDVSMIQAADVGIGIVGKEGRQASLAADFSIT QFHHLTKLLVWHGRNSYKRSAKLAQFIMHRGLVISACQTMYSIASSFDPKGLFINWLM VGYATIYTNAPVFSLVFDRDVDEHLANLYPELYKELKSGRSLSYRSFFIWVFISVYQG AVIEGLSQILLDTITGPRLISVSFTALVLNELLMVAISITTWHPIMIICLLGTALVYA ASVPFLGDYFDLHYVITVDWLWRVVVVCAVSVVPVWAGKLVKHSWSPPSYRKVRG ACHE_50128S MGMKRESLGQRLKTSVCRPFAMSYSELIILLMSLYLTIIYIVLF TFLEGYTYVFGETYGISQGLTSLCWAGMLVGILLVSCVVPVVYSWTLKEYQKTSVIAP ETRLWYAMLGGAPAVPISLFWMGWSSDPSISIWSPLAASVLFGYGITTIFIAAYMYLI DSYDIYSASALGFLVFTRYVVAGGVTVAGGPIYRSIGVHFALTILGSISGIMAPVPYL LHIYGPRIRKRSKYAVNINKE ACHE_50129A MSHALSINIYARGDATLGDGPSHMGIVLSRISNLLTDFGDDMAN IPEFEVGNCQDWVAGAVSMLEDAGVVGEGEGAFWRGMVNRSADGMEEACRGSGRFWIL APESSFEGIPDASFNDKEVRSVEKLVGNEAFFKMQALIGTGTSTETLSSEKGARKRPF YVSSPFFNQMGGKKD ACHE_50130A MAANAGTSAQPYFPLAQEREFFKYLPHDTSPSSSFNFARWQHEC QPSRDTALVSFAQLGAFRLGAQRALISLFDRAQQHVLAEATPTLSLVGGHVRDDRDRL RLGCCSFPKDRGLCHLVSGLPSLEHDQVRWVMNGSAVVVPDVMEEWFECPQLLDELSD VRFYAAVPIISPRGFVIGAYSIWDNMPRPEGIDEHSLQFLKDMASTVMDHLVTEREYH NSQQAERMIVGLGSFVEGRATLRHSWREASAQYAASEQSGEMVEGQLNMEQQDLQEAA KGTDQKTLAYRDPQRGGDGVNQETVSHGPDKSSQKPKSSRGVLDGDEGPQEDVLLSSI KLVFSRAANLIRESIGAEGVMFFDPNSEKFGDLVNNTSRKVTGSGKDAGSSDEETDSS SSSSRRQPSSASEGENGESHGPSTCVCLGSSTSRVSSINSNSTADSEITVEKSLLTSL LRRYPRGKIFSYNANRAVSDDSDCISQATSGSEKSSPEDKARQTKKRRPTSKRRGKYS FIQDAEHLIKFFPDARNIMVLPVWDTDKNRCFAVNLVWTNSPERVFTTENELVYISAF ANSIMMEIRRLDAKIANKAKTNLVSSITHELRNPLHGILGTSDILSDTAMNALQHGMV HTIEACGRTLLDTINNLLDFTFIDKYQKKRSPFGARQRATQRQSLEASREADGSRAEK PSYSQVELDQVLEEVTECVFAGYSFYNHPQTPPPALTDSFSRAAGDASLKRAGAEANR VTIIFDIDKDAEWSFHTHAGAWRRILMNTFGNALKYTSSGFIHLGLKSSRKEKKKKKR SCPDSEDEAEEYEVTLTVSDTGKGIGPEYLQKDLFTPFRQENALASGSGLGLSIVRQA VGSLGGSIEVNSTQGVGTTMTIRTPLTHHPAPSSDASVFQLLRSHVEGKSMGLLGFGS SLHSKRDSTLYSSLERLCQEWFGLSVTTVSPMQGQHQPYDFYLAVQTELDNEDREGRN LFNLGQHLAGDDGGSPVVVICQSPEEAHNMFIAAKNRGDESPIFEFISQPCGPRKLAR ALDLCIKRRLEKQAGQSSSPEEPTRWVEMPESSHLPLNLNPSDPPDERMKISKRPTAD TMGSGSRSREGGDGTEPSSHVKEGAEEHTTGKSVLLVDDNSLNLQLLSVYTKKSGYDY LTAKNGVEAVETYKAQPGRFGVVVIDLSMPVMDGFEAARQIRRIEKQHKHQSNSAQPI IIAALTGLDSADAQKEAFGSGIDTFLIKPVKRPELQALLRQMNE ACHE_50131S MLHHQCLEAQILEELAQTAPPPPKTATLTEGKDKASQMELKQMD PQIQIIDRICQANCKWKHKQHIENGDSAWTREGELLLYQNRLYVPDQDDLRVRLLDQI HQKISTAHPGKSKTQRLLKERGELESRHGALCGQLHDMQKADWNTKHLMKSLVCIATG NGKFL ACHE_50132A MELKGATMDTELMQLEEEYCPLKKPQFKVVIEELGSLHAYPCHL PHWAVFCGLYQSLISGANLIMPMALHLSDNLGSGSGLTPSQMNTPVVL ACHE_50133A MTSYFSSLTSSNAISNLGTRLNSLRRAITLGDEADDPDNEDCSH ISNVLRAYYVEKGRPFPAWLPPDPKNPNPPQPTRVVATTQLPPAAPGQPPAASSYGRG GGLGDLWGDTGSPTPPASQTASLRRGRSTPNASGAPLAAAASAPAAAGPMSTGSSPMP SPGLGQPAGARPLPSQRAGSYQTMSGSGSGASSAQERLRARLQGGRSPSPGMIDPAAR KPVGGMTPRWGSR ACHE_50134A MSSDEIVWQVINQQFCSYKLKTTKEQNFCRNEYNVSGLCNRQSC PLANSRYATVRSDPETGAMYLYMKTVERAHMPSKWWERIRLSSNYAKALEQLDERLIY WPKFLVHKCKQRLTRLTQVAIRMRKLEKEDGMIEETVVPKLAPKIRRREETRERKAES AAKVERAIERELIERLRSGAYGDKPLNVEEGIWKKVLRGLERSGEGERDEDLDDGERI EDEEEEEEGVGEVEYVSDVDEDLEDMEDWLGNESAGSSDYDSEEDDDDSDDESGSDES SEEEKKPLPGKRKRPAPRAQPRKKGPKIEIEYETEGAGKENVMA ACHE_50135A MDDPPVTAEGMEEHHFVKADEVDQTGADISPVPDEQLMEEVAEG LRQEQATQNNTSAATDLPPQTGRPKRPELRRETSAPPPPLQPPPPAPVQQNEQRAPDS LSLAQLRRLMQEMPRVEQPAYAFEYADAQPFVEEVEEWFQYNEFDRVMLMGMKSSFEH RWHVFSQGQASIAGLLTWLEAADDVRKSFVEQALKDLRNEDLYTRIEALETVCYALTG VWGVTAGRAVPEYPEDLSPRTVEQTPKFKSLQIRWIETSVNLIQECSGIELLFEYFVR VFEKQRTPSNFDSEGAEPENVNPASLAAYEREANLVLTALYLIVEVGRRQEAQDPQQT PVRDALLELKPNLLVFLVEIIARLRWDESANVPLTRIILLFWKSMLLLFGGTDHLKKA KEELEPDHEANKSDPSRRTPFLTASPLDYHVFRQEITSKYPAYNPPPTVVPLELENNS ILPPLPQHPNRAGSSGSLFSGVGPSMSGGNGSILHQSVHIATPAPSPPPSPIGPGGKA AKKQNYQTNQNFPFMYPPLDDSSNAIGGKGTTERQDTLVGKRWDGSDVPASIIEAGKL FSSHVKMTRAMRQLWEERERFMKYDRGWNLDDTAEPPELDLEETTEDLEDLSLLERKP KFNWPSERETGNGDIQGRLDAVESFYTKALVHLQSITIVFLKIILTNVSAVVGQNCQS GSMMSDGYGSVQGLPVAQNTFAEVAIDELDNIRLREVTGKAISGSLLLLLKWFKRSHI LKFEYMTQLLLDSNYLPLILKMFAHQDIDQAVAQKNDRDELGFFHFCRIHSDCPEEFP GNSDEESAGSDDEAVPPPIARYRENQDPDHERAPSPEEHEPVPEFIEGQSRPEVDELG HPTAPPPKEPITIFSFRNFFSAINFLHIMQKITRDKAHRCLLLVQYKSSTILRKGLKI PDPHLRFYTLKLFKSQVPYCGRKWRQSNMRVITAIYLYCRPELRDDWLAGSDVDAEVD QALPLEQALRGLTHWWHLRRYKDVMGGDEGANMMEEERDFFTRELESMGWGVGGDDGF GGVDEGELTAPPMMHGNGEWEGSVPMQSYGGQMEGW ACHE_50136A MEETPLASLTLTHVHYNPTDPLSYLSAWLALVPQALCVAYVTLI WATREAEVLLMFAGQMGCEVSNFVMKRIIKEERPKQMFGKGYGMPSSHAQFVAFFAVY LALFLLFRHSFTYSTSRIISSYMVQAILAFALCVGAAGVAISRIYLNYHTPKQVLAGC AAGTVLAFAWFFVTGYLRTYGWIDWALDMPVAQFCRIRDLVVSQDLAEAGWQQWKAKR KLNRGGDSNSSKTE ACHE_50137S MDDLLPEDDRSIELSSVAAIYPEIKIDPSSPFKASLDLPVVPSK PLYVSFQQPDVEPPDVITPPTSVDGEPGFESAKAGLETSVDPAKEVHVISYLPPLSLE IELPEGYPSEKPPSFKISTDPSWLPSSITTKLINDGKALWEECGKDLVVYTYIDHLQQ LSETVFGIDDIPDGEVQFPLDLKVALLDYNSKAQREEFEKGTFECGVCLEPKKGVDCH RLLHCAHVFCVPCLQDFYNTCITEGDVEGVKCLDPDCGKGQSSEAPASGKKRKKSNRT LSPAELLQIPLSEETVQRYVFMKRKKKLEADKTTVYCPRQWCQGAARSKKHPKPTDPM SDDLDASDEDDDQVPFDPLGEEAQLPPVSERLSICEECEYAFCCVCKKGWHGELVRCF PRRDAELTAEEKATEEYLRLYTSVCPTCNSPVQKQMGCNHMICFTCNTHFCYLCSSWL MEDNPYRHFNDINSECYNRLWDLEGGDGENPVGAEALHQIPAEFLESDDESDGDNIAW EFDDSDDDIRRQPPPPAPFPPRAGAGNRDRGRDALLDAAGRAAAAERQAQARAMAELR GRDGQAQQPRQGPIRGLQRFLDLVQNDREDEWDSDELEGDF ACHE_50138A MASHSDAEGTAMHRPWVGLHMKALNVEKGAKRFSPAGDSVAPRT ATWRNNLVALSQRRNLLFVAYLQQIYVWVPRGSFQVLGSKPEMIINPAMKNPQSPGYI APGFPHAVNSLLVDDLGREEVLLLATDSGNVCAYRVEAIWSALVRAAKSNQTRPLDDS QVDPFFVEFVEASAWGLAIHKYARLIAVTANTGLITVFAFALVNPTSEKSRNSGDPLE GNDDFSDCDQTWLEIKNDAQFSQLRQFMPENYRTRNIKLTYTGHFTNIPSVSFLNCDL DPNGAWMVSTDIDNKLLVWKVWEGLAPLHLYHFNSFSFQSFTHSLQNDTERGWAVIAL DPRSFHRVKTREDACGGVPRRHTEEGLRVLDLTRLSSKVPNASQLYNYFPPAVKLEPE QPVLPDIFDQDCRISAGKRSYAKHDSINNDSTYADEKNASNGTTLEDTNNPAVVENLR PIGVTDSFSFRAVDDSLPEGDTSQDSDSDIDIDLDAEPERDARDSGMQQTPRANVPSI PRPAGNGPLNTAEFLRFALFEALGGELPVAEGYYDDRDVDYPGYNDVDDNRSHGSESR ETESSLAYEVFDRMLRDAFPDDSSPYDFDGPTERLMPLQSVPALTVREFPIIHFSQTD IRLIRHPLASVPSVVCGAPLGQPFTHPIVSIRASDRFNMVKYIPEHGIIVAASQKGRA AVISLTESEETGVAFRVDWIVPFESQEQYGDRPLIPLLGMSVSPIQGFEIPPDVPYIP RDVSESDEITFHYKFHPHDGADNPPSKDAFHRDQIEPPEWFYDLEPDSNDPGNKHVPP LTLPECHAKASRAYKPDESWRGWYPSRRYRLLLMYADHTVMSYEFWYDGRSPGWDQAT EDECLVP ACHE_50139S MDLVASVRKEGSRGGRGDFKWSDVQQSSHRENYLGHSVMAPVGR WQQGKDLQWYARPDETDGDRAQREREEIRRIKEAEQEAMARALGLPLPPKSDANANMV PLGGKETQEAIQDTTEAVEREKISREDHRRRRTERSRSPGRERRHDRGSDRERERGKE RRYRRHRDDRDRHRRSHRRRSRSRSESWERGYRRRSRSRSRDRRRYDDEHQHKLHRHE DRGHYSERRERDSDRRRR ACHE_50140A MYGFKLPVLLAPLLYAVGSTANEDVSVLSKETAKANNESLLWGP YKPNLYFGVRPRLPDSFFAGLMWAKVDDFVNAQQNFRHTCEQNEGMAGYGWDEYDIRE GGRQTIHDAGNSIDLTIDFVKVPGGENGGNWGARIKGVPREDGYDDQPMSLVFYAGLE GEGNIEVANEGDDLGFTGDVNLKGSTPGLGGFSVDVTTGPESNQHPEHDHPTYEDKPL DHTLVASVTMPPGNVWQTKVMLFNLMKGEVGEAIKKYGAENPPPPAQVFTIRNAPGEG NLHYVQKVFKGAFEFDILFSSGSSPEPLTSDTLTKEIKTSSQSFSERFKKILAPQTPF NSPEYSEFSKAMFSNLVGGIGYFHGSDIVDRSAQPEYDEENEGFWEETEEARSRAKPV YEGPKELFTCIPSRPFFPRGFLWDEGFHLTPIVDWDIDLVLEIVKSWFSLMDEDGWIA REQIIGAEARSKVPPEFTVQYPHYANPPTLFLILESFMDKVSANKALSSKKTSEGAAE DIRSAYVQHPELGEEYIRTFYPLLKKHYFWYRNTQRGDIKTYDREAFSTKEAYRWRGR SLQHVLTSGLDDYPRAQPPHPGELHVDLISWMGMMTRSIRRIAEALGETDDAEEFAGY ENAIERNIDDLHWDEDAQTFCDSTIDEYEESVHVCHKGYISIIPFLTGMVGPNSPRLK PTLDLIQDPKELWSDFGIRSLSKQDEFYGTDENYWRSPIWININYMVVKSLYDIATTT GPHQEQAREMYSQLRKNLVENVFREWKRTGFAFEQYNPDNGKGQRIQHFTGWTSMVVK MMSMPDLPAGEKSGHDEL ACHE_50141A MATSNDRREIVIIGGGIIGCCSAYYLTRHPSYDPSHHSITVLEA TEIAGGASGKAGGLLAEWAYPSNIVGLSYKLHTELAKEHNGKDRWGYREVNCGQLIMK GRSFGEKASGVKDVDLQKRTAGALSKLKSAGVPQDLDWFVPDLLRAYESMSGPGETAQ VHPYLFTTSIAKLAEEKGAKILYGGVTDIGHSGGAAKSVTYTEKETGESKTIPATDVI VSAGPWTRSVLPKAPISATRAHSVVIRPTRPVSEYCLFTEVEIPDESKRGRPIVAAPE IYARPDETVYCCGEGDRTVPLPKTTADVEVDPERIEDIVKQVGSISDELRDGEIRARQ ACYLPNVAALRGGPLVGLTDTKGLYVAAGHTCWGIQNAPGTGKVMSEFVFDGKAKSAK IGSLDPRNYM ACHE_50142A MVEPLAKRARRVDSSTMWDLNDDRIPEGNSELDRSPRRDDGRRD GPRDDRRYRSRSRDRRERRRERSRSRDRRDRDRDRRDRDRDGRGARDRERSVSRDRYE RRGYQSKGERLRDRSRSPRKNTRDRSRTPPRGPRTDRWHDRRDPRSQRDRTPASHKPS KDEMDMDVDEVADGDDLDDVMRKYMGFSRFRSTKNTKVPGNDIYGVRKEKKTEYRQYM NRSGGFNRPLSP ACHE_50143S MAPINLSHRQTHNLLLISKLLSLRDTASPFTLLLDSLEQPATQL IKEYIRRAKLSKVHITLIAFETLKRPDGVDALISARRKSSQQIVKEVSATYQTTSSTN LSHRRLILIDSLNPLINSKTVDSHFNLPAFLSSFLVPTSSSVPKVETSLVATYHQDVP ISSNQQPYAPSPLSLLSYLATTVIRLHSFSHILAQKAARDRSLAAPVFGLEEEQDGVL LYRLDKLVGNENAEGIVLEMEHRRKSGRGVLEWYILPPASRYPPNQTKEIVTLLDDHP LYRPPEEPDTDAGDEEPESTFNLRLTDRQRREREGVVLPYFDAQSGEGPGEGGRILYD MGEEDDFDEEEDEI ACHE_50144A MVRSTQIARIDGLMLAASVDDEQAETELAQVKSQAKMIFRRLNR NSAPQASIESGQYNLHYLVKDDICFLCICDQSYPRKLAFTYLADVASEFTTTYSPAQY HSPTLRPYAFVEFDTFIQRTKKLYQDSRASQNLDRLNDELKDVTKVMTKNIEDLLYRG DSLERMGELSGRLREDSRKYKKAAVRINWELLIKQYGPFAGIGLIIFILLLWRFF ACHE_50145S MSANTRAKRNPRRGATKSKWDEERVMTSPKSPLINADLVKMLAN RKAWDVLEEHEKEEILDLLPEHIRPHPKPCEDGTMKIPPIAESFLRYDNPWRDAVRQF QVDLENGRYDPEWIRQADIAVQERANGDFDDFKEREFEEFWGQKQRMDKSLAAGESSQ IKLTTLVEHGVVRTGDVWKLSRGFNVPGGRVLIEKEAKILKIDGSHMTCVVPAGQRVF LPQVPDADYKALLKAYKSTTEDEMDVDFTADGATNDGEGEEEKPTARGLRKRKSEVKD GPRKKRQQDASEDALSGSEVVTSVCTKQEQGNNSEDEASKSENDVNPETGSNVMQSSS SGLTLKGVEVVVLPEVNGPTYLGNKMIEVDGRIKHIPNGNAWKEFRCYRDNQDMGSLW EVRQAWYVRRK ACHE_50146A MTDNHPSPDYSRPQFPAHNEPRVWVITAGDSPTGISVTRKILAH GDYALVGLAHTNLDRDECRRDGFEAFMVEVESHSDEGWGERLKPVPLDIRMMGECQAV VADAIATFGKIDILLCCTSQALIGTVEELAASQQTSNLVRDQFEVNYFGPLNIVKASL PHMRRQRSGHIMILSSITSHIGTPGLGMYCAAGWALEGFCDSLAYEIAPFNVKLTIFE CSIEIGILTNLVTSVPPIVPAYSPSVNHAPLFRVLLNRLIPRLPNSEAQGQQNRNQNP GEDGPFSVPEVISTYPPLSSAHLEVLTAETVYAITAIGGHENPPSRHIVGQEGVASVK EKLKTVSEELEDFIQSSFAVDFAADSAACRPPKDGDTSMGGIEQ ACHE_50147S MPEQIQQRGDSEQGSTFRSVLQAVGFFLLAQTIVGKFLGGNQQN AAPGSGPWAGAIPAFTDRPAPGEVSNYSPIPNIIAPMWPTDSALDMKIYVSPSIVIPT YSVLPSASLVLDERNFTIGNYSDTREIDTTISIPKEVQQNGTLWAHFYVGLTGTQLDP AAKDYSTDKAFHFFRPLNQYLPKKKVKKLKNLLASSEEPEKEEEDNTPNVQMVSYYHP NFTVSVIPDAGNQNYRSVHPAVRQHIQLESTGARDASGQNGWYYPMVFLNTFWQLRSH MTELNSTVDTMPLRITLNNLQNWKFSMVTSFDEGSKQNARQAAYGGQVPGGGDGSEFE MVKEVLLNTNIWLLGTTGVVTILHMVFETLAFKNDISHWRKKKDVIGTSVRTILANVF MQAVIFLYLMDNSENTSWMILASQGFGILLEAWKITKSVDVRLRAPPAGSFFSFLPYV IVFEDKHKLTETEKKTQEYDEIAFRWLYIFAVPLLGGYAVYSLMYETHKSWYSYIIET LVGSVYAYGFLMMVPSLYINYRLKSVAHMPGKALTYKFLNTFIDDLFAFTVKMPWLHR LSTFRDDIIFFIWLYQGWKYKVDYKRVNEFGQGGESDEEEEEKEGEEKVPSAPEQANA SGSGKGDSKSARKRK ACHE_50148S MPSDKETGSRPTRNLLDAVEHLESVAFIPAKQRHTDASQLAKTI VSDAYESGIPHVILERLLKILTSSTHLDQSTTTTLIKNLYPLEPVSSRVVTQVVCSLG PSKNKPTPATQALLLRWLLLVYDSLGDRAHLSKLYAVLFNYLDMISLRKPLCHLLSII TRRKHVKPFRIQALMELLRNTGGEEKELVSLLRVFKNYYPDIIVGDLGMMRKAGLVFK HPDPEWISHAKHLQETNAERSQISQQSSFQVVHRGLVKRSKAEIVVPDVQTSRVPHGR TSLEELRGVDHFVESIDKIELPNQIISTMGDRLAQKYLFLVQSDAASARLDGWLLSFL GDKLEQIRGGDEDDPETLKYILSLAADYVQYAKEVPAAIFSFVKAYLTLWNGRDNREQ IFHLLEYLPVDSFDAIREGFLAPLEAAVLDDTLASRVAILEFYSSLVRQWGIRLRTEP STSAESKPLSRLVSHAELLALSILEISPPTNAFDDSTQSKPATLSVLDFYSTLGELFS YASLNGNIRLTIPLSPTVYTLVFTPMSSIISIISSVLANYKSAFEASLTSEVLQSQTP NGSENLYPTQLVGQFNGYVMDICNLLWRNRGLNTEDPNALGCLIPASTVTLLTQYIRE SNEVARERKRDSAFHYTLSSIFSLSHHAAMCNISAACFAEIEDENGVGEDKPRLKKPV TQKALSALEKGGGVKVSWQEYRLRMLDWLDAAGNRGIGDLMRSTMKALRKE ACHE_50149A MPTMMNRGLWQQYSRIGRQGSRAFREFNSSASLFSGHNRWSTIR HDKAKNDKAKSKERQIIVKDISSATQMWGPDPKYNPRLTLLLSNAKRAEIPKAVIEAA VARGQGISVTGQALEQVTIEAILPFSVAAVIECQTDQKARTLQDVRRAIKDVEGVVTP TTYLFEKKGRIIFENKDGASPDDYLDQAIEAGAADIVAGDDGRLAIFTGPTETKQVGE NFSRLTGLNIEELEIIWDPNKETLVEVKDEEQIKGIEDMLGTIREDNSVRDIYLNTSR EF ACHE_50150S MSSSEPTAAAAAATTPDDAAPRAELDITKLHALPSEQQDLYLLT FTSELVQHVSGLDKAQISSQQKFLKKELFKILTLSSPTITRVIRNNLGRCFGAILGKG DRGILFETVTDLLGVLNAGKSEELKTKFAAAHCLGEVFAAAGESAFAQSGLVISSLLK LLKPSANHTGLRGSIFSVIRKVVVGAGVPVDETTARDVWKQARNAATSDKSTFVQLHA CRCIEHLVKVTPYFDNSNDFDNLKSVIWKVIDSPVAPVRHAAAACLARVLVKLHSPDT RIQPAPKSKKSKRMSKKPTPKPGEDEDEAEVSEPSTPKKSESRLFFLLPDLFRQLSTQ YMRSTTSNRARAAIAVCYKHVLRNLGNKFIEERYGQIANHLLFELLNHPTVTYNRFRL LMTRKFVKSILEDTIGRESLRENSQLNAAKWLINEILKDYPQVIQERREPSKYTLTST LSALSSLISSLGSAFNTLAESCREALIQVLPHPSYTVQIHSAHCLRAFVLACPHQLLS CVTICLNSLSREIGQLSTPRQSPRRCVAYANGLSAMLSTSRLQPLYGSVDVFARVFTQ ATDLLKTSTSSELRAAGTQVQIAWILIGGLMPLGPSFVKIHLSQLMLLWKNALPKHMG KESFAQRGNLEISFLTHVRECALSSLLAFMEFNAKLITSDGAKRIASMLQNTVDFLHD LPRQKSAEDLSQRLHPSLQLHDLATMVRRRVLQCFSKLIHVHHLNPGEIISQSSLLGL AISSFADPDWTQASPLESSIAASSSQFETLWDLSDNFGFGVTGLVREYIGATLSGKHD NDNGPAWSAVESGDQAIDDALTFPICQASEHDSVLLYCSRDGDALHADPPATGVVNAS IDLFSVAIPLHTPKVQESSVEQIATFLSSPTVQRNPGRRAAMVVNVAVALLHALKVAV KEAGSAAGRLNPATDKILQELLQKFIIDADPIVRTIGSEALGRLCYNSGNAFTNTAIN WLVDTIVEIREPNARAGCAAALGCIHSQIGGMAAGLHLKTIVGILMSLCNDPHPVVHF WALGGLERVSNSAGLTFSPFVSSTLGMLARLYNADTHNEESATLATSNIELSFLTPVV VSRCVDSLINVLGPDLQDIAKTRNLILTLLRQFQLEDNPALVTESSKCLDHLSLYASG YVDFAGYVKRLQSELTASNPLMRDVATRGLSNLMKRDAASVIQTAAPGLEEQIWLAFD DTPDNTLLKSMIQDWLQQTALTETEMWIQRCHTILTKTRSKSDEPPPPSAAPKTAGGD IQDDEVAGFASAVGEGQAETPGDTASGQELLKWQTRNFVMDCLSELLATVQEAILPDQ TIPAELALQHKVGDIVRMAFSASTANVVELRVWGLKIIDQVLKMFGKTPDPDFTEASL LEQYQAQIGSALTPAFAADSSPELASEAINVSATFIATGIVTNVERMGRILKLLVLGL ENFSKNPDTTEIGDLKGLNSNAKVMVKVALFSAWARLQIASMEQEYLTQVVQPHLATL TPLWLSSLQEYARLRFEPDISGSLGTGPLTGNLDEVYAALNRETLLKFYQDTWLNLVD AIAGLVEKDIDFVFDALDGRSKPEEPSETEGDKEKESNGVTEGKGHDINYRDEPVAFF FVLFGLAFEALVGQSTSPAQRLEILQALRRILRPVISGNAIYQEAIFGETMDSLDRLV LTESIPIQNVIVEIARNLSLDHPSAKSGQARSDHLSDDIEQLFELTRSIILVLAGLLP NLREATPLARFNVSSEDSLSLIRLALSSLVDVASIFPSIIRDDLHACILHIFSTILAT GLCQAEVVPQALPIFKNFIQWITHPSDNEPELLENLTVVSHQLRGCLTRFLATLTIAQ RRESDSSLPCAKNTLLAITILLTTGGHVVPPQDPVLPQILNELLDCLQDVGLANVAAG CIRSILLAPNPRSPTDEVVARYLTPRLIAFLISCPMDNGEVPNDPEGCRSIIARTLVN CVSHGTFAANEIPSAMSLVFSALLARGKREGQSVYKETAGHLLELAKSDQMVFRAMVA SMNVEQKGLLEEVLRNVEVESGAGNRAAKNNGAQQEEQQSGPSIALRFDF ACHE_50151A MAAPRLPFLYPNLMRAVRSCEPTTYRSIRGPVRSKRAAFHTTRR HEEEAYHRRYGPAAEANLPPSLRPKDEEEASKPARDPPAEKEKRDSSKSQQKQTIGQL DQSRQEESKNNASNQFSPAEKEVTVESKESEAQAEEAESQDEARISAEEANNAFSSSA EHPEEEQNVHRHIQDNPLDGVFHMPSPSTYLTPTPSGPSGDKPPHLSPAPYVHHFDTY SLVRDLSKGGYGEENAITIMKSVRQILQNNINLAKDSLTSKSDVENETYLFNAACSEL QSSLQTARNSEVQRQRASRTQLQHEIDILSQRFNQELAGLKDDIKGMFNDHKMTSREH QRSIDTSVQELNYKITVSLNSDGKSEIEGLRWILTRRAAMAVATSAIMIIIFLKYYSV RKAGTAEKKKEEAAVKDVKETRIIQDTPEVLPSSLAPGADVGESLG ACHE_50152A MASGPDPQSLKSWEDAFQYPIPTVRRVEQELRRDIASNKEKLRA LVGTRYRELVGTAETIVSMNREIQDVDSNLADIGQRCNPRLVEKKTAHLGQIKSDVLD KDADKRAVGAQLSLLHRCAATISRLLRRRASPLLIAKLFVISRLLHKTLSQGKSVPPF LETLRGQLASLRQTLLKRIRKCLGSANSTADDTIEALAAYCLTTSSSSDDAIRHFRDV RLGVIDSQLALTDFSGENVLKAFLLYIRTLQTSKILLSRRLSDVLGKLKARPILTDPE VRNLDDLGIDILGRWAAPEVTNFTPWIKLSELSKSDSERVIKQWSSQAFEALVKGCHS TLGKWNDFPDLLALRKKTLDIWLSSRSSTSTHSSLGVLEGIRTTFNEQLTRILSNQAK KLDQFGQNISFTISYWESKEHTEAQSLWDHNIISLDYSNGATAFKQTVMDKLLGRDED ISAALKIYQNWRSTIDSSKQSIHDLRRTKWSDILDEGEDEDPDVDITAMLNDDDPRLL RDEFQSAVRQSLTTLQDSFSDTFCAFGQSNRNLKTAYLLRLVRLVRRDLPTDFVATEF SFSSSIVPKLQEMLATEIIALTTPLRLPDPHKRLPGRSLWEGDPELPLQPSPYTFKFL RRLMESMNQCGQDLWDLSTVQVLKQTLQRELSNFVVTAFENLESPAPEKDTPKPESES ETGQEDESRPTENGTEEQRQPEPETTSTTSKEHIRDCKVQIFYDTIYLKGSLATKDLE QSQCQLADAVEKLRASLDSEERVAKNIEKAAGEYWKRTKLLFGLFAVGSE ACHE_50153S MPHMESETQPPAASSTEQLDRLPFPPVTYSHILNCSYHSWYPKY RTLAPKSRILPAPTPFITYLRGDSIVLPPEDKPPVDEDDDDDTFSDDETEEAPDPSTE WKDLHTQVKNTVAEFGGNVTPKLNWSAPKDATWIAATNDLQCCSANDVYLLLKSSDFV THDLEHPFDDCVPDTDTGPATEPEISYHLVLRKYANFNPSLEFRCFVRNRVLLCMCQR DQNHYDFLFPMRDALRSRIQAFFDEKLKDTFPDPNFAFDVYLPAPHQRVWLIDINPWA ERTDPLLFSWLEILQMKDPVNVQEEEDFPEGGFVRLSLNENGNPTIQDFGPNGEIAES ESESDEEEDPANEDDDAPFLPEFRLIKRDDPEAYAFTTPQYSAHKMPKEVVDASLAGP GGMSEFLGKWQDILAKQIEEDQAADSDD ACHE_50154A MVDPITVTYRERIAVITLNRPEKLNALDQDLYYQLGERLREIEK RDDISITVLTGTGRFFSAGADVTASRPSGALSSSARRDILRSFVANNVDVTRAFYSHP KILVVALNGPVVGLSAALVAFADFIYATPHTFLLTPFSSLGLVAEGGASRAFVERMGI SKANEALIMSKRITCPELESTGFVNKVIPAPSGEQTDSQGFLGKVLEEVEERLGSHLN QSSLLKIKELIRRPEREVLERQNGIEAFMGLERFLSGVPQEEFRRLASGEKKHKL ACHE_50155S MSAILRKLQGGNLEVFKFGMYILFPIGWMYYFGTNLDDRFNVSG FWPSAEQSHKIPLEKEEIDKELARMRALDAIRRERRVQRAAQESGEEGSA ACHE_50156A MLDFFLWIAIALVRWIRLKHYQYEVTFAVYMLTPTEKFIFNSII LTTVTMIVTGAYIYLPNHIRTVYAHMYYYWVGDRSLLSSSFPSITSVFGETATQKLEV MYETAKNTATTTTESIAEL ACHE_50157S MKAMLRGLFHNGPFRTVRVLTRLPQCQCQRWPVYNHHRLFSLSA PAHDKPLPPRLKISDADLTISYLKGTGPGGQKINKTNSAVQIIHKPTGIVVKSQATRS RSQNEKIARQLLADRVEELEKGDQSRTAIKAERAKKKKASKLKKTRRKYRELEDKEVE SDEGEEHDSVSPSGDQPPPETQGR ACHE_50158A MADGLGAVAQLLEASLDPRQNKQAELALRQEEKKPGFSLSLLHI TASASYPYNTRLASALCFKNFIKRNWTDEDGNYKLQLDEVTTIKQELISLMVSVPAGI QTQLGEAVSVIADSDFWERWDNLVDDLVSRLQPGNPSTNIGVLQVAHSIFKRWRPLFQ SNELYTEINHVLERFGTPFLSLFEGLDAYLEENKSNKENLAQGFTQFNLMIKLLYDLS CHDLPPMFEENISGIASLLLKYLTYDNQLLHTDDDTEAGQLEFARAGIFEALTLYVQK YMDVFQAQVGQFVGSSWSFLTTIGQETKYDILVSKALQFLTSVASMPEHASVFQEEGT LSQIIEKVILPNVSLRESDEELFEDEPIEFIRRDLEGSDSETRRRAATDFLRRLAEKF EESVTKVVLQYTEHYLAEYTKDPSNWKAKDTATYLYSAIAAKGTATASHGVTATNPLV SITDFFQKHLAVDLVSQDGAHPILKVDAIKYLYLFRSIITKEQWQEVLPLLVNHLASE NYVVYTYAAIAVERALYLTDAHGQPIIAPAGITPLAKDLLEHIFQLIQKDPAPQKVQE NEFLMKCVMRVLIVIRDGVVSFTDNILQHLINITQIISSNPSNPRFYYFHFEAMGAFI RFAAPANPDKLEQSLYTPFAAVLQGDVQEFMPYIFQLFAALLEANPSATLPSYYQNLI APILMPVMWESKGNIPALVRLLSSIIPRGSQYILENNQIMPILGIFQKLLSTKANESH GFDLLESVVASFPPTALEAYFVSIMQIILTRLQSSKTENLTLRFVRFYHFISAHDNKG YSADFFIQATENVQANLFKSIYLSIILPETQKLARPLDRKTAVISLAKTLANSEAFAN KYQKGWGFTCEALLKLLELPPLPVSKDDIIAEHDVEDMAFGVGFTALNTVRAQPKDPW PETGADLKAWVGRYLKEADKMHGGRVSAFAQERLGDEAKAVLGSYIA ACHE_50159S MSSEDARSPSPFKSPTASLTDVFKTLGVVRPKSLSPVSPESYSD SLSPAADGRKLTRSVFGFDSMHRGSVVSSSSDTPSGPDFETSLRALGQSQNLGHAIDE AELVARALQRFTSEQAVALWEAGSHLLHHEGSSEARKSGSRLIEAIAARQDLPPTARR SVFESISLASEPDVIPSRVRALISLSDHGRKLDFSTSSILPMISSCIVPLYELISSAR LKARKAKVAKVNGLGYDDADLDDLLQFAVDLITLQRKPPSIDELEDFLSQIFTICKKT SVAADIKNSLAVFDSIILYSDVPDGSFVPMLEVLCSIHASVKSLSGPTSRAVRNLAKS RRQMEMVNALYSFLLGSSEEQSRNLNVLRGTIYVLADLIRAHGQDGIPQLEFEPLIDS LHVVINKDDGRLEADILELSLNILDGEYAQVALEHSWSGFVNILELCSRRAIEEPEEA VEKGATPDETRSNIQANVIQIASLIETLWDRLDQQQKMDAGRFLMIVSEHIEPSQADL ILDAIRTERLCFPENDDCVKHCQKLINSFIRSHNKPSDVRILALDTLKEAFQSYESLQ FFQEQGLLDLMLEDFSDETDVLLLESLVSFVVDVSTSATDDDTFKKMVDTVSSPMKND IVKDEPHDSEVSSPSSLQNPSSANAMEPSLGNVCSVGLVKMFLRSLNLSAQKAVSVFE ALLEIAQSPERPSDARLTVLKLLFRLRCDSAGSITVISVSENDFLVNVLGRTAESGPM LQQPLAGSPGMPGVEDPALVRKHSFKEPTLNTPSKSTGRGVSTHVRASKLTPPVWTYA SPQVLPEEPPQASSPFVYAYAQEVAQAEAEMAQKISIKANMWLETVISLLQRETDWNV YSYVLAHLGHQLSNRDLFKNAVPQIKLLRSVMCDQVKNETFHEPPGSTGVKKTDVAAC IFECLTTLVSYHEHFAKSEQDELVRSFMLGIIGSWGGTSRGCIHALSICCFEIPLSVT KSLNGILDKMAKVITMSNLAVHILEFLSLLARLPEVYINLREEEIRTVFGICIRFLQT SREQRLRASDSPTARTQTPGKVGEAESNVQDAMSTYIYTLTHHCMVFWFLSLKLMDRA KHVNWITSRLIFKDETEKETVEEASQVFIDLMQRSSFSDLGDTIPYAEFPPSSEHGSV IKKSWVVGMSIITVETAVVSGLSQITKRQASGTTYAMYQQRTAPVLPHQVTPTHDAHL QPDDMRTAILPSHILLQFTTTAFPTPTVMQPIPLPDDDITRRALGMFDRNDIVDGHKI GVLYIDQGQRTEAEILSNTGGSADYDYFLSGLGTKVLLQSAQFNTQGLYPDVDGKSTY AWRDRVTEIVYHVATMMPTDFDGDPNCINKKRHIGNDYVNIVFNRSDDSFSFDTIPSQ FNFINIVISPVSRVASDQTSPQEESDLERLCYQVRVISKPGIPEISCAGTPKVVSGKN LAAFVRILALNASVFSLVWNSQGGEYISSWRNRLREIKRLRERALGMQSQSTDAEGTY PAASASRRNTKATILSEELPARGTSIKTDFGSEWNAAAEGGTLQNLDFSRWSR ACHE_50160A MYSTEIVRTPHDDGSGQNDYILENEKKRLRQHLGLSPEPGGPSD PPKFQPLFFIEMAPNSPRGAKCKLSICGNNIWPRELRLALNPAMGFGQRYRSSADFYH IQCFEKIADFSQADFLDRIQPLTRHNWKLRGLKAGSILDGNYLVPGGVERLVAEWKVT LGRWIDKRDGVYDESKDRLSTDFDALLRKAGSAGYQNQEMPQCMEWFEYNILSSHLAP YESDGPGDSEEWNLFAAYLDKTPEALGKPHTLSTMLQHWENDLALAGKKELELDEAQR KARHQLGDKAVRALKRLSIIPMPDTSFTHGAFLG ACHE_50161A MASPTLEGSVNASANLDSSKRPASSSSRPSSSSRSSDLGERRPH AKLQIPAGLQARMGKKTNTVQELRTQAMEGEFKTKHLGLLGVLVWILLLHVAGIFFFT KGFLLTRMVLDNKSSCEVLPFDDSSHAPSWTGGNSDKGCWHQKSFDKAIVIIIDALRY DFTVPFAPSVENEQAHLFHNNIPVLYETAVNQPEKAFLLPFIADPPTTTLQRLKGLTT GTLPTFVDAGSNFAGTAIDEDNLVAQLRTAGKNLVQLGDDTWHSLFPDYFDANLTRPF DSFNVWDLYTVDNGVTANLFPLLHPDNATRWDVIFGHYLGVDHAGHRYGPDHAAMGEK LREMDQVIRNLIEKVDDDTLLVVMGDHGMDSKGDHGGESNDEVDAALWMYSKKGMFGR TSTETALPPKFSRERFVPQIDLVPTLSLLLGMPIPFNNLGSPIEEAFAGTYGNDVKNL VAVNRLTSAQIKRYQHEYAAARGEDHSLSLGSLEFWRAAEQTFGKAKSNTILRSAYDS YRQYQRGTLEICRGLWANFDVPSMIQGVLILFSGLALLVFYARGLKLNRTELTSSLLT LAGAGAGLGGAVGGAIGVLGVVDMPIIESSALFAAFSSIVGAAWSIFGSQLSLPLPNS LWGWLSLIFTVSQSIGFASNSYTIWEDEILLFFLSTFGVVAGVSSMRQKATQDRVLGL YHSVLFVLLGRLASFSRLCREEQMPFCRSTYYASATSSTSAPWQLAIPFLVTLIIPAV IKAYYAGSKSYEGTSSLWIGFAFRLGLLITSVFWILEGADDGGWFNVSKETLKSSRMF LAQFVFAIAFGAGTTAYIYSKPCVSISVSKGSPDPEKPATPSGQQPGRTTVTILGFGN VYGTRFFFLVVNFGLAVILMQKPMGQGAIALLLWQILSLLEILDTNALATTNVSIGPI VLGLLGSYYYFKTGHQAVLSTIQWETAFIPLSTVKYPWSPLLVILNTFGPQILSAIAV PLTVLWKRPLQVQEPGKSDNPSLRILSDVIQAVTTHILYLGTINLATTMWAGHLRRHL MLYRIFSPRFMMGAAVLGVVDVVLLGVGVLGVRWCGLSVGEVFGW ACHE_50162A MFPELVVRDSPEDGLSPAMVDLLIALLVLVLLGLILVAGLMILR RKRQNRKLSDLPVHNGECMPSTHHRRLTISASPGAKTESVLVYDEKRSLMENSSSPPS SPVPEIRITFPEEEDESGKRKSGRMVVVRISDTGGVGLEPCHEELPPYQSSDADRFHS LDIERMGGLKEKDDLNRWS ACHE_50163S MIPWWATSKDDIHFNYPLSGGSIKSIGTYNFAALRLLFDAEPEK CVSCDAQAYTDGIHDKCDYEFKAQFRLPNGGIGVASGTLNCELILKPSSWEEKSHKAY TFKEASGQFADLPGETHWMSYRYQLEAFANQIKGRPTQEWVGAEDSIPQMKMIDMAYR TSGLGPRPTSEYR ACHE_50164A MGSIGQAPIDTAIASHHLSSRGAQNYLYRDIWGPRQKYMGDPWS PNNPNGTVILRLAENSLMHDEIAEFINAQAKVHPLNHLTYSTGPRGSRRLRNTAASFL TEEFHSRDVITADNIFITPGVASAIDALAWAICNDGEGILIPQPLYNGFHFDMLNRSN ARIVGVTYEGIEGYKGLEDLFSPGVNRRALESALRRAESEGILVRAVLVSNPHNPLGR CYPPETLKEFASFCGKHGLHFISDEIYAQSVFPNPAIPIPVPFISTLSFDLRDIIDPN LIHILYGASKDFCANGLRLGFICTNNEGIIGAMSSISMFSWSPHLLQDVWAAILEDRQ WMRGFMLKKTNLMAENHTIATSFFRLRGICYFEMNAGLFIWIDLRHLLLSKSVQSGYD YSALRVTSPDASIYKQREMRIADICMENGVMIAPGNVYMPEEFGWFRITFTVGKAALV EGLERFWRALGDIEMERRDWE ACHE_50165A MLGFTVGSENLVRAAGYNDRAVARKTHYLRAKVLYDADYETDRM NLVAVLLLLGFWWAGYEEQKDTCYWVGCATTVAQSFGMHRSTSQCVLGPQIRSLRKRI WWSIYVRDRHTSAAFGRPCRIRDEDCDIEPLTEEDFNFDVDYDERLIPAQRNFHISYA LEMSKLVIILGDILIAEFSPRRAARGSDTKELADRLVQWKSKLPVQLRELQPDGSLGA SFWASMLHFSYQNYHILLFRPKAIENLSPAEVERDVRARTAADTITRMAEDLLSAGTI KSAQIHLVPALFGALSIHTIVICRCRKDPVRRQVAENKSRQCMLALSVLANSWPVRIW ISKAFVNLMRRLTGQGSGSAGSIVNVSSSIATSSEHSGLHADSAGDSSHDIDGNSPDR RDPSAQVTDSNAPDYFPPTAADQLIYDPFSAGYGCLDGMFDIDSILPNSLAFDGLGEI GEPNASDF ACHE_50166A MADLSGKTALVTGGASGLGKAITTKYLHANATVIICDINPLRIE ETTSQLSALGTGTLRAYTVDITSLDAVHDLFAKMRNEFGMSAPDILVNNAGIMDRFDP VGDLDPGLWDRVMKVNLTAPFWLSKLAVEGWLAREEGEKTEGCILNIASVAGKVGLAA GAAYTASKHGLIGLTKNTSSFYTNKGIRCNALVMGAMETNVSDAFHAGINQEGYQKMA ELMNAAGTALCNVDEVADLCVWIAGGKGAGLVNGAVINVDHGWGSIMG ACHE_50167S MASTMAANATSVHNVRTNRTNIGSSSMSNDRSTMGKSFGGSKTW NSNIWGDNNLGNGFDDQHLADTAFEGKSGSGSLLSTSESDGWTSRPNLPWTTVNTSAS LARGATAITTSPVQGRSNDRSASALSEAADTSYFSLPRSGIAGAGGAANHKTYLNSGS DGISPSTDGISFGGFGGFRNGEARHNVNSSAFGSSPVGTRFQMKPGTTLDTSGDDMTI SSLPHGLPDALAQQLPRNPYTHMSHSSASFAPQRQTHTSHPSFHSENQGFDGRLGTGS IDLNTGLGKLQLNDSGFPTQRPAYMSQGSFDGNLSRSKYAQSVGEEGNYQSVPGYMGD GAADLLAYQAANRARLEGGGISPSELTRMGSPFYPGLDGASLAGPHFRNASGSRISEG QAAALERKLRAEAEFVSPGNPLQRVQYPSAYELANYQARMNPLAGYYPVSSLAGIGAA AYVPRPTRENDLSQVVRSPLLEEFRANSKGNKRYELKDIYNHVVEFSGDQHGSRFIQQ KLETANSDEKEQVFREIQPNCLQLMTDVFGNYVVQKLFEHGNQTQKKILANQMKGHVL ALSTQMYGCRVVQKALEHILTDQQASMVKELENHVLKCVRDQNGNHVIQKAIERVPSQ YVQFIINAFKGQVSRLAAHPYGCRVIQRMLEHCEEEDRESILGELHACTPNLIPDQFG NYVIQHVIENGEEKDRSRMIIVVISQLLMFSKHKFASNVVEKSIEFGEESQRRHIITT LTSPNERGESPLLGLMRDQYGNYVIQKILTQLQGTEKEALIDQIKPLLSQLKKYSYGK QIVAIEKLIFDPSLPSSSALSHLTSSTTPPNSHKSSPQPSKRSMENGQVPVGAAPPTP PPTESQTGNGADSKGLARTTVKSASSSEATTPDATVPVEITGAN ACHE_50168S MSSNVGLSTPRGSGTSGYVQRNHAFIKPRNAGYGAPYPPVADDK LGGGFKQRQPDRKILEHDRLRGIEVRVMEERERLEEENERVEEELSKTKGKGKKGKHD EEEKEEGETSKNENENEKRVLSDEEIDERCESLRQKLLQELDDEANAPSDSRRDNRGR RAAPKEKKQFKAYQVHELAEAKIEESERLRKALGIREDRETGEISSGWRDARR ACHE_50169A MCAHFPTHLEHVNPQKIAAQWRAVANRDASVNSFVYAVRTTGIY CRPSCPARLARRANVDFFNSPTLAEAAGFRPCKRCRPNDQMGDPQVRLVQAACDSIAA AVSSGGKVKLEELAEAANFTPSHFHRVFKKITGVTPGQYAKEQHQQGFNGGESNGNLE HDRVPGAPDEDRFFNELIDWDANA ACHE_50170S MVPDWKAGPPSGHTRIKHPRPPRPPQHRSPNQPRTPSRNRQPQW QSLPNPPQHTTNFIEKIYWNPRAYDLRNIWMWYNEVERFLIAERAPDMLKEAARSCLL NDLYVKMHEMCLG ACHE_50171A MPKFVPRQRKQKHRQKEAAKAAPVDTNVSEIQPASKSEREARRQ NLREELRSQHEHVSAKKQKRLDKYIENKLKKEENLELLKKLAQQKVDTSSLRSSREIG KRKRQDDSTAKSLETTNETPAQHAQHDLSGDETDESDLKLKAGPTMETKVENESKPQE PAVIGSGLKRPLEVGPDGFPLLKKRKRAPKAKAAATVEEVPWEGFDSDEEEDSDDKEN ESDVSEGESEDEASEDELEDDEEDSDEDEDEEEDSDSGSDAPPRRSAFKAWAQQVINE TVGFTPTTGPVEVPEVPQAFEPPRKEAKNTVEMEEPLPAELQVTKGDPNRKAFSVQVD RSEDIQNARLGLPVVGEEQKIMEAIHNNPAIVIWGATGSGKTTQLPQFLFEAGYGNPD SPNPGMIGVTQPRRVAAVSMAKRVGDELGQYSKQVSYQIRFESTASSKTAIKFMTDGI LLREIAEDFALLKYSIIVIDEAHERSVNTDILIGMISRIVDLRKTMMQEDPSVKPLKL VVMSATLRISDFMQNPSLFRHGAPPLVQAEGRQYPVTVHFARRTHRDYLEEAYRKVSR GHRKLPPGGMLVFLTGQNEIRHLSKRLKQAFKPTQRGEVAQAKVQISANDAPIEAEDM ELGATEMAAPGNMEDDDSDLEITGLDDDPEEDEDFNLGEEAMDASTKVHVLPLYSQLP TKEQLKVFETPPEGSRLIVLATNVAETSLTIPGIKYVFDCGRAKEKQYDLSTGVQRFQ IDWISKASANQRAGRAGRTGPGHCYRLYSSAVYEGEFAEYTDPEILRTPIEGVVLQMK SMGLHNVINFPFPTPPSRQGLAKAEKLLKNLGALSASGQITPIGHRLSTYPLSPRFSK MLHIGHQHGCMPYVIALVAALAVGDLFVPQNQIDPPSSKKEDDKDDDDDDDGEKKRKK VYTNQDRLEDTAREQRHKDFSRVHRLFSKHDDLSDSLKYLSAICAYGYASDGDAFAEQ MFLRAKAFKEATQLRSQLTDIARNNNPGIVPAYTPRLPEPSDKQIKALKQIVTAGFID NVAIRADLAPVPPEMNRTPKRAIDVPYLTLFKSREGPATELEEKAVYVHPTSILASLS PKEMPQYVIYSHLQQSAPSLVSADQTPKVRMYPLVAPSGLQLAAIAHGTPLLEYGKPI GKIELLEGSLPPRRACWVVPSLVGEAGGMGWPLPAKKVIQKKDPKGGWVIEKFST ACHE_50172S MSTAMSDPFDLSQLQQPLHLPFPATTKQAAGKVNGVQTEVVYIE FADKILVTISQRGRLAHWLHVPLENHNPGTEGFHMIPDSSEDSLLPISNLTATSVLGG RVPGQETIGQLYARQIASAIVTKSPDEKRLLVVGLGLDTAEADRDIFFGIIELVLQCV ACHE_50173S MNGNDDMDEELKAAIAASLEDFQGSAHSRDPTSSKKHNVVDLTA DSDDGDEVEEIFPKSRSVIGSETEDGDDYDEDLERAIQISMQGAPEKVEKSDGNEIVQ MSTQKEDSPKPISVKNDKKPSPQAQKEEQPTQEQKPSFPGILGLDRKKMEEERLARLM KRKIDTSPDRREAKQSRTESPKGKRAGIKSPHKSPTPKPEPRKEQPKARSVDTPTSTP SVQFPAGVIKKTWNANARRIGNDIKINEVFQASDLELAVLSSFMWDMDWLFSNLDTKK TRFLLIMQAKEESTRRQYEAETAMMPNLRLCFPSMEGQVNCMHSKLMLLFHPGYVRIV IPTANLTSFDWGHDGTMENSVFLIDLPKKTGGNEDTKTAFYEELVYFLKASALHDNII AKLDTFDFSRTAKYAFVHTIGGSHTGESWRRTGYCGLGRAVNSLGLRTSSPLNIDYVT SSLGSLNDDFLRSIYLAAQGDDGLTEYTARATKSKTTPDSNPHEWKDNRLRVYFPSEQ TVKETYGGPNSAGTICFQSSWFNGPKFPSSILRDCASARKGLLMHNKIMYVRPDERIS QLNSNTTSGGTCPAWAYIGSANLSESAWGRLVQDRASTVKSNPKPPKLNCRNWECGVI VPVSENEKRPDGDRECGRMLDVFRSTVPVPMSVPGKKYEGDGRLKPWYFMEGMNSRYG GF ACHE_50174S MVNSTAAVLEPTFTGYVATTTDALILFEACLTGVLHHVPRRPHD RERSHLVRSGSVFIYEENSSGIKRWTDGVTWSPSRILGNFLVYRELEKPFPPGEKKRA MKKANRRPAPPSRPGEPYPRHDSTAQSYSPSPSSSGPFGGDRTHQSEVERALVGSLVD SYGFKDSGLVKKTMSVTVAGVTHHLVSYYSVDDVMRGVLSPPSMVESLRCIRPRMELT QKQSFRAPIDDLETSGLDNPNDPAHAIYSYRPPMMAPPGYAIQPTAHTDFYMHTNPYA AHPPPPAPMAGYSMAGPIPAQPAPNPYLPTPAAAAQPHIKSEEYPPPFRAPYATTTAF EPPSYNPLASSMPPALNTAIPSSLGSDRSRSQSEQSPTAYRNPSISSRSVATDATSPI DPSTPASYSRGSFSLASQLEGSHPSMEPRGVAGFDPSIPRRESIPYYARDNRYYMGAT APGAHATYPQQISPWTTTAPAQPQI ACHE_50175S MADNRPALGSSEGPDHANPTNTTTTSQLHPPAAETDDTSSTANS SQPSLDGRWGERDQGEPVSRRGAMEDFEEMRRELTRLSQSQSHPSNGGRLRSLTSRRA SHPKDEEKGEEEEEEYGGFDLSEFLMGGHLERRTTAGEPAKKVGVVFKDLTVKGVQTG ASFVRTLPHAVVGTFGPDLYNILCNFIPPLRFGRSPPVRDLLHDFTGAIREGEMMLVL GRPGAGCTTFLKTISNDRGAYAGVEGEVSYGGLSAEEQHKRFRGEVNYNPEDDQHFPN LTVWQTLKFSLINKTKKHDRASIPIIIDALLKMFGITHTKNTVVGNEFVRGVSGGERK RVSIAETLATKSSVVCWDNSTRGLDASTALDYAKSLRIMTDVSKRTTLVTLYQAGESI YELMDKVLVIDQGRMLYQGPANEARQYFVNLGFHCPDQSTTADFLTSLCDPNARQFQP GREASTPKTAEELEDIFRKSDTYKRILDDVSGYEKQLEETNQEDTRRFEKMVAQSKSK TVPKKSPYTVSLVRQVLACVQREFWLLWGDKTSLYTKYFIIVSNGLIVSSLFYGESLD TSGAFSRGGALFFSILFLGWLQLTELMPAVTGRGIVARHKDYAFYRPSAVSIARVVVD FPAIFCMVAPFTVIVYFMTQLDVDVSKFWIYFLFVYTTTFCITSMYRMFAALSPTIDD AVRFSGIALNILILYVGYVIPKQDLINGSIWFGWLFYVNPISYSYESVLTNEFSNRDM ECNPSQLVPQGPGVDPAYQGCALTGSELGNTTVNGARYLESSFQFTRHHLWRNFGVVI AFTVLYLIVTVLAAEFLSFVGGGGGALVFKRSKRSKNLKKQATKGNDEEQVGGDGAAV EGHSSGNDETFNRLSSSDRIFTWSNVEYTVPYGNGTRKLLNGVNGYAKPGLMIALMGA SGAGKTTLLNTLAQRQKMGVVTGDMLVDGHALGADFQRGTGFCEQMDLHDNTSTIREA LEFSAILRQDRKTPRQEKIDYVDRIIDLLELEEIQDAIIGSLSVEQKKRVTIGVELAA KPSLLLFLDEPTSGLDSQAAFSIVRFLKKLSQAGQAIVCTIHQPSSMLIQQFDMILAL NPGGNTFYFGPVGKEGSEVIKYFADRGVVCPPTKNVAEFILETAAKADKIDWNEEWRN SEQNQRILNEIAEIREERGKLPAPTAGEQYEFAAPTITQIEQLTKRLFTQYWRDPSYY YGKLFVSVIIGIFNGFTFWMLDNSVASMQNRMFSIFLIILIPPIVLNSVVPKFYINRA LWEAREYPSRIYGWVAFCTANVVCEIPAAIVTGLIYWLLWYYPVGFPTDSSSAGYVFL MSMLFFLFQASWGQWICAFAPSFTVISNVLPFFFVMVNLFNGIVRPYSDYPVFWKYWM YYLNPTTWWMRGVISTTFPSVHITCQSSEATHFNPPPGQTCIQYAGNFIHNIAQAGYL LDENATSDCAYCPYENGSQYMKNLNVHEGDKWRCFGIFLAFVIVNWFLVYFFIYTVRV RGWSFGLGYLFGFAGLCVDKVKGLFRKKE ACHE_50176S MASPITVGVIGATGKTGQSVVQGLLSSATNFTITSFTRQASVNS LANEKLHEQGVQIIGYDLSQPRKVLVNHLTTIDVLISCITWEHLDLQIPWIEAAKEAG VKRFVPSEWVGPAPKGVIDIKDKKLDILGVIQRARLPYTIIDVGCWFQVFVPKIPSGR SDKGHMIYIDHRIVEDGNQRFALTDMADIGKYVAQIVADSRTVNKHVFAYTEVLSMNE IWDVMAVASGEEPRKDYVLSKEIKEIIEACGKRLDESHESVMHPSNIMDIANFNMGQY RISWCIRGDNTPEYADYLGYLDFWKLFPDFPRGRSLEAFYREIISGDTLPMPEDV ACHE_50177A MGLWNDGVFGLQKADIVSVSKKQDEAAEAPISAPAPPAHPPLPS VPPPKQGSNDSKPSQDPNLANPRLLVNRSCIYERRLPGDAYITAHVQRLQHGFYSCPA VSDKDFEHVDFLAVNFVFHSPNTLDHRFMAAIIRASVHGNRKMSTPGQIQPRFLMHAP HLIYGAVSPETLQWNFGLAGSLGVSEFPVSASISPSGGVLGRYRRYEMMRIQGSARTL KSPHGRQYDIESGEIVWSLEENSLQRSGLPREFTFAMLIHKPRAESRIHFSLDIDPVI QSWYGSYPKCWLSLSRYQPVQRRPVDFRREVGQRFETGSSSKEKRFNFATLESSFDDY INMPGRRFTTGTNPDDNLFRDDNEFNPDVIRGFSAIESCGKAAPRKPANNPINTAPMP NDAPAANLNVRVLLDTASSNTTKRHRRMSNPEKGMRRIEPLRRTRSGDTAYQYQSKML ALEQADNS ACHE_50178S MATSALLLSRQCPRLLGAGTRALGSGAALRGGIRQHEMFNRSII TMSGSSRASRANACFMRGANAGYTQILGQRKRWTANKSQVDQTQEPTKGPVPDAEKSR IQVPQKTRENIYTIPNILTFSRLLAAPAVGYFLVHHYHAAALSLFAYAGVTDLIDGYI ARRWNLQTVVGTIIDPMADKLLMTIGVACLAVNGSIPVWLAVIILGRDVGLALSAIYY RWISLPPPKTMARYWDFSLPSAEVKPTEISKINTALQLLLVGSAIALPVLPETFLDAW HLKEAMTGFQYLVAGTTIWSGLSYVFSKNAVKILTKEEVQKRIARASAKKSS ACHE_50179A MIMAFRARSVALFRWGHGPRSRMLCRGRGLATVSEGARPYDVVV IGGGHAGSEACAAAARSGARTALVTPSLSNIGVCSCNPSFGGIGKGTMIREVDAMDGV AGRVIDKAGIMFRMLNRKQGPAVWGPRAQIDRDLYKRYMQEELLGMEGLSVVEGKVAD IVVSKEGMEDAPGAQGKIVGVRLESGEVIPTGRVVITTGTFLGGEIHIGLDVFPSGRM GEAATFGLSKSLCEAGFQLGRLKTGTPPRLDSKTIDYAPLEVQKADSPPQPFSYLNKT VQVGDEGQLTCWITYTNEAAHDIIRANLDKSVHIRETVRGPRYCPSLESKIIRFHDKK RHLIWLEPEGFAPNDVIYPNGISMTVPADAQYKMLRKVRGLENVTMLQPGYGVEYDYI DPRNLKPTLETKLISGLYLAGQINGTTGYEEAAGQGIIAGSNAGLDAQGRAPLTLGRS DGFIGIMIDDLITKGVSEPYRMFTTRSEYRISSRADNADLRLTRKARDAGIVTDKRWR HFSDTEGQIGELMDLLGNTRLTSNAWSRKGFGVRADTSTRSALDILALPNIEVDSLIP HITSASGTAYTASSFAPEIRDRVGIEGRYAPYVKRQETMARKYQHDENLTLPTNLDYS KIRGISTEERHALERVRPVNIGMARRIEGVTPAGALQLVFHLKKFGGKKGLAERDAEG VTEEVLGAAP ACHE_50180S MKAYWYDNQPGDQRLPHDSGRPVTESYLESIGVFYRHCPTIDLV DALAAERGYKNRDEVCVSPQTMGDVYEEKVKTFFSEHLHEDEEIRYIRDGEGYFDVRG QEDEWVRIRLSKDDLIILPAGIYHRFTTDDKNYVKAMRLFQEEPKWTPLNRGPEVDVN PHRKTYLETVHSPAVAVN ACHE_50181A MSLHNETSPVPAPPSLSFTQGFLLGQLSVVLLIGAFIKFFIFGE APPSPSRGLSHRNATQRRTNSVYNASPNEETTRSLREKPSTTNVLRPVPSSATNTRSI LRKTYYNAIPSTPSKHGRQRMHHSSHQPESMDWFNVLIAQTIAQYRQTAYLLKDSPTS SILSSLNAALNNPEKKPSFVEKIAVTDISLGEEFPIFSNCRIIAVDDPNTDGGRLQAL LDVDLSDDNLSIAVETSLVLNYPKPRSAILPVALSVSVVRFSGTLCISLVPASTPPLH TPSPSPSRDADARPQTGSAETNQSAADAQDDSTRSTSPKSNVAFSFLPDYRLDLSVRS LIGSRSRLQDVPKVAQLVEARVHAWFEERVVEPRVQVVGLPDLWPRMGRTGVRTGDES ETASNPASHTGQATDIAVPIQNDENDREAPGLRFRGSRPSYDSASRTSSYNVETGGLR SRSMTRDDSGGEFQMPGSLPGGVIPN ACHE_50182S MRSLGSLTLIFLLSLFYASPVLSFGYGSSQKPAKNAILLSDVKS LTLRAKQRTTSRRVSPIPQLTCIGPSKRVCNLYTIDTMRCTNAGSEYDEGDIQWTCTA PLPPEFKLGSTDVICEGYRDADDKWVLKGSCGVEYRLLLTEVGEERFGGIRDESNAVG AVGSLIFFGFMVAAFIFILWPMALSCFGIRRDRPDQRRGWGGFWGGGGGGPGGNGGYP GPPPPYSRYPDHSTSSSIPGWRPGFWSGAMSGAAAGYEMGRRSERYGSPFGGRRMGTG YDDYRDPWEGSSRTRSSPGFSTTTTGTGFGSTRRR ACHE_50183A MKAFSSSVINKSGKKFAPKAPVRRAAPAAPPRRPSAAQQTPVPT PQQSQPAATETATTPTPEPPVFAPEPSVAAAEPPVADSSVTQKTADSKPNQAATAVPI PAPNRKASVSTPIPRPSSKPAAPQTTPPPRKTPFTEPAPVSAPAPSRPEAEVRTEENV LPDATHETARDGTLASPPPSQARRPSTNATEVRPTKRQKTAAATESVAPSVEAPSAEA QPLTPPATQTETEETANPEIELRATPASKARKTTKPRSRKPAQNETEDGTSTQRKRKP RVRQPREPTPEGAENIEIAPTAMKMSDLCKDVRTGKMSKRELELRNMETAEQERKEKV QQEGEDTESIPIKQNGEAASSAPPQDGNSEGKQQGGQAGPVMRIVNGEIVLDTASLQV DRHADAARDLGELEDVVENSLTRKVNQASYGKRSKTESWDEEMTDLFYRGLRMFGTDF MMISKMFPGRSRRQIKLKFNNEERKNPGLIKDTLLGPSESIDIATYSEMTNTVYDDPK FIQQELDEEKKRIEDQHAKEKAAQEELLRNPDGANEGNAADKNNGAPTKGKRNSKKQA KNVAGGTEEVVGSIDDFP ACHE_50184S MPAAEATPVVSSESGLVKMEDRKRSAANDHNDSAPPSKKQATSV NGGSKPHPDADMPWKDDLERFQKDAIWRQMQEYKREKVSLETKVKELSKAATYYNDHL RVIDAWYNQLIDEVKVLLEPVKKEEDSKEQSVFRSSLQFEDAEDFEKHLKSRSDEIRD IISRLTASLPKAPPEVTDLQSQLAKKLAEEKVTIGELEKALAEKQQLEESLEAASLRY MVAEKKLDRARSVTVAKLEKQYIFGAQRPGADSASGSREEPSAINGVATRGERNPELE EAHHKLVAVSEKQKEQLQKLEAENVSLLNQLTELNIKHSKLSDDDYAHTDLFKQLRSQ YDDVVKRINHSEAMNTQLREEAEKLRSERTAYRMQIDDETQSVVAEKEAQLLRAETDL ARIRNARDELLADQQMRKAAQEQEKTATIKIQELASAGEARIASLESEVERLRVQVDS AQSTDVAGIPVEELRAKYQTLERQYAMLNTELASMQTACKKYSTLASQKVADFSALEE KVARLVAEKSKADQKFFAAMKAKEAREMEVRTLRMQNSKSSDIISQLKDSEAATRSLV ANMEKQASEGKEALNAATSKLYAAQQQLTENGIATDGLKNQIAELKALSTSKDSTLAN TSSACRRAETEIEGLKASLADTKKSLDNWKNKSLGNSSSEYEMLRTLAICTVCRRNFK NTAIKTCGHVFCKECVEERLTSRSRKCPNCNKSFGNNDYMHITL ACHE_50185A MTVNGSDFLDKKSSMNTKNNTEDLEQEIADLESRLHNAKVQLAS SPRSAKASSLSREPNGIPRSIPMHALLLLSDSALPLGSFAYSSGLESYLAHNKPLPRS VTSIASFRRFLKLSIASLASTSLPYVLNAYRYPETLETLDNDLDASTPCVVAQRASLA QGRALLGVWERAFRGTYGLYASSGSSMANGAGTAAKAVKALEDFLDALKSCFDHVDEL GPKGHFPPLWGVVCSIMGMDLHQTAYVFMLNHAKAVLSAAVRASVMGPYQAQAILASK GIQDMILQRIEREWDTNVEDAGQVVPPLDLWVGRHELLYSRIFNS ACHE_50186S MSGKRLLDAIQFLNVTTAVAAKHLAVRQRQLDVFTRTSSLTKGI QSQSEGLILSAKAAAALARRFSEPDHAPTSADSPVTSQTPAAKRPEEARSTSLSPDEA RKAQRQDEAHILVSGATYSGGEVPDELRVSQQQDVFYETSQKKAPELSGLPRMKLPKA GGKEQVGIDGEINADVFHSPVGDKATSNVKGQYEKEEMAELGSSLAENTVEQEAAAKL AEGKKEQAYKMVESRVPSSRLGRIWQYGGLATSMAFGAVGETFRRATGSGDNAGSVMF SAGNMERLVAKLSKMRGAALKLGQMMSFQDSKMLPEPIHAVLQRVQDRADYMPASQRD KVLAENLGPNWRDLYSSFDDIPMAAASIGQVHGAVLKKTGQPVAVKVQYPGVADSIDS DLNNLSILLTASRLLPRGLYLDKTIANARTELAWECDYVREAECAHRFRELLQDDPVF MVPEIIPEASGKHVLTMERLNGVPVTRITNFSQEQRDWIGTQIMRLCLREITEFRYMQ TDPNWTNFLYNAETARLELLDFGASREYPIEFSTKYIRTLLAASRDDRKSCHDLSIQL GYLTGHESQAMVDAHVGSMCTIAEPFMDSSPDLYDFNNQTITDRVRGFIPLMLRERLS PPPEETYSLHRKLSGAFLLCARLGSRVPCKELFANAIKRADETGLDLRLR ACHE_50187A MLFPRARSRVRTLLLALFLISVITWYLSRSQQSDGQAGQSPIIH NPKGHIKFWRQFKPLLSKYEPKCLPPERLGSAESIRFEQADPDYRPELIEMSAEDVAI MKQAHRGFVSEIEKDGPKLHYESHTRGIVSTAGGSYLPVLVISLRMLRRTGSEIPMEV FLADESEYEGYICDTVLPSLNARCVILWDILSAVPDGVQVEKYQYKLFAMLFSSFEEI FFLDADAFPLMKPESVFASEPFRSSQMITWPDFWLPTPSSLFYEISSIPVPRKAQRQS SESGEIFLSKQTHLKTLLLATYYNFWGPTHYWPLLSQGAAGEGDKETFVAAATVVGEP FYQVSEPICAIGHRTAGGLAGSAMAQFNPVEDYILTQKGQWRIMGAKAPPPQTYVIHA NFPKFNPATVFNPGDVNPAFADDGSYTRAWTIPEEVIGKFPTDVEREFWSEILWTACE LEDKFETWKGQADICQRVKDYWNAIFVDPKSGDS ACHE_50188A MAWEFVVGVILSILYLILYPLAYAIWGILKLLHLFATLFASLGL SVLHLTLWPFKFLARFEVLFIYLVMAILIGTISGLVIYCACTFTVDYLPGFVLGSSRS LSLSKEHPKEKGRQPNTELSSRSLSDIPSYKYGTVSSDYYARWVDRKDTRPLSSTILE EEESDGDSDDTVGYGYKEEKKEVDS ACHE_50189S MGNNPSKPDVPSAAGSPYVTGSGHERKVTQQPSISTLSGTTTKA AAADPSATKETAIGYSATQNQAAVQQHPQSSQPTEPSARTLDQPDHQDAKILDPEPRG IPTPDPSNPVQVPNPRMRRDPLPPGMAPQSYYNASAHLQRPPRMPLPIGDATATPGSP LLGPEDSHIGGDLAHDRFVDEQTGHEQSSVPVDEDEGAEDLAPYTPSGVGKAVPTSIE WASHGDKVYVTGTFVNWEKKFRLHRSGGTTMATTLNLRPGTHHLKFIVDGEMRASDSL PTAVDFTNHLVNYIEVSSDDPRRSRKASVVAPSGVRAPQVVPELLGTHDEEGQVEDTE MDEPEEIPLGDFRNIIPQYLVDLDKEEETPAYQQAASVIGDAPTPPSLPLFLGKSILN GTTPMKDDSSVLNYPNHTVLNHLATSSIKNGVLATSVTTRYKRKYVTTILYKPAGDPS G ACHE_50190A MIGIGMEGSANKLGVGVMLHPSDGSPPRVLANVRHTYVSPPGEG FLPKDTARHHRSWVVKLVKKALRDAGVSVKEVDCICYTKGPGMGAPLQSVAIAARMLS LLWGKELVGVNHCVGHIEMGRLITGSTNPVVLYVSGGNTQVIAYSSQRYRIFGETLDI AVGNCLDRFARTLHISNDPAPGYNIEQLAKKGNKLIELPYTVKGMDCSFSGILAAIDG LAASYGLGGEGKDDESNNGDDGKPTRADLCFSLQENIFSMLVEITERAMAHVGSKEVL IVGGVGCNERLQEMMGIMARDRGGSVHATDERFCIDNGIMIAQAGMLAYETGFRTPLK ESTCTQRFRTDDVFVKWRD ACHE_50191S MSSTTHFPDPVSFQQQSDDFLGWLTGRSGVRMNSNIRLADLRSL NAGRGVVAQSDIPEGEELFAIPRGLILSVQNSKLPELLSQNIDELGPWMSLMLVMIYE YLAGEKSAWYQYFKVLPRQFDTLMFWSPSELQELQGSAVVDKIGKQGAEESILETIAP IVRENPSLFPPIEGVSSYDGDAGTQALLHIAHMVGSLILAYAFDIGKTEDEDEDGDGE DGYLTDEEEEQPAKGMVPMADLLNADADRNNARLFQEEEEFVMKAIKPIPAGEEIYND YGEIPRADLLRRYGYVTDNYAKYDVVELSLSNICQAAGLSNDDVESQPPLQFLEELEF LDDGYIIPRFSPEDPLPDVLPDELLLLLKTLALSPEQLEQQKSKNKPPKLAVGRPEMS ILQKAVQLKCSHYATNIEQDQRLLAQLNTIDATVPLEGSQRRLKMAILVRIGEKEILQ DLSTQLDRLLSTKRAANDEEDSRKTKAQRT ACHE_50192A MGRTRPKKLTPKASIPIVGETEIDIIDDEVQSTLQQIETGVEKA EESEFHLQAAINATAQGKVNEAHIPTPETILSNVRYDALYPPVFSQPATYIRFSSTVE DCCGCPYNMTEEDDSFLIALNGKRDASNQCTEDQFEEVMHFFEETAQTKQPYAAVDSP PVLSFEEIQESMDAAVEQSVKHFAKDIYPHWKETRIGAGNQALTSSLKFETGQETDDT DPYVCFRRREVRQIRKTRGRDAQSADKLRRLRKELEDARQLVALVRQRELARKEMLAV ERHIFLQRSEVKDMKRKLNVKDDDEDLINQKPKKKPADAAVQRPIPPQLRMPPKAVSQ AAEDLQLLEDVQAEKENEILRDIRQNIAKHMKWNEGYVDFTRAPLSPSPERTFEAAFR PAITTQLPTPPSSDTSENMMDTSLDTTSALSFRDKLAPRTMMELHEDTSRIPSFRRRI GRGGRLLIDRRNIAAACRVELDPLKADRFKYDREDSDEELDYERDQYDIQIMQHRAIM AAKARDQAAAAAQAHAQAQAAHAQAQVQAQRRLQAEQVAGNHNNLGQTMGSNPGPGAA APTSET ACHE_50193S MDPSPNNAAMYDARRRRGSVGTSQLFDTIVSASNFDRDEVERLR KRFMKLDKDSSGTIDRDEFLSLPQVSSNPLATRMIAIFDEDGGGDVDFQEFVSGLSAF SSKGNKEEKLRFAFKVYDVDRDGYISNGELFIVLKMMVGTNLKNDQLQQIVDKTIMEA DKDRDGKISFEEFMEMVENTDVSLSMTLSMSFPIFPMAPGLHELELIDLRLYLSMAFN IVFCCHVSCPYFWF ACHE_50194A MDGDGQNFRNHDPPIYPSRFGMGRRAVSRQPSGGATDRFRQTGA LPSARGDATMLSQATGSVQMPSSYVGYGYTDTSFPAGTQLQPYPQGQNSQPQQQQPTF SDYEQEVVYSIQQQGPPHDSFSLVPQYPARQPTATIEALSSQFAVPQYFPAGEPTETG VGGLVSPYLNTQFPPATHSPPGPVGHASASAQPFPTTMADLTNPLGAAAGQQQQQQQQ QQQQQQQPPPPQQPQQAQTQPQQSAANPTTATSHLEDAYSQYQRAIRVTLDHSRAGSL VEAGQSILEISEWILTNAEQLGIFRDDVQIYPYQMRLWNDFNICWLSVCQMQKDLTQE IIQGGQQRPHVSLISAEYLEHMGKQLIRLCDQVEEHGLVDYQIGFWEEEILSALSQCL DLMESRPEIWRTRPMAPPVTAASRS ACHE_50195A MAWQRPSCIVQSMQSLRLRPLRSSASPLSPTPTPFLLRSQKGNA CGYATETSSDSSVQGAPATAPPATVPAAPAIDFDRFPYRPARIVPASPSYFSGSPKFI DHLLRLQNLLAENAHLPINSPAHAQRKAWLKLPQFREFVGEPVPTKKYKSLIKVLQRI NRIRQPIIPDHVNAIINEYVRPGNPYAVKPAPPTLDEMGRARGRGKRKTSSAVVHLVE GEGEVLVNGRTLSEAFPREHDRESVVWPLSITERLDKYNVWATVKGGGTTGQAEAITL ALARALLVHEPGLKPALRKAGTITVDARRVERKKPGHLKARKKPAWVKR ACHE_50196S MSFGKLYGAPENGRTIAVQVAAKSNDLDIELVKTEANSSASFNQ SAEYTKINPLGKIPAFEGANGFVLSEVIALVVYVTSQNEKTTLLGKTKQDYASILRWL SFVNAEVLPRFGGWYRPLLGLDGYNKKNVDEAAKAALKATGVLESHLTANTYLVGERI TLADLFAASLLTRAFATVLDKEWRSKNPAVTRWYNTIIDQPVFKAVVPNPVFAEECIK YTPPKKEEKPKAAPAPAPAPAAEQPAEKPAEKPKHPLAALGNASSINLEDFKRFYSNE DTRPTVLPWFWQNYNPEEFSLYKVDYKYNNELKLTFMANNLIGGTQTRLEASRKYLFG AQSVYGTNYDCLISGVYLIRGQDWAPAFQVGPDYESYEFTKLDHTKEEDRKIVDDLWA WDVPVVVDGKEYPWVDGHVLK ACHE_50197A MTPGLNSHSFILFAPNIQHLYSSRMLQRGAFKHIATFASNSRLI LLPKPSLSQTQSARPFCDGPMNFPNSGRGSVLRSHVRPGGGQDNGHGSGNGGAGRGRG SARGYRPRRGRGRGGGASQNLRGDGNAGPASDNWRNQSQPQNGANQGWGQAQANRTEA ATPGAFTGLPANDHFMPPFTPFPLLPGMDQWNPMNMPFLPPQFMMNSNLPNPMIMPPM FNPTMPLFNSFEPMNQVPAPGGLPESVPVRRQKSPTPAPPEAAGHYLQQASLPPTPIP SNPQPLLIILDLNGTLIYRKHRKFPPSFVKRAGLDNFLDTLMRKYEVMIWSSSQPETV KAVCERLLTDGQRKRLVAEWGRDKFNLSKSQYKNKVQVYKTLETVWADNSIQSAYPGK AGKAGKRWDQTNTILIDDSKLKALSEPFNILEIPEFTNMPAPGVDETTIFPKVLARLE TLAKHDDVSKVMRVWNDQGQAILDFELQEDGYSPRSTVTTITTTPTSDDTSTPLDPVE ARKQKRKARKWERKAAKRAASVNSRSHDGTPTNNLSTPASALAANAERSPSPASVSGR SVHSENHLLDKLEESLNA ACHE_50198S MAISDLLNRRMRAKPDDDDDEEVYLEGSGSGSEQEQDEVSDQSD QEQDDGEGSGDEMMNDEQHEDDDDDDEEDDQEDIQSSLNNISFGALAKAQSSMGPRKT KRAKSSAPDGNAETQPANPLDDIRARIREAREQKQSSSSSKSSKYKDLERRSSKHAPM VQSTKHAVTRKRTIIEPPATARSRDPRFDPTVVGKNTHMPAAASEKAYAFLNDYRAKE LKELKEQMAKTKDPFQKENLKKQVRSATDRMRESENRKREQKILAEHKKKEKELIREG KKSTPYYLKKSDLKKEVIMRKYNEMNSRDRAKALERRRKKVASKEIKEMPMERRGFEG GPPSRGDGGGMKRKRAA ACHE_50199A MSTAVASASAAPLPSPHQNRDPSPKSCPTLAPNSHPQPSTTPPR VSISSQDAPKTSPSEKKQVSPSSQNGKNAPKVTVKKEPPSSPAMQSQSTRPRPRKLDL SSSLPTSSGLSARPPGGPMTAREGVSMQHVGIACLSPGFQTHDPILREQLQRSLSVRD QQRSIIESRLHKTAKDDGSDGLKTSDAFGAKGSKRRPPPGLSIVPPSAAQFTNERVVQ SAPLNQTFTGRHQPQPLTRHVANQSPTLGATSHIHHVPATQTNNRLPPLSDVFGSDAL GSRENRAAPAYYQAAASASTSSHSNNLPPMPSPGIPASAAQARPREYRSAEEAVHELS GGREDLLPRIVHYSGHPQARSPPSKTTAQNGVAPVSAHIAPPPQPMLHPEGTARRRPR SEYEQDNGSPPLGHGPDHYRPNPAMAPGANTAYHGPFGAGRDSPETQRRKKEEFLSLC SRAWDLFHS ACHE_50200S MPSSVHSQDQDQSMMDAAPQEQEQYQEEDDLLEMEEKRIVVLPG ATETAASFQFEGEGHTLGNALRFSIMKNPQVEFCGYTIPHPSEQKMNLRIQTSDETTA TDALQKGLDDLMDLCDVVTDKFTNARNAFNAAEADKMTS ACHE_50201A MTINPTYLAQRTRSSANWSDAKYRVLKSYREWLRASPEIQTMYS LNMPVSAIRTKVRQEFEKHRYVQQLSAVDVLLFKSHAEFQETLNFWKQLSHVMKYFRP EESPGARLPPNFVSGFLEGRN ACHE_50202S MAPTKPSKDSKKSSKPLSSSSKVSKNKDAKRPPPKEVKAKARTE PSLLKKKQKREYTEEELDLPKLNMITPAGVVKPKGRKKGKKFVDDAEGMMTIMAMVNA DKEGQIESKMMKARQLEEIRQARIKEQEARQAQKKSKLDNAKESIRNKKRKSDDKEDS KSKSTTEDSSSKKGKRKSVAFA ACHE_50203A MSLADLQDYLLSSLPFSALLCGLITMGLLSWLRPRGRVSFYHAK DNSLLLTRKSEKPGADEKVTFTDICREATPSKCNLNPFLFNGHLQTAYTALKYDGVPV YYKRTMFESDSPAFSGQFAMDFVVEPYEMPKDDVLIDSERKYTQPSGLPERTSFFSEG EVAALSSDDTKPMLVTLHGLSGGSHEIYLRHVLHPLVADGNWEACVINSRGCAQTKIS TGVLYNARATWDLRQAIKWLRKTYPNRPLFGIGFSLGANILANYLGEEGEACELKAAV LCASPWNLEVCSLNLQRTWLGMEVYSKTMGSSMKRLFEHHVDAIQKNPRINIEAVRRI TYLHEFDQAVQCPTWGYPTEGAYYRDAASTDSLLSIRIPFLAIQAEDDPIACREGLPF HEIERTPYGVMMTTSWGGHLGWFELGGERWFVKPVNNFFNKMAKEIDLSSPSVVKNPA LLPGHIANHSDPTKDPDTAPKPEFNAMRRRMDMKLTY ACHE_50204S MTYSLRRSGEKLKGVIKMARWLRNQSGGYTGEGNGSGRCHLLEL PIELLLEIMSHLTEVPQASLALTCKSLFVISGAVFENESLHFSRDFAPLFHHYRNGHN FLTPRWQLISLLEDHKWQACSGCLKLHPRSAFTSRELKRKPEDRVCNLGELAGVVDLC PCRKLTYQDKMDLIELLRLRQQSISLLNAEFGNGVRERFCWHSCTQDYGSTVLNISIY PELDQQDKLQIRTEYRLSIEAGQLGKEENMTPRFGCAHRSVDLWLASSCQTSVCRLPR DICASCKRISICNACNAFLKCPHKQPNHSNGRANYFFWVQRCLGSASPVPDSEWANQR IHPAAPSVNIDTCSEMCPWTVREHPPPEGPPSLGMDIIDSAVNDQSFNQLYSSIHMI ACHE_50205S MSYPPPHNGHYPPQYLQQQPPRQHPQQPIQPPQFLYNNINPVQS PQSPYQYGKPVAYPQVIPNFNSYAQTFNSQQHVQPPQPVPPPQPQYVNPVDLFQQTPV GPVIPSQFNTFGTSQYTGQPAASVANNNPDRSPALPTVSGSTQPSFYPAPSASPGSNN AYNQYPQVAPKPQTTPLQMKPAPSPISASTPVPAPVASPNPVPTTASATMPSVASSTP TVAPASVSRSMTSTPTAPTPTPRPVPQVLIPASSPEVQQKLLKQQAVRKQGQAQRQGS QQVARKQGKPSVDYQVLLLSLADEYLNAAHSHGTTVASLRREDDVEEYYKLLATGLGC LEAVLKNWRLQPRMEALVRLRYARVLFEETDNDLEAETALSKGIDLCERNRMLDLKYS MQHLLARMLHKTNPRASLKAVDGMIQDVEAYRHSAWEYAFRFLRVSLSLSLSSPNYQD SVSALHHLHKISQMANRNGDKAVSAMAAVIEALAHLQLGSNFDSIEQAQRAVATARSH QLNDDLRHIPQLITLVQMIDICCSLLEYDVNQSAQKLRVLQEIMDERLNYPNWRVDGS FSVPLNGKSAGPSSIDTGDILQVQNGTLLLSFSWLPQHDLYALCYFLSSVTLSAKNSH DGRKAEKFLQEGRRMLNSSFETPENVAESMGNANKRIEWRRTLCCNIILQQVFLACCR TDWDFARENLNELRQIAQELGDNLSGNVQCLMEYTAGTIAQATGDLKTALTIFQTPLL SLSPNASKTTRNDPCRDIAILAAINTALIIRDPSHPSHSLLPTILSTIESFAKTSPNK YIQAAYYLINAIVQTESTIQTKQFLQQALQSATAISNSQITCMTLTFMSWKYFRGVVG EQAEKSARAGRAMAKKANDRLWVSVTDEMLAETLERQGKGEEAGSVRDEGMRVTMGLP GALRRGI ACHE_50206A MPPKTGKGHKIISEPRSRPSAGDGEAGPSSKPQQTASPANKRRP KASGEEREGKRPSGVIKTTKAAKTSDVQPGDPTPQGRPRRYRPGTVALKEIRKYQRSY DLLIQKLPFARLVREVALEMLPSDVGAELRWQSHAIQALQEAAEAFLVHLFEDTNLCA LHAKRVTIMQKDIQLARRIRGAWGGLG ACHE_50207S MAAIWGNGGQVGQFPLEQWFYEMPPVTRWWTAATVATSVLVQCH ILTPFQLFYSFRAVYFKSQYWRLVTTFLYFGPLSLDLLFHVFFLQRYSRLLEETSGRS PAHFSWLLFYAMVSLLIISPFLSLPFLGTSLSSSLVYIWSRRNPDTRLSFLGLLVFTA PYLPWVLMAFSLVVHGIVPKDEICGVVVGHVWYFFNDVYPPLHGGHRPLDPPMWWMRM FDSQADARGTDTNNINGDFAAAAAHEVR ACHE_50208S MRRGVLIFLVVNLIILSFLVRSVFTLLSLLVEDASADAIHRAEL PSPNSSLIEQRPQVIPKIIHQTYKNESIPEVWKDAQQSCIDLHPDYEYILWTNEKARD FIAKEYPWFLDTFDGYKYPIQRADSIRYFVLAHYGGTYIDLDDGCNRRLDPLLAYPAW VRRTVPTGISNDAMGSVPQHPFFLRVIELLQSYDRSWLLPYITVMYSTGPLFLSVLWK EYMLDKPSEADRVRILMQDEYNRYSWSFFTHHVGNSWHGKDAQLIFWMGQHWMFLTLC GFALAGVVGLGSWWIYGRVMHLSSRYRYGYSKVPTIVTPAGLSPTRRARLAVPTLLRR VSFNKEDEETGPTETSYEFYSRND ACHE_50209S MRPTTLLPLLPLLASAAPIPAPIPAPAAEPNTQITAQQIQQIAP KSVNCDNPPAKGECATADQAAPHIAKSFGTYGVTSRAEQAAVIALMAFESEEFRYSRN HFPGTVGKGTRNMQSPSYNAKYAESIPAISDKVSAANGDAGQILDILLADEEYDFGSG AWFLTTQCDQGVRQALQSGSEEGWSGYISGCVGTDANEGRKGYWASAVKALGV ACHE_50210A MPFTPRTASISRTTNETKIQVSLSLDGGVLPAYEPSDHFPSPTD PQEAEAAKHGIIPQKDAAHATQFTATQQITISTGIGFLDHMLHALAKHSGWSLAVRAK GDLYIDDHHTTEDTFLALGSAFTQALGARASIARFGRGDAPLDEALSWAVIDLSSRPW SVINIGFRREKIGDLSTEMITHGLHSFAQAANVTLHIGCTYGDNDHHRAESAFKALAV AVRTACARRGEGEVGAGDIVSTKGVL ACHE_50211S MPPPSDQRADIEPPEEITTEAVKGFLAGAFRYGSISILAHMIMI LPHPFTFATNAGPPQPHQPGSQPRARKPPPFTKEYFRARFLHRPLEGFSEWISPTARV YQGLTPQFKVFLQIAAMTLGGCVWAERRVAEYIDFMRKVKRVQRVTAERESLVSGR ACHE_50212S MADSYGRKERRKSLSVFNPSSTAANLTASLSHDRSPSDETHVLK KRKNRRNSVFFGGNRNVSPQPQNLAASATADAEIAAWAASMASSTPPEAVARPRRKSL QKKRTSVFGSLRSLHSFENDDDTKSTGRSKNSSGDDDDASAARTCMIGNMVLHHGEVQ TTGGMWRKKSQFLVLTNTHLVRFKSQKKAAEAFPSIPASFERPNTSSNLQHHRQSIAS VSSIQDAQLSAAADTSVGIPLNSIIAVYMLDDGRFSSTVELAYVDDRTHKAAFVQMQT PEIQELNLWMVGIRSAAEMTRMSDPLPFGQRTIEHVARILEYERDYDPETFRMFRVIQ MASSGVSSGSMHGSTGSSSGKYPARTSSEDITKLSPTGCYLALGSHKLHLIPFQQKPT HRGSVVSLPDMESASSFGLMNLTSLSMEWGDDSLHLTFRVPLRKPFSIFLASVHSLEI AFWIRQHTEFLRPLWIRQPFDFIVPRDLDDESIFPPVTLDEDYGCFDRTLVAYSASYD IDTSNIRYTIDMQCEDAPCFKLLPPAYTNRQKYTSLELIAVLRALRYNESFRSISFNR VSLDALQGIRDLHGVDKDARLTRAGSPVSIPGQENLSVLSQEIRALTLKSKYLRRMDF SYSLSRVPQSNSESHDPGCGIPEAIFPVCRRELTSVDWVVLNGIKLGDSDLDYLVDAA SQRSSHLRALEVGNCGLSVHDIDLLLSTIVAQESTLEAIDISGVQGRLNPYVLQQYIG YFSQIRKINLSRISRTSGPEPLITAETLFHWQLDELSLSQTPVNTQTVDAIAIYLASK QSLGLRVLHLDQCGLNGQDVAVLLHAIASASETPRELHMYVNENRLDNGCIQLFKAIG QNETPSHLSMRMIDFKEEKQFRLLVDALRKNRSLRFLDISKASLPYDAGPDTCKSLQA MFEENDTLEDLDISGDSAHLDVARFGIGLNLALTGLKLNKSLKVLRIEHQRLGLQGAN TLSSVLEDNTSLREIYCESNDINLQSFTVLVNGLQRNRSLLSLSCMDRDRAQSLNKLR REIEGLKREVNYAQSSASSSIRRSLHAAVTVGHAAVGNKLTKNPPPAGHHRSGTMSSS SVAPQVLDHDVDFVLQSLHRKWDAEVVRLRRYLFRNYNIASGMDDEPAVEGDDAYSDG RPNTAASLGTMLENLKFEVTVSADELPNVQQASPPPPTASTMAEDDVETPKAAPGTHL DIFDSLDAEPTGIMLDQRQRPQTAPYFAPEYAPTSSARLAVPVPAVPPTVVKPGSVRS ARSSSLVSSSTGTAASSRSTYGTASSALKGFLGSSASKERRKIEKMRPGLCVSNDKAP QLDWAPPKLDWAPPKVDMGGFQ ACHE_50213A MFRASLRSASDPLREHVCLSCLTRRFGGNIRSRPFHTTLLRRNE PGDGSAANGTGQSNAPPNSAPIPENKSILVETKPSTSKDEQKPAAQGEPGSETATQAT QKTLKTSKDKKNTERKTRKAIARQARRAAIQEKERKRAEQAELRAKANQAKANEAKAK AKGKPDVLSAISKGPQLTPAQKLRTATNADRESAGNVAFLLNESHKRDTGSLNKEQVA LAPLDVETAEVPRLSFGLERVLFNPGVYNLRDPRSRVYNFDPYLGSIMPVTEFDFAAL KEYITSSKDTTLRDIARKEKKKYVGSSSSMTSVLSQFHYLLSSWRGINTQTLSQGFPD KLRTFTRLLRAPSAMFLRYQDGVYAIDADKEFDSANILMNLGKSMEKLLTLPKEDFER YRRSSDNKITPEEEQAVPESYHYSTLGDFVMRSQLDAYDPRLPGTGMFDLKTRAVVSI RMDAQNFEHGLGYEIKGQYGAFESYEREFFDMIRAAFLKYSLQVRIGRMDGIFVAFHN IERIFGFQYVSLPELDQTLHGQSDTALGDAEFRLSLGLWNKILDKATERFPERSLRFH FETRDAATPFMYIFAEPVTDEEINSIQTRNAAEINAYQQRILNLSPKSDEDDVSSAAE APSETEATQEHAKEVDATENAISESTAPSETPSEATSSEDSATTETKKEEEPTEKREL FAMTLMIKNKVNGKEVERPTDFKATHNWEVDYELIELPTEKARVIYGSCQRRRQKSLM GRGEDETDVAANVYIRKLREISKAGRKFRQEQEKLDKEKGVVVLDESA ACHE_50214S MSHTQDQLRILIVGNGGREHAFAWKLSQSPLVSAVYVAPGNGGT GLGDDNKIYNANVKGNDYPGLVAFAQQNGVNLVVPGPEAPLVEGIQGYFQAVGIRCFG PSKATARLEGSKTFSKDFMKKHNIPTAQYGNFNDYESARQYLDSVDHQVVIKADGLAG GKGVIIPTTKEEAHQALREMMVDLQFGEAGNEVVIEEYLEGDELSVLTFSDGYTIRSL PPAQDHKRIFDGDQGPNTGGMGCYAPTPIGTKEVLEEIDRTIVQPSIDGMRKDGYPFV GILFTGLMMTKTGPKVLEYNVRGGDPETQTLLPLLSDDTDLAQIMVACAEHWLDGVSI NVKPNFSTTVIAVAGGYPNSYAKGKAITLDPTPEGTLIFHAGTTLAGNQLQTSGGRVI AATATASSLEEAVRKSYEGIATIHFEDMFYRKDIAHRAFRQRDAVVSHQQSLTYASAG VSIDAGNDLVNQIKSSVARTKRPGTDAVIGGFGGLFSLPSANSSYHPHSPTLIGAIDG VGTKLKIAHAVGAHDTVGIDLVAMNVNDLVVQGAEPLFFLDCYSCGKLDVSMASQFVI GVAEGCVRAGCALIGGETAEMPGLFVGNTYDAVGAAVGAINTSGPNARPILPETSTMK EGDVLLALASSGPHSNGYSLVRKIVERSGLNYTDPAPWNKDETLGRALLTPTRIYVKS ILKALSSSPASSASNSSSAIKGLAHITGGGLVDNVPRMLPSTLTAHIDVGSWSQPPVF EWLQKNGNVDAAEMARAFNCGVGMVVVVEKGSEGVVKGLFESEGETVYQVGELRAKKA DEEGCVLSGLETWN ACHE_50215A MEEEVAALVIDNGSGMCKAGFAGDDAPRAVFPSIVGRPRHHGIM IGMGQKDSYVGDEAQSKRGILTLRYPIEHGVVTNWDDMEKIWHHTFYNELRVAPEEHP ILLTEAPINPKSNREKMTQIVFETFNAPAFYVSIQAVLSLYASGRTTGIVLDSGDGVT HVVPIYEGFALPHAISRIDMAGRDLTDYLMKILAERGYTFSTTAEREIVRDIKEKLCY VALDFEQELQTAAQSSNLEKSYELPDGQVITIGNERFRAPEALFSPSVLGQEGGGIHG TTFNSIMKCDVDVRKDLYGNIVMSGGTTMYPGIADRMQKEITALAPSSMKVKIIAPPE RKYSVWIGGSILASLSTFQQMWISKQEYDESGPSIVHRKCF ACHE_50216S MAMDPNLNSLLKWSINQQNTDANATGVAPTESAARGLTPEMLSS LFGGPSDADLMKAAMAALHSDDVNLENKMIAFDNFEQMIEGIDNANNMEPLGLWTPLV QLLEHEEADMRRMSAWCIGTAVQNNEKAQDKLIVLNIFPKLVSIATSDPAPAARKKAV YAISSAVRNYQPSMDELVKHLPDGYPRGEKVDAGDMEAVDAIIDKLRAHPCEPSA ACHE_50217A MDVSNNRLFRFSKPEWLNNNTVRNAGVYTSGALFSIGFFFLIDA AAFSHSSRNGSDVHVKFVDWIPGICSALGMLVINSIEKSRLQADSWSYSGDGVAWKAR FVLFLGFALLAGGLAGSVTVMVLKYLIQGYPMPTLYFGVANVVANGMVMLSSVVLWIS QNIEDDYTYNLTL ACHE_50218A MTAGLKTIIGLSFVLAIGFLLVILSSAIWHNFLPLTVVATYVVA PLPNWICARCANPDDFIDNSGNSVADFGRFLTGFLVLMGIALPAVLAHSGAIEIPAMV MSIIGGLLIYGTIISFSMFFQEQEEF ACHE_50219S MFRNNYDNDAVTFSPQGRIFQVEYAQEAVKQGSVVVGLVNKTHV VLVGLKRNAEELSSYQRKIIEIDSHMGVAIAGLASDARVLSNFMKQQSLGSRMTYGRP IPVERIVSQISDRAQLNTQQYGRRPYGVGLLVAGVDESGPHLFDFQPSGMTYEMSACA IGARSQMARTYLERNLDKFADSSRDELITHGLRALKETLSQDKELTVDNTSVGVVGLA PEGSKGRIESFKLYDGQTIAPLLEALEQTESGETREGEMEVDS ACHE_50220A MASAEIKRPVVCVFCGSVSGNDPAHLEVARNLAYEFHKHNIQLV YGGGTSGLMGEIARTLVRLSGPESVHGVIPRALIRIEPGYDNAQKANQHEHKTMSHGK DAERVLNDPVNKAALLQESEYGMTTITPDMHTRKRLMATKVMKGGPGSGFVTLAGGFG TIEEVMEMTTWNQLGIHRRPIILMNVNGYWDGLLVWVRNAVEKGYIGPANSQILVEAK EVTEVWGKLLGYNASCGQMQLNWGDE ACHE_50221A MATGQDASLEEILWRSPPHVQMMGGFLHSNNILFYFAESPFFDA TSNNASLAIQANYNETFRHFVETREAFEGRLSTMQGLEFVVAYDPLQAAAQSETSFAH EPSNIWVIRKQTRRKRSGLDDEVVVLSTFFVVGDCIYMAPSVASVIGNRILSAVTSLT SLLKTASTLPSFTPSYGHTYMPPTIKSSETSQSGIQPQISKDDSTPMPEGESQGKTSL QGTTTSNIGSTVQDTRTLAESFNLLSRYGDEFMDESPLVGEPGSFILSRSGDVDRTTK QGLQPPTATTGTATNAPTRVGTPQVRVETPGKSDKGGSTPISDEKLRKKKSKIGV ACHE_50222A MAPLLGSWTPPETGESPCPSVEHNALRKSILYIDAYDSFSYNVV AMLEEVLNVKVTVMTIDSGWPDGNITEFLQHYEAVVLGPGPGDPQVPKDVGIMKDIWN LGEADMLPVFGICLGFQSLCLHHGIPIRRLPYPLHGQVHRISTAREDVFDGMGDLRVT LYHSLYAKLDDCEASVEGKTGLSKLGGELDLLAWLFIDSTETEARTQIPMAIRHRSKP FWGVQFHPESCKSDREACDQLLRRWWKMVLNFNKTTGRGGYGTLPDTLVTCSDNVASL PDAAFTMLKWSASTSRKSACRTFSQSDLTAEAICETLNTPGSPTVLFQSNGRYSIISV PSPGSWRLEYYSASGKFSLEDLSNRGVHEDTTLVEKSLSVSQFWDALRYLMEMKRVHN GDHTVPFWGGFLGYFSYEMGLACLARPKDAEAMHNGFQERHSSRGATADDPADASLLW TDRSIVVDNQTGRVIVQSTRESDDVPAGWLDQTLQSLNKLSTANIITQDDNVIADGQT LDTILNQGNITFPSPQSYIKQVEACKAELEAGESYELCLTCETSITLPSPNTNSGREN FPWKLYKRLRKYNPAAFSAYAKIGNVKIVSSSPECFLNWDRESILEMKPMKGTVRKTD DMTMEKAREILGSAKEVAENLMIADLIRHDLYGICGSGNVYVEKLLEVEDHGRVFQMI THVKGDVNSRRLGPAVRDMPQLQTSSMAVHGLTALQRCLPPGSMTGAPKERSCMHLRS IEDRKRGIYSGAMGYLDIGGGGSFSVLIRTAFTSSDDKDEKQLWRIGAGGAVTTLSTA EGEWDEMLTKLRTVCNIFTPLDSEG ACHE_50223A MMALNIDFNALKAQTMGSGNDEEAVTVDTRGLISKVLARYSGKW TVLREMIQNAADANATKVTIKFETLPSTKVPIPASADQTGLIKHTISNHTLRRLLVSN NGLPFSEKDWARLKRIADGNPDETKIGAFGVGFYSVFDDCEEPFVSSGKEAMAFYWKG NALFTRRLQLAESSNPETTFVLDYRNDTSPVPSLMQLCQFLSTSLTFVGLECIELWLD DWNLLRLTKKRAPSISLSIPRDIETKTPQGLMKIVDVTREVAQIDASWMRIVEWNPNA SVFRLDGLRDTTSSLRSFFFSKLTGQNPEKDKPANAEKRNSVSDSEDMTKSLTASVFL HTNTAIIQSSVNSSLSSELERATRKPPPKKTTIAVLMPSYDTSMASDASASHSEVLAS ILPSKAGRVFIGFPTQQTTGLNAHISAPSVIPTVERESIDLNTRYISRWNTEMLRAAG IVCRIAWTAEMASIKAKLSSVVEKSQSSKIRKDDIMHVLPETIHTANQFVFRESTPLS QLGQLIEDGFWTCNKKASIEVLSTRGIIQSHQARIAPKDLSFMDTIPVLPDEFVSGAK DFVRKLTDFGLVTDITVSDIKRELESSTLQSKQVVEFLSWLGRKAASAQLDIQSIESL LSVAVANDEDDNGATTRLLVFADITSFLNPQRIPADLPLPPSVLPFIYTKSLPKHELE ALGWTELQLTPWLRWIVTNSGNRNALPVEQDITRTPSFAAQVLPVLSKQFESLGHSSK QAVIGLLQSHTVIPTKLGMKHPAQTYFPSVRLFDDLPVVHGLNGVKEKFLANLGVRKT VELSVIFERLLNAPAAPEGSNERQPKWSHVDLVRYLASVRDDIPTNDIQKLKKTSICT AESPDDQKASSPKRYQISDLYEPNNSLRSLELPIIEWPGRYEPASNEAKFLYMMGLRR YPSAPELIQLMARANLENNRALHLRALAYYISEYHANGYGNFDASAVDAPFLPIEGTD ELSPARKCFTDDGATLFDFRILRRDLHAHASKFGVRQHPPVTECLDRLIRRPPSTKRD ARVLFKYLSGRVSEVSARDIDRVGSALIVPVGMNETVEKGEKVRRVAPRLCYLGDGDD YKDIFDFVDFGQEANLFLMAVGSKREPTKPELAQILVKEPARISSTFQSADKYLKLLR ALSESLMALKRDKELFQEMKRAPFLLASRDISSLTQQNPSKDKFTESEDEDYDEDQSI KEWTLASAKDIVVVDDFQSFNLFKEHVLAAPQEEALENFYLALGAIPLSTIVEERASW EQMAADQRPATKLQKLIRERTRLYLHDQSPDTIRHDVRWLEKNLQVQVVNSISLTRSL MGRRVSLTQRRSAVVTQQQSRAWTLWICPGKFDFYEISQALVHLILYRPKLHSTLTLE MLLKTDLLELKTRGYNVERILKQKAQEAKVAENKRQQQLEEERRQLQEREAAWAKGWA QTQSRQAGEENSQAMMPGDFPDSPTRKNDRHDSRPQVPEPVPQVPEPVQEQRPRGLFA NLTRRLGLENGRNSISQGQSQPNPIESTRDSTPPPPYSAEDPKTSRPEQPTVNPPHKL HSELISAIQACRPHGSSGVYSRPETSQVTETKSYCDEKPSHDLDFIATLSCGINLLFT KNLEDRSNFLTKNGAGINTFASVLIECADIFSLSINTMNVFYDPAGRTIAFNRAGSIF CNYFYFQQLHETSLLQNQDTTEALVYWWVILCHELAHNLVGDHSSAHSYYTEGFVAQY FPKIATKLTAAGRQAPSHATS ACHE_50224S MMAVDPLSPIAPVRLRALLLPIGKIKRSRFLSFAARLQAEYVVR LGDISPDSRPNRNMFSPLAFPTGMILYDLSFSVPPTSHLDLFPFEIYREPLVVLAIAD GTELSKRPKSSKSESGINRSDERPEGLDELLEEMDIVRERNPRALVHQLLIFDFEGQT NISNGPDDVLWVPPPQASKATTMKTVLCDITSLLLSELDDFAKTMQSIPSIESPKASS WGPHRGPELRPRPTDRLIHRMTLPSQFPPNADSAPETPLSSNQSSPVPSDHETPTTFD EITRSIQISSRSNSIGRFNSLSSTKEHSRDRMSVSGMSATDRTKNRIKGRVGVVIGTL YLQAGRWPDALKELVEAASSARASSDYVWHAKALESILLCLVMFGWAGMDFQIPPICY PVADKSAKSLISSGFDSSHGPSTPGNRVISLQNLSNLLPDLSNNIINLYNRAANITDE PLPQLVFSETVIRLSRLLVAARIRDGTLDDNALKHIVMNEPLSPLHQPERPRGTVLLR KSDIANFLFRALPLSPGSDMPATDAIPIVVGVISVLHILDLPRKKAFVLRELLSTMVP TLVQARKIGAAEVGIHPAAGLASLSDAAFEINALDLGPANMEASLRMLLAAIGEIYGV QPSGFYEWEKKQEKRRSSKASGSESYPEYDSVAAIVERAFRHAALDGYGDLNLKIDVL KACINCCEALPDFEGVLRFTAELLQTIRGSLMLADAYHAPPYLPPEEQVRLLNNIKRT VGAANRLGAAGLEAEYWDDFLIRGVQLLPLPDPRRPVRRSKSEMDAITTHSEKSKKDP FLYNPFSRANKASELLMVAGEHAAFKITLQNPYEFELEIESIRLDNEGVKFDAVAEWI LLPPLGLQDIVVYGMAHEEGPLNVTSCIVKVRHCRERKFPIFTNFWKPESETKFKRTG LAAKKPTMERPLSWSSTTSRDGKQVPKKGPETSSCEVKVIGHQPSLVIDSMSLSQSAM MVLEGEVRSFDITLHNTSSCALDFITFTFQDSTSRQIQTALGNRDLLATEVYELELKL STKPALRWRRKGGPADGLSISAGQSATFTVDILGKPGLQDTTVQIDYSRIGRSDGELP DVLYTRQLFVPLTVTVNASIEVARCDVLPFSSDFAWWNKQGPEIQSENTSNEVMPSSS DNDPFSPVLSQLGRGAYGPDHCLLLLDLRNAWPSTLSISLYVSEQPMQATEGRPAEAG VEGQYAVHGDLQPGQITRFVLVVPRLYLDNPYATIPLLNTGMKRQFVVSANKLTFEAE AATREAFWYREELLKRVCGLWKEEPARREGTVDLRNLRLNARMVEAMRLEDVDMTFSL APSFPESSEKGIIQSGRFRYNIQTDKMLDLSVTIHNRSSKPIHPLLRLQPSLRHQPNN VALDLSRRLVWTGMLQQVLPILGSGESTTATIGVTVLCRGEYEFGATVEEVRILKMKE EEEKTGQGVFHDSEGAIRDTFGADVAKKRRIWHAKECCVLDAHD ACHE_50225A MDTQHSPRANPSSPSSSTSREPKLHLPSTTNPPGPQNPPKPLVW LIFGATGHMGRSLVKNALCRNDLVAAVGRTFENTPESMKALESEHENCLGLLCDVRVR ETVKRVIDLTIARFGRIDVIANCSGYGVLGACEDQDEYDIRNQFETNFTGTLNMIQLS LPHFRERGAGRYLIFSSTSGALGVPGLGPYCASKYAVEGLMESMLYEVDSFNIKTTLV EPGHMRRDDIVHLISDPAGSIPASDSANNSRNNNEHNLSSPLPLYGHFLVKRPSEPYN TPTSPAAHAKRMLLWLGDKQPASAVKAAHLVWELGHCSYPPLRLILGTYAVESIRDRL KCIIEEIEDWKHLSFPSLDQPQQQGSGSGNGKDRADSIG ACHE_50226A MDVVAAVSGYISKMVSAGDSSTQSSSSKMKILLLDSETVPIVST AITQSALLNHEVYLTDRLDNPAREKMRHLRCLCFVRPTPNAIQFLVDELRDPKYGEYH IYLSNIIRKSSLERLAEADSHEVVRVIQEHFADFLVINPDLCSLNLGFPLQRLWGHSP DLWNADALQRATEGVIAALLSLKKNPLIRYEKNSLLAKKLATEVRYHITQEEQLFNFR KTDTPPILLILDRRDDPITPLLTQWTYQAMVHELIGINNGRVDLRDVPEIRPELREIV LSQDQDPFFKKNMYQNFGDLGQNIKEYVEQYQVKTQNTMNIESIADMKRFVEDYPEFR KLSGNVSKHVTLVGELSRRVGEDNLLDVSELEQSLACNDNHGNDLKNLQRLIQLPNVP AESKLRLVALYAIRYEKQPNNALPILLDLLVTAGNVPSNRVSIIPKLLAYHHSLQAPP VAGGFTDLFESTSFFSGARDRFKGLKGVENVYTQHSPRLEVTLQNLIKGRLKEMQYPF LEGSGHIRDKPQDIIIFMVGGVTYEEAKMVAQVNASSPGVRVVLAGTTIHNSTTFLEE VDDAVSSWPDPSPSSAAGRLRREVAR ACHE_50227S MLPYVDLVFDYPARLTGASIDELKLITSFLLSYPFAAVLKRLPD AQPWKKNAFIIAVSLFYIVGLFDLWDGLRTLAYSSAGVYLIAYYVDGSLMPWIGFVFL MSHMSISHIYRQILDDAQVIDITGAQMVLVMKLSSFCWNVHDGRLPQEQLSEPQRYAA ITQFPSVLDYLGYVLFFPSLFAGPSFEYVDYRRWIDTTLFDIPPGTDPSKAPPTRKKR RIPRSGRPAAKKAIIGLGWILLFLQLGSRYNQDTILNPENNFMQYSFLRRIWILYLIG FTTRLKYYGVWSLTEGTCILSGLGYNGFDPRSGKVFWNRLENVDPWGLETAQNSHGFL GSWNKNTNHWLKNYVYLRVTPKGKKPGFRASMATFGTSAFWHGFYPGYYMTFVLGSFI QTVAKNFRRHIRPFFLTPDGTKPTPNKRYYDIASWLATQLTLSFAVMPFIFLSFADSM AVWQSVYYYGILTTLSSLAFFSSPAKKIFIKKLQARAGNTAGAKKAVSAPAGPTTPAA DGHERDQTLGLPDDPGKDLGEAVQEIKEEIDARNRRGSTVGMPSGEELKAAVEERIGR KL ACHE_50228A MRSNQSTTSPRRALHERSHSHTNSASPPASLHHVRSKSTHNSDE HENDYPLHDYSSYPHEAIYTSSPFPTKPEHVLLPNPGKGQQLFPPQTATTPSRVSSIL SGEPSTGLAESSTLEASGSDSWVDFVHNSQSWLHDQSSHSSFPTPSQGNEDDDDDQTF KFYDDDKKTVSSGGDDVSLPPARTVKTVASLPSSRHPSDSSSPNIVPIGPPSIAPSSP NFVTYTDTSSVNFMRLGTSSNSESVTHRSNSVSSSANSLGTVIRHIGATPWIHGSPSE QSSWAARSFHSTPPYQSVHGSAQSQTSSHERDRSRSVRSHSHSQASSSQSGPDSEIQA VVDSGAHVQYPTIRAPSSSSSWVESSHQEPRTASYDSSTLHEPIPERSESRITSHLST VPSNWSAECDNSFTGGANEPSSGRSTADPARPSSAVVRQSQHDTSLRLVNDSDENVGN ATNLQIRNAGSGHVSTPSADSRRTGSMRSRATTSSFLTNLLPNWAKVYYRDGNAVNSA LSLVTTSQSSQGHRAHPSNASRPMTRHGIRAVESTPRLASEDPRDPRSHWVAPPPTSR PPTGMFYQLRHSWSPHLYADRQRLETQASNWVAPSLDSRMEPILGRRNIQVWAFCFGF IFPLAWIVAAFLPLPPKPDIDLESTPASEVTLQARLYDLERRRHDNARWWRNLNRWMV PVGIIIITIIVALAAVGTTVGF ACHE_50229S MAPVSLLARFKSLYSGRGMSSTHLSQALLTCTDEPVLSVNMAAV ASVLLTLAYVVPFYVSSTTRPSPSLSRDAPSVIRARIRAVTLSCLGGSLAVLWMILSK TNAPLDHALHLLGWWPIALGDVFRSLFLTAILFLGPLFERGIAEGEWKEWIRGSRVSE SLRGWIGWRNYVAGPVTEEIMFRSIIIALHLLAKVSSGHIVFIAPVYFGIAHVHHFYE FRLTHPETSILLALLRSFVQFTYTTIFGWYANFLYLRTASLFAVILAHSFCNWCGLPR LWGRVEAGVALAPPPIKGKDDERARPIYTTPGELGIGWTVTYYVLLVVGAVGFWYALW PLTESSHALAGF ACHE_50230A MSPGLIPLNGPEYTKEGLEICFALSYYIRMRLVSNLLPLLSQSQ HPHVLSVLNGGKEKAIYDNNIGLAKNWSPIAVINHTTTMTTLSFEYLSEKNEQITFMH AFPGWVQTDIFARLTPPESSEILWRMTLAAVRGVVALVMRFFGISTEESGERHVYHLT SESSFGPGAWRIDNSSEVVTLSGVLGRYREGGWPGKILGVYFGCV ACHE_50231S MKPRLQPHWRPSFGTFRPHSQIRFASRLRARLDIPPPFPVTKSC PEPSCDCPPTPAMPKGLPIDYEQPLNGTMAAYAQQLLVCTGQRDWTSRIEDDGKEESW GNLARGLKKLLGRGGPYADPFNNILVSNSSLTASSSSSSASAFLFPSFKYFPSIPVDA NDAANPGLSQFVQAFLLPEKLHPMQESSLPESKRDQLVRVPNLASQFPGAIDIHQSPV ILICGHRGRDMRCGVMAPILESEFDRILSARGFSTSVSDSKTVDNPEHAHIGLISHVG GHKYAGNVIIYVPPRMKMRDGASNPLAGKGIWYGRVEPRHVEGIVEETVFGGKVVTDH FRGGIGKDGEILRL ACHE_50232S MLSLLRLRLNPSCALAQGYRFTTTISPKPFIRLATTSTSSLPRK LDLLALDRKWQEKWQQQQQLPPHPGANDGKPKSYILSMFPYPSGTLHMGHLRVYTISD VLARFYRMRGHDVLHPMGWDAFGLPAENAAIERGVDPADWTRENIGRMKEQLKSISTA FDWDHELATCAPEFYEHTQRIFLMLYQKGLAYQAEALVNYDPVDKTVLANEQVDANGF SWRSGAKVEQLKLKQWFFRITAFKEALLKDLDSLAGGWPERVLSMQRNWLGKSYGAKV KFPVTVNDAQSGVNVFTTRPDTLYGVEYLALSLNHPIVQQAIETDEGLRKFLDEAASL PSDSKAGYKLPNVWASHPLHIIDKESEHIARKLPVFVAPYVLGDYGEGAVMGVPGHDS RDLAFFKENVNPEFIPQVIGAEVQAAPADAGVLPAVDAKAFTHEGYLTSRCWKYQGLH SQEAKKQIVTDLNAVGHGEAVEQWRLRDWLISRQRYWGAPIPIIHCDSCGAVPVPDDQ LPVRLPKFERDWLKGKKGNPLESSEEWKRTECPSCGQPATRDTDTMDTFVDSSWYYLR FLDVANKEQPFLPASVRPVDVYIGGVEHAILHLLYARFIYKFLAQSELFPEIARNSDV LKAPPEPFQTLLSQGMVHGKTYSDPSTGRFLHPSDVDFTGGPDKPVIKDTQITPQVSF EKMSKSKHNGVDPTACAHRYGADATRAHVLFAAPVSEVLEWDEAKIVGIERWFGRVWK LVLDARAQLTNSEYRVSEVGLRADHATQLPLLPSLSDADADAVLATHRAIASVTQCVE RNPYGLNTVISDLIKLTNSLSSSSNLSSLTLYLCVSSLLRLLAPIAPALSSEGWEILH EAILERQTETPAPAIFAAPWPTPLLTAEQANTLSARGGQTVAVQVNGKMRFTATIPRR LSPTTEKPDAQEEQDWVVSRILETDEGRVWLRERNDWEKRRRVVVVKGGKLVNVVF ACHE_50233S MVFARSLSRQLGRSSTLLSAAGSSRPAFRPTPLAGAFSGARTLT ASASRQGKVLMVLYDGGQHAKDQPGLLGTTENELGLRKWLESEGHTLVTTSDKEGENS TFDKELVDAEVIITTPFHPGYLTAERLAKAKNLKIAVTAGVGSDHVDLNAANKTNGGI TVAEVTGCNVVSVAEHVVMTILTLVRNFVPAHEQIRNGEWDVAAVAKNEFDLEGKTVG TVAVGRIGERVLRRLKPFDCKELLYYDYQPLSPEVEKEIGCRRVENLEDMLAQCDIVT INCPLHEKTRGLFNKDLISKMKKGSWLVNTARGAIVVKEDVAEAVKSGHLRGYGGDVW FPQPAPKDHPLRYVQGPWGGGNAMVPHMSGTSIDAQIRYAQGTKEILESYFSGRFDYK NQDLIVHAGDYVTKAYGQRK ACHE_50234A MSSSLAKTLVIPATISLVLYVFLSHLILPFFRHYHQRYAHYLPL HTISAHTSSLRDRIGDTLMRCVLRSSSSFPWRRNSVAGPGGDHHDNVSIDDEEGEIMV GMHMDPARRRELERRWDSRPESEGRLSRDLEEGFMDDSDDEEDRGRR ACHE_50235S MPSSTSAPDKSRQTSAGKSFFGRKLHKEKPVEIRHESSSTSSLG DLENLAPPGSAAGSRSSRHSNKRTSVQSIDHNPYDLDASGIAPTAGVITSIPFESTPS DTRNPIPVDYLSNASPRREPSPHHLAKGGGDFHQYPVFNPSAAHVQNYYSHPTGPRPP PHASNMTMSGSSTGDKGPRYQQWGRPGSSATNAPFSHNSSSTFDSSQNSRLSLDQMSL HSSVSSNTRGSNYFSADGSNRTITTSHSDRNNLTPSGSSSRLSTVSTATTGPPPIVPE QYLARPRDDRIVDQLFLELMQKRGWQNLPEQAKRQMLAYPASKKWTLVHQDRLTELQG EQKRRQNARQTHGHDGPVGILERADEEGSPEWYVKKVMDDTITSKQLASLSVSLRTQP ISWVRAFVEAQGQIALTNVLIKINRRKASGPVPAPPTGDKDLDREYDIVKCLKALMNN KYGADDALAHQQVIIALVSSLLSPRLNTRKLVSEVLTFLCHWGEGEGHLKVLQAMDHV KNYQGETGRFDAWMRIVEVTIDGRGKMGSLVGASEEYRSGGIGMENLLMEYAVSTMIL INMMVDAPENDLQLRCHIRAQFISCGIKRLLTKMEGFQYEVIDKQIERFRENEAIDYE DLLQREGSSVKDSIEGEVKDMSDPMQITDAIASRINGSRAHDYFLSAMQHMLLIRENS GEDGLRMFQLVDAMMSYVAMDRRLPDLDFRQGLTFTVQSLLDRLHTDEEARQVYDESL EARQIAEAAIAERDEMKAQVELGADGLVKKLQKQIDEQTSIIELQARQNESIKAEIAD VQRLRAQELQRNELETRELYLMLRDAQDIAASNAKKNNVAETDPVQMRGIMDRDQLLG RLERQLERTKTQFKLEGRVWGQHGPSDRLRELREQMDGEAEPSDDFEEQARLNLDSNA LGSVHRKRSHVGLTNEADEKGQPIYVPRPRMDPTQATGLLGEIASKVPKIDADVPGAV DEEATPKPPTGPEDEVATEEAKADLEGTTPDKAKAAAPPPSPPPPPPPPPPPPGGAVG IPPPPPPPPPPPPGGAGIPPPPPPPPPPGMAGVPPPPPPPPPPGMAGAMPPPPPPPPG MKFGAPPPPPPPGAGFGGWRANYMASQGVPGATTGMMPTIRPKKKLKALHWDKVDAPQ VTVWASHAPTPEDREEKYTELAKKGVLDEVERLFMAKETKIFGRSNAAKQRKDKKQII SNELSKTFQIAMAKYSQYPPDDIVRMIIHCDAEILDNMVVMEFLQRDEMCTIPENISK LMAPYSKDWTGPDAASSDREQDPSELTREDQIYLATAFELHHYWKARMRALALTRSFE PDYEHISAKLQDVVKVSESLRDSVSLMNVLGLILDIGNFMNDANKQAQGFKLGSLARL GMVKDDKNETTFADLVERIVRNQYPEWEGFADDISGVVNLQKLNVDQLRQDAKKYIDN IKNVQASLDAGNLSDPKKFHPQDRVSQVVQRSMKDARRKAEQMQLYLEEMAKTYNDIM VFYGEDSADENARRDFFAKLATFVMEWKKSREKNMTLEESRRRTEASLARKRMNMNTA LANGAGTATEAPNSPATSGAMDSLLEKLRAAAPQARDQRDRRRRARLKERHNVRVASG QQIPENFGAEEGTEANEAESNTGQAKDDDGASAAESGMLSPPGQDADRGASPEPQSES EDVADRAASMLQGLRDNSGDAERSRRRRESAEEERRKRRMRRRNGPTSGSKDSGDGTG GLSSVKEPESMSPPGTADSNNTDDAGSQPPSTPAIVVSPNDDDQHDRSPDDSRPSSKR DSEPE ACHE_50236S MRLSLSPNLRWAIRFRPSWNTRYTSVAALRSHCQPILRSAVPIP ASPAPPANRRWFSASRPARFSIDADSTIYALSTASGRAAIAVVRISGNACVEVYKALC PNKPLPKPRLAALRTLYEPGNNTDNVLDAGALVLHFPAPKTVTGEDVLEFHVHGGPAI VKSVLGAIGGLNRTDNIIRYAEPGEFTRRAFMNDRLDLPQIEALGETLVADTEQQRRL AVRGANDTLSKRYEQWRHQLLYARGELEALIDFAEDQHFDESAGELLSSVAGQVRVLV SQIGFHIQNASKGELLRNGIRIALLGAPNAGKSSLLNRVVGKEAAIVSTEEGTTRDIV DVGVDLGGWYCKLGDMAGIRSEAGSGDTAVTIGAVEKEGIRRARERALESDVVIVVAS LDESGRLAVEREVIDATRDCIEDGKCILIVINKCDRLQPSERTIFAQIPPTLLEKVTT LFPSVPQNRIFGISCQEAQGLLPSSLEQNADPGNLQIFLRGLISTLEEIASPLGIEGD DNGQYDHSYWKDSLGVTHRQSSNLKRCKQHLDDFLAQTAEPGSNSGGYGGLLGEEVDI VTAAENLRFAADALAKITGKGESGDVEDVLGVVFEKFCVGK ACHE_50237A MSADTRDATCTRCNRDFRSTASRNHHTWFSKRHHICVFCGFKAD FTSNNALIKHLQDAHYACKLCGTWYNTYDEFETHDTEFHNRCGLCDRYFANENNLRMH RRIHDPRTLKCHSASCKKHFPSFSAMLIHLEAGNCPGGTDFVQVNTLAEICYQARKYF TSTKDACSFFCPRCHREYRLLSGLFQHVETTPACRELAGKGSCLGKLKKFIAKNV ACHE_50238A MDPVSAFGLVSGAFQIAQIALQTAQSLTTLRGKFENADLTIWSL IGELRTIRSALIQLGDWAQFNSRDSLSPVFNDGDEGLNVALEGCSVIMDVLSREVAAL AQGTEEGTVVGFRVRARAVWNEGIMRDHQDRLHAQVLALQLLLQACQCRTSSEQVQLL RKVENRQIIRKAAEDTATLRSRRSQYANSHAATSSLSVVQSSVGETVFDFDDTVMASP AYQHALRHVRSRSEQLSIAAYSEPTDEGYSTGMANTPNASIPSRPPSIQQPLPIHPHK SVSSDFVRRKTVPIRPNTWALNQIDVRRWQSDSTPTSLGTRSSGSRSEKVRSFLRRIS TSGSVKPRASGTAIDSVGGRSRGRDFNISIDLKTANGASAPLIVKAAQAGARIEVERL IQSNHDIEARHIASRRNALMVAAHCGNEEVVDLLIQNNARLDVADGSGSTALHLAASR GHVGVLELLLVENVDVEARNTHGRTALWVAADHGQLDATRMLLAMHAKVNSRADNQMT AVHAAAKRGDKEIIELLISQGADLEAKDAALMTALHYACEECHLDAIETLLNSKMNIN APGSDKRTPLICAAAMGKLSAVELLLKKKASSRCIDEAGMTSLHWAAFNGHTEIVSLL DQKKGLSAMTNIAGRTALHLSVMNSQFAVVELLLRKEDVSLEARCDSGLTPLHYACIA NNVEITRLLLTAGSDIEAQTGGDQRTPVHIAAAGGSMALLNLLCDKGASLDARDALGD RALCVACRQGHAAAVQNILNRGSSLYQKFGNRLHEDSPLCLAAMGGHLPVVSLLLQHG ASISRSDETGWQPARYAAYHGHPDVLQLLLINSPASSNAGLDPELTADNIGFAPHVMI PEERKKKVRELLGQAQRGPLSIESAPAPVPRDLPLPPAAPAAYKPFKLVLPSSQNGAR NVAINEDRTSPQELPGTLEQGLPNSRSVTPDQMRGEVRDQETDWWATTGIQGPVTGMR ERGRVSQPSHVHMQEPVFIQQPQPRAVSATTNPWFNSMQAQATVPRGSSGGSARTQQP LSDPGLGGTPSFVSPQPTPVSVLADDTQDMSRRLLDLLRNEPSRNNNDDNERSETSSM TTVYTAPERSDTPNMVYELPA ACHE_50239A MTSRLMASGGSGRAILRCPVPNNFQRRAFASSRFYFQEVFESQL NDPASAAVYSSLQTSRAVPQTLTEKIVQKYSVGLAKDKFVKSGDYVTISPHRCMTHDN SWPVALKFMSIGASKLHDPNQIVMTLDHDVQNKSDKNLQKYRQIEEFAKQHGVEFYPA GRGIGHQIMVEDGFAFPGSLVVASDSHSNMYGGVGCLGTPIVRTDGASIWATGKTWWQ IPPVARVNLTGVLPPGVTGKDIIVALCGLFDKDDVLNHAIEFTGSEETMNSLTVDSRL TIANMTTEWGALSGLFPIDNVLKGWLKGKATTAAMGLSDGPYKTLAAQHFTHPILDQL FSNPMTADKGAKYAKELFLDLSTLTPYVSGPNSVKVATALGDLEAQNIPVNKAYLVSC TNSRASDIASAAKVFREAAEKNGGQVPKIAEGVKFYIAAASIPEQLAAEETGDWQILL EAGATTLPAGCGPCIGLGTGLLEPGEVGISASNRNFKGRMGSTDAKAYLGSPEVVAAS ALSGKLSGPGWYKTPEGWTGVVRGEGDGIREEERMLTAEEALEKVIGQIDDLVADGEK QFTPEAGAEAEADDDSLTEVYPGFPERVSGEIVFCDGDNINTDGIYPGKYTYQDNVSE ETMAQVCMENYDRQFASVARAGDILVTGFNFGCGSSREQAATALLAKRIPLVVSGSFG NIFSRNSINNALMGLEVPRLISRLRESFNSDKALTRRTGWTLTWDVRRSRLEIQEGEN GPKWTHKVGELPPNVQEIIAKGGLEKWVKNAIGA ACHE_50240S MPTRQEVAYFGAGPAPLPTSVVEAGAQAFVNYNDSGLGLGEISH RSPTANKILEDTKTNLSTLLDIPDNYEILFMQAGGSGEFSAVVQNLVSVWVERRRRKA EADVLAANPSEDKAQVEELVFERVQKEVEEELKLDYLVTGSWSLKASQEAVRLVGSKY VNVALDARKANDGKFGSIPGEESWSLTPTKRDGGKGSAFVYFCDNETVDGVEFQDFPK SLESQSGDEEDERIVVADMSSNFISRKVDVSKYSVIFGGAQKNIGVTGITIVIIKKSL LPPQIATPPAAFLHRLNIGGLPGPVVFDYATIAKNNSLYNTLPIFNLWIAGQVMSDLV NAYGAKKVSGQEEVSNHKANLIYGVLDKYPQVYRVVPDKSIRSRMNICFRVHGGDAEK EKEFLAGADKRMLQGLKGHRSVGGMRASNYNAVSVENVEKLAKYLEDYATGQ ACHE_50241A MKPRILGQSLHPFCSPLSTRGKFVSRSWNRHASSSSSSVSSEST PPKQQLWVKLAVVGVAAAGIGAYIRKSQQDGPSALNPFAFTKYTLIAKEPVSSTGSIF TLKPSKPDLNSTVYEAAWQTGVWSVMFKQPQLQIGRDYTPLPATTADNQDEEVLRFFI RKDAFGEMSRYLHGLGIGSLVEMRGPKIEYKIPKQTQEILFIAGGTGIAPALQAGHTL LRRTDETRKPRIHILWANRRREDCAGGVNDTSAKPQKTRRFWFFGPRAPASPSSVPDV QKHSAAQSLVVRELEALKSQYPGQVTVDYFVDEEKTFIKKKDILDFTNITPTNGSRLP KNRMILISGPEGFISYMAGPKLWAQGTEVQGPLRGIISELDLKDWATWKL ACHE_50242S MASSRPGRSNSINAPRDSLELASLASSSPDTTARSSRSSSPSGI SSSRKLSLEEQDPLGDFSHGDPESGYGRSGGRSYSISSAFDFGRTLFPLSSTAAGGYA PIGAPSTLDREGVADGSLERHKTLTYLNGLSLVVGVIIGSGIFSSPSQVNANAGSPGA SLIAWVVAGVLAWTGAASYAELGGAIPLNGGSQVYLSKIFGELAGFLFTWCAVLVLKP GSAAIIAIVFGEYVVRAVVGANVEQVNPWIGKGVAFGGVFVVILVNCISTKVATRVGD IFMIFKFIALIGITVTGIVVAITGVSSSGSASDEWKSGWFQGTNSDISGWAVALYAGL WAFDGWDNTNYVTGEFKRPNRDIPRVIHTAMPLVILCYLMANVSYFLVLPHSTIEASN AIAVEFGEQVFGTAGAIVLALVVSISCIGALNATTFTSGRLVYIAGKEGYLPALFGKL GACGYSSATSSPPLNNRLHRRLCRFFGDASTIGRTPVYAMALNGALIVIYIILGEFKT LVTFYGVAGYTFYFLTVLGLIVLRIREPYLERPYKTWISTPIIFCCVSLFLLSRAVIS EPLQTLIVVAFIIIGVPVYYWRIYQRDGRLPFSSGWKFNWKFWKER ACHE_50243A MAVLTRAQSADKRGIHETHTQPYRHNRTAYQDKSKHQGTTKPAW RPTFHLTAPHGWLNDPCGLGYDPTTGLYHLSFQWNPKENDWGNVSWGHSVSRDLIEWE TSLVPCLTPSTEYDRCGVFTGCLWSTDIHGNSGALTYLYTSVGHLPIHYSLPYKTGCE TLSMAVSRDGGKTWKRQDCNPILPGPPENVNVTGWRDPYICSWPTMCSGSNSDLYGFI SGGIAAETPTVFVYSVNPKDLREWKYIGPLVNVGLNFRPSRWSGDFGVNWEVATLATL SDDEGTSRDFFVMGTEGCKETGHQPKRVPRGQLWMSVNPRHGRRSTSDAFATYGFAGI FDHGCFYAANAFYDPVTGRKIVYGWIMEEDLPDALRHPQGWSGLISLPRVMSLTTLRR VKRARQSELKSITSIEMEEDAETGTYTIRTLGVQSDPRVEKLRANACRRQIGGLELKP EGFTLPLTTSKWELDAEFAVGSQCTSVGVEIDHDDSNYTTLTWAPSTETFQINRPNPI PDSNINYAPEKAPHTLFTTFDPETGTESEEPLRIHAYFDMSVLEIFVNERTVVSTRVY PLNDHCTGVRFFGDANTTLSRADVWDGLGVMH ACHE_50244A MNPAASPSSPSTADNDAASAPPTGAIRTQSRSKKAPGTIAKRAC DQCKFRKIKCSLSQPCRACVSMGFECTFFQPQKKRGPTGHRVSQIRQQQTPQLARKSP HDVQTQAQTSQSSGPDVFQYQTGPTPAPSVSGESVKSEAMSMPDAPQWPVPGEVPAPM NGSGLAPAPATTTVGPGWATGAPGAPIDPRSQNGMGWVERNDVEYWLPDNLHAQVPVF DFPGTNVYLRPSLPSIVQGVSDTPVMEHHHPTEPSPPSSAPISSIVSQPPGYDRPRSV WPSSIIETNMIPWIDVYFDRLHPTLPVLNRSSMFIRMLSQEHRQNPQFGAMLLSLCAF SLTQPIEIDERPTSSSRASQARSMMHEATKMRSCSDFGENPTIEAVLTSFFLFGCLFG SNQHNAAWLRLREALDLAATLGLNDPNTYLDLSSEEKGQRLRTYLVLSITERAYALQR RHPITFRGKPGFSMRSVHEFIHGASHRVVSGIIVHNEHDAEGMMGLARLMELFDAIDE DVVDCWNRRCNIDNNGYCERLTAAKALIIHQNLARVSLSERYKGYGWFERTKAAPNEA QAAHQAVGLKETQCADIFITQKWLQNRLWVLCLTHGLLGPVSDRPELTFNYAIFIANE ALQICKSLRISSMEAHGIGLVEKLFDIVTSAINIFSTVDPSLGANFPLTSSNGSLAQA PSTTATTATVNPVSLSQGLAADYLLLLSSLRGGNHPFLERFKEYLGTSPTSPSISTG ACHE_50245A MVQAESSASAARNGLQPNTAGAPQDYELPWVEKYRPIFLDDIVG NTETIERLKIIAKDGNMPHVIISGMPGIGKTTSVLCLARQLLGDAYKEAVLELNASDE RGIDVVRNRIKGFAQKKVTLPPGRHKLVILDEADSMTSGAQQALRRTMEIYSTTTRFA FACNQSNKIIEPLQSRCAILRYSRLTDAQVVKRLKQVCEAEKVEHSEDGLAALVFSAE GDMRQAINNLQSTWSGFGFVSGDNVFRVVDSPHPIKVQAMIKACWEGKVDVALETLNE LWTLGYSSHDIISTMFRVTKTIPTLSEHSKLEFIKEIGFTHMRILDGVQSLLQLSGCV AKLCKINMKPELFQNSKA ACHE_50246S MGTTSVRRNLFNSNLSKRAVSSIPPMQGASNNSFNIQSSSYSSS SETNPSSSNNDRSTDSDEIVVKDKNGSYKLDIPVLPVIVNDDGEEIEGFDEGHNGGGP GSAVDSTGETELGGREKERIEASLIEMMCRNRNRQMSSDPAEIYNLVQQSLRNKVAAL DEDNWMYEPAVEPRV ACHE_50247A MTSRIEKTIARQREKIASGAYYEAHQQLRVIAARYIKQGSYDAA ADLLAGGATALLRAGSQQGAAASGGDLAIMLVLEVYTKAEWEITGEDDDAKGRARKKR LIELLREIPPEEPARKRYIQEMISWSGRFGPLERGDPELHHAAGSVYAEDNEPYDAEK HLILGTPESAETLAKLEYEWYTNDEPHTAAIYASRAVFPYLMTGNLRSANKAFMVFTS RLSSSDPTLAVQDVSSASSDIRVFPTVPLLNFINMLLLTIQRGNADLFRQLTAHYSSQ IKEVGIWDDALAQFGEKYFGIDIPRQSNPLFDMMGSMLFGGQQGGGRGPQAASRRVEA PRNMELD ACHE_50248S MNRSTFDIQPVNRFVGTNATIRRPKEITCFSYDDQRQFHLDESS MRYYYPPQLPADLNRGFGTFQKLDDSADEHLDALLKTIVAMEKETGKKCEADIITWRG MMTKILTIPFDNLNGFEMNATRFQDTMYYHNPWPVIKWTGLMIIRFIEENNFYKNEQK KVQQNQRMPPGMASQDLMAYWGYKFESISVLRQPWDPTPRHEIENRDEEIVNNSAQYC SVVRTGIGNVRMVIGGEVDAVWDCKPDRKEDHIRWVELKTSAEIRNDRDMVKYERKLL KFWAQSFLLGVPKIVVGFRDQQGIVHRMEEVDTYTIPSKVKKVGRGTWDGNICINFAA AFLEWLKTTITQDGTWRIRKAEKSPVIEVFKVEESGHGDILSPEFTAWRSRMEDTETK EPHEVPAE ACHE_50249A MLSFLRSIFYRQRPSIMEPLIAVVGATGTGKSKLAVDLASRFNG EIINGDAMQMYRGLPIITNQIPVEERNGIPHHLLSCVELEEEAWRIGIFKRETLRLIK DIHSRGKLPVLVGGTHYYTQAVLFKDQTVGENAEIGTDQEEQTADESSAKWPILDAPT EVVLQKLREIDPVMADRWHPNETRKIRRSLEIYFQTGRPASEIYAEQKRSKEMTATAT SSGSEQLRFPTMIFWVHAEREALNIRLEERVDVMVEQGLMSEAQRMFDYLQEKQAQGV TVDQERGVWISIGFKELAPYFQAVQQGSSSEEELEALKRSCIEAIKIATRQYSTSQIK WVRNKLWNTLSEAGMTHRLFILDTSNVEEWERNITEPSERLVHALLNDESTPDPKSLS ELAKTTLSAKEAKAAKQKSGALKTVSCDVCSKTMTTEQWDVHINGFAHRRALKHAAKK AEHADYLRKTESLDDTRKQRVVS ACHE_50250S MAPHNPNQREPSITVQNLSYKFQDGSPGLNNVNLNLPPGSRTLL IGANGAGKTTLLRLLAGKRLAPNNTIAVAGIDPFKDGLEGVTYLGVEWVLNQIVRTDM DVPTLLASVGGNVYPERRDELVEILDIDIRWRMHAVSDGERRRVQLAMGLLVPWSVLL LDEITVDLDLLSRSDFLSYLKRETETRPCTIVYATHILDNLSQWPTHLVHMHLGTVKQ VGPIEQFQKEVPETAENSQLGELVLKWLKEDLRTRGPRNGYSSDSKTYASLEGKGGYG FEKKN ACHE_50251A MVSITTDYISVGGNRHPAAADWDVQSGVLAFGADQNVALWDPRE NSQRGVHSLLVGHTDKVSAVRFYTCPSTSTKLLVTGSVDRTVRVWRSSTMDARQFVLA QTLDGHTGSVNAVAVTDGTNLVASGAADGSVRVWRISDGGASAELVQSVTMKPRFFPM TLSLSRLQTDGKERPAVLAVAGTTNIVQIFVSENTTMAPEFKLCATLSGHEAWVRSLS FTNDKQSKTGDLLLASASQDKYIRLWRLHRGEAVQAAPADETDPMLGGMEPTLTNKAH EFEAAESKYTITFEALLFGNEDWIYTTTWNPNPERQQLLSASADNTLTIWEQDSVSGV WVSMERMGEISVQKGSTTATGSTGGFWIGLWSPDGTQVVSLGRTGSWRSWRYDADADI WVQTLGISGHVRSVNGVQWEPSGGYLLSASADQTTRLHAQWLREELGSWHELARPQIH GYDLNCVDILGPARFVSGADEKLLRVFNVPKQIAQLLEKLSGFQQSTEALPDTAQMPV LGLSNQATGEETPLEEEDESSAQAGKVQASLAESNEPPLEDQLARYTLWPEHEKLYGH GYEISAVAVSHDHTLIATACKASSLDHAVIRLYDTSDWHEIRPPLTAHSLTITSLSFS GDDRYLASVGRDRQWAVFQRNEQEPSSFSLLQSNPKGHSRMILDASWAPVTDKPVFAT AGRDKSVKLWRMEEGSFMCKSTISLQSSVTAISFLPAVQDGSLLLAVGEDSGELSVYQ VVVDSLEVKHLVTIDKTMSPSKTIAELSWRPTTRTDTSKFELAVASEDTSTRIYAISN VFAWKNRKLCT ACHE_50252S MDTSAPGKNDSGAISITVEFTGGLEMLFSNERKHNVAIPAQLSD GSRPNIAYLLQYLVDNVMKDERKDLFILEDNVRPGILVLINDADWELEGEEKYELEQG DNIVFVSTLHGG ACHE_50253A MDTLLTAEIVANSPRFRRKSSTFVDAIHDLPEKADLAPAQLYST ESGRLFHSGRIVIITVGLPARGKTHISVALARYLRWLGVKTRIFHLGDYRRATIPFGE DMPDDYFYVNASASSVLLRQKIVRKCREDIYHFLNHENGQIAIYDAVNPLAAGRRSLA KEFAKHDIETLFIESWCDDERIIEENVRRVKISSPDYVGWSSEDAVKHYLTRISARIP QFQTMEEKDLNYIKMINAGERLIVNNCSFGYLSHRIVFYLTNLHIKSRHTYFARAGVS QEATYKSDSSLSEQGEEYATKMTECLLRHRETEKQEMLDRGEQKYELKPLTVWTSTRR RTIETAKYLHESGYKVRQRSQMSQLNPGVCESMSEGRIRLEYPEEVAKHDLDPYHHRY PRAEVCLVHVYVNVCA ACHE_50254S MADLSSAFSFLTDNSFAAVAKDAYNSFSERREALGLSNPGTVDN IAREVQKEVLLSNFMFTGLRADLTKAFSMSPLFRVSHAFAMGSSGNLPPYAFSAMYGS PRVFTQGNFGSDGSLAAVGNYRWSPKFVTKANTQIMAGATQGLLQLDNDYTGDDFSAS IKAFNPSLLDGGLTGIFVGSYLQSITPGLALGFEAIWQRQGMSTRPETALSYCGRYKT SDWIASAQLQAQGVFAASYWRKLSERVEAGVDMNLQFAPNPAAALMGAPSKDGTTSIG AKYDFRASSFRAQVDSTGKVSCLLEKRIAMPIALTFAGEIDHAKQSAKLGLAVSLEIA GEEMLEQQEKIEAQGMVPPPF ACHE_50255S MKEISYTQCKIVGNGSFGVVFQTKMMPSGEDAAIKRVLQDKRFK NRELQIMRIVRHPNIVELKAFYYSNGERKDEVYLNLVLEFVPETVYRASRYFNKMKTT MPMLEVKLYIYQLFRSLAYIHSQGICHRDIKPQNLLLDQHSGILKLCDFGSAKILVEN EPNVSYICSRYYRAPELIFGATNYTTKIDVWSTGCVMAELMLGQPLFPGESGIDQLVE IIKVLGTPTREQIRTMNPNYMEHRFPQIKPHPFNKVFRRAPHEAIDLISALLEYTPTQ RLSAIEAMCHPFFDELRDPNTKLPDSRHPEAAPRDLPNLFDFSRHELSIAPSMNHRLV PPHARPALAARGLDIDSFKPLSKEQMMARLD ACHE_50256S MADYERRYNGPPRGGGGRKRRYRDDDDYDRRQQRRRYEEPLSGR VRRLLFTIAESVVRRVEDDVAYIAKTVAENYEDEELRNTYIDATIELAIEQPLKIPFV AATVLVTNSQRSELVAEVLQKASSALQNYINIGAWREVKLLVRFLGCLQFIFEGDGIF PLLEELFARAVDQQTASSEDLLGLELVKIILFTIPYVMASPATGFEGHANALLEKTDI IASTPHALVDLVKPFAKEGEEPVATPSIISLLQTQLQAESSRNWELVCLPRPWNIPPE GGEEQKPLEPGTKHAFPQITVPNPVLNGARAIFPEVYLSVYANQEVETVPPLSDITSS LLRDSLVDTINLLDFNRIATAKFLIDVDCYFTPHTFVKRATPFDRLRELPQDRPTWKP EDVAVDAVFSQLYQLPTPEHKLVYYHSVLTECCKIAPAAIAPSLGRAIRFLYRDLEVM DLDLSHRFLDWFSHHLSNFGFTWKWSEWIDDLDLPIVHPKMSFITGAIDKEIRLSFAQ RIRGTLPDPYQDLITEGKEKDIPDFKYSVDTTPYANEGRELMQLIRKKAGDEEIQPII TSIEGQAKEHGVEDPMLPSTDAFVTSICFVGAKSLSHVLSCIERNKERLLAIGPQSSR TRNQIVTSVMEYWTDQPGIAINIIDKLLNYTILTPLSVIEWALVENLNAGNILARTEI YEMVAATIGKVTNRLRQIVAARVQPGLYEPQLSVIDDTLHREKADMQALFKITEDSLV SIASGSNDEQMERGDGSGGLPEDGILRQWGRRWLRVFRRKAAVEEAFIAEAMAGATPV GAVAPPQPVQPAASAGAPVPDSNDGDLDVADTDAAGQ ACHE_50257A MDIALEIWDTFIGDRLYSALLPTSLSSSATVPGFTNVANSTLSL FGATQPFVYEPATQLIYLEPSKYAYMSAWPRNNIYRQFLSFFLIVWIFGLITYFICAS LSYIFIWDKSTVHHPKFLKNQIPMEIKQTMESMPIMSLLTAPFLVAEVRGYAKLYDSF SDEPFWGYSFIQFPLFIAFTDLCIYWIHRGLHHPSIYKTLHKPHHKWIMPSPFASHAF HPMDGWSQSVPYHLFPFIFPLQKLAYVFLFGFINLWTVLIHDGEYVANSPVINGAACH TMHHLYFNYNYGQFTTLWDRLGGSYRKPNEELFRRETKMDQKEWQRQTKEMESILRDV EGEDDRKYLAEEETKKEL ACHE_50258S MYNAHRGMVPAPNSRLTELLDQLRQEFENQSRSTGEFEHQLTGQ LQEMEMIRQKVFALEAAQVKMKQDYEAEIRMLRHELESRGVQTVSTHIAGPAQHAGPS QAPPPALGHGPSNLFGGIMANQGGSAPDPAQSLDQKPPQQHTVQQPASVAQPGALPEP QSSGKHQPGAAVNGYPPPPPTASPGPKRPRAPPGPATPQQTHQMAYPDPRASPQIARP TPPGQAMIRERPGNMLANWNPDDLPASQKREGADWYAIFNPEVQRVLDVELVHHLVHD SVVCCVRFSRDGKYLATGCNRSAQIFDVTTGQNVAILQDESVDKDGDLYIRSVCFSPD GKYLATGAEDKQIRVWDIAARTIKHVFTGHEQDIYSLDFAGNGRYIASGSGDKTVRLW DILDGKLVYTLSIEDGVTTVAMSPDGHYVAAGSLDKSVRVWDTTTGYLVERLETPDGH RDSVYSVAFAPNGRDLVSGSLDKTIKLWELNVPRGYNSGSVKPGKCVRTFEGHKDFVL SVCLTPDGHWVMSGSKDRGVQFWDPVTGNAQMMLQGHKNSVISVAPSPTGNLFATGSG DMRARIWRYATYTGR ACHE_50259A MDTREYQERRQHQEWERQGERQRHETERQQWQQQREENTNNYQA TEESGDASTNHSPSSSQSPPFDPNNIRLTRLIGGGAVK ACHE_50260S METAEPVSYEFPGHPTGAMASRRILHPHMSPNYFYPTTTSFPLS FHSSSTAPYSFGHPQHPQHHPPPPLSNPHQHHHAQQQQQQPQPQQPTLQPPAPSQSHP SPYQPHFFVPAPQQPPLHPQPVRLSSESPPFQGVPDIRPAKNAINQVGKDSLAKAISA SQQSTNGAASQDKSASEIDFSTNVDVLMKAIQSRASSEPTTTQQSLPPLQQLTHGNAG VFSPPSYPMAAASSMTPRGGLMVDEPMSRSGKRRKYTCTLPHCGKSFAQKTHLDIHMR AHTGDKPFFCKEPGCGQRFSQLGNLRTHQRRHTGEKPFSCEICHKRFAQRGNVRAHQI THREEKPFRCLLDNCGKQFTQLGNLKSHQNKFHASTLQTLTAKFATAVDGDFMNPQDR ELWGYFAALYKNSNKGIKGRGKDRKISPSSKSSSSASSGRRFQADDHQMRRDSYEYAS STYTGSSDEDDMAHYYLARREH ACHE_50261S MSGARHWEQDKEATVYIGNLDERISDSLVWELMLQAGRIVNVHL PKDRVTQSHQGYGFVEFISEEDAEYASRIMNGIRLYGKPIRVNKASADKQKAVEIGAE LFVGNLDPMVTEQVLYDTFGRFGSLTSLPKIARDDNNLSKGYGFVSFGEFESSDAAIA NMNGQYLMNKQVSVQYAYKKDGKGERHGDEAERTLAAQARKHNVRPSTQQIPPPFPAP TPPVMPTVMANGDASRPMNTAPPVAPANAGFHNVPPPQASRPVPPPTSLATLPPGLPA RPPPSQAGYGGPPQGFIPPGFAGPGQQPSFPPQAAPPPGFTPPGFGPPAGNPGPPPPL PQGFQPAGFGRGR ACHE_50262A MGYFLYFLSFCVIVCGTALYLTRSRWLPLLPVPDYIYQRLPSSF TGDLEAGLSSSQFDITANVADGDLRAGLDEAGKLEVKRIMKSQKVDFDEARRIYTQQR FAKHNIGPDGRPRDPKFVSFS ACHE_50263S MAAVTNVSNDLVWLLTRNSNSFLVKGRGADRPQLSRDPLNLQNQ HSYKYAGYANSKAIGVQGTENGGVTVTTKKTSAPQQPAKTFVNVNYGPKTSTRKIYKG VADVTAKNNYRGDIREDAVTRVSAIRRSQKPKKETPARKPRGAQAKKAAAEKSE ACHE_50264S MVKAAVLGASGGIGQPLSLLLKACPLVDELALYDVVNTPGVTAD LSHISSVAKISGYLPKEDGLKHALTGTDVVVIPAGIPRKPGMTRDDLFKVNAGIVRDL VKGIAEFSPKAFVLIISNPVNSTVPIAAEVLKAAGVFDPKRLFGVTTLDVVRAETFVQ EYSGQKDPSKAQIPVVGGHSGETIVPLFSKASPSLNIPADKYDALVNRKSQHIVFSSN CFDFRSVDMVCRCPIRW ACHE_50265A MSFDAPGSPFGPSDPQAHLLSASCLDLLLIELVPMAERLAKDLS TNDGKQPDDEEVRETTFFRLESLGYRVGQGLAERFSRDRPRFADNLDVIKFLCKDLWT ILFRKQIDNLKTNHRGVYVLTDQAFRPFSRMSMAVRTEAVAMAQAYLYFPCGVIRGAL ANMGISTSVQAETSELPAATFQIKTIQSKP ACHE_50266A MPAVSSTPSYAQYQPPAVSQSISTQPRSSPLSIPLPSLGFTSGT FLSRLSFKDSPFYTILEPLTSTVECKVREQTRDSVELKIVLNSTVASRLQTDSNCRVM VYCAADTGLNQYTKSDVAFPHQVELKANLDEVKANLRGLKNKPGTTRPADVTSHIRKK PGYQNNIVMTYALTQKKFFVVVNLVQQHPVDDLVAELKARKTISREQVVREMRSRADD SEIVATSSVMSLKCPLSTLRIDVPCRSVVCTHNQCFDASSFLQLQEQAPTWTCPVCSK STSFESLQVDQYVDDILRSTSTDVEQVVIEPDGTWSTPKSDDTTGANGVTHNPASDDD DLIEVNEAGFTPVKQEPVATALSLQQTPIQSREPSSAPSAARPSTNKRPAAQVIDLTA SDDEEDLPVRPAKRPALNAPSHSFPALPSLSSGYTNGQDFPLSSQTNYSDTQSQRGGY DR ACHE_50267S MAKSARASETKRNHAKLRATVFGPVSDARTARLSAKLQELASQP KPPQDEKKMNQEDAIETDKPAGAEKPEASEEMDIDKATGASTKNRSNKSGRIQKRHKK NSIVFKPSASKARAPRRK ACHE_50268S MPRLKAITTGSVPSFLDVILNIAESDTTSTLAYQDTWLAQLKAR DDKLVMYGDDTWLKLFPGMFDRADGTTSFFVSDFTEVDNNVTRHIPEELQHSDWSALI MHYLGLDHIGHKAGPKSPYMMSKQQEMDSIVSQVYSAIEQEEHLQSTLFVLCGDHGMN DAGNHGGSSTGETSAALLFVSPKFKARGVQKESPVEAFNELQYYRTIEQTDITPTLAG LLGLPIPLNSLGVFIPEFLVMWDHGFERVHILLENAKQLLRTIKATFPSYTFESSAIR STCESGPSTDMEEAQCAWSQVHELLHESHTDEIPSLIQAALLRFLRISQSVMSSTAGN YDLQRLYLGIFAAGVAALLSFPATYRLLASSHYSGSFLLFSIFGYSAMMFASSYVEEE QQFWYWIFTGWIFYLHIKSNGYQGKCLTSDHMLKEDRQLLSLFSAAGTIGLGITYRIL RRWNQTGQKLAAEPDIARTFFSAHQDTLWFLVILTYANTYKHLLISSPSPLVWRLVSL FATLVAFIFKLTFVASDSPELLGESFLGPLADTVGHVSLVLQARVVFCGVALMVLYAM VTRSGILRVSDKHKGKS ACHE_50269A METEEVFAVHSMLHLIYHRNKNQHGKTKWWKWLSILKRVTLNLA RSLDQRLLLPYGGNYSPIESHKRYLAMHVVPRCYVEFSSVVADGQFSTLGTVLLATLA RLTKVTGIDRDLKKLSQAESKESRISGPNIKAPAQKEDVGEPVHRTEDLPKRAKEPET RNSPEPVTRPHDTVKKARTVETEAPKKKKRKKNAIDDLFAGVL ACHE_50270A MRSNASSSRILSSPGSTNEPPSPHGSSDTKLTAFSPEDVRSKGR SDSSVNTSLNDAGFRRVYSLPSSDPFLGQSGPSNRVQLSPMAASFTPISMTGSIAGQS QIESPLTRDFSSVGHLAANSDPETHGPGAGIIRFSEPNMPEYGPVGNTHFARNSFTSS VPIEKLDSERRSRAFVIENVPTNLSYMALAGFFNRREFGTLKGPVLTELSSMGNVYLS FTDSRDAKNAFEKVHLLRPEWHIVPLTARELAQRSDPSLLSKTSDFEGQLLVAVYYDS RNPKLNQHSVSRSLEALVLTFGDIKTFSQLSNSQDNVSEFHLEFFNTRDAENASISLN GARVDDCVLEVSHFHPDLNNNNPYLPLPSPTTPVKDRPFHFEDPYPRRISLSHGRSTR TACMELSPTGRSTVPQGEHADLMDWMSRAGENLLPSPRRELGRYSDSRPGNQNAVDVE RIRLGLDVRTTIMLRNIPNKIDQGMLKSIIDETSYGKYDFMYLRIDFANNCNVGYAFI NFEDPIDIIDFVNARAGRSWNCFNSDKVAEVSYATIQGKDCLIQKFRNSSVMLEHPSF RPKIFHTGTGPLAGTEDRFPGPDNPSKMRRSIENAEHIGLFAPRVSQQYRDEQRRRRS QFDRGTTAAEREIVYVRTIAPKHSSMENNALRATPCTYPLGKLWYEDDTTIRARGPRT S ACHE_50271S MPSVAPARNGPSYVLNQIIISPPDTDYLDQLIPSIRDYSVGNRT PQLLQSLAKFANDKEAEIETICNTNHQEFVTSVNQLLRIREGTVSLTAEILDLNQSIQ ASTERLAEQKKALVESRSHRQNIDETSRAIQDCLEVLRLANQVHDLLAKKNHYAALRA IEELQNVHLKGVNQYKIAEMIQRSVPATQRAIAEAVMSDLNTWLYRIREMSQYLGEIA LYHTDLRKSRQKERAQKIPYLANFKLNSAIELVSDEDEEYDLLQNDELQVHFTPLFEC LHIHQSLGHMDKFRIEYANTRRRQKELLIPSSITLIDEDGAGLHNLLEEIVGFAIVER STMKRVPELRSPVDVDELWDSMCQTAVSLISKALHEVDNAESLLKIKNLIALFMQTMN TWEFQVGVFDGFLLTLFEKYAELLKKRFSDDFQEIVSTDDYMPMPIQSLEEYDKVLNV SWYSPEKPREEQVFPCVLPFSQMYPLCCIDIRNFLNQFYFFANDDFSHPDVIDDTLKD ALDELLSNKVCDTLVERLSSQYLGQIVQILINLEHFELACHELETLLAAARSQNSAGG SIALRATEKFRTNKKAAEKRIFEVVNSKIDDLIETAEYDWMAPVSPTEPSNYMQTLTR FLSNIMNSTLLGLPTEIKELIYFDALSHAANMILAQPLSSDVKKINPNGVMALAKDVE YLTEFVNSLGVPILRENLDELQQTVQLMQADNTDEFYDISTRNKKYGRVDAMNGPVLL EKLTRTVQSPAKADKFSTLSSRFGKKS ACHE_50272A MEATSQNAGQQQGRQQPVYDIRNGGHYGASAALSAQGYAPVAEL YTGTWANVNQGLQGTARDVLTTYWQHVINHLESDNHDYKIHQLPLARIKKVMKADPEV KMISAEAPILFAKGCDIFITELTMRAWIHAEDNKRRTLQRSDIAAALSKSDMFDFLID IVPREEAASHAKRSSQTGGAPVSSSAPGATGPLPPSQPGVQHQHHMAPPDYGSLGQHG IPQDQEYRPQPTMYTGAVQSDPTAAYGQPQSQMFEGMYAAYPHLPPQQ ACHE_50274S MAELPQNISSFERVNEAASYIKECLPSSLQSPRVAIVCGTGLGG LVHTVGDTPRVEYDYSSIPHFPQLTVAGHAGKLVFGLLGQKVPAVLMLGRAHYYEGHS IDKVTFPVRVLKLLGIDTIVLTNAAGGLNPEYAVGDLVLLNDHIFIAGLAGTHPLRGP NVEEFGPRFPPLSDAYDLELRRYVHKAWKTVIPPQSKRRLHEGVYAFVGGPSYETRAE CRMLRQLGADLVGMSTVPEIVAARHCGLRVIALSLVTNKAVLSPVPRGDDHLLERKEA EELDAILREGKASHEEVLEAARFAALDMQDLVQKAVQDIFQQN ACHE_50273A MAEEVCFEHHPVQPHTEVDMTDDEIQKLLHEAENRLRAPGSKPT QDSRLQMSKGNENEDASSLIPKLSTNHSLQPYVHETNEVAMLDPLRISDSRQKNVPNF DPTKTRTNTSGKKKEKPSAGDDWFNLPKTEMTPELKRDLQLLRMRSVLDPKRHYKKEG KAKPPEFSQVGTIVEGPTEFFNGRIAKKDRKRTFVEEAMALERETRRFESKYNDIQTT KRSGKKAFYKDLRSKRGRKNT ACHE_50275S MLSFPKSRMLLGLGKPLKFPVPTRSLASSNKPDVDPSQSEVCHA ANLSGKQGTEQLSESIKPWAERLDDLNQETRLPRSVQALYLRPLRRKAQYGLPVCDLQ LRSYSVRNVEFFADFAIRAAYYLKLPVSGPVPLPRIVERWTFPRSNFVHKKSQENFER ITLRRLIQIKDGNPQTVQAWLAFLRKHSFYGVGLKANVWEHESLDVAKTMDDVIPEIE QSLEPHLSQFGQRKDRGGDQSILDILESERFTQNKGPLADVRRS ACHE_50276S MNPKANIAYNDQSFTRLYLLARNRVRFKGPIQNRGSSYSTKTSL ATHKTIFSGIQPTGIPHLGNYLGALREWVQLQNGATEGTKLLFSVVDLHALTVPQDAP RLRNWRKEMFATLLAVGLDPARSAIFYQSDVPAHTELFWILSTVASMGYLSRMTQWKS KLQLPENTNLEDSAARSKLRLGLFSYPVLQAADILVHRATHVPVGEDQRQHLEFSRNT ANSFNHLYGSIFPLPEALISPAKRVMSFKEPTLKMSKSHPDERSRILLSDTPEEIHKK IKGALTDSEPQLNYDPDNRPGVSNLIEILGHFEGKSRDEVVSEFQHSSLRALKEHVAN RVAIDLLPIREKYFAFMENKTYLEDVANQGSQAARSNAEATMTQVKEALGL ACHE_50277S MRSAWLWSFFVYLALSKADALTLHRRDVPSVVPLGIQRKDIADP VHRDRMRRDQTVGQKLDNEETLYFCNVTLGTPEQSLRLVLDTGSSDLWCNAANSTLCS SRGSPCRTSGSYDGDSSSTYSYVSSNFNISYADGSGAAGDYVTDTIHIGGVSIKDFQF GAGYSSSSSEGVLGIGYASNEVQVGRFGQSAYPNLPRAMVENGLINSNAYSLWLNDLD ANTGSILFGGVNKAKYLGDLKTLPVQTVNGGYSEFIIALTGVAFASESDSHNYSSNAL PAAVLLDSGSSLTYLPDSLVEDIYSDLNVVYESSSGVGYVPCSLADENINITYTFSDP SITVGMGELTLDSGPLYFRDGTRACVFGIVPAGDSTAVLGDTFLRSAYVVYDLANNEI SLANTNFDPADDDILEIGTGDDSVPGATKVANPVTSVAVDNGSGARIGGPTGSASTDL PTATSAATAILPDTKGSLLYRLASVGIGCMFLAF ACHE_50278A MPRIPTSTIIKAYRQNRLLPILLQECRSLSSAQNELRWLWERAI EIGNANHARNQCPRKYPAPGWKRLLNLMCRARSKGMPLQYILGDQPFGHLEILCQKGI LIPRPETESYTIHAAELISRYFLATGQNGSVNSSGLIRPVRIIDLCTAIQLATRNLSH NLQLGLLSDRSCTEVHFHQGDVLGHDNGHIPRIEEILETHTLQCTKGSGARESFDWDV MISNPPYISPNSLRDGTTARSVRLFEPQLALVPPANVNHPTTMDYRREDIFYHHLIAL SFKLSIRLTILECGSHQQGSRVAAICKAFSKKLPRDNEWTVDIWSEGQIDHRDNTEGP CIVILRK ACHE_50279A MAEVLSQICSLSSQLHYSNDIADQDYDNQTYDLLAQLRQIIPTA SNIISGEFYFLDNLEPSLHTLPYLLIWGHHVCSIRNESGNPFPEAVRPGGKLWSKAVH FLMGFDPIQVRYAGSEWRELVELVGQSAFAVSKPFLAIQPIREAIFRLDPSCSLFTSS HLLLVKLCLHARAYRHALPILNKPICHFPAGPEQNQSENQQPILRARHESSAQFVKNS SGLSAKVTYREHLEYFLNGAMIYMVLKQWKKALHFLTIVISSPVINSVSMVMVEAYKK WILVSLLDNGKLVQTPAAISSHTIKIYRSITKPYVTLAGVFESGDFSRLEAEVEIARP IWHTDGNTGLVLQVVGAFEKFRYRKLGGTFAALTISDAVQRAPSRSGRSTNAEQFIAA LIMSKTVNANLSHPNDLEKSTMLRFSNLGSSASALEDSLMRSRLVEDSWSLANLMGHV EEGDYVLGMSKEYIENLLRNQKQPGGPLKGGKNLGSFSGGFDIEEDIMGDLC ACHE_50280S MSLTESSAADIAKTASFASRHLATLSNAARNDALTALHRALLDN QDSILAANARDVETASRAAENGNLNQSVLKRLDLSRPGKYADMLQGILNVRDLDDPVG KVTLKTLLDDGLVLERVSCPIGVLLIIFEARPEVIANIAALSIKSGNAAILKGGKEST ESFVAISGVISEAISNTQVPRSSIQLVKTRDVVSSLLAQDSLIDLAIPRGSNDLVRYV KDNTKIPVLGHADGLCCAYLHFDANPDIAVKVIVDSKTDYPAACNALETLLVHEDALE TAFPRVAEALLSKGVSLRCDMPSKAALVESLPASQADLLLDATDSDYNTEFLDLTLAV KTISSTHSPDSAAEVAIEHINIHSSKHTNIVITGSKEIAERFMNGVDSAGVFWNASTR FADGMRYGFGTEVGISTNKIHTRGPVGLDGLTIYKYLIRGNGHGAGDYFEGEGGKKWK HEKLSL ACHE_50281A MGIDLDRHHVRSTHRKAPKSENVYLQVLVKLYRFLARRTDSNFN KVVLRRLFMSRINRPPVSLSRIVSNITESHKGKTVVVIGSITDDNRLLTVPKLSIAAL RFTATARARIEKAGGETLTLDQLALRAPTGANTLLLRGPKNSREAVKHFGFGPHTNKK PYVRSKGRKFERARGRRRSKGFKV ACHE_50282A MSLDRQQTNRDGGVEDPKREPLPQLSQLQSSSTSTSESIHSEKT PSFKNVRSKIGEPVIAAFIAGGVAGAVSRTIVSPLERLKILLQIQSVGREEYKLSIWK ALVKMWREEGWRGFMRGNGTNCIRIVPYSAVQFGSYNLYKKFAESSPGADLSPTRRLL CGGAAGITSVIITYPLDIVRTRLSIQSASFAALGKRDAERLPGMFRTMGLMYKNEGGI LALYRGIIPTVAGVAPYVGLNFMTYESVRKYLTPEGDINPSPIRKLLAGAISGAVAQT CTYPFDVLRRRFQINTMSGMGYQYKSIWDAVRVIVAEEGMHGLFKGIGPNLLKVAPSM ASSWLSFEVTRDFLASLDDT ACHE_50283S MSRPGTTLYVTGFGHGTRARDLAYEFERYGRLVRCDIPAPRTPS SRLFAFVEYESRRDADDAYHEMHNKRIGRDDLLKIEWARTPPSASWRFDSGRDRRRDR TPPRRGRSPSPRRGRSDYSPRRDDRYERDYDRHDRDYERRDRDYDRRDRDYDRRDRER SRDRSRSPDERERDIKDDRERRDEERERRDEERENGPNGEDRKVSLDPLPSAHDELDT AE ACHE_50285S MTSNPPGPCCIIGVKHDGEPRGQIRKIGNVEAYVSYPANRSTRR AILVLSDVIGHEFVNSQLIVDQLASNGFFVVMPDLFHSDPIPLNRPANFNFMAWLKGP PGHMPNRVDPVVHSVLTHMRESLQCRRIGLVGYCFGAKYAIRFLKPGFGDVGYVAHPS FVEAVELKNIKGPLSIAAAEIDSIFPASKRHESEEILAKISQPYQINLFSGVEHGFAV RADIHKSHVKFANESAFCQAVAWFNQYL ACHE_50284A MNVLKLQRKYPHFDQSEIFTFQDAFRKLDPDDKGYLDEATVIKA TQQSERQPYDVVRQALKEVELDSSRRVELEDYVDLISKLRSVSLQDKPSGPTHAAQGN GAAPSRHVSKGSVGGRIQVQGSSANVTHTINEDERTEFTRHINAILAGDPDIGHLLPF PTDTFEMFDECKDGLVLAKLINDSVPDTIDERVLNKAGKKIKELNAFHMTENNNIVIN SAKGIGCSVVNIGSGDIIEVREHLILGLIWQIIRRGLLGKIDIKLHPELYRLLEDDET LEQFLRLPPEQILLRWFNYHLKNAKWDRRVMNFSTDVKDGENYTVLLNQLVPDLCSRG PLQVQDLLQRAEQVLMNADKLGCRKFLTPTSLVAGNPKLNLAFVANLFNTCPGLDPIT EEEKLEVEDFDAEGEREARVFTLWLNSLDVQPAVNSLFDDLQNGTVLLQAYDKVIPGS VNWRHVNKPPASGGEMMRFKAVENTNYAIELGKHIGFSLVGVQGADITDGQRTLTLGL VWQLMRKDITNTLSSLAQRMGKREITDTEMIRWANDMSRKGGKASTIRSFKDQSIGSG LFLLDVLSGMKSSYVDYDLVTPGRTDEEAYANAKLSISIARKLGATIWLVPEDICQVR SRLVTTFIGSLMATYESMQ ACHE_50286S MQTSGTRIVDAIFSFRLLVQKAIPTFHNSRIFIPPCLPSNGNVN LLNRQTYRSSMTSANVKDSPSARTPWSNSGSSIPTPTPDHSAKQDVLQRSKGEDHIVT HRHRLSLRFYPKDCPPLKVRWFYAVDSPKRKPTLSDQRGRSAKPLPLPKKFVPFSVKD SQSIEVAFQKLSEIETEREHIQYTHPTGRVQGSSVKVPVNEDYLFDVDVEKRELGPAY WEGPVYEVRRGTWFFQEGSTLKPCNETLATQLEEGYLKVKPWRFDDLHESSTRQSTSS AKHEHDKFSTKRGSNLDSSSLQSTETDVARGRAEKSGHATAISSNESPSYRLFGAYMN SIVTYQDSTTALLTNDDFMSRVSTTVYQTLGGIPGTKVVRGFSEARKQKENSETRDCP ERKAFEDPSFNVTEKSSTNFLHKHESAAFQPDQSENISEQSPRSTLERQMSSLAGEPQ NAAELEEQARKQEEKEMEDSREVENEDRERTVDHLILVTHGIGQRLGLRLESINFIHD VNVLRKTMKSVYKASPDLQALNSAYPDHATNCRIQVLPVCWRHLLDFPYRGVRQNRKE LDLADADAPEDDIYPSLNDITLESVPAVRNLISDLAMDVLLYQSEYCEHIAVIVQQEC NRILELFKKRNPSFRGSVSLCGHSLGSAILFDILCHQPSKSLERTNAGTQRGTTPYPR RDYPLDFECEEFFCLGSPIALFQMLKGRTIAGRNTTSITKSSGRPINTEDNLGSFGSS TSPSIHSTGSGFSERCSISSSPKCRQLYNIFHPSDPVSYRIEPLISPAMSSLKPQPLP SVKKSLWAASGQSLSIIGTRVGQSVGSLWSNFTSGVASSLLNRSLGLNSEEIPSNAGA QSQSSPAADPSKANTDTDSSHRYVNRPRTLIEPDLETLYDGFQKERSSRGESSQTSTG DDATCYPDLEERARKLKIEDAKVRALNTNGRVDYSVQEGAFDISLIASIASHLTYWAD EDVNHFILSQVLSRKNLY ACHE_50287S MSSQLQHVHKVWERMRTNSPIYAFLLDQVEIYHAENGVVRARLQ VAPQHINSKGTLHGAFSACVTDWAGGLAIASCGLDSTGVSADIHVSYLSPATTNDWLE IEGHADKVGKNLAFTAINISRKDSAGELTIVARGSHTKYIRTR ACHE_50288S MVIVFLNELSTAFETTNSTAAPEQAVSRYLELFPESSLANVLAD QQQRKKLNMIADDILSSFLDQKAYSCYVLRDFLREVLAGVIFQSMMSNFSRPEFINGW IIYLLSEGESEIMNAIDAGVEGARSQGVTAPKGSDVKNNSSMNTAVSAEIDPQVLPQT TGGQSNHSDKATEEAIKEAKRLSAMIAAQDAQRQDLQQAINEDRRDPVPNSADDSSSA EALDQVENSVNKDDKQTLETSESLSHRQRRLSSSPSIPSSSRSNNSISEIIQGPILTL YGASVSVDVELEPGEKTLIRSKPTSNYLIQVEPASTRCSGWMTFKKYADFESLHDTLE AISRLNKLWSFTEMYPALPTWKGHTRQALAQDLARYLKDALQHESLAESEKMKRFLDK DNRLGTDPAGSSTRTGFSFPSQATFENMGKGVLGALANAPKGVAGGSKAVLGGVTGVF GNVGGNGKRSSRSFADQARNFESSELFPAEMEQARNSGSREVSLDLTSSSKHHTSPAQ FTEKQNPSTMRNSSSSFSSSNDARLPPNARSDRSIEAVSSAVSLAAVGLDAGVNPLSS KVCQSNESPSDGHDEKEDSAMVRQCQQAEAMDSRKQATPDLRAAGALVDKAITNDETQ IAVELIFAVINELYTLSSAWNIRRTLLNAAKSYILRPGSPTLETIRVSLQDSIINANT SDETIGAYLTKLRENALPTETEMKSWPPPPTEVEKERLRENARKLLVQRGLPQALTSV MGAVASREALEKIFDCLQVETIARGFVFTILLQVLRAVII ACHE_50289S MAFRSRKRTSTGEFVNDGDQPKRMKTETTNNNAAPDSPRIDSNG DPYWEISRMRRVTISTFRGKTMVNIREYYEKDGQELPGKKGISMPMDQYAAFVNLLPG IEAVLRENGQSVPRPNYDDTIGQSDGCDDEDQGEAGSDPENPTSPKNDEAATSNGEEE EE ACHE_50290S MSPDRTSPYKPDCSGQASKLGTSTPSIVRSVSTRRADTPPTSPG DTGAVREGLGNLNRWSQSTASNKSPPRYAGHHRGSSRISDYDDLNPPKGHVSPTKKTP FRHSPQITSISVNANDQLAEHHTTKLYSNTRPGSGVQPTISIPNTVLSRSAHASVEST STFASLFHDPWKKGQDLGLNSSEVDTSSHRVSPISQAYSKEMAANSPSTEENPSPFAD TETYKGKHQRGQSQKTMLSKALQKANTAVLLDNAANFEGAMDAYNDACYLLQLVMLRS NGGEDEKLKLQEIRDTYMIRVTELERMDFSVRESGGKALPERPLSQESDDGLFHPREE DYDETFSGNSISPRQHPSELYSDNEISEEPRTVAFDQIPPRRQSLLPSTFNDSLEPRG QYNRPQSQSSWHQSRDGSWEGKNHAADSGSPFTLSPTRNLSMASDIEGNHAYLSRYSE LPLPGMDAKDTNESTSWLDTIDESGASSPSSLRSKRSSAYLRERTSRLLSRGTEAEFD AALDAAVEAAYDEGLEPAIDIDDGSAADDIVANARRNVELAKQRVREAEREAEVAMTR GREMRHFQEHAMLGQPNNLDREYLDEEAEEEERLLEEMTMGYIMDDFEFDVQSKSALP RQSDSSSFSGRTWESSAASNNTTAGAALSTLAEDRALTSAAAKRQSNTLPLAQPAPAI SSIAPDQSPGSSVRERRLSGRDSKELKIDTSAQLRDDSDALALESSISQLTSRPLPLP KDERQTSLSNNVNQNLDPASALRTGMNSDDRNVSIGSLSEGTSTSIGLSKALTQEDEE DTSTGLSTVLSPTRTIGKVPSAPDNLGKQNASSKAFRVRNVSVPAPDLTTDSPGTPSS SMFPTLDIQKGMATGTVPILPTPTGANFTPNGLPSDGLYLFDSHIHSPTQPGFPNTMV ANHPAPLEPCPESFLLRPFWLMRCIYQTIAHPSGGYLSTKLFIPRDAWRVKNAKIKAL EEKVSSCDLLTAALLKLSQVDMYDADAVLEEMQSFESVLDQVQTALSKKLGSEVGVQG AMPLFKMSPVSDDTMGTTDTLPFKASNGPSTKSYLSSWRKLRSKNSGFGSAAAPPNSK ETSKDNLTINTLPMTPTPTTQPTKRNVTQLQFNGPNANYVGALARLCDAAQAIDQIAQ QVEDPGLKHSSPTLVGLELSTRHAAEFFGFYICRFVLNDIGLLLDKFIKRGSEWVLT ACHE_50291S MPRPAIRRNRKAPQNSAKQTTNHQSASGNDNLESAIASELPSNH GENVDNASHPIDGAEAITMAQQMKNQTPMSKTHEQAIESSPMGEGTATGSRPPTRARG YSSTLSLAGRKGDMSSKVPGTPAFESSVLSNFRRRARQPSILQMMQTENGSSDLDDDD FLGGLSPEDESTPLNVSRGKSLILKNAASPSAEFLSPSSDKSRKRKRAPEELQVPQSP LNVVESTPIGSPDRWTQENEAHDRTDTPQPVISPEAFCQTVVPPMSSSAPQSPARIDL ALAERESPVTLESTKEPSGRLNAQGHLSTADLQSKLLPRRRQRRRRHNDSDVSNDDDK SDDDDELNYLPSRKPMKSRGKQTDSPNPSKNTRTKPTKQKKPLSKPSKRGITYSSATR TPDVDKENQPDDMSSPLSSALDSDAFGSDVSISKSTDKGDFMSEELRLQAKKFAEVDN WQMEFEDVISTTGSQGSPFR ACHE_50292S MSSLDAILAGKYPAKAHARRVAGYLQERGYGGSGVIYLEAQKTR LIEDNDEAMPFRQRRFFYYLSGCLLPDSRLTYDIKSDKLTLYIPPIDPDEVIWSGLPM SPAQAQKLYDVDRVLSTSEVNSTLASIASAHNGKAVAFAIADQISDDIKFQNFAETNL SALKGAIELTRIIKDGYEIALLKKANDISAKAHIAAIEASKTATNEREIYGAFIAKCI ANGCTEQSYHPIVACDENGATLHYLKNNDVLVDPVTKQRKNNVLIDAGGEYRAYCADI TRVFPLSGKFMPETRQIYEIVLQMQYECIAELRDGVQWEDVHALAHRIAIKGLLKLGI LRGSEDELFEKRVSVAFFPHGLGHYLGMDTHDTGGNPNYDDKDPMFRYLRVRGNLPAG SVITVEPGIYFCRFIIEPFIHSPELKQYIDTEVLERYWKVGGVRIEDNVHITRDGYEN LTTAPKAIEEVEKLAM ACHE_50293A MDASQLQLSAETTQAPETAQNAQDIPNTQTTQNLPQNFDENQGQ VQGQEVEVEMDNIRDSTANGGHLDTAQDFKAPTASNAQPTDAPTAAKKNSGLGFLNYL TSPIVEIIVDQGENETTLTAHQTLLLESPFLAEMVDKCSESEPRRIELPDEHVDAFGC FLQFQYTRDYTSKPTEGQDAKDSDDSGEQLLKHARVYTLAEKLGIPALKVLAHTKIHR VSSTPRGEIEYARYVYTHTSIDDVTLRKPIANFWATRSHVLRHGVEEEFKGLCLDVPE FSYDVLALVLENKEKRSQDNKIEPELGTRTSGRKRQRGGQ ACHE_50294S MVLSPLPHLLRVSFSLRGSIRASVPSIYPSIAVQIRWIVPRGQR RSMATIINSPRDPNTLSNYNNWISTHITANFDILFDQKKLAGNVVHRLKSITDAQSQD VILDANHLDIGDVKVDGKQSQWELLPPLESYGTALKIKLDHAVKLDETIEVDISVRTT EKCTALQWLTPAQTSNKKYPYMFSQCQAIHARSIFPCQDTPDVKSTLDFNITSPHPVI ASGLPVRDSPPASQPGGKSLYRFHQKVPIPSYLFALASGDISEATIGPRSVVATSPDK VEECKWELEADTEKFINAIEKIVYNYAWGEYNVLILPPSFPYGGMENPIFTFATPSII SKDRENVDVIAHELAHSWSGNLVTNASWEHFWLNEGWTTYLERRILGAVHGEPYRHFS AIIGWKALSDSVEHFGRDHEFTKLVIDLKGKDPDDAFSSIPYEKGFNFLFHLETLLGK AKFDKFIPHYFTVFKEKSLDSYEFKTTILDFFQSDPDASKLLNDLEWDKWFYAPGLPP KPQFDTSLVDVVYELAEKWQSLPESSFKPQASDIEGLTANQLVIFLEQVLLFEQLKPD LTKLMGEVYGLSKSENIEVANLYFQVGLKAGDESVFGPTANLLGRIGRMKFVRPLYRN LQKVNRTLAIETFEKNKDFYHPICRAMVEKDLFGKKDN ACHE_50295A MASKSLGSPYVRGLCKAQCSWLQPTHMITSRNYTTLASHSKCSL DNANRLFHSHTPSMQSRRASRLAKAILPRPGTTAETYVAYGLTQKLFEACSRQADYRI PQLSQKGAQVPKTEGGEDLGVGEGWWYEELSLAPTFSTWSQVTFLHMYLLTVRLRALP SHESVQTYSRHLIDHFSHNAEQRMDVLHGITSRAIRNKFLKDLFIQWRGVLAAYDEGL VKGDAVLGAAVWRNLWKASYTGPDGKDMDWTKIACVVAYMRRVLSELSQVTEGDLILT LEHRNGKPGIFGYSELDKKLVNAKR ACHE_50296A MAFNIRTGPAHTIRNPAVVPPSSQKPDSTSIKGFRISTQKLPIL KAEPIEEMAQNLGIAPPEMIFGDNFVKIEHEKTGWGITFNAFDALDRVDKTGESMLKV AYSKEWQKSREITHEGINEVVKPFDWSYTTDYKGTVRPNCRQFEETTKQIPIDLLKRP DPILFFDEVILYEDELADNGITMLSCKIRVMPDRLLLLMRFFMRLDNVLFRLRDTRVY VDFETMEVIREYQTKECEYEKVRQTLATTRDDVPAALRDANKLSDKLPLVERHLERVS LGE ACHE_50297S MATKTLEARFEHLSVKDENDSSGNGSYYSKQKGPHSTATSLSGL GSTAQLNNSSNRSNLLKLALQNTNDNRINATSAAGSSPVKRNADENEEQSHEQPSPKK LHLGMFEIGKPLGKGKFGRVYLAKERSSGFVCALKVLHKSELQQGGVQKQVRREIEIQ SNLRHPNVLRLYGHFHDSKRIFLILEFAGRGELYKHLRKEHRFPEWKAAHYIAQMAAA LKYLHKKHVMHRDIKPENILVGIHGEIKISDFGWSVHAPNNRRQTMCGTLDYLPPEML KPGSQDNYYNEKVDLWSLGVLTYEFLVGEAPFEDTPVMTQRRIARADMNVPSFVSPEA KDLIKRLLVLDPEKRITLDEIQRHPWIVKHCVKDDGVAKRSSGSSSKDGKA ACHE_50298A MTDPSQSSAPASHPERSADNGYIPGDDTWTQWRNIFSVLTGKMT DEGKEQFRVARDIRNETADCNRCEEQRNFLLQYSPVIRYLSDNIRQLGGDLHNHNIYC RRCTNRKAGGFDPEYGILICANEMKDQGHLEDTMAHEMVHAYDHLRFKVDWTDNLRHA ACTEIRASSLSGECRWAREFFRRGQWKFTQQHQECVRRRAVLSVRARPSCKDEAHAQK VVNEVWDSCFRDTRPFDEIYR ACHE_50299S MCVENRISSEVGIMKASDRLTVVIKLGTSSIVDEKTHEPILSIL SLIVETAAKLHRDGHNVVLVSSGAVGVGLQRMDIEERPKNLPRVQALAAVGQCRLMSL WDALFSHLRLPVAQILLTRSDIADRTQYVNAQNTFSQLFDMGVIPIVNENDTLAVSEI KFGDNDTLSAITAAMVKADYLFLMTDVDCLYTANPRTNPDARPIEAVTDISSLEADVS SAGSSLGTGGMSTKIIAAKLGTSAGVTTIITKSSKPGNIHEIVKYLQRIRQEFSEGGA TADSDLHMTTQEPPSPPPLHTRFLPSDSPIQSRSFWLLYGLKPHGTIYIDNGAYHALQ TKAGLLPAGVLGVEGHFAQQEAVRLIVVEKISPDALNGEFLHHWQEPKEVGRALVNYS SVEVARIKGHRSTQIQSLLGYADSEYVALRENISFFNHEDSPRR ACHE_50300A MRFLRPLSFILPLLSTALADVEFTAPASGSTLKGGHVVTAHWKE SGELPRISQLLQYDIHLCAGGNTSDSYEELVTLIKDAPFARGNSVSFKIDQGVGGKEA NAYFLKLVASGPDLSVINYSSRFSLTDMTGSFSPRVADGIHSIRNISGAPLREDQEHE GFQKRQAVGAPAAAATGLGAHTIPYELQTGLTRYAPMAKRPGKTIPPGKPTPQHPTSH YSIATAYLGAPTVQTTVSATDTYKVTNVENTATPAAQPEDMNMKRWLERWKD ACHE_50301S MRHHQSDWSSLEGVVTSLLDGNPIAILITAFIAFGLPVLLHLIF YQTVASPPVSNFLLLGPSGAGKTALVTLLEAKSSLLVKKSKATHTSQTSTNATVSLPV SVPTASNRFRSVNDPSLKDVSRNPIKYNVRDTPGHGKLRGTQGVAQLQSMSSAKDPRM RARGVIFVVDTAALTQDETLRDTASYLHDALLALQRRALGKGKAALKAAGEIPVLVAA NKQDLFTALPPGSVREKLESEIDRIRKSKSKGLMDASVDSGTGEGEDEILGNDDVQDP FTFKLLEEDVGIKVDVVGGAVKGDDEENVGSGVRRWEEWIGQCL ACHE_50302A MTGVFTYRNFSLQDTPPQDGKVAVVTGGQGGVGQEITTQFLLNN IEKVFILARNKSKFKDSRKQWTHRTGFSLDIIDKKLEFIQCELADIRSVKEAAEHIKR NTGRVHILICNAGLGVSTQYNRSPQYIESVFAANCVGHQLLVTILLPLLKRVTIESPP SDARIVVTTSSMHMFCRRLDFDSLTAPDRSSRSKVIDAVWRYGRSKLGDILLTKELSR RLQEGNDPAGKNIYVNCFFPGNIVTEQWMGWNQLFGKPIGMIMRKFFSLFIGQSREDG AATALYLGASDGPRKKDQRGRYFIPIATPDWPSKIASDEKVARDLWDWIDAKITQTLG SNWQDEPGKKVGKGDFKLR ACHE_50303A MSAEKVAVLRALNATIIRTPNEAAYDSPESHIGVAKRLEKELPN AHILDQYGNENNPLAHEFGTAEEIWTQTRGQIKAIVAGAGTGGTITGLSRGLKKHNPN VQVISADPHGSILALPASLNEPHVNEPYKVEGIGYDFIPQVLNQEAVDRWYKTGDKES FQFSRRLIAEEGLLVGGSSGSAIAALEQAAKDYNFGKDDVVVVILPDSIRSYLTKFAD DDWLAANGLLSSPPAEAVPTSPSLQQQCPKDAFSGAKVNSLRLKPITTVRSDFPCENA IEIMRDRGFDQLPVLAPSGKKLVGLATLGNILSRLTHGRATGKSPVSDVMFDFSRIPE VVTDPRDLGLTTGGEPIGPETLKAQQKGRKFIEITMDTPLSVLNRFLEWNSAAIITET NEQGTMRPVAVATKVDLLTWMLHHNENGS ACHE_50304S MDSGTGIDQHAEMGRRSSRRAQRASARASQDNADLRRTSNPSPS AEIRQSSTLLPTHNNEKGGSVSSLSHRRMFRVDTAGESGRRGIHPIHFLRVCFKSTCT LSMLVNILWPFVPAAIAIHFARHDLHVWIFALNYIAMVPSANLLGFAGGELAKKIPKV LGVLLETTLSSVVEVVLFMVLIHNDTNGQFIPVIQAAILGSVLANLLLCLGMCFFFGG IGRSEQSFHEAVSEVGSGLLLVAGFGLLIPSAFYAALASNSTNVQITLQELNTATLTI SRATAVILLFAFLMYLVYNLHSHHSIFDEVLEFDEAQDEDREKEARRTKLTLTECLVA IVLSLTCVCMSAVFLVQEIEHIVEERGVSDNFMGLILVPLVEKAAEHLTAIDEAWDNQ INFALFHCLGPSIQTALLNAPLAVIVGWGLGKDLGLNFEIFMIVLVVLAILVVGNFLR DGKSTWLEGGLCVLIYVIIAVTTWYYPKVEPTESTLP ACHE_50305S MECTTENPADILISALKERNISVKRSEIESAFNDEASSAENAQW VSEHLSYDTLLTKEELALYSQLESSGTLQHILHDPGLASTRPLLDEDIQNAIGSLKAS TEAIQKQTEILNTQCETLNKQLRLDDNCAIGQNRDMERLRKKHESGRQDVNAASNDLV HELEANLRAETEKARLDGKKILSSLTSRLKEDDRILAGLERLASGIKSTGNDASVAKR TEQLVAVLAEYVAEEIHYRLDRLYLESSQADQVGSKQAAGEHDEEALAALREELESLY PEVDVLAEMSTKQQFSEPVLRELQNRHGQLRNASQGKLECVLDTIMEMTYSTKSLTQR LRDRESYCQTLESVINTYRSEVGDPFSEKTISRRETMLRRRSMQPQSLFSSPGKQTAP LPESQALASLLRRVGLSSESVFKSEEEIGGANALHEKKSHMLEYFRTLGIGADLPLVT ELIPTDRASRLLSSSLHADSYFETSLSNIEQDQSLTELEAQLGFVQKGVENLNLDALH QRGKNQNKFLERWAS ACHE_50306A MIILFNWRIPFASRYEFPQPEEDEVKSVAAGPARAQQQVIVLLG GEAHDSFVTLEDSGLKAQNRVGPPAACDLKQETCAVVNWFGCAAECSAAQICGSQGGQ L ACHE_50307S MAPSMQEAQYSYEDTRASQNRNVSKSGVEIGKEPSTKSASHDIA DVFDISSAAALELLCFNIERLAQSTVQTPTDFLANSPDAHGENHSGEAPIQIRTAGLH ATPTADDTAGRDLLQLSVLLRKFVSKKEPPISLRDYLLRLHKYCPMSTAVYLATSIYF TKMAVVQRILSVNTKNMHRLTLAGLGVAMKALEDLSYPHSRVAKVGGVSERELSKIEI SFCFLVDFKLRVDAQMLLDEAKDLIQRCMGCEGAPEIQNGRNDSHNGA ACHE_50308S MSEPQSRGSNAAGAASNSPQNANGKGTPPKASPHDANVELKTKN PERAGVKGSIPLGEDIMQIARIGEISAMQRIFEEKKLTANHKDEEGITPLHWAAINNQ FGMCKFLLDSGADVNAKGGESVATPAMWAAQRCHYYIVHLLLQRGADPLLTDVQGYNI LHLATIDGNAFLLVLLLHQEIPVDVIDQQGHTGLMWAAYKGFPACVDLFLRWGANANA VDEGGLTPLHWALVKGSLPCVQKLIEYGADRFAETRDGKAPATVASEMNTMRVWYRAL DECGYEFDGNPKALPMGLGSWVRNKSIMSKFFFLWPFLMVFVATWILSNMPIYASIPA ILITVFGLQYVAQKAASKGPSEYRVLQRTPYLSGVFAGSLFWVCVRYVLNVLPVTYST NPILNVFFSLSFITTTYFYIISMIEDPGYVPKLGSRNQQRSVIAELFELWKFDEENFC VFCMIRKPLRSKHCRRCGRCVAKHDHHCPWIDNCVGANNLRHFVLYIVCLEIGITLFV LLTVSYIKILPAPSELTCSVINDTLCSYVLRDPFTLILDLWISIQLVWITMLCAVQLV QVSRNQTTYENMRGHSIDRSYPSSRALASAMTAGTTSFDAAGLSASGQGPNPHGRVRK HGCIQQWSSLLGVDAFFATARDGLRDGPRAARPRNPFSRGIVTNCRDFWCDPAPYFGR RESGAAMLEGEIVNYNRMYETPMRMRGGGGSGFGGAYQSVAGEDHV ACHE_50309A MESVLRKPEINYPTTSTTMPRAQPSSSRGPSRLKATPTEDMHDV LCIGFGPASLAIGIALHDSLDPALAKPGTNTNFQPKVCFLERQKRFAWHSGMLVPGSR MQISFIKDLATLRDPRSSFTFLNYLHQKGRLIDFTNLGTFLPARMEFEDYMRWCAERF SDVVAYGQEVVEVIPGKSDPASSAVDYFTVLSRDVETGEITSRNARKVVIAIGGKAKM PPGLPQDPRIMHSSKYCTTLPAMLKNDSDPYNIAVLGSGQSAAEIYHDLQKRYPNART TLIMRDTAMRPSDDSPFVNEVFNPERVETFYNMTPEERQRSLAADKATNYSVVRLELI EQIYNDLYLQRVKNPDETQWQHRILPSRKITRVEHHGPENRMRLHVRSSKDNAAPSEG KETLEVDALMVATGYQRDAHEDILRNVQHLRPANLDQWVPSRDYRVQMDPRKVSGRAG VWLQGCNEKTHGLSDSLLSVLAARGGEMVQSLFGEQLAGKEVQDTTPIRAML ACHE_50310S MVATFSPHRNAGGTLHLPSHSGIHHVDASSAIRQLRRSLSRSPS KGSNFSLLTSRNHSPSKSTTPYVSSPLSPSRRSGQGNFVLLPSTSQQSPFAIPYPPSA KITRPAMRRTRTSPRSPAKRVLNVSTDQGNAKPLQPVLAPSGEENSPSTPEIDIQSTP DQPAEDVCQRNASAFAENALGPRPTLSRIEKRRSGTFSYAAVSPLKRSDGTMNLDQAS RGSPSAKRRSVYTPNMSGESSIFDNDNDDALIMGDEPLETESEDEMPPPPAPVSPFSP FATVPKRSCSLRRSTLQQRQSDRPSLFGTPAAPSRPRLDPNFFQPSKESLFSPKPESS NSLFATSLNNAASRQGPHPLSRTITQSSSSSSLVDDSPTHEPAHKSERPRGINFSKSL PAGATRPAPVRRLNREDSNMSSESFATPDNYKLVKPLPAAFMSTGLISKKNRNAEDPQ HSLRSSKNMPDTPCKRPINLFPTGPKQSEAVPPSPFNPPTTSRPKPGPFARGMGIFGN SFNKPDFSRRSSFVSVDGDDAQAQSPSARFDSQPLSELELPPTPTKQSFFPSRTYPPA ASQIASLERLSESRASPVSEKILRGSPRTPQDHIFPPDPSGLSISVQNEPHPIATDFN ASNLPATPTGPRDSFPLAGKRPSLQLTGFNAPDVDPALTSRFQNVELIGTGEFSQVFR VAQPHGEPPVFSLPPTGPKSPDSLPNRVWAVKKAKQPYSGLRDRERRIREVDVLKTLT NSDHVISFVDSWEASGHLYIQTEFCEEGSLDVFLAEVGLKARLDDFRIWKILLELASG VKHIHDMGYIHLDLKPANILVSFEGVLKIADFGMATRWPAEEGIEGEGDREYIGPEIL MGQFDKPADVFSLGLIIFEIAGNVELPDNGVSWQKLRNGDMSDVPSLTFSSESYVCRD ASGNPVSEEPSFEDLCASDCGDDDFGVDSFLGGRKPKPVPMPRSGDLVNPPEFMVDAD HEQALDKIVGWMISPDPLQRPTADQVLQTYGVQFAERRRRAGATIYEGNWGPADEVLV EDAEMMDV ACHE_50311S MDHRTFISDTLLRLTGASEPTIIDFVLATASSAKSAPSLQDKLV PFLDGSPDDVGAFCRELFARTNPVSSKSAVPPAAKEKDVSSKKKYRLVDMGEDMADSG SLGPVNVEADRERERRRRREKERRSREKEDRDEGRSGGRWEKDESRKRERSREDGRDR RSKKLRRRDVDDIEARWGDEEIPEELYEEEAEEFEESPAKRTRLEDGSASPRSNASTD LDPQAKQEMQRQRDLQERDEFAKRLASKDDSKSKKIVEDRTRSGEAARRRALADDASS RAAAMPELRMRSRQEYLKKRETERLALLRRQVAEETQELRENPSLTRKEKEEFARNRE VLQIAEERLRIDDYRDGYMMPEDYITEKGKIDRKKKEDALYRRYVDRDEMGHERFVTE HEEWEMEQTAKAKAQIKKPEFVDEGNYEYVFDDTQQINFVADTKLEGTRKPMTGEERM LQEKLNAAEQKAASIEETRKSLPIYQFRDQIIQAVQDHQVLIIVGETGSGKTTQIPQY LHEAGYTKNGMKVGCTQPRRVAAMSVASRVAEEMGVKIGNEVGYAIRFEDNTSDKTTL KYMTDGMLLRELLTEPDLGQYSALMIDEAHERTVPTDIACGLLKDIAKARPDLRLLIS SATMDAQKFQKYFDDAPIFNIPGRRYPVDIHYTSQPEANFLAAAITTVFQIHVTQGPG DVLVFLTGQEEIEAAEQSLSETARKLGSKIPEMIICPIYANLPSELQTKIFEPTPPGA RKVVLATNIAETSLTIDGIVYVIDPGFVKENVFNPRTGMESLVVAPCSRASANQRAGR AGRVGPGKCFRLYTKWAYYNELEESTTPEIQRTNLSSVILMLKSLGIDQLLDFDFMDP PPAETIIRALEQLYALGALNDRGELTKIGRQMAEFPTDPMLAKAILAADQYGCVEEVL SIVSMLGEGSALFFRPKDKKIHADSARNRFTIKDGGDHLTLLNVWNQWVDSDFSYVWA RENFLQQRSLTRARDVRDQLAKLCDRVEVTVSTCGASNIMPIQKAITAGFFPNAARLQ RGGDSYRTVKNGQTVYLHPSSTLFEVNPRWVIYFELVLTSKEYMRSNMPLQAEWLVEV APHYYKKKDLETLGVEKKVPKGQGAAGEKSRM ACHE_50312S MDPSILARDDASGRPPIYKAIGISLAVASGLFIGVSFVIKKIGL LKANVKYNEEAGEGYGYLRNFWWWTGMTLMIVGEICNFVAYAFVDAILVTPLGALAVV VTTILSAIFLKERLSFVGKVGCFSCIIGSVVIAMNAPEQSSVSDIQDMKKYVITPGFL SYAGVIVLGCAFTAFWAGPRYGKKNMFVYISICSLIGGLSVVATQGLGSAILAQINGK SQFKEWFLYVLLVFVIATLLTEIIYLNKALNIFNAALVTPTYYVFFTTCTIITSAVLF QGFQGTGKEIATVVMGFLQICAGVVLLQLSKSAKDVPDAAVFKGDLDQVREVATQEES ETEPKADSIRGAAAIVRQLSSTRRKGEEEEVRRYLRERNEDLKPLGENESVEWDGLRR RKTVLGDARSYTPGSARSTLPPLGMSHFPEEQGQDTDRPNRSFIDGIRSRASSLLHPQ WRPINDDQSALDEKSYHGAMPPDTTDFAYARSPTPQTTPQPVYSGGKRQFSFNTVLNR LKSRPSSPAHGILRATPTSAEKEALKNATEEERLGLVLGGDSHRPEDDELNERLARSD SVSSSDSSLGGGQAMMDRLHQSLDEAARIYQSPKPKQHQVSVSTVSTALGAPFERNAV YPLSCSQPPSYSTLPQSEAVPSQQQPAQRSNPLPPVPPESPLVAAGGDSHMRVELRSP YSPPGSEVSSRGRGGWRREDY ACHE_50313A MPPKQPPQPKNQQIFDPWSSASTGHQRADGPTLSSTTAWRDSRT EKLRRQFVFGDCNADHDGRERGEWVMMNKEEWKGKAKEGRDIRDFMGGVQKRKSDGLS EMETGGKGKILRGDTSNAATLMPAPAPTPTPTPIAACTSTHDANRRTAATTAHTETDA VTITATATHANAATPTPADADASTTPTSSKKILTGTTIYLNGSTMPLISDYKLKHLLV SHGAKLSLVFARSVTHVIIGRPNTGANRGAGGGLAAGKLQKEIEKCGTGVKVVGVEWA IESIKAEKRLSEAKFAIRLGKQPSVLGMLR ACHE_50314S MKPTSIMEIAVLALSAQATAKPTSCSTVSPVTLTFYGWPDNDPP SAEIAYDCGRGYKAGGNGTYNNPLTAASAPGEFDECEIVYLPYIQRYVRVEDTCATCT TNWNNKQHHIDIWIDSTDFDEGGKVTDCENTLTPKHGIRVIRNPGEKFRVVEEPLYLD GKCYTELAGYSNTDSCADAGPGPFDVGDGHEHEHEHDQHHEDDNGDETTSTAKSIYNS VRDSNLGIRILVLTVAYFLFQ ACHE_50315S MNHTPPYMDVHSSHLSSAQPYASQAAAAGGISHYSYPGQPPALQ PASTTYGPASSYSQYAYPGVTSPQSATQPPTTSMTAAQLLPLPAVTNPSVAPPYGNTS GSPAQGYVYDTTGQIAPPGAKPRVTATLWEDEGSLCYQVESKGVCVARREDNHMINGT KLLNVAGMTRGRRDGILKSEKVRHVVKIGPMHLKGVWIPFERALEFANKEKITDLLYP LFVHNIGGLLYHPTNQTRTNMVVQESQQRRLEGPQLTRTPGPQPSALHHHHSLQTPVP SHLPPHSMASQAGRPALDRAHTFPTPPASASSLMGITNQNSSYEWGSQVQSSQPLSID TTLNNARSMPATPATTPPSSLQGMPYQSSQTAYDTKPYYSAAPASHAQYAPQHPLTTY GQTLPSSTYVKSEMGPPSARNPPESETADVKPQTNGQSTEAVAEQESEYVQDNGSYSS ANRGSYTYTTNPSVSTLTGEHSQLNPELSSPSQQNGSGRMTPRTGGGPPPQWAGYNTP PRPAGAGSLYNIVSDTRGTPANGSESYSVASNSAPIYSAMNGSLSAGSKRMREDDDVD QVARPDSRGADYDNKRRKTITEMNGPLAGPPLMQPMKAGGVRH ACHE_50316A MSQSFTPADVASHNSPDKGLYIIIDSNVFDVTNFIDEHPGGAKI LKRVAGKDASKQFWKYHNENVLKKYTPKLKVGEVKDAAKL ACHE_50317A MDPDMDLNMNSVNLHRKDTTKGPPLRVLSLDGGGVRGYSMLILL QELMYRTYVESEGKAPRRDQIPKPCDHFDLIVGTGTGGLIALMLGRLRLDLETCKEVY TRMTKRVFETDKTFAGIPYRSTLFKASKLEEAIRECVREHTVFEAEGNDITPASSDRN SIASAPFSPNSLNSIPQRTVSRGSYSARGPSHPSTPVSQRNSTFINGLRWGNPDALLY DNREYRTKTAVTALYKGTSRNGSSVFLRSYDSRKEPPPEFDCTIWQAGRATSATGLAF KPIQIGQSFFIDEGPGTYNPAPQVLEEAAVNEWPGREIGVFISVGTGKRPPGTNNRQH EWWEDFFGDALGVFAEARRRLITKIEGCEGIHKDMLREHLAKRNVSKDNYYRLNVEVG VGEFGMNEWNRLADISTNTRRYLSKPEVKKMILSAGVKFAKINRMHQRLSAHAAASGT SDTSSIQEDINLNLLSPHSPTYGVPPPSNPMAVELPAELPGDFTPLTTAGHHAPSQSE DTLPAHPTPQDATHPHPARGSGSDISSFQSRSRPTSQQQREQHPHLFGSSPRHSGDYL HEGMGMPPPVPPKTPIPYPDDPTEVGGIMMPVPDTIVSGNGNGKVRPPYPVDEPPPVV NKQRKPSYHVR ACHE_50318S MPAFPICDPPTQPLVPYQDMEDTGLPFEAALQRLRSTLTEALAF FNQLSYSFIQDTKTVSNYTKTPILDQIWRAKVAAAKHGLPQKQLPESTWQKHKATLKA KIMGSDDNGNNYVNPCAMTTGFHDYIKRIQKDLFETIHARWPRGIKTGLEPEMTFNIA LICLNYMYHRMAEFASNLQRFDKEIQHSHSVFRGFVREAELLQISLEQNEEYWQH ACHE_50319A MSSFFYSTKTAEKEHYPIPEALNTPYVLGVLHDYSVLAQVLWPR QVLEEKRTDLSSIASTIGSSDSTAKATLTSQGNGIVCTGNMPLGVQFIVLYRIVTSQE NSRKENTGKTEPVGAESASSLLSPTTSPTEPRLHLEEKRIWLWFWKNWLRMVWI ACHE_50320A MTTGQHSSEASEASVHTPAETPIRHAPGETPSLWLERRDSSSTP SSISPTSGQNRSFSPDMNSEDIEDVPEDEGLRPFDPRRFTPTLHASLVGEILNLRREA ESKSKSIDILERSLDECRAENEDLTASLSQSSKEMRSLKHQLKLLEGGSSSALTELAK ERDEALENISDIRKKLEQSQKKARSREEDVERTQSSWDCERESWDNERRNLERKVHVV ENRLKTVLNELAAQAARSNSINETPKAESNEHLANHIRDNSDTASIYSSSQGRRRTSM TSLSSDEGDMHNVRYSVMSLANIQNKSENSLNLAQELEFDDDEEFVTFDDDSLSDSPG ALPEEARPASAHSQMSHTVGMKARKILGLGLESNRGSTDGDAAQGSIKSPTKIPLPAS PHVYRDVGIQYSSPPSPKAQSLPAVKDNQSKDSSTLTLTIDMVSASCQTVGDLPSPPY TPKLLESPTSPQSIPIQFTMVSAFTQTESVPSSPPQTQKKNSLSPQDALSPGMEIPTI AIHPPLSEPPSPRSSVVLPPQTKSASCQTTPPTTQGNSIAIQTEEIRIDQRPVKLPAS LLPSAIPDIPLRNEARVTQSQPYRMSMPRPSKEEKRPQPQHKPMPSAERRSSDSSERP LGRIQVYPGNNDNGPLSKGSKPGMRRPPRTSSLFAGFENLSDEESFDKKMDMFSDDEL MNRPTATYTLRRGKMVSTQHRPELDNTILPEIEEHLSDAKMQLESSMNNDGTESIASS HRKGSGARHQDIRRAAMITSSAAAHQKKRAHSASDPSTDSGSATSIAPPFPVPIRHSS KRFPTASSDGRESPTPSYNSRNFSDRPRPSINRKPTLRRVRSATTVSQGSQPDVFGSF SSPGVSMSSADSPRRPSLPFDDIIEDQPHPAQRRQSIWRDVAYGGVNSVNHGNGSGSG SGSGSGSVFDRERQDSTATSIQQTSVVDAIAQTMIGEWMFKYVRRKSFGNSSEPKENW EGRNADEVSANITSSGVRHKRWVWLAPYERAIIWSSKQPTSGPALLGKSGRKFTIQSV LDVKDDNPLPKGSSPQSQFNRSILILTPQRALKFTATSMERHYVWLTALSFLSHSSMG LDEIANLPPIPQAQANASPASTGSIRKNPIRDSFKAAKFSRAYPKRPFASNNKPAPVP EDPTDDNDSLFDAAAPPTVPMFSTNKHSRKRSNTGPRMPPSSMRNFSAMGPASNNTSF DAIYPPSIPTNGLNSTRTSISRRTSEASGLSSSVAGSATGNFFDAIGTVRMEAFIDQT DANRPPRGSTRQSQRHWRKPSSSQWSSALSSGYGYPQPRGSFETFGSKFEDPFKGF ACHE_50321S MSITNPPKALFFDVFGTVVEWRTCVTTALSQAAHHALSDPREDL PATTRARASAMTPADWKTVAEAWRASYSRFTHSWDPQAQGVFISVDQHHYKSLGELLE ERDLGDLFTEEERWELALCWHRLEPRADSARGLELLSSRFRTSTLSNGNVALLEDLVR HGSLPFTNVLSAEHFGAYKPSSKVYLGAAERFGLRPAECAMVAAHLFDLQAAKGLGFQ TVYVERKQEEAFSAEDMARANDPEKGYVDMWVGLGEDGFIEVARRFDIS ACHE_50322S MAGKMTLYKLVVLGDGGVGKTALTIQLCLNHFVETYDPTIEDSY RKQVVIDQQSCMLEVLDTAGQEEYTALRDQWIRDGEGFVLVYSITSRASFSRIQKFYN QIKMVKESANSGSPSGASYLASPITAPSGPPLPVPVMLVGNKSDKAVERAVSAQEGQA LAKDLGCEFVEASAKNCINVEKAFYDVVRMLRQQRQQQQGGGKSQDRRPTGLGPMRDR DAGPEYPKSFRPDRSRHRNKCIVL ACHE_50323A MRFSLAVAALAAGAAAAVAPQEEEQTVTVTEYTTYCPSATMSQL PQYTTSAGHSYSISRPLITSTVTHCNKCSSTPVPSSTIPVQVPVNTPEVNPSPSPESS PASSPVSSPAVTSSSSSVVLGGSSPTPVIPRPSSAEAIGQGSASSSASGSATTPSSPI FTGGASRAATGAGLGLSTVFGLVAFLL ACHE_50324S MLSRCGRQASRVLPLAGSSRTAPIASLASIRPGFHLSSLRAPAQ SRNVSSSSRDSQQSLLSASLEEVDPTVYEIVQKEKKRQKHFINLIPSENFTSQAVLDA LGSVMQNKYSEGYPGARYYGGNEWIDASERLCQQRALEAFRLNPEEWGVNVQPLSGSP ANLYALSALLNTHDRIMGLDLPHGGHLSHGYQTPTKKISFISKYFETMPYRLDESTGI IDYDALEKSAQIYRPKVIIAGTSAYSQLIDYPRMRQIAESVGAYLLSDMAHISGLVAA DVVPSPFTHSDVVTTTTHKSLRGPRGAMIFFRKGVRRTDKKGNSEMYDLEGPINSSVF PAHQGGPHNHTITALSVALKQAQTPEFKAYQETVLSNAKALAERLGTSLNDGGLGYNI VSGGTANHLVLVDLKNRGVDGARVERVLELCGVAANKNTVPGDKSALRPGGLRLGTPA MTTRGFQPEDFRRVADIVDRAVIITQKLDKAARESAAEKGVKNPGTVKAFLEYLGEGE EVSEIVLLRQEVEDWVGTFSLPWKDE ACHE_50325S MKIEKAPPHPTPPHPHPPKRQRSFQYDRRFMLLKVITKPDGTEE LRHMSVSKFPEMALFRTDLVFLDGDEDAANGRIVVTYTPPSDAVHMAGGKRIEVPLQP DVEELNLREVQVTLHGSPTRAYDMGSKYNDSFSGCFGYRVVLVYLGSHSRRVLGSFAP LKRRTSNNWAGFGMDLGLRSPRVLIEGTAVVMVLWLVLVYGYGYGSISIYFLVSAAML RAFLLFQTFTPTSSRAGKKEREEAYITFADCAPYLITSMTSLDNVSARLQDKTMDMTK FRPNIVISGAEAAFEEDFWTELTIPNKQNKVENRLLLTANCVRCTSINVDYTTGKMGK GEEGSVLKKLMKDRRVDTGARFSPVFGRYAFLPTATGNEEAGDGMEVRVGDEVVVSGR AEARSVYDWPGMGKG ACHE_50326S MEGYIRMRRERVSESHHQLDQLQLQLQSHLLNLSSKLAMAPVLK KYKAAAVNAEPGWFNLEESVRRTIHWINEAGEAGCKFIAFPELWIPGYPYWAWKVTYQ ESLPLLKAYRENSLASDSDEMRQIRAAARANKIFVSLGYSELDLASLYTTQVLISPSG EVINHRRKIRATHVERLIFGDGTGDTTESVVQTEIGRVGHLNCWENMNPFMKSYAASL GEQVHVAAWPLYPGKETLKYPDPFTNVAEANCDLVTPEYAIETGAFTLAPWQTITAEG IKLNTPPGRDLEDPNIYNGHGRIFGPDGQSLVPHPDKDFQGLLYVDIDLDECHLTKSL ADFGGHYMRPDIIRLLVDTNRKDLVVHEDRVNGGVAYTKTIDRVGLTVPLDEE ACHE_50327A MSTTKLDYEDDDDVKAQVIHDEHGVDQTLALDDSIEETNPGKGV WLIACTVSMGGFLFGYDTGVISAVLVNLGTDLGHTLASNEQELVTSITSGGALVGAVM AGLTSDRYGRKVGIYAGCVVFLIGSIIQAVAYSVAQMTVGRFIVGLGVGSAAMIIPLY IGEMAPARSRGRLIVFDNLCVGFGQLVAYALGAGFTEVTHGWRYMVGLGGIPAILLFF LLPLCPESPRQLIAHGHEEDAVHVLGRIFPNASDEQRQAKVRVIRHSIEESSASISDR SLWWQLKQLFTIPANLRALTTACAVMAVSQLGGFNTLMYYSGTLFSIVGFNKPTVVSI VVGATNFLFGFVNFGVIDRFGRRTVLLITLMGMTISLVIVSVAFNYIPLTPDLEPQEG NSMNWAAILLLVFIIVYIAFYAAGVAPISWVGTEFLPLEVRALGTMLNTVTCWACNII ISSTFLSMMKGMTPSGAFGFYAGMCALGSVFSVFCYAEVHNMPLERVREVYQHGFGVR YAKKMQAELKREREEGIQRMNKDVV ACHE_50328S MWSMWLLAPYASFLLFASFIYFIVYPFFEYIRDPKGLRRYPNMT PFSGMSAIPFMILASRGFRSKELSEMHKKHPVIRTGPNTLSYGDVRAIKDIYGHGTKC IKDPSYIVTAGTHYHLADVIDRAEHSQKRKVLSSAYALKNLETWEYKVTDKLERLVAH FDKVCTAPASEAVIKGKVAPDPQDITLDFRAWTNFFTLDAIADIGLSEKLGFLDTGSD ECIAETKDGKTYKVNLRDALYPNAIKQSLILWNYDWYPILNKLVDVIPYFRRLQEKGK HWDNIVWRRSIERLRRYEAGEKLDDFFQATMEDKNGRPNNLEWGNVVSEVNIMMNAGS VTTAVAIANVMYQLLKNPHCLAKLREEVDSVLDPDEVIAPYDKVKHLPYLRACLDESM RIFPPTSHGLPRETPPEGLEILGQWVPGKTSVSMSAYVAHHDERAFPNSKQYIPERFM GEQGKAVQPYFVAFSAGARSCIGRNISYLEQTKALASLVHRYDFALSHPDWELKRLES MNLILGDMPVKIWRRELAA ACHE_50329S MTAQWPSDFAQLAQLLRPTGVADYAALAFMSTTYAAYLSRGLLW DQPDPLNYLYFQRPQLENGGSANVHQETRNIAQKLEETGKNIVVFWGSQSGTAEGFAS RLAREISMRFRQETMTADLSDYDPATIKLIPEGKLAIFILSTYGEGDPSDNTTEFWEW ITKFYNRVVDVVGGGLDQLGARALMPVAKANDAEGATQEDFMTWKDDLFAVFRNQLGF HESEPRYLPILQVDEDTSLEPIDLNHGEPDNKQASKTSSPVRVLGIENAKELFHNSDR HCLHIDLDITTQPELVYKTGDHLAVWPSNPDIEVDLLLQALGVSSERGQTPISIKSLD PATTKVAIPTPTTIDALFRYYLEICAQVNRDTVLGLAQFAPTPEAKAQLLHLGQDKAA YADLLNRNYITLGRLLHFVCTESNNAWSGIPLSFLVESFRPLQPRYYSISSSSVIAPR KPSITVLVSSSPVPENPNQLVHGVTSNYLLATAKPETHPYGLTYPTDGPNNALRLEDE GKTGQKVFAHLRRTRFKLPIQAAVPLVMIAAGTGLAPFRAFIAERRQLRSIGKPVGEM ILFFGCRSPEEDYIYREELEALAKEDKEHGGIGDCLRIVTAFSRYQHAGEPRRYVQDR VEEYSGDVVQLLDQGANLYICGRAGMAREVEKVVSQEMRKAKGWAEDETNEWTKAIKR KNKWQEDVWG ACHE_50330A MPPNNKKKKKPAANPARGFATTSIPSKPKPESATSTPPTAATTT PAESKPTSAAPETDQATPAEGAQPSETQTRDTQSLQQYTPEQLEKHLEDAELQLLVEK YASKCRNDAARHVTKLETERRVMRQQATSSLNVLEWLPTDVLNRILNLVETEECELSP QPGAKRTFSEEDLYMKLWTLKETLIRLGFPETRVDEALKHLLLYFAGNPVPTNRDALW NLDEILDWFALHCDPAELPSYARTSAQLPKDSDKTISWITEGDQPKSGPAQDESKWVK PSKPESKAPTPPALDYDSDSSLEPDTLVPKYLELQTKLYSLYPDLYDKPKKGKKSGRD NTDSGSSDPQIAKVQRKISNIEKDVLFDREEAEYKWREKLEDLKKEASFFRRTQREEE KPPPAEDQEQAEETKPESELESDVLVAAGDNENTDLLGDMFGAEEPELESGVILEELS KATMYVRDFGKFTGLSPRRVLEETCKSRDTACKIIFKDFSASSYQNRKAIEVRWSKPQ EVPFDLSLDMVTNKSSAYATYVSMDNIATPTQQQAEAYVSTLALFILFPQNSKEGKAY MRLPAVWRDLWTELATVKKTQEDEIDKKTVTWLKQLVQENNGGFEDDVVLSDNFRRRN GTASKPETPVKTVPRESLGSNDQIAQAWMEKASTPSFHHMMQGRMNLPIWDFKEQILT TLDTHRALIICSETGSGKSTQIPSFILEHEMQQGRPAKIYVTEPRRISAISLARRVSE ELGESKNDVGTSRSLIGFAVRLESKVNQSTRLVFATTGVVVRMLERPDDFQDISHVVI DEVHERSIDSDFLLIVLRRLMQRRPDLKLILMSATLEAQRFSNYLGGVPVMNIPGRTF PVEMKYLEDAIELTNYRLTENESNTVVDEDTEEMAENSQGDAGGVQSTLDAYSKQTRE TIQNIDEYRLDYQLIKRLVMKIASAPEMSHYSKAILIFMPGMAEIRRLNDEILSEPAF QQGWIVHALHSSIASEDQEKAFNVPPAGMRKIVIATNIAETGITIPDITAVIDTGREK TMRFDERRQLSRLVESFISRANAKQRRGRAGRVQNGICFHLVTKYRHDRLLSEQQTPE MLRLSLQDLVLRVKICKLGEVEPTLLEAVDPPSSKNIRRAIDSLKEVKALTNTENLTP LGTQLAKLPLDVFLGKLIIHGAFFKCLDAAISIAAILSSKSPFVSTMGSNSQKEVARL SFRKGDSDLLTVYNAYCAWKRARSTPGSNEYAFCRKNFLSSQTLLNIEDVKMQLVVSI ADAGLLQLDASQKTSLNRARSGGRNRQFFTIPEEYDINSSNDTAINSVVAWSFYPKLL TREGKGWRNVSNNQSVTLHPTSVNKQADASVKWVSFYHLMQARNRNYNAHETSAVDDF AIALLCGDAEFKMYSGVISIDANRIRFAVRDWKSMLALKVLSARIREILAGTFREPQR RLSYKQQQWIEIWQTIFSQVKQ ACHE_50331S MDDFPWQEVKSGDLESLQVLISSSSTARRVRALQELRDRAGTEL SQGSHQDLLELLFRTYPLYVDRPSRHAVQECLRSLLKAQPPTDSTNDVEYLIRRLKTE TSKPGLAATSAFVLVEWCSVLLQHLMESETPLEPVLDIIAADAKALEICLSANPKASV VQSALRVTRRALRAVFRSTKGDDAVRQSVSRLTSDSTTGQKNAPFLGVICGVSARLAA QKPVLEEQKKAITAFYAKELIGSRSAVPSHIANGLSDFFASFMTYEDVETELIPPFEK AILRAPEVSLGGLIPALCAALPEQIDLSDILLCRLLKHLVSSLKSNNPAIRQGAVSSF QSLLAKSKAEGSLLKIVSEVVSPLKTQKITNPDHRAVFAQSLAAMLPSVALSTEVVQG LVPVFARESNEPALEQEIKAFSKHLAFLVKSAVKVNDDVINTIVKGSSDKRIPFRKLW QLNVGEVLWETDSTTQASSEVQPLVSKFIGKMKDMFNEVASNPVPSAQNGALSTAYVY LALLERTGSDSSTLETTVAQSMVLNPKPSFLLNPRAYVKLASQAEVQWAVRALAAVAS SSKFEAAEHAAKVAWAEAFIYAITSPGLHTNFREQSACTLSDVYLKKPATTGRIVIDG LWAWILSFRTSEKESAPVSAGPGSEGLLHLVTRAICPPASSLEEGHASELRTQLVELL ILCRPEMIPRSAWIPLCLRTGTDPGDLVRELPDEFMKQLSRVHEDPVQSKVPQIDAAI WSAAADLAFVAPDTMIPQLVEQIKDDLSAERLSKFTPTDAAIARTPEGTMFVDVLSNK TRQLDKNTKDYDTLKWEEELRAQLAERKGQTQKKLKPEEQAKVKAQLAQEAKIREEVL YEVKRIERGAGIIQGLATGPATDVEGWINPAVSSLLGLMEANAGMFVGDVVSKAYVSC AEKLSTRLATLRQFVGVATLRAIGRTYLPPEMEVEPLGELIARILYRVRFASEQRPLE EASLAYLLPLVFQILNRNGIEEKEEGEGEQVLLALEVLSFHSSSFSDNRLPRVEVLKH LISAMQRYSQHYKLIKDTLFDLCRCISANIGQEELQALLEGAIVSDVSVRTSVLQAIN AEIDLTDLDFSEHIWLACHDHVEENVDVAETIWEENALEVDDSSYGKLIPYLASKDSQ LRGAAARGLAHAIELDPSKFAGILSELQAKYEDETRPKEPEKDKYGMPKKMDTTDIWE FRSGIALAFGAMTNGFEGDQIVSFLRFLIERGPLIDRSSTVRDQMAESGRSVIALRGQ QKVEELMQLLETTLETSDKATQQSDLLNEAVVVLYGSLARHLKAEDPRLQTVLKKLLA TLPTPAESVQSAVANCLPPLIRLSASETSGYVQEMLDQLIQTKNYATQRGAAYGLAAI VSGRGISTLREFQIMSHLKEATENKKEPHQRQGAVLAYELFATILGRTFEPYVIQIVP QLLTLFGDMSIDVREACLDAAKACFANLSSYGVKKILPTLLDGLDDTQWRSQKGACEL LGAMAYLDPQQLATSLPEIIPPLTVVLNDTHKEVRNAANRSLQRFGEVISNPEIKSLV NVLLKALSDPTKHTDEALDSLIKVSFVHYLDAPSLALVVRILERGLGDRSATKRKSAQ IIGSLAHLTERKDLITHLPIIVSGLQLAIVDPVPTTRATGSKALGSLIEKLGEDALPD LIPNLMATLKSDTGAGDRFGSAQALSEVLAGLGTTRLEETLPTILQNVSSSKATVREG FMTLFIFLPACFGNSFATYLNKIIPPILSGLADDVDSIRDTSLRAGRLLVKNFSSKAI DLLLPELERGLADDSYRIRLSSVELVGDLLFSITGISGKAEAEEEEEEATQAGQSLLE VLGAERRDKVLSALFICRCDTSGLVKSAAMAVWKALVASPKTLKDMVPALSQLIIRRL GSSNMEHKVIASNALGDLIKKAGESVLATLLPSLEEGLRTSPDVDVKQGICIALRELI TSASVDALEDYEKVLISTVRVALVDNDEDVREAAAEAFDALQQILGKKAVDQVLPYLL HLLRNDEDAEQALSALLTLLTEQTRANIILPNLIPTLLTPPISAFNARAIASLAQVAS SAMTRRLPTILNSLMDGMISTEDDEHRQELSNAFDTVLVSVDEYDGLNVMMNVMISLL KHDDHKRRANAALHLDKFFSDASLDYSRYHQDLIRVLLISFGDSDADVVKAAWTALSG LTKHMRKEEMEVLAIPTRQIVRQVGVPGSDLPGFSLPKGITAILPIFLQGLLNGTVDQ RTQAALGIGDIIDRTNANALKAFVTQITGPLIRVVSERSVDIKCAIFFTLNKLLEKIP LAVKPFLPQLQRTFARGLADTTSETLRNRAAKGLGILITLTPRVDPLIAELITGSKTP DVGVKNAMMKALQEVVGKAGSNMSEASKNAILGLIDADTSDQTDSVAITNAKLLGALV KVLPAATAGPLIKNRVLVPQPSHASVLGLNALLVESPSSLIENFGAETQAAICQGLSH QDTFIADNSVLALGKYLLVEDEHRSFEANKTVFEALASCIKPGIPSDTRRLALVVIRT VSRLHPELTRPHLALLAQPIFACVRDLVIPVKLAAEAAFLSIFSVEESESAVFDKYMA GAGAELPPGPKRSMSDYFKRVALRLATQARERKEAEGGHGGLGLSNDEMDDEKEVWSI GKVDLGEAAFDE ACHE_50332S MISNQLRLLVGKPNHPVYLKLLTGNSTRSRVQRTPSANDRRYAD IKMVNLRTQKRLAASVVGCGKRKIWLDPNEMNEISNANSRQTVRKLVSDGLIIRKPVT MHSRARARELNEARRIGRNRGLGKRKGTKEARMPSHILWMRRMRVLRRLLVRYRASGK IDKHLYHELYHLSKGNTFKHKRALIEHIQRAKAERLRERTLKEEMDAKRAKNKALRER RQERVESKRNAQAQGQE ACHE_50333A MSSPSVVFNRGLRCAKVKRVSIPVSVPSASPGPLSPLASTSFPL LATPSPQASSALSGAYSTPSTFSIRPSTLLGPPEKIHPTISLTPEVQVTSPPNVGVLP PTPVASATSSTGSKTETDTSTPTVDSRVFGASHSASSSISTTPSATTTAGTGSVGDSS TQKTDGSNKDNLPRVIVGSILGVLGFIAFVALICFLLLRRRRRMYGDTKSLSSNGKLS RIDRSSVPTLTSWEPRHRSVFSFHSPPSGQSPPNRLQPTPTPELTPPKPTLFHNPFSK STEACIEAQLDHRGTPPNPFADPTFQNNNKNTRLSPTWKQVRLSKPNIIEWQPQGQNS LVTPRSLYGSDHSLGSTIILPGRNSSVGSLQVINYRLSSPSATSPRIIDLSTRRVSAK SARSARSDPFDLEVPMDAVHTRA ACHE_50334A MMELYLQLQNDNEKPLSIGMLKRVQEHKETNVDEQPTNVVLRTP RRMMQMEQLKLDSSMLRAAGAASAIGAMNGIEEEEEEEEEE ACHE_50335S MFGLFGGLGLPVGTDPERERPPPSVPTPLDFPIYNLPDSTEEDA ESALKDLYNALVSIKRPQDITSSRFRAFNLKVEWEVPASRIVRHDTTSSVPPLPWEDN ASGEGGQDGKPALMGNDNRYPDKERFETLRNELLVENDDGFREVSRMPPREGRQRVRI AQTRKFWAGFERMALYWDTSLDNYFERPSTPKQQPEDKRDKMQMDNENTQISERNTTM DVDQPAQTNGSNTNNDSGSRTPVARYTGRRVGAGHEMPEDAREETVRAFVEMAAWPFG CQVSIPTLPPRLAIKTLLFPVRMSFEAGRSPKDRTQARSGVLEGPVFVGQCRAETAFR TPEEDPGSGIGDVGDIFREVGAMLLAAQERAREGATDVRPGEGQWWTTVPRWGGGPND GPEGEHHAEEDSSNHEKEKPHKRSKYDHPFLAMRRPRRMSNAERWSIVQPGQSLWEKK MKYMQIGKTVGSLYDDIYMVSSINHHISILHLRVHRRYLEIVTTGESDCTTESDGDQP WYELKLRRTRWYDFFEAKDRVEAFEGIWRIFHYNLRRV ACHE_50336S MDIMATEDKKQPLSYSAAPPPPSMHRMPHPMEASHAPPPPPSAA AAAAAHGLYHEPWRTAPPAYPPPTFDDRRASNPPQPPLPPPHAYPVIPNRELPQLPPD GPYGRPNSLPGPVTTPPDAHGPPQPPPNYRLNGAPPHEPPPHSAPPDYRARLPYPPPE QPQNGEPVPAHSIPPAQYPTPVPAPIPQTPTPFDPPYYQSQAYGMRQRKAARAQQACD QCRARKAKCDEGRPSCSHCKENNLPCVYKEVPPHKQEKATQLLLDRMQQLEDRLEERM TQLQSIQVEQGSQLSRILAAEAKMKEARVVSTKEPVRPTSQKQAAEPILKPDMPDVLR EQESKEESTSFVVGQKIDAAENVEDGLKDDDDGELSIPVEHTTAAHKLLMWPSIKSLL FPREYDEDYVMKLEEGRGLIRVYGRGEGDDTSEESVLPNLSYMASSTIPNWDEPPHSN NGSPSGGWTASGAAAAPAAPSSSSSPLKPLEHGIEESGVFTTDADTVRRLHLSYMDNL HKLHPFLDQNDLEKKLEWFIRVYCPPGPSNPGIPAEYPRGAKRKRSCDTLHGVACDVP SPATVKADRASPRRIEQSVDNAVILLVIALGSICEWKELPVPGSVTDNPPDYRKEQIP GPSLNRSLLSPAASDSALPISNSFYAPTSSQPFNSPSVVDGRRSIGAQSSNREGPDNR HLRNMDVIPGLAYYGYATQILGALQGANGLPHVQAALLAGLYAGQLAHPFQSHGWIYQ AARACQVLVRSKRYEQMSDGPLKDLVDFAYWTCLQLESDILAELDLPASGISRLEGRI SLPKGRFTLSLPNEICAPSTMMMFFYSAQIHLRKVLNRVHTDLYKVEKQGQTRWSSNV QEILSMNLQLWRSSLPEVMRWRDGDPPSKDINVARMRAKYYGARYIIHRPLLYHALHF AGRATSVDSPGAAPAMSGSRSQQMSPSMASGQRSTNMGRLPSDMGSAPVQRAPGTTPG WGYTSGYTYRDLPTKLRRACKVCIDSAILSTEAFDGIEGRPVVTNIFGTAHAYVTTAL RESNLKLTGYRQFGNMLVLSATYMSNLSELVDRHILERLLRRTIRFLLQSRYISPSLR ADAKILTEIYEKIFSESPGSFTT ACHE_50337A MPDNIRKCATTPDSSADESSTSRKKLAHEEYTVGWICPLEVELL AALEMLDEEHESLPQQRSDKNVYHLGNIAGNNVVIAGLWIPGNNPAAVVVTRMRMTFP NIRFGLLVGTGGGVPVKTEYGKIRLDDWWSANRPV ACHE_50338S MWTLREGFTADVCGKLIQRTLLSPWKTLPLLLLVQFTSHGRQIA QQHPRVLYTLQGLASLAVLRRLNAWINQKVLNNGASDQYDWTQEIVVLTGGSNGIGRQ IALLLAKRGITVAILDIEAPSKETEDGDKIHYYECDITSPDAIASAATGIRSSLGAPT VLINNAGICTGQTILSGTEAQTRRLFEVNTLSHYHIAREFLPALITANHGMVVTVSSQ SGYTTAPNMVDYSASKAAAVAFHEGLAAELTTRYHAPRVRTVLVAQGFTRTGLIRNIT PEDTWFNPLLEPETVAEAVVDAVIKGRSGRVVVPGASGWLACNIGSLPLWIQHWTRNR LERLMRAC ACHE_50339S MFKGLMRRSSSSSTPDGRSQSGSNGKKSSGIWRKKTDSKSKAAP RGDDRERSLGDLSADPAPSSSDRRSYEPSVASESVAEVDRDARGARRRDRERSSSPEA APRGLNGNLSRSRHDSANEESNPWRGPQRWPPGDVSLPPLDTGAAHTTSDQFAADIAS DGFSQFPMQYDNNHNYDSPNYNNPVSIPPLLMPGQSDPSPTSPAPFDPHVPQQFPGQF PTHTAEPYRPYNPAGEAADYYGDQGQSVQDQPGVRPNPPLVIPNSQAHLMPASPVVNP PPEPSSMGQLGSAAEFYAESPDVDNPVPQQQPPPEPSKPSKPNKPSAAPAGIPSGPPS HDTPGSSVPPEEPGLAGIQPVGHTQNSPTQSHSMGPTIGAALGAAAVGYMANHHHNSS ATSSEHPSPSSYTQNHEPHSPIGQPGPAIYPNPALNNPNYASNPSHPDHQAVYHSSPF QSGGLAFQQRQRGALDKFMDFWKDHEGVGMYEDYTEAIGVCKYCFEPGTTSKDAPRKH NYRPRRRSSDRFSNDSRVNKSSRYSSPSDDESRRRGSSSKKSWFGGLLSGYAVKSLFE SPDFEDSYNVRPSRVSSPRSSFSSDSESDSDHKSKPSHRRRRSSLSQSPGGGRRNSYS DPRRSRYEGPRLRSRSRSGSNSRSGSSSRSNSALRDFALGAAVGSVASAATSRSQKKR SRSPKRKGKGRKESTTEESSSSSYLDISRPTTAGSLGSFFNTPSENKKKPRPKSRKGF FPFSNNNSSSSSLDDDLAFGSAYTKKPKKPKKGKGKKKDEDVNAKLLELGALATVLAG STQGRRSGEILAGRASRRPQSAPSAPEDDEWQDCSEGQESSVSSALAFGGSSSSEDSS DSGTSKWNWGWGSKKDKKKNEQRRDSPSHSEFPTGSILAGAALGTAALASGYRKEGEH FSSPSSSSSESLQHLDPVPASDPPQFNTGSFPQSPPVKEPAFIPTGPIPLQQPQPVTP VSQAVYSSQGEPVPVYNAPTVPPFFPPDAFYDPGSGRQVYPQRSDVSTNWNRTHRRRE SSPDFYPGPFQDFTTPSYKRRPADQASVQFDLTEEQEDKERRAARRGRERRDSDRDQG IQIRDHESEEENRKPRRLERLELEPERGRSRSRSRSSTRRDRSPDRRRRGNDDSSSWV GPAAAGAIGAATAAAAMSSRAPSESASESSQRHKERSAKRRADRRLPSSEVGWGQSSV EPARADIDERPQPRPEPARVEPKEEPRTQPEPADVDIEDESQIATEDQPRHDRNSASR VPSTKSTHESYATFFSPEGIGHSPDAQTGRRDKASISTIPRDEPESPSQQDIEPPSQY LQGHPWSIPRLNLIEPTPPHSQNGSVRDIASPDPAAAEPSHKEEAEARRHEQGSTTGS RVTWGGNETREYEVPETSSEQDSVDYEIAHEHEPDDMQKDSIDHGTARDMQRGSIQQE IEFAAILNATTKDAGFDPAILPADTYYDTRSSSPQAEHHEFSEPEPSLQKHEPHGAGQ DEAQTPPREERKPEAMDAPEVKRHRDAIERFNASKAKEIMPGSFDEEPVQPTEDPSRP VAEGKRPKDNDRPPRRRSNRDSARDDPRKTAIDVSRPEPIREEPQSEKKQGPVNNDVP SKEEQNVNVPGEFAPTVLAAFPPQPIGEQPRGKGEREPVRNESPWEDYHQSETPRETE RSVSPPSPSSGYRMSQDDLNKSELVLGEIPRETERPVSPPSPGSGYRMSQDDLNKSEL VPGEIPRTPSPSGYRLSQDDLSKSELVVGEIPRAPSPTGYHLSQDDLSKSELVVGEIP RAPSPTGYRLSQDDLSRSEFVLEDNRKPVPSREREAAPAVSRLPLRRGRRSQDKLHSK NDNVASSEKGPKDAVCAVSVPESARQERRSQDQSHRTNDSLPAGPNPKEANEDHPKPA WASKSDNPDSRERGQFTSQQQQQRSQRSETTTRQGPKEPSPAVTSREQPSEEYKGKDE RDVAPDEGRKARGPKDTSPAPRPLIWNEPRSEDGPAPVVTGDAALENKPQPRTFEETL SDELVLAPDGEDRRRRDEPDSNDDNVPENGQGNRDEEDINVQRYRFSRQQREERRRRE EERRRQEAEGIASPNGREEEYEKARKDAEEHEPARSLESPVKIDDTKDNTEDSRLPFP LSVPDSRLRSRSISPPGSAKAIFDVGPKSRSRPTSPELNQQRQSLQANDGDRSRRLSM LDSPTAIPLQFRRPPSTSPTGRRSPSVGSPEPPVMSLSSPPERRARRRSMEFVNSREI MPLFLVEQNGQHQHHTEEQLPSLPSSKSSSRNTSPVEDLRAAPYEKGLEVVEPTQDGQ IEEQSQSEPCTVIPSQEPALSQEEQPKADLSIPAQVSAELEKEAEKEISRDAEAQEPI DEPFPPLPSSHGSLNASVENLSAVPDTQGWKVLDLTHDVHSKKLELGLTTPQERTGEE KMEKKEQAEKESEKMEVHEPIDGPLPALPSSHGSLNASVEDLGTVPNEQTRDTVNFTE DIHPNEVKSSSSTPSRERIGEEYQKGKDESERDIQKVEVQAPIDEQFPPLPSSHGSLN ASVEDLSAVPDDQSQNPVDLSRDVQPEPSVSTPALQSEDEHQPGPSITIPSQEHFGGD EAEKGFSEKAETQEPIDEQLPPLPSSKGSSLNASVEHLAPSEEDWNQVDLTQGVQEEQ PEFGLPFQETVGDDGVNEKEMANVPEPINEQLPPLPSSNDSSLNASVEDLGVLSSEDS WNMMNQPDGQLKPVFSTTFPSQEPILDRDSQPEEKPELELSVTSLNEPALNQDAQFEG QPESGFSITVPSQEPALHETQPEDHHQPDLLPSEEPAFNHPEDKPKPELTIKFPSQEP LLNEESQPQEQFTPHSLEPILEEAEDEIQPDTSTTISSQPDLNRDIHLNDAFQSDPSN MIPQRSSEDGNPEKAKEIEKALPDSQQEPLVKGTTYRDSISPEAREKDTSDWEVVETI QNALPEQQVEPEFPKTAPSRQPPLSQDVQPDQPRDLSVAPPQEAAGEEEPEGKGKEKA MTSSDAQQEEPTEARVKKDSPPPYDKHQEDEVVNPTQDVQIEPQRKPSLSVAIPSQEP EDELEEKYAKAREKDIDFHEFDTIDEEWTASEIMREREKHKHEFDIHSPAELLWDPHK YFIMPRSFGGMGSRSFGGSLAPRPYEPPKIQDDDIDISDADEDHFPPESGPSDLPEKE SSAPEPTDMTAPTQKEKFENLKDFAGVDAGEEQPIPETRSPQIREDKAVVPDIEVTPP VKNKIVPTVPVTIPKEEQAPSTPGFGGVVAAVAAAVDTRESQPDPEAQTDVKEDKPVV PDIEVTPAAKDETASDIAPTPASKEEQTRSNFPTESAAIVDAALAAATGSTNDKPVPE EGVARDLREGDLSPPAEQSTAFLDGSQQSEDGQEPYRTLSPIIEEPDLESERSQSVDL AHDEHTDPQESEKTESEVPAAEDMPVSRAPIGEQLPVPEMESTAIASETERVLGATAE NEHNTVEVSQEAQPSDEAQSVTTETATSRDGYAESTQDSGTDFWDAVEKHDGEGSIAS SLERPIDVSGPPTPREWRTETSFEPEVPAQDVQVSPVEEFSRSTPVEEERQDAGTPKP GNQLVERIGSTQAPIEAQNTNSQSDIARDEPQGEIEPTVADSEQLLGEFPEQTGQPIA NEPKSLVKSALEVTPEVAADIETPANATEKFPQARSKEEYEKDRFVSSLESVTETEAE HSQSADAAAGAGTFETSGEVPATDARRQSPEPAGAQDVEPVPVASENVPITPVEKSES NIAAPGPLSLEAKQTPASAPLLEPGTTQEDKQDVEGSGEESKHGSPSTTLDEAASIEL PSSPHAEVADVNIPAVTSHTLPSSVTPAEEPSQEQLIEREMPHFIAENESVAGADAPL TPAQKKKAKKERRKKRRSTSLDESVPAIPQDETASIDDITPVKAPSVEKDSDIAHEPT EDIAEQPRDDVPPADMERTEAPETSVEQEPPQSDAPSQPETTSVQEPVVEVEPTQEKA QDDIAPTVRTPGTTGEPIVTESKPAEKERDITASVEPVSTNPDHVEEAPKEDQVVGTN VTAPAQDPTATELSPTKDVPQDDPVHAQDQPPNAATTFQELSSLEKANEEAPGTEPPS RTNSKKNKKKHRRSASSEVEQPAPAENVTDAPSIEIPAAPAASEEATKEKAVESPVTE TQEHLGPIMSKKQAKKERKKRRSMIFSMGQSPDEPPVESAQPNAVHETPVQELQQQQP DNNSAVKSSQTIPDSASAEGFKIVDAPADTPIESVTPDFQGQVKVEEPREAPAQKSDE AIAQEAPQVNEKEELKSDLETQLDHPQSQSEARFEPKEAGLLTPTSEPTLHPEQTDAE DLPGNAKKKARNHRRRRSRILEQLAEAEAERERERAQQALSEGNPTGILPVTESKPTA PAADSDLWTDPSISSQIEQGREVPFASPSSEQVDDNQLVPALNEEPRAESSPDEPEAI AGPIEMNKGMETQAGYDSQVQPEEQRTQVSAFTPADEERELVPETAPTTEEKTKESRL TSRETAELPSIQTEKSESLLGIVGPIPANEPAATVDLGEVSEKPASDVVPSEQSSSIQ EESWNPQETVLAHDSPTAEPREESAPATPSRKRSRKEKKRARKRTKEKHESPTSPEGI LSESTTAFIEQPPLVSEQVEDTKLPQGDEQVEDPKVKVEDSETAGEKALQELVEQPHR REPIEPVEGQHTAQPLEDEQPLTLEKSKEVQEGQSGKFGEEQVTMQEPRSNDNVEAVN ESHAGKASDSASESSTSRRFKGVASIFPNLKRGTFRLPSKSQSVKDRAEDETTEPEVS RGFENEDVTRVSEAPITSQEGQRERLSSAEPFEDEPSFQLSTTTNPETTITDVAIDVE VDEYYKVSILSDGTTGESPAIEIDPISGGSAKSAEQSPDNEWSAHGPPSSDEQSSTVV DSSPTAVDTSREVSPSGRSPTKTPSPSRSLAPSPPLPEPRNRVEITDGARTTDRNGKP RLEIKPVESLRPRTPRSTSPIRKYMGNAWARQATKKHGEYEVIRPPVRQNSLPVARRP QTPERKPILRPSSMSNFHGAPNMQQAPHSPDVPRSLRRKSKTTRDMSGDLRAASRALQ EENGPQPPPTDINIERIASSSSYDPVTDKGKRPIRGMTDVYEAWGETPSSPRSPSRPP SVRHRRSMQHLQQLEFRLDQLISENRLLTVERDAAEDKLKKTTVARRKSDQALNSQNT DLRDRAEEVEELKKSVEWFQKEVNRLTEENEELTGEKVNLAAAHERELQEFQEFSARE IEELRTQCRQAITDTQDRIRWEVDEKNADLRRLREELQREREEVQKLQHKIASGLDNV LVLRDDEFFRAACHNLYVHVRHWVKRFSKHSDDLKYLKFDQLQEQKARKERLEEDPIM AARLDVDKLDRLDVDKLIDRFDNTILDGSDVESYLKDRVGRRDVFMSVTMTMMWKFIF APYMFGIDSEKQQKLNSLEEQLAEAGKLTAVHRWRATTLSLLSKSPSFAKQREIEIEA IMHEIYDTLGSICPPPKEKKEELHDSLRTVLQLAVTLSIEMRTQLDEYYMVRPLLAEF GANGVVTRRVHFNKALMNEHSAPDSGAGEGSVRLFLFPLVVKEHDDREEDKGPVVIYP AQVLVNRPAEFFVGRSSKSLDRKSSVNSSVPSLGA ACHE_50340S MLTTGHFDSHQPMMRPRRESRLAQSFKPGSLTAALRPDTARGNL SRSSRVDNDSDASDIDDRTPLMRPSSGQTSNVPRYGTDTFSSQLSARKRQPSVQTTSS RTSRRAPRSPTINDRDYDINNPPSMPTSPKTGPEMGYDDAVVTGTEFDFSLAKSIDNR VEAGPRDIVIDMEGPPNHSAPSSPPSLHRRPSQDALRRRRTVTLPVEEDVCFPTDVHS EAEDEHRTARNGERRRRRHREWPDLSILEEWMREEKEERHGDFRSKKISEPMLIEGRL RPQYQAWRREEDDAPYRFTYFNEEYQSTLHAQSISELIQPGGSFRELFIPEPPVLEDS SDDEDTDSEHGDGEHSHHDYNNNNASLNGTHPTFSDPFSNHNGGGIENHGNDVVPDTN RDSSKQRTSIIGEAVSEARNHSAEPPTVRSQTPSKPKKYGPRPTFWLDVLCPTDAEMR VIAKAFGIHALTAEDIMMQEAREKVELFRNYYFINYRTFEQDPNSENYLEPVNMYVVV FREGVLSFHFSQTPHPANVRRRIRQLVDYLILSSDWISYALIDDITDVFGPLIQAIED EVDEIDEVIMRMHSETERDASTNKDSLASNTVSDPPAPGEMLRRVGECRKKVMGMYRL LSNKADVVKGFAKRCNEHWEVAPKSEIGLYLGDIQDHIMTMTSSLTYYETLLSRAHSN YLAQINILMNERQERTADVLGKLTVLGTIVLPLNIIGSLWGMNVKVPGQDVDNLDWFY SITAALLLFAIAAFYIAKRVYNIV ACHE_50341S MAEPERSPYRNGIVSSGSRLPKEEDEAAAGDMIEVPQSTAIKSQ AKSPLLSQTSKISSATTTPGVSAPPSTDTSTFSSREPSPVRTSLRAGTSNSISQLPPS SPRKNSQDRSPPRSTPDQNPGPASSTVQQTSSQAGKPPLLSPPSGTESATNASSRDKS NMPVWATSRRPDQESTQPNTSSKRSSVPSPDDLTAKGDRSMPRTVSRGLNGSSSALET VQEMTSDHSSPSNGTILNQPLTEEPHLEKIDEDATPKANKQGVESGSESGGNKSSEPM DEKRRKSSTGAKGTGTIIPKRSTTSLSGNGARGKPTDGSVRNMIVETETVSSIPQVSL SAATGDRGHTGRVDPGTLRMKPSTETIRPKKEKKKARKPAPLPSGAASSKADIFEAKV ASAVDEADVSDSDETFVYESNPPDPYPVRQSRYHSRTPSATSMASQADQFGSRARPTL PSANHSVTGKRSMKFTNNTYSNSLDGDAGDEGPSNARHDGPASHTRHHHIGRYGRNNL YPSIFDSDSPFPQSHSYIKSPRHFVGSGYRQSRQPGSRGVPNYRTMGHSKKAGDMYGY DYDAEGADDERTPLVGSPRMPRSRHGGRRPNSASVRQMEYMHRRRGYMSRYGLCAAVF LLLFLIIGGATSFIVAITKPLREVHVAAIQNVLASEQELMLDLNVRATNPNLFPVVVD DMDVNIFAKSRFVGTDKFWREHGSELDDFPPVEPRSSEDPSDQLVHVTDGVDHGTDPI PNDPVGDPQTMLLGRVFRFDSSLAFEPSPWNYMASSSKGQIRLPRPGNKTEEGGTERW ERVLQHPFDLIVRGVIKYQLPLSSRFLSASVSSSVKVTPDKGDNNGGGHNGDDDSEHD PEHPPSNDTVKITSRRLPRRYTFSERKDTINIFERPFTA ACHE_50342A MSAHNTVYRDPYRDWDEPRSSSYSVKRYVIPPEEDRNLVVRRPR DWDERSTSGMEDELDPRIYGDLRHDDPYEREYNRYTRFEDSLPSPDYPPAPIIICEGQ PIIINEARSVYASPRTSGYDVAPRSGYDRDPGYYYYRRVREYDDDRRSRHELSPADSL SQRPRRRDDRDYSDYSRATSRASSRERDEHPHHRRHMAEGAVVGVAAAEMLRSRSKRH GDDVSSGAGRVGRDVGAGALGAVAVEAGSRARDYYRSKSRHRSRSRARDDDDRSSRRS HHSHHHHRRRCHHHHRHSHSHGSRSHARSRSRTKTLTGLGLGAAALAAGVALANKNNN SNDDRRSRSRHRRSSRSRAPSESDDHHRSESQRRKHMAGAGLAGAAAAGLIDRHHSRS RSRKGDRSRSRSKVRKALPIVAGGLGTAAATGLYEKNKEKRDRSKHRGRRRSSSRDRS PSDVYPDPSRDSANLIEYGDHPVHGSIPPADYYGRRTPSPGYYSDASDPVARGAAGFS PSPPRNRSYSRSRSRGGRYSDDSYSDSEPSRSRSRPRPAPKEPDAERERLRFEDEVHD DPYASHDPYDGPQNYMPYPPSPPPAPKPIDNQYHPNPNYYPPPPGPGPQYGADPRYPP ADYSAPPPPGAPPRHYPPPPGPGPYGAPPRKPEHVSTARSYSPHKARYASNGGSMDGG RDVKDSVADS ACHE_50343S MAGNPKDSMKSTWRKAPRDQWNINHWLIEITNVHPFELDQEIPV HSKDEKVPYMSQWSLNFWVLFYAAIPLLIHEAYSTYTGNTMSRWTAIGFYSTFFNAAV IYLMHIIRRLGHTYGFLDGDKPRDGIPDVGVAKVVSSLYKTTISRIIMAAYFSYNAHQ LPSQLDWKWLPLEIGVYGVVLDFWFYWYHRLMHDFNPLWKFHRTHHLTKHPNPTLSAY ADHEQEFFDMVGVPMMTYFTLHYAFGLPMSFYEWWICHQYVAFAEVWGHSGIRLHLTV PTPFSWVLQMLDCEIVIEDHDLHHRKGWRKSYNYGKQSRVWDRIFGTTCPRIESVEDN VDYMNTVSMPLF ACHE_50344A MDYAHLSYYPNREESAARTAHRLQNEQIQDNLPDGFPVQLESKM VWDRESFSLDGHESNDGTECVLVLDEAQLAEIDAAMKYFQVLALPLSKLDTTTFPLPT LHPILRRASQNIHSGYGFTLLRNIPVDNYTRAQNVIIYVGLASHIGPVFGRQDYQYAG EPAGVMMAHITDFRKKDTTADEKKFSLAAYTDGEVIFHTDVGDIVSLFVLEEPVEGGE SLIASGGRVYNELARTRPDLVRVLAQEWVIPSAKNDTLHKRPLLFYQQPTASTPERVI IQFSRRSFSGFGNVPQSKYLTAAQAEALDALHFLADELHISMKLRKGDIQFINNLAVL HARRDYVDDEERRRHLLRLWMRDPENAWATPEEMRGRWSRIYGEEASNGPQVFPLEAA TRSVGMDKGTA ACHE_50345S MTSGGRHGGKRLPISCQACRIRKIRCSRDKRPCQTCVRRGLGVE DCVYLGQPRLSSEQSSSPETLVQQELLARIRNLEDLVQRQARSNSLAGLQGSNSLSPQ TSSSSEPDSAIGLGPLDSMGSGMANMGSLQTFSSGHVRYVPLASQWNSVVNKNSTGDC LQNVDTEIPDDDDDLQVPLVRNGSASRDELLGVLPPGRYCDTLKNVYFQVFSPLFHIL HDLTFEAEYQQFRHDAGSVSTSWLALLFTILGIAVTALDDDDPLLSDLGREKTVSRNI KVLSARYRSAAMRCLAADGVVSRHSINSLQCLVLLNYARSHRGLPTWTLIGFTHHVAI SMGCHIDPERFGLGPIEREERRRAWAGLMMLYTIQNASFGSLDQHLLAQDVKLPADVN DVDLLTGMPSESAPRPTQMTYLLLKFRLYKVSAMICESIFSFPYRSRTSTPQLESEIV AIQEMCDERYQLDTTHEPLPTHHMANMNVLYSYIHQLFLLLLRPTLCRYFQGEITPET SASRAKCVASSKASLTIYISLSELPQFAPYKWYNSGLGSFHAFHAAVVLSVILMNPES QAEFVEIKDILGKSLDVFAALSNRSAFCSKAVPILRQIIDVATTRYQQHQHQHHLFNI SPMAPTTHSSPSTHTATHMNTPYSQHHSTPAAMVDESLMNPFFAQMSPQNWISPAAVP WDGWGFLTAAEGWVGGQHG ACHE_50346A MWYAFGVALFAAIGTFLFGFDTGIATTTIAHQSWIDYMSNPSDG LTGAVVAVYIAGEAVGAFTQTFIGDRLGRLRFMEMMCVVVTIGTVIQTASVNIGMFLA GRVLAGYAVGGLVGTVPIYLSEISDPRYRGLIGGISGCGISFGTMASNWVGYACSYAP YGPVQWRLPLGIQIPWGIIMFIGLISFMPNSPRHLIRSGKIEDARREFRRIRRDLHSH EVQEEFTLMRVQIEYEMEREITSYREIFRLFRHRVLVSVAVQTMTSLTGVNVIQQYYQ TILYKSLGIDSHTILALAAVYGTVALIVNCLTTKYLTDQWGRRKMLLSGLAGIILIEI YAAVMQREFQNTDNRIGKGFAILGIYLFVVAYYGMLNSTTWLYGAEVLPIALRSKIMG LAAASHFIVNVAVTEAGPSAFANIHENYYYVFVACTLFFLVIAYFYFPETKQKTLEEI ASAFGDRVIIPDGGSKDGNRDENGDGKTDSQHVEAVAVRQGG ACHE_50347S MAPSLPMSTRVSWAQSLSTIEPYHGPPRNIQPINEIQFEERLRP ENYEIFGTHPDSKILITDVRILDSTGREPYRGDVLIEGERFTAVGNVPNKDELKRNPK VRTFNGRGRTMMSGLGDAHTHLSWNGGDLARLGELDVEEHTLLTAKSAQCFLDSGYTM CWGAASAKDRLDVVTRNAINAGDIPGPRYLANAKEICRRDGDLVPGISAYADGPDEMR EVIRRHVELTADQIKLSMSGEPITEDRSVDECYFTNGETAACVDEAHKHGRRLCAHAR GRDSVAMCIRHGVDVIFHASYIDDEGMNMLEANKTKHIVVPALNFPINTLEDGERFGY PRSKAEAAGYKTEIEAAVLAMREMHRRGIVVLPGGDYGFAWTPHGTYARDLAHFTKLL GFTPHEAIIAATAGVAKLFMREHELGKIQPGYYADCILVNGDPLGDIEILQDHDRLDI IMINGRLHKAGRKEYLGEEKVKNGNGVKRGLDIDGEAELPVVKKVMQKDY ACHE_50348A MVNVPKTRRTFCKSKDCHKHTQHKVTQYKAGKASLYAQGKRRYD RKQSGYGGQTKPIFHKKAKTTKKIVLRLECTQCKTKKQLSLKRCKHFELGGDKKTKGA ALVF ACHE_50349A MAQRAPIQNPFQQLISECENDPNRLQTQYETHRFARNAQFKTTI LSPDFPGWTVDEILSKLHAQETGKNDKAEEPFIDHRNNLAFYARPPKHIRELIDGIQR ELRSVAPSIWFTPSENLHMTTLELANSRTRSELEALVSELEATGTIPELVDYTFNHRS RLIKPVVSYDASAMALSFVPASREGTGSTDDGAYSYHHLRRDLYDRVAETGIKVIPRY IVPSAHVTIARFITLDGFLLEQDGPDGSRIDREQLKALMNKIEHINQELQKKYWPSVE GNMSSRGEWVVGHEKGLELCKGASWYGKGESVLDGKGFN ACHE_50350A MPAPSLLQLSTAAAVKNMKCLDDIGSIPYSLARPFLLKIESPEK LRSIELQSPHIMKDNDELWIGFIKRDIPKWEEYDIPENPESWYEVYCDLRERVQREVD KDAEQLKQAMEGINSKRAQHSTKLVTDQRSVRLPPMKPTERARYAAYDRKIGGIKPVF SSPSSGVSADPMGGPAWSFERPQIPRSFSGDGKKKNSIFSAPKRNKALAVPTGQLHNR ASQVKMAPRSLVEAHRQPSEPALAARKASPTSKAHPALRAPGRTRSQPGFGSSNPVIT PSLQEREARLRALTSGKPVSSPSSRTQTPSASSRTPAQKSTPATRGPSPPLPAKREVD DLFESSPEPEPQDNQQDPKRKAPKRASPEPTLNVGESKTLPAQAPRFATIRKRPAPSI FMPPKRKKVT ACHE_50351A MVSDPPDSTSFQADSLKEGNRYLKRGQKVFPCPDPVDISTLPPG NEVITTLSNRDGKLVVSHDSSYVTKLGYRVRRAESEAMNTVAAQKRTTVPLPWVKSTN FSPSEHYASEEYGSIRMSFIRGSTLESKWDTLDQESKEAVCREIWDIVWRLRNIERTD LVGNIYQCAADGTPSKGILEDVQKPARPLMSDEEVRARLYERYLHAGGQVLRDLLPEL LPRSDRSVFTHGDIAPWNVMIDDQNKITGILGWKFAGWYPDYWEYAQIMNVPFSDWSG WMERTAAHRWDIDGIDAVKEIVSKT ACHE_50352A MRYAIARPSEYLLLTGAGVKDIEIKKKALVMPWQRCARITVAPF DFSMNLQAMTSEKLQFSLPAVFTIGPETGEDALKKYARLLSGNTGSELQKNNNSGTPT GGKHHVEDIVKGIIEGETRVIVSSMSMEEIFKERQIFKNKVIGNVQNELEEFGLKIYN ANVKELQDTPGSEYFAFLSRKAHEGALNQARVDVAEARMRGEIGESEKQGKTKQEISK IDAETAVLETKRKAEKAKADADLVDCKTALDARNEMSKITAKRQNEMKEAELQKQLQA KKAETELERLRANDVTKSKVAREAAQETANASYYTEQKAADAHLYKRKMEADALYYRQ SKEADAAFYKQKREAEGLLELSKGYGAVVDVLGGPQAFLQFQMMQNGTYEKLAHANAQ AINGLQPKITTWNTGNGDVGDSAAPIRNIMQNLPPLLSTIQEQTGISPPTWLAQMSNG HSKEPKMANTTSS ACHE_50353S MAPRSQLEIFTASVVRLVKEETSYHNEIKQQTERIKKLEAQNED DENREYLLNQERRALQESKDVLPSLKNKLEDTVAMLESLLIEEGKKGSESNVEQITAA KEAVAKAKTAEREIS ACHE_50354A MASYGYLEQPEEDALHKSRLLNVEEKPFKRISRRLLDPRSLVVT NATLPPTPPPDDADEGATTAAEAEKQKRLEEWRHFRDDVTLDFAAFEGSIARIQFLLT SNEKERERYAAEKLRILSTMEAVRGNTAELRGQLEEAQRLLALRKSYDDLAEKITSNR LLRPREDQQANLQKLQAEILDLEKESKEYATTWSERREQFGRIVEEGMQLRRLIRDEK EEVERREGMQEGGEGDEGDAPKGKSEVNTPRPEHDSPASSQTPDDTTRQSVSLQADKS GSTRAASPLRHVATSEDKQEKPADDQEDTNMVDEGEVEEGEEVPDKMDTT ACHE_50355S MNRQQQAAERSLHDQTNILPFRKLIIVFSGLAISLLVTFVDQNG ISVTLPTVANDLNAQNSISWAGTSSLIANTMFTVLYGRLSDIFGRKIVYLCALALLCI ADLLCGLSQNAAMFYVFRGVAGIAGGGVTSLTMIIVSDVVTLQERGKYQGILGGALGL GNVIGPFIAAAFIMRSTWRGFFWLISPLSALSMVVGYLLIPNNARKDGFKKSLGRIDF YGILASSIAVIFILLPISGGGSYFNWDSAMVISMLTIGGCSLIAFIFIEWKVAMLPML PIVFFKNKVICALFLQSFLLGAVYQAYLYYLPLYYQNARGWTPIVSAALTAPMVACQS IASIASGQYISRLQRYGEVIWCGFGLWTLGAGLMLLFDRTTNPGVIAVIVGIAGVGVG FTFQPTMIAFQAHATKSQRAVVISDRNYFRCLGGACGLAVSAAILQATLKTNLPDGYQ HLAHSTYSLPSKSSIPEADWENILTAYAKASHAVFILQVPLIGLAFIACMFIRDRGLE RPKDPHEEEAIKEQQQQQSQEKSDAQDLSGQAPDTETTEERSTSGHNEPEGMPSVDSK DPARSPC ACHE_50356S MDIHAISTHEPSLSPSRDYNPRKRGRTACTRCKLRKQKCDNEYP VCSNCQKAGTSCDKSTVRQENGQQNEYIRSLEDRIAFLQSELEARPARSDPGSNVAQS TPTTFQSSENQNTAQRPSTTGIDNNAVGELVGFLALNSEAPAYIGSSSGLPLASNLGE MVQATVWNQFLNPSRTQQTLVGHNFRPNSSHRTLDPIAQPSNANGHLGQGRTEELLKG AEPPNDEMGYKVLQAYLTRIHIRYPLLDRDELWRLHGNRWRLAKLKREEITRNERFGI FKLYLVYAIGATMIQLSEKYTYVNPEKYYMTALQQAPAMCETRSIENIEAMTLLVVYH LRSSSSQGIWYMIGLAMRIAIDLGLHRKANEANLDPFTAQMRRRLFWNVYYLERVISM SLGRPFSIADRHIDLPLPLDVDDTVQDPALLTAPPPSDRTTTLTFAVYLIQLRRIDSR IQHKIYRADKPLHTLRSKMDRLFLELQEWRESALLRNFSGSDLDYTTLHYNRALRILI QPFLPALSLADPYYHICLNAAGDICQTHKRLHQTLEYGHSFLAVQTVFMAGITLLYAL WTHTNEVWSVRMSNDIRACSTVLFVMGERAAWVKKYRDAFELLVNATMEKLEGNENTK AAGMAELMTAQHNQCTNTAPDSTAGFGMPKNEGLAAGSIPTANPIGLVPEPALGVSQQ QMESNHYGVRMALHLAPWIDLDANSPFWMPDFETLENLSGTQWNYGDPAPFDAL ACHE_50357A MDYCWGESEGYLCYEVIEELPVPEKLQVDELMKNPQRCMKDWMD KAEHDCKYPSFLSRDPMNISKLRTLIDEATIAGKRLLKHRRYSRDCVIFHRKFNV ACHE_50358A MSRVAFPAPREMTRGDVEGVIRQFVDAARLMADAGFSGVELHGA HGYLIDQFLNPKTNLRTDEYGGSVEKRTKFVLDIITETRKVVPANFAIGIKLNSADHS SSNFEDTMTQIKLLTEAKIDFLEVSGGSYSDPKMMGYTTTQENPQTTRTAAREAFFLS FATETRTRFPNLILMLTGGFRTRAGAEDAIKQNACDIIGIGRPAAVNPKFPQLLLDES LSDEEAGLPLKKVGLPWYVRILPIKAIGAGAESVYYGGQIKRMGKGLATSAPA ACHE_50359A MWWIRRPQGPLSRNRILLNTSLPRSSRSSLFSFSHIALSSLNLS TPQATGIRCKGTLTTSNSIMARFPPSAQQSRPVHATSHMGQPVPAEGSYDTANPSYIR KYLRTYGLTPPRAESYETQKTRCLAQLALKTTPIDKFLYLSTLRKNNVHLFYRLVSDH LRELTPLIYTPVVGEACQRWSEIYQQPEGMYLSWEDRGNLAAVIANWPQPNVEITCIT DGSRILGLGDLGINGMGIPIGKLALYTACAGIRPEATLPLTLDLGTSNKALREDPLYM GSRHDKVTPEEEREFMDELMAALTERWPGIVIQFEDFKNPFPALERYRDTYTCFNDDI QGTGAVILGGVINAVKRSGLPCKDHRAVFFGAGSAGVGVAKQIVDFFVREGMTEDEAR ACFYLVDTKGLVTTDRGDKLADHKVYFARTDNQGEQFKTLEEVVEHVKPTILMGLSTI GGVFTPEILRKMADWNTAPIIFPLSNPSSKSECDFESAVTNTDGRALFASGSPFQPTS FTNSAGETRTHYPGQGNNMYVFPGIGMGTILSKAVKVTDTMIYASGEALSQALTAEEI ERGLLYPDITRIREVSVVVARKVIRAAQEAKVDRETNLRTMNDGSLDAWIKARMYNPH TEVRALEKEVGALLSSLGSFSALNGYDENAKL ACHE_50360S MPQGETEPLLPRYDENASQHRRLQNKLRTYQMVRALSQGYMPSN DQIIVNLRRLLASDLLNSRHEDIGPVCRQLIRDSRLWIQVLIDFLREKNSDDQLQEFL WHLSRSRASIDVGRISERASSAKSRANPSAAYESLRTVGSLFLVNADFRLFLQDVTTV GRQIFSDTALSVSETSHQVGEELKPSEARANAVKGAGKDEGGKPSSEDLRQEASEVAD IAGEGISRTGKQAIDKSKEHLTPQTKETLIYRLKQAVSDLRQQSDYSNSISQLTQLVR RYAKSCATAAEEASTSAEEDVGANADLKQAMQQFWTLAQSIGHPEEWKLLEQRFHAVV KHANKDPEFENLMKDFGHTLQEMLTDPNFFDSVNEKMEELKTKAKNITSNTSLGEDMV AFLIQVDRTIQSGSEDPMVSKLSETTTKIYKDAVGGLSDKKNELPTDIAQVFFPILLR YVQYIPILRLEMAAPELDLLVENLILEPGRTVNYSSFLPYRAHITTRNDIDIVKKHAK RTATDLKTTFTATVLGLNISSSEFGYWLRTHAGLFRLKDEGVASFYLDSRGIDISLDV EVGRDRLEHIFTLRGVRVVIHKLDYKIHRSKWKFLLWLTKPFLKHLVRRVLEKKIAEQ IVTASNALNRELVFARERLRAARIANPKDLASFVRAVLARMKPPSDSDVEAGLGVDVA GNGIFRGIYAPGSIIKKWQDENRHAQELIEEGDETRGLGTTWRNDIFDARGSPL ACHE_50361S MRLIQFVFLVVFVLLPLVLAAEDYYKVLGLDKSASERDIKRAYR TLSKKFHPDKNPGDETAQKKFVEIAEAYDVLSTSTTRKIYDQYGHEGVEEHRQGGTAG RPAHDPFDLFSRFFGGGGHFGHAPGHRRGPDMEFRVGIPLRDFYTGRDLRFSIEKQQI CEACEGTGSADREVITCDKCHGRGMVIQKHMLAPGMFQQIQMPCDKCRGQGKSIKKPC HVCEGHRVVRNDVETFATVEPGMDKGTRIVFENEAEESPDWVAGDLILILEEKEAELG STDEQRTDGTFFRRKGKDLFWKEALSLREAWMGDWSRNITHLDGHVVRLGRNRGEVVQ PLSVETVKGEGMPHYSEGHLHDHSDDVDEEPGNLYIEYTVVLPDQMDIAMEKEFHSLW EKWRQKKGVDLAKDSGRPAPPEPARDEL ACHE_50362S MVRQFKHHERKLLRKVDFHNYKSENDHREHTVRARYHLQDPADY RKYNVLAGSLRQLAHKLSALDPETDPVRKQIESDVLEKLWRMGVLKQSREQGAGLSRV EREVTVSAFCRRRLAVLMVRSGMVENVKAAITFIEQGHVRVGTEVVTDPAFLVTRNME DFVTWVDSSKIKRNIMRYRDNLDDFDLM ACHE_50363A MDLGSPNRHTPKRTRTVTSSPPSSHSHASSHHYRPTSRSTAHSN PTSRRSSRHSSHRRVPTSVSLTPSVHSASRRPPGQKRESLLALHRESCRLFQVPDQEV MTPPPISTKMSVQHISSAASSDIGSPPLSPGLYAQSSVSDRSLDHGRPSTIYPIPAEP PCKQPSHTINTVIDWTSPSTRRREYAKIDRASRGVRGLWRKVAPRWCQFGNDRMPFFE PDKNGKANYEGSVRRFRMDLPDEPELARSRRGGFKLRGRRHTAV ACHE_50364S MPLRELRAGTQTSSLPGGASLHSHGKEPDLNDKFYHCDPVEDAS QRHRAHRDEVYEHQEREQHQQVAAQQVVLTLASKEERRQQDELLYAGLLGRLSAVYKQ ALPVIYVRPTPRVASTSQSEQGEWQVMSRRGGERGGW ACHE_50365A MMTDGKPPATVDIEKAVSTEKQPARTHDADEALNAFEELQGEAI ELDAGTNKRLLKIIDWHLMPIMCFVYGMNYLDKTTLSYASVMGIKDDLNLVGDQYQWL GSLFYFGYLAWEYPTNVLLQRLPLGKYSASCILIWGAILCCFAAVENYPGAIAIRFFL GVFEAAVTPGFALLTSQWYTKDEQASRVNIWFSFNGWGQILGGFVAYGIAVGTQRHGS TIESWKIVFLCTGLLTVTLGLIFLWIVPDSQLNARWLKKEDRVLAVARVRVNQQGIGN KHFKFYQVKEALMDPMTWAFFFVALIADIPNGGITNFFNQLITSFGYSEEQSLLYGVP GGAVEVIALIASGYTSHITQQRILCSTGGLICAIVGMVLIVALPLENDVGRLIGYYMT QASPTPFVALLSLVSSNMAGYTKKTTVAALYLIGYCAGNIIGPQVFRPKDAPRYVPAE ITIIVCWGVCLLILAFIWWWYKKENNKKAGIRAREDYVRLENQEWLDLTDWENSEFVY SL ACHE_50366A MSSKSQLTYSARASNHPNALAKRLFQIAETKKTNVTVSADVTTT KELLDLADRLGPHIAVIKTHIDILSDFSEETITGLKTLAEKHNFLIFEDRKFIDIGNT VQKQYHGGTLRISEWAHIINCSILPGEGIVDALSQTASAADFPYGPERGLLILAEMTS KGSLATGEYTKASVDHARKFKGFVLGFVSTRSLGEVPTEGSVASDEEDFVVFTTGVNL ASKGDKLGQQYQTPESAIGRGADFIIAGRGIYAAPDPVEAAKQYQKAGWEAYTARVAG T ACHE_50367A MVAISVPDSYGSVIAVALGAIPVLGFVHGLIVTSSRKEANVPYP HSYATIEQCKTNPKAEQFNCAQRAHGNFLENAPQTMLFTLVAGLKYPGLATSIGAAWL VCRSLFLYGYVYSGKPQGKGRLMGSFFWLAQGALWGLSVFGVGKDLISY ACHE_50368A MDNPRRHTTTSATTTATSNGDFSLQSRSPNAPRHDVPSPAGKAD PGITKKTTRRSKYRHVEVYHSKLRHSSLSRDSGTTTSFLGFRNLMVIVLVAMNFRLII ENFMKYGVLICIKCHDYRKQDVVLGSILFSLVPCHLFIAYVIELAVAKQAKKTVGQRK KDASAEENERGQQVFQRTWRFAAFFHTLNATLCLAVTSFVVYFYIHHPGIGTACEVHA IIVWLKNCSYAFTNRDLRQAMLNPSAESALPDIYSSCPYPRNITLGNLTYFWLAPTLV YQPVYPRSSHIRWSFVAKRLGEFFCLAVFMWLLSAQYATPVLRNSIDKIAVMDIASIL ERVMKLSTISLVIWLAGFFAIFQSLLNALAEVMRFGDREFYTDWWNSSSLGTYWRSWN RPVYLFMKRHVYSPLVGRGWSALAASTMVFFISAVLHEMLVGIPTHNVIGVAFFGMMF QLPLIAITTPLEKMHDPLGKVIGNCIFWVSFCVVGQPLGALLYFFAWQAKYGSVSRG ACHE_50369S MAFYDDHGGEPQHFGRQGKFDGGVAGPRRPRLVTDYGSSLVQWM RTRKPRYKGGHGMEVERPSASYVVDMLPPMARVHSPADTIPVRHLHQSIGKSKKPITV VRWTPEGRRLLTGGHTGEFMLWNGTAFNFETVMDAHYDQIQAGVTSLSWSHSHDWLIS GGQRGDIKYWRPNFNNVETIDDAHHDAVRDLSWSPSDSKFVSASDDTTLKIWDFTAMA CDTTLTGHNWDVKSCDWHPTKGLLVSGSKDHQVKFWDPRTTRCLTTLHSHKNTVTATR FSRVNNNLLATSSRDQTARIFDLRMMRDICILRGHEKPISSLTWHPVHSSLVSTGSED GSLYHYLLDEPNLPSGQVPTISPYDSPDPANTPAQVIHPAHRIQYAHGATIWSLDWHP LGHILASGSKDNFTRFWSRARPGETSYMKDRFHIGEEAAEAQGTWNRGFGKRQMREEE EQEMQDEADSLVDQKQPPGPVLPGIQSSGAAQPDKAAQGILPGIGAPQPPPQPNAASM PQMDPGRLAALMSNQPPPQQNPGLPGFSMPPTMPGMNVDLAELQKQLVSQGVSLPPNL PTQGFPAMPGLNAKGLPGLGGSTNGGFGR ACHE_50370A MANNRVPINYEIPSFPSLYDPFPTHNTYAYYLYYTQDIWRFTLY WTLIFYAATHLSVAAWAVAMQCRSWKTGLIIPVIYAVIGGLQALMAGSIVGLVLGAVY ESGNFRMSTWLPMIWGGVNVMVLILSSFPMQGGL ACHE_50371S MAIERSLATLVRSLQTSSSPQDAFTLLPRATGFLSMLGNPLNVG LLASQLLTVPAIWDYPVNLHDCRKILSVFNSATIGVIQNEEHGDNRTPYGGPRKLSRE AWIKAVVSGADERSPRWRHVLLLGGVLLGGEGQNRQGLPWHLRLRLESALVTAAQLAL EELDLQNGIEGACVLMVLNYTFELLSDIEKAKLDYDRLLPMMLQTTYNSPEGLESGYF VGAVDNDVVQVPGQKFQWSRHSDTFAHISAITNKPLVAALGPLSRLMAHAVENVRDPR LVSQTVDIIADFSRTLMVQWRQNKLSEIDKIEESLFLDAESLGTTVPMLWKVLRNCLY SVVIVLRGTFGRVLNDRFLAHDKSAPYLSMQALHVLRSMYFISSRIGQNSSSQHMFVS LTAVDILAQYPNLVENFLRSIKPAELGQIPAHPLERCLDLYFLNTAELFTIILSPKAS EELLLSAAVPYLAVAANKNLLEIFEAAHSVALAVFAIPNNAAISAKHLPFYIDNLFAV FPRNLSARQFRLAFKTVIQVTAPPSLIANDQPLMPSFLLEVLYDRGLKASDEILPQPS QSPDANPSQTPSPPVSEKAALTLALIDSLCFLRVEDLEEWLPLTANLLNAIHPGNLRA ICVDRFWDALSSGEMDVDRAHFCVTWWSTRGGRELVIFGGEPEQAEAEGAYMSGAIGG IARENKL ACHE_50373S MEPPQDILDEPSGVAAFHIYAQSWQTPDTTGSAFLELCKIILDN KDKEEAVYSTFRALHKFACKGPPALDHALEKHGTELHSSRRQLSYR ACHE_50372A MLLANETMTIKASSSSQVHQDSEFPAWRLPHPANSSSSSRSSSA SPTPSATTARKAKLSPPLDPSNYPNGEKSLSGISLRAFLIGTTLGISTCVTFFFAFLQ STPLWRVPFFLASLSLFHFLEFYVTAAYNTRYASVSAFLLSSNGWAYNVAHGSAMLEC FIGYYFFPESHYYSLNIEDASGVKITLALGLVLMALGQVVRTLAMAQAGSNFNHTVQV EHKEGHVLVQNGVYALSRHPSYFGFFWWGLGTQLVLGNVVCFVGYAIVLWRFFSSRIK REEKFLVCFFGDDYVDYKKTTWVGIPGIQ ACHE_50374S MELRVHGIETMQSDLVSIWRQHIQNAPPELEHPIVPYLVRFLRD SKIVEYVVGIDSHPQLISRSGNIFGFLILTSTYTDLDTDMIWKTVTQSQDSRTVSEVL GMLTKTFPVHPSNSPVLLNVCSKLLELPLNRFDGRMVEFCDHLFHQIREKHDQRNSLD IMDTLHVDAIPLRLCVRLIRESTAVEDVPVEHKAILQQFASSQLSKFSVAGLDETDKM EMYERCIQDIAEMNQFAVGSVQALNALLTGQDPHEMRKLATEFDLTRLAVDEIAHAVD TNQTDFTDSFSRNGFMSRVHMLSRIIDKAADSISTDLGDMLWKKVFMSTALVQQGRRV LWDMLCGLTNHAFKRNPFIERCIHEFVPELSPGEYTPEVLAFAKQTIVYEIRFNPPAI ANENEVVTIPGMDRIWNFILTAPPGSIETNATNFAIEVYLDHNVIKMAPRSAVEATHV GLVDRCVEQLKSAAAKLKSFHGHTPDGQAEAMVTPAAEDEVRSAELSFSRSLLFLRQL LQGLRNRPQYSPPQRPPPELPAAPVKGEPIEFRYQYFDGGAQSTVNTLRIGDLSTVSE LTDKITQLTGFTKFRTIYGGQTVDLSEKPNLTLRDMKLRSGMLLIRKDADSPVVPSFD RSQSMTPVDSEVLKHFDDLYDLLNLDDNLAREIYDFLVVFPPQERVLTLVKSPDIAEK DILPMEKPYIFLYSMKALSTLLKEAESKSSLDPQFTSHSIRVLVGASIRPEMSESLAD SPTKLLLAASLVECFLLALLARSSPADNSSVISDPTPLIKQLLQFIDVGRHVPSTSIS EAGVQKLICNSFAVLIEGSMRDHDFWNAVKQQIRFDRLLFSLLLDESRQPVRKEISEN IALVCTPMKLLQKTEEPEDQQSTSPENSAKVDIIGTIWEAFTQILPETLNHAHKSQEF FQVALLVFHSVAEKPSRELKYDEYLKQWSTVMLSHQTEEFVGREPVDYLLLGFTRLLW SCLDRAHSGNIPVDTFDLTEKLFDRYLFPDLSTSSDETAIVPRVPVMHNQTRIELYKI LTLLIRDDQNYLKVVDLLEDIIPRDNTYSPAWCFDRQKMIRSAEGYAGLKNLSNTCYL NSLLTQLFMNVGFRDFMLQLQVTEPESSQKLLDETKKLFGHMQETWFKSVDPQDLVDS IRTYDNEPIDVTIQMDVDEFYNLLFDRWEAQIADAEEKKKFRTFYGGQLVQQIKSKEC THISERLEPFSAIQCDIKGKASLEESLQAYVEGEIMQGDNKYSCTSCGRHVDAVKRAC LKDVPDNLIFHLKRFDFDMITMTRNKINDEFQFPEHIDMSPFNVEYLSEQNTEVQQDL FELVGVLVHSGTAESGHYYSYIRQRPTAVSPGPWVEFNDSDVSRFDQSKIPDQCFGGP TGSFQTNANNVRYNKVWNAYMLFYQRVSSANAARVAYKPANNDIPVSVPVPLDLKNHI AMDNELFIRTYCLLDPYHALFVQYILGRLHDLTDSETVTNSQLVRSTVFIALDTIEQL ISRSKDTVGLDAIVSEVFKMITELPMGAYQVLEWVVKHPASIRNLVLKSPHAAVRSSS LRLIAAALAKLRELRDGTESLAEKERWQERYIDSFENVVNMVESQWSILHTASRSWDD YFEFLVLLASFGTYEVGVLLDGGFLLKCLEIVWLDREDPKRLKRQYMGYSKLLEKGRR FSHKKLADLLFNLLTHIDLAAPPTVDDERQPLRDGRYPLNVMENNFIRPLGRNRELVV IKKILQQQNNNPQTTMDIFGVFLDAEPEACLMEPICQVLEDGLRVEPAEFCAPFLEAT LHLCRRSPDEEHIIYMIDYVAKGVESINGSGGAAHISFFTNMLDLRNDRLGLNQAWFL SQLIDKIPDWAPTLLVYPERAVRNMTLEVLRQILFTGESEEMGEDWQSRHTEVARELV QVSVDRLRKTYLSTPGQAVEANVIEAMKAVIGHCLVTYFGDSEEDQELIHQAQTVTTA IEELTADLPEELVSEPNEWENDLVMPSDSEEIGSP ACHE_50375A MDLANTLIRTVVRTFYETRHILVVDALFIHSVLHAEDLAFLLGM QQKDLRKLCAKLREDRLISVNTRAEIRDGATRPVNREYYYIPLHPVVDAVKYKLSKLT STIKAQYTPSEERKEYICLRCGSEWTELDVLSLVGEEGFECQNCGAVLERTEDVKGAD GIDRTGHEKNSKLMAQLDGMLKLLKQIDSVEIPPNDFDTAWDHKVDVIRNQATHPTRT AVAVPSKQQETVRGSAKTDTTALEISLTSSEEKSAAEQAEEAARKAAVEQQNALPVWH THSTVSTNAGNIGVKTEEGVDIKPEIKAEEEDQKPSADALDDKVAAYYAEMAREQALQ AQAEASSAEEDSDEFDEFEDVGVSGSGSATPAVGGGGAAPAPANSITATGIKRELDTD TGSSIPKTESVSPAAADEGPAAKKVKTEPESEVKKEESDEDEEEFEDV ACHE_50376S MDNNPGRVEGAEEAGGKRKKRNMGRNEWSRQNLDKRARNDEINA RKRQKIEQGEEIDTPIYATNFSQEDIENEQRRPKKKAAVLLGYSGTGYHGMQLSAEHK TIEGELFPALVAAGAISKANASDPKKSSFVRCARTDKGVHASGNVISLKMIVEDEDIV QKINSHLSPQIRVWGIEVASKSFSCYHMCDSRVYEFLIPSHCFLPPHHSTYLGKKIVE IAEKEGDLEKYKERQAEVKGFWEDIDEKYIKPILEGVPEDIRTVVEKALHINEKAEDK HEPQDFPESATTPTEPQPQEPEEKKPQLTEAEESRRREAIAITKAVKAAYLRAKRAYR IPESRLDRIQAALDQYVGTKNFFNYTIQKQHKDPSAKRYIKSFNLNRKPIIINDTEWL SLKVHGQSFMMHQIRKMVAMAAMVVRCGADPERMRESYENTKIAIPKAPGLGLLLERP VFDSYNKKAADLGRGAIDFSKYEAEISEFKQREIYDRIFREEEETNAFSSFFNHIDHF SQEEFLYVTSGGIAAAKPSLQSNASGDAQAEGNKTGRISQREALAAIESENESGGEEG G ACHE_50377A MPNGAVQRPLSEVSPVAQRRNSPSWNPNTKQMLKNNSPDKPASL RLYWQGRDSSENQAPYDPDAPHKPSKRPSVENLKRVARVRNSHIFRDRNPEYDPSQVD VPQRPLATNKSPDKQQTTEPQTNNSNESPRPPSPAKSSLSRASRFGVKGGFDPENDIW SDTDGHRHAKSVTFDAAPPQVNEYEMTTPDPSVSAASDSRDGSYDSEEEEEEDDGDVS FERESSAEHDDSFDASLEDTEKTPVVLPEEWRYGHEDEDEPYTEDEEDASHSPDPRPS SRQEPSRPHSRVESLDSNGEPRPLPPLPSVMRSQANQSSPGKFTTAALELGSGGQRTL PSPPGPATYSKSDITGFKNSTMSLEDRLRLMMIQDERKDEKQPEHNEPDQEPAKTDNE HHDDTKDEVKHQEDVEPKKENEDAAKEPDVFTPPRISRDSILRDLRKGDDFHDDDDDS YMDYDPDVPLPSLEDDDDGEYDTDSVIMKEEEEDTSELYDIPEYYENVPSKESSTKDL QSKLPHDESSHYSLHSAGAVDAHSPPAEEPEDRSSTPVPAPAEQSEKTEHHEHHEHRE PSGPSKPTEETQEAPEKESSIDAQNEPERVRPFSAFDLRPASALDVRPESALDSRPVS ALDMASIRETLQRPGTPEPNGSPVSEPSTPDSVIHHPVEDDDMSDVSADESVTDESVP DPIATIKAPGTALKTRPSLTPADLEQMAATRRKVSGPQAFRLPSLNKHFSNDSQHSDH DDGSQEPEDDPNKLAPPSPTKDTQRQSSLVKLDIPFSIQEESLGFGLDREFDRVMENQ KRGYLMRQNTKVIVASSHNEEETATTPGEAATEARLSRVPGNSNRKPSQQTWTTVPWN SQRRRSSIRTPSGIKKKPAPGAVPPLPGQSSNVQETAAADDVEPGLNEALDEGEERGR LFVKVVGLKYLDLPMPRGERSYFALTLDNGLHCVTTAWLELGKSAPIGQEFELIVQND LEFQLTLQMKVDEQKMKAPEVAPPSPAKQKASTFSRVFASPRKRKELEMKQQLVSQQR KSQDVNAGVWDRLRTLIAPDGSFGRAYVALSDHEKYAFGRPYTVDIACFNEWATEEQP SSVKSKKSTSSNSSQRRPPYKIGKLELQLLFVPKPKGAKDEDMPKSMNSCIREMREAE SVSTRTFEGFLSQQGGDCPYWRRRFFKLVGSKLTAYHETTRQPRATINLSKAVKLIDD RSSLTQRETSTRGGGRRKSAFAEEEEGYMFVEEGFRIRFGNGEVIDFYADSAAEKDGW MKVLADSVGKGSSSSGSVAKPWTDVVLRRERSMKARRQPSGAQNPAPPTQAPPVPPVK NDVGAPPSTSAGVPAPAPVPSRPRHKHTQSQPEARGFDARRAKTRSLIF ACHE_50378S MPLPLRPLCLACQTRATLFPRYALTPPTIGQSARSMVTARMRRQ AARMALSPNVAKASLKKTRADERRGPFAGMNQTEARIRGTPRSRSQAEVKRSGNPGET SSNKESPLYKALKMQTALSPVPYGRRTAIKEKLAKITNFDQFPVLPVVRESIFSQALP GLMDITPTPIQRLAIPRLMEDSTQKHKKKFDDAEPNYDQYLLAAETGSGKTLAYLIPL VDHVKRAEAQDLVEEKRVEEQKAKEREERMKNQAFDIEPEVAPLSNAGRPRVIILVPT SELVAQVGAKVKALSHTVKFRSGMISSKFTPRRIKNVLFNPAGIDVLVSTPHLLASIA KTDPYVLSRVSHLVLDEADSLMDRSFSPTTTEIIDKVAPSLKKLIFCSATIPRSLDSI LRKRYPDIIRLTTPNLHAIPRRVQLGVVDIQKDPYRGNRSLACADVIWHLGKAGDTEP TGPFASYMDPSSKAKKILVFVNEREEADEVAQFLRSKSIDAVSLSRDSDARKQEEILA EFTETPPTPTSDEILQAQKDRKEQEAIPFIEPESKQPANQSPFRLPNTKVLVVTDLAS RGIDTLAVKTVILYHVPHTTIDFIHRLGRLGRMGKRGRGIVLVGKKDRKDVVKEVREG MFRGQALI ACHE_50379S MGRLYETKQKNYDFALKPPYNRATFQIQTLEVSKTLREEVFVAE DALRDCISVNPYNKGRTMNMQKARSLLRCFDIWTHNRFLEERERDIWFDDPI ACHE_50380S MDDAVMFDELDFLSLSSLLSIYERQREPESKATPSDEEGISSGD EELNDENDSIVPNELSVSSPERQLTKFLDSISETFSRTKSIPLAQKPRYIQRRKGNKK EEGASQVSASGLIMIQHKPTVYIAKNEGTDD ACHE_50381A MPNSKAKPSPSEGRRDRLTLAKLASYDDVATDALVDRAYFWTNT RKNRAKYIPMRGIQDDDIGRLLLHDVIVAKNAPKAEKEILAMTGMKRFMAKLPNDRER EWFRRHLRKYIQMYLPDSPFEVMTTNRYTITVHEAAICARKFIKKGQEVKYLSGTLVP MTAEEERDLDLTRRDFSIVMSSRRKSPSFFLGPARFANHDCNANGRLVTRGTEGMQVM ATRDIHVGEEITVSYGEDYFGVDNCECLCMSCERALRNGWAPQVDTDAQSQASIPPLH DDDGSTDGLLSPKKRKDAPDTDSDKSGVSTSRKRAKFNRPCSKLRSEVCLSEATDSIE TAMNEVPSEPLVNGIYPEVTITKCEETSDVTGNTNLNPATEAGAHSSSTTDCDSPTSL AADDFHRSSASTAATSVCEVPVHVKTEEDTTTPKAGATLEITADNIERHLLNGVKDEP SESSTSLEPETEEKQDEPAPEQRKKRKYTKRKWIIPSVEAVSRARTPGDYTKTHLLLA QPYDRWVDCHTCNAWFVQKDSYQTRRECPRCERHSMLYGFRWPKTDREGNSDDEERVM DHRTIHRFLYREEEAQISRKDRGVSSGITPTPELSDAKAETEEGSDVSDEKRNTRASR RSTRGLRITM ACHE_50382S MIQLKTMLNCIDNSGAAVVECVNVLKKKRPATVGDRIVVVVQKQ RNFGPESATSSGIANKVRRGDIRHAVVVRAAKQMQRPDGSILKFDDNACVLINKSGDP IGTRMNGVVATELRGKQWSKILSLAPLHV ACHE_50383A MSTSPSPSASGDTKSDQIHFRFCRECSNLLYPKEDRVNNRLMFT CRTCHVGEPATSYCVYQHKLNSQVGDTAGVTQDVGSDPTLPRSNKLCPSCGETEAVFF QSQQRSAETGMKLYYVCCACGNVFM ACHE_50384S MVETGLPAGWEVRHSNSKNLPYYFNPTTKESRWEPPSDTDTEKL KMYMALHHSAPGGRVEGSGQGDGKIRCSHLLVKHAESRRPSSWREAEITRSKEEALER LDGFHQRIQAGEDLGDLAVSESDCSSARKKGDLGFFGRGEMQKEFEDAAFALQPGQVS GIVDTASGVHLIQRHS ACHE_50385S MPQTELYLFDKDCLVLPPTLKQSLPSDIVQAFNNARWAASHALQ YIKVVERNYNKRFNAMCPLNNPELALNHIGSVSRLLGRRNRNNTNFANFDPTLAPAAK LDPSTIKLTVDQRTYDEYAQKYVELLEGFLENPYRLWLEAKADVESRVANANFDEMQY SYWHRFWDLTFLVEMQKWEGRLQGLILPSWEEVMDDLRIIVLECPKL ACHE_50386S MCHYWTAKYTLCGCICDDIYQLCPCREYCFGTVLTQTEYEDDLC WQCRETADQRTRWVQVVRGIETLEPEALADTQSEREKEGKVEKGSEKGDEGQKGTGGK EKNTDKQMARRAKLVTCDVSRVCDPEVIKRGRERVRRACFDKME ACHE_50387A MPNVVSYTPPWLSRPSPGASLFSSTSAKSTDYTSLSTQGKVDYN GPTRTLAKRGNEVFTVVDNQIRWSSLTKLKDEWQQQHSKAKKGFAGGSQDGTSSVYYR VLTVPVYAQIRQLVPSPNGAFLAIVTDHTVHISVLPDSSHLSGTDDSPIRVKTYQLGP TTHVIPESPVVSALWHPLGLHSNLGSCIVTITADAAVRVWELDRNNHWSFDRPTLAID LRKLVDGTSSDQDFTPSGFGKSKGFSADDVDMEVASACFGGIGSEREDAWAPMTLWVA MKPGDLYALCPLLPSRWRAPSTTIPSLSSSIVPKLAALEDDPVEFEDQLTACRQQYDW LREIDNQEPLPIMSDPENIAESEIFARPANPSPIPRLQGPFRIDTGDELDDLDLCDLL VIASKVDTEDLMKGEDEELAVEDSSEDKLLATVICLSTASGRVHICLELDGVKGQWLP KAKKNAFSTPLSEPSDLLLVESLDTVREGSQLPNWPTFTKDAQSRYSFFVTTPSDVQF ISLTSWAQRLEAELQSEDTAGSGFRLQILCEGSISTRNRILQIDGDEAVVKGQPEHLA SALIYYDYDLGYLLLTNHASHPYAAVLDSPEITFPSVADLRPFDAGVQAPSSPILPPR RPPYQVPAVLYSDAPLDLFIDKHVPHRQRHSLKEQVRLSPATLDLVAAAHRILSAHTN ALERAASDLFRRCERLQGEMQDQLKQLCDVSERIKGVSSEIGEDGQRKEGTKSGEALD KRLQAAKDRQDQLVERYEALRSKVLRSGGRPLSEKERSWVSEVQALSGLVEESKPEDE GKERNLNERLEDVMDLATDLVAESKRIAAKAPSPPEPGSPASPSAAQPRVPQRLQRAK IADAMKMVERESAVIESITARLERLNTSV ACHE_50388S MSILTRNTVDRLDRPSAYYISKNKRRKFQDESEPQEEAPDPLAN ATTLYVGNLSFYTTEEQIHELFSKCGEVKRLVMGLDRYNKTPCGFCFVEYYTHQDALD CLKYVGGTKLDERIIRTDLDPGFEEGRQYGRGKSGGQVRDEYREEYDPGRGGYGRAYA DDQRRREEEEYGKGK ACHE_50389A MTGITVGVLALQGAFVEHVHLLKKAAAGSSSGSQWEFIEVRTPQ ELERCDALILPGGESTTMSLVAERSNLLEPLREFVKVHRKPAWGTCAGLILLSESANR TKKGGQELIGGLEVRVNRNHFGRQTESFQAPLDLPFLESEQTSFPAVFIRAPVVEKIM YNQEGAQTGKETVVAPSKQPAAKTIAREVEVLASLPGRAARLANEGKEVNADKDTGDI VAVRQGNVFGTSFHPELTDDARIHAWWLRQVEESVKNKA ACHE_50390S MALKQHNDRPSLVRWYIDTRPLTTSTSSLPLLETLQPPDQETVK KYYQLPDRHMSLASYLLKYLFIHRTCRIPWNGIQISRTPAPHRRPCFVPPITDHPEDK VIPNVEFNVSHQASLVALAGTIYSPSDSSPEAVFTQPVPSSAPLPSVPQVGIDITCAD EKRGSRASNPPTTHKALAEFVEIFAEVFSPRELAAMKNARGPTLPPGPQRNAAEVQYG LWMFYTYWALKEAYIKMTGEALLAPWLRELEFTDVIVPEASDVTGQWSRPYTGVRTWL HGKLVEDVRVEIVAFGREYLIATAVRGAGIGAGSRVLDGVEGVDPWESLEKIEIDKDI TACAIGSCHCLEQ ACHE_50391A MSVFSYIASGISSFVVLTASLFALGTKVPRAAFVARCLAAYGSL LLCACYGVVASIILRLVGYGRVSQWATARSFKWVMRFTTGVRFDIIEGAEHLSTRPAV FIGNHQSELDVLMLGTIFPPYCSVTAKKSLAKIPFLGWFMALSRTVFIDRANRETAVK AFDSAAEEMRDHRQSVFIFPEGTRSYSDKPELLPFKKGAFHLAVKAGVPIVPVVAENY SHVLSPKNLRFNAGDIKIKVLPPISTAGLTTADVDQLTKSTQESMLKTLLSMSQKEGE RAETSRVNGKSTAVEI ACHE_50392A MSPSTSHTASQLRQLIYYHLDNNLVHNALFLAGRLHAYEPRSSE SVYLLALCHLHNGQVKAAWDYSRNVGSRGTHIGCSYVHAQACLDLGKYLDGVTALERS KGLWASRNNWNKHSETRRQHLPDAAAMYCLLGKLWHAHRDLNRAVDCYTESLKLNPFM WDAFLGLCETGVNIRVPNIYRLTPELIAMVSPVQQEDADLPTDRIPSGPLQSQSNANS NLDPFTTSTPRNDMAPPYGNSALWEKFNGAQATPVYEGIETPGTQGSESDDVRVPAAP EPSMEPPLAPARKNRTVHTLGADHGMDPPPKMRPTGIRPRTRTKHEQEDSTASQTEKE SAAASRIGDRKRTVSGQVAHPSVSQPTEPGAPQRRSVRLFNQIKPTTSKLSSSSLGVK DSRDLKRVKATGTKGRTTTNPTRVVSGSRRAMNDSHESDTKDTRAVSQPASQQAPPRN PATEKSKEIEALGWLLELFSKLATGYFALNRYHCPDSIQILNSLTQAQRETPWVLSQL GRAYYEQAMYSEAEKYFFRVKSMAPSRLEDMEIYSTVLWHLKNDVELAYLAHELMEVD RLSPQAWCAVGNSFSHQRDHDQAIKCFKRATQLDPMFAYAFTLQGHEFVANEEYDNAL DAYRHGISADSRHYNAWYGLGTVYDKMGKLEFAEQHFRNAASINPTNAVLICCIGLVQ EKMNNPRGAVVQYNRACTLAPHSVLARFRKARVLMKLQDLKHALAELKILKDMAPDEA NVHYLLGKLYKMLHDKAHAIKHFTTALNLDPKAAQYIKDAMESLEDEDDEDMS ACHE_50393S MAQKAAKSLAVRNTSTLNRTHLIALALHTIYLLLHFTFNRPRSL KPYFLLAGPTLLIEFYLERLGRPRYTDDGNLRTPGEDLGATGLTEYMWDVVYWTWGCM VSVCLFNDRAWWLWVVVPLYSVWLAYTTFTGMRSGFAGMGAGSEQAAAAAGGESKRQK KLEKRGGQKVQYR ACHE_50394A MAMSENGHASDGVSKTNGRDEPRTKSRLSIWYALLTPFFRCPSQ LSDLNELSPRVCKPYLVVRSHIEPHVLPYYHLYAAPYVDSARPYVTLFNEQVYSPAAT YAKQGYDKYGAPAWDQAQAYGQEQWDAQVAPRLQSAQDSISRVYKLEVDPHVQHAVLV VSPYYEKASAVAISTYGDYIAPLYTQSRPFIGKTYASGQEILTTTVIPHAQHSWSTVI YFVNSSLWPKVTGLYSENVEPQLVKIGQRLASYREGKILRASVDDVDSTSEEAAHTIT SASVDSSATSSAISTSTTETPAQTPLSPAEQIAQAREKVASDLQVWQEKFSAAAERGA EELKDHVNDIVGSHIASGAKSHGESLAVALDTVVADELATIKSRINSLAESLPYDDLP EDQHKANDELLKEIRRAAITIRERAQAIREWHRSFDEELVFRVSVAINSTLDILDNVR DLGLQEIGMRWAWMDGVTYKDWAKYRSLKAQFDDWKTDVSRVALEHPKLEEATNMANG LLAHGMEVAETTARELARLKDVGKWKIAARESSDNFETRSESPPALPKPGEMSDSPAD EESSNADLPGVSSESQATHDATGPAPSPETVNVNAGEYKLEDDEQILALDQDSYVDDE LPDDQEKSVHTESNTARSNSGWGVAAAEVPGRSPMQHDIPDDDQERFIDHPLDYISSV GSSRLSEGLSKASEQLAQVQATVPPKDTSNQNPIVLDAQRRYYEAVGLAHDHYSAFVS SASDAVYGSPAPTSTPGTFHGFIEDARSGYSQASSLASASLAAVVSSASSAASPTDSG KAQRIIDDASSRYNAAISAASASLSMASASGSSTIYGTPTGEVDALASKASENWEGLV SKASEQVYDTQAAYTQQVLEHITPKYQAVEELVSELLVGKEPDFTDKVIDRLHSIYET PYPASALSSASSYASDAYDSAVTNLPSIEDILETANKNFDNAVEAASVQIYGTPKGTV EQATSAAGSAFASASNQVSGMVYGQEPGYLDIARGAIDGAFSSADSAISNAVYASPTP SSAVEAAYDSVTSAAKAQQEALESATSKLGIAVESAQAQLVDLASSASSLGSEAVETA ASRVEAFTESVKSSPSVKDEL ACHE_50395S MDFPENGPRGYAGSGSFPDLMNDPAYATNVNADGREKGFEEPDT CRICRGEGSEDDQLFYPCRCSGSIKFVHQSCLVEWLSHSQKKYCELCKTPFRFTKLYD PKMPRDLPAPLFLKQLTLHILRTVVTWLRFVLVAFVWLGWLPWSMRAIWRALFWLADG RWPGGNTLQQNTTKAGRVADGATLTEAVVAATSSAVSAAAQSTVTDVRAVESPASSMF SYSTGEPLMLAFIKKGLSTLFLPAISSSTAPGNANVTTSSPKLRQPSWLSDVKFLNSL TRSPTINNIIIDTLEGQLITLLVVLAFILVFLIREWVVQQQPMANIADGEREAAVQLI ANNPPNQEGPQPELQPQPHLHEHEFHNDEAEDPVERISDHGEEEELPPTQENSIRESP FDIRNIGAEGLNTSDFMSPRSSSHGTGYHQTTEQAPVHNQLWPTFRDLWMRGDGNPDH ILRIIEEEGRQEELDWLVTLMTRLQQKRSSNRDPADTDASATMDSLMNNIETNRDEFP AFLEASHATSANQSQPESLVNIGSTRDIAPPRFGGESGASSSSHAFTFDFQRQASDVS TNPDNGPVAESSATAASNDRIYSGQEHSAANTPAPNDTDSMGPRPSENHHESSHTAES PARIPRPLAERVLDWFWADITLEAQAQERPQQDDEHIVEDPALEEPFIPVQNNQHFID DIDQDVVDAAGEPVDANDVEAIDEADDLEGILELIGMQGPIFGLLQNGVFSALLISFT VALGIWLPYLWGKIALVLLANPIELIFGVPMTAVSVIADVTLDTLIGSLGYVMYAISI ICKVLLSPFGSIVPLGDWVPRTKTVTNASLSLIDASGSRLRKVINAFFVFHESDVPMF SVLSHQALKIHEARIVGLFRALFVVCKFILHDLPLRLITLDLRGLSWIDFKTINFGNI IVQTRGQLHDFVRHPFLSLSSTKWVNAGLAKTASFGQPSDYHLLAVWGSGDRVIAIIM GYVLASVLSLLYLRITGFLSGVNRGQRMEGLVADVLHQAGGVMKVILIIGIEMIVFPL YCGSLLDLALMPLFKNATIASRIEFTNTTPLTSLFVHWFIGTCYMFHFALFVSMCRKI MRSGVLYFIRDPDDPTFHPVRDVLERNITTQLRKIAFSAMVYGALVIVCLGGVVWGLN YAFDGIFPVHWAATIPILEFPVDLLFYNVIMPFALKEIKPGDGLNDLYDWWFHKCARL LRLTNFFFGERQPDEEGHHVRQTWWDVLSGKKGDITHPVVGEEQRKRAESDGLDAYFV RDGRFVRAPASDQVRIPKGSRVFLDVTEDNKRLDGAPDTDTGLHGWNSESFTKVYVPP FFKIRIAAFIFSLWIFAAITGVGITVLPLVTGRKILSSYFPKHAPLNDIYAFSAGICI VGSAAYAVAYCRTAFAAVKSFLRPYLRSPRQACLGFFNATISGLRVAYIVTAFSVVLP SLVALILELYVLIPLHTFFGGAQAPVIHFVQDWTLGVLYVQMAIKITLWNRRSRPAIA LKNIFPEEDGWFNPNVRLATRALLLPIILAGTIAVVIPLMFGFALNSTVFASSLDVQS KVYRYAYPSTLLLGVMLWLAIWVRRQIETWRTNVRDDVYLIGERLHNFRDKNPQVSAA SR ACHE_50396S MSVLHHGGSYLQRRLTKMYTDTKTSCESVTTASKAVDDPELVAL HRSFREQRDRLLAWGLDWSDASAAQPNDIDESLTQAGISDVVASVMSSIQQLLNEAER LQHGVSDLPPKGSRADPPSKDSLSTLPTKTHWTDEDIERSRTLLGDLTGCIDTLYDLS RSRRNMSCSQSAMKSRTRPSPTTKGDDPFSTRAYLEAGEKRISSKLAPSNPFLIDRSA LQLSGTSHDNNPPPYEEQVTASTDSRAVGRMRTSASPFLAGTKDTTVSVLVEYAPMMV EAQNTAPDPGNQRLERVHSTLDQLIQNARVSHLGLLRFLGYYIDMPNSRYAFIYQMPV DYFPFLRNPADLLNELKPKPLVSLLQAGDDYHVPNLETRFRLAYDLLMTVLHLRSQNI VHGNINSGNVLILPGLTSSKNDEVGLTENLRRPYLTSFSHFSGNGPSPETLSTSIYRH PDDRRLMDDEAGWAYDLYSLGLVLMEIGLWTPISRLWKMKYTNSMFKQRIENVYLQKL GPKCGSAYLHVVQLCLDAPNFHLSTQPFDDLNIRVPQVYHYPVLDLSAPDGIFSFSMN FLYTACKIIWSCCGIDIFSAPAAEELDDCLPLALVPESETAAGKALIKNASEKAPALP PRPPREPRSGISMSEVKKLREKMGLEDSNAKKRTFKKLTNIDIPQQHLNQWNFQLLPR LRKLLEKILKDSAESCGVTLMMAGETAENAKTTICVTCASAKKVRAALKKYFVLNDDA WDLIVLRGDIQRSKVPRKKRRRPAKTTRPGREEAPVFTQQDLNPCYQERPLCGASIGA FRNEEHLPPVSYGGAVMVDGMPYGMTVHHMLEAPSDGEDDEEEPQGEFADAPLRSSGN WAGDSMHSNANPDLMYSWCDQDPPIDLEFQISDDEDDFSLSEDLDRSFDDYLLSESYS SDEEDPYEDEDAASIGDTAGIEPGEEPRLFVTQPAIDDVNEAFFPSPEDRDDEHLASH ALGYVHASSGVRRWTHKGIKHEIDWALIKINDSRTDARNIVFDKSARSRYAPHHRQRR GEQGPVQGEAIYLNDVARMEDLGGLKVHCCGRTSGLQTGQISRAMTLVKLHGRQSFST SFCVDGNFGVPGDSGAWVFEKSTGRICGHVLAWSEKSHTAYIAPMEVILEDIARTLNA TFITLPGSPDESVAFAMSQSAFPESPDAGHRARLRIPEQLPVDIGRLNIGIDDSDFGP VPTSRASRGLRASPSPSPSAAYRGMPPILTPPRGLERQLA ACHE_50397A MSKATFAAIAAASAATGAGITALFYNQSPRPQQQPSQPPQRVGT FDSAAPTPTTKPFPRPGATPGAPVDPAGILQYGFPGPISDTIAAAPLHGAYDRRTRNP AWVAEHITPQSLAMNNADRKHSAFAEDQSIPAPFRARLADYFRSGYDRGHQVPAADAK WSQAAMDGTFGLTNMCPQVGEGFNRDYWAHFEGFCRELAKKYPSVRIVTGPLYLPHKD ADGKWRVNYEVIGNPPNVAVPTHFYKVIYGEDGTNSPSSKVALGAFVLPNARIPNDRR LVDFEVPLEVVERASGLEFASKLEANRRKRLCQEVKCEAVVREFNNSRRN ACHE_50398S MAASNDLRGWVMSAVSGVACILGSAVVCADLVLRRFSHRKDFQI VTNNGFLSASLCLSAGVMLFTSLYSMLPTSKRYLTKAGWSPRASAYALIGLFLAGVIV IRLLSGLIHRYIPSHVVDCAHTHEPEHDPERGRVEEPVRNENENLDVDGSTERTPLLK RIEPRSFTSTPAVLQAGKAGPRNVQKKEPLRMRLTRQISVLVGGVKPLCDENGPCYGF SQTCGGECTKTLVAKPTNLPDENARPLRHHRSMTRFEEPTWPGDDEEPGNLQIPARWP QSHPRALSEGAQRIGYLDGSSSSSHSEFLEHRCKLPNNAHSDDVNDQQQQGEDEHGDH QHSPQHHHHVPQNAFLSIGLQTSVAIALHKLPEGFITYATNHASPTLGMTVFLALFIH NISEGFAMALPLYLALNSRWKAMFWSSLLGGISQPAGAGLAALWIWGSQKASGDHGSE MSGPSWGVYGGLFAATAGIMTSVALQLFSEGLSLTHNRNLGIGFAIAGMGLMGLSFAL TA ACHE_50399A MPPNLSYGLNLPNKNNKKPAPLGQKRKKTIFDDDSDDDQKPDGG EVEISTIGGINDEPAPSKSQLTKEEPSEPPSKRKPFFTTTAATSGKKTGPKPLSRNSI FADGDDEDDTEERQEANTTGGGLSVPKSQQQKTVDPSKNYTNLSALHSSKKHAQEAQE LDPSIYSYDSVYDSLHVKPEQDKKAAEKSSEVPKYMTSLLRSAEIRKRDQLRARDRML AREREAEGDEFADKEKFVTAAYKAQQEEMRRVEAEEAEREKQEEERRKKSGNVGMVGF YRDILSRDEQRHEQVLKATEEAAQRIKTGEPETEEAAEAKADEDEEKASTRRAAELNA KGAHIAVNDEGQVVDKRQLLSAGLNVAPKPKAAPSAAAAPASRGTGVPGKRFDGVRSA RNDQRARTTEMIAAQLEERAKQEAEAEEARQKEVAERNKSRKSEKDVSSAKERYLARK REREAQGGK ACHE_50401S MSYYFTILSPTDAPLFNIAFGTSKSGGDGIARFRFPDTAQYMNQ FIIHSSLDIVEEAQWMNGNMYLKHIDTYPPAQAYISAFLTPSGARFLLLHQPPQLPSS TSSSGGNTGSSSLLGSSFSASALGGGGSGRASSSSIAANPTAPQTEEAVRQFMNEVYE NYVKTVMSPFYRVGLEIKSPVFRARVTAAGRKWL ACHE_50400A MADPTDLNLDAPSDLQDIPDMPMQQLVPPPEGTYPDKDTLLQAV QGHGKAHGYNVVVKSSSTPTEKKPGRTAKVWLRCDRGGHYRPRNGLTEETRKRRRTSR LMDCPFMLVAAGTPGIWTLTVLNPTHNHGPIVEKPRQVPHHKVRKGQIAAIPYDWPHD ATLTPYTTALVVVDMQKDFCASGGYMEYQGYDISASQAIVPRIQHLLNTFRSAGFPIY HTREGNTIIRRILTVVDLPGHRPDLSTLSSRETYRSRNNASGLGIGSPGPLGRLLIRG EVGHDTIDELYPIPGEPVIDKPGKGAFSYTDFELLLRNKGIKNLVFTGVTTDVCISTT MREANDRGFDCVVMEDATAASDPSLHISALESVKMEGGIFGTVAKTEDLVHAVENFKA ITVKKLAPQMTG ACHE_50402S MPSADDSAKRPNGTSSRGDELAYYKAQYEQLESELADFQASSRD LEAELEKDIEASEKRERKLKEKVEALGYEVEEWKTKCKQSKTEGNSAQNTLQKEITTL RDANRTLHLKLRDIEVANDDYERQARNTTSSLEDLEYKYNAAIERGVLLEEEIKNGDQ EREQLRIENQRLRDELSDLKIETEIVQEKLRNAEMTTGRRIRRPTPLYRSPSTPQTPE IFDRSPGTSIISSPLFNSVNTTPTKLTMASATATPPSPPISESSSSMRKSINGAPNFP RLKASAGEPLTSRTLHASRSSQRLAHSRATSAFANGNRSSTLSASSRTSVPRSNHSFH NSTSSLNGSTTNGAGRTLAKSGSLCQIRGLIGKMQKLEERVQSAKSKLPPPSESPSRA SSRAGSAMGSAMGDSPVASTITVRRDSRNRRSGSSFSSSVRDGDSVPSYLTQSRPSFS TRTPGDSRPSSRTSFSSRSSVSHTTHPSVTLSTRPESRQSRTKTPLGHYSTNPMTEGR RPRSSLSNHTAQSSIVSGMSNIDEDEGLAKDFAMRATISECRGTRLPSVTAMNGTWKK RSTSGIPAPRSLKTSIGVEEGTRMSPPERKPALADLGETF ACHE_50403S MGVPNGSTNGTKPEIPSHFIGGNHLDAAPPSSVKDFVAQHDGHS VISSVLIANNGIAAVKEIRSVRKWAYETFGNERAIQFTVMATPEDLHANADYIRMADQ YVEVPGGTNNNNYANVELIVDVAERMDVHAVWAGWGHASENPRLPESLAASPKKIIFI GPPASAMRSLGDKISSTIVAQHAGVPCIPWSGTGVDAVRIDQHGIVTVDDDVYNKGCT FSPEEGLEKAKEIGFPVMIKASEGGGGKGIRKVEKEEDFISLYNAASNEIPGSPIFIM KLAGDARHLEVQLLADQYGNNISLFGRDCSVQRRHQKIIEEAPVTIAKPPTFQSMERA AVSLGRLVGYVSAGTVEYLYSHAEDKFYFLELNPRLQVEHPTTEMVSGVNLPAAQLQI AMGIPLHRIRDIRLLYGVDPNTSSDIDFDFSNEESFQIQRRPQPKGHTTACRITSEDP GEGFKPSGGTMHELNFRSSSNVWGYFSVGTAGGIHSFSDSQFGHIFAYGENRSASRKH MVIALKELSIRGDFRTTVEYLIKLLETPAFEDNTITTGWLDQLISNKLTAERPDRIVA ILCGAVTKAHQASEQGIEEYRKGLEKGQVPSKDVLKTVFPIDYIYEGERYKFTATRAS LDSYHLFINGSKCSVGVRALADGGLLVLLNGRSHNVYWKEEAAATRISVDGKTCLLEQ ENDPTQLRTPSPGKLVKFTVENGEHVKGGQPYAEVEVMKMYMPLIAQEDGIVQLIKQP GATLEAGDILGILALDDPSRVKHAQPFTGQLPDIGPPQVTGSKPPQRFFLLYSILENI LRGFDNQVIMNSTLKELVEVLRNPELPYGEWNAQSSALHSRMPQKLDAQLQAVVDKAH ARKAEFPAKQLQKTIAKFIDENILNAGDAEILKTTLLPLTQVINKYMEGLKAHEYNVF ISLLERYWDVESLFSLRNIRDEDAILKLRDEHKDDITTVVHTVLSHSRIGSKNNLILA ILDMYRPNQPGVGNVGKYFKPILKKLTELESRSAAKVTLKARELLIQCAMPSLEERLS QMELILRSAVVESRYGETGFDHREPDLSVLKEVVDSKYTVFDVLPRFFVHQDVWVTLA ALEVYVRRAYRAYTLKGMQYNASSGESPFLSWDFTLDKLGQPEIGPVASTHPSTPSTP VTESPHPFKRINSISDFSYILDENNEPIRKGVIMPIQYLEDVEEAVSRALEAFPVAGS KKPSDALLANLEGKRKPSLKIEHEHELTGVCNIAVRDVEDLDDKQIVAQISSLLGNLK EELLSRRIRRVTVICGKDGIYPGYYTFRGPSYEEDESIRHSEPALAFQLELHRLSKFK ITPVFTENRNIHVYEAIGKGPENDKAVDKRYFVRAVVRPGRLRDDIPTAEYLISEADR LMNDILDALEIIGNNNSDLNHIFINFSPVFNLQPQDVEEALAGFLERFGRRLWRLRVT GAEIRILCTDPTTGMPYPLRVIITNTYGFIIQVELYIEKKSEKGEWIFHSIGGTNKLG SMHLRPVSTPYPTKEWLQPKRYKAHLMGTQYVYDFPELFRQAFQNSWTRAIAKIPSLA SQRPPVGECIDYNELVLDDTDNLVEINRGPGTNTHGMVGWIVTAWTPEYPKGRRFIIV ANDITFQIGSFGPLEDKFFHKCTELARKLGIPRIYLSANSGARIGMADELIPYFNVAW NDPANPQAGFKYLYLTPEVKKQFDAKQKKEVITELITDDGEERHKITTVIGANDGLGV ECLKGSGLIAGATSRAYEDIFTITLVTCRSVGIGAYLVRLGHRAVQVEGQPIILTGAP AINKLLGREVYTSNLQLGGTQIMYKNGVSHMTANDDFDGVQKIVEWMSFVPDKKGAPI PIRPWSDNWDRDVAYYPPQKQAYDVRHLIAGKEDEEGFLPGLFDKDSFEEALGGWART VVVGRARLGGIPMGVIAVETRSVENVTPADPANPDSMELISQEAGGVWYPNSAFKTAQ ALRDFNNGEQLPVMILANWRGFSGGQRDMYNEVLKYGSYIVDALVKYEQPIFVYIPPF GELRGGSWVVIDPSINPDQMEMYADEESRGGVLEPEGIVNIKYRREKQLDTMARLDPT YGELRRALQDTTLSKEQLSEIKTKMAAREEQLLPVYLQIALQFADLHDRAGRMQAKNT IRRALTWKNARRFFYWRLRRRLSEELIVKRMAAAAPAPAGTGNGAIAANAPAPAGQTE PSRASHLRTLHAWTGMLDDELENDDRKVATWYEENKKSIQTKIEGLKTQSVAADVASL LIGNKEGGLKGVQQVLSMLPVEEKEAVLKYLSS ACHE_50404A MAHSKRNTSLPHFTSHERSLLRNNWGTQRSLISRDSFLPFASCR LCLQPARSPVACSTNGDLFCRECAISDLLAQRNEIKRLEKERGEARRRVGEEEERVKE EVREREVREFEMVSMGLEDKGNNKKRKIEDRDREVEVGGKRKKMFELDEKELARVTRE EEERLKEELKREKSESSKSALPSFWVPSLTPGTDINEIIANKDIKLTPICPASSDTNR HAYSLKALVEVHFTEEKASDGSVARVCPSCKKDLSNGLRAMLTKPCGHIICLPCVKKF MTPHDTPDPHATKEEQAHTAGLQGRILCYVCEADVTPSGPPKDDISAVDAEHNGKKKK SKKDKEGILPGLVEVCSEGTGFAGRGGNVATKTGVAFQC ACHE_50405A MTRSFWSRRWNCPLFGFLAKGLAVNLPVEPDESSENVDVDMGIV RLVGYKPEQRLLGAPVPSSKDSNSENESQDQDQGRGQNIKCSPSPATSEQDSAPSPKP TPDPSVTTNCIAPDCVACQAERERTTEQDPQRWKYHRTFINKETGYGLIAREFIPAGS IIYADQLVHLTERDEDKCLARAGDIDALLGAKVSAMGSDWKTAYLSMPKPNDRKKPGI RTGIYTSIWNQYHLPIYCNGVSGGVLGLNLSFTYHSCLPNASLTLVNKYRSDSGRRYK KPRLTGAVVRSFVDIHKGQEITISYFYAKGAVEYRRLYALDLLGFRCSCRSCLYPNAE VEDALGMYHRLEHVLKNPRFITENPAVAYQAACQITDKLTDCGIADIRLALIWAKCAL IAGFHSDIARVRCFLLMILKLTEVLQGPTGHLFHRAIKWFECMSAMPGFGMTIRGLSA MKHADMFLEHRTEAQPYLFMMGAGPEEYIRVNRYLRVPDEEMEEHGHRFEVVPDAPPV PSLKKSSRKKKAKANAKAKKGEKCTDPEKDFLSLWLGVVNDFVDQHAIKQEYNAMKKN KYSQKNDNISPSDKTQQCTDETCSGCGGGGQLLQVVIQDVGARKKVVWKRTEVDEIER L ACHE_50406A MPWRGLRIGIPDGTDSKRRSVIDLSHSQSRHSEQLPRPSLSTSN LVPRHSESTPRTPRLTGADDGDGNDDDDASNGDPRTSRLAVPGDHTDNNHNSSNTGNS PASQSPAEALSGSLRRNRFSFMRLRHASDPQLSKSYAKAEQKPPPVPPLPPPPTIITT APTSHELDQPVKRKSMFKILSASKRPSMEDLTADRQPGSDVPPSRHGAQDSRDSHLEN PLSTPRGSAEEPGRLSTTSARSNSREHTNDSYRSSTVTDARFSESSRSDHSQGEQGGH SHLASSPNDGSLSFNKRFRIPRLKRNRNPFPLPPKPTFDRPPSTGPKPVPVPDGTPRS QRSQDGQDYSSSMPSPTRSAVGFAGRPPLIRNESTNSTHSVASHSSNRKRNQPETRAR SSTLDSIADGQGGNQAPPFMAPSNRTSTSTSGRKSFGDIFNLPQRLRQNSEPLAPRNG TPGTATPKKSLSCPERQPSDTPATYLSRLEESLPKGVIAGLLAQSGEDFNLTALRKCM RWFSYFGDPIDMAIRKLLMEMELPKETQQIDRFLQAFADRYHECNPGIFAHSDQAYFI AFSILILHTDVFNRNNKRKMQKPDYVKNTRGEGIADDILECYYENISYTPFIHIEDAN PGRHLVKPRRTLFKSTSSEHLARPSKEPVDPYALILDGRLDALRPSLKEVMDLEDFYS CNGTEGPPDMRSLHRAFAKTGILQIVSARSRPDAFMPASLENPADSNPGLVDIKVAKV GLLWRKDLKKKRGRSPWQEWGALLTFSQLYFFRDVNWVKSLISQSEEQQKEGSGRAVV FKPPLTDFSPDALMSTDDAVALLDSSYKKHKHAFVFVRHNSLEEVFLANSEEDMNDWI AKLNYASAFRTTGVRTRGMIANGYDAQRNRMGGTDSSQSAPADMVPPSPNPDTDVSDE LVAARQQLMVQRIREANEKLFVAQKQLDDLLRNARHLQILTPVHSRAREQVIMAAGRM AAKLKWVRQDIWRTKCHREVLVRDLSEEGVDIEATAERKKSLHLQIPAAGIALRPDSG RPNQNLTVKPEPPVNDDTLILPDAPASVEIVDKVSLQPGMIEERRPSLRSVASDRRAS TDATKDQMPSSPVQAADGLERKTSVLSSGSKMDVSSLKSHASKLASQASIDDNEERLL HETGLLDANGQKNGDDVEKLPDGPQATTHEDQSRRSRRSLHRSLRESHHGNHIRNKKT RGSVSSATGGGEEPGSTKEDEGLPRKTPSFNFHGKKASIVTFGSEWQNMPPEERLKLR KPTPSSSDELRSDPTTASIADSELAGGRPQSLRSASTATKSFRGNDDTPEALGIFKWG EKIPTENGNGDQQSTENPPRAPTLNGRLAMPTDGEDVDPFEQTTQETQASTPEQAVNA ACHE_50407A MYAALLCLVVLFVIFVTGGVLGKLPGTRAKSLPPGPPCLPFIGN LHQLPPAGVHLKFTEWATKYGGIFSLKLGSSTAVVLSSPTAVKQLIDKQSAHFSNRPP FYIADELMMHGDHLMFMNVDQRWRRGRRLYHQYFNEGVSESQHVVVQQAEAAQLLRDM CLQPGNFMGHCKRYTNSVVMSLILGIRTTSPSAKHMKDLYAVLDGLSEIFEMGATPPV DIFPVFKHIPESLFNNWQSRSRRVEDIMLNLYNPLVDRVLQRRENAGSRNTYLDGILD QQEKLQLTRHEIDLMVGNLLEGGSDTSSIMTIAFIQAMACYPEVQQEAQREIDASFSE DASPSWQDYARLPYVAMAVKETMRWRPVMPTGFPHANNKETMIDGMTIPANSTVIINT WGLHHDPTRHPSPDNFDPLRFQGRTEPAPVYASAANAENRDHFGYGSGRRICPGIHLA ERMLFVAIAKILWAFDIRAKKGQPINTDPHTGYTNGFLRCAKPFPVSITPRSEARRST IEREFAQAEEEVFSRYEV ACHE_50408S MSLPSFLYSQIFVGLPVPDHDFTGQTIVITGGSTGLGLGAARHF LRLNALHIVLGVRSMEKNKAAKEDLESSTNRPNTVRLEHIDMDRYDSVKAFASTIQNT VPKVDVLLLNAGKIAEKFYLAEEDESTITVNVVCTVLLGLLLLPKLRDSATPDGPIPR LCFVASDRHVMTNLPEWKTEDTFATLRANATSGADDRYYASKLLNVLMFRQLAEEIKS CTPRVVVNGFTPGYCATALIRETQGFWGWQLYVMKLTITRTIEAGSRTLVHAASLGWE GHGRYLNDCKIDDGALSSFVRSDEGKQAQVKVWKELLVKLESIAPGISGNLS ACHE_50409S MSASKEEQTIEFCCVELDTAESVVSRLEETSTRLRDIFPAPTSP AAAHARTIAVSKRHLWGLQVITAAAIQTLTIAIENLREITRNMKAEGYTPA ACHE_50410S MTVLDGIAIVTGAGSGIGRECALGFAFAGAAGVVFADRNLHAAE EAAETSRRVANNTDPYHPMAIQVDVADRQSVEGMLSQTLETFGRVDYCVNAAGMAMRG PRSILDLMPDEFDQLYHVNVRGTTNCLQTIAKQMQNQDLRTVSTRNGPREIGRGVIVN LGSMHSHIAAKDIAHYTMSKHAVLGLTKSAALDLAPHGIRVNSVCPTWVDTPMIDAVE GSEQLRAMVNDVVPLGRIAQPDEVADVVLFLCSPQANYVTGSGWMIDGGLSYFREVGY F ACHE_50411A MYLDAVVDAVLYCCQIGRLHARRLSNAPDAQVAASRAGFVGVAF YFSSSTSLAGKQGSFRAFGRDIVKKDVSVEDVTVEYQIISV ACHE_50412S MNILGTVNLDEVAGRLLLSHPTRKQSPSVSLHALQVLSVVLITT VSQEMTQAVTNWAAQWIEIAVSAMRRLEILTDEPWKSPNGSSPADDEAWVRGEESKRF VYTMLRVDAYLSLITGRPPSLRVQELELPLPVTENVWTAPTIEARRQLYWFEPAGRTW TTLSAIVRDGLILSRNRVARTAGVPPLLSTDSHLVLCALQGEIWAVAQETYGLNHGTL DSRPSWQAPESVHFWHDCLVDWKTFYDGLEPSKAQIDDPAWNSLNQTQYRLCQLTLHA PLQLLESRQCCTRCRAPEITTMLRSWASSLESRRAVYHASQLLCLQDGFANPLQAPAL LASSVVLCNFAGENNSYPDGNSIELCQEEVSGLYGIEKWIQHGGSSMVSGRTLCRSNL PELFAWCQERLAMFPQSLARMKTLTTLLS ACHE_50413A MAAAITSWVLNPIQSLTMSRPRTRKLWCALSDDLQQSFSIECFA DQDDIETLKKKIWEDIKEEIKDTTARNLKLYCPVVQLNYEEEFDVKNGEFLRPRRMIT TNPLFPESKDPDVDIVVVVSRDTTTRKRKRSESQSANIPWIQSITENKLICPRERTVS KLAAILDDVNIVHVRGTPASGKTHLSELLRDYYRKEGRMVFLIKKWEELDSEDPWGSL IELVKKKNKELEGVPTTGFTVTSSQSEQDLSWVLTSDTVILVDEAQVTYNDTALWNTI IKERQSLTCLYDFRLCLFCSYGSPRTGPDQTFFTPVTLFDEQRISLTPQNQSRSPPIG LFYDKEEFKDVVSRLLTYKYSERFTFDESAQDYIYALSNGHPGAVESIVNVLFQNYRH DIKYETIRTLTEDHVIWFLEDTATVFDKLSRESVDRSFPHIKRCTSKISKILNTITEE GSIPFDINNADIRFCYQNGWIHRVALDGDNIAVLPSRLHEKYIEYSIGTMSQPLPARF DSLPKLCEEILSKFSIMNLRHSAEGKKMSTASQPRPVEAQYQAEFYRGFVHTAGQGVP ISTEWSRTRDGRVDFYIPEKKWAVELLRNHIQVNEHISRFKEGGKYHPWLKEKMVKDW IIIDCATSLPTNEFTEPRLWHAVFINDYSVLQLYNHQQSLMMSVHLKN ACHE_50414A MTSSNPSYLIIGAGVFGVSTAYHLIQKYPDASVTLVDRDAYDAE SRVAASWDWNKVVRADYDDKVYCRLALEAQDIFKSDPLWKPYFHQTGVYWTCRSGYAQ NVITNHKELGRNDDIIALPVAEARKLYGGIFDSADYTGVKEVLINRASGWAAAGDALR AVTKKCLELGVKYMTADIAALEFDGRGSCTGVKTRSGQILSATHVIVAAGAFTPTLLE WSAVTSGNTGLRAGERILAAGITTGMAQLNEEQYKKFKDMPVGFQGYTSEEGKPFIGS IPPTMDRELKWWGSKIFTNTREVLPGRYISSPPPTFDYNQWKVPGPLKQDIVESRNLW YGPESADWEMTKHRICWDAFTTTSDFIISSHSASKGLYIATCGSFHGFKFFPVLGKYV TQMLEGELAPELAEKWAWDRQRPDSSQNVEYPNAEMKHLLQPASKL ACHE_50415A MSSRVSKTKSSSVRKNELLRKISDNGFAMVFSCTRCARLGKTCV KSDDSDRCSECVKEGGRSRCVEMKPSYSDAEWRRLVRAQHSIKDEEEALLAKLLRLRK QERLLRERANEFISHEFQAIEELEELEREENRTHEEQGKFQKQGEDVECDAQLASVSN DPSLTQMMNSPSFWENFDSAVAGGIPSPTGGNQSSSQ ACHE_50416A MEHRELLERLIQGATAEVEQKWAIYALPNAPQQYNSYDGNQVPI TEQMALEEFKLQTGLSPLKFYRSNKNPLSGTLVMAVPETQVQTVPKWVQLFGKNTPIK HKPPGPA ACHE_50417A MGARKRMGPATRAQDRLHSMRLRSERRLNKHNGKEDASMEDAPE VCETPTAPPREPTTPQHPPEQLRREIPMQAQHFPCNPPENQYPPTQPERDTPPTTPAH ESPQSQLGLELQSHIAAAVASKTAQIKTTGDEVLELVSMVSQKVIDWEKQSLQGAASL GRDIRTLVLNFGKNLTTGDPSEQENYHPRTRHTTAMPKLLDPHITPPGHSPSYPRPQA SHHNQRNLCAFFSASPRTTQPVKLARTPHWTY ACHE_50418S MVLLAANAASRGAGAGPGVVIVPALCALFYAGPGGFMLDWCVLA EELDPFGHCLNLSFWDCHDKGARQRILI ACHE_50419A MGARKRMGPATRAQDRLHSMRLRSERRLNKHNGKEDASMEDAPE VCETPTAPPREPTTPQHPPEQLRREIPMQAQHFPCNPPENQYPPTQPERDTPPTTPAH ESPQSQLGLELQSHIAAAVASKTAQIKTTGDEVLELVSMVSQKVIDWEKQSLQGAASL GRDIRTLVLNFGKNLTTGDPSEQENYHPPHPAHNSYAKTVGSPYNAPRPQPKLPKATG KSPQPEKPLRIFLRLSKDHPARQASPYATLDILRKHLDGTCSAAIKEIQQVPSGLAIW PKDGPGLQLLMEHRELLERLIQGAMSRRSTRMMERPTHGFYLGSYRRE ACHE_50420A MNLRHSAEGKKMSSASQPRPVEAQYQDEFYRRFTHVAGRGVPIS SEWSRTKDGRVDYYIPEKNWAIELVRDHDEVDEHISRFKSGGKHHPWLEEKMIEDWII INCATSLPTKEFSEPKLWHAVFINDYSELQLYNYQKALMMSVHLHN ACHE_50421S MAPLKLNGKNLSPISAGEAQVKVPTYQRGGAVKEGIVHVGVGGF HRAHLAVYVDELMQKHGVDDYAIAGVGLQPFDAAMRDALGSQDHLSTVIERSAKGSMA KVVGSINSFLFAPDDQEAVIAKMAHPDTHIVSLTITESGYYYNENSHELQSEHPDIQF DLNPTNDKAPRTTFGFLYAALARRYHQGLKPFTVMSCDNMQKNGSITRRMLESFARLR NDSVMANWIAEQGAFPNAMVDRITPQTSAADKTALADTFGIEDSWPVVTEPFMQWVIE DQFSDGRPPFEKVGVQVVKDIHAVEEFEKHKLRLLNGSHSAIGYPGQLAGFKYVHEAM ENPLFHKFVWQMMQDELPRICLNASGKIPQFIMPSIAESIWTTSPFRRLCFVVAAWFR YVSGVDDNGNTFAVADPMREELHTKAHAGGTSPAELLSIKSLFGDDLRSDKRFLQEIT TAMEGIARDGILKTLPKYVD ACHE_50422S MPLPGTKSARLLDMLSLKGKVVVVTGASNGHGIGIEVARGCAEL GTSIALTYFSRSESCLQNIGDLTRDYGVTSRAYTRDISRWTSVQETVSHIVKDFGQID IFVANAGRLTDSGVLEGSVESWEEVIQADLNGTFYCAKAVGPHFKERGSGSFIVSSSI SGHIANYPQEQTCYNVAKAGCIHLAKSLANEWRNFARVNSVSPGYVETDISDYIPKEK KDM ACHE_50423A MQATRKRGSVTGLDEVTRTPSGIRGLVQNRGCLGLALFASLGGV LYGYNQGVFGQVQVMSDFEHRFAATLNNSSTKGLLTGILELGAMAGALGSSPLADKYS RKLSISAWCIIFILGVALQVGAPSNVAFIYSGRWFAGMGVGGLSVVVPMFNAELAPAS IRGTLVGLQQVAICFGIMISYWIGYGTNYIGGTTYPDQSSAAWRIPLALQLIPAIILC VGSWFLPYSPRWLMLVNKEEECLAVLARLRKQDASSPAVQYEYRSLKVEAYADRETSR LRYGTEKKNWRTEVLEYKRIFTTKVLLHRVGLGAGVQAFGQWSGINSIIYYAPTVFAQ VGLSGGSIGLLATGVVGIVMFIFTIPGALLVDKAGRKPMLFWGLANMGISHAIVAALI ATYGDNFEEHKSAGNAAIFFIYWMILNYAMPYGPIGWVVTAEASSLDIRAKGVAIGSA VNWIMNFAVAQVTPVMLTNIGYKTFIVSMCFCALGLVWIYFVLPELKGLTLEEIDAVF ADEVSAEDRLRRERLAVELGINGMADLAADVGIIDEHKDSSSKREVEMVEE ACHE_50424A MTREAFENAIVVNAAIDGSTNAPIYLNSVAQHMGVQVSIDGWDL IGSQIPLLLNMQPSGQYLGEEYYRAGGLPAIMAELLDAGKLHGDTLACNGRTLMDNVR GRHSWDRRVIRPCDDPLMKDAGFIHLKGNLFDSAIMKTCVISPAFRQRYLSDLKDPGA FEGNAVVFDGPEDFHRRVEGVSHIDERSALIMRSVGPLGYPGAAEAVNMDPPGRLIKE GIDALFCVGDGQQSGTSASPSILKC ACHE_50425S MGKRIIITGGSGKAGQQVISYLLQQGHDILNLDLTPLPAELGDH VHTIRTDLVDTGQVYGAFTSHFRLTEPFYEPINLKPDAIIHLAGYSRNMIAPDNETFR GNMMSTYNVIEAASRLGIKKIITASSVSVYGISFAEGDVNFPYFPVDEEVDPDPMDTY AISKLCGERVARGFARRFGIDIYILRIGRVVSPNEYTSDMFGSYVKDPAQWKVHGWSY IDARDLGQICDLGVQKDGLGFQVFNAVNDEITNYTSSVTEFLSVVCLETPIVRKMEPR EAPVCNSKIKELLGFSQDHPWQVYYDAGH ACHE_50426S MPLYQSPDVHAPVLSQFSLKGKIVAVTGGARGIGIEVVRGLAEA GADVALIYTTSAEAPEAAARIADETGVRVQAYQSDVTSRDQIAATINQITEEFGDGRL DVVVANAGVCTNSPSLEYTEESWARDNRVNYDGVMWTAQAAGKIFKKQGKGNLVITAS VSSILVNIPQTQVAYNASKAAAVHLAKSLAVEWTDFARVNCISPGFIMTKMLTQQPKE LFDKWLSMIPGGRICDPAELKGAYVFLASDACPYMTGSNIVIDGGYTLP ACHE_50427S MADNREKKPYFGFTGTSLVILITVACATDMTLFGYDQGVFSGVV VTQDFLELHDLVGPTKTKTLSTVTAIYDVGCFLGALVSFTVGERLGRKNLILVGTTVM SIGALLQTTSYGLAQMFVGRVVAGIGNGINTSMGPIWQTETAPPQWRGKLVLVEMVMN IFGSALVNWINYGLSFYGGAIAWRFPLAFQFFFIIILFSTVPWLPESPRWLFSHDRAT EAVEVLACLEDKPVNDPFISTLRQEIEYSIHYERENQTQWRDLFLCKRNQDTKTFRRI ILGAGTQLMQQFEGINVMSYYMPTVFMNSVGLSNSMSRLLTACNTSSYFVFTCNAVLL VEHLGRRGLMLLSTFGQFVSFLIITILLCLAEDRPTGSAVASASVAFFFLFYAFFGLG MLSIPWLYPTEISSLPMRTKGASVASATNWICNFIVVEITPIGIQNIKWKFWIVWTVL TATYLPVIYLFYPETANRSLEDIDAYIDAYYRSNPSLFVIRDPDGICTKRPQKYIQHE TEEVQKNSKQRTLNMYKTQSHMVEHVE ACHE_50428S MHIKTGNYPSLQMAQGSSGHPHHRLEKVVDLEFFAFAAPAVSGP MVPFSASVATSGPASSSSSNNNGNQVSRFASVSSASAQPPTDSDNDESDGSVSEPPAS RTTPTRRQPTNKQQPSNSKQRATTVSKRPLTDHPFPA ACHE_50429A MPIPIPQANNLTDLFSLKGKVIVVTGASNPRGIGFEAARGCAEM GASVAITYFTHEDSAKQNAEALTKDYNIKAQAYHCDVRDYAAAKTLVDQVLQDFGQID GFIANAGRAADAGVLESSVADWMEILQTDLNGTFHCAKAVGEHFKAQGQGSFVVTSSM SGHIANFPQEQTSYNVAKAGCVHLARSLANEWRDFARVNSVSPGYIDTGLSDYIGKET KDLWISMIPLGRNGVAKELKGLYVYLVSDASTYTTGADIVVDGGYTAR ACHE_50430S MENASFVLRDIKDVVIEDRTKPELKDPYDVMVHVAQTGICGSDV HYRIGDYVLTGPMVLGHESSGVVVETGSKVKDLKAGDRVALEPGIPCRRCKYCRKGSY HLCGDMIFAATPPPPWDGTLAKYYVNAADFCYKVPDSMDLEEAAMVEPVSVAVAISKT ADLRAHQTVLVFGCGPIGVLCQAVAKAWGAKKVIGVDVVASRIEVANSYGADQTFMPP KPEPGTEPMVHAKKVATMLKEQCGLGDGADVVLECSGAEPCVQLGIYAAKRGATFVQA GMGKENISFPITAVCTRGLIIKGSIRYLTGCYPAAIDLISSGQINVKPLITNRFKFEE SEHAFELVKAGRQDVFKVMIAGVQ ACHE_50431S MHFYLLFLFTSLVSAIPASSSITPPPPAEPVQLLSQSSDPSRPW TRLRNWIIETVWNIPKYPSQRPSKDAGRDRSPPSRVLTRYGSDVVLRFRVQHGYEAEA LAQASDILFLDVWASTPEFVDIRLAQEVIPSLLGLLPDSLRTAYTPLIDNLAELIYAS YPTRQSIGLEGQSGFVSFRPPAQATDLFFHDYQPFSVLVQWMRLMASMFASHTRIINI GISYEGREIPALRIGGKSDASPDSPRKTVLITGGSHAREWLSTSTVTYIAYQLMAQYG NSKDVDRLVNAYDWVLVPTINPDGYAYTWESDRLWRKNRQPTSLHFCPGIDLDRAWDF GWDGESTRSNPCAETYAGNEPFEGTEAQQFAQWALNETREHDTNFVGFLDLHSYSQQI LYPFSYTCSSIPPTLESLQELAMGMAKVIRRTTHEIYDITSACEGTVTTGAFGPSSDE SKQFFPRGGSTGGSALDWFYHQLHAAHSFQIKLRDRGSYGFLVPAEYIVPTGKEIFNA VVMYGRFLMGDTIQMDDTGEDEDNVPEYSMGTY ACHE_50432A MAVKEEASDVHVKNELVEEGNVDQHRNVELHRALKSRHITMIAI GGAIGTGLIIGTGEALAKAGPGSILISYAAVGFIVYLVMCGLGEMAAWLPLGSGFTGY SVRFCDPALGFAIGYTYWFKYIIVTPNQLTAAAMVISFWVDTERINPGVWITIFLVVI VLINYVGVRFFGEFEFWLSSFKVIVIIGLILLCFILMLGGGPDHDRKGFRYWKNPGAF NTYIDDGAPGRFYAFWSTMVSATFAYLGTELVGVTVGEAQNPRKTIPRAIKLTFYRIL FFYVLSVLLLGTLVPYNSPELASATNSSNSNSAAASPFVVAIKLATIPVLPHIVNACI LIFVFSAANSDLYIATRTIYGLSREGKAPAFLSWTDNRGVPIYSLGLCSLIACIAYMN VSSDSQTVFGYFVDLVTIFGLLTWISLLVTHIFFVRARKAQNVPESDLAYTAPFGVGG SYFALVFCVIIALTKSYDVFTHSPEWGNFDYKTFITAYLGIPLYLMLIFGYKVFTGCK RVKPEEADLWTGKEEIDREEAEYLAVQAMREPKGGWFYEKFVSWLF ACHE_50433S MVSRAVWPVLGIQPLNHLEHATQIIAKATALLELDSTKRAKLPS QFLEAFVNSMITLAKKTREQPSAQEILGKLNSLQPMRGHHPQGCSQQRTHHANSSPQL HQMQPLKLPPGQM ACHE_50434S MGKKKEIVTKGSEASDLDEQSEKDGEPQQTASTGSYFRLFSYVT GRDRIALALALLCSIASGVPLPLMNIIFGNLVGEFQGYFMPGTQVTEGEFKASVNRLS LYIVYLFIAKFVLTYVSMFSFRVIGLRVSSSVRLHYMQSLFAQPISKLDEVSVGTVTN TITTLSNTIQQSISDKLAILFQSLALLITAYIIAFKYSWALTLVTSSSLLFILICCIF IMPVMTKIQKQVDKADEQHSSISAEVFSSIRTVLSLGAEETLVIKYANWVDESRKRGQ KMSTVLGLHLCLMFFAMYASYALAFWFGLKLYREGHIADINTVIIVFFSVMMVVSVLG GIASPLMIISKATSAASSFFEIIDLETMDRGGLKDLEASSEVDIALQNVHFAYPTRPD IPVLKGLNARFQKGKTTALVGPSGSGKSTIVGLLERWFELRNHQGNIFAGEHDLTHLD LKWWRSQIGLVQQTPFLFDDSIFNNVAFGLIGTKWEHETHEVKQRLVEKACKQAFADE FIKRLPEGYDTLVGESGKTLSGGQKQRLAIARSIVKEPSILILDEATSAIDVHGEKIV QKALDQLSKNRTTVVIAHRLSTIRKADHIVVLKNGVDVEQGTHDELLEIEDGIYRGFV NAQSLAHLTDDGPDGSEGSDALEKEASAVQNFHGPEKEEIQRKYKKIGFFRSIGLILY EQRSHWLFYSLTLLGAAGAGSSYALQSWLFAHIIEVFGYQDQRLADAASFWALMFFVL ALGAALCYFPVGFSSNTFSMKISSFYRKEYFRSILQKPIPFYDLNENASGSLVSRLST DPKQIQDLLGTNGAFPLISIFNILGCIIIAFTFGWKLSLVAVFAAMPFVLLAAFMRIR FELQFEAMNAEVYSGSSQFAAEAISAFRTVSALVMEDAILGRYLGLLQTQRKRACRKA LYATLIFAFSDSVELCAMALTFWYGGQLLASREYEPTIFFVIYMATIQSSQSAGQFLS FGPNIAQAVGSANRMLSYRPTSAPRDDLKQLAPADYQSAASVELRNVAFKYPSRDVPL FTGLNLNIRSGQFVAFVGPSGCGKTSVISLLERFYDPDQGAILVNDQDISSIEISSYR EALALVTQEPRLFNGTIKDNITLGLGDKGITNEEMIRACKDAEIHDFIASLPDGYETT LGTNAQTALSGGQQQRLCIARALVRKPSLLLLDEATSSLDSQSEKLVQGALEQLAGRR ETTIIAVAHRLATIQKADVIFVFGESQSGKGSRIVEQGTHGELLRGRGVYWHMCQEQA LDR ACHE_50435S MGVLRFPRPTGIYVPRSTTPILTTDMISPPLSPSIYGDSDNVTA SIIPALEYISSKFQQKFLHVTLLIGRGNPFPTSNASNQMMVIPVSDLDEPSWRLLYRT VSKAVRKFALDNSWIEALTRCQHERDAHWYLIQQSIRQNEVLFSSEGLTLLNMDRIYT FKRRLCILSHRELDVSDDHPYIISCIRLLHRTIADFQGRPFSKAFFHRVYEQLDVRDE HLARVARVYQSEYEQEGIVLPRPTPQPDHRHHQSHSATAARARRMPVRPVRRRGPPPT SSISPKQGKRGPKTPLSASDVTPITRNEWNLLVAGEIQRAKASVTKWTPSPTVMAAA ACHE_50436S MTPEQNPPVAVTNEVPPDTDSPKPKWYPRALIRQAERSHRRLPG IRKVPLRAIAAILFIALVNVLVWIAAAVVLHYYPSLASNAVLSYTLGLRHAFDADHIS AIDLMTRRLLASGQKPVTVGTFFSLGHSTIVIITSIVVAATAAAVSSRFDSFSTVGGI IGTSVSAAFLILLGLMNAYILYKLYRQMQKVLNLPAGQEDEAWKVEGGGIMFNILRKM FKLIDRPWKMYPLGILFGLGFDTSSEIALLGISSIEAARGTNFWVILIFPILFTAGMC LLDTTDGALMLSLYIQPAANFLPPKQDGTIASGDLLTDQEQQQPTEDIHTAQNHRDPV AFLYYSIVLTTLTVIVAIVIGIIQLLTLVLNVAEPTGRFWDGVQTAGDYYDAIGGGIC GCFLIIGLLSVFVYKPWRRWIARRHGRPVAGPAHEDGSYRDDFTDEEDANSNANRVGV QGVQAQGKTGSQVTVRPAGESST ACHE_50437S MSDSTLSHSPSQDGPLTQAPNFTSFPPMFVLPTHLTLDELHRVE DVLGNRGARLTYDICEARLVIGNVGQKKRAALELRARGVWTEELVSEEGEIEGDGEPP VKRRRVSVREEKADGQAVEQLQDVDVSTESEGEEGGVESQHNPGKQLRKRSLSAASTS TTGSEQTNVIRVVKLQWLEKSMEAEELLPIDPYIVYRARIIDPPTTAKPTTTASDIMQ RAQKDALFKPPATSASHFHTRRQNPAQPDGGSQAHPIPKLYRQTTSEHDEIIPLLPVP DWVKNRVMYACMRSAPLHPPNESFINQLVKIRKARELTLDEIGVRAYSTSIAAIAAYP YQFHRPSQILQLPGCDAKIANLFAESQASDTGTCQSADLLETDPDLKILSLFNNIWGV GAKTARDFYYARQWRDLDDIVEYGWSTLSRVQQIGVKYYDEFLEGVPRSETEHIASTI QRHANLVRPDADYDGRGVECIIVGGYRRGKAVSGDVDIVLSHRDESVTKNLVVDLVSS LESEGWITHTLALHMTTSNRDQQTLPYRGDDNFPGRHFDTLDKALVVWQDPHFSGPDL NTQSEAAHKKKRNPNTHRRVDIIISPWRTVGCAVLGWSGDTTFERDLRRYAKKAHGWK FDSSGVRLRTSGGQVLDLERGGRTWEERERLVMEGLGVGYRAADERCSR ACHE_50438A MASGRPPGFNHGRAEDDLLQLEDTTPMYSTGQPPPVNDEHLLRR FDIDDSDYPQARPSVSYDDFVGGGHQHYPQQAQHQPQPSHVGAHAHVVVPPAHVPQVG AILHDPYSGADMSRTYSQTSGLSNYHRYSLDDYEDERSLHGYYDMDRDDDTVPSSQHV RMAKERNSILGLGGGFMGRAKHMLGMGGEYSEMDLPLTEAGARNARVESAEPDETVPK KSRKPDFKFGFGRRKADPSTLGPRNIVLNNPPANAVHKFVDNHVSTAKYNIITFIPKF LFEQFSKYANLFFLFTAVLQQIPNVSPTNRYTTIGPLCVVLLVSAIKELVEDYKRRAS DRSLNYSKTQVLKGSAFHETKWVDVSVGDIVRVESEQPFPADMVMLATSEPEGLCYIE TANLDGETNLKVKQAIPETAHLVNPSDLSRLSGRIRSEQPNSSLYTYEATLTMHAGGG EKELPLAPDQLLLRGATLRNTPWVHGIVVFTGHETKLMRNATATPIKRTAVERMVNIQ ILMLVTILVALSVISSVGDLIIRQTQSDKLSYLYYSGTNPVKQFVLDIFTYWVLYSNL VPISLFVTIEIVKYAQAFLINSDLDIYYDKTDTPATCRTSSLVEELGQIEYIFSDKTG TLTCNMMEFKQCSIAGIQYGDDVEEDRRATADDDEADGIHDFKKLQQNLNFHPSKDAI HHFLTLLATCHTVIPERSDDKPGEIKYQAASPDEGALVDGAAQLGYRFTNRRPRSVLF TVAGQEYEYELLAVCEFNSARKRMSTIFRCPDGKLRMYTKGADTVILERLHPDNPIVE TTLQHLEEYASEGLRTLCLAMREISEQEFQQWYQIQEKAATTVSGNRAEELDKAAELI EKDLYLLGATAIEDKLQDGVPDTIHTLQTAGIKVWVLTGDRQETAINIGMSCRLVSED MTLLIVNEETAQATRENISKKLQAVQSQGTSGEIESLALIIDGRSLTFALEKDMEKMF LDLAVMCKAVICCRVSPLQKALVVKLVKRHLKSLLLAIGDGANDVSMIQAAHVGVGIS GLEGLQAARSADVSIAQFRFLRKLLLVHGAWSYHRISRVILYSFYKNITLYMTQFWYS FQNAFSGEVIYESWTLSFYNVFFTVLPPFAMGICDQYVSARLLDRYPQLYQLGQKGLF FKKHSFWSWIANGFYHSLVLYIFSQLIYLFDLPMADGKTAGHWVWGSALYTAVLATVL GKAALISNIWTKYTFIAIPGSMIIWLIFLPAYGYGAPAIGFSFEYYGTIPPLFTSPVF YLMAVVLPCLCLIRDYAWKYAKRMYYPQHYHHVQEIQKFNVQDYRPRMEQFQKAIRKV RQVQRMRKQRGYAFSQADEGAQMRVVNAYDTTRGRGRYGEMTSSRNLV ACHE_50439A MNKEALLSIAERDFILDALREDVRLDGRGLDQLRPLKVSFGEEY GHVKVQLGKTTLIIRVSSEVTKPRDDRPFDGVFTIALELTAMGSPAWDNGRQGDLETY VTNVVDRVVRHSNALDTESLCILKGISCWSIRADIHVVDYDGNLIDAACIGVMAGLQH FRRPDAVVKDGQVVVYGIDERLPVALNITHKPLAVTFHTFDEGKRVILDATRKEEQAS EADVVIGMNGAGDVCYVSKFSGAPVDAMVFVNKSTVALEKVKELNGIIDKALQADLAK RTDTGMVEQSRAENDR ACHE_50440S MTTAHRPTFDPAQGREALRGPAYHQRLLPAHMHLKTRQLGQGSE GEVQQRDLRAELLQAEAAHFAKKRGVPVDEPIIESAPKRQIGGPPSGGDDSGAAGEIE EDPEAKRRRILEETRDIDADSDGSEDDSSEDESDEEDEEAELMRELEKIKKERQEQKA KEERERAAEEEEQREVDIARGNPLLNSQDFNLKRRWDDDVVFKNQARGTEQKRGPEFV NDLLRSDFHKRFMSKYVR ACHE_50441S MESQPTAKTLHNRINHNISQLLQRFENIMATATVENTSHTSTAV ETYQLDVESTALIRAAEDILSLTRAMKEIWLFGKLDTLGEDERDIKRREELEGDAEAV QKVIEEVLK ACHE_50442S MLKPKTKMPSLSTPLTLIVATTPIPHHPSSTSTQNAQPKLGIGL NGTLPWPRIKADMSFFARVTSRPPRPNTTNAVVMGRKTYESIPEKLRPLGKRVNVVVT RDVEGNAGRVRKELEGKRERDVKRAAEAQAQGKTGGAGTEGTTDAIVSESLEAAMREL ESAYGAGEDSKLGNIYIIGGGEIYASTLRSGAGLNRKIRIVMTNVKRKGNEGYDCDTF FPVDDLSAESGWREASSQEVSEWVGENVDGEWRDEGEVVIQMVGYERV ACHE_50443A MPRSIASDLNRPPSRIQLPDEHNDPFHLRTAPPSPSAYRRGYGL VPEEPHSGYQSLREFGETSSLLGKSQDNPTGSRGRSYATINDRHNLAAGSLRRSRHHS RANSQVPRFASRRASMDTERPESVAPSLKDPLTASFLDQRAWYDQFTSTDWVHDSIAD NARLRQLRQRKDIRGRLLARVDGAQGWILVAVIGCITAAIAYFVDISDGFVFDLKEGF CTTRWFHSRSSCCRGNMDCNEWYSWSSIMSPARRNNEWVNFGMFVLWVVVLSVVSCSL TLLTKTVVPSTVSLATLDENLGADSSRGRRSDIGSHSPESSSSLCALPSVPPSRPAKV YYPAAGSGVAEVKVINSGFVLHGYLGLKTLVVKTVALIFSVSSGLSLGKEGPYVHIGT CVGNICCRMFSKYNLNDGKRREVLSAAAASGVAVAFGAPIGGVLFSLEEVSYYFPPKT LFRTFFCCIAAALSLKFLNPYGTGKIVLFEVRYLSDWQIFEMILFIFLGILGGAAGAL FIKASNLWAKSFRRIPAIKRWPMLEVVLVAVLTGVVSFWNRYTKLPVSELLFELARPC DDPETEIATGLCPRSEGIGEIIRYLSVAFVIKSLLTIVTFGIKVPAGIYVPSMVVGGL MGRIVGHMVQYMAVEYPDYLPFAACPAYTGMESCVTPGVYALVAAGATMCGVTRLSVT LPVILFELTGSLNHVMPFSVAVLCAKWTADAIEPRSIYDLLTDMNSYPFLDNKLQTLS DAELGDIVRPVRNNRIIDISSSPWVPATELRAKLENLLMAGELDSGLPILRHGVLAGL IPAPDLEYALDNVVDEENALCLMTMDASSFGGSDDEDDGTADRVDFTRYIDPAPISLD IHSPIDLVYQCFAKLGLRYLCVLQNGLYAGLVHKKAFVKFLKENE ACHE_50444A MVQIYLAFLREWIIYMNPTTQTDPRSWNIQKHAFHGIGCSDSTF RANPPQEMYNLIQSQSQQGTFADAFVPQVWVCAQWKMNPAERYEGSWRNISTSFPILS ANSPYDPITPLSSAYELPAGFKNSRVVVHEGYGVGF ACHE_50445A MAVVVSGNAVFGTDNTLNEEIAEIWEMEERMDQLVKMSPGEDYE KNLKSESVLEKLNAVQSGHKKKSEKWARLKNTFIHTLTVSPTLEA ACHE_50446S MLLERCRTEYPGIAAGPDSIYEHLTAVYARLDIHASGFDNERIP ILKLASPAQVAGLGSVVPDSFDSLPQADDALTILQNWMVHHLVALLIHRRQSEESASI PFDLALETLQLEHQFLQFVDATAKLASTANVKSDTIMQQHIAVLQIQAQTSHAILVER AKVPGYAIDQLIDESTFQFDIALSNVSALYSTWRTDEYSNRGFTLSTNIIATLYYICM KTKHRPTLQTALSLLQDSPFSARDGLWDAKTAASVVQGVMPKQEAEEVKLEDVGSDVV NARGGLDGVFQALQIRENNMA ACHE_50447A MYINHESPHSSSININIHRLGTKEKKQSGKTKCVFSTSSLASTT LATTLPLTRRAPTSSTASRLKATKYGTIFDVPATIGKQTFQLLVDTGSSDTYVMQTGF ICIDEDTNSTIPEAECLYSNHTYNPDYSDTYRRVEDQYFGIKYGAGIASGDLAYETVS LGGLTVKNQKVGIAQRTNPMGDGVNSGLLGLAYPSITSAHPGNASSSDNSTCFFNRKV YNPLLYHMHQQGVLKEPYFSLALASTPQNDSTAFGGYLSLGELPPVKHSDQWATVPVE IYRTIPVEFTSGNRTRFWWTTTVQSVRYGPKSANKGNSTAFQTFVDSGNNISYLPAAV VDSVNALFDPPATFDDSSKLYVVNCNAKAPLFGLQLGNQTFYHNPADLIQKVDDDLCV SSLAASEDARVGDITVSILGVSFLKSVIAVFDFGKDEMRFAKRLN ACHE_50448S MSLETKESSIEEIDNGKAYAENVEQGQIMMTDIKSLLSEPHREY LLQRHGTLELDPIPSPSGADPYNWPTWKKLINLVLVAFHASISTITASSITPAYKDIA IDLGVSLQRASYLTSLQIAILGGGPFIWKPLSHRFGRRPIFLLSLILSAVCNVGCAKS PTYASMAAARALTALFISPAAAIGSAVVAETFFKRDRAKYMGVWTLMITVGVPIGPLV FGFVAYRVGYRWIYWVLAITNGVQFILYLFLGPETRYVGGSVEDQSSTLKSQYLSLRR IDPSSLTWMEFIRPLFMAKHTNVLFPSIIYAMVFLFGSVLITVEVPQLLQEKFELNTE QLGLQFLGVIIGSVLGEQIGGSLSDFWMNSRARRIQRKPGPEFRLWLSYPGILLAIVG IIVFLVCTEQAPEGHWVVNPIVGTAVAAFGNQIVTTVMITYAVDCCPQDPGNVGVFIT FVRQTWAFIGPFWLPDMFENVGVAASSGITTALMVIFSLVFAVLLHAFGKKWRPGIE ACHE_50449A MTTERYTLPPGSRILVTGANGYIASHVVNELLSLGYVVRGTVRS PKPWLNEYFEQRYGRNVFETAIMTSFEDRDAIGHVLDGVDGVAHLASDLTFGTDPKAV IPWVVQASLNILEAAAKRPSIKRVVLASSSSATYMLSPDPNGRQIHKDTWNETAVKAA WDERTAEEDKGVAVYAASKAEGERQSWKWVEQHQPRFVFNTVLPCFNVGRILHPEIPG STMGWVRKLLQGDNTAFSRFPEQYYVDVEDVARLCVIGLLDETVKSERIFAFAEQANW TDTVTMLRELRPNNEHIPDPPENELRDRTEVLPRGRAEALLRGFYGLSGFTSVKDSLE KGIEGWE ACHE_50450A MLAKIARGILSTPASGAAVERLFNCARDVCHYRQGQLKPDTIKG LMLHLFSPKFELEQSELQMIKENLSSGDAAMLDQIMKPVPLNEVEPISDNEEEGCEDD DLSDDLDSSDDDHLEEELTLTQIITQRKQA ACHE_50451S MPILRDAIRAGLPHFPPELGIQVSIGECELDSDGRILFRKRRWV PNNEPLRTRLMQEAHDSPLSGHPGSNALYSLLARQLFWPNMSADVKRFVKNCDQCGAT NIWRDRRQGLLKPLPIPDRKWRELSMDFIEGLPESNGYSAILVIVDRLTKGTILIPCA RTGSDYIVPKFLQHVVAYHGLPAAITSDRGSQFVGELWERMCSLLKINRRLSTAYHPQ TDGQTERMNAVVESYLRNFCNFAQDNWSEILPMAQLAIANQTAASTGFSPFFLDHGYH LETLQLVEPVTEELQQSSSGSAGARIADKLKNALEVAQSELAAAQERQEQYANRYRNL APHYKPGDKVWLALHNIRTSRPSKKLDVRQAKYTVLAQISPYAYRLNTPEGIHPVFHV DLLRPAANNPFPSQRNDDYQPPAVLVDGEEEYQVERILDYRQIRRGRGFQRQYLVKWT GYLHPEWTAAHNMENTAALDEWEQRHGSQSPVRDGDDS ACHE_50452A MSDAKDHPKHDTHKEVSEEIKAIDFEWTPELVKLGTSRGSIHPS IGPIKQSDGFLNYIYRPGMALPDPADTRECLKARYYTDDFEYTREGYIKKGREQGLRS EFAIFSGLHKDDPRAINDPFLFKEDWFSKGPEGIIVKG ACHE_50453S MSGWMMDFSRVPKDTKSLKNGLTNASEITAARAEHLVDALIEWP SSRTPDLVALLTAISKTTDNLHWNEAVDDDEEFLACDGVPYINMVWRDANWMMMHVII KKCSKSDDFETSKRNARDNYLKTQDVEAQPRGSGARSI ACHE_50454S MSTNDPETLCQPYDQFILFGDSITQNSCQRDLNFGFFGALQDAY IRKLDVVNRGFSGYNTAHAVKVFPKFFPTPETATVRFMIIFFGANDASLPRNAQYVPL GDYKKNLQTLVGHPATKAQNPKIILIAPPPINEYQLESFDASKSLQHPSRTAHHTKLY AEAARDVGTSLNVPVADLWTAFMNVTGWKEGQALPGSRDLPNDENLSRLLSDGLHFTS EGYKVMFDVVMETIRKNWPDQDPEKLPFVFPGWVDAPK ACHE_50455S MRSGILSVICPVTSKAITQPFRTCWASQTPFLRILSRPVTTTAT AIPRPRTSPPPSRFVIHKRPLTMSSDKIPDRYKLIFYVPHSHLEPCKEAVFAAGAGVF SGGKYSKCCFQMPGQGQFQPGDGANPAIGSVGALEYVEEMKVEVMCVGRSIMLNAVEE LVKAHPYEEVAYEVYKMENV ACHE_50456S MASKLFPAAPRVGRQLLQQLPKSQCRAFSAGPQLFSDALAVHRN KPSNNPTLPFKFNDQNLQLIDEILKRYPPQYKKAAVMPILDLGQRQHGYTSISVMNEV ARLLEMPPMRVYEVATFYTMYNREPVGKYFVQLCTTTPCQLGGCGSTKILEAISEHLG ITPGHTTEDGLFTFIEVECLGACVNAPMVQINDDYYEDLTPESTKALLTALKDSAIAT DKSVKVPAPGPLSQRESCENSGGLTNLQDPPVWNPETMMRTDGALDAQQ ACHE_50457A MPLQARKRKPTTTPRSRRSTRRKPSKQTSTTTSPSPSSTTTATT LEIPVPSPPDPTAHGLPRDIPEVVRSLPYAGKKSPRTLLARGLPPMYQLSNIYQDMTR RAMELGFGDVVEHLGGRALRVVTVCSGTESPLLALEMVQRSLREDFGRCFDFKHLFSA EIVPFKQAYIERNFHPRYLFRDVAELKDRVAQTAYGSLEKIPKSPDILIAGFSCVDFS GLNNNRKTLDEKGESGGTFWGIIRYAITYRPRIVILENVKNAPWDEIKENWNEIGYFA VHLAVDTKAYYLPQTRERGYMFCIDRSLLEEREIPETDMEQWLALFTGFKRPASSPAG MFLMDSDDRRLEQIERDMAAYITSSSAKTAVNWARYQIRHQGYRLEQGLGHRRPISRS QEDGTCQMPDFAWQTYVRSLPERVWDTIDANFLRKLGENGYDMNYKERCIDLSQGLDR ETDTRAYGLVGCITPCGIPYITTRGGPLCGLEALSLQGLPLDRLLLTRERHRELQDLA GNAMSSTVVGAAVLSALIVGYKVLNPGDKTAPKKVESKRKQIIPRDDYRLVSSGVPLG HVFSVDIAYLQMQAARSARYCTCERQTATQQNILRCTLCDHTACSVCAGNPTHAYERW SSLTRSQPLDFVSHLKNILPTRLVLSGISPEDYDSLSTTSLGCPPAVWNDFLEAVSRA IGDELRFLDIKRSEDWTVSYQGRYSILNLIISPTSIHWLFFAKPSELEPALCLNREIL SKPIARMTPVRESLLNGEWEVCAPISSRCTMAFSGTGDRVMSYEARCGLQMKQFIGSE VWSQITVQAADEDVRDLEIDIRGTYELLPECGTANASLHKKDATAGTPAVYFFLDPHK VNEAENDSFVFSLEHRRIPGYAPRLTVAELSHIWRPSRATTEPEHVNMYYRKWTKAQT AHLTLYDSDTPITCAKLHPGTEISIGRSECRDANITLLSFSAPASLIKSSTAKDSWEI INPIESSDMLTDLSWLLQRVAGFSDFQQWTEVTNRNNLIPSNEDPICTVCIPPKPRTL WGRNRKGWIKAYEDPYDAALYERQVKAKPSPFLIFRRVDEDDLGHLRVTLNIQTLLHQ ACDKLVGPNIGNEVAFFWRLVPNAYDARNLKFPKFKLSSNKHDPQSIQPPGFRLGLRP EQLRSLSWMIGQEGDDVAPFEEEETEEAFLPLMMWRAEARATTQKAVRGGVLADDVGY GKTAIILGLIDIQAQRARHTVQAPIEGLIPSNATLIVIPHIMLPQWKSEIEKFLGNRY KVLVFQSAASFTSKTIRDVRSADIILVSWSVFNNGGYYEKMHKFTGMPRVPMKAGRNF DHWFKDAQVSLRSHVQILMDQGPNALLKAIRAKRQEVKDKQANSTYVPSRRLRGKVYT EANIEKLDTDSKMHYADVSSAEEESDASDSEYPDRLRANVDRHLKLRATGSLGAHHTD GLDDSDSEGQETKYEDSSTDDPADTRNCCRKGRGRKRKNDSGSVSSGKGKWNDRKEFN ITEDDSQDWTTVKTPLLHAFSFNRLVIDEFTYANPERLAPLLSFEAHSKWILSGTPPL NDFADVNTIAPFLGIHLGIDDDDYDDQSQNKRLKTIWKHRSEAEAFQSFKIPHSEAWH RRRHEVAQNFLNRFARKNIAEIDEIPCTEHIVLINQSPAERAIYLELYKQLMAQNRQL RRRNQGQFGNDQIERLDEIISSSSSPEEALLKRCSSLALQGRWDDGKPEAVTCETLLA IREKQLDALVHDIRMKFKLAAWVYCSCDLKYESFQKFVESLIRHDFGDSEVTEKAYPL LKGAVFMSKHDDWKFFFAELRDDGSSERIVEFQNQSESDASDIDIKEEDDENFLPSGS LKKRKTNATGNGIRSPSNQKTGRKNTTNSKANKIEQPDLPPKLRKTYEYEVTLHEVTS TLRNLIVEWVLRERALRFLRTVRLIQTGTEIPVCDGCDNGPNTLDDGNVLGSCGHAVC AECARETVLREECAVDGCRGSGKRFNIIKASTLGYDHKDKSATFGGSKLDKLIEIIHG IPKDEKALLFIQFPELMEVASKALELAQIKHTVISPTDRRSAQKVEQFQKTSFGDNRV LILNLGGEMAAGLNLQCANHVIFLSPLLGQTQYDYDSAMTQAIGRCRRYGQTGHVHVY HLLAKLTIDVNIFQERRERVLVEKDGRPVLVSHEEAVESEAISCEGPSLVMDNAF ACHE_50458A MAPERGGKSVFLGNIPYNLTEEQVKDILSSAGTVTKFRLMMNPE TGKPKGYGFADFADADAAASAVRNLNDYEIMGRKIRVDWPHNNEKDSVPEDYSQPSQL PGQDIHMGGAQQGSAPLPPLPPGVELPPHLDCPNAISQTLSSLPPNQLLDVLAQMKSL VMADPARATELLRQAPQLAYAIFQALLLMNLVDYSTLGTVVEQAAQPAAAAPPPPAQA FQPFSAVPTPPMVNQPFVPQATPQPAAMPGQEELLQQVLTMPQSAIDALPPMERSQIM LLRQQLMQSAMR ACHE_50459S MAQNPREQWERLQLILKQRGARGGFGFGGLPSGGGRGGLGLAAA LLVGGGVWALSNSLFNVDGGHRAIKYSRVSGVKKDIYSEGTHLRIPWFETPIIYDVRA KPRNIASLTGTKDLQMVNITCRVLSRPRVDALPQIYRTLGSDFDERVLPSIVNEVLKS VVAQFNASQLITQRENVARLVRDNLARRAARFNIALDDVSLTHLTFSPEFTAAVESKQ VAQQEAQQAAFLVDKARQEKQAFIVRAQGEARSAELIGDAIKKNRSYIELRKIENARN IARILQDNGGRNKLYLDTQGLGLNVNAGAEDTK ACHE_50460A MTDPTTLDVNVDLNIQEILLVASNHDIPKLRLLIRDNAQTGNPA NVKDPETGFTPLHAAIAACEDDSEENNAVDAANGEGQGQEQEQEKVKQAGLETVRFLL QEGAIWNDLDLNDETPGCLARRLGLGEIYETIVDAGVRAELLLNRLDGYEPLIEEEDE DEDDNEEQSEQQEGETKEETTADDQNEAVPELVEATTAVTTDADAEVTNTNYLNSNLT FQNDRLLDADHNGVMMAWESDIMSRSAKNLLPTTNLRVLNIGHGMGIIDTFFQEQSPS SHHIVEAHPDVLAEMKRKGWHEKPGVTIHEGKWSEVLPALAQEGVTFDAIYYDTFAES YADFKEFFSEQVIGILEEGGRWGFFNGMGADRQISYDVYQKVVEMDLFEAGYDVEWEE IPVPKLEGEWSGVKRAYWVVDNYRLPLCKFMD ACHE_50461A MAAPDLGTALEHAGGTGQKNEGVSISSFLASLATAIIVFAVEFL LFLLLKGKLTRIYQPRTYLVPDRERTEPSPPGLFRWIVPVFRTSSTEFIQKCGLDAYF FLRYLRMLLKIFVPLAILILPVLLPLNKADGKDHNFKNGTAGGHWNVTGMDQLAWGNV APEHVHRYWGHLVMAVIVVLYVCAVFYDELRGYIRLRQAYLTSPQHRLRASATTVLVT AIPERWLSVEALDGLYDVFPGGIRNIWINRNFDDLNEKVKERDALALKLETAETNLVI NCKKAQIKRAKAEAKKAGENAKAVGNEEKAATDNHASQFAMGAGISSGNPHQAHTLNQ VLHRPASGERKRYNPLDPAMYAAEAVGHGVGKLGKSVFGSLRKAEPGGNGPSIPTVNP VPEGNEAGPAQVSADDHSAASHEDRHEESDVDRAYTNETGGETSSATVTTESGPKLKR PFWKSRVSGNSKVSSKTEPDEYPLTGPESPIGNAESLRSDGANTHDDEKARGKKGSAH KEGDTEEGNEYPLAYNEGLDNEEFGEPVWKKYIKQKDRETMRLPIFGWSWMPSLWLIG KKVDTIDHCRKEVARLNLEIEIDQQNPEKFPLMNSAFIQFNHQVAAHMACQTVSHHVP KQMAPRIVEISPDDVIWDNMSIKWWERYLRTFGILALVCGMVVGWAFPVAFTGLLSQL TYLEETFPWLEWIDTMPDWFISAVQGILPPLFLAILMAVLPLILRFLSKTQGLHTGMA VELTVQNYFFAFLFVQLFLVVAISSSFSTIINHVTDVTSWPELLAQNIPSSSNYFFSY MILQALSVSAGALVQIFGLVSWFILAPILDTTARTKWARTTNLNQMQWGTFFPVYTTL ASIGLIYCVIAPLILVFNVITFGLFWFVYRYNTLYVTKFRFDTGGLLFPKAINQLFTG LYVMELSLIGLFFLVRDVDGTVACEGQAICMIVVMILTVGYQFLLNEAFSPLIRYLPI TLEDDAVQRDEEFSRAQRARLGLDDSDDENEGEEEKQRKETAAKLERQGEESEDNHIE LKTIRGGSERRGSSQPGDRLAPPPLGPRKTSWADRSSGQRSKYFGTHSTTSLPSVQRM RENMAEDIENQGPLAHCKRHAQALFAGIQDELEDLTPDERDQLTQRAFQHDALRAKRP VIWIPRDDLGVSDDEIYRTQRFSKHIWISNEYQALDGKCRTIFTRSPPDFSEVDLIQL ACHE_50462S MSSPASRRTRQSRDSATASPARSTRSRQQQEQTTPRASRRLREE SAMPASSPIFFQSSPSRGNHDGAETPDVRMDEPGDATPRGNASNIRDSSPINYMASSS PTRAQSRGLHSDASSGLFVSSRSNRGITSRRNDLNSGGISSTPSRRRRLFVDSNGMPV ADGEPQSDATFSNINPGTSEADVLGGDSTRIIWGTNIALQDSINAFKNFLYNYATKYR LWAEGATEDETRIMGDAAEEREYISMLNTMRQLGVTSLNLDAKNLKAYPSTLKLWHQL QSYPQEIIPLMDQGVKDVMVELAGREMNQLRARNRPQAHARDADSDALLQPEIPDLVA EVETKIYKVLPFGLDSTVNMRELDPADMDKLVSIKGLVIRTTPIIPDMKEAFFRCQIC NHGAQVDIDRGKIAEPTICPRQVCQARNSMQIIHNRCSFADKQVIKLQETPDNVPDGQ TPHSVSLCVYDELVDICKAGDRVEVTGIFRCNPVRVNPRQRTQRALFKTYIDVLHVQK TDRKKLGIDVSTVEEELQDQAAGDSEQTRKITAEEEEKIRRTASRPDIYELLSRSLAP SIYEMDDVKKGILLQMFGGTNKTFQKGGNPRYRGDINILLCGDPSTSKSQLLRYVHKI APRGVYTSGKGSSAVGLTAYVTRDPETRQMVLESGALVLSDGGVCCIDEFDKMNESTR SVLHEVMEQQTVSIAKAGIITTLNARTSILASANPIGSKYNPNIPVPQNIDLPPTLLS RFDLVYLVLDRVDEQEDRRLAKHLVNMYLEDRPDNASDEEILPIEFLTAYITYARNKV HPVLTPAAGQVLSDAYVNMRKLGDDIRTSDRRITATTRQLESMIRLSEAHARMRLSEE VTADDVEEAVRLIRSAIKQSATDQRTGLIDMGLLTEGTSASERRQRDALKRGLLSVVD ELSSGSGSARWAEAYKVLSDQSSVPVDGGEFADAVRALETEGVLSVVGDGARRSIRRV GGGRLP ACHE_50463S MRFGEHLRSSMIQEYYWYYIAYDELKKALKTDFVQEPTPESPKP DRKAWTEDDEQRFVSLLEDDLDKVFNFQRIKSEEIVRRIQASEKDVNNVISRLESNRN PSGARRSSVRSVTRPPPSDEDFLFLEQVLSDIIADVHDLAKFTQLNYTGFQKIIKKHD KQTGWHLRPVFAARLNAKPFFRDNYDAFVVKLSKLYDLVRTKGNPVKGDAAAGGSQQN FVRQTTKYWVHPDNITELKLIILKHLPVLVFNPSKEFEEKDTAISSIYYDNTDTWELY QGRLKKTEGAEAIRLRWYGGMESDQIFVERKTHREDWTGEKSVKARFALKEKHVNAFL EGRMTVDAVFDKMRKEGKKSEAEIADLEQLAREIQYRVITRRLVPVTRSFYHRTAFQL PGDARVRISLDTELTMTREDNIGRRRAGNNWRRMDIGVDYPFKQLPPEDVERFPYAVL EVKLQTQAGQEPPQWIRDLTASHLVEAVPKYSKFIHGTATLFPDHINLLPFWMPQMDV DIRKPATRRFGIQRPLASTSMSGNDETQEDDESDDDSGEAQENDRRRLEDQANGGDGL GLFADTDGNALDIEERVAAQPLPGDEDYPLYDSDDDFMDSDELEEARRVGGRYYYQKL LQYHAEQAGNAVLSGLKAIIPRPTPTNMPPPEQRGIMVLGDRRTVKRFEAPKGKRIHV PVRVEPKVYFAAERTFLSWLEFSIILGTISATLLNFGEDYITLSSSWAFTILAALALL YSLVLYIWRVDKIRKRRDVKRVYYEKWGPTVLGVGLVLAVLTNFALRVRQGGFTAPDH NFGKGGYGRVGDEL ACHE_50464S MFTKYPFEVWDALEESAARDGFDPLLRPIYFRFLTPLSIHLPMR EGVDVAVYEVSVEGENGSTNVFESLAVTGVMTLGIDHVNLLGDTIGSIVWHKGGIFK ACHE_50465S MEVLEQRAVERGFSRVGVCPALLRQNVDIRPGKEFQRKNASLAI ALAHTVLEKLGVATDPGQQSLPVEFVQTLESAVWRGRCEALRSGQQH ACHE_50466A MAEIIENALTCGVPLSGKSAEILENALHTPTTSSGTDDETRSPQ DVDDIEGTHVPFPVAIVGMAMRLPGGVSCEKEFWDFLVNKRDGLCKVPDTRYNIDAFH DDSGSRAGAIRTQHGYFLEQDIAQFDTGFFGISKAEAAKLDPQQRLLLEIIWECMENG GQTGWRGRNIGCYVGVFGEDWLDLKTKDTQDNDRYRVVGAGDYAISNRISYEYDLGGP SITFRTGCSSSLVGLHEACQGLYSGECSSALVAGTNLIFTPTMTTSMSDNMVISKSGI CRTFDAAADGYGRGEAVNAIFIKPLDKAVRDGDPVRAVIRSSAVNCDGKTPSITTPGS AAQERLIRRAYRKAGIDNVSQTAFFECHGTGTSVGDTSETSVVANIFGEEGIYIGAVK PNVGHSEGASGITSVIKSVLALENQTIPPNVHFQSPNPNIPFEKAKLQLPVEATAWPK DRSQRISINSFGIGGTNAHVILDSAPPDDTCRNVDDQPGPQLLVLSAKSKQSLDGQIG NLQKYLDTAKSSLDDIAYTLGLRREHMPHRAFALAEADGKVSSFEKTKFSKAPIVFVF TGQGAQWPGMGRELIEKVGIFRKDIQMMDRILQGVAGGPSWSIEDELSKCDEYSRVAE AEFAQPLCTAVQIALVNLLQHWGITPDAVVGHSSGEIAAAYASGAVSAGVAILVAYFR GQAMKTFPSERHGGMAAVGLGSEKARPFLKPGVVIACDNSPESVTLSGDSDTLSEVLD GIHAHDQEIFCRQLAVNVAYHSHHMVEAGKAYEKMIYPHCAHKLSMVPMYSTVSGTIV SDPSILNARYWRRNLQSPVLFNTAIQRIIGDDDQSKLFLEIGPHSTLSGPIRQSLTKA DTGKHRYLPTIIRGKEPWRSLLVTAGNLYIHSAPINLPSLITQGKVLTELPPYTWQHD ERLWDEPRLVRDWRLRRYPHHELLGSRTLESSELEPAWRNVFNIEDALWVMDHKIGTD IVFPAAGYVAIAGEAVRQVAGSTDYSLRNMFIRNALILEGSGPVEIVTSLQSVKLADN VDSPWFDFTISAYQNGKWKKHCVGQVRSGADQEHDVPLSQNYSRRIESDKWYRALKKR GLDYGSHFRGLEQITASSTTFQASAVLHGDKTPHSSYYALHPTLIDESLQLLSVAATQ GISRRMTRMCIPTAIESLYINEGRGKMDLNVSCEATSGTMCGKSTLLSNNQVVLSMKR GVFFSVQDPESNDPNALLASNLYWTRHIDFVPFEEQLPRLPEPLIRAQTVARATSVYL VEAYRRTMYSTPASDHLKKYHAWIRDQYSMIKGKSADLVPEMKETDVSKLGLHSPYAD ALRQEMREMHSLVYPTHQLAERLCSAIHDILEGRINPLETLMQNDSLKRFFEGMASLS PCGGFLRLLGQSNPILRVLEIGAGVGGLTSIALKSLTLSNNSRLYSKYTLTDISGGFL ADAQDKFREYDAIEYATLDITRDPEGQGYAPESYDLIIAGNVLHATPRISDTLQNVRK LLAPGGRLLMQELTGRIPISGFLMGVLPGWWLGEDDGRRDSPALSVERWHEELANTNF TGVDAVQYDNDEYYAITATLLSTAKARVITKERQIGLLYLSEISEWGRELESALSLTG YTVKWYTLHDTLPPGSDIISLIDLEGPFFNSLLPEEFRLFQSYLPKLAGIHLLWITRT LQTGCEDPRFSLVLGMARTIRNELGHKFATLEVDQFDTTAVDSVIKVFEKLKAQSNLP WLDSDYEYAYKDGNILLPRLEWSSFDHQLAAVPHLSKPRSLDIGFNGILDSLRWAVST SSPSELKEDEVELDVRYVGLNFKDMMIAMGFLGDTSELGFEGSGIVRRVGSSVEHIRV GDRVAVINLGLLSTQKVVPAASCHPVPEKMSLEDAAAISCIYTTAIYCLITIGNIRKG QSVLIHSACGGVGLAAIQVCRMIGAEIYATVGTSEKVTYLTDIVKIPADHIFDSRSTS FLQGVLKKTNGRGVDLVLNSLSGELLHASWKCVAKFGKMVEIGKRDFMGHGKLDMDGF LDNRSFIGVDLSVLGNEDHEALRGLVEEFTEYFRQGKLTPIRPIIIFDAGDVVKAFRH MQTGQHIGKIVVRMPEDPNTLPISKIHDTTQLFRPDASYLLIGGLGGLGRAVSTWMVE KGARNFIFLSRSGAKSLEAQAFIDDLESHNMVGATVIAGDVSNVEDIQRALSAAKRPI AGVLQMSMVLKDQMLSKMTYEDWVAAITPKVQGTWNLHFELRHIPLDFFVLFSSVTGT MGFASQANYAAANTFLDSFVKYRHSQGLPASVIDLGFVGDIGYAAEQSPQTLNIVKTM DIQVIEEKDLLQALEISVFAQSPHPSSQLVVGLGTKGNVKELPWLQEGRFSRWRNSSV TNKATKANQSHELKSLLDEVEKNPRLLDEQSTHDKITIELGKVVAAHLAYADDLSKEE LSNIAIDSLMSIEIRSWFRRNAGIDISLVEISNAGTVGGLTDVAMKMLRNKYFNEGEL PSGISASTTAEPDEMAICLEDMKLGSDLRALPGTIPDWCSESEGRVFLTGATGFVGAF FLLGLLALPQVKSVACLVRTTDPVSGRLRIEKTFTRYGLPLGALDKITVVPGNIAHPN LGMSKEEFDHHAWSSSVVFHLGAYVNYTLPYSAHRDANIVGLLNMLEFVNTGRLKPLN YFSSIGACGASAHLTGTTIPEDERAALDPKYFEQHVGYTRSKLAAESIAWNAIANGFA ITIYRPGVVMGHSSTGVDKPEDLFNRLMYNCIRLGAYPAPPHQRNHFVPVNYICAAIL RISQFSENQGHAFNVVHPDQDQTITWMDTFKILSEYGSTPLRCVTAAEWLQMFTERGK HSMKGATPLLKERLAENEIWWGDSSGMAVYETTNLRRALADSPDILDIKPMPELLKTY YGRWEAGASDTDVAV ACHE_50467S MELQTVIPLHSKDDTWMNRGIALEFTLHFDDVLDPEHLRRSLER LMEIGEWKKLGARLRLNSTGGLEYHIPKQYDAKRPGFTFSTVKRDGCLNDEPCASTLP RGTEFPTVFPFSASDIDGLLYKSDSPRKLEDWIYTDRPQLDIHVVTFPDATLVKLAWL HTLMDASGRASLLKAWTAVLRGREDEVPPFHGFFEDPLADLDARPPLEWFVRSRWMVK ILQWLGPLLLIIRIIWEMVGYPKYEHRMVCIPGSVVSEMREAAMEELAVGGDEGKPPF VSESDVLVAWWAQCIVRCIQPPVDKPITLLNNFNIRSTFPERFPQGTAYIGNAWLTAH AVLSSGLMLERPISYLASKLRHSLLNQRSKQQVQAYMAVQEEGMNKARGEIASWIGLS DMLIHCSNWHQARFFNVDFSSAVVSSGCANETKSHSLGKPSLIIPRTFYRWPMLLNLG SVIGKDADGNWWLVWHLKKGVWREIERQLASMRR ACHE_50468A MLLIKYLLTAVLLVSPAIAAVDAQQIVSNNQAIARELDRAKGTI EKYDGGLISNLMVAKALHDAKGIFGIARKDLAGPDAYTDEESSKIMDSYKNLYPRVMD ILDLANDKASECKKAGVQYIAQGIFDHMHDEKKKLEDVMKSQLSDKHHRLVKPYSNRI DDAFRNAAEAYRN ACHE_50469A MLFKQFLLSALLAPLASIQPLSLAATTTDHVFLGFDSLHKQILS THDCVKDFDGGAGQTLLCGYELYNLMTSSNSARNTLANLDSVPADQVFTYLSHYHDIR TSIKEILNTASSKTDHLDSAGLKAFTTIILRGFAKERATYETLSKQKLPVDNHTELAG PVEDLGNEFEKALAGFL ACHE_50470A MKRKLDANDVPSPETAGKTETHDSDDASFESLNLDPRLRQALTK EKFSKPTLVQAKAIPLALQGKDILARAKTGSGKTAAYVLPILQTILQKKAADPSLKAT TGLILVPTRELSEQVQNVVTTFAAFCGKEVRSVNLTQKVSDAVQRTMLADCPDLIVST PARVLSNISNSALSLDNLSHLVIDEADLVLSYGYDEDIQALSKAIPRGVQTFLMSATL TAEVDTLKGLFCRSPVTLKLEDQDDQGAGVSQFVVKCAEDEKFLLTYVIFKLQLIKGK IIVFVGDIDRCYRVKLFLEQFGVKCCVLNSELPVNSRIHVVQEFNKGVYDIIIAADDQ EVMGARKSKKTKQEDGDDKAQSSDEDENEGDNKRRKLSGKEKDYGISRGIDFQNVACV LNFDLPTTSKSYTHRIGRTGRAGKAGMALSFVVPAAQFGKHKPTSISTAKHDESVLAK IVKRQSKLGHEVKPYHFEMNQVEAFRYRMSDALRAVTRLAVQEARAREIRQELIKSEK LKRHFEENPEELRQLRHDGELRSARIQPHLKHVPDYLMPAKGRKGISNEDIGYVGLRK TTDNRIRKARERNRKAGRGRKPGGRVDPLKTFNRGRK ACHE_50471A MPPVQQVWDFPGIPGDVFFDHKFSHSPSASPSPSPDIYIAKRDI DTSSTENGKSITARSDKTYVPGKGTVDPHHINMQGLLALFAILGAAFVIAGIWFFFWA KNGGFQWRKGDWEEYKSTVLRRKGPDGKTLSNATKSTKLGGGSVVGKGYSDWEGEGDG YTESGLGYADTATNLTKKTGRDRRQRLKDIAKRRFMRKRAEEQSWNGEEYEDEDVRAY RKEKAARVGGINHEAEGTYHGSDYDTSAPPTQYNQSEMSEVRDYAFAPPVAARHKSQR QSRNFSFTPGTESQVTGDPSYHPRDTGNRRIREPSARRHNRRRERRRNPPPPTSSSSS SRQDSHYPRKQRRSNGGGHYTESSLGSRSEFNSNLYSEDESGTRSYHHPIPGLTKGYR RDGGRGRRRDSLSESDGEETRYS ACHE_50472A MSGKAPLVAFCEEFDEDSEVVLPETRQVANIKKSTAPPPPPLPS PPPAVSVPQPPPSPPPQQPPQQSQKYQQKQQKAHRYRPSHPFDHASDSGYSSRTVTSS QSVPSGANVFTEPPTTTSLPTINTTNNTPAPPPPPPTINNTTTTKKKKNTKGRDKMQA NPSYPPGPYHGSSHNRSSSTSRPKENNTHFRHYPGTCWECENGIYHSAGPSGPSTPLE YPYYMSQPQALPEYQHPTPPPPPPMPAAQHPSSYSALPPPPPPPGAPDVHVSSSRPSA RSNRSNSYHANGRPLSFHGMMPGAGNGASGSNGVSNYYNMNRYEHGPPLSASAYANSP SFGPANYGPQPPFYSLADYGPPPAEHGRERSMSTTREYPRDRRSSVYGPPMVDYDPPT PTYDDGEPLDRVASRDSRAPMSPQSPAYDPDEDYYRMPPPPIKKTSPQIIQKRPELQR KPASTNAVTHGRRGSTFDMTDMDAALPGDYTPPRTPRYRRSRENLIPERSRSLRSSRA YRDSSARPSRMAVEGARRRREVVYDYDSEFDDIDADEAASDLVDKQREAEEYQASKSK GHKAVPVPLTEDALYKTKASRAESDSGSQKSRSNSSRASDARTHSASNAGTNNVNTIK EDEDKNLVMTMNGVTMSFTQESMNSKKINLRTNNTGALELSVEGKRPKKYLTSGGSDY TGAVARREIEPPAPAPRQIRDRPDRPRSERHHSRRSSRSTYGTGRFLA ACHE_50473A MDNDVENNNKFLLNETVNSLSFRDITVSVNDRDLIKGISGDVQA GQLVALMGPSGSGKTTLLNVLARRAAHKNTTGDSYVNKTKVDDTTFNQLASYVEQEDA LIGSLNVWETLQFAADLALPRSVSKGQRKQRIQMLLNAFGIQNQAKTLIGTPIRKGIS GGQKRRVSVASQLMTCPKILFLDEPTSGLDSTASYEVISYVRELAKANNLIIIASIHQ PSTSTFQLFDTLLLLSAGRTCYFGPIKQIEPYFAGIGYPLDMYTNPAEFLLDLVSSDF AGRSNTDENRVEKIQDAWLRKTESSSIESFQPSEKGKPIMNAGDRPGIAWITLSLFHR AFIKSYRDVVAYGIRIAMYLGLAIMMGTVWLRLDTSQEHIQPFTNAIFFGSAFMSFMA VAYVPAFLEDRATFVKERANGLYGATPFILANFIIGLPFLSILFSIVAYWLSNFTPTA TAFFTWVLWLFLDLLAAESLVVFVTALLPNFVLSLAVVAFANGLWMSVGGFLVPITIL NPFWHYVFHYIDYQAYVFQGMMVNEFGRRNYSCGGGCRCMYSTDLEDQCLIRGTGVLE QYGYGTGNMGKWVGILIGIIAGYRVLGWLALVWRR ACHE_50474S MNWFHWLTIWAAFLQAGISHALQLSIDDDDSIKSAAEQAAHGMF MWYSGNETGQNPGAFPEKWWEGSALFMAALQYWKYTGDTTYNSETSQGLEHQSGDGGD YMPSNYSTYLGNDDQGFWGLTAMLAAELQFPDVEDGFSWLSLAQGVFNTQVARWDTTS CGGGLRWQLFTYQAGYAMKNTISNGVLFQLSARLARYTNNQTYADWAEKIYDWMESTP LLNEDTWHIADSVDIDDNCKSPGDNQWSYNYGTFIMGSAYMYNYTNDDKWLKRVNGFL GTTFSTFFPKKFGGNTMQEPCEPLEVCNNNEILFKGLVTSWLAYTALFIPSTKDKIQP KLKASAEAAADSCVGMGNNTCGVRWYQHKWDGWNGMEEQIIATNVFTSNLIIESNDKG PVTSDTGGDSKSNPNAGSSSGGGDGGQTLKKITTGDKAGAGILTALFVGVWVGMVAFM ITGAG ACHE_50475S MSQLGYVGFFMERQRVLEVLYNSIVDKSRLHTLKRVTAVRSTDA GTVVVAADGSEMSCDFVAGADGVRSVVCRGIQERSVSHEKAKELSPLLMAHRSGS ACHE_50476S MTQVTQGVVFQDIFKQRRTAVMTALENGLADPLFHGRMFIMGDA AHKMVPDAAMGAKQAIESAAVFVNMLHRTLASQGRATVHLPHLAYLCLMQPLAWRSIP DDIKTD ACHE_50477S MRTLTWLETLLLAPAVLGRNDYGSFEDPVNHARVKFRYWLPDAS VDANTVQSDIKSAGSIGAGGVEFLPLYNYGGSLAPPPEGADWATYGFGTPAFNQMFKA SLKAAKDAGMVFDFALGPNQGQGVPAVTTDEGLHWDLAPFNASVPANGSYSGTVPGWG AGELVALVSAEVLSSPIIHNPASTTFSTPSTNSTRLVLRSDSLTDQTNKVQNDGTVNL RFNATGADYRLFAYYQYQDLAKNLDIQTNTTGTIFDNGSYTVDHFSSRGAQTTIDFWE KHILNDTEIKQLLSEVGKYAWEDSLEVKSNISWSPSLPQRFREINGYDLRKYLPLVQF GNNNPGVQPSYPGDLACVLDSEDSGKGFVNDYREALAQGYQDYLKTFTSWAEDLGLEY SAQVSYNFPLDMESSIEYVNAPECESLAFNDNIDGYRQFAGVANVAQRQVISNEMGGD LRKAFRLTVSHLLWQINSAFAGGVNQVVLHGQTYTGNYFETTWPGYTAFFMLFSESYN DKQPAWLHSYPEAIAYTSRNQYILHLGQPRTDVAFFNKASVTDPQVGTMYTGQDLIDA GYTYTYLSPDNFNMTNARVADGLLAPESPAYKAMVITSHQNVTLDAVNRLQQYAQDGL PIILSGGLPGYYASSNAADVRAVSLALQKLKNTKNVYSVGTHEIAQKLTALNLAPRVR VQTNGTWYPVFRTDNATDYAFLFSTASSVSSGSITVQTTKTPYFFDSWSGKRAPVLHY QIQNGNLSIPLSLASNQTVVLAFSNELASEIDTPSAHAVQLPPNVLGYNYTKSNGLAL HVAPGSSSTVKLSNGKTHSLKANSTNTRAPFNLSNWTLTAEHWEAPSNFSNASIPAVK HNTTHHLQDPSLPSWLDIQGLHNTSGVGYYTTTFTWPSSSEITGAYISLPPVSHGLQV SINNHRLSALDFSNPTADIGPCLISGTNKVEVVVPTVMWNYIRSLYDRIRIAGSEPML SSTGPLPEDVENGLVGEVRILPYVVRRVDV ACHE_50478A MGRAVVSGARYFALQSVTTVSARTCCPSIPTFLRSSYRLFFSAR PSFSFASSRRAFGTSKRTVAMASNDLPISVEGLSLQSTSETSKFANCFPSLNPVDIYR EHIAEKLSEGTGIEAEKIYSRLMWTSTLDKGDLVLPIPSLGIKKNPQELGKELAEKFP QSDLVQPPSVAGVHLQFFFRPQPLMHTVLRRILKDRATYGTNGNQGLRDPADPSKGRK KIIVEFSSPNIAKPFHAGHLRSTIIGGFLANLHTVMGWDVIKMNYLGDWGKQYGLLAN GFKYFGDEEKLTKDPINHLFDVYVKVNNIVSEQDVPIKELKEQIKAKKEKSEDVSAQE AELQKLVDASEDEKARRYFKSMEDGDPDALALWKRFRELSIEKYKSTYARLNIDFDVY SGESQVKNESMTSAYQTMEKSGVAEKSDGAVIVDFTKHGAKKLGKAIIVRKDGTPLYL TRDIGAIVERDEEFHFDKMIYVIAAQQDLHTAQLFKITELMGHKDLASRCQHVNFGMV RGMSTRKGTVKFLDDILGDVRDKMHEVMKKNPEKYEQIENPEATADILGITSVMVQDM SGKRINGYDFNLDAMTSFEGDTGPYLQYAHARLRSITRKAGLDPSQLGDSNIDLLTEP HAIDLVRYLAQWPDVLLQTTRTLEPTTILTYLFKMTHMLSSSYDVLKVVGSEEEVKKA RMMLYEAARQVLYNGMRIVGLSPVDRM ACHE_50479S MGSRRQSALSKSIRSALFRDPNDFNRTTTGHIQVLFSIYYIELL RYADILFQRLRNNVWQIDENEYLECFSAADRVKSMGDLGFSGSTFFSTSNSKFLIKSL PRHFEHSFFRRDLLQPYYMHMCTKPDSLLVWITDYVFAPYVTIGSLLRTTPAHHIIME NMLCGKSDDLAKDQWETYDLKPVDYFYPERDLVPDYLLDEKTMEKLGDRLEDKIRLSS GDFEDLIGTLRIDTNFLQESNAVDYSLFLVRYPASSTPAVVGRKNRWRVGVPSSDAKW KYRVVLLDFFWARHKLHAQAMTGVVQTFNMIGRQGPMTITTTAEEYRGKFLEMVEAMV EVHEEEY ACHE_50480A MGAFSTENINGVYIPSALLILGTFLVKQEWLPFAVAIAAALGGV KLLGGSKPRKVLIADQFQDFILKEKNEISHNVTIYRFSLPRPTDILGLPIGQHISLAA TIEGQPKEVVRSYTPISSDEDAGYFDLLVKAYPQGNISKYLTDLQVGQTMKVRGPKGA MVYTPNMCRHIGMIAGGTGITPMLQIIKAIIRNRPRNGGNDTTKVDLIFANVNPEDIL LKDQLDQLEKEDDGFNVYYVLNNPPASWNGGVGFVTPDMIKERLPAPASDVKILMCGP PPMISAMKKATESLGYTKARPVSKLEDQVFCF ACHE_50481S MTTPFTLTETDRQILSMTDEEFVPHDWEDLSAIIAKNDLGALKR KPSDLLRYLSWSSTTKAQYGTITNFICQVRLKWHLPDPSSSTPAVANSASGTADSGPV LPFANPIPFADPSDYKILRNDWPYGLAPGIVHLIVWLRTPVPVKEDGGDLTDESRGLI EGFVKRMFVRRLEGEGIEGAEGRVLWFKNWSALQSVKSLEHVHILVRDVPDHILREWT E ACHE_50482A MYVKQIIIQGFKSYKDQTIIEPFSPKHNVIVGRNGSGKSNFFAA IRFVLSDAYTHLGREERQALLHEGSGSAVMSAYVEIIFDNSDDRFPTGKSEVILRRTI GLKKDEYTLDRKNATKSDVMNLLESAGFSRSNPYYIVPQGRVTALTNMKDSERLNLLK EVAGTQVYEARRGESLKIMNETNSKRAKIDELLDFINERLAELEEEKDELRNFQERDR ERRCLEYTIYSREQQEIAGVLDSLEEQRQTGVEDTDLNRDRFIQGEKEMAQIDAEIAE CKQQIEFLKVDKAQLEDERREASKALAQVELQAKSLKDNQAATQSQKQRHDQDLNAVQ TAIKQRESELQELLPQFNTAKDEEDDVRSQLTDAETLRQRLYAKQGRNSRFKNKSERD KWLQTEIKDNHTSISTVQGVIAQTREDIKDLENEIALLEPESERLRQQIEGRGDTIHS VDQQVQSAKDERDRLMDQRKELWREEAKLDSVLTNASYEVERAERNLSHMMDHNTSRG IAAVRRIKRQYNFEGVYGTLADLFEVNERYRTAVEVTAGQSLFHYVVDTDETATKVLE ILQNEKAGRVTFMPLNRLRSKPINMPRASDTIPMIEKLQYDPAYEKAFAHVFGKTIIC PNLQVASQYARSHGVNAITPEGDRSDKRGALTGGFHDSRSSRLDAVKHLTKWRDEFES KKNRGTEIRRELEKLDQVITRAVGELQKLEQQRHQVQNSSGPLRHELRSKRELLQKQQ DNLDAKRRALRNIEANYASLSDQINAFEAELKSPFQKALTSEEEEQLETLNATVQDLR KRYQELTGGRSELEARKSVLEVELRENLHPRLDQLLSQGLDMADDDNGGNLKETQREM NRLGKNLEKLGDRLRQVDESIEKSNSRVSELQQRNAETRRELEDLAKSIEKHQRRMEK SMQKKAALTKQAAECAANIRDLGVLPDEAFTKYKNTDSNTVVKKLHKANEALKKYSHV NKKAFEQYNSFTKQRETLTTRCEELDASQASIDELIQVLDQRKDEAIERTFKQVSREF HNVFEKLVPAGRGRLIIQRKTDRAVRTGEEIDTDDEDARQSVENYIGVGISVSFNSKH DEQQRIQQLSGGQKSLCALALVFAIQACDPAPFYLFDEIDANLDAQYRTAVAQMLKSI SDSTNGQFICTTFRPEMLHVAEKCYGVSFRQKASTIDVVSREEALKFVEEQRV ACHE_50483S MTSAQSTAGPADGLAPSHTYVPNQGYMNADGSGPALAGQDPEQL EEGEEDDEYYDDIFEEGMNEDEFTSSNTGDLTKGYNRQRRVNELAADPNAPKWTYPKT NTQKPTVNTYASVDDQINTLTRHTGKIKLDDIQSGMAVRGDRGGDRADRATSEQVLDP RTRMILLQMINRNIVSEIHGCLSTGKEANVYHSIFHPETDEDEEPPTIHRAIKVYKTS ILVFKDRDKYVTGEFRFRSGYNKSNNRSMVKVWAEKEMRNLRRIYSAGIPSPEPIHLR LHVLVMGFVGTSKGRPAPRLKDVEFDVPEPETRWRTHYMELLGYMRVMYQTCHLVHAD LSEYNILYHKEKLYIIDVSQSVEHDHPRSLEFLRMDIKNVSDFFRRKGVDPLPEQSVF KFIISPEGPTTITDSNEMFDAIEKLFEARNNRADQDERTAEAEVDTAVFRQQYIPQTL EQVYDIERDIEKVRAGEGSDLVYHDLLAKHRPNEDEDQSDSESEGGVSMSGGSDAETG DENEEEDDKKPRGKRFLDKDTKREHKQKVKEEKRAQREKKMPKHMKKRMVASSSRKKK ACHE_50484S MAGNGGWGEALSKAQATVSQMSLEEKVNITVGYGSKTTACSGSV PAINRLGFPGMCVQDDPAGVRGAEGVNSYPAGVHVGASWNKSLAYDQAYAMAGEFKRS GATVSLGPAVLGPFSRIARGGRNWEGFAADPYLSGILGANSVEGAQDGGVVSCTKHSI GNEQEEHRFEKRDPVTNHTIETSSSNIDDKTMHEMYMWPFADAVHAGTASIMCSYQRV NNSYACQNSKIMNGLLKTELGFQGFVMSDFKGQKTGTASALAGMDMTMPLATPYWGSH LLEAVRNGSVPDAQLENMATRVIAAWYYSNQDDPSVPPVGVGIPYDIHSPHTMIDARD PNDADTLLQGAIEGHVLVKNIDKASP ACHE_50485S MTPKAFELIGGNSRAEDVIASAAKQCGVDSSQVEDIYPCAPLQK EMVLHSLSGRKSQLAREVVELAKDLDVARLQDAWNHVYQRYPILRTRFVRTESGDLVQ VVVRENLVWQSQTDHLTTDAQLLPALGKRLAHWALTPGDKSSPNGHLIVTIHHALFDS ITLGHIFSAVFAAYEGIPLPTQQPVPFSSFLALLAKNQAQRQDSHRFWRSYLSNCAAP TFPALPSPNYHPSANRGSQRHIPLPASVQRSLQQHGLTVPTLVRGAWALMLSNHSTPA GDDVLFASLLPGRTIPLPDIDDLAAPTQAHVPIRISMPRDEPPPAFLVRIQTEATAMI PFEHDGMDQICAADERVRDTCSRIGHLLVVQSLPVEGPPAEFPGRIVSGPRVDAAGMG EFTWYGLMVQCIILPGGVLLRASFDDCLLSAPAMENIIDDFGRALGELSEGLAGV ACHE_50486S MVQKGRLASFFRPWGLIWFSICLHWEAFIEAVRRDGLAALVRLR QIRDAALAKLLSITSGNFIAYEDTTIVPSLVQAAGGVILELGPGPGNQIHRYNTSLVN YVYGVEPNCHFKDDINDKLEKHSLRDRYKIIVSGIEDSDVLREEGITEGSLDAVLCIQ VLCAVKDPKTVMKEVWKLLKPGGKFIFWEHGWSRNHLTTVAQAFWNPAWSTFVGCHMT RNVLADILNSGEWENPDDIEEPEDPFSCLPRIQGVLVKKA ACHE_50487A MASSSQSSSAPSDGDASATGVAHPQSDQMPQLDTLISHLVAAKR SLSSINHVARANEIVTSARLALEESVVVSARTGFLRRGLNNQLRLLYNVRTEVEEISL RGRTEFANVLKSLDAADVRLRKTLDLLRETIVHASFRPEGEEPRSLRDFVDERGVEEL NAALKSSIDTTNTAQAELDSSNAAFDDELGSIKQALGHYRAATKMASSRSSPSASASS SDSNSSLPSPTALPPMLHSLEMHAQEMAKLLESLVEHFDLCVMAVKHTEGGGAAAQSI TGDMPTGVPVREGLNTNLNAPLDPLSESHYREMVNVLIKDAAEAEDVVMEIQDRIGDM ESVLENVLAQRDVLVAVYQATTNVFDHLSSLASTRLPGYIAQAHNFTRVWNDENERIK GGLADLSDLHSLYNGFLDAYDGLLLEVARRRHVRARVEKVLRETRQKLDHLYEEDVNA RETFRVEQGDYLPSDIWPGLSREPMHVEFRRISGGNLKDAFHEHEAVGTEETKEGEVQ SASAGDADGEVIPNIPRPIIEQALVRLKARAKHIPSSAV ACHE_50488S MDVSQELPSKSIFGPSDMTKESDGDDSDSSQCTPHYSSATPTTP AKLANKNVAPFLAKHIPEQYAPLGSRAGEQSDSYKANSKYCYRHRPDLKCRRQADEPS MDKLQHDLETLPQSDQQGIAHVWSLFSAAPAKHRKLMLQGIMSQCCFPQLSFVSATVR DLIRIDFMTALPPEIAFKILCYLDTTSLCKAAQVSRRWRALADDDVVWHRMCEQHIHR KCKKCGWGLPLLERKRLRESKREIEMRATATTWDVSGPSPTLTAAQGTESREDSPAVS ECVGTKRKPESSEDEAAMVKRHCTSLSSGTEKAGTENGEDFYKTKYRPWKDVYKDRFK VGTNWKYGRCSIKTFRGHTNGIMCLQFEDNILATGSYDATIKIWDTETGEELQTLRGH ESGIRCLQFDDTKLISGSMDRSLKVWNWRTGECISTYTGHRGGVIGLHFDSTILASAS VDKTVKIWNFEDKSTCLLRGHTDWVNAVRVDTKSRTVFSASDDCTVRLWDLDTKNCIR VFHGHVGQVQQVVPLPREFEFEEHDAECENDNVSTTSGDSDPASLQATLGLEPNELSA FGPSFDNGRPAPPRYMVTSALDSTIRLWETTTGRCLRTFFGHLEGVWALGADTLRIVT GAEDRMVKIWDPRTGKCERTFTGHSGPVTCIGLGDSRFATGSEDCEVRMYSFRS ACHE_50489S MVYDTQNEDPERGEYRSLYSDQGTAPSLIHKNPKTNPTKDEDGD EQIKTPSTTPLHTQPRTPTANAKSEPKPNNSRRRPWAQFPQNLRPSATNEVKTIAKAV FGALGFIICILVCTFSIITLIYCCTEFVNGIRHVGDPSPYDRFSHYKGYVTVDDDIDD PYPYPSSVPLDNDNGDMDTVPEATTTESGIEVACIGEAGACGGSLSELMRIPKATRVA IITDGKWWSDIEGEDVDADFDADVDVDFDRVDDIQGLSSDYLRDEEDCENYYTREKME EQEYGSVFDRLWNGLFGV ACHE_50490S MPYERCSNGDKDLDLKQGVEVECESDSESEFSTPIYTPSSSTST FPAPDKQQQDPEQQDEAQPESQFQSQSQSESQLQSQPQPQAAVYQLHYHPDKVLECSF WDLVGFVLAFILLVILGVVWVEAVRNAD ACHE_50491S MGFIYKRCPESAKGLEQGNPTSELNNFLSSPENQQQQLEQQLDE QEEQIQELREEQDQQLAD ACHE_50492S MLSTTVFTKQAQTDSDKGLQKGNLESKSESELNAPIPDLAAYLS SLEQQQQQLEQQFEQHEEQPQQPQEEQVIEEVQEQMEELQGKQEAQVQQNKTQSVGDT ACHE_50493S MGNVGHDDLQSDSDLERGYEPDLEQGEFDDTSEDDSDIGNMNPS PMSHDQHNPVTQSQLVQNRNLARLKKKGIKRQAIVSITVGPTSAAIALALIIYVVGRA RGSWS ACHE_50494A MEPQLEPVQEKKQQGRVPAMAPTVALVLALELAQAQAQAQAQAP VNVQGQSQTPLSAPAVTGCGCSCGSTTGTTSVFASPSPRREIYMMHVLSMVAMIPIKR IMSTTQATFFLQQPLLFFGELEESYS ACHE_50495S MSGSEKRDGSVPPTDRESSPGVKRPASEISGRDVEMELRSTETS NDNHKPNNTGSDNVYPASSSMSTYTAPTATRRDSQSQAAAGSQEHLPSIDDQVAQVTL AMAQPLQEKQKGFLLSMSWLKRVLARSSTHGDMVDKTAAEGDVGPVDNSDIVLVTDPT ISGFKDEAGEPFVPLRPGLQMGEDFEIVPQGAWDSIMRWYGLADQSPAIVRYAHNTAT GDTENIQYEINPPIFTIVKLPNPATGTTPQTIKDKNAAPVKMLASRHTSFQKWLREAK GLANIDMSTKVRVWRVLGGLGSANASTTITPAGSRSASPAPASAVANAGNNLVLDLNT FLSLSEGAQREVLEDAKDQTANPNYNGRMTLDLAGLGASDAIVLEENVGGKNGEWVSE ASKQTLNRLGVSAGNVKNGVANKLKDNKKSPAASGRSSPAPEPNRGRRRKDGKFRGCT GLSNLGNTCYMNSALQCVRSVEELSYYFLSDVYKQHLNPSNPLAHNGEVAKSYANLLR LMYDEAGQSSFAPRQLKNTIGRYGPAFSGYGQQDSQEFLLFLLDGLQEDLNKILKKPY IEKPDSTDEMTHNRAALENFATKCWDIYKARNDSVVTDLFAGMYKSTLICPDCEKVSI IFDPFNNLTLQLPIENLWSKSIFYFGLNKKPVSLDIELDKNSSIKSLKELVAKKMGSE PDRLIMSEVYKHKYYKMFDNTGSIADQQISANDDIAIFEVESVPTNYNPDKPPRAYFS FNRQDEKIPSFDSPKADRMLIPIFNRVMGKARNNNPRNAQRQLFGAPNYIIVNREEAF NHDAILQKVLAVVDTMTTRDIFNEPELLEDDTEEEANAEDSDTVVMNDDDAQSADSKV KTSSVDGEDGMVDVSMRDASQDTDAATPSQDVESSNPDSKKDNIASGLRRLFDLKMLI KSNEAVPMGFSSLDDHKEYPSMAGRVKAAEKARKQAEAASQANNADEQESDRGGNSSD SDSSDMFSNETRPNATKPQPEIRPLVRPGEGIILDWNEKSYDALFGGTVKDKNSGRGM GTWVDVEHVQDPELAKRRALRQTRKKKGVSLDECLDEFNKEEVLSENDAWYCPRCKEH RRASKKFELWKTPDILVMHLKRFSASRGFRDKLDVFVDFPVEGLDMSGRVENPEPGES LIYDLFAVDNHYGGLGGGHYTAYAKNFMSGEWNEYNDSCVSRPLDPQSVVTSSAYLLF YRRRSDHALGGKELREITESYKKNMDDPESQANSRAPSPSGEGRRLGGSSRNGSSSAL AGVGAAHQAGVGGVRAGTQAKNGDNESPPEYSQNRQEGMLLDDEDDEDDGGVPTHRPW RFENEPSWSFGAITEAHGPSQRTGMLPLSESSDDEVFDDDAASDRAVGGGNMSDSDMQ LASLTDDHEGQHGVPFPGTPRDEAQSIQDIPPPLDADDDDELPVVELRVNDEDRMNSD ACHE_50496S MAYSNFFHSKPLPLLPRTPSPLKRYHPGTKISKATFDSGSTRGS TPSPTSSTGPIPIPVPSRMSSSVEIPEIHFSPPPLSLSPSSSLSGSEGSFSVSSDIGD DHDHCCYEQYHQPGHNHEYGTYFSTKENENTNHVHAQTHTLHPTLLIPGPEKDNRRSS VLSDSEISVLDLGPSLSPTSSGSATEVCSPISPVGDAEGVPAPSLTVGMAMSPVGPVP GIDGVENGPFALEFGIGLGAKEKSYRGLSTSNSTGLNGAVEADTWDYRYGYGRQYDYD YAEGDMFGYGYGGDGSDSPHPLLVMPRLERDGGSSGRASHEHRHVRKAEVTVSSPVLR PLRTTRKDKARQAVSFWSRRALGL ACHE_50497A MIASKLKLLASLSILSLANAASIQQPRSPPSSSKEPFAQTHGTD LNIHDPSIVRDGDTYYSYGVDTHIPIHSAPSLDGPWTRLGNALDADSVIPKGDRTAPW APNTIALNGKFYIYYGVSQAGCRDSAIGVAVSDHPGPGNWKDHGPIIQTGTGKGSGKY PFGTSNAIDPSVVIAGGKPYLNFGSYWSGIWQVPLKDDLISLGDNVKSDSRHLAAEPK AMFPGGNNPDPNCRDDSGSHPIEGAFISYHFPYFYLWYSHGKCCDLDPNALPKPGDEY SIRVGRSSNPQGPYTDKSGKDLVDGGGELVYGSNRDVYAPGGQAVLSDIGGDILYYHY LNSSVSYDFNTAKFGWNRLEYEDGWPVAKY ACHE_50498A MWNDEDNNPYGAFDNEAQLSDSLHSAALSGPLFDEQESTPPSPS SRASSQDPPDFLSRENELSDEEDEGAYGAQQAGPGFLRRSVYDSRIEQILHENPEMPI LITDAGKNHEGGGSFIVYTIRTGDLEVRRRYSEFASLRQTLVSLHPTLVVPPIPEKHT MADYAAKPTKAKEDTAIIDLRKRMLGVFLNRCRRMKEIREDGVWWRFMDPNVSWSEVL HSPPASSVPKNHLQAPPLDPANPTPAHFWLPIPSASAKLKSSGGSGPSTTSPTDNAPQ PTGAPGPDILGRFPPESRKLSEKELDPYFINFEASTRELELLLQGNIEKVNRRTLAHL SSLSADLMELGARYNGFSLSEQSPTVAAAIERVGQAADTSYIETEELSTSLSANFAEP MRETSQFASVVRGVLRYRVLKRVQQEMTLDELAKKKTLLQSLERSEQEAQRIDQFLNR SQPSAAGGSTSAPSSVPSSTPGDVTDSGERRPSSSSSAAGGSGAGGEDTASIDSDFPP THGESIGSAPGASQGTTARRQEYMAPPSTTPAHRKTSSGTFVANKIFGRISHAVHGFV DVDPERTRRDQIGKTKESLSQLEQALEVSEKDVKDASTGVLQDLKRFQRDKEADLRRY MVSLLFFFSEQAQTTEHYCSFICRDKS ACHE_50499A MQKNITICVIILITLGAVVTSWLAFRYWRRRFKHELLVSYHIGK QRIPVRDLAPVQAPSTSTSSSGGPVERLERPAKKKREKRVTRESIAEPLVVRQTRDYV NRQQETMTAHSPVAPAPSPPSTHTSPPPTTITSAPQDKGKQRNTSEWSADGLTPVTEQ SSPSAPVQGEWSSMSNAEASYEW ACHE_50500A MEIDSSTGVRGDTRWPEMETISQNIDVVPEATLKKISKGPLVEF THECDDFEKVNRVWQSLFKTFSTASISHDHTTTSCNALGAFLDAATASKPEKTRQLVY SHDTWLAVFEVYLDRFEDTKPKPMKQVLTSLVKVLTKQRSQEGSEQILSNIVDETVPS IVLGEPRSRRKPSFVSLEIFIRKNAITPAELICRVQDWLLKNHERWTPVLQDDCKALS IDIPRFISQSPSEFWSRATAAKIFVLGFLSQAKNADFASSAGATLAALFQKLKAAPDP SYFSPEETQELMSSWVPPVKHVMLQNLDGLEFMSNQILYPLSSIDTNGFRFFMDNLPY KSLVAGDMTDGSSEEFMLLFTALQGAKKIGLVHEDRYFSKAASSKQPKDQPLVVKSEV IGQCLLHREPSIRIAALSLLITAPTITKPVTSAAMRSLLKGLPAMHADPDPYMRGEIL SLTRRLILRLKGGIIKDKEDQTEVTMSANQKKQPAFATSDSETWECMTAYLNFLKSDL QPTASYPRHITSLKALKLILEAGLDPRVHGAPPHKTDGNEVKWKFDMEIFDVNLLRLL VDLLLDPFEEVRATALSLINLFPRNILLGGLRQTDQPSDTVLQLTTALERAERLASNT SRADHADTVARLHHLLFCAAAPGSATSESVWWETKAGVVDIIIKKLEEKLSTAGGLFN SSMRDAPLHGYVSGLRYIVLTPNFYSLISDQSGTYQGWESVHARIVSLCDKIWNEVKP VLCIDSPEGHTDEPTEDLSVGPKDILSYSWRALRESSLLLYATLSNSNYGPQGEQGLK RADYEKIGSASFTQLAELRHRGAFSTVSQTFATCCQRCGQSKDPAISSLPDAWYQEAN KIIFETASKLTRRSAGLPALVTGILTSKPGGPLFKHVIDDLHEISRLPAKHDTNSQEM ELPQVHAMNCLKDIFTNTKLGPHTVPFIMSALNLSAERLGSPIWALRNSGLMLFRALL TRMCRLITGSNLGFGGTSGSEPGARISFQKYPGLVQLLSSLLASPGANQDGDEDNAVL TERVFPALELIGEKVPTYAGPDEMILRQLVREQLKSPVWGIREHAARVYASLLDRSDI LGEVRALLDIDQDVKTQNFVHGKALCVRFALRRLASSSLLDWNRQMEEVTSIVRDVFA ALFPLARSPFLATAFVEVLADIAEKSVEVEVEGKIAPFLDEIFSTYDFHDVLNYVFDS SHPSWKALSTTRASSLLRRVLSWAEFLRLFASNRVEELMSLFQSISAFDSNAGRSLLE KTQEVFGEKEQYRSTLLDLYVSVILGDYSEEVKGEAVLNLANILEVLLDFRHDNIKGV DLPWEALSKQLDSDAEAHTRSRDLADAELRLQGSLLAARINSSQGQSLSAYEYDLRKW ATKLRFAMQEETEFTTRYAAVASLNTFARVLRPLGSPPRVDHIFLDAYLALYDMLNDD DEELRDIATSTASWVLSYSSVSLSKAVALSPLNAASLLAEFITRNYTNSKLLCQKIIR YSTGQEPRIGGSIDTTKLVSVSDTISELRKESTVLFVEEKQNLFIDEVREVNVWPKKL LYLIEASYEDATVKELFAWVSSGLDYLVKITSDDAGKDGLLGWAAKPEIFTLGMRVVN IAAILASKEFPASALLGDGQAALEGQLKSLLSNGKTACLHHDWIVGIERALEIS ACHE_50501S MAIWDSFSGRKQAQSDPFDPSTAAQDATSFLSDAAIPDPTQLHP LSGLNQDTLDYITLEDSALDELPGSRSVLPSRGWSDDLCYGTGSTYLAGLTLGGVWGL AEGLRRTPVTAPPKIRLNGVLNSVTRRGPFLGNSAGVVAMVYNGLNSGIGYARGKHDA ANSIIAGGLSGMVFKSTRGLKPMAISGGIVAGVAGGWAVVRKAFL ACHE_50502A MLLSQPRGRVPSALRSLSKTSFALRSLSTTLPRANKTPAETDSA LNKVSRHVTQPISQGASQAMLYATGLNEADMNKAQVGISSVWYNGNPCNMHLLDLNNR VRDGVQKAGLVGFQFNTVGVSDAISMGTKGMRYSLQSRDLIADSIETVMGGQWYDANI SIPGCDKNMPGVLMAMGRVNRPSLMVYGGTIKPGCAAMQGNADIDIVSAFQAYGQFLT GDITEPQRFDIIRNACPGGGACGGMYTANTMATAIEVMGMTLPGSSSNPAESAAKDLE CLAAGEAIKKLLVEDIRPSDILTRQAFENAMIVVNITGGSTNAVLHLIAIADSVGVKL DIEDFQKVSDRTPFLADLKPSGKYVMADLHKIGGTPSLLKFLLKEGVIDGSGMTVTGE TLAKNLEKVPDFPEDQKIIRPMSNPIKKTGHIQILRGSLAPGGSVGKITGKEGTSFTG KARVFDEEDEFIAALERNEIKKDEKTVVVIRYTGPKGGPGMPEMLKPSSALMGAGLGD SCALITDGRFSGGSHGFLIGHIVPEAAVGGPIGLVKDGDVITIDAEKRILDLDVEEPE LVERRKEWEEKKAAGQLPPTGLTMRGTLGKYARTVKDASHGCITDSVE ACHE_50503A MIDPDSPKPTVRSGSLCSSDSNTSGNSINNPESELHAANATPQS HPHNEVLLNHGAPASAMSPPPSSSSSSRPGSAHAFPATTDWVQNNENVPPLYLSHHYM PSLQIRTDVPPTSSPMNSADPMVTYSVSSAGSSGLPPRTPSFRGLRAARNPSTNSLSP AASVLSSPQLLAMGDITPLPSPIGGATPWRPSRNDAQPLSRTPSTISRPGSSLSLRLS ESSHRFGRSTSRSRTRPYTSGEKGERAIDTSIPAVPAPRHTRNRSLSDYVPPGRSIPI KPRPIVASGSGAPHFIGPSSSIDDKSNGLHREQYLAVQRGIAFPAARPLTPPRSSRGA DDEQQGPVVSRSASLGDSEEVYSVRSIRSQQPRKYRKLCQLGQGTFSQVNLAARMELD QADGTGDLAPLVHTASTSQKLVAVKVIEYGPAGGADEERLEVSLKREVDILKTINHPS VVQLKAFGSDEKRALLVLDYCPGGDLFDVASSSSRPMSPSLTRRIFAELVAAVRYLHQ NFIVHRDIKLENVLVTIPSAAMHKPIDWRTYDRAVVTLSDLGLSRRIPEPPESPLLHT RCGSEDYAAPEILMGQAYDGRSTDAWALGVSLYAMMENRLPFDALPGTRGDPAKLRAR TPHRIARCEWGWYRYADSDEEWDPEKGKDWGEAHECVEGLLKRNTRRKTLDEIAAIPW VRDAIDVPGGLKRGDQEVP ACHE_50504A MSSRHGEFCKDRINFMHSSSSNSAFQTAAPYSNTTELAPAPWTD SARHEKQEIKKQIAELVMSEKPGSKIVSPDDVFLYSKGMVAINYVARALLKTLPGPEY NGAVVYGWPYAETPKAVEMAGFERFTLYGRGTARELDELEALLKSGQRIRALFCEVPC NPLLESSDLLRVRALADEYGFVVACDETLGTFVNIDLLPYVDVLVTSLTKIFSGASNV MGGSVVVNPQSQHHGTIHSALKATFEDEYFPLDAITMSQNSSDFVSRVHHSNTIALSI ANLLSSHPSIHHVHYPTMVTSAPLYEHYRRRDGGYGFLLSMIFNHTTSAVCFYDALDV CKGPSVGTNFTLAIPYAQLAHFQELDFAAEYGVDRYIVRISVGLEDGEVLMERMREAL RAVERLEGAEATSGHAKS ACHE_50505S MITSSLNRTASLATLAIVCLVFFVDIANLGMANIALPTIQKALG YDEGSLQWVLTAYSLTFGGFLMAGGRLGDIFGHRHVLLFGMTLFNIATLVCALVNDKI GLVVGRAFQGVAAAFTIPSAQSLVALSFEDSAARVKAFGAWGACGSSGFVFGPILGGV FTSLVSWEWIFWISLIVEGALEIAAIALLLTNNLPDAVAADSNKWSNLHIRLDALGTF FSVSGLILLVYGLTNGNVHGWDKADVIATLVVAVALLSIFVFVEFKVSPDPILPRHLW DDRIKVLGCAVAALTYAVWQGSNYLLTLQLQNFGYSALSTALHFLPLGITACVVNFVI PFLLAPVGPRNLLITSWILGLVGVVLLTRMGNSNEYWQFCLPGMILYIAGIGTVYFVG NVTVIATAKEEHQGTVSGVYNMFLNVGGAVLGVAVLTVISDSVASSNGGKSNPQANLE GYRAGYYAAIAMIAIGLILSVFFVHKKKGHQQKQQPQRQGREQQGYEQQSEADKKKAE KEETPVSGTEAASATVGEETESRTKGRIRAAGSSEEENGAV ACHE_50506A MSACEKSPALLSENIANDPDLRSVQWTLQLKKYFGFDLDDTLHG FRKASAVASLAVFEAVQQQSDISIETLKATYTEILRSKTASAFTEGKTSTEYRRDRFE RLLQAHGLEISDTILEHLLDIYKNSLQAALELKPGALSLLQTLKRLDKKIIVITEGPQ DAQEWTVQELGIAPYIDILVTTNEVGKSKVDGLFSIVLEKYDINAGDIVYVGDNEARD VVPARSEGLLSVLYDERGETRLDKIDGLRVESLRKLEDILCT ACHE_50507S MLVVIAMRHRCQSAVAAEEAAAAKEKDISQTNLSRDSSTQEITP RNRQDTVEASQMEWTAYGPTCPIPFVRE ACHE_50508S MPDVSFSASMWTDEQAKEIHGIARSIKPDIKLHAINPGLQVQQG PDAIVDYSVRRCLRCWTAKSLENEVVHISYCDVE ACHE_50509A MDLPLMIASKGVNLKKPITYKPKHAIIQDLIDFINRRTPIINNE KTKKEEVPLKVDRLALFNSAIATAIAQGEKEMGEEHITDLDSYLKFADDFIEWTPTVS SKGDEVLRKLLVFYWPFNQQELSDLQTPIAPAFTDTDLRWLSYWLVAYARRLGEYMST PESVGNIWSFYTSHQYGQGPGDADKGTKYDKGDRYEQWKTPEGGWKSFNQWFSREWAN IDYSRPLDGEGDDKVIVQDADSVFNGHWDINNGIVHIDPADSKSAEVSIRIKGIDWPI KDLVRTIDGDTTYDNGSFTHAFLGPTDYHRQHAPVEGKVVLAKNIQEQVYLQVAQSTT KDGKTTISPERDIVVSPQELQRRLENTTKLEEKTNSKSQEDLLALYRSNNNLEKNQSE QPSANGPKPDVGITAPDHAGYQWCQTRGLIVIDTSYDSKGNKKSVDHGNVAVLPIGMA HVSSVVLTVKEGQFLEKGQNISYFQFGGSDIVTVFQKRPTYRDDLKAGTTKLHIREKV AEWK ACHE_50510A MDLQKTELIIMSSIVTTILNASKTNNLPEVKAALDSWMAQEKPD PPRDSRWPMVDFQEALTAALEERNVEVAEELIHRGCWINTDAVIAANDSFNEETEWSS QSYDVLLRNGWDPNQGLGEVGNALNIAICADSAPVVKYLLEHGADPNDNYYLDESPLE WAKTKTPENPEIVQLLLDHGAKE ACHE_50511S MKLFEGNLFSRLLALLLVGLLGQAIAAPTPIDLDDTHNGPLIEA RGKKATQEPYKASKPKSRLAREAISKRDYLHGYQILADTFHDMQWPSKVLKERKIPGN KRKQFWKNASQALAEEAKDTVYVLLPKGSGDDWLKTTVWARKEWPNLNQAKKIVRINP DDENDKEDITHFKHK ACHE_50512S MAAAITSWVLNPIQSLTMSRPRTRELWCAVSNDLRQPLPIECVA DQDNIDTLKKKIWEKIKEELKDTTPHYGKLILYNPVVQLNHEEEFRIEHGEFLHPRRM ITSLFPESKDPDVDIVVVSGGATTQKRKRSESQANIPRTLPIAEHQLICPRERTVSKL AAILDDMNIVHVRGTPASGKTRLSELLRDYYRKEGRKVSLIKRWEGLNFKNPWGSLVE LVEKWNDEAQDAPTTTSQSEQDLSWVLTSNTVIIVDEAQATYSDDTLWNTIFKERLTP NVYKFRLCLFCSYGSPAAGPDPTFFTPVKFSDEQRISLTPQNQQDSPPIGLFYDKEEF RDVISRLLTFHYEETFNFDEGALEYIFAVTNGHPGAVTSIVDVIYEAYRHDIKRGCIR SLTEDHVIWFLEDTATVFDKLRSKPVNRSFPDISRATNGISVILSKITEGSIPFDIND ASIKFCYQKGWIHRVALDGGDVAVLPSRLHEKYVEYWIGKMSMPLPARFDSLPKLCKE VLGEFSITILRNSAEGKKISTASQPRPVEAQYQDEFHRGFVHLAGLGVPISSEWSRTK DGRVDFYIPEKKWAIELLRDHNRVDEHISRFKEGGKYHPWLKENMIKDWIIIDCATSL PTKDFSEPRLWHAVFINDYSELRLYDHQKALIMSVHLHI ACHE_50513A MANETPVQATQGSEVQDIVAIGPEQEALQAWRKRCNIDTDAQIR LVKLSHMRYQHPDLDGITVFLQDFGMEVVKKTDNQIWYRGYGTDPYVYYARKGPKEFL GGSFLVESYQDLEKATQLPTAGAITELTDAPGGGHMVTLTDPDGFPINLIYGQKPAPP GKFPEKLAYNYENDKPRVRKFLRFNPGPAAVHKLGHYGVCTTKFDSLVDFYTRTFNIA PSDFLYIDDADPTTLNGKKNVALFAHIDRGSTHVDHHSFFMSSNPTSHVHHCSFEIHD FDTQKLGHQWLANKGYKAVWGVGRHILGSQIFDYWWDTTGNMIEHYADGDLVNEETPV GYGPAGDESLAVWGPEVPSWFLQ ACHE_50514S MAGHDYEITDVVICGCGPTGAMLSAYLGRLSVRHIVLEKEDGIT TDPRGIALDEDGIRLLQGVGIYKHMYTDIGTCMQKFKFIGGTEKTLDTAPFLEMDYGT TQGGTGHVGFICHKQPTLEKHLRNAMTSSEFCQLRSNCTVFEIREDENYAYCRYRGIK GNTHTIKSRFFVGADGKTGFTRKNYLEPLGIHLEQAHKAFYDETWVALNWKVTLPTPT THPDFPLWELGFTPQQVYDLFFPANFRFICNPDRPAVCGCFGLPKDRLCRFEFVVRSD ENGDEMARPAKIKEVVFPYFSHAGSRYGLSQDVRFPEDCIHILRSRPFRFAARSCNEW FRDRVALCGDAAHVFPPFGGQGIASGFRDAVSLSWRLALLTRQQSSASPFHTPKTNHQ EILLSWSRERKQQLTRSLAATIANGKVVTERNPLKIFLRDWYLYILSFIPSFQRGLSL GQRKEGMIRYEYADGMPFVPGFGGGVCAPQVYVSAWGRNAEVSFSDDILFSRGKKALF QVLVYIRDPRELSFARKVVTDIEQTSNGELSANEATFIIETTVSTPVPETNDNMLPVC RLATAEEFAESPLCRGRPEPRYYNPYYLGEIVGGGSVRYVIVRPDRFVYASCDNKRDL EGVVVGLVGYLRGE ACHE_50515A MARLEKLSKSRPPLQVQTASAQNVLHRPQFRHPPSPSQPVSACS PGWACSSRNNNNSLSLYAAPPTPATPGPYNRDRNWSVSSAPLSPPVPMPHSSRPRTMV RRTSCPDLSRVVSQTVEILANEAALANEAAWERDYGSYVEGVHGSLSRKLDQIITLID EGLFNRDRDLAFAPPVYDDDYYQAVPGFRKSKHMQNVAEYFSKVYLYYNSRLPAQLPP LKLSIYTPTYTLLRLAARYSRQVYQKPVGPERQCYIDADWRQGTKAMVIKSIPLDHRN AIVFAIRGTQSFRDWTINLKTDPKSPKGFLDDPDNLCHAGFLSVARRMVGPVAARLRS LLAEDPNRVAHSLIITGHSAGGAVASLLFCHMLSDYVQSELTHLRPFFKRVHCVTFGS PPVSIRPLQLPRWNARSPKRSMFFAFINEGDPVPRAEKAYLRSLLDLYVLPTKAQGQP RNWKVPRATLSAAGRLVLLRRKQPVVYPSLPGSEGVEASIITHGQLREVVFGDPLMHT MDLYARRIEELARNAVHYSVYSA ACHE_50516A MTDSGKDTNRHSERFSPELNPNGGLIAWLQVVGSFMAFLNTWGI VNSFGAFQSYYSSELLSDVSDSNISWIGSIHGFLLCLTGFLTGPLFDAGYAYYLIYIG SFLVVFGMFMVSLCTQYWQVMLAQGICIGLGSGCLFIPSVGIIPTYFSTRKALATGLA ASGSSVAGVIYPIAFTRLQQSIGFPWATRAIAFIMLGTLSITIATFRVRILPPERRRL FDPQALCDVPFMLFNATAFFTCVGLYVPYFYISDYSTIVATMSPSLAFYTVPILSAGS VFGRILPNFFADKTGPLNMIAICICAAFILAYCWLAIANTPGIIVFCVLYGFFSGTFV SLQATSVVTLSPSLGIFGTRMGMSTLCAGLGTLVGNPVAGAIVGKGSWLGLRLFCACA LAVGTVFVAGARVVRSRRLMDQV ACHE_50517A MEKFSQFRDRGSGIAPFLPIPAQPSGYQLPLRVFLFFFRLPLFV FVCFTYFAILQWFPIGSLGKKASLWCILGVPSIWWIDLQIDGVRKGSLSRQQQARLPG PGSIIASSFTSPIDAVYLAAIFDPIFTASYPNTRQVEHISLLQAILRSFAQPRVSPSP GTRMVDFSTLLQKYPNRPIVTFPECTTTNSRGILPLSHSLLGAPAQTKIFPVSLRYTP VDVVTPLPGTYLSFLWTLLSRPTHCIRVRIAESVVSGAGADMSQVSKGMKQETVESFE AAIAPAEKALLDNVGESLARLGRVKRVGLGVKEKQDFVRMWTKTRRTW ACHE_50518A MPSDAAHDLFDREDVPFLQPSEADSSPSSPLDEPKHHESQARDP ASQKLRLRLLLTLFAIVLAVEMGLNMVDSPMVRIYESITCRHYYAQYNPSQIGVDGQV EEELCKVKDVQTELAAVKGYMEFFDGLLSVFLAIPYGLLADRYGRRWTICLSVPGFIL NSAIITVVLWFSDIFPLRAVWLSSLAWLCGGGPVVAFAIIWTMMSDVTTEDERASIFF KFAIVTMGGELISNALGSVLMAMNPWIPMLLGFILAFVGMLFVLTLPETMPVSSSRTD QPETSTVEMGHLNNSYREGFKDDSEDEQAFREKSTTASSSRSLFFTLYTRCRNYFAPY VFIFRNKQILLLLAAFLVYRLSRGSSWFLVQYISTRYSWSLAEANFLMSLRPCFTIPL FLFILPGISKYALRSFRTSQKNLYLARASVLCLCVGTLGVGLSPNVISFILSMIVQAS GAGFLFLTRALLTVLVRREETARLFTIMELLQSVGNVIASLSITKVFQLGLELGGAWI GLAWMMTSTAFALVGVSIWTFRLPPVTKEREEQAEREV ACHE_50519A MPLSTLGPSAYNSLPANQSFTGPTLSPWFTQPLDMPPNTAKSGD PRGSFDRSDNKAHRGHAKRIRRSPTNLNKDGQAPSYIYNNRSKAELRGSQGAGVPSAS KADFMLAPSIEDTAAPECEGNTSIDLGIEQAYDLQFILNAKEYHEKLDKLELDTALFC GLDQFLPYLPDSALENSGPYSSFIECVEALRGCINALINLQREGFCDRTFTILVEDPS RPDVAEAVHVSLEEMELLVTSLSAMRTSRRGNKTTAHILSLSLIRKLQGRHGRKDQDR DAIPQFASHKWLPSLRFLCTALSIGLVSFSGSHACRFDSIFCSTPLDKIPVGFGLNFT LRKLACLEDFIGGPAWVLGRNDPIPSPDTGMKVSLTVQDFEHLWGPVWPFGRTPDKTP IIKTERGFIVPLSSQSEHEMTHDRVPYELEFHWTKELPHSLVAIERPENLLLNSARRI LIGTATGKVGLTVNDKCQTQIGLIQQQIASQLQIPGAYRGHYVGDGYEVNLGGGEYVT GGITKKWKKIPARTQKSALIEICDKPNTRLMPLLKLQVGLEISACTGNARRISLWDAI CISQKRGTRSKDGVANSLNPCEHGIGDINCISSCWVNEENEGIDCLGNRTNEQKVLSG LDARRRIIDCIKLFEYTGIDHDGSLQAWWPFTDTPLTCRISPVTTNDVTNWFRLIKDT RDTSAFPIVSQRCFEIRAHGNIQKCSRPCKNGHSRIAQTGLSTRIMLDPLWLPARGSR NQQRNNLTAKTGLVQGTKLMVGDTHLTIETEWKGEQPVVVAAISTNPLYYKLGVASRL LLEGKTPRAQEQINHDVTTGVSIPIIVY ACHE_50520S MAAAITSWVLNPIQSLTMSRPRTRKLWCTLSHDLQQSFPIECAA DQDDIDTLKKKIWDRLPARFEDTLTDYSELKLYSPVVQLNYEEEFDVKNGEFLRPRRM ITFNPLFPESKDPDVDIVVVVSGDTTTRKRKRSESQGVNLPPERPITEEPRICPRERT VSELAAILDDVNIVHVRGTPASGKTYLSELLRDHYQKEGRRVFLITEWEKLNPKNPWG SFVKLVKNSNEELEDAPASFNTTSSQSEQGHSWVVTSDTVILVDEAQKTYSDSVLWNT IFKERQKSVCAYNFRLCLFCSYGSPGTGPDQTFFTPVTLVNKQRISLTPQSQPGSPSI GLFYSKEEFRDVVSRLIKYLYKQKFSFDEGALDYIFVLSGGHPGAVESLVNVIFQNYR HEIKHRHLRTLTEDHVIWFLEDTATVFDKLSRESVNRSFPRIERCTSKISNILNKITE EGSVPFDLNDADIRFCYQNGWIHRVALDGDDIAVLPSRLHEKYIEYWIGTMSKPLPAR FDSLLKLCKEILSKFSIMNLRHSAEGKKMSTASQPKPVEAQYQSEFYRGFVHTAGQGV PISSEWSRTKDGRVDFYIPEKKWAIELLRNHIKVEEHISRFKEGGKYHPWLKENMVED WIIINCATSLPTKEYSESRLWHAVFVNDYSELQLYNHQKVLMMSVHLRG ACHE_50521A MDFWSRLIGGSRSLTSKSFRATSPTERLTAFKRTCNSLQQIWRS SNTPIDQQSTTTHARNCIERLNSVLSDESRGPAPHPCLQYASSSQIFVTVTKLALSSY DDNTLRCAAVFFNTLIDAEVDGVVDNRLFARALVDLVRRADKHSEDVEGRLVELLFGV ANNIRLQPGILPAWFAPRNEGLDGQEAGGGDAGGQRQSLSGKEFAGATRKDDFPLFYL LVEYVHHEGRAGDFARTGLLYLIETASRSKHLERWLIESDLATLMATGLGALYSQLGS LSFIPPEEKPPHIIALSDHAGQDTAIPPYLGSPMRSFMSYLLFWQDTIDHCKSAEVNG TLLDHFQILFLEQLLYPSLLESSDVEGGSTAAVLTYLYRILESLVQDDLVHRILHFLL ASDSGKGSVDMSVSRRKSLDVLAAFSEEAAKPSPSLFNLRDLALLGLRSSNRQTVLAT LRLLTVVLQRHHPFTRSLIRTVPGQPAKQRTVGALNAELGNMVSMATSIVNDPTLDES YDNYLKDASWILEAHLCIAPAEASPNDPTADRPLEIQQGDGLIRELLNCLRDFFTNSV VVNLALTEVLMSIASSHLLSLDGWILVDPSKYNYPDPSTPTTDENKNILDEIRLAYEE PTWSSEDTPTLTSILQHLVQQTQEWRKILPDFDILVAARRDLLHQEDEPVNPATDPRN STSRLSTATIWDSDMNFVRGRARSSLTRGLGSVSASPRTSTSAFGSPHRGRDRSSSLA RPLASRESSLARTEELRKRLSAPFFPSAPANPVPKRDNLPTTTEEPQEQEQEHDDSEE QKHEDEEEQSGQQGESNEDESAIVDEPAPSASLGHVLTNVIILYEFLLELSATVQVRG SLYEEAGFPGIGVDISV ACHE_50522A MTDQDNSYRPRSPDFSSFQSNFPPPAASSPSYQFTPLAHHASYD ASPFFTPQYHQRPAAAQQYVPPFSPSYPAQDFDMARRSSRIARATEADPAPESKYLEE PSEPEAPEEVAEYEPEPKPEPEEPELPIKEEVKSRLGEGIEVKTKFPVARIKRIMQAD EDVGKVAQVTPIAVSKALELFMISLVTKAAKEAKDRNSKRVTASHLKHAVAKDEVLDF LADIIAKVPDQPSRKQDDDGSDQNEGKRKRGGRRPKEDNSD ACHE_50523S MAAAEQPSGLMDIASTLTQDEIPFKLRCAICNKLAVNAFRLPCC EQAICETCQSSLPDTCPVCAHTPLSSDLCKPNKALRTTLKAFLRTEEKKREKDRQSAT PAAPAEAPVDGTPAPSEQPAATSAPDTPAAALPEEQAPAEEQPEVAPAVGVPPEEYPA ADSQPVEAGEEPVPDANTEVAENAQAQNEQEDDATGTLEKADAADETAAEGDEAADTA AQSVEENNAQPSGMQQMFPGMGFNMPAGGFPNMPFNGSGDFNPMQFMSNGMFNQNPMG MSGMSMDPMAANQGMFGGYGMGMNNGMNMGMNFDAGQGMYAGGWDGSQNNMWNGGQDK FNPNAFANGMGSQYGPSSGFGGFNMSQPNANYFPNQDFSNGYYGPGYGRGGFRGRGRG FFPGGRGRGGFMNANYLNAAFQNPNSPSFNQAVPAEVQDGEVPADASTDADKPEGEQG TSGQQDPEDPASKEMTDETMGEKVPGEEVPDASGSFAEDDSQPRGIPTIDSLDQTNAA MSGLVGMPGPHMGPGFGRGFMRGLFPGGRGGGFPGGPFVPGPMPGQGVEGAPAAPRAM REGLPNTSVLRQRYQGRASVPASRPSEGTQSGTPIEPREDDDRRSRSRSRARSASRSR SPSRHKDGRRHRYRSPSAHDSADEYERRRERKQRSRREDKYDEQSLAEDGHRTRSPSL ESSRRSHRRDRDKERRSGRRSHRSHHHRSRTRSPSRDGDRDVDRLSVIAEERLIGEAA EGSSRSHRSGKDRSSRREEERERDRDARRRDRRDRERERDRDRHRERDRDRDRDRDRE RYRDREKGRDRERERDRKRSRRDRTASPDSDYSTRHHSRRQKRTHEDDRDRASLKQPP STSTTAPEKDPITLEREARNRERLMKEQQRREAAENNTNGNGTSHRHSHRDRDRDRGR DRDKERDRDRDYHRSSRRRESRQDRSSALVGGRRLSYKYEDEESDSARATRVEKEREG ERWA ACHE_50524A MSGRFVRSSKYRHVFGRPTKKEQCYDNLRVSANAFDTNLVKVNP RYLSVNWEAGGGGAFAVIPLHERGKLPERIPLFRGHTAVVLDTDWNPFNDELLASGSD DGKICLWRVPENFTIRPDIEPDQIQDVTPVGKLSGHPKKVGYTTFNPAAENILATASG DFTVKIWDIEAGAAKLTLKLGDMVQSQSWSANGSLLTTTSRDKKLRIWDTRQERPAYE TQGHSGAKNSRVVWLGERDRIATTGFSKMSDRQLALWDIRAPREPITGFKTLDSISGV CMPFWDEGTQMLYLAGRGDGNIRYFELENDKFEFLSEYKSGDPQRGIAFMPKRGVNAH ENEVARAYKTVNDNYIEPISFIVPRRSENFQDDIYPPTVGLTPAMGAADWLAGKEALP PKISMASLYEGEGLKEVTGVEDKPTTTVGSSDAKPEPEPEPQPKPTPPSPVKAPEPAP EPTPIVKPAPSMKEQGASMAAMVNKFADSEEDREPVNEDSSFDEVPKPVERPSRSATE VESPSVKSSPWHLKEEPKSRQSPVQTPTAASTPVSGESTLTATATVAADTVTREISEI KSLIAAQTKTIASQADQVQTLTAEIESLKAKLG ACHE_50525S MNDENMVRSKAPTRARVPGGLKEANPAFANSRSGLMQPGTIAKQ PSTLPQLSRSKSTTQAPETQKIGPGRTATSKTGVTTRAHTRAKSAAAPATSTRASSRI AATTRQPARPHSALSGPRKHTGPSIPRPATSLDTHDEEEPAGSVLGKRKDAGWDLERR EKHIEELMNTFMTQVGKAGQDSFGLKETVELYKTRVNELETSKDQLSDKNLNLRVELE TAKSRLETMENVLKETQEEHEIELERMNKRQRIELDNAKEESKEQLEALSAQQEDEIR ELKRRFERDLEDERAARQRELSQLNSQTAFDAQRVQIEVEKKDREIRTLQDDLQTLRD NLERERKNNQDLRQNLDTASGNSITLESSIRALKARIEFLESGREEQSASFERLNQQM IDALAETNEAKDKLRKEETLRRKLHNQVQELKGNIRVFCRVRPSLESEPETDIAQIGY PDQSDESKEINVLGPEEKSSLGAVSRKNNNFAFDRVFSPSTANGEVFDEISQLVQSAL DGYNVCIFCYGQTGSGKTYTMSSLDGMIPRAVHQIYETAQGLEEKGWMYTMEGNFVEV YNENLNDLLGSADDLDKKKHEIRHDMQRGKTIITDVNTVRLESPEMVETILKRAAANR SVAATKANERSSRSHSVFILKLIGENHITGERSEGTLNLVDLAGSERLSHSGATGERL KETQNINRSLSCLGDVIAALGQGKEGGHIPYRNSKLTYLLQFSLGGNSKTLMFVMVSP LQTHLAETLTSLKFATKVHNTHIGTAKRQARVRDC ACHE_50526A MRTPNLSQPPQKRAVVSSFIFKFPDEGRKKPQVALFRRSDKVRT YRRHLAPISGSIDPNESPLEAAWRELSEETSLNCTSLSHWRTGKPFSFTDPSVNREWS IHPFAFRLKNTGEGELGEKAIKIDWEHEGWQWFDPDSIVDNEEFGGVPRLHESLRRIW FEGEMNERAAEVLSAGLDRLQMDHQSGSQELTAIALGVFRDIVVQTRNGLDDDWWAMV RMAAWHLGKNGRESMGTSITNALISILSDMDGIWQQQTSEEAKWDRILGAIDHHISER KCRAKKIKDAFVSYLQSAFPSSDDHQQKNRLVIMTVSASSTIRDSILDAFGAIGNVKA LELRVLESRPLYEGVTVASSLYSQFKSQFPTSLQNKTLEIKIYTDASVALAATDVDFL LIGADRISTTKGISNKIGSLPAVLSAKHVQPSIKVLVLSDLEKIEGLNGEESQSSQED NDPMEIVDGWNSQGVKGLPVLEDGINASKSGDSNARVQVKNIYFEWVPLALVEALVCE QGVLNKDSIRDQSLRLGGRINRYFGGL ACHE_50527S MPRYTGSCFCRRIKYNLDLSSPDDARTSLCHCRNCKKAFGTNYG LTSKIPKDAIAVTAGRPKEHVADNGSGTVIHREFCDNCGSFILEYGDAVKEQFRYICV GSLDDPEVLPPKGEFFCSSRVSWMPEIPNVFHKQQIKE ACHE_50528S MRFSTVIPSALVGLASLSAAAPTKNIPSEGAVADVTDVPEAANV DNINKRAEDAANDEQKNQDDDYFVNPASPAGQPQPGFGQSGYNAGAAVPGFRGAGYAP RPDYASHPPPYGGFAKRDENSGEYFVNPKQGQPGYGRPQGYGRPGYAPGTAPYPEYAP GTAPYPEVTKRDENSGEYFTNPHYSQGGYGRPGYAPGTAPYPEYAPGTAPYPEVTKRD ENSGEYFVNPNHGQAGYGRPQGYGRPGYAPGTAPYPEYAPGTAPYPEVTKRDENAGDY FVPGPAAPATGYNGPGYGHQGFAPGSAPYPEYPGAGRYPGFAKRSNDALAGEDHDGNW IIRPYLEPEHDPLYVTVNHVGKRDENANDYFVPGPAAPATGYNGPNYGRPGFAPGTAP YPEYPGVGRGFAKRDENAGESFAPGPAGPTAGYNGQGYGRQPNYAPGTAPYPEYAPGT APYPEVTKRDENANEANNYFVPGPAAPATGYNGPNSGYGASGFGGPGYGNAALPSAAG TPWYA ACHE_50529A MAAVQTTPRLSNPMHCAPLGQDDLPKSGFFRRLSQWFWPVTFYE TDYDDYNITSPPRLAPEHSASPQFDITRGLRKQSGADDTNSPCKTCRMAGIACDGRRP HCSQCLNEQTLCFYVDPLRVSKKKRKQSAAAQSHVLPSLSEDREAS ACHE_50530S MSSRILDTIKGDHQEIESVYNRLKQASDPEELTAYQNRLTWEIA RHAVGEELVILPAIEKYVRDGRDVADKDLHEHRVIKEQLSKFQDMSSSDSEFLPTAQT LMSQVLENHMGETTNDLVKLEGAITRDESNRLSRSFNRTKMFVPTRAHPSIPDRPPFE TALGLMTAPVDQLMDVFRTWPEDDVDQIEADDEYGYMSPRHCKLPLIE ACHE_50531A MSYSRENNEVSASGEDMVNAMRGYKATLHNPRVSNEAKQHAQDV LDNEIHGNKPRQDLYEVRQRNKEPTRVAGGLKAAQENPRVTDRGKKQAGDKLNQLGEQ TQQPEE ACHE_50532S MSNRLEREAEDLYEAQNDASPVPGNVYDSSYISDTRPELRDQLP VQADQEDYDDPMQPPYSNSNEQLEEDEKEAINQSNILEGDRTRHAKPQSANRYNEGPD EDDLPDYVRYGTSGVSGTKRLS ACHE_50533S MRAGVLAAAAILTKCILLKQLPDQNDITWAWAPITLWYSVEIYI IIIYATLPTLRQFYLFTMGQSYHNSSCGSTRSGGLGGSHARERSHSVGLASLKSRLKP GGNAIATYQQAFRQENILPAKTQIHKVTEFQISRDTHGSSHGLHDGPHE ACHE_50534S MPSSWLLSVGTLVASSIFSPVVAGGSWPAKSYLLAENWQGDSFL DHIEFFTGADPTNGYVTYQNQSSAESSGLFKVTSSGSMYLGVDHTNTLDPNGAGRDSV RVESKMFYKQGLYIVDIAHMPGSVCGTWPAFWSVGPRWPQDGEIDIVEGVNKNEYNEI VLHTSGSCGISADNDMTGTVSSTECGEASGTIGCVVKGKNGTSGTPFNEQGGGVYALE RTSEFIKIWYFPRGSIPKSIANGTPDTAHFGTPMAHLQGSCDFGEHFSAQKLIFNTDF CGDWAGGIFGQDGLCPMSDPSDTFKSCKTYVAENPEKYKDSYWEINSVQIYMAGSRHH ESSQPASAGTASGTEVPTSSAAPAVESTAEATTSSIASTTAAPEPTSESTPVAESTVS SESSTPPTTQSPVESVPAPDTSAPIQPTSGTTSAVPETTQLPAETTSSAVHAKQSKSR STVYATSTTTICPQAASSTAVGAVGANEPEPETSQASHSFPASNNVQEHGSQSPASEA PATSTPVAEPVAESTEAPAELRPTGADAVGASAPASSESSAAPVTQASSTETPTQAPA THDPQASEPAPSSAAAVENSAAPSPAPATSRVPVISSERAYSPSSSTPSTVHASGSSY YPGYGASGTPSGSGPTPPLFTGAASRLSVGVTGVLGAVAVALMV ACHE_50535A MGTKHHEEALQNSILTPEQLFRRFGNPHVLDDLIRLRAVDATQQ PILAYPNFENGHASYEYFTGRDLDAMIDQAAQILVNQGFTPQQNDSKYVVALLTPSDL NMIITLFALSRLGYSIMLLSTRLSAAACVSLLDAVGCDTILYEPSLNIRATIGEVLRL KAIACRSIVQRASLSQAQVSPSVSALKRSRSHEEQEKSVAIIMHSSGSTGFPKPIFIT HQVLKMFMIRGSEMTAFIPLPWFHSYGLCKYLPTMYMGKTAFMWNTSLPLTAGGLVAA MEEAQPESVHIVPYILQLLADSSHGVELLRKCKVVTYGGAVCPDELGNRLVHEGVRLG CQFGSTESGTVADSTSRPKDDPYWNYLQFDNNLWQFIWMKPIGNSLYEAVYLTGLPSL RLTNSNDPPGSYHSRDVFEPHPTISGRWKYISRLDDRVTLINGEKVLPLPIEGTIKQH SLVQEAVVVGIGKAVPGLLVFRSEESKGLSDEEYFDSVWPAIQDANSRAEQFSQVARE MVAVLPHDAPCPRTDKGSIIRMQVYMKYAEVIDSMYARLETGEGGRFELDTTATGAHL MKLCQEVGLSIPGADVDLFSQGVDSLKAIHLRRLILRDFKIVDSGAISQNVVFETGTI ARLAEHICSVQSGRQTRIEDEISLIRGLIKKYSSFHQHSPSLECATGKSVILTGATGS IGAHILVTLLDDDSISTLYCLTRRNKPKDAILNTLSQKDLQLPTSKTQKIIALHSTLE KPNLGIDEEILHKMQQSITLIIHTAWPVNFNLPLSTFEPHIKGLHNLIQFSLSVHQPT PAALFFCSSISTALGLSTPEVHEKPIPDLHSALEMGYGRSKFVGEHIVSNARKSGARA YTLRIGQVSGHSEKGLWNDSEAIPLMIRSAVTLKVLPELDVECSWLPVDVLARGIIEI TGACECVSRPYSPRSDSSGGSSYSMVEDEDDTVYNLCNPYTFRWSSLLTTLREHGFEF KTVPFETWLQMLRDSEARGEELVNPAVKLTAHYEATYSGSPKPEQQGKRFLTGKAERD SVSLRDGRLRIIEDGVLGSSARDWLKRWRVNEEK ACHE_50536A MKMTLESDAVAGATIELLELRLRRISYLLTGDSHWTGEPSLPLK PDNLDETVSRRLLRLEKDLERLSRNIPAIYDVIQLHDRFPDLFHTAPPDTLPETLTTQ NLASIVLSYASAFPETASRLTSLNDLPIPDASTSAALIQLQPQIDRVSKGQEEQVKII SELRVRTAKALQRWYEVGLVGSGECWAEWEGRLEDVEREVRRGEVGRMRREKEI ACHE_50537A MAAEPSEEAIENFVSFTSTTREQAISFLKANDNNSNKAINAYFE DPTGPQTQTTGFENDQRMSSFQIEHADPVPAPGSHAPAPPSRPPSRINMNELAMNEYQ PPPPSASNTGQGMTLSEREEQELQQAVAMSLNQNLGEQETGVTTTQGGKFGEATRDHY DEGNWAMTLFNPTSREIIISPDPEDRKRVNGEPAFLRPSPDNQYLGGLLTILHSIPLA REALLFRNRILPNYGHDPQWWNGQPISLPKIVTMQDVQDGDTNWDDILHEAQRLMAFL DSTNRSFGSSDALASLKSMSSYSEGSVGKFLETWQGSAARADPGNQSVSVFSSNAYKR PISVHDTPIHKEFFSLDPFVEPEHGQTLYDVLDRAMWADRPGDELDDTWLENVAEVLT IRLENSDAANSVDVKIPAVFYPDRYLTGAREFAREFRSERLGVYEEIFKTERLMNQFS VSKSLVHKGLGSKETLEKAAAAASQFLTKGLASGASDASVTPESANSEAQRLGEELKS ISNKIENKLQELELRKQGAIKALRGYSKILTEPSASPNEPPLHKYTLRGVCTQPHITY VLRPRENAQESDARTDDEWQWWRISFSTEDAKIRQAELDRASHAAPSNTDVIGYTARK VREIEVLRAAREESKSVLLVYASANAVTAQEDQIQAPLQRFVDADNQAFEAELQEARA QQQSTAAAQSQDATTSQDPTRPKVNVFDYQVASFENEADPVGPEMQEKGGGFLGQSHR FAAPPTSGRGGPESNDADGSKAE ACHE_50538S MENTNRESVRILAAIYYDINSVCDIRKRVNSKPKDEDTNDYRTA CRRASTLVSRAVTQALRHQVSNQRHYDQRLTELTTRLGWKLLSICAHSAAFRQATIRA GVVTWDVLISQLEANRLSIELFARTRNLEWRGPLLKHARHNLPELQKELAPYIKLYDQ HPHHFVRIENKAVRMPVYEEFLQMHTDACMFDPREWKSYVEEGDVYDPTQREEEDGNC WICRQSLEFPCSCLPPDVGQLVELVDYPKKGIGVRALANFKEGQILGEFIGEIRHWNY GGDPKYSYLMHDKYFQPVATISPKRYGNWTRFINHSCGSSTKFDTMAIGKRLVVVIRA KRDIMMFEEITVHYGGNYWEGRTCECGSSKCKSRKPESEESPLQIPVDNDQLHDT ACHE_50539S MRSAIVCRTRIIPRSTSFRPSPWTKPARSILGIRRGLITQSYAR GSSEPPLIESTVGDHFAKVVSEYGDRTAVIARHQQGRLTYSELDAKSNALARGLESVG VGTGDRVGVMLGNSMEYAIATYALFKLGAILVPINLSFNTMQVVAALNHLNASHLIIS AESNLPRKEPRNNTPLLQHMIQDLTSPKLESAIIPSLRNIIFVDNSSGRVDTSDFKCL TPYNSIASDLQADGNPLPSRNLSPNDIVNIQFTSGTTAMPKAACLTHRSILNNGAQIG DRMRLTPTDIVCCPPPLFHCFGCVLGYMATATHGSTIVFPAESFNARAALHAVQEEQC TALYGVPTMFLEQLGLIQDGEVSNEGFQHLRTGIAAGSSIPAELMKKLHRVLNLTELT ICYGMTETSPVSAMTTTDDPIDKRINTVGRLMPHVEAKIVNPADRSIVPVGGRGELAV SGYLLMKEYWNDPDRTAEVMIPDDGGKVWMHTGDEASMSEDGYITITGRIKDLIIRGG ENIHPLEIENCLLSHPGIGDVSVVGVPDERYGEVVAAFVIPKHRQGQEEPLDEESIKT QVRVKLSNHLVPKYVFFMSPSETFPKTASGKIQKFKLKENAIRILNETHST ACHE_50540A MAGHASITMVESELLQEHEDFLYSNSMHPSRRPSPVDMKLHVGD KAQSSLHPGNNRENERLDITDAWRSFPRPSITMSRHSTSTSRPRLLDLWMDNGEGPSN AMPRHSTNTPRPLMTDLRLKSGEGPSSFLRSPSSTSSSSTVTLQPTPSSKLTASSFSD FCRRTSLSIRERASNRFTPAVSGREKTPSPSKSAPAFTTLTNLGSDTPHGRGSPDGMN AGSIDSSLRLEGLRKLMRVGTGSSKLNIGIMPDQRNSPPLKLPTFNDLKGQFKRTSTR ARSKAKREGDLDDIHANTFELDDVFVSSSVFPGRRGRQVGKGSTATIKIMYRRGKGKD LYAVKEFRKRRQGENENDYENKIKSEFTIASSLHHPNIVATACLCTHRGRWIHTMEYC GQGDLFSLLKHGHLKIDDKLCFFKQLLQGVAYLHSRGIAHRDIKPENLLLDDKGHLKI TDFGVAVVFRGLHPGMRAAYYDCAQDPNEIRKCAPGISGSLPYIAPEVLSRDAEYDPR PLDVWSCAIVCFTLFICGTPWQAADLKDSKYGRFLAGWEKFFQERPDGVVDSLNYPKC GMVFKALPIPVLRRLLLRMLHPNPNKRLNIFGALNDRWVRTIDCCCPDPENPPWTTEY SDAMRMGSYRLANRKHNHFPPIKKGILQSLGI ACHE_50541A MPHFPMGTGLEMEMDHDREDVKSEFQPSQPSVEMRAKNRRKRYL DMHPEYFSADLELADPLLYDRLIRRFQSNAEREAEGRTKGFSGILQADLLRSEAKMDA LAHPDPHAMFSYKRGPNGEILAEDRDEIPPSKEEGEKQWRWEMTMRFLKGEDRDFDYA AVDENDEYDDWNEAQEEYFDDEEPGWMIEGESGGDINSQLQGETGIQDF ACHE_50542S MPSIDEVIQRANPRKVFTGVALLVLTAFYSPIFVLTLAPVYGSA PASIFHNYGAALTAAAGWFLKDQVQRLTNRRAVYLLPVLALWVPTVEYLLLQQSAGFG NPTGPVITGLLSYYPLVFLSVACAGKLIQTGLRLEQESDVIAEHVPLLSSYVIYSAGE HLANAFIAKSIGTFSLFSTAGLQLLVTLAYAAAVPSKFLLLAIPSVLFSLTSNVHLPF GHPTKAVNAALQHEGYELLARQDSTTGYISVLENFHDGFRVMRCDHSLLGGQWTTRLP ETYASPVHEPIYAVFTMLEYIRLIETDRGESRTDENSKALVIGLGVGTLPAALINHGI ETTIVEIDPVVHKFAGQYFGLPSNHIAAIEDASAFVKRSQVSEPAKYDYIVHDVFTGG AEPVELFTIEFIRGLAALLKPEGVIAINYAGDINLYPAGLAVRTIRAVFPTCRIFREE AAPESNTDFTNMIIFCKKSSATPLTFRDPVPSDYLGSKSRQSYLVPKHEIDVSRFADH HHHGRPVLIAKETGRLHKYQDRSALEHWGIMRDVVPDAVWENW ACHE_50543A MSTFGTRSNSRPSSKDGSKKNIWSSMLDNVANGKRLPEKNLLIL GGTPESQREFLETFSADTSEPGLSNDKRKGRIPPIANQFALGYTYQDVLDADQEDTLA RVSAYLLSEPSPSFAPLLKPLLTPQSVPETLVVILLDWSDPWTWVRRLREWIRLLRQV LISLDDPTKIAMEETMVEWRDRKRAMDSSSAGAQGLTSSGGPVTIPLGSGEWDEPLGI PMCVVCQGADKIEKLEKEHGWHEEEFDFILQFMRTILLKHGASLIYTTPFLASSLQSL LHSSLGIHSLLKRQSLKHNVIDRDKILVPSNWDSWGKIRIIREGFDMEGVSTAWSIEI QDPPEPLNYNESTEETPQDATEAEDGSSAVAIFEQTIKDPKRDYSIAPPGRQHSDNKV EVESSDMQSFLAKQLEVLEKQKQEDDKDREAHQMPQMEMSPLDNNGRVNEYIGPVEYN KDGIQVDVDASLQGIKEREAKRGKEALVSSGDEKAHNQALADFFAGLVRKPQSRGSPS T ACHE_50544S MNNIRQIQALNKRELENAIPPAASWHADYRDTAYIYIGGLPYDL SEGDVVTICSQFGEPVHVNLIRDKETGKSRGFAFLKYEDQRSTDLAVDNLGGATVLGR VIRVDHVRYKRREDEEEGDNVASLMGDAEPDQKKRRGSENEEPRRRPQLKEERELAAL IQNHDDEDPMKEFLIEEKKEEVTQALERLGSSEKKPSRRRDDSRERSSRHHRHHRRRR DEDRVRSRSRSKDRRRRDERSRERRSHRDKSPSRRERSDRDRSPRRSRSPGSRRYRDR HDRLR ACHE_50545A MNTRQVIDESVGPVSLSTAFNSDNSCFSVGLDTGFCVFTADPCE LKVSRDFNAGIGVVEMMGQYNFLAIVGGGKQPKFPQNRLVIWDDVKQKGVINLEFRTS VLGVRLSRSRIVVALLNSIHIFAFSNPPNKLSAFETSDNPMGLACLGQKLLAFPGRSP GQVQLVELETGNVSIIPAHSTPLRAMALSPDGEVLATASESGTLIRVFSTSNCTKMAE LRRGVDHAVIFSLAISPSNNMLAVTSDKSTLHVFDLPNPRNPAYRSQSPPSQSEEGTN QKWGILGKIPLLPRVFSDIYSFASAHFEIGDEAPLSYVPSLGTSFARPPKGVIGWSDD QTILIIGAGRDGRWERFVIREGDDGKRYCIREGWKRYLGG ACHE_50546A MPCYMSDYMSSTSRARIIPVRRPLLPPKKSSVCLNPSSRPADIQ FRKPAFSENDVSFDDQKYRQERSCPVIIEYDFSNAPQPFLDPDVWNRMRRQAQESTPG REDGSYGDLEERDRRREREAEYGGLAIQGRAARRKK ACHE_50547S MSSALNALRRKKNVKKGIQFCLMVCGASGTGRTTFVNTLCGQQV LESKDADDAANAHIEEGVRIKPVTVELELDDEGTRISLTIVDTPGFGDQIDNEASFGE IVGYLERQYDDILAEESRIKRNPRFRDNRVHVLLYFITPTGHGLRELDIELMKRLSPR VNVIPVIGKADSLTPAELAESKKLIMEDIEHYRIPVYNFPYDIEEDDEDTVEENAELR GLMPFAIVGSEDFVEIDNRKVRARQYPWGVVEVENPRHSDFLAIRSALLHSHLADLKE ITHDFLYENYRTEKLSKSVDGTTPSRTQDSSMNPEDLASQSVRLKEEQLRREEEKLRD IELKVQREIAEKRQELLARESQLREIEARMARESSQGQVADGASGEA ACHE_50548A MADLGGQQGRNYRPYGHPSNFQRDAAFSEIFGGAPPPGRSQTMT SQTPQFSQDRAHTMSSHVPHPQMQRAPPQPTRQMQNGYPPASSNGYYQAYPGGAAMAA QPPPQNLPRPYPGRFAYPQPQRLDSRPTPGSQYQDMKAPGRPMPPPAMNSDAYRSRSM ARMGGPPMYGPPPSSFNHTSATAFRQQPYHPAAPMTAQGRVVPERHGNERAMSMTSYA ADRDQSLTTSTGRVIPTRRNPSGPAPPPPMKQEPEQPIAQQNANSKSRPPSDSSTNSR SMSMASATMSMASTVVPDRTMSIQSQSQPKHSGSTVTHATSTSRRSKVPLVYPALLSR VADVFREKIALGERQKNGLSYQNAFSGAEAVDMIGYIIKTSDRNLALLLGRALDAQKF FHDVTYDHRLRDATGELYQFKETMGEEAPSSDVNGVFTLLTECYSPTCTRETLCYSIA CPRRLEQQARLNIKPQPGLRTSASKGSLHGDDDNDDQKLWINMVPKEVSDSIDDKQKK RQEIIFEIMYTERDFVKDLEYLRDFWMRPLRSAGNANLSPIPEHRREKFIRTVFGNCL EVLKVNSALCEALNTRQKESHIVHTVGDVFLQHVPNFEPFIKYGSNQLYGKYEFEKEK ASNPAFARFVEETERLKESRKLELNGYLTKPTTRLARYPLLLEQVFKSTRDDNPDKQD IPQAIKLIKDFLSRVNTESGRAENHFNLVQLDSSLKFNPGDYVDLKLTEENRQMLTKM AFKKTPTDSSEVTAYLFDHAVLLVRIKVVNKREEYRVYRKPIPLELLVIAQMDEVIPK VGISKRPSSSLLSNKAAVNPPSTKDGLPITFRHLGKGGYEQTLYATSPTQRRKFIEMV EEQQRKLRERNSNFYHKTVLCEHFFTSVNRVNCLVPVDGGRKLVYGTDSGIYLSDRWP KDKSAKPRKVLDVSQVTQIDTLEEYQLLLVLANKTLSSFPLETLEVVEGQNTLSKRPK KIQGHANFFKAGIGLGRHLVCSVKTSALSTTIKVYEPMDNLAKGKKKSAVSKMFQSGQ DTLKPFKEYYIPAESSSIHFLRSTLCVGCARGFEVVSLETTETQSLLDQADTSLDFVA KKENVKPIHIERMNGEFLLNYSDFSFFVNRNGWRARPDWRISWEGNPNAFALSYPYIL AFEPNFIEIRHIETSELIHIMTGKNIRMLHSSTREILYAYEDETGEDVVASLDFWSKA PQN ACHE_50549S MSVSEYTPKFAPFFSFAGIASAMIFGSMGAAYGTAKAGIGISGV GTFRPDLIMKSLIPVVMSGIIAVYGLVIAVLIAGDMSPPLGTTPPTSLYTSFMHLASG LSVGLAGVAAGYTIGIVGDAGVRAYMQQSRVYVGMILILIFGEVLGLYGLIVGLILNS KSKP ACHE_50550S MAAETVDPSTHKKVQGTAVPPKKPESGGSQDAHEREKQMVGSVH PPHQRHLNPFTVADRPDQKHLGVSAKNLKVADFALLRTLGTGTFARVWLARLKADERK DRVYALKILRKADVIKLKQVEHVRNERKTLAAVSGHPFVTTLIASFSDAESLYMLLDY CPGGEIFSYLRRARRFNEPTSKFYAAEITMTIEFLHDMEGIAYRDLKPENILLDADGH IKLVDFGFAKELGDRETYTLCGTPEYLAPEVIHNSGHGLAVDWWALGILIYEFLVGQP PFWDQNPMRIYEQIVQGRLRFPQNIMSPAAQNIVSLLCKTNPTERLGYISGGSARVRS HPFFEGIKWDDLYHRRIKGPIIPRVDHPADTGNFEEYPDPPVNNLTPYTDDLKSKYEP LFADF ACHE_50551A MLTFRKSLIAAIFLVACILILRSSHSSSSSSSSLSSSIADIPPI TPDKNLNEDNLPKKGTVQQLPIQPAPTASLRDRLRYHFPYDISNKFPAYIWQTWKYDP SSFWFIDDLRAPEASWTELHPGFVHQVITDDTQRHLIKYYFASIPEVVEAYEALPLAV LKADFFRYLVLLARGGIYSDIDTTALQPAPEWLPAELDQSTVGLVVGIEADPDRDDWQ KWYSRRIQFCQWTIQAKPGHPVLRDIVAYITDEALRMKKLGVLNTKKMDKTVVEFTGP AAWTDAVFRYLNDPEYFKIDPETSRNVTYEDFTGQEDRRKVGDVVVLPITSFSPGVGQ MGAMDIDDPMAFVQHDFEGTWKTDPSL ACHE_50552S MPATALSRPFQSQDNPPSDNTQSMDPGSESQRPMPKKGSNGPSK WTGKRVAIVTLIVVMVVFTIVLVVTLPIELRPRSSYRVARVDPSYYNGANRPKRVLEN FPDPGLLQVNGTWYAFGTNEANNDTEVPRVPVAISSDFLNWTKLESRQVLLPDGDWET DVNHWAPDAMQRDDGEFVLYYSGELKDWKRHHCVGVAVTNNTSPLGPYVPQNTPLVCP LDQGGAIDPSPFKDVDGKLYIVYKVDGNSIGNGGRCNNSKPPYKSVPIMLQELENNGT APIGDPVQIFEHDSDEDGELVESPNLIRTAEGVYYLFYSSHCFISPEYDVKYATSPSI RGPYTRAKREFLRTGDFDLDGPGGATVSKDGTKMVFHANCDHERCMYVAGIHINSTAL NVTLTSL ACHE_50553S MASFQNAARSASRRLLKQCETPTAFAGRNRFHLSQSSHLRRLHS LPPRNSQSTAASNPALSFPCLDAQEEKTARLSARSLESGPEPSYTTGHHERFHCEVPL LLDWGGVLPEFDVAYETWGTLNEDKSNAILLHTGLSASSHAHSTLSNPKPGWWEKFIG PGGPLDTNKYFIICTNVLGGCYGSTGPSSVDPSDGKRYATRFPILTVEDMVRAQFRLL DALGIQKLYASVGSSMGGMQSLAAGVLFPERINKIVSISGCARSHPYSVAMRHTQRQV LMMDPRWERGFYYDSIPPHFGMKLAREIATVTYRSGPEWEKRFGRKRADPSKQPALCA DFLIETYLDHAGEKFCLEYDANSLLYVSKAMDLFDLGYEQQVETRKRRAEYERKITQG DKQLEQSDPACSLTLPEQPYEEQPSVTASIPSINESVFDKGDKNSEAPPEDLVRGLAP LKNHKVLVMGAASDILFPAWQQREIAATLQAVGNKNVEHVELGEDVSHFGHDTFLLDL KNVGGTVQRFL ACHE_50554S MSWIQQHANSHQSRLAATAVLSGAAVAGAILGYQAIRREKAVHK LKASIPDINDSHHAEKLTEYGSAAATQLSKEDERSAALARRAQQGDYDDDLILEQLAR NRVFLTDEGLAKLRSSFIIVVGCGGVGSHAAASLARSGVSKIRLIDFDQVTLSSLNRH ALATLADVGTPKVHCIRKRLEQIAPWVNFDCQNELFGGSAADNLLGPWQGQKPTYVLD CIDNITSKVELLHYCHTNSIPVISAMGAGCKSDPTRVVVGDISLSTDDPLSRSTRRRL KALKISTGIPVVFSTEKPGPGKASLLPLAEEEFKKGDVGELSVLPDFRTRILPVLGTM PAVFGYTIANHVICDISGYPNDYNAGGKGRDKMYDGILAGMQGLHEKLARTEAGHDFV GLRLPISKDDVGFLVDDIWRGKSIVSGLPNRLQLILWEKPARGFGPDPAWEKEGQKFI PISLKDLVCLTKEEAIRHEREVLRGGKRPEDIYDEKTVQRAKERMKEAEFYENYR ACHE_50555A MSVAKSFRQTIGIPPSTASVQDSTLIIIDAQNEYATGLLTTANI DQTRKSIANLLSKYRASGNGKNIVHVVHQVPAGAPVFTPDTELAKEFEELTPKAGEKV ITKQFPSSFAQTDLDEYLKGLGDVGKKIVLVGYMAHVCVSTTARAGAERGYDVIVARD AIGDRDIPGVGAAELVSVVLSELADGFGTVVSSEEIGA ACHE_50556S MPTSIPQANSLTDLFSLKGKVIVVTGASNSHGIGFEAARGCAEM GASVAITYFTREDGAKQNAEALTKEYNIKAQAYHCDVRDYSAAKTLVDNILQDFGQID GFIANAGRAADAGVLGGSVADWMEIVQTDLNGTFHCAKAVGEHFKLRGQGSFVVTSSM SGHIANFPQEQTSYNVAKAGCVHFARSLANEWRDFARVNSVSPGYIDTGLSDYIGKET KDLWMSMIPLGRNGVAKELKGLYVYLVSDASTYTTGADIVVDGGYTAR ACHE_50557A MSLKDVLIRFEGVEEWEETRDEVDKILQELTGTDEYPSTRSLPP IIFGAQLDEDGMERLRRLDGVIVQVPEEEDDD ACHE_50558A MKQALKFGQSFEVQSRGHAHLEWLNATPTTFEQENETKINRWVW LSEAPGGSFCLWDANNPDNMGYMQDGNSVYVGLDDYTIEGITSQGFLTLKEWVPAE ACHE_50559A MPLHVPQPMRALEITFWFFALHDMSEAYVSRKKCRVDTENLSMW RKMGLPLDDMGHFVVDFAAETQVEAFFFKALVRLLCQLVNLEAGDTPQWTRMDDAFNR WYDMLPPSFSCSIDWPCSTAKDEEQIPSTETVYHESWFCSDLCAITMSFYHMARMLLL IHRPVDVFLTGQPQNALDVLSTYYSLQRDLRQHAMKIIPIARAIPDDRVRKNLLQPLY TAGRSLFDTNDRQDLLDILLEIESDLGFATEYRLKDLSGEWGIPWQTQNRIRADEECQ RA ACHE_50560A MSPREVGQRPEPRRNLVSPHRAPARETYRSRKGCPECRRRKIKC DETRPECGQCLKSGRACHIIDGLFKQHSYTFLATSHVRAGSRQKNRSSGAAQGAVSHE NADGDTRREIPEPSRILPSSLLFITTRLTLFLSRSYR ACHE_50561S MRPDRLFELNIICNAENISQALLHLPSNKPKISIFTMPLYQPPD VHAPVLSQFSLKGKIVAVTGGARGIGIEVVRGLAEAGADVALIYTTSSEAPEVAARIA SETGVRVQAYQSDVTSRDQIAATINQITEEFGNGRLDVVVANAGVCTNSPSLEYTEES WAWDNRVNYDGVMWTAQAAGKIFKRQGKGNLVITASVSSILVNIPQMQVAYNASKAAA VHLAKSLAVEWTDFACVNCISPGFIMTKMLTQQPKELFYKWLSMIPGG ACHE_50562S MSIGALLQTTSYGLAQMFVGRVVAGIGNGINTSTAPIWQTETAP PQWRGKLVLVEMVMNIFGSALVNWINYGLSFCGGAVAWRFPIAFQFVFIIILFLTVPW LPESPRWLLSHGHAIEAVKVLACLEEKPVDDPFISMLCQEIEYSIHYERDNQTRWRDL FLRKKNQDTKTLRRLILGAGTQLMQQFEGINVMSYYMPTVFMNSVGLSNSMSRLLTAC NTSSYFVFTCIAVLLVERLGRRGLMLLSTFGQFVSFLIITILLRLAENKPTGSAVASA SIAFFFLFYAFFGLGMLSIPWLYPTEISSLPMRTKGASVATATNWICNFIVVEITPIG IQNIGWKFWIVWTVLTATFLPVIYLFYPETANRSLEDIDAYYRFNPSLFVIRDPDAIC TKRPQKYIQHESEEVQKNAKQGTLDMYKAQSHMVEHVE ACHE_50563A MNLKHLQHFGYRFKSPIFYFICIFTIVICWMWQRDTIMDQQLNG HSSPLHDATIEQTKNMLENISLLSKTQDYTGHDDDANKPLRIESLNPALLPSNDAVTT TATSQKRLIIIGDVHGCKASLDALLEKLSFAPDHDHLIFTGDLINKGPDSLGVVDLAR KYSASSVRGNHEDRILRLRQEMMAQSEESSSSTEDESESEEEEKGEKEIGKKPDKNDE KKKDKKEKKEKKKEKKKLKKKEKKEKKKDKKDKKDKKDKKGKDQKGGKEARERHLALQ LSDKQAQWLESCPMILKLGWIRGMGEVVVVHAGLLPDIELEKQDPWNVMNMRSVDFDK KKVLDSHQGTMWARLFNKHYSTMASKNVDSAASTMTVIYGHDSKTGLAISDYTKGLDS GCVKGEKLTALVIEVGGKQSLVQQKCRDDVKE ACHE_50564S MSSRMSPRHYLSRSSSQQSQSQSEEDPSRQVGQETYQGDFATMD PAMQRQSVSSMATGGRGMQDGMQGVSQGMRQGLTQMPQGMQQGKEAGKGMMGRSSRMM DMSNIPEEVNELG ACHE_50565A MRPEGSSGQSSSSSSSFEKTPREPQEYPLEILDHDSDSSNQFVA VPRGSSDSVRLGDEEEHSSEPLLPTSVQLPRPELKRAERFECSVPGVINWAKGPPQPR KYQITPWLRRWQTAPGRLIDRYCPSKRAKIGLLFSVVILWFVIFLSIIHKSVNGIDVS GYGKPVKLACSDRLWSGSTSCGINGDNCRPFDKEGFAFRCPAGCSFSMVLEPYVVGPQ EINYRSLVVGGSNGSDMGVYRGDSFICPAALHAGLISDQQGGCGILRRTGEQNEFPAV EKNGISSLGFSSNFPLSFTFDQGGAPGDAGIDCPDLRWSLFTFAVVITTLLSLCISSP AAFYTSTFFIVYFQVGLSSDPPEYPNYYEVVSTALGRFLPAAFCGFVIYYYCVRHTLE ELEAHWDKTVLWLGACWVGALNTDTFDKIPISRLTPHDIQQQPGAIPALITIVTILIA IVITQAIAFRNEGRMPKMLGVYGLLVAGVLTLLVVPNMNLRIHHYILSLLFLPGTTLQ TRPSLLYQGLLVGLFINGIARWGFDSILQTPGALLDGAQLGSPLPEIAAPLVMSSQNI LFNFPDLLAADADGISVLVNDVERFLGFREEDGKVDSFNWTRQREGDAEYFRFGFIKL NALGGFWYEDFTDPVIWEGDGKWNETFRSL ACHE_50566A MPVISRIVSAVLRVAEIFFGAIVAGIIGHYLDKLDNIDPWPQAR WIYTEVVAGVSILLALLWLIPCSFGFFLFPIDIILSLAWFAAFGILVDAIHKLNCGSI WHWGGFLSGDTCGRWKAAEAFAFISAFVWLVSGIVVRIPRDIIGINFRKLTMNRASGS LSARTAPPVVAAGTALLSKCSTVSVIVDFGSVFSQRASTYKHRTYPTMAQRSYWT ACHE_50567A MAKLFPTNPSQVMVIRNVTPDVVTMSLPFARFGRLKFGARGTLV RLASGSVAVFSPVTFTPEVREAVDSLGGKLKYIAAPDMEHHLHVTSWKKEYPDAEIIG PEGLWEKRQSNPEFKDTSFHHIFTKDTNNAQQKVTEEFDSEFEAEYVHGHGSKELVFL HKRSRTLIEADLLFNLPAREQYSLTNEAADSGILTKMVHPFLSTSGDATWQKRFAWYI LSASNRKAFRESMQRIDKWDFNRIIPCHGDVVESGGKGVFRTVMEWFLGYDKKGL ACHE_50568A MARAIPTDVVSDRSSPETTRSDKENHQASARNRKRGSMASRPNT NKRQRLADRTSNIQGGTQSQVPSSQAVRNNRFYDPDQDETERRWLRKGLRDLTRDLHD SRSEYLQAGNHGIRDTVKKANDYFDHVKQTSDATIDSRLLVSAADLSYKKTTQLSMGD ATAGIDVDEFVSKCITFMRRGPEDSHAMLASSTQRIRPRAAGRSQPDPEADSDDEDEG DAMNWDWLGRSACFQHNARPPVSGFLLGPLSVQKRTRQQTQRKARERIDPSQAVRPQE LQEEDLDRQETSNLTTMCSKINKLLATTQEQRMETVDRILRQMEDPSDEVIHEVMDKY EIADDGGIPLFLFCINPRSFGQSVENLFYVSFLVRDGTVGISFDSRELPTLHPTKPYA PIEAQKKGIQKHQAVFSLDFETWQAIIDLYDRKDCIIPHRQEEQQTRRGWYG ACHE_50569S MEAFTFATSTQVDFPIHVRIGSLEGKQKNIPFSVLLKQPELRHI GSVQNSVSDLFVTAQLWSDSKPLGVPVQTSYKAFKSRRIWNEWMQMPMSVKDAPAKCQ LAITVWDLSPFAGEGAHGHYIPFGGTTIPIFDGEGKLKTGRQKCKVYRHKAADGMSST TTPSSPPPKRRKAQNPDPLGPSVEEMELERVEVLIKKHEMGEIPRIDWMDQMVFRQLE KLKLNAEEAARKRAIRLKATKQKTSQADEEEDEDTDQNTDDENFVIYVEFPRFDHPIV WTDYQYPPPPVSAHLQNTPANQAALKPLPEVRFGPGIEGGEAVIRIYDPELGQTGNPC EDKHRRLIRSHRTGIMDRDLKPNPKIRDELNTILSYEPTQDLSAEEKDLIWRFRYFLT REKRALTKFVKSVNWRDVGESQQAVDILPKWTEIDVDDALELLGPTFDNAAVRSYAVE RLRKADDDELLLYLLQLVQALKYEDSPDEDLEESAYDSSLANFLISRAANNFKLGSYL HWYLMVECDDAGPGTLSAQRRLFARVEYYFMAELEKVHSENRKTLLRQGELVAVLSKI AKDIRFSRETRPLKIEKLKKYLKDPKNDISHIDPPLPLPLDPDVLVTGCFPDESNVFK SSLSPLNVTFKTSDGRRYPILFKVGDDLRQDQLVIQIIILMDRLLQKENLDLKLTPYR ILATTSTAGAVQFIPSTSLSAVSAKYKSVLAYLKANNPDDHEPLGVRKETMDTYIKSC AGYCVITYLLGVGDRHLENLLLAPDGHFFHADFGFILGRDPKPFAPMMKLCKEMVEGM GGTTSPLYLQFKQYCFTAYTTLRKSANLILNLFSLMVDANIPDIRVEPDKAVLKVKER FHLEMTEEEAIRHFEQLIGDSVNAIFGVVIDRIHDFVQGWRA ACHE_50570A MSLISAFRPRSARNSPLRRRKNKKREDPETDAPEDDAHSIITPS KHTPKPFRVLRGTVTAGGPLRPFRLVKQDIMNLRRRYRSDWTIFNQLIFASAVYVFFT NLLPGITFASDLYVLTGKSWGTIEVVFSTGLCGVIFSLFSIQPLTILGVTGPFSVLAE NIYKLCDEAFKIPFLPFMAWSLIHSAWLHYILAIINAHDWTMQYVTTFATEIFSLLNS IIYFHKAIQELERAHSDLSFAAFLYAIIGAVGTMLFAIFLSTAESWQPLFHRYARLLL TEYAAAISIILFIGLPYVGELAHLDKMTLPVSETFRPTSPDRDRFFVEFWKLPVPWIF GAIIPGIIITILFFFDHEVSSIICTIDRYGTRKPGGFAWDIILLGTTTAICGILGIPP SNGLLPQAPLHSESLMHAEQETSTIIVDGEEKMQTREVKRVHEQRWSAFLHAGAIFAF VSPPFMKVLGLTPTSVLAGLFMFMGEQSLSVNPILYRAFYLLTPPSELPPLPAALSKP ENSDTDLDNVSLQKPSYISIHIYTILQIAITVAIFIVTLTQAAPAFPVLIILLVPFRL LVMKRWWPREVLRFVDAWACREGTPEDDEDERETNKPQEGDVRPGDGQRGDDAFFPTT SADNRNDMFTVGASPKSDRLSHDIRAAGSDDTGNDWIELDAYPQADEEIGRKGR ACHE_50571S MMATKFIPRQTFPHYGSIPRSYYLGHHRTGLNKMKNMLSSIDYV VECRDYRVPVTSMNPMFEEALGSTRRLVVYTKRDLGADPRPPVRQQVEKTIQSFDPTS AVFFVSSSSRRDMSPIIRHLRDDAQGPDNLVGSRVMVVGMPNIGKSTLINGLRNYGVK KAKAAQSGGQPGVTRKIGTPIKIIERESGSHVYVLDTPGVFMPYVPDAENMLKLALCG CVKDSVISSLTLADYLLYHINLHDERAYQRWSEPTNEIGSLLENFARYTGLLAKGGIP NIDLAALHFIQKWRSGELGQFILDHLQAEQSRRQEGITESATSITQALKADRMAKKGR NQQDTRSD ACHE_50572A MARNSEKAQSMLFRFRAQQAADLGIIDMGRTRRPKAITTVDSIP MCEKWRGQVLKEISRKVSRIHEQSLSDYQIRDLNDEINKLMREKWTWEMQIRNLGGPN YMRGSGRMYDEEGREIPGGGKGYRYFGRARELPGVKEMFEAAARRGRGPAEEEGEERG RGGDIATKKVDANYFGYGLDEEDGTLLEYERQKEEEAVKDLRGEKEDDAEDGWESLPG DAGDGMEWRLPSLEEVQEELVDRRRRRLLDKIS ACHE_50573A MFPSQLSSPKRANPFGRTSPSPSPGGQNGSARPRSAIITSPSRI DGSKGHYRNSASITQLTPTALSPHGNRDRSNSLRNNATTGTFAPEFIKSEELRRGADQ IRGLEGDNDFSGNKYVWLRHPEKAFVRGLVLEEGQDGRLLVQPDDGEPREVDSHQVDK VNPAKFDKADDMAELTHLNEASVVHNLHTRYQADLIYTYSGLFLVTVNPYCPLPIYSS EYVKMYKGRSREETRPHIFAMADQAFRNMVEEGENQSILVTGESGAGKTENTKKVIQY LAAVATSDTPYVRSAPRSGSKQLSALSQQILRANPILEAFGNAQTVRNNNSSRFGKFI RIEFSRSGQISGAWIDWYLLEKSRVVKPNPNERNYHVFYQLLQGADRELRRNLLLSDL GIEDFAYTRDGNDTIAGVSDVEEWNSLIEAFHVMSFSQEDQMCILRTIAAVLHLGNVT IVKESIRADQAALGADSLNSIERACYLLGIAPDEFVKGLLHPKVKAGREWVEKVQTPE QVRLALDALAKGIYERGFGNLVSRINNQLDRSTMASEGSSFIGVLDIAGFEIFENNSF EQLCINYTNEKLQQFFNHHMFVLEQEEYAREQIEWQFIDFGRDLQPTIDLIELTNPIG IFSCLDEDCVMPKATDKSFTEKLHSLWDRKTPKYRAARLNQGFVLTHYAADVEYDTQD WLEKNKDPLNDNVTRLLAHSRDSHIANLFADCGDGEDDGEYPKSRVKKGLFRTVAQRH KEQLSSLMTQLNSTHPHFVRCIIPNHKKRPKLLNAPLVLDQLRCNGVLEGIRIARTGF PNRLPFSEFRQRYEVLCRGMPKGYLDGQSATQTMLDKLGLDRTWYRVGRTKVFFRAGV LAELEEKRDQLIRNIMGRFQSVARGFLSRRIANKRLYRAEATRIIQHNFHVYLDMKAD PWWRLFSRMKPLLGETRSANEVKKRDEKIQQLEVKMQQDLSDRHKLEEERRRTEVEIQ KIQQVLESERSLALDKEEIFKRLQMREVELSEKLAGAIADQESLEDQLDGLIDAKKRA DDQLELRITQLEQAGEIIERLEAEKNELQSHLEAVSQKLLDTERDSLEKEQHLEQISQ DVEMLQSHMALKDRKLQDLETKLLKTDQELDVKFAKASKELEQSKERNKELIDENRSI REQISDLSATSTGYEEMLRRKESEMTVLRNDVRKHDEDKQQLVLEKSSLTTRHDNMQK RLREVQAETDAMTSEKLQLEKEVQDVKRLLEEKISEDAEAGESRKLLEQQSQDLKSQL FQAQADLSRERQSRDDVQMLAEHNLAELRDKYTSLNDAKITIEKEMYIQQDTLRRATE ARVTAEQSRKELQTELIKLRDRFTNVENARLNAESEIERKIMQQADQRLSSLRKEFEE KTRLYEEGETERARLSTRIQELNNAIAESDNFRIRHDQHKERLERELVTLKGRLTASE NDNRALLTKIQQKNLDIARSNSRASDSQRMRITNLQKEKARVEDENKKLSHQLGDLQL TITSLEKQKEKLSLSLEDLNHEVNREHKNSRNAEKAASTANLQLAEANRSLETERQLR SQAQANTRQLQSSLDGANKEIEDLHRKLTTLHKVFAPDDEAPKSWEEVQPDLSKKVDL AQLLDETQSKLQVTEEKYSRAESQLAEMRQRHGDEMKELDTRYYSSKRALLEEADQNQ VGNRTPTHARKNSDGVLKKFGTPTTPNRRVNFNDNAPDSGRSDKTVDTVAFQKRMDMA TEIEELQNKLQLSEMQNKHLQSRVHQATPAHEEPQDESPSIRRMQLLERENGRLHDQL DDSAKKVSSLERSIQTGELSLRDVQAKSHEELFDLINSQELSRKSLLKIHNEAMAEFS EVKALSEKLKRSKAALEVDIRDARSETQELQAAKDQDTASRNQLLQEFSDLQIRLDAE TSKSADLESSLSLYKSRADDYFSKLEQAEIAVLKATRAEQFAKSQSQEAEDTCAQIMA ERKQMDALVEDLQRQTQSLEAAMEDQTAELQSALQTKQRLQNELEDYRNQRAIDIEDK ETSMEQTRQKYQREFSTLNNELEMEREKVLNARSENSRLREELEDLRSKWDNEVLNSS TWAKEKARMDIALQDVTTSREEAVDAHNEAQSKIVSLLSQVRSLRVSVDDVTAERDML FKEKKMLETRLSEAGERLEDLAKGESPSMRNAASMDRELLELKSKLAQQEDVSAAAVG KMRRAEALATEMQKEVTAERESNAQLFKDKAALEKQLKEAQLRCVDLETKSYSTGSQD VRFLHKRIKELEAHLEEQENKHTTEQRSLRNIDRTVKDFQSQIERRDKMNAQLNDEIS KSRDKIERLLANIEELQQSDSETQLLVRRSERELREEKEKSLRMERELDSWKGLWGER GSTVGRPMPAFSDVGSRRGSNGGDIPQRMPSNTKGFL ACHE_50574S MSNYTSTPSLGGSSSYPNQWPPANPPQQTPMPSILPSNFPFPPD SSGTQNAPNNMNNFDANSRLPGLGIGAPGPLPPPPFPFSGPLTPSQFPPAPFPLQMPL LGYPPMPLPMQTQTNPLVTNENISGHTMNAMNRPQDYSTNPRLNNEQEEGEVTDGENV GLACPGIEGKGQSGRVASAYSPPVRMEADTPTNNPTTNCHTEGLRGYERVLPRDSEEG QVSISCASSRASGSPYNPPMSVTAASPVPEKRSVHSVDERPVSPGQKNEKEQPRSQSN PGKSPDQLRIQAQGALLSLAPHNIRFEELVGEGINPELLRQLYEEVGIKVSTLPVTTV AEPPSFTNGVGPAQRQGTDSTMKSPNDLQKSPVGRPASASQSDTTKPMERKEVIARML AAKATKASGPSPSPQTNVAKESSTIPNTVAPSREISAKEKEIPVREKNKAQTELARQR IEQLKKQGLMRNQKAQQEPASQDQQSRTAAPSPSVTVGQHPLPERPPEREGPSACLPG LFMIGSDQTPVPDPHATPIQNLPLDPTPQPRVTQRKRPRASDFDEINNFSSRCLSHGA GSAAPEDRLVIDISDDEFYGENEDNAMDVEEHQPLGTISSSTDFPTSLTPRNNDQEHL RQKDMEIQEMHRKIAELEQRKKAKLVSNHSQSPRATNASSLPVAENSIDADLESDNIT PAEPAITNGYNGDGAEQATSRSNGPVAVPQTKPLASMDSTELQTIRSKILRKQKLESG LPELDAEIASSEAKLFLVKQEEDNILSAIAMGKQGRRQLVRELEELGIEINGLTLDEL DAAQRKLESDQAAFPAETASPLAPTANEDDFPMADIPLPTETGREKSVEVSPVGQEPQ TEPDHGPTLSGDLEQRASHAYSSDSTGSSMDESTDTSSVSSDSDSEGESDDEDVRSLG RDLAEDAERPAGDDETQKETGTESHSPLSKHAPAPDDGSSGEESYEPGDVDGDDRMSS AESEGYEPPEPEQDLGSVESEYTPPPINGEEPEVSPGPLPDQSHAEPLTGDVQEVRVD SGHVQNAPKVQVPVHKFTPYKSPLRHFKSYRYHPNYSDLVSEGYRSLTYSHNIDSMKH LCPFQSAGGICNDRSCEYQHFDDMKLSDDKILVQMGSLREGKTPEEKDQYIAGLKQII NDMRRDKVKDFNTVATEIAAYRRRFLHDPTRVLPL ACHE_50575A MAPIASNTTFTPGLSLAQGLGSGGHSGIKSYGATYMYFCCQCND GPKVYNHQPRCVMCNHVACANCIPAGK ACHE_50576A MNQELLWYSRRTHFLPGLTQGKGDDPQSLFGPPIARTPYEGPME DRSDGSQYQRLALERMGLGCLRPTA ACHE_50577S MAAAGPDRRINLAVAKIIPPILLGIVIYASYAVTKQLCIDYLID PIPHHYIRSPRVGAGAAIIAVYYVLLIPVLVTYLRLFYNVAWNPGFLPRGAPSIEDQD DNGSRSSNRRHRKHRRRRNSRSRGRTTEKTDRNDMDPDVEGGLDYAAGGKAYPLNTGG LESFYTKDVFVCQPDGRPSYCSTCCQFKTDRAHHCREVDRCVRKMDHFCPWVGGVVSE TSFKFFIQFVSYTFIFCAFALIVCAVFTAELKKDEGRANPHWAVGIGLSGLFGLFAFG MTISSLQLAMLNLSTIENLNRRSAVWTLAIRIPDHILNKLNTQWAPTYRTITYPLQPV PSGPQAAPPPADPTTGERHVFAILQTLPGENPFDLGSPLRNLQQVLGYTIFDWLLPLK HSPCADHGSLESAFALGPVVTRLKREAGLEASAEGEGSESGNRRSRHHRKRGKSRRRH SNT ACHE_50578A MTEIPKEKRLELAIEAFHKGQFPSKTACAKAFDVPPRTLMTRLD GTVSRQHTIANCRKLSNTEEESLKNWILDMDKRGLPLRVSNVRHLAQLLLSARSKPSK DISISEKWVSRFIQRHPELKSKYTRQYDYQRAKCEDPELIKGWFNRVQETILRYGIAE QDIYNMDETGFQMGVASTAKVICGSETRDSHAKSIQPGNREWITIIIAINASGHALPP QIIMAGKKHQSQWYSAIPKEYRISLSDNGWTNDILGFEWLQEMFEKHTASQTAGRYRL LILDGHSSHATASFDQFCTERRIIPLYMPPHSSHLLQPLDISCFAPLKHYYGQKVREM AENNIHAIDKQDFISIYSSIHGRAFSKANILSAFAAAGLIPFKPERVLAKLNIKTPTP PSSSSSNQSFYLGRTPVNLYQLNQQKKQIQELQSQSLSSVVAEQMLEKFIKSTEVAMQ DAILLRQGFHQLHTSNKHQKGKKNMTRAFIQDGGSLTGSEGQQRLIEREAIQEPSRRP RRPARCSNCNEEGHNRSKCPAK ACHE_50579S MGSSPRPRAMSPSDKRVIDPMRASTGAMQLSASYNEPYDASTSR HGRSSSQSTADIPYSNSYDPRLSRSRLDPISSTYRNPDQSSTKLRAEYPIRPRQRSST SAADGRHPPPLRVTVSPNVPNRASPIVTPGYGRSSSPWTADHGYLVPASPRHSHRRLY YTNDASRLARPRRRHGEYHAERSSRPRYPTVESLRQGDFGDWGSYSYTTQEQMEKDQM DRLDRGRGAYRHSRPLSLTGVEGHYVPSGLKHETRAHGPPPSQRGFDKLEGRTRRSTY DSDRESTGPPSYRAPVALHQDWDDGYYSSYRDSHYDDGHHDGRRHRRRRHRHHHHDSR DDNYRSSRSHNRTDSASGSVAGTGAGLGTAVLASGYESDWADYPDGYDYTRHHSRSRR PSRQHETDADPYTSEDDLRAYQRELSARRESPSDNGSQPLTIEASRSRRTSRSQTRVP EGHHSSRHVSSSSQEDSKKPTESEPQIKGILKPPREKFPEDPNPVRPGVAPLKEEAHK KGIPLDARWTRVDRRLVNPEALEGKERFSITDEQPDCVFVLRVLTKEEIQAYAIRTHE IRCERARKYREYREERRRKKEERRRSGEEVSADSEDSENSDDSDDEDEDKEQHALPSS QQIQGDS ACHE_50580S MTSMVQADLGPSSSVVKYKDDPIGDPLRSGHPQLHHPRSTLLQH RPNSPHQPPTTISPTTYASHSLSNGLPHSPYGPHPQESSYYASHPAYTAASASSQYSS SGPPEIMAATAQMQRPYPPIYHTPQSSSPVSVASQAHDQRTIYAQSPQMAHQVYGYQP YPALNTVQPSSYAAHPSPQSHPLTTQPLMMPPHQAPSTQMPRPSTAAPISPSAAAPPQ QHTPPQRTVLSAPHPATTAPTINTAVSTAAPSAPVSHTSPTVGTSSSAAPGPIPATTP LVVRQDANGVQWIAFEYSRDRVKMEYTIRCDVESVNVDSLSAGFKSENCVYPRACCSK DQYQGNRLVYETECNAVGWALAQLNPSLRGKRGLIQRAVDSWRNSNQDPRLRSRRVRR QAKITRRQTVAPQTPVHMAAGTPLTPSLPGVGMSPPSAARPGYGGGLAMGPPQLHHHH HARPDGSPYEEVSGTSEFPNASHRPSVTEAPGSSTTATELRPAQVFHGYPTYPSPASS ARAGPSIPPLLRESGMSAIGRTPTVATSTTASAARPDEVDEDEEYQPNKEELFGTQPA GKPRKFILVPDPQRGESRVRVKVNLDQAKMDELPDSYRMTHSVYPRSYFPVHLKNPPG LSVPGKRYFRDDAAEAEADNEDATIGRVTVPAPSLDGESEVIVPRMSKRRHRKDAILN DLGYRMTWCQSRTFVGRMVFLQKSLDMYRNKTRSAMLGAGQDPASIPEHLETRPGKRR FLERRKRKTTPISGMNATRRSAEEVEA ACHE_50581S MPRTFSFNNGDDREGPGSPISPVGTPPPSYQADPRTQTTAATPG MDNMGPSSVGGGIGGIALGVANGHGHSNDFDDGYVGPPERGYNTPGADNPYIPSPPLV PSPGVGMSSDSLRPRDSYGQAAGQSSSQNLLSAQSTQQQQGTQQPRPYLGTAAGGIMS DGPYQRNSAYSGGDYPLFVNPDEIIDDGDDGILPPGRNRGMGGGAAAGTAGGAAAGGL FGGLFKSKKTAADTTQYGPVPGAAGGAGAGASAAALEAGEKKHWSAGSKKRGWLVGLI LGFIVVGAIVGGAVGGILGHRGNTTREKGTIHSASDDSKQNGDLDKDSHEIKELMNNK DLHKVFPGVDYTPWGVQYPLCLKYPPSQNNVTRDMAVLSQLTNTVRLYGTDCNQTEMV LHAIDRLELKDMRLWLGVWIESNQTTTDRQLKQLYKILDDTKDTSIFKGAIVGNEALF RAGSTKSQAQKNLIGYMNDVRDEIKKRNLDLPVATSDLGDNWNEDLAKEADLVMSNVH PFFAGVTVEEAASWTYTFWTGHDASLTKGTDKKQVISEVGWPSGGGNDCGDGNKCKSD TDGSVASVDNMNKFMEDWVCQALENGTDYFWFEAFDEPWKVQFNTEDEKWEDKWGLMD SARKLKPGLKIPDCGGKTAS ACHE_50582A MPHTTRPKKKQKPSQNRRTTITDDSGWSHVTTTTRARRTYRNTG QEKTTETEKEELKPAEAPSNLTLDGLQQQFNRHYERWTTSESWGVVRQNLLSLAHAST DISTSADANADAPTDISTEGIIENIICIGLGSPSGFLRGGWVDRRQVSLVQVAALVRI KELFQNVRVYTQDPVFNTLDKALFESLDLTVVDHPAGFEKVSERSFLFCPGTEKGHLE ELLEKRPRALFGGPLEEMGDSDSVKAFLDGKVGKKVPIFEGDEKAFWGMRVYL ACHE_50583S MEFRLVLTLALLLLGLVDAHTVIVYPGYRGNNLITNGTIEEANG LGAASQNGSMIYPYGMEWIYPCGGMPTSTNRTKWPIRGGAISLQPGWFPGHSSGFMYM NMGFGTVPANMSNAMIKPFAFEGPSNLPYPGTICLPQVPLPAGHTVNVGDNATIQVVL AAQHGAALYNCVDITFAEPEDVAEVTRDNCFNSSDITFQDIYRTAPLNNVSGSGAGQV VRARWVELVPLVVAVVVGLW ACHE_50584S MFRRRRSSSQHQPLASPSQTAHSAASHAFLKSQPSSSSLSSAAA AAALRSLTPTPQPVENVQTKRIQRRASIASQPNLRASQTHTLRRASSSSSMSNRTFRR DQSPGRPSTSQSHLMRRDAPPLPSLPPGYTSPKPSTSSRRSMSVSPTTRAMSPPRRMG TRGMSVGPAVMRSPPRSNGLGTVHELERSASRNSINFSYPMNSRPNSPSLPPSPEYQR QVPTSLAEQLSPPGSPVPKTANMPRTRAAADKRRSTGAQPSVGTAVAAAQAAIVPGAT STREQAPAPSRPVMVKRPSTVPEDYQGEEHAEAGTSNIDRANIGEQNRSRGPVTPEPQ IIKSPPTPEQLSSPPPFNQIGSPASNRSVELEREPVKKSRARQPSASPGRSARFSTQL SVVGAGELHNPPPRSVSPVKSAMKHSSQGSMSPDRVGTIFRPGPPPSELSDGTSVGSD EGYRFRRKPAKVSFDDEAEIVGIAASPPTSPEDMLPPDSPPGWSKSKTTFFGLGKKKP TALDNSVGNDEFDEVLKPRPALPSFGSIRATRDTGAELPQPTQDEPSDTESIASSNSN IMVPGWSFSNDHALGGILANAQSPENAMNLTEKIEHPPLPAKTSENGNVDEVSEFVPE IRSAWVDGMQAAEFVPKPKQQASVPATPIPTTVAAINTNLAPAITVEPSSPELEKGRS SLEGYDVPGGFPRTSLEFIDPKTATEVTTPAAAPTPPPGKKKVKKKSHNCSGSESSAV FDEAGIPIPTGKRTDDDSDESVYSDAAEDFNGDGFGSINAIVDGQAAEETEQPMTGAL DKTKPAGAELPSVPEEPQQMIGRAVSPPHDSLPWVPDSPDTVDEPLPFSSPYPPFPIK RKTTSKPRLVSGVVTRTNTRTNKVQRPVSAVAFAPEVTTNGAPRPMAAPERKRPVSMG PVPANGKGPVRETSTQRPMTGASGSSDGSQRPGAGSPTGKHTMRRTMRAHSQEPPKVQ FSPSRQELPAEPRPMSPGLMATRMPTTLRGTGPNKSGKTSFFSTGKSPNRKKLSRAPG TLFSSPSRFEDSDGDDDEGPHKTFRSRFADSSDEDELGQNTMRPVRGIPRRHGMQDGE STELEDSSDEEKRRPGSSATAPARNISSPGPTDATAMAAVARSRGVSRDELEDFLHQP SRKPGILSRLNLRKGRNPEPKHHKVASEPVTENPAVNHQRGGSVTTIVTANNNDMQTM DIRGQRRGSRLLDGWPLRHDRKGVSEAGGDAGGDAITTASGAVASPEEPPQVNGNESG NRYTPGAAPSESVGPGDQVAGEGSHFKRQSTLARDVVIAGSGRKKRFPKLRKAFGLRN ACHE_50585S MEQLYGAVLAIHHARRVANLDFDARVPIPFSVFPSSYRSDAANH HPPAPVPVNEPEPVAYPVEHHHHHHHDDSHSLVDREDTRTAAPALPDPAVYGKEEIPQ EFVNHQYIEEPTPVHTPALVHAPHHPEPEQPFDNQLDLVESEYRRRTSPAASVISSSS NSYPSQELTPTYSQPYESHSVVSSSPSRVSYTPSQSPASSALQVYQPQTAIQNHPTSK MGYYDDDAPAAGGEIRAIERGGSKPAGEHVPIPCNYIRLGDILILQGRPCQVIRISVS PQTGQHRYLGVDLFTRKLQEESSFIDNPSPSVVVQTMLGPVYKTYRILDIYDDQTIVA MTESGDVRQGLQVVPQGDLFNRIRKAYAEGRGSVRAVVINDAGRELVVDYKVIHASRL ACHE_50586S MAQYQPTFLPECCKLRTTLNQARRIPNPVSEKRWENNRQACKAG QRLSKVADTIGWMDEAAKGQPKGVATAQLGLSLAAIHTTTEMASGLISDLCANPEYFE ALRNEVISVLGDKGWSKRALHDLKLMDSVMKESQRHHFGDIGKALVSGMSSPTSC ACHE_50587A MTPRRSSRARTSQPSPAVFQHTNSSSSSSSMTRERSTRSNHKIS SPQRSSTQRSQSTDDVDPKNDFPQTRQRRARGDEEQDPLRPEEGEEKEEEGDEEEEEE VTRCLCGQQEYPGLPPSRRETFGRSGRDERPSHPPTDGSDLMSDDIGSMFIQCDACKV WQHGGCVGIMDEAMSPDEYYCEECRKDLHQIRNEPNGQFSSSYLPVAPLSSPPPSSRD SSRDNNSRRSKDSKSRQNEASNPKRRSTMNSRDAAYDEEEQLRRAIEESKEENKSTAD EVATRRGKRSRSDSEAFKQLPKRQRTSSPSPTAASKQGNSISQPASEDESKAKATTNG AKKQKPTSRNQREKETKEPEEPEPERPDTANRRKGRTDQNDESDHEVGSPTKAVVDEP EASQPSPGTPAPSAAPEPAPSRPSTRKSGRPPARRGGRVGRNQYTRDRDINGNGLDVG LTNSPRRGASHDNGADSPRPHVNGGESGKPSRPRYMHPQRTTMNEMKRRVAGILEFIS RMQVEMAVAGENSSLAGNGDRPNGAADTADFGEGGMPPSAAASDGGESGPATDGESQS SKEKEFKELSSVEMMDVLTRHLMKWQQEYGRFGER ACHE_50588S MENSQLAASIMTTATLNGFNSAPDTPSPVYPDRLIRPLPKRTLR SRLSLCAADSILYPPAPAATHVFHGVPTDSGDVENDSKVYVQESVEHECPDCNSWHHY YEDDVSCDCDCDSADEDGPVVVRRSAGFRGASLSPSASSSHPQRLPVNGEGSRQIKSA SAGPDGYDAFENTNNKKKRKIPTPGNMGNNHSSLSPEFSAMGLATSAPSTPSATTADS LAYYGAGNPASPVGSGISGSGRGRLGRQVPRGGSGKIPLSVQTQIGFANGDPAGKSDQ GIISAAIANAAAVSSPPRGSGNVSLLDQQTNATTPTKTQFTFTCESDSSKGMAMQAQN TYPAQHRSPTSPFPAIAHNPRNFSTQGTQTSPSMTAQAHQQFQQPSQMQQQPQNHDGS AAEPANAGRKKKRSPDSVYALAARQRKIQQQYANLHHPPSIEDLWICEFCEYESIFGR PPEALIRQYEIKDRKERKRLAEKKRLLEKAKMKGRKNRKATKNATKNTSAHQPAFQSS YDRASVDHPSVGGSGGGHEDNYNEHDEEPVPFPSPPPASFKPPLPPEHQSRISANSMV APGAIDSETSRPP ACHE_50589S MWFLLFKVFKTGVSQAKKHKAKKNTSSPVADADKAGTTTEMFSL DNASGTNTSTRNPFKTILDFLLRLLQFALGIAVVGLYGQDLSGTSSNKGIDGRWLYAV IVGALSSVTALLYLIHLGITKKKKTTPFYCAENGVVGVCLFAWEGVVCLLWLVCFGIF GKVFLPASHGAGGYEGKMWRAVWVDLVCWAVWGASMVWFGVRWWKARAGTSDAGGDVE KGNDE ACHE_50590A MDLPKGLVTTTRIPSHLEDPNVIDALDIAHLWKVYHTNPAVHNN DSGHRLENFFWRVWGNERLRCSLQGEALATLFVNIAESQAQKFSSSSSVVKSKSLEKM SSEPTISKVNVQLPPTPPTEENKSDSTTRNSNARSNSDPISNTNTNTRTPLPPILKKP KPVNGNESPQKKARLLLTGIGGQHVTRKPSNPLTPISPPPFAAAAKEDPNATITTTTT TDNDITAITATNTTHNTTTAATFRAQYQYQKKPYLVASKASKRRPVLGRRKSSQTAIP RYEAKSDYFGQGDTNATTPTKTSSKTTTNTTVGFSRDEDDNLKEQRPVTPVDEEGPIN CEKTAQRESSDTEAPASLDQENHQSKQDERTESPKESQPPDGKYANTDDLNLKNLPPN LVSNLKLLLAQQKPTTTTTTRPNNTKSRPKHIPYSITTTNAATHRLTNHERDHLRRLK SSTYIHQLQHQLQQQQHQQPAKLVDDHFRVRFAEELQREERFLNTVNSLPEEERSAVL EGHSGRSKAPRIPRTSWVEVLGGGGAGVERDIDTERIKQERPEAATNDNDDGWSFTGE DGGGWAADDAQDHYSDTQVDAAPFKFEGMSYASSWRAACRLQVSGQLTVLLRAEQYGY RGDAEIVDST ACHE_50591A MFRPTISRQALKALNTSTRPSPFAASSSSLRSPFVRPLSSSIPR TKDHKKYPKNGFLESATTKAPQGASGEQEGKYARTDEDIVIPYPDDAHMPAQPIVQGR GGMHFKRTLAQFSLENKVTVVTGGARGLGLVMAQAIVASGSDIAIVDLNKEEAETQAK KLVDTFRRENPGLEQMPKVTAHYSDVSNPNSVNASLQEIIDQHGRIDSLVTSAGFTEN FDAISYPYDRMQKLWGVVVDGTYLFSTGVAKHLMERNASGSIVMIGSMSSSIVNIPQP QAPYNAAKAAVRHLASSFGVEWAGSNIRVNCISPGYMLTALTRKILDENPDLREKWTS LIPLGKMGDPEDLMGAVIFLLSDASRYMTGAEIRVDGGYTLT ACHE_50592A MPLSPFLRITPRLGPKIARRSKRAASTLSSNAAESRFSLDSILI ANRGEIALRVGQTASQHGIRVTTLYTDPDSRAQHALSSPFAFNLGSVSAYLDGDRIIE IAKREGCQGIHPGYGFLSENSAFARKCTEAGLVFIGPPWRAIEDMGDKSRSKEIMTAA NVPCVPGYHGQNQDPNFLEAEADKIRYPVLIKAIKGGGGKGMRIASSKSEFQAQLESA KSEAMNSFGDDHVLVEKYITTPRHIEVQIFADKHGNCVALGERDCSIQRRHQKILEES PAPDLPDATRKDLWAKARSAALAVDYEGAGTVEFIFDNDTGEFFFMEMNTRLQVEHPV TEMVTGQDLVYWQLKVAEGAKLPLTQDEVEAQMASRGHAIEARIYAENPDQGFIPDSG RLVHVRTPAITEDTRIDAGFVTGDEVSAHYDPMIAKLIVRGATREEAIRKLAAALEEY EIAGPITNIEFLKAVCKNDDFIAGQVETGFIEKHREGLFATNVIGDEVLAQIALACLH SDTVDNSRKLANFEGSAVGFSPSYQQHQFTFGDTQTGSKDGTTFNVQIQQTSDNQFSV KVGDRVFEPVFSHRNPDSPVITSFFPHTRLDTTVIRGEDSIVAFQQGTQYRLTVPRAK WMEKALGMKDVTNSVLAPMPCKILRVEVQAGDAVEKDQPLVVIESMKMETVIRSPQRG TISRVVHQKGDQCKSGTPLVEFAGEGGD ACHE_50593S MSDDEEYYDDFDDIFWIEEPEPDAADDLAATATYDAVFFEDPSF EADEYYSDWDELSDDYYDEDPTVKLGHRVADLTNRRPAGQGPQTIRRRKQSNDTQNGG GGTTRVVSSKVPDQTSFQSVVWKRPEHDKNPVQIMVPGEGEKVALLKNWREVFKNSHP SFGRSRAWRQQKVLDYLDNSVPEFAPPDPVKNLVVEDETSRDVSTDRTSGDSGSGGYA SNTTLEKSVSPPSVQDDKVVNPALVNDLPMNNDPSQPSAPSNKEYNNNNPDTTSGAPP PASSPVKGKKRKASISIDGDQSKGRKSKRVAAGQDTQAAPAPGPVRRSARQTRSQK ACHE_50594A MAVVSILPFALRSSSRVLSRSQKPLLHRIPAIRPLSTKHPKGFV APTEDELQELRERVQEFTRREIPEDVAARTDAENEFPAEMWKKLGNAGFLGVTANEEY GGLGMGYQAHCVVMEEISRASGSIALSYAAHSQLCVNQLSLNGTPEQKERYLPGLLSG EKVGALAMSEHSAGSDVVSMKTSAKEVDGGWVLNGTKMWITNGPNADYVVVYAKTEPE KGSKGITAFVVETTFNGFSCAQKLDKLGMRGSNTGELVFDNVFVPKENVLGEINRGVK VLMEGLDLERLVLSAGPLGIMQASLDLVLPYTHQRKQFGTPIAHNQLIQGKLADIASS PEFKIRTQDCAGAILYAAERATECALDAIQLMGGTGYINEVPAGRLLRDAKLYEIGAG TSEIRRMVIGRAFHREYS ACHE_50595S MRPSPLLRSFSSRATVLLPHSQRLLLSRAPRAAAMERIPRRTIA NYTHPHHASAISVLPTAVDTSSSDFRENEQQMKELVSKMSNLHGTIAQGGPQKAKDKH IARGKMLPRDRVTSLIDPGTSFLELSPLAGHEMYGEDVPAGGIITGIGTVEGVTCMIV ANDSTVKGGTYYPITVKKHLRAQAIAQENKLPCLYLVDSGGANLPHQADVFPDKEHFG RIFFNQARMSSLGIPQISVVMGPCTAGGAYVPAMSDETIIVENQGTIFLAGPPLVKAA TGEEVSAEDLGGGQLHSSVSGVTDYLAVDDAHAIVLARRSVANLNYPQTSVPSELVKG ALIKEPLYDPNELDGIVGTNLRRQIPVHEVIARIVDGSEFAEFKRDYGSTLITGFARI YGHQVGIVANNGILFSESSLKGAHFIELCAQRKIPLLFLQNISGFMVGADAEKGGIAK NGAKLVTAVACADVPKFTVVFGSSAGAGNYGMCGRAYSPRLLFMWPNAKIGVMGSEQL SAVMEAVGRTADPELKSRIDRESEAIFSSARLWDDGVIPPAQTRRVLGLSLAAALGGR VEDVQTRFGVFRM ACHE_50596S MGPLSSLETFYNYLFAPASAHAFQSDTEYQKTLSRAKRLQSHQH RIVFTHGDFKAHNILVDDDGHLSGLLDWESAGWYPEYWEFTTAMRFGKDSWWFQVASW MGGRQYWDELDSDVALNLLTVDSYIAL ACHE_50597A MRPQREYHIVVLGAGGVGKSCLTAQFVQNVWIESYDPTIEDSYR KQIEVDGRQCILEILDTAGTEQFTAMRELYMKQGQGFLLVFSITSMSSLHELSELREQ ITRIKDDENVPIVIVGNKSDLEEDRAVPRARAFALSQSWGNAPYYETSARRRANVNEV FVDLCRQIIRKDTEGNGKSSDSILRTREGPNRHDRKREKKRQTGRRSPCVIL ACHE_50598A MVCPGTLRKGADHETTADPVIRFFYNRSKRIFTVFVAPERDTGD KKNNFATSIAQASDFADICALFGYEAEEHIVQTNDGYLLGLHRLAYRRGEEGTRVNQG EGSTRKKVAYLHHGLLMCSEVWVCLTDEQRCLPFQLVERGYDVWLGNNRGNKYSKKSV KHSPLANEFWDFSIDQFAFYDVPDSIEYILNVTEQPSLSYIGFSQGTAQAFAALSIHP TLNQKCDVFVALAPAMAPSGLSNRIVDSLMKASPIFLFLLFGRRSVLSSTTMWQSILY PPLFVWIMDSSLSVLFNWKCKNIHLYQKLAAYFHLFSFTSTKSVVHWFQIIRNRSFQF YDDELQSPLSLVATARFYKPVKYPIKNIKTPIVLLYGDSDSLVDIDSMLQGLPRGTIA KPIPTYEHLDFLWASDVDKQVFGDVFEALERHSIRSGASSNGPIEVKVRSHADPDING HSDKGIKTTR ACHE_50599S MVQRHSPRECLSSPLAEELPTKCQQLRKGFSECKRGMIDMRKRF RGNQPIALSKEIEGGKSNKPQQLYAGKPAFETVKEVSGDEVQMDPEKTRGL ACHE_50600A MRWCLSFFLFCFLAVVHALSSSGNRLVVILEEAAEKELYSSFWS DLQARGYTISFESPKNSKFSLFQHGEKAYDHLLILPPKSKDGNVLLALSGKSTTPSAI SSLLLEFDLHLSNDRSSIVLDHFNYDTVSASEKHDVLLLQRPGQLRSDTKAFFDGNGV LAFPRAIPQTLGDSSNIITPILRAPITSYSYNPKEESFTPEDVQATGSQLALVSAMQA RNSARFAVLGSVESLEDKWFSASVKAPKDKKEAKTANQEFAKQLTSWTFKEAGVLKVG KIEHHLAEEGEITSEKLNPSLYRIKNETVFSIEVSEYVNDRYVPFEVPAGDALQLEFT MLSPFHRLTLQPTLRTETSTVYSTRFTIPDQHGIFSFRVNYKRPFFTNIEEKHEVTVR HYAHDEYPRSWRISGGWVWIGGLWSVIAGFLLFVVVWLYSEPSPAASKNKKTQ ACHE_50601S MSNPQFWSSPLRYLRWASHEKPAIFYAMVLGCSGPVSLVALPPI RRFFGDVDPEPIPLTYPIPQGPRSIPQGYDDQ ACHE_50602A MASITGFPLFYDGNFNILINPDMYSLHASVLGAKSRFFKAACAR SNEPGQFGATRLVLVPSTMHTRGVLQFQTFESYNWQIERALSPIGIVWPESLRKRWAS MFRLIYNMDPLIQSWDSESIVLEAEDLVQIAEDIGASWEVMKALETALLHIDRLTLYS IVWQPASWVNLGIRMRSAVIYQEAVIHLVGKWKALNATIIDSLEPYTRCLCQKKYQEL VLRKKTVECQILSHYPRSLHCEADGNLSCASYANDIHTWMAISFFRQWFSHSIVLCRN YVAADGGAAFYRRIGGVDTYLTSQEMPYFNLRFPMSTKGRAVFEAKVRELKEEIRPFV DDLLVNNSRYTFDELPHLTCCWVDEEDLPWVDAEDADDSESDGQCEAALKIDESKMCL GMQKAVSYSSGSTTQSAHSQTPNFGNIDIYPGALGYPTPSDNLYPPGHLPWGSNTNTG NTERFLTGQTNPSFMDSHSGWLMNP ACHE_50603A MSGRQNEYFIPGDGISREVIQADICRYLGNDALVRPGNHNGCQG YFIRAYRNLTSEMIADLKADSSRWEADVMRRTDQGHPRGHYYHNDYHVQEYPIPHQGP NVLPTPYGHGASAPPTSYGTSVPPAPSYGTSVPPASYGTSAPIQEARSSTGPSPPPTY SAPPPQQYMDSYATNPYGQAQSPPYSTHSTPTSYPATHSTHSVHSTHSHSPFTSGQNQ FPSQQQMPPFTTSAQPAVSADIHPSYKYISSGYGYDGGWNNAPRTYSGPGYETESEYS PVSTGVNYPATTAADPRVPGMGLRYTPESTYSDRNRPQPARDNRAR ACHE_50604S MSRSPTTSPRPSPPPEDKPEPAIDLPRPSRYTYKQLKLLRQSST ATPLRVIAHIDLDAFYAQCEMVRLGTPREAPLAVRQWDSLIAVNYPARDSGITRMISA AEAKKLCPDVVLQHVATFREGEGGKWAYREDAFKNIGTDKVSLDPYRAESRKVLAVMK EGVSRWHAAVTGENGVGTETSAPPFEIQTQLPSVEKASIDEVFIDLSPLVFGALLQRY PELRAGSLGEDQGASLPCPPTTALEWNAEDCLIDLDENETEVDDPDWDDIAMLIGSEI IRSVRTAVWNHLSYTCSAGVARNKMMAKLGSGCNKPNKQTVVRNRAVQNFLGGFKFTK IRMLGGKLGDQVVAHFGTEQVSDLLNVSLEQFRAKLDDGTANWLYGIIRGEDKSEVNS RTQIKSMLSAKSFRPSINSAEQAEKWLHIFAADIYNRLVEDGVLENRRRPKTVTLHHR QGAQVRSRQIPIPGARPVDEATLFDLGSTLLRQVIEDASAWPCANLSLSVGAFEDRVT KNRAIDGFLLRGEQAKANSGFSTRDVETGDLSDDQPFNVKRRKVEDNGIRRFFGKPSA ADPELSSNEQPQSESGPMHSTETVSHGVCEAVPNPRLEGSLNPSDDIPPGFYLCENCS QPILEGGRVEHDDWHFAKELELQERELARASVSLPRPTNPHSNPNARSRGGRNSRSSN KTEKGQTRLTFG ACHE_50605S MDHIFINIKEPSDALQLAKKTTVRSHVARRQWKAHAETNKDRKR KREEYLPFRIELDCSGLMNNGQLPQLSIVPEGEDENHTAQTQYAGQTTTAATFMLPSI PMMIGGLRVDPFRSYPIEFRSFLPYLVDHYLVSMAVDIPELDQPGNRGLLRTRWFPLV MTEPSLFLVIMLIAASHYASVQHNTTELRLNLLSMRGEAVQSINQSLAKQYRTINDAL VGAIAKMASYEAMFGNVDTYGVHMQGLRQIVNLRGGLDGLGLGGLLRRIVVWIDRNGA FLNGSMLYFPGATFVPGQPLPDPNPGHFLGAS ACHE_50606S MSLQGQVSLITGGAKNLGAATARELANAGASLALHYNSPSSKND AAQLESELKQKHPSIKVAFYQADLTTAGAVEKLFQHTLKDFGKIDIVVNNVGKVLKKP ITEISEKEYDEMFAINSKAAFFILKEAAKHVSDGGKIISVVTALLGAFTGFYTSYAGS KAPVEHFTRGVCKELQSRRISVNNVAPGPMDTPFFYPQESPEAVEFHKSNGLGGRLTE VTDIAPIIRFLCTEGGWITGQTLFANGGYTTR ACHE_50607S MPRRAPRDYYSEEEEIYEAPRRQKRSTARAPAAADDEEYYVRKR GKSKSRIPVAAAPPVEEFERLRVRDRAPSQPQPPVPPPMPLGVRYGPAMDMEGFAFRR GKGDVGGKKGKKGRKSKSAPVSEESESEDDDEEVRVKHVKRPKSKSKTRRREIEEEDE EEEVVYKEKKKGGKKAKGKKEKYVEASETESSSEEEDDEEYAVPVRRNGRHMVAPVSV PDDDDDSEEEIVHRRKKKGKAPVDPEYERATRKASKRGKEETVRYPSVPKMKSRRRDE TESETETESESETTSDDSDDDGIVVRKSERRGRSKGKKSKHRKDESSGSPNLREPSMS RKRRQKFIDTEYEMVKPTRAQSPDFPRSKSKKGRRSKDDMFIEPFDPYANWPPVETSS KKKKSKAKAIQPRARSADRDVSRDIGRRMKDARNARDDLEVDLYSRRKAHYPAADFVP PKAGALVAPKSRQRVRGTSMSEMGWPQPAGRKLKYLPEEESSSEESSEDEKATPYLGT KPKHQAPAQKDRLWTEITKDLVVREAIERAGYEYEETDQFYYIFAYLHYEDVSGLVDM SEEIRQARRQRIHEIGREHAGYALPPAPSAPPVFSKYGQPMMEQPRPWPYSGHGMAQP QEPAYEDSRWRLPRMGRW ACHE_50608S MLLAFAFSWLLLLASPVFGAENSVKYCSSVNTGSDNDANTNTFQ SIGACTSTCTSDYAFGILQGKNCWCSNIAPNQATNVNISECNDSCPGYPSDNCGNADE GLYGYIVLMDHMPSSTASASGASSTGTSTGTSSETTGASTTTSGGSTVETIGGEVQTV TIGGSGATAAADTSSTTSKDNDSGLSSGAVAGVAVGSVAGFLAILALILIWYCSKKRQ RANSPDPSMQLLDGRNSKGSQMSFMRSIFSDNSTLAGSPTAARNPNPTFTDNRMKTDT ILYPHGPRDSSVSLQDNEDYSRPVLRLANPD ACHE_50609A MELTSIKSLPHEIIGKIADNLDVKDIVNFSRTNVGVNRAIQHQL KSAAFGHAFAPEDYYANAIIFRNGQAVLDENMAFEAPREPMVKAIKEDNVDAVKGFLD AGVRVDEYSIHGERLLYTAVKYRAYNVTQLLLDRNTSPNLYNLASQNAPLVAAAAIND EHLIKALIKEGADINAPNTVATILQNCSLGMLRFALQNNAILAEVDEGDIRFPVPGIP PFHHAAVNKSHPDVLRFLLRYIPEQLNYLDDQFGRNALWVAVRERNTNAIIDLLAAGI NINQRDIIGENVMHYYLQYFADTNIPFRLFEYGIDVGLPGRNGMTELHYAAELGAEGV VQMLIEEEVFVDAQDAQGRTALHWAVRGNHDRVVRLLVEEGWAFLNITDVWNNTPMDI AHNKEYREIWTYLSLKTQELTMPSLFASSGIKFVQGEFSAVV ACHE_50610S MRSRRSFTTETPPQSISPEKKPQSSETPNHASVLPPQRRETLSK ILSGVQDVKEEEVLRHILPMDTNYETCQEQKYTPTGFSVQEVRDLGDFPDYAELSGVP LPQPYQEFDINKALARFYRPFRWVYHQTMSISKMETDWWIELENTYKQRIAQRKELYA KHGNGVLGYLPGSELACKEVMEMVLQNVCARYPQYFSLVDKRIFQNRILGTEQDVKSK QPLEILLDNIPEDFGITLRDDKTGCYILRAGVICSSIGWSINEKMGLPLHLIHKPVPD YKEKMQTSMERFFTKLPTDKPIQRGSWGLEVGQPLWMPKGSPLEIHRTHQSATLQLSD CHLRVDWQTLRRLPLSAAVVFNFKALFTPVTEFRDEPGVPALVAKNMKEGKENLLEYK GTWHVEHVILPVMEKWAEEQRRNGLVERDWEVGTLDESPYFRGWEEKWHRQQGF ACHE_50611A MSTHRADASTFLDNRGYTGPLVRGVNPATLFEKAVRDRITDSYY WKEQCFGLNAATLCDRAVELSSIGGTYGVSEKPTPFLCLAFKMLQLNPSRDIVLEYLN FSDPGSDDEDQENGVLQNRGDFKYLRALAAFYVRLTFDAVDVYKTLEPLLLDYRKIKR RVRDSFVLTYMDQFVDDLLTKDRMCGTSLWKLPSRQQLEDLDLLDERISPLADELEEM DQDSDDGEVKESRAGSTDHTEED ACHE_50613S MVLLTPSTVSSIISMGVIFIFTFLLFLSGYILQQQSVRSIQQAL KPPPDSSYPLARHGSRSTYRNARRDTSYDQLYHGSNNDRQKGNNGNYAYVQLLSTPDP LNICSAILFFHNLSKNGTAVQDRLFMYPQEWDQPSSSKNKKLSKPVSKALSLLRSASI KYNIWLLPIDMTAATSAGYAPTDTKLLRLGQIQFMQYDSVLYVQTPGLLLDTGKLDDL FLSRPLPQRYDQNRRDSWDNEAWISMPLKAERDNALPPVYLVTVSNVGAGQVEARGHI PNGTLPGFGQLAAGPEAAQPSKGDIYGKDRQPGYIFFEHDGDGYVQWAGNPLYGTWRA GLHEVCDGVDLDDDL ACHE_50612A MASIEDDDDRDLAGSQDGSSDNEDETLRDADDGDNDNEPDADAD GDQDADSPSNTSHISEGPGMASQQNPDTDVPMTSPEAAAHAATDPLSAFHPSVRSECL TCSTYDIVPTTAAPHSTSINAVTATADMRWVFSGGSDGYVRKFNWVDSINSKLMLTVA QRHPFVDSVVKAGVVMTYWENMDANALSPVYSLASHSEGLWLLSGLESGAIRLQSVRH EEGREIASLQQHTSAVSVLNLTSDEKSLLSGGWDKRVFDWDLNTGQARRTFGPSAGQI SAIDIRPESSLPVPRDTTDRQQMNGTYSSNYQANTGANFNFENAPNEQGDFDDANPQA GSPADSLFGGADSLFGDGDNGGGNGNNPSGNAFGMDNDDDEFGKFAGSMLPDADAPGE QDHEITGQQNGIAKAPKDTSNENTDTNMTTQQPDSHGAELPNGTQPEVNGLPHAEELE PPSQSQDFSRQSPQSESNADNVFLSASIDGTIRVWDRRQPDAIARITSRNAPPWCMNA CWSPDGNYIYAGRRNGTVEEFSLHKGLQEAERVFKFPQGSGPVTAVKAMPNGRHIVCA SHDILRLYDLKHEQVTRHSTVPFLIIPGHRTGTISQLYVDPACRFMISTSGNRGWEGN TTEVLLGYEIGVPR ACHE_50614S MESSEVEQLSSSFIPETHVRLMNLHMGNTIQVNPDIKNYCFQAR EAESTEGEAWTMKPELPSSDEILGTDLLDEDEDCVELMPNCINGPWPSKNSYLRAHYE LLREDAVAPLRDAVAYVRDDPQMKDSPAVSIYERVHIIGVTFSQRGLAFRVQFSTHRA GKNIVWEYSRRLISGTIIALSPANDCFRSECVVGVVAARPVERVKQQPPEVDIFFSRP EQADFDPHQEWIMVEAKTGYYESLRHTMMALQKMRKESFPLAEHICSLEPNIEPPEYI KEHPILDIRSGLTGFEEEGKVNVLEAWPESPAGDLDATQWAALEQVLTKKLSIVQGPP GTGKTFVSVVALRILLSNMKEGDPPIFIAAQTNHALDQLLNHISTFERNYIRLGARSS DLEIKKRTLFAVRQREPAATIQGSVFGSARKRYRNFTTTISELLQPFSQEDEFLPLPS SLFLKYETLTQEQYDSLEEGASGWIRPGTEDDNVDPMVAWIGEEGKKFQVKYTKESFG FSEDEVDLEYEQLKELEAEQGLEEDDYDGLRGQYTTIQDGFRGHDVGSISEAAALDYL KCLDMWKIPPKARGTVYNVLRKLTKEKILSEFRTLMALYNANCEELQIGKWERDHTIL RNAKVIGMTATGLSKYRALLSSLNPRTVLIEEAAEAIEAPISVSCFNSLQQLILVGDH KQLRGHCSVQDLGGEPFYLDVSMFERLVQNEIKYVTLRRQRRMAPEIRRLLRPIYGEL QDHPSVLERPEVPGMVGYRSFFFSHSWPENSDSLSSKFNEMEAQMVAGFFVHLVLSGV SPNNITVLTFYNGQRKRLLKLLRAHRGIQGRYIKVATVDSYQGEENDVVILSLVRSGG KKIGFLATENRVCVALSRAKRGFYIFGNAHALASANDLWFQVISIMGEGEGESRRIGS ALPLKCSTHGRQMLISEPSDWEKRNGGCDWNCNGTLPCGHKCTLKCHRLATEIAAAAA MMEANLISEPAPEPGPQPTRESIVKPKVSEEERMRRRLISEYHAYANGGAQEHDTILA KASTKQRSKRTKARKVAIGDLLGEDTPEPVSYLKPDNKENVKPRENGIAEPESREPSS TPQWSLLD ACHE_50615A MVTLPQVHLSNDTITASLPNLVAVFVGATSGIGEAALKEFVRKP TQPKCYLVGRSEQFANRIIEECKSFKKMSVWLAGADRLCEKIKSMEDTINILFLSAGA AIFDRSNTSEGLHALSALAFYTRLRITQLLLPLLECSTAPLG ACHE_50616A MGKKRVLITYGVDVDAVAGWLGSYGGEDSTSDISRGVWAATVGT ERLLKFFDKYSVKATWFIPGHSLESFPKEMAAVRDAGHEIGLHGYSHENPKDMTIEQQ RDVLDKTYRMLTEFVGKPPRGSVAPWWETSKEGAQLLLDYGIEYDHSMSHEDCQPYYL RTGDTWTKIDYTKKAHEWMKPMVNGEETGLVEIPANWYIDDLPPMMFIKGSPNSHGFM NARDIEDIWRDHFDYFYREYDEFIFPITIHPDVSGRPHVVLMHERLIEHFKKHDGVEF VTMEQLSDDFKKRVAPAAGATMPAKPGAILEKS ACHE_50617S MSVLFTSISPDNPVKKTDAHELLEKVGVSIDPSEEDDFQMLLAA VHDCAETVADMPDYQPKPDLDRYPRQNVRRPSEEEQVLGHAWAHKFLIKGNPDGGPLA GKTVSLKDVIAVAGVPQLQGSDVIPSWTPNTDATVVTRVLDAGADIVGTSTCENFCHS TSSFTSVQGAVDNPYAAGYSSGGSTSGGAALVAAGLVDITIGGDQAGSIRVPSALSGC VGLKPTSGLVPYSGIASGDAIDDHAGPLARTVMDVATCLDTISGYDGIDDRSLGSPKH GSTTYAATLQAAPTKLEGFKIGILTEGFEHRIVNPVVRSTVMSAARKYEELGATVEEI SLPDHLNGPAVWTIQSRVAGSMNLLGQAHGRRGLGLTELERERLPWTKESFQRAFPTT QNVMINGLYLMSRFPELYAKANNIGRKLRDLYEEIFDTYDVVVMPTTPIVAPKHGTRG LPMESIKPSMGLTINTAVFNLTGHPAMSIPVGLTPAEEDEKVRLPVGMEIVGGLWQES KVLRAGHAWETHFNWKDDQR ACHE_50618A MWEKVEALQPVGAEDGIVQFIRGWSLAPPSPTSGLMMLSTEITT YTNCSRIRPKFACFLVRLRIDIRYDVTRMSQKSLFPGVAVVTGAGGTGIGAATAKAFV AAGCKRIAITDLNEASLQQTADAILSAYPETQLVVRPGNIADETFVESFMQGAILMLG RIDYAVNCAGILGGGQRSTETTAETFDRINDVNYRGCWLCSRAELKQMLKQDPLPSHD PDREPQRGSIVNVASQLGIVGRPEAPAYCGSKAAVIGMTRSDAIDYSRDGIRVNCVCP GLVETPMTVQSEESRERFRPAVEIAPMKRMGKPAEIADSILFLCSTQASFVQGHALVV DGGYVIN ACHE_50619S MLPQSSHNPRGARRSSVGAKPRVCVHCGRGFRRTEHLERHVRTH TKEKPFTCFCGAAFTRRDLLKRHTRIAHEENNPPNTGGLISPNSQPDNEVIDQRNELQ PAENPVIQQQPPYDAPPPPPPAPAPAPRANVPIPVTSSTVAQWPGPPQHGTYIPQSQP MMNPDASHAALGAHPAVTHDAEILEAAQLLLPGGYRGPPPPAQPMPYFPEELNHFQEF THFLDSIGLPAEWLPTTTDMQPTIPSAAANDAATREQPSSSRRRSRGDSPFRSWLPSV PSGDQSLGALSDYEPPQESRNPSPLKVTEEQRQRLAFALEEFRNVIPDFVLPSRHTLS RYLTSFFDGFHSHLPFMHAPTFRVNDHAPELILGICTVGAQYRQEHRNAEKLFYTGRA VLLERMAREPHVPLTGYSNITVQVPISRSFHSTEGILPEDAAGASAWRQIESIRTLLA LMAYASWELKQELMQEAFSLQSMLVRSIRDFGLAENVTVAPRHTPLQWHEWAEEESIR RTRIISFCFVHIHSIAYNVYPMLRSSEIHLRLPCATKEWKATTASEWEATQREAGPPP LFFQEALAILLQPSRTTIVPDPIPTPLGNYILLHGLLQRIHLVSELSLPTGDHASSLP TEELNRLERALRAWTSVWQQAPESSLDPHNENGPIPFTSSALLGMAYVRLSLNLGPYR QLETRDPHRIAAALHRSPRPGRNYRLTPALIYSAHALSIPVRLGIDHVARSQAFFWSV RHSIAGFECAILLSKWLLSLAEPGSGQNLSENESRILHWTRCIVQESYDSMDMEDNDT LPNLEPANLGLAVIKLWSRLFRKNTQWPFINLLGESLKQYLATLPG ACHE_50620A MRFLSTLASLLLIGVTSTAAQDECSTSISSSDNTIVQFAWYLQV FIERFYSSVQIDQSFISSLPGGSPSSYYNLQGLQQQNRLGLSAVQQVGTKVPGFDTPG CEFTFPRVSSGISYIQNAAKLEADVSGAFIGLTAYTQKPELAFLFSRLAGEHSAHAAW LGGYTGSNSTVFPRNSTSLVPAYTPDHVLKSGNRPGQLGQYIHSCARAPAAPGGQAVV IGNLGAALVAPSASSSFVAATSSVNPSGPFASGVFSGSLASPASSTSVSGFTSSSGAA SSSGIFGGGGAGGSSSTPASSSASPSSSASPSSSS ACHE_50621S MSWEGTFDLDPNIFGSGTRISHVSFSNSTDDILQPGFVRYGNDT LSPNIPELEEESSSLDKRAAIK ACHE_50622A MAQQQPQHQNQSPPFTIEISDDDYVKYGAVHDDLIAKLRGLSPE NRELALQALMWLSCARRPLVTEELGYALALTEGTTRRLDKPSHTNAARLRAACSACAG FVSEREENILVRYIRDQHDVLGYVYKVKPSISLTDQVAEYFTMVWKCWFPHAHRDIAI ACLRYVSLEAFGTEEPPTDEYEFYAYAAQNWGYHAEMQPVPQSLVMDFLENEKNVLAC SKYLPLKRVCGCCILGKPKTITKLHLAVYFGLDDTVRYLIEAGAELDVKDGEGRTPLS WAVELGRSSIAKYLLNHGADANMPERLQNTLGWAVVNGDPGLFHMLIDYGARPGCAHP TWEDILLKAAIKGQEDLIRILIDRGVDWANFRDRHWGRSPISQAAEYGRENVVKLLLD HGADPNSKDINGEQRSQRNDGKTPFTWAVQNSHTAVVNLLIRHGACPGSINPMAHVSA AIRGQYNLSQYLLEQNVSPMIHEPNFGRSPLSQAAEYGRDNVTKLLLDWGVDPNMRDI NGKNTTQNNEGKTPLMWASMNGHLSIVKLLLDRGADRNLQERDGRAALSWAAEHGRAA VVQTLLQRDADANQPDTYRCQTPISWAAMNGHRDVVMLLLIHGADALKKDRTGQTALD RASTHNHQAVIQLLLELGLPRPCRTNGHEMTTFQCKPFEYTPLPGPTSIRLLSRVKNT AEVKASIMGVPLPQYSVETFDLNDGPPFEALSYTWGSPFPADSEKAREYDNDDRWPIC INGSLTFVHKNLYEFLQQDYMLEDSVDDRDGPYRKTGLIRAAEEGNNEALRFYVSRHA DTEADDIFGKTAIHYVAEQGNFEGVKILVQAGADVARVDGTGKSPLAYATSQPSSHLH IIECLTDALSRPAGVRRRMSGRRLWIDAICINQNDVPERNAQLAIISQVYTQAVTVLV WLGIEDEYTTHANESLRIGGGSECPAIRELVNIPRYSNIISYPLSPQCRGIINLVNRT WFTRVWVIQEAALARRIRMFCGKNEFNYLEVFHLLQCYLGPTSVGTFDPSIRSNIGGT EGYLITDIRLRVNPNGEDRAFIEDQTKRHNRRIDFLRRGKLTLPLLISRLWTMKVTDP RDKVFAILGLAQGPNDDKPRIFADYSKPVDDVFVSTAQLFLLGCSEALGLWQTGEQQG LEPLEGLSYVQRLPRDHPLASDYLDRLPSWVPDFNAPLFTQRFWCSYFKAGTSIKSPN PLQPSDSRTYTLNGILVDEIRAMQNDLTNPMEMRYDPCHWLEVIITMAPTYPTGESRT EALCHTLMANNSWRNAESLDEETRLGFRTFFLRSLCFYLRTPKVVEHVRRLRETDPSN LLPSVEELQAHLKSREAEGQSSAAKDGAYHPVNSRSSFADRRGYHHRGRALFQTQKGL LGLGPYWAQPGDQVWVVAGGRTPLVLRPVSESKGSRAALVGEAYVHGIMNGELREDEE RKVQPVVLV ACHE_50623A MQFTVLSTVLFAISAMAAPTLIVGSAPAAAAGAGAGVGGGVSGG VDLDASVGGGVGGGAGAGVGIGV ACHE_50624A MTLQCHGPVWAIDDVSRCFQRNYLQFILPLTACGLSLLLILLRL AYQLVVSHQRAAYKILPNDAVDDDSPNGQVVQAVENENPDESDPILSKATQDEQLSVE IDRPRGQIALIVFEIVALVAQAILFVVVLSTDGWGRHGSLPAIGKLVSWGYILFLVLV RLVLSTLDLDSLPRIWNHTAVLYGLQWVFTVLVFRSAIIHPMSKRAQTFNVVEFALSS VLLCVTLLSRRGNKPVWVPREDGLEPPRHPMASLFSLATFSWLSPLIYKGYRQPLELD DIWNLTTSQKAAAVLEDFRHKQPKGKLMWRLGRYFYGTLLLQGAWTVFSNLFTFLPTV LLRAILQYVEDPRSTTPNAAWLFAILLFCSSSIQGIADGQALWIGRKLGLKLRAIIIG EIYAKALRRKAGASTDSAQKKPQEPAKSDKKKSKFFSFGRKKQQQQQQQQSNGTADAE TASTNKDDDKENKPDQVANVGTIINLMAIDSFKVSEVGAYLHFLWASVPVQVIIAVTL LYQIMGFSSFAGIALMVLMLPVNLLIAKQFTKVQKQILAGTDARIHATNEILQNIRII KYFAWEQRFQDIVDEKRRAELKALRFRYIIWSTAATVWYGTPILITFTSFLLYTAVEH KRLTPSIAFPALSMFSLLRVPLDQLADMVAHVQESKVSLDRVDDYLNEEETEKFTQLH DTNVTGEQPKIAMEKATCSWASSNGRTQGDVANDTDAFRLINVDIDFHVGKLNIIAGA TGSGKTSLLMALLGEMNLVDGTIYLPGGSANRTELPVNPQTGLIESVAYCAQEAWLVN DTVKGNIVFASPWDQRRYNAVIKACALERDLAILDAGDQTLVGEKGISLSGGQKQRIS LARAIYSRARHLLLDDCLSAVDSHTAKHIFSEALTGPLMMQRTCILVTHNVALTVPQA SHVVVLENGKIASQGHPDKVAASGALGEEMLKSRPTSRSGSQRISRVPSDLERHREEE PPAANGTANGNATGQGDKGQGGDAKSNLVERKAVGSVKWTTIVMYLRAMGPWYFWIAA LLVFCLEQFGSVSTNLWIREWANAYQNEDSTPNDDAGRLAAMTDLKMPSFNAGSVPRT TITRVPHLGEQSVGVQGANEVNVSYYLGIYLLLGFGYLAIALVREAILFWGSLNASSK IHKRLLKAVMHAKFRFFDSTPLGQLMNRFSKDVEAVDQEVAPVAIGMAHCLAAVVMIV VLISVITPGFLIAGIFVTMLYVALGAVYLNSSRDLKRLESVQRSPLYQQFGETLNGIV TIRAYGDGPRFIVDNHRRINSYNRPHIYLWASNRWLALRVDIAGALVSFFSAAFVLIN TGKIDAGAAGLSLTYAVTFTENVLWLVRLYSEVQQSMNSVERVKEYLDVDQEADAIIA ESRPPMDWPNKGAVEFSEYTTRYRPDLEPVLREVSFSVRPGEKVGIVGRTGAGKSSLA LALFRGLEAEKGRITIDGVDTGAIGLRDLREAITIVPQDPTLFTGTIRSNLDPFGLFT DEQVFTALRRVHLIGSGTSGSVTPLTTQTASTASSTVAPSNGPQETGTAENKNIFYNL ESPVSESGSNLSQGQRQLLCLARALLKNSRVLMMDEATASIDYNTDAKIQETLRELRN STIITIAHRLQTIIDYDKVLVLDHGKVIEYDHPWTLITKEDGLFQSMCQNSGNMDSLV EGAKRAWEQKRLVDDS ACHE_50625S MAERILMNEYKSLAQEDWVNIDLQNEDMLNWKIGLIVLNPESMY YGGYFTATMKFPSNYPYSPPEFRFLRPLCHPNIYSNGKLCISILHPPGEDEMSGELAS ERWSPAQRVESVLISILSLLDDAEVSSPANVDAGVLLRKEPEKYKAIVRRQVEESKKD IPEGFVMPTHESTMRKNSVDKVDDEDFWADSDADDDVFGGSDSDEDLDLDGQETSDDE ACHE_50626S MDGTALKDLFNGWTTVLHSREDQVPPFHGFSEDPAAALGVNSKP EKWVCWGNVVRGIGRMVFILRTLIARLWIPTSDVRIVCVPGWFVSQLREKAMNELTVS GSVSASRDGKEEKSFISEGDILLAWKARTSIAP ACHE_50627S MVTQRTREQIEAFAAVEGRSFQQTGQPPIVGSPNMLNFVCSNWH QSRYFDVDFSPAIVKHGLPEGKRVSLVGKPALVVRGLPEIQMPVASITYIMGKDAKGD WWMVWQLQ ACHE_50628A MSSALRLQDKVAIVTGASSGLGRAISLRYAREGAKVVCADLSVT ARSQAPEELDTATHDLITRDGGHAIFVQTDVGDAGQMERLVQAAVDRFGRLDILVNNA GVALEARTPAVCHLTDEDVWDTTMRVNVKSVFLGCKYATAQMLKQPPHSSGDRGWIIN MSSIMGIVAGLDNPSYCASKGAVSNLTKQVALDYAPHRIHVNAICPGYTQTAIFKETT TYMTPWNDLKQRHPFNGPGWPDDIAKLSVVLASDDANWVTGILLPVDGGYTAR ACHE_50629A MTSISRDTPAGGCCAPQPSLSTPSHPLDPLSIEEIHLVANLTRQ HAASDRLKFNCITLHEPIKAEYTAFRSHAGPRPDRRAFAIVIQGKHSPQVSEVVVNLT SHQVESWENLVNVMPTLTLDDLDVTEQIARNDPRVIEVCREIGITDMSKVYFDAWAIG IDERWGFERRLQQALPYYRSSRYDNQYAHPLDFTVVTDTEAQEILSVDVRRVNGERTA VPRDEHNYLPQFIGDSYRPERLKPIDITQPQGVSFRMNGNELEWAGFKMHIGFNYREG LVLSDIRAHDPYEERERMLFNRISVVEMVVPYGCPKPPHHKKHAFDVGEYGSGLMTNS LKLGCDCKGAIHYLDAVLPTATGEASIIENAVCIHEEDNGLLYKHTDFRDGNVISARD RKLVISQIITAANYEYAFYHTFTLDGTYKLEIKLTGMLNTYPLHPSEQAAPYGTEVAR GIDAQNHQHIFSLRVDPEIDGPTNTVVQSDAVPSEEPVGSEANPYGNGFYAKKTPLRT AKEGATNYCHETSRTWDIANPNRLNPVSKKPVSYKILNNNCPPLLAKPGSTVYNRAAF ARKSLWVLPYRDYELFPAGRYVCQSTGEEGHPDNETVVDWMNRDEGIENTDIVCYVQF GLTHFPRTEDFPIMPAEPVGVMLRAANFWQKNPALWVPASDVVKDVSSRDAFEKVVDA GRRLQNAKL ACHE_50630A MADSSWELPPGTVYLGNGHSDISGSDSDVNRRVFLYPQPSNDPN EPLNWPIHEKAVNFSVACLFTLMVFATLDIGTVTWNAMGSELGFEPDFLNASYAAALA GLAVGCVLFAPAALVFGRKPVYLCATLTMVLVNVGQAIFKTKAQYIVLQVLAGLAGSI NDTIIQISISDLFFVHQRATMTGIYTTMVVIGTYLSMIPAGYIVMNQGWRWVWWWCAI LNSVILMLFLFAYGETQYRKSDCFIGEEPSIPAAVLEDTEKKIDTVTLCPDAQQQIPI PSEQTTPTRKTYIQRMTIFGSFSDFSVHSYWKHMWRPFILFFRIPAVSFTAIEYSFIL CWVAVLATTQPILFTQPPYNFSSIGVGNINVAPFIGAMAGSAYGGPLNDLYVVFIARR REGIYHPETRLHMLIVPMLLTPLGLFLYGISISKSQPWIVPLIGSGLAGFGIGAVPAI SLSYFADSYREIIAEGLIIITVVRNGISTATTFAIDPWLNGLGLQNMFISVGCISLGI LLLTIPMVIWGRKARCYTAGFYNGIAAGSLES ACHE_50631S MDNQKKNYRRPRRRPAHLRTKTGCLTCRKRKKKCDETPVICKNC SRGNHTCAWSSKPSGGGTSRLSHVSSSTEVSNRGDPEELSLSPTIEFTSHIESNDNVS HGQTNIDMANPCIYTPSPFAPYSPIISSESIPLFEFLRTAFLPTLIHPMSHPTTIEFV KQEKLQSAFGTPFLMHALLACCGAEFPADDMRYRRIAEAHYAKAIGGLRAYLDSVTDS TVRLIVLLMGVIVLCIYERSRPRFSRGVDIHLLGAAQLIQLHLKQERPGTLSKSDATM LHLMLEAFIFHATTSIPFQQPLVQSKAIDSAFPLAERALEESSHCSETCLHASSVIGV PPKLFGYIREIVLMHQRHSVEGVDIVRCYELECILDYWDGYLTIPFPRSPSCSATRPK PLSLGPKLYIIASRILLRYLINFSFSPTIIAIHADTHIPSLVSEGVFLITQLQPSIDY YAEYYCWPILVVGISTVDQLDRECLLSQVMAFWKATRNGTMRRLVDILTRYWRDGNMD VDIAGMQNCLN ACHE_50632S MTTTIFRNARIFNGSGNAFQQTMMILGHQILYVGPEGDPAVLRA KSSGAREVDLGNRIVVPGFIDSHVHILDFALSQRRLNLLSCKSLEDIRETIKAYAQEH PVLQRIVCKSWVQSSTGGVALAGMLDDLDERPIYIQANDLHSIWCNTAALHELGAENM SDPPGGMIHRDENGKPSGLLSESAHLSVALPFLTSVVSIEEKLAALEDAVKAYTTAGY TGMVDMAMNEEDWEVLKLFRQRHAGQLPFHIAAHWLVPYSEDMDIVFGHVDRAIELHR EFHPSTSPTFCIVGIKLIADGVVDGCTAALSQPYTNTTDPVAPIWPTPALQVVTQKAT AAGLQCAVHAIGDAAVKEAIDALSASSQAQPNRSPRHRIEHLELTSPEDAKRLGQLGI TASVQPVHSDPALFRAWPSLIGQNRCGRAFAYKEFLEGGANMALGTDAPTAAHLALPN LYNATTRKSAIEPECEETVNEHFGLELAKAVEAATAGAAYSRFAERWTGSLREGLNAD FVVLDMDWRAEGLLKAKVAQTWYRGVCRSV ACHE_50633A MYSHPRRLSACRPTAPTTTTSSCRRGKVPPFGPLYPMSREELKA LKEWIEENLKKGFIRPSSSPAASPVLFVKKPGGGLRFCVDYRALNAITVKDRYPLPLT KETLNNLKGMKYFTKIDIISAFNNLRIKKGLEYLTAFRTRLGLFESLVMPFGLTGAPA SFQRFMNDTLRDYLDVFCTAYLDDILIYSKTREEHIRHVRLVLEKLRDAGLFAKLSKC EFAVPETKFLGIIVGRDGLRMDPDKVKTIVDWETPTCVTDVQAFIGFANFYRRFIKDF SKIITPLVNLTKKGIQFKWDTTCELSFNALKKAFTTAPVLRPFDWNKEVILETDASDY VSAGVLSQYDDNGVLHPVAFFSKKHSATECNYEIYDKELLAIIRCFEEWRPELEGTPS PIKVITDHRNLEYFMTTKLLNRRQARWSEFLSRFNFKIIYRPGKQGAKPDALTRRSED LPKEGDERLLHQSQTVLKKENLEPAPDNSPVTLNATTRARDHSAESSVENPPRIPAQT RRVRFADETNHDVPEPPQDIKNLLDNAYSVDETVLSILEALDKDATRHPQITLADCQR RGKYLFYRNRLYVPDNGELKAELLRQCHDKPAIGHPGRSKTYELLSREYYWPGMYQYV EQWTQNCHTCRRIKPSREARQGILRPLPVPERSWQDISMDFVTHLPPSRGYDAILVVV DRLTKMKHFIPCKGTCNAEEVARLYAYNVWKLHGLPQTIVSDRGPQFVAQFWKHLTRR LQITNLLSTAYHPETDGQTERTNAVLEQYLRAYVSYLQDDWSEWLPLAEFAANSARSE STHVSPFFANYGFHPRMGFEPVLPTNRPARDAEEFACRMELITEFVRTAITSAQARQE EQANRKRQPARRYQVGQYVWLDSRNIRTLRPQKKLDWKNLGPFRIVEIVNPHAYKLDL PASMRMHPVFNVSLLRPAAGNPVPGQRQEPPPPVEVDGLEEWQVEDILDSRWERRGRG DLV ACHE_50634S MRLQAALSLLALCVPSTLAIYPDEVNHIDFHHALLGLPSSQSTF FFKPSSASNASLLYTLSEKSILGAVNPRDGSLVWRQNVSRSLLPDSAAAGGGLLRASD GINAVVSATGDYVSSWSALDGKLIWENWFSDEGSVADLELLELEDASATGTFRDTIAL VNTGEAGVVKRIDGQTGDVKWEYKDDSGDVPFQVSSSPTEVFYISLQSALLKGYKIKA TSLDSITGKQTYQQTLNSENEVTGPDSIVFVGANTAAPISVWTDKARKVLKVNVIGTK QVSTINIDNNGEEIKSIKVHAPKNLNSLPHFLVGYETESSSWAEVYHTDMKLGTVTKA YDLPRVHERSVFATSNRDANVYFTRITESETTVVSSASHGVLGRWALKNPSTEQALHA VSEVVDKGDTVAVRSVALLASGDWQLIRNGQTEWTRHEGLTGASAVAWAETDEQEELV HQLEVEGHESLYGAYVHRVKRHIKDLEYLPEWLKELPKRILTSILTDEVSNLDSFGIS KPVIIATENGRVFALDSGNHGAVSWSVKAVETDAWNVKAIITQPGIATVYAGDGSSVT LKVSSGEIVGRTAPSSKVHSIAVINNATPVPVSIKEDGAPVETIDGSGFLVTRSADGK VLGWISKDNKIPVWEFIPPQGQKIIRATSRPAHDPVASIGKVLGDRSVLYKYLNPNLA LITAVGENSASFYLLDAISGTVLHSSTQDNVDTTQPIASTISENWFAYSFFGDTADQS DAKGYQLVISELYESFIPNDRGPLNSATNYSTLHDIDAPAPPHVVSQSFIIPEPISHM AVTQTRQGITTRQLLCTLPSSNSIVGIPRQILDPRRPVNRDPSSTEVEEGLFKYNPVL EFDGRWFLTHSRDVAGINNVLVRDTLLESTGLVIAFGGDVYGTRVMPSQAFDVLGKGF SKIQLVLTVVALGIGVTVLAPIVRKKQINTIWKAGS ACHE_50635A MPLFGSSKSTTSDTASDHHEQPQNDPVASASAAAGTEWLAGHLH HLTDEQQGKLEEFKKLCGEKGYYKAASEENGGKASHDDATMLRFLRARKFDVNAAWDQ FKDTEDWRRDNAIEKLYENIDVDSYESARRMYPQWTGRRDRRGIPVYVFEIKHLNSKN MAAYNETMSTSNLPAEAQKSSTVPERLLRLFALYENLLRFVMPVCSKLPRPNPETPIV TSTNIVDVSGVGLKQFWNLKGHMQDASVLATAHYPETLDRIFIIGAPSFFPTVWGWIK RWFDPGTTSKIFILSASDVKPTLTSFMDPSSIPKQYGGDLDWTWGDMPNLDNDTKDII GGVESGEGEKKEFLKGPMLFDGDKIQVLGREKKGEEKDGIERRWDIPVTANGASAPAG DSEKPSETATAAAPAPVQEAS ACHE_50636A MPLEARVKAVLSGDTVVLSHIANPSQERVLSLAYVSAPRLRREG DEAYGFHSREFLRELLVGKVVEFTPLYTIPTGARREYGIIKLPSFDASLPDISVQEGW SRVREEAGKRADESEDTAALIERLRALEDHARDAEKGVWAGLAQGQIETSYELADGKA LVEEYKQKDLQGIVERVLNGDRLVLRLLLAPQEHLQTIVALAGIRAPAAKRVTADGRE QPAEPYGDEAHAFVESRLLQRKVQVSLLGVTPQGQLIATVLHPNGNVAKFLLEAGLAR CHDHHSPLLGAHMAAFRHAEKAAKDGRKGLFTNLVTKGPAGGAAEDYVVSRVLNADTI FVRSKAGQEKKISLSSVRQPKPSDPSQALFSADAKEFLRKKLIGKHVKVTVNGKKPAN EGYEERDVATVVQGNANVALSLVEAGYASVIRHRADDDDRSPDYDSLLVAEADAQKDG KGMWSPKPPRTKQYQDYSENVQKAKMEVSILQRQKRVPAVVDFVKSGSRFTVLVPREN AKLTFVLSGIRAPRSARGPGEAGEPFGQEAHELANRRCMQRDVEIDVETIDKVGGFIG TLYVNKENFTKILLEEGLATVHAYSAEQSGNATEYFAAEQKAKEARRGLWHDWDPAKE AAEAEEEEAAAAAATNGQNGADDALQRRKDYRDVMVTYIDPATCKLKLQQIGSGTSAL TELMSAFRSFHINKANDTPLPGPPKAGDFVAARFSEDNEWYRAKVRRNDREKQQAEVV YIDFGNSETLPWSRLRALSPQFSAQKLRPQAADAVLSFLQFPVASDYLEDAVGFIGDQ AFDRQLVANVDYISSEGTMHVTLLDPSVSKSLDESLNAEVVREGLAMVPKKLKAWERS ASDTLASLRELESEAKEERRGMWEYGDLTED ACHE_50637A MVKAVAVLRGDSKVNGTVTFEQTSENAPTTVSWNISGHDANAQR AFHVHQFGDNTNGCTSAGPHFNPHGKPHGAPEDTERHVGDLGNFQTNAEGNAVGSKED KFVKLFGAESVLGRTLVVHAGTDDLGRGGNEESKKTGNAGARPACGVIGISA ACHE_50638A MSSSLDQLKASGTVVVCDSGDFATIGKYKPQDATTNPSLILAAS KKPEYASLIDAAVASGKKEGSTVDEQVDATLDRLLVEFGKKILEIIPGKVSTEVDARF SFDTQASVNKALHIIKLYEEQGISRDRILIKIASTWEGIQAAHILQTQHGINCNLTLM FSLVQAIAAAEAGAFLISPFVGRILDWFKAAHKKEFSAEEDPGVKSVQNIFNYYKKHG YKTIVMGASFRNVGEITELAGCDYLTISPNLLEDLYNSTAAVPKKLESESAVKLDIPK QSYLNDEALFRFDFNEDAMATEKLREGISKFAADAVTLKDLLKQKVQA ACHE_50639A MNQATSLPLPPPSSSIERLNLELAPVIEELRNICEQDPISPQLA LTATESLLRLRHTFIDNDCPREAKDCFRHQNGFQLLLVLISKLAEIYDAELPKDEKKS LLTLHKDALEVLAEGLKEHFGNQRYFTKKIPGGGKTVLEKSLLTLATKLGSAEAETQQ FYGGILAAALCQETVAGLFTALAAESQLNENSLSPDDVRQSVDKGMGTSETVEVSEFL GLFIRVWLMQSSLFGHHKTQRLAVPACLCQLASQSERNVVALHATGMLTSILPLLFSN DCSEDEKSLYQELARLLCTQGLSNLDDAVALYRNAHGSSDILRFLLDVLKRSKQPPFI HFDLSLHGYCSVEFSSLGRTFPPAISAGYTLSVWARFDRFDTSTHTTIFGAFDARQTC FLLMYLEKDTRHLILQTSISGPRPSVRFKSISFQPNRWYHIALVHKKPRPPSYSRASL FIDGEFVEQLKIEYPNVPVTGVPNKTPRVQAFFGTPQDLAMRLGKGVSTSRWSLAGAT LLDEAYSDDMISVFYNLGPRYYGNFQDCMGSFQTYRASAALNVRNEHLHPGKEELSDI VTAIRRKASALVREGSILVNVSPVAILDDDDSSNVDESQLVRCLSRPAARNLQQLTKA GGNSVAVNGATPAINGALTQTHGVGILAGDPVVAVPQSLDDASWRLGGCAAVHLSLVQ AASTADSLVMAVEVLYESVQDNWRNSEAMERENGYGILAALLREKLGCPLGNYTAAPK TSVVCSGYEERSAVASRLLRLTLGFVGYDFEHPHRSIIVNPLAYRILMVDLDIWRFGE LDLLELYYSQFCIFATGGPYRRFNAKRLSRMRVTKKLLEALKGEDFTLDGLRLFTKAF KSLMESCLSADLLRSLALFITYALHKPKAPTKLQKKKSIRLNSSPQLSTSSPGKRVYV SSSAMAVEMLQMYCSVLCNVHDLLSLTKFARAVTNKWLLYLICEDDPEIVIPAMRILA RLVVFHGSNYSKKFSERSGGYIVLQHCLKRWWNVPALWTICFAVLFGVDVGSVDVDRP FDGSNLVETLSAGDKTKVVFPEMLPVITEMMRSGLRKVVLAEHSLEQRNGSLKERLAQ MTKPNMSSSRPVDQTIEEVSLLAAAIELLAMVHAKSEDFREFAVQSNYVQGLLRVLFP VVVGSDIVNADVELNSHDGGLRLDDLNLAIRPKSAGAAELRTRTVEQGGTHRNSRGQK PLRRGSSFILVSSDQGHLAPSHARLHRAFTPSHAKENGAATDNPVVISILGLVLAVFT DQLLERKDFSGLGLYLKTPPGFLEHQACFNSWLTKHLFSALQDAVSPEAQLFLEPRTL TNLGRLAAHVAEAMYEGWFIDGTTATLEFLGTILEYLQRPDISMLKSVRLCNQAIATM RSTLFRAVLLKLSEADDRETLVFLKRLNYWQAVILSTEESHSEYLQLLCYQLYTKLVS KNEDVRRAAVGLWRIILVQKPDDMSAILSHAAAPLQKRLLDDFDALVGMEDAAFLQWI ADRGDDLNALFLEALGRSWDHFVQEEDASTATSARVRVSKRQDKLKQWVQSEKVSEEA IRKHEATHPHWISNISASEFLKYQRSLQDQQDDLMFIWSTFARLLMDLHRFGGVLVEY RETKWRLDQTEGRSRMRLRVVPDTSNERQDYQPKKRKVSEPPAIKINTQVPSASGGDA MPLTPVESNLQAVEADKGVLEESFEMIDDPKAVMADYEDKNRKVMRTLHRGDQVQNVC NMSRVIGLEACEGLLILGKDHIYLLDNFFQRSDGEIVNVWQAPPEERDPYVRMIAGRE DDERKPQEHETRSWKWSDLVSVSKRRFLFRDVALEIFFTDGSSFLLTLVSSKARDDLS SQLGSKAPQVTGSVGHSRPEDIRRFETLRSQEDAPQTLGSKFASVFGHSPENPATRKW VRGEISNFHYLMLVNTLAGRTFNDLTQYPVFPWVLADYTSEELDLTNPKSFRDLSKPM GCQTLEREAEFRERYNAFAEMGGDDSPPFHYGTHYSSAMIVSSYLIRLQPFVKSYLLL QGGTFDHADRLFYSVAKAWESASKGNMSDVRELIPEFFYLPEFLVNANNYEFGVLQNM TTAIDSVELPPWAKGDPKIFIAKQREALESPYVTQNLHHWIDLVFGCKQKGDAAIEAV NVFHHLSYQGAKDIDNIDDPVERLATIGIIHNFGQTPHQIFSRPHPQREDLKYKAPRL DRLAESLTQLPVSLLDIEERVASLSMKQDRLLCAAPLRLNIPPTYDKYMEWGFFDGSV RFYSAESRKLLGHFEHLHVGQLSCAIFADSRTLVTAGRDCTVSIWTYTSGSKSVDLQP AGTLFGHRSPITVLAVSRSFSTLLTASSDGQVMLWDLNQQSFVRELPTNGPVDCARVN DVTGDIAVCRGNCISLYTLNGALLLRQDIYESADDHILSCVFYEGVSDEWLERELLFT GHRRGVVNIWSKTIHEGRFELELIRQLHHTDPSRDTGENITAGISCILALPQVVYTGD EIGRVYEWSCVQRR ACHE_50640S MKGIFSLSLLPLLTAASPVFVDSIHNEAAPVLSSMNSQEVPDSY IVVFKKHVKPSSASVHHSWVQDIHASQNERTELKKRSLFGFAEPEYLGLKHTFSVGES LLGYAGHFHEDVIEQVRRHPDVEFIEKDSAVHTMGDFHTLEESEVEKSAPWGLARISH RDSLSFGTFNKYLYAGEGGEGVDAYTIDTGINVDHVDFEGRAHWGKTIPNNDEDEDGN GHGTHCSGTIAGKKYGVAKKANVYAVKVLRSSGSGTMSDVIKGVEWAVEAHTKKVKAA KDGKAKGFKGSVANMSLGGGKSPVLEMAVDAGVDAGLHFAVAAGNDNADACGYSPAAS EKAVTVGASTLADERAYFSNYGKCTDIFAPGLNILSTWTGSKHAVNTISGTSMASPHI AGLLAYFVSLQPSKDSAFAVADITPEKLKKDIISIATKGALDDIPSDTPNLLAWNGGG ATNYSDIVSEGGYDASSKHASAEVTLDKMVNKAEKLTEELGAIYSEIKDAFVA ACHE_50641A MSTRTIANWSFSLTYSSLPLPIIQSAIQSFYNWTGCTVGGSAHP ATTIARNALTPFFGAPISTLLGCEHKTTDAQHAALLNGIASHVHDYDDTHLETIIHPT GPVAAALLSIAETLQRPVSGEEFITALVAGIEVECKCGLAVWPSHYDVGWHITSTTGS IGAAVAVSKLLGLSPDQIAYAIGVAATQVTGLREMFGSHTKSFHPGRAAQNGLIAAIL ASNGYTSSLEALDAKRGWVNVVSNDNKLQNLVASLGKEGSWEIEKNSFKPFPCGIVIH PVIDGCIQLHPRLDGSGKRCQDITDVQLTVHPLVLELTGKTKPRDGLEAKFSVYHGAA IGLLLGKARPGQYEHAVVTDTEVISLRDKFHATADEKCRLDECHIMINFASADGVPAP IVKHVDHAVGSVHCPMSDNQLTEKFMDQCVPVLGLERAYGASGWCWALDRHKDIREIK NVF ACHE_50642S MAQVLVVYPSGPSFDLDYYLKTHMPLVSSLWTSYGLQGWDVLTF PADAPYQVQATLRWKSLDDFNAAATSESAKPVFEDIPNFTAAQPILLKGDVVASS ACHE_50643A MSTTTTKTTTQFDSRRLQITEDAQPPKSQRSRLQQVVVMLQLCA VTLTASLINGLVIVGLPTIKKDLQLPPSLSFWPSSVSNLATASTLLLAGSIADTVGPR WVELVGCLASGALMLGQGLSRTGEELVVMRALQGVGLALHLASSVSIITQILPQGRGR NLAFSCIGLSQPLGFSLGLVVGGILIDTIGWCAGWYIAGGITLFFSLIGMWALPKSDG HQYANVMHNFRTKVDWVGAGLASAFMALICYLLAILSADPSRIKTAEAIVILCLAVTA LPSFVAWSHYQVKRNRPALIPNSLWMNASFSSICATIALSNAVINSMELFAALFFQEV QYLSALQASIRILPSLVVGVLLNLVIGFVVHRVPAFWIVTITSILCAGSPLLMAVIQP SWPYWGNAFVAQLLQPVSFNALFTIGLIVITDVFPSNTQALAGAVFNTSAQFGGALGM AILQVVSTIITGKSDRKEETQALMEGYRASFWTMFGFMILCTAVGFLGLRRAGRVGLK RD ACHE_50644A MQTAFSGPQPDARHLTYQDDATNTRLKSRFESKDETTDGSFWSI RGASTNVSISGGNQDTTSPEFSLRGSVSRYFLAEINTKWTDGLLIVCCFVSGLVDGLS FDAWGSFSSMQSGNSVFIALGVAGQPAYPAYLWAKSLIALVTYLVGNVTFIQVSRALN PLRRSTLILSFSVQTAALLAAALLIQLDAVDSRPEDPRAPIQWMQVLPIALLAFQAAG QIVASRVLSYDEIPTVVLTTLLCDLLVDKHLCQRPWKANPKRNRRLSAFLSLFLGAMT AGGLCKLDHMPAGLWLAMGLKLMITVSFMVWKDNQKAVDTVSIA ACHE_50645A MSRLLSKTAVRAASTTSPSSQMTKAAGDISSVFPSLRPDYQPEP LPPRFKDLKASLFAKNEDALKQSWKRLLYSLDEEVQKIKAKGSDIIPSVNYSDIISGN VSNEMLAEIRHRGTVVIRNVMDRDTAYGYQERIKDYAAANKERVKAFPPDSPAVYELY WTPSQAEARSHANMLNTQHFLQRLWHSSDPNTRISTRNPLTYADRLRIRGPGDAKFTL GPHIDGGSLERWEDPEYSRVYTKILEGKWEEYDPFDARHRVKANMDLYNGAGACSMLR FFQGWLSMSETGPNEGSLHVCPMLRHSTAYTILRPFFDAQSSQPNIDNTFPGAVPGTC QEYNPVTHPHLDLETTMVSVPRVDPGDYVAWHCDSLHSVDKEHHGDKDSSVLYIPATP MCEMNVDYLLKQRNAALAYSPPWDFPGAGGPGEAGFQGALDWSSVNTEGQRAMGIGNK GWEITEDMSQGEKEAVRYANKKCFGEA ACHE_50646S MAFSVKGRSAIVTGAGSGINLSFARLLLENGCNVLIADLGLRPE AQVIVDKHSGKDSTSRAVFQPTDVTDWQQLERMFEVAEREFGEIDLVCPGAGVYEPHW TNFWRPPGTQASKDSPAGGRYGLIDINLTHPIRVTQLAISHFLHNRDSKKPKHIVHIS SIAGQNASFAAPVYSATKHGISGFVRSMAKLDELFGIRVTAVAPGVIKTPLWTDHPEK LKMIDTADTWVTADEVAEVMLALVQQEKVGEVIGDKSGQGQQFEVKGGTILEVSKTVR AVNPYNDPGPGKRAGNTASDTRTVEEESIALLKQPGWGKSKL ACHE_50647S MVDDDVTAGFGNGEGSRAPLWRPRRKNAGYQGQASWASSVINLV NTIIGAGVLAMPLAISHMGIVLGVLVVLWSGITAGFGLYLQSRCAQYLERGSASFFAL SQITYPNASVVFDAAIAIKCFGVGVSYLIIIGDLMPAVIQGFVGGEPDYDFLVDRHFW VTAFMLIIIPLSYLRRLDSLKYTSFAALACIGYLVVLVLYHFIKGDTMADRGPIRIIQ WAGAVPTLSSLPVTIFAFTCHQNMFSILNEIRDNSHFNTTSVIFASIGGAASTYILVA ITGYLSFGNSVSGNIIGMYPPGLWATIGRAAIVILVMFSYPLQCHPCRASVDAVLRWR PKASNGNDNSPHRHPLLGSRGNRAPEPMSDLRFSVITTSVLILSYIAAMTVSSLEAVL AYVGSTGSTSISFILPGLFYYRISSPDSPSHQRLMKEDDEIGDDDEIASTQADDGSDD NDHQARETAPSDGGIVRHGRRQWRRNLLRKMSLALAVYGALVMIVSLVTNSVFVASN ACHE_50648A MAANARYEQAPQRDSFEEREFSQPPPSYQATPDFSSAPRSEGDN LPDDFKFGGTVAEATLPIRMQFVRKVYSILTAQILLTAAMSSISFFSEGYRTWIQGNF WLLMVSLFGAIGFMLVTYWKRKSYPANLLFLSAFTIMEAYSISVVTSLYESRIVVLAL VFTLGIFVALTAFACQSKYDFTNWMPYLFGGLWFLILFGFIAAFFPRNSTMELVYGGA AALIFSGYILVDTQLVMRHYHVEEEIAAAISLYLDILNLFLAILRILNNQNNN ACHE_50649A MDSQRPIPDVLKDVPKELVNEALRIRDTFMIDQGQLKEITDRFL FEYQRGLESDDSDIPMNVTWAIGTPTGDETGTYLTIDMGGTNLRVCEVTLTPTPGGYD LIQSKFKLPDDLKVGLADMLWQFIADCLYQFLEEHGLLAKRGKNEARLPLAFTFSFPV AQDSIRHGVLQQWTKGFNIGGVEGKDVVLQLERALRDRELPVEIVALVNDTTGTLIAS AYKDPEIQIGSIFSTGCNAAYMEDCGSIPKIAHHKLPLNTQIAINTECGAFDNSHHIL PRTPFDVEIDDTSPKPGQQIYEKMVAGLYIGEIVRLILLHLHEFYGLFARDNNDIARL RNPSAIDSFILSKLEETHSYSPDSSIEIQSLFRDSLGINPAPPELNLCCFVAEFVSTR AARLYACGIAAILRKKNIRSCSVGVDGSAFTKYPRFRERAVGALREVLDWPEGEELIS FRHAEDGSGVGAALIAALAEKVGRQQG ACHE_50650A MKFAKELEQELVPEWRAKYLDYKTGKKKVKAITRALQKASRSPY SQSNRPSSHHGHKRTPSGLTSQQIVEQIVPPTPNRPSDKRLTPTEPSQNNYPTPSARS GNNPASSVPRSTPVSRSAERQPLHSPGSRFSSAAVGSYGSILASPLHGAPTASDMASL ELPDPAIDPRDDRSDKVDRDGDDKDHVHFTSGPGDPESRDPSSVSLRHVSAPSHSDAK PSTPKTPESSAKRKSQLLKRVFPHQDVDLSTEKQGQLEAPPSSEVDRRQDEFFAFLDD ELEKIESFYHMKEEEASHRLQMLRRQLHIMRDQRIQEVLGAQKKSGKRKNGKKDGPNP KALTGLNGSLVKDVLTGRNRFGKNTEALAQMATTTPRTLQAQDRESITRRRDFSRRPE EEELDEAEEEEDEDSPNVDVPYRSAKRKLKHALQEFYRGLELLKGYAYLNRTAFRKIN KKYDKAVQARPTLRYMSEKVNKAWFVQSEVTENLMVATEDLYARYFERGNRKLAISKL RRIDKKSGDYSSNTFRSGLLLMAGILFGIQSLVYVGQHMSSKDPTLHVHTSYLLQIYG GYFLVVFHFLLFALVCMVWTKAKINHVFIFEFDTRHALEWRQLLEIPCFFLFLLGLFM WLNFLTVNAMYIYWPVALVGLTLIILFLPFRVLYHRSRQWWAYSNWRLVLAGLYPVEF RDFFLGDMYCSQTYAMGNIELFFCLYARHWSDPPQCNSSHSRLLGFFQCLPAVWRAFQ CLRRYADTRNMFPHMMNFGKYIFSVLYYATLSMYRIQRIQRFEATFITFALLNAVYTS VWDLAMDWSLGNPYAKHPLLREVLAFRQVWVYYVAMVLDVVIRFNWIFYAIFAHDLQH SAVLSFVISFSEVCRRGIWTVFRVENEHCTNVLLFRASRDTPLPYDLPKTPEEPDHHR PEDVPLQEQPPSTGATIQPSPNPEDLEQGPVPPTPAGASMRTRRGSRAAAGLSRVGSI VAAAHAQDFERRKRPDQMHPASVSQGNAQSPEDSSEEEEDDSGDAEAHPDQYADDNLE DEMSFGRTRTHRTHEDDDE ACHE_50651A MAWGWDESDKAHRQVYNNENENKHESHLSHEVLAGAASFAGMKA FEDHQRKQGKNVSHSTAKEILAGLAGAEIDKLAETKGLDEADKIKAHHHAKKNAEHLY DDHYVNGHGADEYDPNRYQPHNSFSSRGW ACHE_50652A MTTFSAEISDHESNDQTDGNMGDNIHSGGTTTPVPQDLVAVIAG LQRQLQQMEERRIEDLRRLKEELTSPPREPLPQPTIEEIAPQPMADPVRRPRPKLTDP EVFDGRNRSLYRPFRSKLRAKLEVDKEALGNAYDRMWYAFGRLTDGAAMQVLPWMERF AKKGATESQLDGMLDQMDFIFLDRNLEEKAVRDLASLKQNNKPFTVFLTEFNRLLMEA DGHNWPENTKRSYLDNALNREMNTRLETVEKKNGFEDYCRQLQQIADRMEKNQLRYSR NNKHTTSTSPAHPVNTTRASSPPQDMDWEPTTTTSARSQPRRVAKHVSREEMERRRQE RRCLRCGDSTHFISHCPYDSPRNSTRMARSHIHGPELEDEEEQLREQPKLGKE ACHE_50653A MKISSTVVGALLAAGSVSGAKLQVYKDKNYKNTCGTFTTSGWHK FNCLARSYHYLSDWNQVCVLFCRDNIFMGYRCQDTDQPDTEFSFNRINIYVGRRPSC ACHE_50654S MPTKPPHPSDFPSHLTITITPPQSPTSSSSQPTPNILLLLHGLG DSAPSFTQLARALNLPETTILTLQAPHPLPFDLPGFHWGDDVTFDNAGGLDMDAGFGR VMKTITEDVVRGVLVGKCGYRLREILLLGLGQGGMLGLSAAKELGLSATGEDKWLSGV ISVGAPYPLSGSTVVAAKNRTPVLLVSGRDSPAVTDSAVSRTRQVFDFVEVHRYARKG DTMPRNRDEMLPVMQFFARRLRSRQGVPEGSVELT ACHE_50655A MPPNLRGSLPRSLSRPLDSGGVFYCPSCSTWRRTISTRRKTYRP GTSTSTSGIIPRGRDGDLNAQRQTFTTSSAINAGKNVPPRFKELYAALNRVGDVATDR VNLSRLQLALRGLESEEPLVRVAVLGLNDTNAARRLVRLLLSDPLSSREDWEDMLEGY EADTSRGLLIRYGEECQSIPNNLLPTISIPSQLLKKANMEILVSSLGAEVADSSAAQF TADTFLVPTVTIRTSHSGRHNVVRYPVHKSIVCGSGVEDLVSYSGLIARSDLKRETKS VYGAIEMEVSDKEKRNDRFAFVDIEQAEEALNKFRESVQNASLYERGWNSSGVQPVVD WLASMRESGESLDPSLKTLILSLLDAAEEGVLAKEAQKAQEQVEAGVSEEVRTQLGRS VDMWAERAHSELRSSLEKGFASKRWRGLAWWRLFLRVDDVSMLTSEILERRYLQGAEK EVIWTAGRLQQAGLMDNLEPSEPTELKEEQQALVEQTTSPVPWPVQISTSRTRLLNTT VPALQALAQRLVLFSMSTTTLTSALSGLTYLSFPTASVYETYTMAAVGLVYSLRRQQT KWERAREFWEDEVREEGRTVLRSTEEQLRTLVQEGGRPAIEGTEDEARESIEQARKAV EDMDVKSTEP ACHE_50656A MDRNLARAVTDKKPVPEIDFTLHTMEDGTQVSTQERVVKEVQAP ALNIPLEESFWSPEDPSKPNLQFLRQHFYREGRLSEEQALWIIKKGTEVLRSEPNLLE MDAPITVCGDVHGQYYDLMKLFEVGGDPSETRYLFLGDYVDRGYFSIECVLYLWALKI WYPNTLWLLRGNHECRHLTDYFTFKLECKHKYSEEIYEACIESFCSLPLAAVMNKQFL CIHGGLSPELHTLEDIKAIDRFREPPTHGLMCDILWADPLEEFGQEKTGEFFVHNSVR GCSYFFSYPAACAFLEKNNLLSIIRAHEAQDAGYRMYRKTRTTGFPSVMTIFSAPNYL DVYNNKAAVLKYENNVMNIRQFNCTPHPYWLPNFMDVFTWSLPFVGEKITDMLIAILN TCSKEELEDETPTSASGPASPPLPMDIDSSEFKRRAIKNKILAIGRLSRFFQVLREES EKVTELKTAAGGRLPAGTLMLGAEGIKQAINNFDDARKVDLQNERLPPTKEEVYRRSE EERMSALQRAQQEADNDAGLATVARRISMSAGSGKSRRQRDESREA ACHE_50657S MYESYRPHPFLAQVPLTVSPFINLPTAITLPYTYKSVPSTLPPS VTGDPGNPDAKTRYIVSASGEHAAHPDEILAACQSLEQHLKKTRSDADDAIKQWEESI RQRDLAEKRRVAPGWLDREEKLLQPSRASFASPSGEQSLLDSTSADQSAATLPSMKPR DEGEELDQAFGRMGVK ACHE_50658S MNWLVHELTEVVEDGFLTMDEFHYVELGVYGLRAPSFLAPGVHL RPANSRMPSVVVKTGLDTPHHILVDYKYAWLEGGSAVNVSTLIKLEATEDKYVRGRMV VWRKGALNPQTFVSHLARLFEQFRLFIVSVSC ACHE_50659S MEPLTTPPEASSFVLLADHQSRTPASFHSGPPVLHYHSKACKLV ISERDLLSVPVLNAIRGDATSNGNAENGNAEGEGEEVVIDGVDVWVTSDKFLLYTSTT STGVSIPYPSISLHAIQRLKLPTSPSDEVQGLYMQIATPTPTGPSADDEEECITLTIV PPTAPDSLGGTSTNETATLTAAERATEDQTTGPGANDQSATQMLYGAVSACSNLHPDP VLPGDEEDEGEEWDGEGDEAVQQIGLPPAMPGSSGWITAENMHEYFDEEGNWIAGGQE PMLPLGPGAGTVRPRDEENGVSGQEGEGENDEAKWQRTD ACHE_50660A MAVANARNGRYARGLQNFPAETVTELSPEEGTVLGQYGQLPYGS PAEWALMHSRERLVKSTDPETSSILSEKPRSLRQSLSRSRSKRWSKGGFRRPPRRSSL AHVGENFEKRSLEKPSFETRPSVSKDEVEVSAVEGVMELPAETSPRQSPGREEPRPDP NLHSSSGIWSVYMNRDYSSSLFPVREDEHEGFDPYRLRVRGTSITTQTAGTMPDQPVP PPPPCAYPPNRFRLSRNDSSLRLSSLSLDTADSSILEDGRRTPMLIDSNFNSPALPPC PTFVPYSANDVGRLNRSFSTKSSLQGSFAFPSGSVELEAYKMERDRTSPRRSLTARSP SHSMERICPPPRRSESLSSTAPRRDPRFASLGNTPVLYPSPNNIPAHWNGINYNTALL PHFSQIRRPSVHRHPPRDSTDSLFSNADNLISVPESPSRRSSNVVVHETPLSADGLPR LPPPSALKGGNGARKGHRRQNCVRISIHPPITFGGPVFSPMVEEPEEQDGAASRRSEV SELSATNMSSLPSVSTISIDKQDHHERSRPSSYRRASYRNSPPKRKHARTGSKDTGSI KTLPGIDTALATRAASLSRTPSPDKSPPAWSASNQAPSPSTRENPPNPGEPRVSAVKG PRSQPGKPAKNTQRSSMPLAENPTSPLPSTSSNSRPNSLNQNSLKSNSTLNRGNSNST NNTSTANDQDAAEGLEVVSGPQPTRPLSPSCGVNKTPSNRSGNIVTIWEDTKLDTRLE RTPTKNSSASAPGEAIELQGDVSFNQVERHTSTGNIKDTEDKDTDNDKLSRTASQKST KPELMTPVKKTVGLGIGATAATPGSLYDGDGFLKE ACHE_50661S MNNTDKLTATATTVVNGIMVSLQIERIHQTRDRPGELEPLELIS HQLVSFGMGDGDGVAHDMDGWISEIGVTETGQQMTAGKDMATICV ACHE_50662S MSNNPVNEVGHFVHQNTTAPPHPSLLGMFSLKGKTAIVTGAGAG IGLAVAHGLAEAGANVAFWYSSNSKAPERAAEVAQKYGVQSMYSQLPLLFARSTNSNT TTAKAYQCDITNAEAVQKTVDQTVRDFNGRLDVFIANAGVPWTEGPMVDGPLSHYTKV VGIDLDGTFYCAKAAAAHWKRQKEQGTDLNGKPLTNFTYGSFVATASMSGHIVNFPQM QAAYNAAKSGVIHLCKSLAVEWVKYARANSVSPGYIATEISNFVPQSVKNIWRDKIPM GREGQPEELKGAYLYLASDASTYTTGADLVVDGGYCAP ACHE_50663S MDTLHAQEEEMNATLQEALTCTTCTLHLTKASMRRDLEAGVYDP SRDPPFLHLHAKAYSSEEDGDDHDSDYFDPNDSASASRFESQSDSGCYSEEDDDEDDD GEWDEGEGISPLSKPTRKQMPRYARLITPLQRLSYQSCVSDVHDQGRKQGLEPVKAVK NDEEDEDLRFAYYQVDYHIHLHDRARCNMLTLCRDIEDVRQLRGWEVEMRQLDAAEAG VVDYSDPTTTSTTTAAATTSAENELFIVHQTALWKRRWRLRQRRINETRRKWAQIMAE RKRQREQLLLERRKMFRAKVKLYTWKEGDKVMLRELDL ACHE_50664A MADGNPPTPILLPSTQAVSPVNNHTITTSTTTAPDTSVQHAPLM DIDNSPDDRSRRATSVLSMDDIEAAQALEGLRSEFAHSPRSPPRPTPDSKQPEPLLSL LTSTHPLISSAITGSMTAYTTSKSYSPRFKSGAEFIERNIGSPVASTVGTVGRKTGVE SGLRWALKHQRGSAASRSKRRKVDNQERDLEKGRDEDENCDVTDEKGIMRTASEVSLP ETLPPYDNHTSPSYDEVGREKAGATRNSNWQSRLVISTSGLGVAMSEESLRSLQYCLT WLRWANGRLGKAILALQGALTEWDSGSRQSRNTLLSQRIQAVREDVLSTLKQVVNVVS KYAGGALPENARNLVRRHLTSLPHRFQIASTSQPPPDSPESSSDATVGAHRILVLAQE GLDMMAQVSGVVNDTLVSAEHWCERLGRKRPERSNEETPASHPADVKQPVAQSPDVQM TGVEQA ACHE_50665A MREFTLRRARTQSGPRPYRHRLRGRANTYFYTMPSRTAINEILA CYLFNQNEASQLTTREVLESGEGG ACHE_50666A MSDPSLDGSQATRNVNAESLSQDDQTPQSRNDLLPMNFSRETRP ANKYGVMKPHQVTMMTIGAAIHTGLMLLPERSLTTGTGPVPIIIAYTFVGVVVYLVLC ATGEVASWSPIQSTVAGHAVRFCDPALGFAIGWIYWLKYVVVVPNQLTAAASLISFWA DGLRVNVGVWITAFLIIIIGANYYASPFFGTYEVVLSSFKILMVLGLVILCAVFADGS SPESLDWNDPNVHHKGVDWPEYLHAFCDTLPSATLAYLGSEMIGMAILQTQDPRKTTA RAIRLTSYRIVVLNIVSIIVVVILGLHNLVKSKENGIHTLSAFVVAIQMAGIPVLPHI VNACILLFALSSATSGLHVATGTLYRMSLDKKAPACLSFTDRRGIPISGLCLSSCLAT LAYLNVFADSKFLFRYFMNLVTMLSILTWVSILATHLSFEQARRVQRIPEEILIFKAP LGQFGSWIALVSCVMIILFRTLSVFGHYSGVDYTAFITSYLGLPLYFSLIIGYKATTR CRKVDPAEAGLFNNAAPIDAPDSRSLSVGVEKTFDWHKQRVKHFVKLWVL ACHE_50667A MSRTRVLLVGAAGETGGSIANGLLENPVFELYALVRPRSVQKPA IVSLQERGVQIRKCDLKGSEETLANALQGIDVVISCVGPAEQQDQIPVAKAAKKVGVK RFVPCGFITVAPPGGIMWLRDEKETVYNHIKQLYLPYTIVDVGWWYQLSYPRLESGRV DYAMTTANNELVGDGDTPTAITDLRDIGRYMARIILDNRTLNKMVFAYNTVVTQNQIY DLLEEISEEKINRNYISEEMVYNRVLAARQSSETYPFDPVKFIPRYLAEYQLSWGLRG DNTPDFAKYLGYLTSKELYPDFQPTDFKDYLQTVVGGTAKGVYTDRIISRAHQRAFPR SESSDSLYTRIFPRTESSDSLYMSR ACHE_50668S MACLSLSSKWSSHPSSVRRYLRYRDIYRWVEIDKMGDAKSDLDS KTNAAETPTIEHEESAQESKWKTAKPADGDVAMALFSDPTDLHEDVDPVEMRKLIWKI DFMILPYLAVCYAFFYIDKTTLSYAAIFGIVDDLNLHGTQYSWLSSVFYIGFLIWAFP TNFLMQRLPIGKYLGVNIFMWCVNRRIPAHVN ACHE_50669S MIQAACNDFKALAVLRALGGAAEACADPAFMLITSMWYTRREQP VRMGLWYTANGLGIALGGLLGYGIGNIKGALPSWKYEFIVIGSLCSAWGIVMFIFLPD SPVTAPGLNQRQRRMAVERLKENQTGIENKHLKPRQVLEAFLDYKLYIFFLLGVVCNI PNGGISNFGTIIIQGFGFSTLVTTLMQIPYGVLIALSILACVYFNDRFENRRCVFILI FLLPNIAGSFGLRFVSVEQKVGRLICYYLTGPYNAAFVMILSMQVANTAGHTKKVVTN AVLFLGYCAGNLAGPFFYKDSQKPLYELGIWSMIVSHLIEVVLITALGFLLRWENQKR DRIQSQMEGGLEGRDLDATAFLDLTDRENLNFRYIY ACHE_50670A MASISPIMRQLGCLRPLLKTPKSILGHRTISTAYTPKPDAAPLP SKLPKTFMSQLPTQLRPDQAPKRLKVYPPPTSTRNTCKDPISAVTQSQLSTLDPTGER KALFDYRRNPRSVKPGDILRVTFKNGDPFSGVCLSIRLRGVDTAFLLRNELTRVGVEM WVKVFSPEMQSVEIVQRSEKRKRRARLYYMRQPRHDMRSVENIVSNYLKKKSALTGQR RERR ACHE_50671S MAQRAVGRLFRGQSSGSLRPSVGSFTQRRNYSRDAIPTFPPTSS PELDQSLNRFREELFVPFGLNEQQRRLMFRQKYADILDEEPVTVNIGANDEPFLLRPM DPMMRPANKEAIDTIALMKTPKDFQNLVPFLTGLRMSHRHIKYGRWEWVIRRANQADA LGVILECAKQADKTGLYLKRATIVQRLFFELHRKAQRGEFQDPALSKALRLATQAVTL MESPEHTQMDPEEDPKRKPSVVGVLLELHAARALSLLEGKDADGSVRTYALRLLGTWA NGNFKNPAKTWPEVDMRLQEIIPIYNGLKLALQVPEIAVDKSLNNELKGRMNELNMTI ARSKQMAPENVKQRLEQQPTTGYEQAVLLHQDRT ACHE_50672A MASETPLLAKLDALIADVLADWNIYSTVIAGSIVAFLIFSFITS KDPDIHPFLLARQSTETFVRQPGESAPFRSLETPYGFPLRSGLNVRDPGTPKWSAGRK GDLRDVWKTAVRGAVNEDGSLSGKQSKIYTVLGRKAIEHSLDQITQEINVIGHHLQAA HAKTVAVCLTDSVELLASIFAGAFYGFKVVIVPHSLEPQILSTHLQKVQAEALIAEAG ALDLSTVAKGNKRLSQVIWVAKLGSRHMDWNEVPADVKDTLSVTVWHELVDEKRDLAG LEVPTYDPKSPSPGVTTVWPSSSSEGKFIDFEPENLVAAIGALNSTLPRTQRFDSNDL VLSIDSLSRSYPLCQVLRALYANASVALNSVAGESVDFALATVGVSPTVIVASSRTMS DYHSKVMKPHTGLISSITHWFQARKLDAGNMPSHGLLNQVGNMGPTSELSFDKLRLIC ISHRCDADKDIRLTFEQLTDLRIFTGARVVYALTGPGVAGAVAQTNVFDYRRFEGASH FGSPLSSAEILLTSAADDQQDRVVEGQITAAGPAVVSGMTTLPAQGRFRQDNTLELVS ACHE_50673S MASQSLPSTPRPGSTPIPTPDESRTPGKWRHPHLNEIVRRQNAA TFGDHNVRRLIWNGAALLATWVFGGPFKSYASGLRIFSDSPTYPELSLLGLQLFFVLN IFVALYPLFRPKDDLVDIPLTPTQRSLLGLNPSASHHASPGTTYVTPPRYRVSASRTA SPAGRSASPLSAGASFSASAQGTSSGPTFSPSTSPLLYKAVSNGGRESTRRPSFSSSL GLGSSGFGASGLNTSGFSASGLGASGFGASGFDSSFGSSSPFGRSSLRESTFGPPATP SPIGGKRVNVGLSNKWLYERSRRLSTSNGAL ACHE_50674S MGASESKLVFKQGIFRLSEERDIPADDPYWTRFWELPESSEDVF SLFTPADIRRTRDNALSNFETLLLSITSRLTVLKNHPSFPDPELAPPKDALNCIRILT RVLPFIYEAEHLEDWEEKFFWSRRRKKTRQAQISSEVLFDEAQAEEQRDRASPRADDY EDMKPLAEEIIDTLMDLLFYTDFTIPQISTATSKVSHSIWQSGVGCNTSMGSNKELEN NRCEILRLLLTLNSKAMYISSSVLPVQGVKAITYITTCHDKQTVLTLLCSLLNTAVKY NPASWRVPYDHVVWKDPKQILVIYCLQLLLVLLLYPIPEDGRGAPPKNYYRHYFGRLH RPQDFQFLVDGMTRILNQPMQATNSYLPGSQRSVKWAPEMLMLFWEALQCNKRFRSFM IDSNRAHDFVILCLFYAIEYKADPSKQGMVRMCIFILQTMSVESNFGKSLNKKFEAQD TLPQSIHIPNFRGSYADYLIMSIHTLITTSKGMLNAVYPALLAVTNNIAPYVEHLSPG ACSRILQLFSSMSTPSFLLANETNHKLLASILESINSILEHQFSNNPYLVYAILKYRK RFEAVRTFTLESGQQEIERQNERRKAKNSFDSLASTTPSQSSEDLHASDPRSSLSRIP EENGPFAIGGDDDSDDEQDGENTAPQSTQTSRRPSVSSMVDESVPLQLRGMSEKARGK MPAGHPTFSRQNSMTSQSSVSGSLAVSPNGFTPTAAWLESWLPELPLHTVLTIISAIT PHVPESAFQTVSNPEARTLISNISSFEEDPAIRTIISEPSPIRVHSFEWSPLSMGWYE SLLWGFIFSSEMVVGTASGATPGTVGVWNGTGVRLFKVQEAAAQGPTLLAPKGAVDAV GSNLVQRIGNLSLRRTSTQESQNGSRPASIREV ACHE_50675S MRLSLFIAGAFVSAVTGISPEFQNVLQNTHKSNEYEYPTDFTRG IMPIPVHSHNDYWRDIPFYTGLSKGCISTEADVWLYNGTLFVGHDESSLTDTRTLESL YINPILDVLRRQNPESRFVSEPTKHGVFDTVRDQTLYFFIDVKTSGPETFDAVISALK PLREKGYLTYLKDNRTVNYGPVTVIGTGNTPLNKVASTPDRDYFFDAPLDALDDPQYE KITSQISPIASASFKDAVGVITSDTDPILNDEQTKALRSQITVAKERGIGARYWETPY YPIRTRNLVWRTLLREGVTLLNADDLEAVGELF ACHE_50676S MSTADSDLGELLAQVLPAGIKITVRHLSSTPIPCSALFAAPPGE EPEATFCANHFLIVSIYKGDENDSNGKGSEIIVFGMEVLVYSTTHLTTIFVSKADSTG YLHLLQTTSKVSLLRLISNTFLSFLMRTHQRPSVRLVLSLFARAQNQYLFPGSIHNPG KHVLDDRGLIKWWCRVADSILREYEPESGGHEKGLLDRTMEFAKSSATAFLIVPGCDK FETRGFFPPAAKEDDKERPRWVNSYPVRQLCDNPEAPPRCLIPRLPDDPKTRFLIDLD DELPELKESEEQRKRPPGQWLSVRSLEQFWEMMSFRQECSAGRLVGFLWLVINPPGLE NSVQMTSSRVMTGDVGKGTTETTASSAPAEKESKTTSPSKDVPNDTKTQAPSSQSQGT QKQTAEQPPFHWPEAGRGDAVLSEADYKTAVDFLLTQDFDNEEESIASTKAWAEKLTS ITDQLWVGKHVEGRSTSIGQSASQTSQTTNLIDSGLIRKRKKNDQGQDTAKTNDNAGA TSEPVQSSSGVNVLGTNLIRKKKKT ACHE_50677S MLKPTSQSKSSDVDGGFPWLDAVGWGCLIWYTTVQLVCVVGYYQ IWKYFLQRPPKSSSTTATSHTPHVTAIRPIKGLEPHLYECLASTFYQDYPYGKLSVHF CVSSRTDPGYPTVQRVLTDFPDADARLFIEDEDPLLHPKNGDTPYALGPNPKIRNMSR SYREANGDIIWIIDCNVWVGPGVCGRMVNRLCGTGNTPGRKYKFVHHLPVAVDVTGAG SYREERQALLDGAYDGDAANREAVVAALPHKDSEAAGALAMGGGRLEELFLSSAHAKM YTAINTVLIAPCIVGKSNMFRRSHLDYLTASSPTNPHSRLPGIDYFSDNICEDHLIGD LLWKNRVKEEELGEPWGKHAMVFGDLAFQPVANMSLQAYLARRVRWQRVRKFTVLLAT WVEPGTESILCSLYGAWGVTTTLAQHLQNKGIEWAPLLSTWTAFFAFFVLSMAAWIFV DWTLYIKLHSAKTVELDENTPPFAQPPSRKDSATRRPFLTWFAAWCGRELLALPIWFW AFFGGVTVTWRERRFRVGFDTKVREIESESKAPLEGSYSSGTRGYAKARRD ACHE_50678A MTQHRSFSLTNNPFQHQRVQAVYSTEKFPVSKTDNQPFHYFIYL SEGPPKEKDKMPVTLPFEAIDDLIYDARAGDLAALKEDLAALSTQHASPEAAILSAAV DSEPEAEGGSGSCVLHFPAANGNIEILKYLLEALKTQPEHLQKLINHRNHSGNTPLHW AALNTHLDCVKALVEAGADVSVKNDAGLDAVFLAERTAWSTGEVKEDEDENENESGEA EVEASAGAEGQCEGEGKGPMSQGRAVVEWLLSSDKGGELESGVGESSGSGGGQEDEKK ACHE_50679A MTDHPPNSDRTDQGDNSPAPASPQHDKEPTEIAPAAEKDRAQDQ EKKSDVEDNTQVQGKQSQEAEKEEEAEEGETKEEEASAPPLPDEGPPPLPNEAPPGDD DGWEPVWDPNAQAFYFYNRFTGLSQWENPRVPEAAASAPGTAANQEQEQEQPKQPVVG GYNPAIHGDYDPTAPYAQQYEQERNAEVSATAGGVPGMAPGYPYEATGHFNRFTGRWQ ADSLRPENYNDENKSRRQMNAFFDVDAAANSHDGRSLRAERSAKKLSKKELKAFKDKR REKKEEKRRAWLRD ACHE_50680A MSYAVYLIIETSIPLDHHALFVETNEAGPQTGHVYNVKGDIQNG MVYEAKTTEEPEKSPVFAEKKRIGSVSKDDYPRFIAVCQSIPVPKKQFEGARRLYPKE PLRRCQEWAREAIDHLVEQKVVLE ACHE_50681A MSWLTSLLLPCAQPRKPTKDSCSTRSNPLYHDTQKQPTYIDSSG LPQKPHRIARKPSPQETVTPSIRIVEYSDSEPTLEWDEKDRANTSFSDTASFLSDDRT ILMPEPDEEAVLVEMRKIESDLAATASPPPPAVTTPRRNSRTTTRTSACLDMIPEFRN CITEAIREEQEPEESTDKIGTAVTTSPEDKASRKEALNEETPAEQKLPQKSKDNQDPE HQEKEEHEKVQEQEQVQIHVQEPEQQPEPVTAPESLQYKREQDPSHLSYLSTRLSRRR SLIEIFNALRASQRSSFRLNLEFSPKFYSAPFGPSSPTSSTTAVSPPMSPVSIISPLS PTLSDETIMVDSIETACAVQIAGGKGPQEVVHQAITPDENIFQFH ACHE_50682S MTSKETHETNGSTSTGTNPVDLEHQARADTLVVELPKSLGAGSA LALGAFGTTLTTLSLSLMEWRGVTVTNAFVANFFFIAAFGLVITAQWELSIGNGFAYT VFSAFGLFYAGYGALLTPAFGVEQAFGNDTAQYNNAVGFFMILWTVFVFTFLIGSLPS NLAYILVFFFVDLGFLTVAASYFAAADGHQASSVALKKSGGAFCFVAGLVGWYIVFHL LLKDSLLDLPLGDTSRYFAKKKRT ACHE_50683S MAEVPATDPSVYASYESKWASLPSTADEWLSRAREVADVLAQDA PQRDIEHKSPRAEVALLKHSGLLKLLGPKKYGGGEQPWTVGYQAIREVAKADGSIGML LGYHLLWSTTANVVGTPEQIERTHQTIISNNYFVGGAVNPRDSDLKITSDGDSIIFNG AKFFNTGGVVSDLTVLEGVLEGTQDHIFAIVPTVQPGIQFAHNWSNVGLRLTESGGVK IENVRAPWADALGWDAASKKPRDDTLKITFGALLLPTIQLVFGNFYLGIAQGALTFAS KYTTTNTRPWPFGGDNKSSATEEFYILERYGNFFAHLRAAEALADRAAEQLSGVYTKY GSDRGALTPRERGEVAEWVASVKVVATDTGLRVANGVFEVTGARATGLKVGLDRFWRD IRTHTLHDPVAYKNRELGRYVLLGEVPEPTWYT ACHE_50684A MARKMVSAFYSLFTLLTVALAASVPETDYDVIVVGGGPSGLSAI SGLSRVLRRTALFDSGEYRNAPTRNMHDVIGNDGTVPAEFRGAARKQILRYNTSTIVD TSISSIKPIDNIFEATDASGKTYTARKVVLGTGLRDVLPDTPGLEQAFGKGIFWCPWC DGYEHRDQPFGILGALQDIIGSTLEVYTTLNSDIIAFLNGTQTPAQEEILTKAHPDWR AIVEAYNIRLDNRTITEIERIQDGETHKDDEGRQFDKFLVHFTEGEPVERNAFITNFD TAQRSTLPEQLGLKMLGNKIDTQTNSGLRTSLPGVWAVGDANSDNSTNVPHAMFSGKR AAVFAHVEMSREEAQAAISKRVPERRMLEKETERRMGNEIEALYNRLRRRG ACHE_50685A MSFFQRALGVTAALAFVRGAYAKLDFSQRENMVVYWGQNSLGAS ASGGDEQTQKPLSHYCKDENIDAIVMAFVMTINGPGGAPEYDLSNISKGCDTFDGTNL KNCPEVGADIAECQKNGKTILLSIGGATYNEGGFSSEKEAIAGAELIWKTFGPTKEHG PAEEPVHSAQETATLQPTETQKPATTAAPDQPSTAIAAGTNAPWNTWNNWNTWNNNNW NTPNSPANQNGLWQPQATSLNNLAPQLIGRASEPVSTSSQASKVYRPFGKTSVDGFDL DFEATNSNMQPFAKRLRELMDGESDRKYYLTSAPQCPYPDAADKDFLNGPGPVDMDAV FVQFYNNPCGLSSFDANSDEQPQFNFKTWDDWAKDGSKNQDVKVLLGVPASKEASVSG FVPISELKPIIEYAQGFDSFGGAMVWDVTQAYDNKGFLSSVRQALTQSASRMLRYAFR RSDEY ACHE_50686A MASALPTIRIGYVPEHYLTPLHLAVRSSAVSSLPFKLSLTPFPS GTGHMITSLRANEIDVAIGLTEGWVAGLAGKQQAQKDPAAGGYKVVGHWVDTPLRWAI VTGRERDNITNVSDLKGQRVGISRPGSGSHIMSFVLAQQQGWNPDSLTPNVLGPFQAL RNGVTGNEQPSQTPTAEFFMWEHFTTKPFFHPTPENPQPPLKKFGEIFTPWPSWLIVS STSTFPDPESDERLQQLFQALDKGIQEFEADQAQVVKLLGTGELGCTYIQEDATEWLK DVKFTNTTRGIDSKVVENVVDVLKVAGVIDTSMSNDEAINRVVGIKR ACHE_50687A MNDPQFEISLSSITPLTLYTFSKRKRPPLIMPKWVFHHTAGTLT PEEKQCIAQQMTQIYTSVGLPPFYCHVHFFELGPENIYAGGENPPALTTLSIYHIARA LDSQEIQNFFFKAVDDILRPILKPKGVEWELGIYEASRELWRVNGLIAPPTGSDMEKK WFEANAVTDEEELLKAQPHP ACHE_50688S MKQQVEEPVFSTVWESRFPGQIPLPQPKVLANSLPKSNTFTLQN RWTFEAVECRHADTCNSTILWVPDLKLAVCGDVVYGQVHQMLFEANTKTKREEWIRAI EKVEALGPAYVVPGHKQAEEIDGVWHLAATKKYIQNFGDVVASEPKDPREVFARMIEL YPDRFNPAALKLSAMGVFNVSEEPRVGTHHI ACHE_50689S MSGNSRSQQFRVQSLEEFLKTDTPFLSWEDLQQKLLLPPQDRPS MRGPTASNSIESLLSASSYPVPETSIAQDYQRQEAPLYGGFSIETPSSQNEIDQSVQS ISTPVTRHSRRRSESIASSYSPRKRGRPRKDVADVLDEDPEERRRLQIRLAQRAYRSR RERSLLRYKSRIAQLENAVENMSTSVLSLSQQLVQSGVLESNPELANHLHGTVLTCLR SIKEAGCEGERETPDTSPASEKPPSTSSITEEINPVQSWVVELIARSQLDTSQPFGAN RRRLQDASIGSSQYISSSTEVTDIELPAFIERLHLACLSQGYMALRDKSIPMDRLRRP FRLLLTFMSRERMTSYFEAALHFRLSQQRLDEWKDVPFFSLGGAGTHAAPGSTSGRFS SQKWVTIQDPLAQFPPDIQEDLDGEWFTIQDLEGFLRQRGVDKFACDPAESRPSSPTR AVVSVARLMHALISKCICLGRSPGFRRCDVERALSAAVST ACHE_50690A MMYLISKALQYGAPFFIITSPVTSYADQIISINRNRSSAGFSLD IPLIMLIASIMKVFYWFGAYYDVSLLVQAVITIGVQIVLLKVALDNRPSPGIKSGIEH TPFSNLDAGGLSRPYEFWQWKNARPYWMFLAYFAASLTFIHVLMSYSQTYIDLLGYVG LAIEATLPLPQIIANHSSRSCKGFRLSVVAAWVLGDTMKLSYFFFGGQFVPWAFRLCA MFQCVCDFYLGFQFYLYTPRVAGSPRNQEGWGTEEKDIRMT ACHE_50691A MARSWPVSVRWTLLLLVVILGWLVVPGVTVKRESFKYCSQSGFC KRNRAYADEASAKGSSWSSPYELEPSSIQFKDSQLLGVIIKTISANEKVRLPLTVSFL ESGAARVVIDEEKRLKGEIDLRHNSQVRKERYNEAEKWAVVGGLDLSKSATLNANTEE GLTKVLYGPGNSFQAVIRHAPFDVEFQRDGETHVQFNKQGYLNMEHWRPKLEPEEDSP EDQSTWWEETFDGNTDSKPRGPESIALDISFPGYSHVFGIPEHADSLSLRETRGGSGN HEDPYRLYNSDIFEYELNSPMTLYGAIPLMQAHRKGSTVGVFWLNAAETWIDIVKSSS SVNPLSLGVGSKTTTHSHWISEAGRLDVFVFLGPTPRDISKTYGELTGYTQLPQQFAI AYHQCRWNYVTDEDVKEVDAQFDKYQIPYDVIWLDVDYTDDRKYFTWDPMTFPNPISM EEQLDDSERKLVVLIDPHIKNEEGYFVSEEMKKQGFAVKNKDGNIYDGHCWPGASNWI DCFNPEAAKWWSTLYRYDKFKGSSPNVFIWNDMNEPSVFDGPEVTMPKDNIHWGNWEH RDIHNVNGVTLLNSTFKALTARKKGENRRPFILTRSYYAGAQRVAAMWTGDNQATWDH LAITLPMVLNNGISGFPFAGADVGGFFHNPGPELLTRWYQTGIWYPFFRAHAHIETRR REPYLISRPHRDYISQALRLRYQLLPAWYTAFHEASVNGTPIVRPQFYVHPTDEQGFT LDDQLYFGSTGLLTKPVVSEGANSVDIYISDDEKYYDYFDYTVYQGAKKRHTVPAPIE KVPLLMQSGHVIPRKDRPRRSSGLMKWDPYTLVITLDKNGEADGTLYVDDGESYEYQR GAYIRRNFHFQDSVLSSHDIGTKGPKTAEYLKTMANVKVEKIIVIDPPKEWRDKVSVT IIEDGATTGTTGSMQYFSQSTGKAPYAIVKKPDVCIGGTWRIEF ACHE_50692S MSSEQTFIAIKPDGVQRGLVGPIISRFENRGFKLAALKLATPSK EHLEKHYADLAGKPFFPGLITYMLSGPIVAMVWEGRDVVKTGRTILGATNPLASAPGT IRGDYAIDVGRNVCHGSDSVESAQKEISLWFAEGEVKSYKQAQAEWIYEKP ACHE_50693A MALRRPLLSFVRSVSPVSSPKPRRFSTPSPSSTPKDSHSRLRKL NDRLPSFLRSYTTPLLGAPVTHITSFLILHELTAIVPLFGLVGAFHYGNWTPDWGSDG AIDEGIQRFGRWLKKKGWVEEAGVSSANDEVQSRESKSERKGTRLVLEFATAYAVTKA LLPVRIAVSVWATPWFARSVLAPLGKGARTLFGRK ACHE_50694S MEKLTEKIAALPPNSNYFSLEFFPPKTQMGFANLQARLERMAQA LRPLFVTVTWGAGGSTAKRSLELAEVCQRQLQLTTCLHLTCTNMSRALVDQALEEAKV LGIRNILALRGDPPRSEEYNIHGEDDSNKDFMFAVDLVRYIRQQYGDYFCVGVAGYPE GHPADSFQDVQDPHQDLPYLIEKTKAGADFIMTQLTYDLDAFKNYESLLRNHESGVFK TIPIIPGLMPVHSYKIMTRVTKLSHVKVPPHITKKMEEVKHDDDAVKRVGMDVITDIV KGIKKVPSPGIRGFHFYTLNLEKTVSFILERCNLIPDYDENAIEDEGEFNVTSSTLDV APNGSRLGSKSRASSLNSQPRNRVIVDRKQEKLEDATRDSASHEASAVSAGMPAMPPN PSTTLQISEGLGALGREATWDDFPNGRWGDARSPAFGEIDGYGPSLHVPAPAARRLWG HPVSRSDLNTLFRRHVSGELHMVPWSEGGAEEESGGLNAETAVIRPELLKLIDGRGWW TLASQPAVNGVRSNDPVFGWGPHNEGFVFQKPFVEFFCPDKDFQNSLKPVLEKHGHEK LAWFATNANGDFESSLPAHPAGSDNDDLVEMNPDNVNAVTWGVFRGKEIVTPTIIEEV SFRAWGDEAYRIWDEWRRIYPRGTATERFLEDTKNDVWLVCVVGQEFGAGTEVGSSEE EKEVKWMWRVLAGEE ACHE_50695A MASLDTGPQRHETMNFTLLNHATAPVMAPRLGKLAITGRKAIST PHYIPLSTRGAVAHIAHDIMRDQTTISSLYVGLEDFIETLPKKAIPPIYKTPTEPHES AIHKFICMPEDTLLIMGPRRIPPIICPPTNTPNAVAILTSVGFRHMTGEEYIGAVQKL KPDIVIGLADLVVGQAPGVKRRMKMVDRTHAFTMDATEELYGEGVAEDKRSRAAYFAP VLPLENTQQSLYLEELEDELRPYISGLALYEAASLSIVPESLGELPRLLLSAPVTPQD VLREVSLGADLLTIPFMGETSDAGMALTFTFPAPSSTAEPQPLAMDLWSSTFSTDTQP LIEGCQCYTCQEHHRAYINHLLSAKEMLAWTLLQLHNHHVMDLFFLGIRESIQQSTFE ADFQAFERAYESKLPEKTGEGPRLRGYHLPPSGPYQPKRNPRKYGRLDDAAEKYAEST SSVATPDAGANELEEHGFAVKTTSMM ACHE_50696S MTHSTRRGALIVVEGLDRAGKSSQCECLRDALQKQGHSVKYIRF PDRTTSIGKLINSYLRGESQLDDHSIHLLFSANRWEIASTIEENINNGITIIVDRYSY SGVVYTAAKANPNLSLEWAWQPEIGLPRPDLCLFLRISPEEAAKRGGFGAERYENQPM QIRVRGLFQDLFDRQRSEDIHIIDAGRAFDEVYQDILKIATDSVARVDVVGPLRKLGP ISL ACHE_50697A MTEEDQTKPIPAFYACYLLRSTVRHASLYIGSTPDPSRRLTQHN GATKGGAKRTARGNLRPWEMTILVEGFMSRIGALQFEWAWQHTNDSRHARLGQMTGEE VTAGKAKPQRRRTRRSMKAHLEDLHVLLRSNYFSNWPLRIRFFSADVYRQWKAWSDRV DDSLPSHIQIILDGDCDLLHNQTDKGDKVESVHNIQTRYTNIDEYLEKAALLLDDPTD LRCKICQGRMIPKDELVVVCPQTACHCTSHMSCLSASFLVAAGEPDRFVPVHGTCPAC KKTIKWPVIMQEMTLRRRGDKELRAILRRKKRRDNQNRRADAKGVPGAATTEEDSFDD SADDDALDEDWTEEPASESDSDTEIPNARSKPAPPRLEIVIEDSEDD ACHE_50698S MVAPASTGGNSNGGQPSATSCPPTRSSSTHPSHAHNVPLSVRRS SPLDLSTVERRGQPTNPREPPVKRSRPHGLQEAPTYYPTEEDFKDPVAYIRKIAPEGK RFGICRVVPPENWQPSFAIDTERFHFKTRRQELNSVEGGTRANLNYLDQLAKFHKQHG TNLNRFPSVDKRPLDLYKLKKAVEIRGGFDAVCKTKKWAEIGRDLGYSGKIMSSLSTS LKNSYQRWLQPYEEYLRVAKPGVQQQLEMEHGGPFTPSPHQSPLNRKTLPIDGPPPPH QGTPVPTASMQNTPKPVEATPDKPTPPAEPAPRPVASGFTPVNASGGFTAVNQSSSFA AVNNGPPVKREPENGSAIPPGVADRTQSSTPVSNGHGENGVKRGVSHDSASQTENGDD GGSGRRSKRLKKGDTLPTVAGSHMSLFRPTPPRARKSGNGNKKAGDKCETCGKADDGS SILVCDSCELGYHGYCLDPQVTTPPEYDWHCPKCLVGTGEFGFEEGGVYSLKQFQEKA NEFKKNYFASKMPFDPVLNTHRRETEDDVEREFWRLVESLTETVEVEYGADIHSTTHG SGFPTVERNPLDPYSFDPWNLNVLPFHGDSLFRHIKTDISGMTVPWVYVGMCFSTFCW HNEDHYAYSANYQHLGATKTWYGIPGAEAAAFEEAMRQAVPELFEGQPDLLFQLVTLM PPDQLRKAGVNVYAVDQRAGQFVLTFPQAYHAGFNHGFNVNEAVNFAPIDWEPWGAMG VERLQAFRRHPCFSHDELLMTAADRDETIETAKWLAPALARTYSREVRERAGFLAWHR EIAPHNCALGTSSTGDCQLKFVTEEEDLPEEDYQCHHCKAYCYLTQFRCHTTGKTICL QHVEIVDCCGQPLSQRLLGPDHTLRYRIGDEDLNILLQKVEERARIPEAWGEKLDKYL EDEPKPQLKVLHNLLNEGEKIPYHLSGLQDLAAFVQRCDKWVEEANNFITRKQQNRKK NEKAWRKGTSKAAQLEERDREVRRVETIYSLLAEADKLSFDCPQMAALEEKTREIEKF RQDVNATLMNPHIRSAQEVEGLVEAGRNFNVEIPEVEGLELILRQMKWNDEARGKRDQ YMTLKECQELVLAGEQLGIMDNNNEHLLFFKDLSRHGESWETKAKELMSVEAVHYQQL EALSAQASRFPVTPETLAAVDAILTKQREAQKRIQSLYERSRDPDFRNRPNYKEVREL MESLEELNSRPTGAIDLEREQKRHEDWMRKGKKLFGKANAPLHILKAHMEYVEKRNSY CFDLEDRYRPPVEPASRDNTPDNILEHNSGISWGGGKSRKRDVFCICRHSEAGMMIEC EVCHEWYHGKCLKIARGKVKEFDKYTCPICDWRQKIPRDAARPKLEDLQDWHAELRDL PFQPEEEQILENILNQAIAFRDFVQSFTMAACTTTEEVPTLIFYLRKIEGAEVLLAYE TNFFRQEIHKWAPVAPEPPPILEQSLSTRKPRPTKQQKIMAQLGIERPEDLPPHLRPK QPTAVKRKSVELQPGRPASLMPPTAQTPGPQTTSAGGPTLTPMSDAQNQHYPFSASYS LPPSDPTPAFTPGSAFLPHAAGQSPSFPPRSPSPHNHGLDNPLFSSPRFNRDHVEGPS AIDVENNNPFGSSPRQNLDEVFADLTNQDVDHEPEPVTEQEPEPEAMENTHVNEALEV LDASNGDDAEPMQLEEQPPADGANGAGKSQPIAEDQPTAEGQPEEDNSTEN ACHE_50699A MTLPRQFAQIPRFRLLYPDPSPIQPLQALTTSLTTNNQTPRVSL YAKREDHSSPLACAGNKYRKLEYLIPDILNPTPPTPNSAINGGATAPGKVTTLVTEGA VQSNHTIQVASIAQRLGLDCVVILHKGTGGGLAAAGDKDAFLRVGNVQVAKLLGAEVR VLEPSPQEKNTDPVIDILGELGAHGKVPYWIPSGASLHPLGGLGYARAAFEIAAQEER ASRNGNLGGSGRYDYIFVACGSGSTVGGLIAGFKLLEKSSQTQAHPPRKVIGILNSPT MPCSYHQERALRFARMAGGLIGLDPEKDITMDDVCLDDRFTGTAYGVLDPESKQYLNM MAQKEGVILDPVYTAKVVRGLVHWVQQGELGRDWAQRAITTHSGQVNALFIHTGGQSA LPAYADIV ACHE_50701S MASKIPSRSLLTFLKEARRAHLQFISSGSPSPIYVVGNPSVDLD SIISAIVYSYFAHNRAHITPIRPHVPLINLSNVAAGSELRRLRPEFVKALWLCTGKSE NEKWEDTPESAGDMLREHIVTVQDFATHLRERNIQEQSADAVMVDWNAMPVRDGQRGR GSLDGLSSVVFQVVGCIDHHVDEDFLPSVGSLPSGQPLVVEQSGSCTSLVVNTLRKTG LWHESDKGDTGEAQVAQLALASILIDTANLTSKDKVTNHDTDAFAFLSLKIHNETNTA HTVTTPYYEQIHKTKQNSLDFLAVDEILIRDYKQWEEKSRGESVRLGFCSTVRSLPWI IRKASDSQRLLDSLRALCDKEGLDIVVVMTAFTSPARDNQFCRELLVYAAEGDKKNEV VKETLNSFVSTANSQLGLTDWFPLEDGVSDALSQDIKSTLNQDESWRRVWVQTNLSMS RKQVAPLLREAVATQ ACHE_50700A MAGLFPSNFVEVLGEDFVPVNRPTSPMVKAGPSPITNPTAAPKK QKTVFRKPFQAHKEALAPSGDLTRNNTTLNSFTASSIPQTPPRNGSLPRSVKPLRTPT SLTKQQSSLSKAPSQSTRPPSRAVSPRPPQDYDAPPLSGPSRQSTARSRPPSRPASPR VPYDAEMTPPAGPVKQSFSGSAPPAPLHSGSPYMPLEPEVPPEVPRRQSIMHSRHSSR SISPHIPYEPEMAPVVPLRQSNSQAMVLARPVSPNVSYESDIPPPVPTRQIMPQRGPS PRPVSPNIPYESDMLPVIPARRSYAQPRPASPSVQYPDDVPPPVPARQHISLPRPPSR EVSPLELQEREESPPPPPPPHRVAVRREPSRESLASRESRTPAPLDMNDRYVAMSRTP SPAARSDANGNTPSPLRDAMEDVMTSLEDMGMSRGQPSPSPSHSQSHSPVFDNPWSPD AYDSYREDKPLQTRGRALTSLGFEREADKDQYHSYNGALTHRNSVYGQDHYMDGPPQL NNYVQRMESRLRQMQEQTRRGSEDVKYPGEDDEDEAPSPPPKNVPYHTRHNSIPVQRR HLRGRRSGQDVHRDILNRSATNKSSTTNSSSGVQSNSTNMTSSTGRTSQSLMSGQSAG GFSATSAGSFNRRGKPTYERPNTSMDLSRSLSRASRPETPVTGISYHSSHNTSRQGAS SAVPWTSTDNKDATNEEPSGVFGGLSTPKAKKQGFFRRIFDSAKTGAANARSSIAVGQ SGGSYSPTKGRPVSPIPSPIRSPKSNKDAAREMGGGSTIDWVQVRRDVNRSSSPSRNE RIERAERCQMLDRPVIYAVEELYETVEGDESIDGLPISEPTNFASANLTLVDKSARFV NSVPPTTNPISLAQGYVCRPYKSDVQRLRAIFTWVSEKIAWDEPVEEEIDIDLRRVLH TKRGSPQEVAYLVKEMCAAVGLHAEVISGYLKSPGELFELDSLSRPNHWWNAVLVDGE WRIMDCSLSNPTNPRRNQFVTHATSTAESWYFLARPIEVCYTHVPLYPEEQHICPPIS PDILLALPTACPPYFKNGLQFPDYDTSILYLEGLEVMQVRLMVPPDVECAAEIEAPAF DCDADGDYFESGEILRKRALVQPDWINGQKRFTIKAVLPGDEGQGMVKVYAGKKGLMH SSRDIPHPLALALPILHTGENPPYDFVLRHPTPHAQRHDLYVMQPQCSKLAINNTFVF AVRQHPASVPSALQGSEVNITGRVSPSPFARPASALSMVSSTAGSSVSGVSHEFSAST SAISSRSGSGREKPAKLAIQAPSGKILRLSRKADHMMGGNSAHSPTDAPGDGSVWETV IKIGERGIWRGLVLADRVARWCVFCEWECI ACHE_50702S MNRQQSRPGPYKKKSAVIKAGLNESCSKIQPHYFNILRNHTNAV EQSHQKSYASGKYLTLAEAARNSAKLDKDDILQYHNFQQFNIHHSYRASTMEANYLRH MSRERRGHKRQRLSSTAESERQASSQNFEISHENSRGSSSQVADNESTTSSRNLRRVA STNILTIEQRRQELELRDLEAEVKRKEEEVRLKQLQNEQLELELMRQRIQIQEQQQTD ACHE_50703S MEGLRRVYLFGDQTGDFDAGLRRLLHVKNNSLVTAFFQKCFYAL RQQITRLPPSQRQIFPRFTSIIDLLARYRESGVNPALESALTCIYELGCFISYYGDLG HAYPSGSDSAIIGLCTGLLPSTAVSCSKTVGELIPVAVETVVLALRLGLCVHSVRKLV DRGTSSSSSWSALVSGISEGEALDKIREFSSQKAIPPSSQPYVSAVSTNGVTISAPPS TLDQLIQTSLSKDHKPVRAPIHGPYHAAHLYDKRDVERVLESWPKDTFSSYVPQIPVL SSNTGSSIEAETIDALLRASVDEILLRQLCWDKVTGSCVSRLKGTACSICTLVPISSS AIQSLHTTLKKAGITNLDVNSALGDIPNEPNGLSTTGRSEHSKIAIIGYSGRFPEATD PEKFWDLLYKGLDVHREVPADRWDIKTHVDPTGKTRNTSKIPYGCWINEPGLFDSRFF NMSPREALQADPAQRIALLTAYEALEMAGFVPDSTPSTQKNRVGIFYGMTSDDYREIN SGQDIDTYFIPGGNRAFTPGRINYFFKFSGPSVSVDTACSSSLAAIHMACNSIWRNDC DSALAGGVNVLTNPDNHAGLDRGHFLSTTGNCNTFDDSADGYCRADGVGTVILKRLED AQADNDPIMGIINGAYTNHSAESVSITRPHSGAQAFIFDKLLNECNVDSKDVSYIEMH GTGTQAGDAVEMQSVLETFAPDYRRGPTQSLHLGSAKANIGHGESASGVTALIKVLLM MQKNQIPPHCGIKTKINHNFPTDLQQRNVHIAFQPTPWNRPQSGKRMTFVNNFSAAGG NTALLLEDGPEAIQRDVQDPRDVHMVTVSARSQSALRNNINALVKYIENSASSFEVNE PNLLANLSYTTTARRFHHSFRVAATGSSLKELSENLAATAHPESFTPVPANAPNAGFV FTGQGAQYTGMGKQLYESCAEFRIAIQHFDHIAQSQGLPSVLPLVDGSVPVEELSPIV VQLGTTCVQMALADHWISLGVEPAFVIGHSLGDYASLNVAGVLTPSDTIYLCGRRAQL LTEQCKIGTHAMLAVKAPLLQLKEHMDEAVHEVACINGPAETVISGLNDDIDNLAQKL SGATIKSTKLKVPFAFHSSQVEPILAGLEEVAQGVTFNKPSIPFVSALLGEVISESNS DVLGAKYLARHCRETVNFLGALEATRHGKLMNDKTVWVEIGSHTVCSGMVKATLGPQT TTVASLRREENTWKVLAKSLSAVYLAGIDLHWRQYHQAFSSLHQVLRLPAYNWDLKNY WIPYTNNFCLTKGTPASAPVEAAPEFTYLTTAAQKIIESRNDKTTATVVIENSVADPE LNRVIQGHKVNGAALCPSSLYADIAQTLGEYLIEKYKPEFKGTGLDVCDVAITKPLIA KTGQELFRVSATANWEGKNVNLQVYSVNSDGKKILEHGTLLVKFFDCSAVQLEWKRQT YLIKRSIERLQESAEEGDAHRMKRGMVYKLFAALVDYDDNFRSIREVILDSEQSEATA LVKFQAPPGNFHRNPFWIDSCGHLSGFVMNANDATDSKNQVFVNHGWDSMRCVKKFLP DVTYRTYVRMQPWQNSIWAGDVWIFDDSDEVVAVFGCVRFQALPRKILDTVLPPAGAA KPAASKPAQRAPVDVKKSNAGVEKKARPQSAKPSGPSLTTRALSILASEVGLSESEMS DDMVFGDYGVDSLLSLTVTGRYREELDLDLESSVFIDQPTVKDFKRFVAQMSPADTSE GSPSESDSSSVHGDSSSTEPSSAGSPGLISPENEKVSQVEMNDSLKEIKTILMEEIGV SEDEITPEANLGEMGMDSLLSLTALGRIRETLDLDLPGDFFIEYQTLDDIATVLDLKP KQAPAPVPVSEPIKFPESVQDMTPKASSSTEIQHPPATSILLQGNPKTATSTLFLFPD GSGSATSYATIPGVSPDVCVYGLNCPYMKTPENLKFGLDEITKPYVKEIRRRQPKGPY SFGGWSAGGVCAYDAARYLILEEGEQVDRLLLLDSPFPIGLEKLPPRLYSFFNSIGLF GDGKTPPPKWLLPHFLSFIDSLDAYKAVPLPFTDPSLGKKIPKTFLVWAKDGVCPNED SPYPPPAEDGSPDPREMTWLLNNRTDLGPNKWDTLVGPENVGGITVIEGANHFTMTQG EAGKELAKFMANAMAN ACHE_50704A MEPHRLTATQALHKIRGNELTVEDYAKSLLKRIERRDSIVKAWA YLDPVQVLNEARRLDRIPVNRRGPLHGLPVGVKDVIYTKDMPTQHNSPLYEGSAPQVD AASVLILRNAGALIFGKTTTTEFSSTTEGPKSRNPHDQSRTPGGSSSGSSAAVADFQV PVSLGTQTGGSTIRPGSFNGIWALKPTWNTISREGQKICSLNFDTLGLFARSVEDLAL LADVFGLEDDEAPLKQFVLKGAKFAVCRTPIWESAGLGTRAALEKAVALLKIHGAQVD DIDLGPDFKDLPQWHATVQSTDGRVSFLPEYRRPKGKDNLGPSVVEQVENTGRYTHAD QLRALDGIAALRPKIDAIAGRYSAILTPSVVDEAPLGFHTGSYVFNNIWTALHVPVIN IPGFQGKQGLPIGLSLIAPRYHDQQLLKVAGEVGRIFESEGGWKSKL ACHE_50705A MWRKRKASSSVPARYTDESRSKRLRNNLIISSSEGEEEDETEDS ETGGSGNDEEWDIKCIVDESDSQYLIDWEGPWSPTWEPKENANDVAVKVWEDRKKQRR SRTRAPSSLLLRAHSQSSQSSASDTSVQSSVIELENTEPAECEVQQPIQQDTPHPRSQ RQGSPLFVPFDAASSDEEDIPLVGSPKTRALRLGSSQRALSSSRVVSSSTQQSRSIPV FEYVRKSPIPQEFILPGESKEPPLNISQATSTSESALFVPGGDNEPHSDSPCVPETDQ QPQRLKEVGVREKANLNRLPFPERTTSKAGKEVEIAETPPALLAAPQTQNTPSRASSR AQERDTGLQAPTQSINIQSNWSQTYSSSGTTSSETYSFQTTITAARTRRATTIPESVI PSQNQGSTDIRSQAGNRCTLPTRDTRLRYSRINTMDGQNQSPRATPTGSVMDKYSHIE GATPREKMRNAYAQLQAKSSLFSQNPEPSATPSSAGDIDSSAPLSVPETAPLSVRHEK EPAHPAQPNISAASFEPPTQMEHSTVQTIQPSALTFSLAQDISPSSVHLGPSEFAIPL PMDSRVKDDYERILADGSQLIRNFVWASEPNANIAASQRWNLVPKVQEILEKLSNVST HPDLNIAEHISESESDLQKEAAWAEYSSAKFLFLGYLVQLASDRDLHLVIMVQGGKTL QILERYLLGKGLTYTRQRQEMGAGTNMEVSMVKGSLSFGIQSTQSEGVIETYKRPSAI ISLDRSFNAKSPSVEHMRTTYARDGSLLPVIRLLVSNTSEHIECCFHEVFGLQHLRLV IQYSVRLRDTVGDLQDNALGVSEDAEEVLSCLQSDNFHANWPLPAIEPLQIFDPEELD SAVNKNQSEANTESEPTSSTQKRGYVEDTETPTSKRQRVDPSQDISQFTAESMKFPSQ TLGTGLQALESNLVQMKTTHAAELEKLRKTLAETRSRLQEREKAMEALQHRYEYRTRE LHKVRQERDRLDQAKTSAEQRSERQKEELTRVKDERTELRHELEKARESLKEGGGNMA ELEKAQEEIRRLTKEQAGLERKAEYEKNQAEYTREQYQNASKVAAQSGNENRQLRDEN EKLKRKVEGDATRLREINKKNDESRHLSRVEELETILASREDLLRRKEEELREIRKNR PSTRSTSTPRSPKWAATSRPGSPGINNNNNSGNGNGLSYGKGSALRFSSEMSL ACHE_50706A MTISKQVSRIAVIGAGPSGLAAVKYLLAEKCFDKIDVFEKRSAA GGVWNYSSRALKKEMPTPVPQLNPNGPLEDPVWGPTGPKDAASEVEFVSPVYDTLEAN LPKELMRFSDKLFPDQEQVLPRHSVVKKYLQEYAEDVKDLIQLETQVQDLRPNPSGPS SWALTTRNLRTGEDKTDSYDAVVVASGHYDVTYLPDISGIREWNKAYPGVISHSKHYD SPPIFRDKKVVVVGSSASAIDIGAQINEVSKGELLVSERSGSYLQPPTTDKIYFPEIV EFLSPASHNRAILFADGRIESEIDAIVFCTGYLYSFPFLSSLEPPVITDGRRTMNVYQ HLFYTYNPTLVFPLMTQRIVPFPFAESQAAVFARVWSGRLSIPSQAEMIAWEKELIAE RGNGTFFHYLPYPQDADNMDLLYNWAASAEKRAGLAEDGAGRLPPLWGDKERWLRQHF PDIRKAFIEQGEARRNIKSVAELGYDYEQWKEQEQGPE ACHE_50707S MDTNNDQPPSSDSGHRTTIPIAQINPDINRLSESSIRATVTLVW PYSSSTKAFSFLLAEPDFRLRRSNGQVKVVFHGLVAEKVAETRVGIGDELVLSLAGSR LDKNETATQTPGRYVTWDVHFDDRVHLEISRSSSHYATVKVDSPVELAPRIEEPAPPV TPVPSRIRPEEPVVTGGLGSWTSPAFSQRSRASFGVDSRLDPFAEDDGFIPGKGRKRP RFSMRSSEWRLIDEPASPDEKGSPVDWTQELEDEIESESEPEQPEPESEVDKEGTAAI VGTPQETPIKTVLSPTAEAIPTTTTSITVDRPTPEPTTDVEQPVQQYAARAAEVRREA YEKEFHVNGLHVPMDTPRLLPIPSPGLPVPSPLVSADNRQGYFSSASAIIAQTSAPQQ STIATQHESTRVEDAAEMRTEVTQTETIGTSVAQTTHESTQISHYVTAPEGPITTKPG DSIAEDEVQLDEGHETVATHVYQDEPSSSPNMEAPVEEEPYIEHIAIQQPEDVQMEDA QQVLADEQHESEEEDDEDSQEEESDEESGIEEIEDESENEGEDDEEEEEGEEKDLADQ AVDMQSREMHIEQYATDGTEGPDKTAQSQAKAYSDLKGQRHHVEEDEEDIEDEIEDEE DEEMDDEEASEGDYESENVYEDDEDVESESESDGQYQARPPQKSTQPEIIVLDSDDED EQPDASFQAQTRAPPTTQGQPATNQEQSIDESHTKREEDDWTSDAQEQIEGDHEVMAE GEYDDERSHYIEEGDSGEDEEALAEVESARDDEQCLHVEDDDDDAMRDKEWPDQIEIV ERTRRIENEQVAELASGQQEQGSLAENKDEQYEQERDEQGGEATTSAEYEIATRPNVG KQPPAGKHHGETHVTEELLQHSEQDLHEHPPTHTPQETTPEAASHQSETIRDDAGSNG RQEEVQDTGEVRIEGTSTIAELDQDKDSGLWYDGARSPRIGHYTTTITAKTLETSDLS LHDRQADDTVETEYSHQISVDHSENEKAITSQEDAPSHEQAEIFAEGDEAMVEAPDVP EANNVLPTTEQGPRSRSASISEHEWAEANEYRVDEDVRAQEGQEPRSPSASISQHEWV EANEYAADEAQEAADKETSLQIQLQDETRIRESIEELDSYTQRPSPDRRYPGLRSKHA YYVPLASLIDHFDAPVDTISVVSETSPIIHSASGKKDYSLTLYLTDPSMVGTTLTTQI FRPYLEALPSLSDGDVILLRNFKVKSFNHHMMLVSVDTSAWAVFSPSQDEAQMTGPSA EYDDEERTHVSYLRSWYQSDGAAMVADNQLQASIVEASREITPMSSVAASDTASLDDF PGRDGRRDSTRRDRRGRRSYRRITIHELRDGRRYTEIGSPSDPESIHELRDGTVYAHL ACHE_50708A MSTYPQIPAPAHTQAESMLSRCFGRETVNYFSSSPLNRLSFLRT EHSFLSAALKHPSARFVLLNSLAPLTKTPSELYLAPYTEVRKLVPEDLFDQSEEETIK NFDSRQTSPLVIFLGMDESRKADSLTWKTYSGTPYFAVDVTPKGSEEQQTNAKDVISA LEAKGLSFLQTRVVMSLSANEAAIYAQARALADWNTRNSFCGTCGSPTLSVNSGTKRA CPSTDAALAAQGKSPEKPACNTRTTISNLSFPRTDPTIIVAVLSADGKRVLLGRSKRF PPNWYSTLAGFIEPAESIEDAVRREVWEEAGVQLSRVVIHSSQPWPYPANLMIGAVAQ VSDPAHEKICLEHDPELEDARWFDVEEVEEALRVGTSPLGAEPGPGYKEGGLRLPPPT AIANQLIKTAVRADYLVPNNARI ACHE_50709S MFARIIDHPDCQDIVVDVGMPVRHRNVHYNCRVIFYNRKIVMIR PKMWLANDGNYREFRHFTPWQRPREIEDYYLEQIVGKITGQYKVPFGDAVISTRDTCL GLETCEELFTPNGPHIPYGLAGVEIISNSSGSHHELRKLDTRVNLISQATKQSGGIYL YANQQGCDGDRLYYDGCAMIVVNGDIVAQGSQFSLNDVEVVTATVDIEEVRTHRSSIS RGMQAARQPPYVRLDLDTRLSRQDKDAEPSLSPTEPFKPRYHAPEEEIALGPACWLWD YLRRSGAAGFFLPLSGGIDSCATAVIVHSMCREVIKAIGQGNEQVIKDTRRLCAEPED STWLPSTSQELCNRIFCTSYMGTQNSSKETRERSKTLSTDIGSYHIDFNFDTVVTALT NLFTVVTNFQPRFKVNGGGKAENAALQNVQARLRMVLSYLFASLLPTVRQRPGGGGLL VLASSNVDECLRGYLTKYDASSADLNPIGSISKVDLKKFIAWARDNFEVPILNEFLHA TPTAELEPITSTYVQSDEADMGVTYAELSTFGYLRKIAKAGPWSMYEKLLHLWGNEYS PREIYEKTRHFFYNYAINRHKMTVLTPSYHAEQYSPEDNRHDLRQFLYPSFTWAYKKM EDSVKYWESKGWTTGKAQKKSVKAD ACHE_50710A MAPDDDSNHNQTPPSNNTSSRSTRQWPDDDNPFVSFRRYADEQI SSMLQSVMGLPSMVTPPVPNRWSIFANDSYNDARRSREKSNSEDGALSRPDNSLDAYR YCNRWWNGFVGFPGFWRSDFDDVFPFGSRFMLPFFTSFDEGFDDTASWPGAYLLFSPY SPLNLEGSSRGGQREGGVFSSFMSSLRIADGNKDAVQNEAEPHWHKAFEDLLRLENGQ QMLERESGTDAVSKKETGMDWLKGMIQRGSLGDGWKWQQSDDDINNGYVMLERGQLPR PSERAAENEHEKRDDMALTEQDLYERFLEGLERREREFSRMFDESRTLRFLLGDPQRK EELLERQRRLPEETDNRAGLDTVIGETNKTPALGISQPLTEQATTEPRVVSTHTNTRR TRMVDGSIHTKTVKTQRFSDGHEETNESVDVVNSPEQRSTSDQSGEENANKGWFWR ACHE_50711A MIKQMRLSLTTTREITTAVARGPRILIRSPHFSTTTIIYPARTR RALLTCNLGNTVPGARFATTTTTTTTTANITAQPFDISSNMATTKKIQLSPQTDIGVW STGVTEDSARMASEVLQEDLEKHHVFFNEMGFHNHIVHHILTIYALGASPSEIKAAYD RNKAYQRPTLPVDESVVQSLHDKAKFKECLGKEKNYPNFLEFFQREIEHKGVEKVLCE YVFSGDENAESMLARLFGGLLHPFIHFGFAIEFNQPALIAEALAQMTVHEQWTGPRFL WPAEKAAGGIGKPGKKTMLQLLEEARADKKLASSVLWEDGNKLRDGVLKRAPEEMIKY ASQYTVSEDQIQEKFAEMVDVSVYFTSASQRPSKEVKFDFFYIHTVNSSVFYSKILAL PFLDTRTKLRLLEWKGRMDLMMYISRNAPELYLDEITRYPIKSDWDTIITQSNRHPYD DGHLAKLVRALRNAENVCRPYEGQEKERGLKITGDSWLKIGNMVSDSVKGKGEKTMWI RSTGFDEAWEQFEDRARL ACHE_50712A MPPKRKATDGGRSRPSKRQTPVADGQDIDSDDDYSDYEEDIRED NLKNVVSKFDLESFSTKKGEPHEDPNFGYKDFSSLALKPDHANRPLWIDPLKGTITLE SFSPLAPQAQDFLTTISEPLSRPTHLHEYRLTGNSLYAAVSVGLQPTDIINFLDRLSK TPLPDTIKSFIIDFTKSYGKIKVVLKHNRFFVESTDPAMLQMLLQDEVIGPQRLQGTE GIIQQAAPKMGGLVIPGTKDAAGVQQTQQEKPAEGNGAALTGRQEDDLLLAIRDDDDD EEQAQVHSFEIPNEAVEPVKARCQAMGCPALEEYDFRNDEINPNLDIDLKPNARIRNY QEKSLSKMFGNGRAKSGIIVLPCGAGKTLVGITAACTIKKGTIILCTSSMSVVQWRNE FIRWSNIDPGDIAVFTSDNKEKFRRSTGIIVSTYSMVSQTRARSYDAQKMMDWIQSRE WGLMILDEVHVVPASMFRKVTSAIATQSKLGLTATLLREDDKIKDLNFLIGPKLYEAN WMELAEQGHIAKVQCAEVWCPMTTEFYSEYMREKSRKAALLYIMNPRKFQACQFLIDY HEKRGDKVIVFSDNVYALERYALKLNKAYIYGGTPQNERLRILENFQHNEQVNTIFLS KIGDTSLDLPEATCLIQISSHYGSRRQEAQRLGRILRAKRRNDEGFNAFFYSLVSKDT DEMFYSSKRQAFLVDQGYAFKVITHLQGIENLNGLAYATPAERRELLQEVMLQNESSA EVENVTDDLFSARSGGAKGAAKKGVKRSAATLSGLAGGEDMAYIEYNKSRNKQLKDKA SHPLFRKWERERQKRKQGMADMKR ACHE_50714S MNTIRSTWIGWGALTVAGGGAYYFAKKSINADRATRYEAEVKKK AQMAALENEHRRQDTLRQSRPNATDDLSPSSQASHDPAATRHEPETEAERVLEKSKYE TAQPFRPPRGNRFS ACHE_50713A MAAQLLRPTIRNFSVHRPQQATRTSIFITRSCLISAVVLPFVPP ALESSREKSMGYPNHNKPNPPLCFHSR ACHE_50715S MASHQLAIAKASFSAGLLRPDPTSVSRDEITAFHSALDQALSHC SYANIQNCKSWLLSYVVSSSNRVGVWAKYLVALSGSLATDDGKPSKTSNKRKRLHILY LLNDLFHHTKYHLDLTAAFSTLTGSCQPYIVELLGYAASYDQEQNPKHHRRLDDLLDI WAENGYYGADYVNKLREVVKNSAVSGPVKTSIDVGENNTHTANQKLSGKEVPFVMPST HGDPTTPYYDLPAGNLVPHIIPNSTVPLRPDSIKPLQFLAGPADEKLVTALKVFMKEV DQIYELEKPAPKDDDEVVDIDELGQTVIRDATTGEIIDGETYYGWSRSFCEQMKKRNT KDTRRSRSRSRSWSRSLSRSQTPPKRRRYSNSPESSDGRRRRRSPGSESRSPVGRRSG LGNERSYSRSASPAARRQSRSRERSYSPPPPTTSRSSFPPPFKRKQFPSAAPPPPPPM HQHGFPPGGPFPGPGMPLPTPPPNYQGAWPPPPPPMPGVPGMMPNPAFPSPFPLQGGG HFPPVHMGGGAPGAPVSLPPGSYHFPPPHSGAGSNPGYQNQQGWGQQGGAAGRGGGRG WR ACHE_50716A MMAASSHPVVPENIPQQTLINESGRPPGPDPGSTTTSRGTTQTQ RPLDPRNNIEDYNRVMLQYTQRRMSTFCDMNDGHGSPSGSSNSSSSSSRSSDASSSLS GDTAVSNPQKEAVDRLQF ACHE_50717A MRLATLVIGLLAAFVSNVTATALTYKLEANEKACFHSYVDQANS KVAFYFAVQSGGSFDVDYSVIAPGEKVVLDGTKERQGDFVFTAQSVGEYRFCFNNEMS TFAEKMVDFEIAVENEERAQLPSRQGSTSPEQASALEESIFKLSAQLSTISRNQKYFR TRENRNFSTVRSTERRIFNFSVVEGLMMVSMAGLQVFVVRFFFQGARKGYV ACHE_50718A MDNPAEPDMLVDEYEQYQNNNDRTDVVVVSRSGSEEPETEPLAN DHAAMMARILPKDPELETLDETYHTWHIKSWRTMNEKEHGPAFQCGGSPWRILFFPYG NHVEYASLYLDHAWEKEPPENWYACVQFALVLSNTNDPSIYTTHVATHRFNADEGDWG FTRFCELRRLFGVPWEGRDVPLVQNDEANITAYVRVVKDPTGVLWHSFQNYDSKKETG MVGLKNQGATCYLNSLLQSLYFTNLFRKAVYQIPTEAESSRNNSAWTLQRLFYSLQTS ENPVSTTELTASFGWESRQIFEQQDVQELSRKLMERLEEKMKGTPAEKALPDMFVGKT KTYISCINVDYESSRVEDFWDIQLNVRGNKTLDDSFRDYIQVETLEGENKYDAGSPYG LQDAKKGVIFESFPPVLHLHLKRFEYDINRDAMMKLNDRHAFPMEFDATPYLSEDADK SEPWIYQLHGVLVHSGDLNAGHYYAYLKPTKDGHWYRFDDDRVIRATEKEVLDENYGG EYELSNGATGVRQPHTRTMSTKRSMNAYMLVYIRKNKLDDVLLPITKDDVPDHIETRL VEERAEFLRRKKEREEAHLYLNVDVLSDETFKSHHGFNLTSVDLPTDDPALPEQYRVL KAKKVSEFAEQLAQEKGLKASQIRFWAMVNRQNKTTRPDQAIRDSEMTLEEAQARFGS KGNTFRVWMEVGQPSADGTVAWPDGANSVLIFLKHFDVMTQDMVGVGAVYVRKNQKVS ELAPTILEKMNWPAGTEFMLFEEIKPSMIDVMKPKQTFQQSEIQDGDVITFQRTVKES ELPPTALYQDARQYYDYLLNKIDVFFAPIRVGEGDEFTLTLSKKMTYDQFSKKVGEHL DVDSTHLRFAPVLASTGKPKPFIRRNPNQATQNLDSILNPHMNGGYGYSLHRTDALYF EVLEMSLSDYESKKCLKVTWLPEGITREQVVEVLVPRDGTLLDLQVGLQKKLNLEEDA IKHLRVFEAHSGKFHREHPQDSKISGINDFVPLYAEKIPDEELNLEEGERVVSAFNFD REPNRPHGVPFKFVVKPVCVHRSIARFASRLTNNIQQGEVFKETKERLSKRTGIKGKN FEKIKFAIVARTLYSSSPRYLEDDDILSDVIGESEDLLGLDHLNKNRSFWNRSESFFI R ACHE_50719S MHLSHPARCWHAFRRRCTSDIARPYSSVTHGLPPWRPVSALDEW VERAIRPISLRQLTFFGRTLTEPRLISSGNYVRTELPTRIAHRLRDIQQLPYVVVSNP HLSLVYELYYKAFERFRTIPEIRTVEDNDKFCDILRTTLKEHLVAIPKLAMGVLECRG LLPPEVIDEFMNTLLRARISRRVIAEQHLALTETFNSPWHFPGSQDRTDMNADFVGEV FLKCNAKEVIERCGKLAQDMMRQSSGSDKIPDICVQGHLNATFPYILSHLEYIIGELL RNSIQAVSEKYKDCSEKPPPIEVLICEAPQHVIMRISDQGGGIARDVLPYLWSFDKGP QSRAHLENLKRVPAMAATMQELTVSKERKHADKETFREGSLDSLTSRPPNLRLGMGLP MSRVYAEYWAGSLEVHSLEGYGVDAFLQISKLGNKNEQVTNRATIDAV ACHE_50720S MAGIFPMFLVILVTLLVPPLGILLISGCNVDLCVNILLTILGYL PGLIHALYLEHVYYSRRNIDPAAAPRKDAPGVYSSRIQHGGRHHQAQRSYGTV ACHE_50721A MHSSLNRAQAVFGFFTTVALFVAGVAALSVLFFPADSVTSEVEL KNVQVIKGRPHYYSSKKEEYAQMRFDLDADLTSLFNWNTKQLFVYVYASYSSSENPSA SLNPLSESIIWDTIIEAPESPYSFNALRERLFPFSTTKRKSTGLSKKKNKKDSAAPGV LRLRGQKSKYQIGDITGKLAEREGVTLSVGWNVQPWVGALWWSPRSGSIPRTGGAAGV SKPFDFPQVKGSNRASTAAAQGQAQKSAF ACHE_50722S MGEHVVFFYGTLMAPQILHRVIHGRPDPEPWQKDLLTFQPAILH GYRRHRVRGADYPGITPATEPQSQSQSQGEEQESTGSTRKASVLGTLVSGLTDGDIHR LDIFEGSEYTRKLVKVRTLRESLSQEQSDDQTNPDGHLRDVLDAAGAEFADEGEEDVN AVAYVYVAGEGRLEDVEWDFETFKRDKMAWWVGADESEW ACHE_50723A MPTTDSDDLRKNHELTGQQRPMRHEPTSNDEKRLFPSYVKKNGG VPIVPGQTVATTKKTKPGQQYSNKPVDRISQNSKQSGPFCARGKKPNAQKDYLTKAID NAASRLQHAPTFEASNRPAKRQRRDSQRAHNNVSSLSDNDDVMEQIAPISSTPPMPEP SSPTSPSRKQTKNRVWEPSEDTIPESVPPDSDHDELFTENAAKERHSSASDIIAFHSK GLNQAPRPGILQSVEIQGPKVAPKMKSTASPSASNRRRSSSDSSDELQGAVTVRPVPS TLPLRKEDVSSASYLRSSPSDIRSTTFSPSGSENKKSRKKRRRGQHEQGHRLDGPSRS FEASQVQFGSVQLRPSSEKAVEVNLDATHLWVVGNTPESSIKQEILLNKIMQVIYGED TSCKIRLGLSKMEGQCNHMDIELITPEVKDELFHLLQGLRIKIKRKPSEWMDRTFKNT EREFACFSNKLKRPSIDSVSESIPEPETETSKRSKISESLQVDDRTIAPLNDADEHPT KSPDVPTDERIGSDDTAHAHPTRVSTSNSIEIPVKIYSPPDPPINRQTRSTMLRADPE PATVICDDDDDEANDFVPQPSARTFAKWERSLLYPRFGKKKAEVDAQDCERLRSDEFL NDNLIGFYMRFLEDHLDRTNKAAAKRVYFFNSYFYATLTNNPKNRKAINYQGVQKWTR SVDIFSYDYIVVPINESAHWYVAVICNLPNLQRILKGTPEADKPLSNDSQEPSSQPGG EVRAVPDMPASSQEVAQGQGGPEEQKANPEPAKEEMARQSMAAMTLHDKMETSGRPAQ ETPASDEDWPEKEENPDPSPVRFTSPPKTRSGAQQTSEDASKPTAWSQKSKKSKKKSR GPRNARDARDPVIITFDSLDLARSPTIRNLRQYLSEEAKSKKGISIDTTLVGGMRARS IPLQSNYSDCGLYLLAYVEKFVQDPDGFVSRLLRGEMDQRTDWPPLSSGVLRQRLRKF LDDLYDEQEQLNREGLGEKRVMADMRPISFLLGEWEPEPAREEDKPDTDAPQTRLSLQ PEKASSQPEKTTQLFPLFLPPLEPENHPEKAPETKASVTGPFQPPSLSLSPGTSTRTG KHKGARMPEAVQSVHDKLTQPAEQKSDGDTVEVPDSQEPEHGTLDLTSPQKKIGAQPP VPGPEKKDDNAVTVDDDNVAVKASPPAPSNDGKKEKEKEAEVQISGTPPPPPVETKST KKKRKKIVGELGVL ACHE_50724A MDNANLWTRRTNSAKLSLSMTGPDGKDGGANLPRSHTSKRFGPD SSHGRSNPFNAISPLSGGVASPSTNASSAFGLGSGAFASFGAPKTPGASSDTGPSKAP GDKRDISTTEQTVTESQRVRGSGAGAKEHPLKSTWVIWYRPPTPKYSDYEKSTIPLAS ISSVESFWSVYAHLKRPSLLPTVSDYHIFKKGIRPVWEDDANKKGGKWIVRLKKGVAD RYWEDLLLAIVGDQFAEAADEVCGAVLSVRSGEDVLSVWTRIDGGRNIKIRETIKRLL SFPADTNIIWKSHDDSIAQRSAIDQARQEKANQHHHNNHNSHHHHLGADRRRTTANDD STGDKGKGTAS ACHE_50725A MAGSRRSPVLRDEWSAANNASDHDRQRPRHSRPYNRDRGRDKHR DRDRPPRPKYGGSNRPLRSPPPSRAFGRPGREGPHKPRDLPIDTPRARSPGPSESGRN IGFRRFSQSSTDHLDYRPWEAEKEPRRDDSPSAPPPSKRKRTRSPSPGRPPHRPPHPP RHPRQHRKHGQGFDRGSFPPKRGRFPGRGRGGRGPPRRGKDRRRNDFGRVGSPARDSL SPGRGRDSFSPPPRRWSRSPHPEDDFERDFRHRSVSRHSARSVNSKVSTLSHRDSRGD LEMNSTRPMRSNFDSARSPSPSRSGIDADDASVSGDGDAPRSRTFKPLVGTRHFETSP QYTTSNSPRPTSPYSSGRGSWGGQPPYNGQQGRSPPSQQNSYPPPPTGPRNQQISYSR PPSQSPYHGGHSGYRGGYQNQGRRFSGSNGGPSPRGRGQFDDLQWAPTHPRNWNGPHS PHTASGQPSQSPPPPPPPDDRNSPRFKEGGHPFQPVSRDHPLETEGPKPTPEQNDTQR MPPPGPHPTNAPTGPSKGGKISFAFKAKPTPAPVPKPVPDLAQRMQPREPPRIAEPPP PRRMMSGPPPRFKPDPRFDRRGGRDRDRDRDRDRDRGRHDRRDFRESRGGFREPRGGR RGDDRRFDRFDRRRGDKRPDFRPGRRRTRSPDRDRGWGPEPEPGFEREPEPEPEPEPE PEPEPRKQVKIMTRPKPRPTLPEEFAQSDSVYYRKPGNESVIGAGTYGKVFKAIHIYT QQKVALKKIRMEGEKDGFPVTAVREIKLLQHLRSHNVVSLLEVMVERNECFMVFEYLA HDLTGLINHPTFTLTAAHKKDLAKQMFEGLNYLHHRGVLHRDIKAANILISNTGLLKY ADFGLARFFSKSRQLDYTNRVITIWYRPPELLLGETRYGPAVDVWSAACVYVEMFTKK AVFPGEGGEISQLDKLYNALGTPTRAEWPDIVEMPWFELMRPAERKQRIFEEQYGTVL SPAALDLVSNIFQYDPAKRPTAEEVLAHPYFVSEEPKPQQAFEYVFFFSLSIYSLVLV TNHFLRLEKIEGDWHEFESKALRKEKDREARRAAEYQKEKRKAASSVSQAERDPKRVK PDFGGEHPPAPAPADV ACHE_50726A MPQTRNVREVLEASEILLQMCDFNHSQPSAQSDQRVPAADSGGN ATQGTSPAASETTGGNTRANTRALMRTAAMPNANQPRARPVIGQQGSHHRVKSAPASN ANQKMSQLAVPEQRLIPMNANQSLQAYLIHQQRIAGIAPQDMISMPDQQQNTQSQPGP QPGGQGNTPSLVQFSREGSVVFPQVAPARFDGVLLPPPSSTAQASGAPQSVRFGATSD PANYATGRTVQSMNENMDPRCYLAGREECKFGASHPHSPTTNSVQLPWLQSLNNTPAN RPRHGAASQGTRVRRHFNPYLNFPGVLTSFPQLLARVIDLFELDDFLNLYAASKRFYS YVKANPEATITRFAFKRFGDTAQIFAHPCYQKFTVYSRGPRPENIPGQVLEIRPSPRW LKMLDSREKVVNDIMNLFDQSGYTLTSRCVGVLKKIWFLMDIPDNRRRTFAVQNKYLW SDNEIFYAVFIFAQIESRFTHNVSGTPRSGFRRLLLGQKGLTLLRDALKGTALRTNYE VLREFIRWKYVPTPDEANMFLFGVPAGEHGLLQYEYYGRTANREKLQRPDDLLLREMA RRQLDMGAMYAWVYVQSEMDPSLKRDYQLEPETSFLHLILYEAKKNSDEPSNWWKDAV VDNYCEGSI ACHE_50727A MASGSPPEGPKPKSAIPPHVLNGSSPLHLSSETQSAGDSNGINA NREDQNGPTPAVRSSGSSRPTLNDPPRDPRDEGGPLTPPATVSRPASPYTLAPPIDFD GLSWPCPGTRERLESTPEQVEERVQKLAGAVRTIFECIGEDPEREGLRETPERYAKAM MYFTKGYEENVRDLVNGAVFHEDHDELVIVKDIEVFSLCEHHMVPFTGKMHIGYIPDR RVLGLSKLARLAEMFSRRLQVQERLTKQVALAISEVLKPRGVGVVMESSHLCMVMRGV QKTSSTTTTSCMLGCMRSSAKTREEFLTLLHR ACHE_50728A MDNYEEGFEEQEEREEERTEEKIINEEYKTWKKNAPFLYDMILS TALEWPTLTTQWLPDKQEVPDKPYSTHRLLIGTHTSSDAQNYLQIAHVQLPNPTAPNP DDYDEERGEIGGYGGSSKKAPMEIKFNIVQKIDHKGEVNKARYQPQNPNVIATMCTDG RVMIWDRSKHPSLPTGTVNPQMELLGHTKEGFGLSWSPHVAGHLATGSEDKTVRLWDL TTYTKGNKALKPSRTFTHHSSIVNDVQHHPLHSSLIGTVSDDITLQIVDVREADTTRA AAASAEGQHRDAINTIAFNPAAETVLATGSADKTIGLWDLRNLKSKLHALEFHNDSVT SISWHPFEESVLASASYDRKIMFWDLSRAGEEQSPEDAQDGPPELLFMHGGHTNRISD FNWNLNDPWVLCSAAEDNLLQVWKVSDAIVGKDLEDVPTEELEP ACHE_50729S MGVPKFFRWLSERYPAISMLIAENRIPEFDNLYLDMNGIIHNCT HKDSDSPTFRMTEDQMFIAIFNYIEHLYGKIKPKKLFFMAVDGVAPRAKMNQQRARRF RTALDAEVAKEKAISQGMEMPKEDAFDSNCITPGTEFMAKLTEQLKYFVNKKISEDKD WQGVDIVLSGHEVPGEGEHKIMEYIRRSKAQPGYDSNLRHCLYGLDADLIMLGLLSHD PHFCLLREEVTFGRQVQKKPKELEHQNFYLLHICMVREYLELEFQELEQEGVLGFHFD MERVIDDFILMAFFVGNDFLPNLPNLHINEGALALMFKIYKDILPKMGGYINEQGVIN RERLGMLVNALSDVEYRFFEAEYSDAQWIRAKKNEGVPEPNGKPKSLTVTPAQKKLFK DVKKYVLNRPEKAVEPKPLDLPSTLPARDRKFLEQLAEDLRLPWTTVPDEHGDRFLRL QLPASENDDDDDEEDEEASMAVHRIIRKYDNAKVEELSPEEAQEAAEKKYEMKFQEWK NNYYQSKFGWGLESHEEMRELTENYFQGLQWVLYYYYRGIASWPWFFRYHYAPMISDV KHGLNADVNFNLGQPFRPFDQLMGVLPDRSKKIVPPAYRDLMTSPESPIIDFYPRDFE LDMNGKKMEWEAVVKIPFIEERRLLDALDKRENLLTPEEKTRNDFGVSLKFTYSPDVQ FIYPSSLPGVFPEIPHCHCIENVFDLPTMDGLEPYIGLVEGVQLGAAALAGFPSLKTL PHVGQLGFHGVTVFQQESRNESMVITLLEPGSRSTSELAKSKLGKRVFVGYPFLQEAF VVRVSDELFDYTLPEGSPYVVATPHSPPEIERWSKKADRIEGVYSKRLGTIIGPVEAM VHVQMLKGLLKTDEGATMKEFADIPGLETDYALQLIVDEVVNPDERFIEREALPIEEE FPEGSRAFFLGDFNYGRPVHITGHDDGKVNGMIASVKGRDPEFGKERAREAEKFCPYM PSYAIAKSLHLNPLVLAKITSSFSVDIEGQRVNLGLNLKFEAKKQKVLGYSRRGDSGW EFSPKAVNLLQQYMINFPEFIAGIQANPQRDRYQPTDFYPEEVALQKMKDIRDWLKSI EAKSFERVPLEAEQLDSDVVKVIEQDADAYNQNLPPMLPKKIRGVPRSALLRPSDVEQ RLGNQSFKLGDRVVYAQDSGKVPIATRGTVIGLTRTPRALLLDVVFDATFMSGTTLGD RCSPFRGQTVLASSVLNVSYRQLIASTRAAASQQAQSHISPLTTAGYGTPLGPNGQGQ LRSAPAPPPLKSSYRGAVAGNGHAPRGRGRGGPQQTSLPFRPHPNNGVPPTGPRGNGR GRGSYGRGGYHALDNRNPDEGIFKNNPNFQPKNYSQVPPPASVDVRGRGRGRGGFRGA FRGRGAPRGRGAAAAGDS ACHE_50730A MLEDFGLGTWHWAIAFALILGVFVLYEPNGDLIDVPTVKYSRYL PAFFNRVIFYSVGSQLIDEGYEKYKDTPFRILKADGDLIVLPAKYIDELRNVGPSELS SLDAQYNNVLGKYTNVLVESRLPSFTVTKRLNPAIGRLVPKLIDELQYAFDIEVPKCD EWTPINAYNMLLGILTRATSRIICGDSICRNEKWLETVTNYTINVGITVVLLRPFPKL LRPLVAKFLPSVRKLNEQVKFVKQELFIPMINARRHEEKHNPNYQKPDDFLQWMMDAA DNPRDADADFMAHNLFIIMSLAVVHTSSMLMTHMLYDLIEMPEYLEPLREEIQATLAN GWTNAKQSSFISQHRMDSFMKEVQRFNPTGEVSMHRIVRCPVVLTGGLVLPRGAHICF AAGPLSRDPNIISEPTPFSGLRWSTTPEIKTNSFVSIGPHNMHFGFGRQACPGRFFAS VTIKAIMSRIIAEYEFKYEGERNERPANVRIGEQIMPNMETRIVMRRREGVVA ACHE_50731A MKTDFKFSNLLGTVYRKGNLLFTPDGTCLLSPVGNRVTVFDLVH NTSYTLPFAHRTNIDRLDLSPKGNLLLSVDENGRAILTNLYRRIVIHHFSFKGRVAAL KFSPSGRFFAVGVGRRLQFWHTPSTPGTDTNGELEFAPFVLHRDLAGHFDAIEHLEWS SDSRFVLTASKDLTARIWSLDPEEGFEPTTLAGHRQGVKGVYFSADQEAIYTVSQDGA LFRWEYVAKKDPETMEDISDARWRIVKKDYFMQQDAKVNCATFHAATGLLIVGFSNGL FGLYDLPDFNPIHLLSVSQSNIDYVTINKSGEWLALGSSKHGQLLVWEWQSESYILKQ QGHLDSMNAIAYSPDSQKIVTASDDGKIKVWDVKSGFCIVTFTEHTSGVTACEFAKKG SVLYTSSLDGSVRAWDLIRYRNFRTFTAPSRLSFSSLAVDPSGEVVCAGSPDSFDIHV WSVQTGQLLDQLSGHEGPIVSLAFAADGSHLVSGSWDRTVRIWSIFGRSQTSEPLQLM SDVLSVAFRPDGKQVAASTLDGQLSFWSVEDAVQQGGVDGRRDVSGGRKVSDRRTAAT AEGTKSFNRITYSADGSCILAGGNSKYICLYDVRTGSLVKKFTVSINTSLDGTQEFLN SRDLTEAGPRGLIDEAGEASDLEDRIDRALPGAKRGDAGARRTRPEVRVTSVDFAPTG RAFCAASTEGLLIYSLDTEFIFDPFDLDIDITPSTILSTLENAKKASKADIADDDATF LKALVMAFRLNESKLIRAVYEGIPPSEIPHVVRSLPTVYLPRLLRFVAYAAEETPHLE FNLLWFESILSSHGRYLKDNSGTFAQELRAVQRAIDDIRDNLKKLTERNAYNLKYLLS QPVLAKKKPSAPLLSQIEDGNDVNGNDENMADADEDDGEDEWIGLE ACHE_50732S MAPTTETASPIGIANLPNQRHKIVAKRGAAFTIMVAGESGLGKT TFINTLFSTTIKNYADHKRRHQKQIDRTVEIEITKAELEEKFFKVRLTVIDTPGFGDY VNNRDSWQPIIEFLDDQHESYMLQEQQPRRTDKIDMRVHACLYFIRPTGHTLKPLDIE VMKRLSSRVNLIPVIAKADTLSPADLARYKTRVQNVIEAQGIKIYTPPIEEDDEHAAA HARSLMAAMPYAVIGSEKDVKTHDNRVVKGRQYAWGVAEVENEDHCDFKKLRSILIRT HMLDLIHTTEEQHYEAYRAQQMETRKFGEARPRKLDNPKFKEEEESLRKRFTEQVKVE EQRFRQWEQKLIAERDRLNKDLEATHAAIKSLEQEIEHLQGPSTRSHGRR ACHE_50733S MASSPQLQLHRRKLTKLPGPVNAITFSSSPGAYLLTGSSDRSIH LSRAVPSNTNTYPAESTSPIQRYDAHGYSVLDIAVTADNARFASVGGDRAVFLWDVEQ GITTRRWSGHNARIEAVQFAGEGDAVVVSGSADTTINLWDARASSPKPLQTLPEATDT VSTIHAHMPSYSIVSGSYDGRVRIYDIRMGQTTVDVLGAPVTSVRCSGDGNAVLVSTL DGRVRVLDRGNGKLLKAFPGEKEKEGKGPVYRNEELRIRSVFAVNDGVVLCGSEAEKG GEDKGAWGGRFVQAGSQSQSQPRKQAQAYVFAWDVLSGEVIARVPAGEGVKAVSCVAW NEKRGQWAGGCSDGTVKVYG ACHE_50734A MAILRSLTTVVEVQEDRLALVHIPLDLYPFFLKPILQVFFHEVS PLDDDRETIVEINVDNGPSLEDNTGTATPEQPAFLNISITPVECSVMCPRKLADQYFA PLVEGFRAVSSESDSLSITRDDFIAMQVYGEGLEAGQRVLELTSPLAMAGISIFFVST YFSDYIIVPYKSKTQVIEVLENRGFQFEISTESFANSHNHSQFDRCFSPMSSRSPSSM GSPPSTPPPSTLDELQTRTFTSLHKNHILPSVDRSLRLVQCAAHHRYTSDASSISILR EALTTTLLVDNPRFLSLTLTAADPAASLLLEKRLLPRFFSTADSTSEPNDDETSLLLG SKEDILVPIMLDLRKLPLEATGIVCGVASRLAEATHSDNNDDSRALHQSTNASTSTTS IAALSSNSRSTIHSSSFDSYIKNVFSSSAGSTNCTPSSIGNGGPVRLPYTHANTSMPM HRLQPDFDNSVDTAVEISFLSTARAGTILVGEYELIRAVDALEAESREQKELEDGLIQ GFED ACHE_50735A MTSIAEGLFKSLPKPKYTGEEEELPQHAQPRGPRVVGADQIDET QVVLRRTGPPPYGNRTGWRPRSAEDFGDGGAFPEILVAQYPLDMGRKGTASKSNALAV QVDAEGKVKYDAIARRGHSDDRVVHASFKDLIPLRQRVDMGEVSLDRPSEEEVNEQME KTKNALANLVEGAVAAQKPKNVRGGKRAEPTFVRYTPANQMGDSSSGNGSGKNDRIMK IVERQQDPMEPPKFKHKKIPRGPPSPPPPVMHSPPRKLTAEDQEAWKIPPPVSNWKNP KGYTVPLDKRLAADGRGLQDVTINDKFAQFAEALFTADRHAREEVRLRAQMQQKLAEK EKAQKEEHLRSLAQKAREQRAGSNVGGTARREARSRSRSRSRSISRSPSAYSSRSATP GEDESAARERERMRRERRQDAERQLRQSRMGTERRIQHMAREQNRDISEKVALGLAKP TQSSEAMWDSRLFNQTSGLSAGFNEDNPYDKPLFAAQDAINSIYRPRAQVDVDDEESA DTEMSKIQKSSRFEVLGKAKEGFRGATDEAREGPVQFEKDTADPFGIDSMIADVTGGA GQKRYGIQEANQEDRGSKRARVDDD ACHE_50736A MSDESYTTLQTYPQPPDDEDDYYALLGLPRNPPPSDPEIRSAYR TLTFSFHPDKQPSHLREAAQHHFERIHEAYETLIDARKRTVYDILGAEGVRAEWSATG TMGGGAGGKREVGVKAKSPEEFRRWFLELMRKRERVAVNSMVQSRGAVTLGVDASDMV ELSEDGTEFFVHVPEVKTGSFAVGYNFKAPFPTWEGVLGKIVKEDGDDDDDEKEEGKE KNAYDEALFKPELTINAGISGPVEHLVQEAQLRYEDGREGSAEVGHTPRLERRMRLTG VQIPLRPMMSTPEVTLGATVSRVFGDMTSTKGILGKWPFTYLSNSGTAVNAHILPFPF VTTSITKAVAPVPGAYPFRVMFSSAFMNWPHMTPPTVSLGAQKQISKRKHAFVSWSSG TLSWPRPIHRFLNPGDEMGINAGLAMAAFQQASSLNVGIISEPSRSQKVISLEDDEDD EEDVEFQELRENKRKADQAAESWRVQIQATPAQGALVFGYGRNLFSGKPANEPVRSEW TSEGYYGVPAKPETQSVRLEVEANVTLDMGLAFSVEGVRKVGEYTRMGLGVGLQGAQG LALTVSWSRLGQKLKLPITICTYDNINWDIGLAAVIFPWLTYCAVEFGFLRPRERKKR RRLVARKQKQLRRQIPKKKADSAQAIELMAEQVQRRQAREEAQNGLVITKAQYGYMSS GNRRVKGYDPKDYEVVDVTIPVAALVDRGQLVIPRETHKFQLLGFHDPAPLLPKTLKV WYRYHGKEHYVEASDTEGISCPMRVHLR ACHE_50737A MPNYSVGPFGRMLPVIDGPPSEQSEQKSRPSREPMGSLYQLPPP RAPIPLRFGTDSFLRQHTPTERPESGTGRRWEDTGPRKEQLPSVSQLLTPVSLSSASS PYDRRPGRDIQSAYSAHHHDHSLASSAPSAPIFQRSTYQQSRSLPPITNPPVRDQEDE QRSHPGTYEALSELPLHHDRLSHLDATPPNREPDSDLRPSTATTENGPQAPPVQPHVV DERYIDGEGLCYVYADGSYCPKIIDGVPVNANWGITKAGKPRKRLAQACLTCREKKIK CHPNLPKCDQCQKSARECRFENAPRGNRAASKASQLASRHDTMFHESPRASSSIGTAQ TLERSTSLPLTAAYTPESDTTMGTPSAWDHIQRSDGESELPHSSKKRRLSRGSIGKDG FSKRSLVSIMESQRQSDYAEILFAMKDLDPDDPLVREWSTDPYEAEPESTTYHLENYF NCVNDSLYPIFPRKRFFLWVKSCRTKSLDDKMLLYWMLAMGCVFSDRPDKAATLKKYS RTARYAVEHTQNTLTLQLAQSRIIMSLWYYAIGAVLKAWDCVGAAIRTVSGLNYNFES LGVIVDQNQGCEYCLHPQALIECRRRTFWVAYLMDRFSSFNSPFIPSQAAFLRLPCRE ETYEAQQYATVPYFRNKAPSCADDISVLSPLAFLIDILSLWGDVSDQGFKLFMTSAEA YNDILEAFYASIVERCDQWNRKLPDELAITAENIERSIRTKKVNILLLNHMIYHDTLL KLNRYVRYEDLRAVTVDRFICRARHHAVEILQICLTFLQYVSEHGSSRSGAELKSFQT MVFNPFVGYVVLSAADVLSAAGPITGLFDSISLIRGGLDMVRGLSRHWQGMFPLASLI ETRLHAMVESLSSRPDKLGFAMDSTSLEAAARSPTPIARQCSLKTQQLNKQDLLYGGL PRERLLIALGVDASSKDNILWIQENSYQ ACHE_50738A MASRPTVTIATADGKPSGATHPLPAVFTSPIRLDIVQQVHTGMA KNKRQPYAVSEKAGEQTSAESWGTGRAVARIPRVSGGGTHRAGQAAFGNQCRSGRMFA PTKVWRKWHQKINVGQRRFATASALAASSVPALLFARGHRVANVPEVPLVVDSKTFEG ASLTKTKAAIALLQALGAGSDLAKVQQSKKMRAGKGKLRNRRFRQRRGPLVIYNPETD GKELVRAFRNIPGVETCSVHALNLLQLAPGGHLGRFIVWSSAAFQALDQVYGTTSTPS ALKKDYLLPQNVVANADLTRLINSSEIQSVLNAPKGYARTKREGVQKKNPLRNKQVML RLNPYAAAFSKEKLGQKGVEHGKPERASAAFVKTIRED ACHE_50739A MVFKMSVLATALLGASAVNAHLLMTSPAPYGADSLNNSPLDASG SDFPCKQRTGVYDAAKSDNAYSVGETVQIKLEGSAVHGGGSCQVSLTTDEKPTKDSNW YVIKSIEGGCPVDTSGNLGNNPSNIIDNPSLNFTIPDIDAGKYTMAWTWFNRVGNREM YMNCAPLTVQGGSSSKRSEEEKRSTNYPGMFVANVNGCSTPESVDIRFPDPGDDVAYL GQASNLQQEGESACNGSPSFSGGKGGSIGSPSSSGSGSGSSASSEPSPVVSASLGLSL GLGGGSAPTGGAYAPQAASASQVSAPAPTQSAAPAAESSASSSDSTSNSGSSDSGSSS SSSSGSGSGASGSCTLGQYNCLDGSSFQQCVQKDAETTEWGTSQQMAAGVTCTVGVSS DLGVQAAKLKPRMNSMRFGKRAHGGHRHA ACHE_50740A MPSLDTLQNSDLAEEIEAINAIYDPDTVSINTTPSAATTATLDL GSAGSAAPIASSIKLQLPNHPNLSFIIGFESSYPESRPRVLGTASTGARGEGKLAVDV VEDIVRRIHQPGAVCLFDIINEAVEAFEELNMGCNKEEEEEQKEGEAAATNDLAPEEF ATLSLRDSFGIDSPPDWILSEVVTEKKSIFVGRAAHVTSLAQAQAYLDHLLATEKKVA AATHNISAWRIREKRSANNGKGEEEEMIVQDSDDDGETAAGGRLLHLMQLMDVWDVVV VVTRWYGGIHLGPDRFRIINAVGRDALIRGGWDNKKESGGNEKGKKKGKK ACHE_50741S MYGEGNVESRVYIPPILDAEEDRSADPDASEELLHPHDEESGPN YLPVWLQESSKSFHWGWVPLPLRKVGRATANWVKGPNPSHPLLLNPLFPQFQELPVRY LERFFPKRKHKISLLLGLYVTWFLPWFIVLLHSRSSGYIEGYGAPQTLACDTTFWEFG NGCGLNGNDCRPFTASNVPFRCPANCRSAKLTMPHTVGNETYNYQPLVVGGPDPETSS PATYRADSFVCQAAIHSGAISNSVGGCGVVRLEGAAHNFPPSKQHGIESAGFPSTFPK AFTFADLSSSQATCPKDPRWPLLGVTVAAVAVLWLFCTSPPVLFFSTFFMVFSHTGLV SDPPSYPYFADLASALLSRMLPASFVAYVLYKFCAQPLLSPLSLPDYQLTKLFLYLPP LFFATLNNYTFAKLIPLERLTPHDIQKQPGAKFALAIVIPTVVCIILSQAWQIRQGGL MPRYLKIYGTMGIILLILLPLPGLRLRIHHYILAILLMPGTAFPTRPSLIYQGLLLGL FINGVARWGFASIIETPASLGEIAPGPEGPHGWWGATYPNITDSSVLIHLPDGGSGEK YRGNGNITFALWEHERMAKFGVDGVSVLVNDVERWRGYLDEDKLGEFTWHRHGHSGLE LLHQSTIEDDAEPDQFGVNMADEPDDDNKPEDIFFRFAFLKGAEAGGYGGAGVWLSDG TWVSPDPPEV ACHE_50742A MEPEEPESEPKEGLDTRRACDQCRLRKIRCDKRTPCANCRTSKI VCRSTGAGQKPPEPRRRVLISSQYEQKIDLIEERLASIEDALRDLKSTITSRTSDNSA PTTATAAAAEANPAIEPLYQPTATPTPRQIHITPTTPSYPSRTTTTAAALDQHESSHP FEGNSSMAAHSAYASEFLETAVSQSALQVSSPRIGAALSTLKQIVSMQDHQAHPSSSR EVRLPNQKAIRGTGLQDLAMPPMELVLRLCRWVKESPPTIFEGYFPFISVDKFVQKCR EVYFAIDQYSDATFIVVNGGLYNVFIEWSFITKHHETREECQKYLSLCRKNLETALVN LNLLMPARAESIEALALGAIHAIEISKPSFAWTLTSTAARLCQTLGYHRASSMEHDTP STQQEKMGLFWSIYCLDKALSLRLGRSSAIQDYDISLPMNFESSTVVQPWKTIHKLWI RLASIQGKVYEFLYSPAALAQPEEDRVSHARQLAEEMQCTVMDPFKKFTTEHESTFSK LQDYYLSSDRVSRFSVLTLIYRAIPPPPDSPSAFTPHCIETARAALQAHQDCMISIKE SNEILKCSYMHWTIFYAPFIPFIVLICHILEVPIPNAPSPTSPNQSSASPAGGCGGVT DLSRLTDFVLSLHPLTAHSEAIANLHRLCQVLCSVAKLYVEAKAQAARGQSRRNGGDD EVLASVGQEFDVYLSALGLAPPGVGDGAVDGGGSGSGAEGTGGGMSDVVMDSGVSQTT QLGNWFSGNQYMMGLLEEDLSLIDFSGWS ACHE_50743A MPPKSPLPLCEEWTDPDAYVDELLSFITSSDLFRHVCGGVHMQD FLTRQPDLYTSLLPESWRTFFDKHEMQDILHLLLREDICALRDRGRNESANRERQDGW NGGPSPPADLVEYIYQIRRLSLGRDFIPAQSTIPTIPRHISVGMNMKKYHEVAHFSDY VDSLCNTVAKQRGEEISHIVDFGSGQNYLGRTLASQPYNRHIIAIERRHQYIRGAKGF DVHAKLSEKQVVFYNKKEDSCKVCKDSEEPAVQNPNVPPQAMEIVQSTPGESAPEPVN GDDDDVTVVNVFRDVSLEPGEFGFKPADKGAHAPKPKKPVVDEEALKPGGAMDYIEHE IKDGYLEPIIKDVVESPPDAESDSQVAKVTKNATDPNVMVISLHSCGNLLHHGVRSLV LNPSVKAIAMIGCCYNLVTERLGPATYKLPILRSLHPRLTKEALAYDPHGFPMSKRLE NFEHDSGKGVKVNITARSMSLQAPYNWSRPESENFFTRHFYRTLLQRILVDRKIVSRP SVPTDLYEASPSKEDAGNPLVVGSLRKSAFVSFSAYVRAATAKLTRDPQFGEKVRAGM EGLTEEDLDRYEKDFQQAKKQLSITWSLMAFSASLIEAVIVVDRWQFLREHEEVKDAW VEPVFDYAESPRNLAVIGIKK ACHE_50744S MVPTLCLVNRATYDSIKVLEPHICGWFMRRHQITGFDRVLRLDR RTSRPWPLTVHSLLRFERRRDIAQRISRHIVPAVWGPFSDDDEDLEMNFEAELRLAQR IERGLYALFHMSDIAQDMERSKQRKRKPLAPVAIARLIALSQTFEEYDDLPEQKRQSV SFENYAGHVYKVLKWGATEADIGRKRLEFRCHLGKEREIDLHVALRMMRELTEKMLLR HGPSDWYRDTKNEYSVISWFLLRQSPRTLEKLFLSDPDQCCDIEGRLGIVNGVRVCHF ADPLDNYWKAWKEDPQLGCQDCDCKRRARSWSVKPALIDARGREHNIAAEKYLKEMWN QRHVGLHRAFMTGQFTTFL ACHE_50745S MDPSDPFLQVQGDVLNTLQASRPLFSSYIRIRSLAKSPQNPELQ QARSELETTLSELSADLDDLVESVRAVELDPYRYGLELEEVSRRRGLVNDVGREVEKM RAELQKAITDTTTTIPGAAGDSSRLPLPEDFDQDLPPEERDDDYYAAMEEQRQAELMH EQDEQLDGVFRTVGHLREQANDMGRELESQAVMLGEVDTLADRVGGKLQGGMSRLKHI VRQNEDTMSSFCIAALIFILVLLLILIIAL ACHE_50746A MSAGQEKPLAERIEVPGVSKEAAKKISHLEQEFIRAEVEQLRQS VPLLQPLYEKRNKLITTPEIQNDFWIRVFSNAPADIDEYVLPSDAAILGQALKNLTVE RFEVDEKGQGEPRSLRFTFEFQTGDENPFFENEKLVKEFYWRTQVTKNAAGKRRTWEG LVSEPVRINWKEGKDLTKGLLDAACDLAEAEKKGGDRKKLPEFEKLVNKIGEVQTEAA AAAAEDDEDDEDPESPAGVSFFAFFGYRGRSVSAEESKQGVKEEEERWAKIQKGEAVD DDDDDDDDDEDDDEDDLEFAEVFPDGEDLAISIAEDLWPNALKYFVQSYEIAEDLEEL DDEDWDDEEDDDDEEERPH ACHE_50747A MSSTRWSGSQLPGLKSCSIRLGPYQDDHVQTMIKQTVDQATGKQ PVGCCFTKFFDFDFTAEASTVQRYIFLILPPTRHSLPKPKSPSRYVFYAQLSSLSTFK GQISISLPSLH ACHE_50748S MASLIQDSIAGHAIRFLSKGRVFKYAEERDPSLWKQYTNKEKSS RMAYHGRMDSIGEADGITDGVTPRSSRSSRIESMGRIPSSAWSDRGNRFQGLTGMRIN PEEGKDIHLIDWWDDKDQENPQNWPMWKKLFVTFEICLLTFSVHIGSAIFTPGIPTVI QDFGVSQVAATLGLTLFVGGYGLGPLLWSPMSEIPQIGRNPVYIATLIVFVALQVPTA LAGNFGTLLAFRFLTGLFGSPALATGGATIADMYKPSKRAYGIGIWGLSAVCGPVLGP LVGGFAAQAKRWRWTIWELMWLSGFALAMLIVFLPETSSANILYRRARRLRKITGRNN LMSEPEIESEGLSRREMAMIILVRPFTLNFLEPMVFLLNLYIALIYGLLYVWFESFEI VFVGIYHFNLGQQGLAYIGILVGAIITIPPYYWWMNKYLEPKFDENGNIRPEARLPPA CFGGCFIPICLFWFGWSARPDIHWIMPIVGSGFFSVGAFLLFNSVLNYLPDAYPTYAA SVLAGNDLFRSAFGAGFPLFASAMYHNLGVDWASSTLAFLSIVFIPIPWVLMRYGEVL RKKYSRYARKDI ACHE_50749S MPQTSWWEWSQGVVSSLQHSIFSLIKPSQGNNADLLRAYIKEQG EEIFRNNPWALTAAIEDFASTGKHLMIFREPKLKIAREALESMLTKPKLIIDFGTYVG NSAIAWGAILQGIHGPNAAEQGCRVYTFELDPIMVQSSRDLVQLAGLDGIVHVLEGPA SESLRKLYDEGKVTSGCVDMAFIDHWEKYYLPDLQLCEELGVFHKGSLVIADNTDFPG APKYLEYVRSGGSGSVRYESRGYKSETKRGPSVVEISTVVDV ACHE_50750A MAPGLVETTLPYINGTSKQHTDRPREVWGTSLPTRKLSPHEDVH YDTGLKPRAHRITSGSFTSKILFLNVQILDSTGAQPYPGDVLIQGERISYVGTVPGVE KLRVDPSVKVIQGNGRTLMSGLGDAHTHLTWNGGALDNLGDLGIEEHTLCTARSAMMY LDSGYTMCFGAASAKDRLDSVVRDAINQGILPGPRYLANAREIARRGGELAAEITAFA DGPLEMREIIRSHAKIGVDQIKLSMSGEDMVDTRPANDCYFTDDEVAACVDEAHRHGL RVCSHARSRDSIAQCIKHGVDVIYHASYMDEETMDALEKNKHKHVVAPGLNWLYATLH DAAPFGISYTQAEKTGYKKELEAAIRGCKEMHERGITVLPGGDYGFAWTPHGTYARDL EHFVKLLDYTPMETIIAATAGVARLFMQERELGKIQPGYYADCILVDGNPLNDISILQ DHDRLNVIMINGRIHKASPKDFAQQLTSAPAIEEAVPQKDHFSNFITFLDEHGKQRVG HLDLGTMRVTQLVMPSGAPVSSLYQVIELKNEVTPVGEPTSVEEVRILPPFSDRDILA VGKNYAEHAAEFNKSGYDSSDKIDQPSHPVMFTKRSTSIIASGEEIYSHPEFTESLDY EGEIGVVLGRSGYQIPEEEAMDYVWGYTIINDVTARERQRDHKQFYIGKSADTFCPMG PIAVPAEYLPKKLRVQTFVNGEKRQDATTDDLIFSIPTLIKTLSEGTTLRAGDVIATG TPAGVGFGQSPVQFLRPGDRVEVEVTGLGRLQNVVGAESEKLSNGLIPSESKSHLPDL NVETTAGGAGLTEIGGKQMNIQKIGNGPETAIFVHGLGGTSEYFTPFVKSRDFESRFT SYVYDLEGHGLTPTNIASQVTIGSYADDLANIIDFTSITTPITLIAHSMGCLVAITYA LRDPSRIKNLILMGPVSTPLPPPAQQALTARAQAVRTKGLLGSGTADTVSDAGTSSAT KMFQPVAYTAVRSSLLNTNPEGYAKACTALVGCEALAIEKLTMPVLVMTGDEDKTASV QAVTANVHERLADSRLEILKCTGHWHVYENPEGVSRAIRSFLA ACHE_50751S MRICSMDYPPSENAPRFAGSVYPRERHKNDNLPFIPAAQVQREI GTEGTRLWVVIDDIVYDVTEFSKQHPGGQIPLRNFAGKSCSWQFHQIHSLKTLQKYEG LRVGWTENVLNPYQKPKPQVVRPLWSQQFW ACHE_50752S MCGISVRISLRPSDGSDAPKKPDVESIKGQLDRSLDLIAHRGPD SKGIWVSDDGSVGEIFFSLFPSENSAKSCIVGLGHCRLSIEDLSDMGNQPLHSDDGGV HAVINGEIYDHERIRAELEQNHGYHFKGHSDSEVVVALYTVYGAPAFLDHLRGEFSLV IYDQRQGRIIATRDRFGIKPLFWTIIDQEDERVLLFATEMKTFLAMGWKPKWDVQSAF QAGWLIDDRSLFKGVKKLMPGYWMEITLLDGQLRRHLYWDSDYNDKRQVEIRTVEEMI EGVREKLTEAIRLRLRADVPVGIYLSGGIDSSLIAGIVAKLAREENVTLGSKKEQVTC FSIEFPNSPFDEFDVAKRTADWLGVDIVKCKADEAAFAEHFENAVYHCEYQTFDLGPT GKTMLSSIPREAGFKVVLTGEGSDEHFAGYPFTPRDFLLEPDLSWPTSTLAQDTERLN QIQRAAAELFDRQIAALGVKGDAFRWDETSQVYHQANRVYMPNTLLGLSGATFPIWAP WVVEKWSGLDLRETVIRAMSPTAREKILNQWHPLHTSLYITTKAFLNNYILVSLGDRT EMAHSIEARPPFLDHNFVEYVNRLPPSLKLRCTSDLDSNADQQEPQFIEKWILREAGK PFIPEEIYNRRKQPYMAPVKWPLNGPLHQMFQRLCTKEAVENLGFVDWAPVERALQHA FGPDAEQGPFRLLICVAGWVVLSQRFGVERATEAEWVDSPLYDPWCDF ACHE_50753S MPSGLVARILRMIRRPRMHTPFNRQFPSRLLSCLTTFLPAGARP HAARKSAPPSHKTASDDSFVHVEAPTPEEIEEQQPQQEEPEPEPEPEEDPQMIRDLLR KEALDGLETVICAEQVKRQLKAISQWVQICRRHGEDPRKDWYNMVFQGNPGTGKRTVA QIYAKLLYAIDILDANESRIISATDLVAEGPGAINRLVQDIVEAAPPAAQTAGVLIIT DPYQLTTLQKTQAGRQALESLMHGMERPVGKIIVVFVGCQEEVANFLRQNTQLQRRIC CSINFADLDEAELLRIAGAAMTQKYGGRMRVEGGLEGQYMQVAVRRLAGGRAEGGFTN SHAVEDLLASITHRHARRLSEIPDAELDESDYFFLSKEDLLGPTPAQIKARSEAWVAL EQLIGQDNAKASVAEVLDTAEENYWREVRNQRPLPLRLNRIFAGPPGTGKTTVAKLYG QILADFGLLSSGDATVITPAGVRGDQGLSDILKSTVGKTLIINDSAISPGTDQQLQSR TVILDALYADMSSSDPTNRCVIFTGSESNIDAISRHADKPFSSLFAPKFIVRFEAFTR PQLEQIMQRKLQEQDLFVTPEAMQTAMDALEATRMRQSFENARAIDTLLTSANRNFEV RTARVGTPMFQSDRMLEPEDVAANAGDINVSIKNALQDVVADCIIAELERYQKEIKIS WLMGRDPCERVPCALVFKGPCGTGKVTVAKQLAKIYYDMGILESDQLVECSATDIVGQ RPGETSAKSRAQLDRGLGKVLLVEEAHRLVEGETTGELVDEFAYLLPKYASKMVVILA GPQAEMDTLLSNRHNLSSLFQEEIIFKNRTPRECIRLLDRRLDEEKVGGARPFLRDPQ SPDYVEFTRAFQMLMLYPCWSNARDVNVLARWMVSESLKEVTLEAAQSGNLELSITPD QAMSCMVRMFNVKRDRLKLNQDTKSKSVPRMLSQPRSVSRGSVRFPY ACHE_50754A MDVHFKKDIPGQPDGNANDSVQGSTANAFNPALYLTCGPLLRFT GLVNNIWRGSILIVTDDEQSTYTPVPSVTLSKTTTPVTDLKKLSRTGRTLALKPATEV QKGVDYSTFHGEGGLFRPESQAQSQDPPPNLTELDGEEEQGDLFKLDAVQIHTERGVT FWRFDLSIPQDTGPMQICYRLNRTPCAQHFWIPAVTENMHIMFQSCNGFSLGVDTSLY SGPDPLWRDVLRKHTSSPSNENPNTTSTNNKNNGNPTPFHLMLGGGDQLYTDESRLSS PHFSHWTEMATLAKLRSQFTNTMRDELESFFLHRYSWWFSQGLFSVAAAHIPSVNIWD DHDIIDGYGSYPHRTMESDVFKGLGGVAWRYYMLFQHHVAPGAAARGTGSGSGSGGGD TAETGGNDGSWIVGGATGPYIAQVSRSVFVRLGPGVVFLGLDCRTERTRSRIVCRETY DVVFERLRREITSDTKHLLILLGVPIIYPRLSILETLFSSRLMAPLKALGRAGLLSPV LFNKFDGGVEILDDLDDHWTTRHHRAERQFLIESLQKLASERNIRVTFLGGDVHLGAV GEFFTPSPSERKHRVRFWKRRDEPCPRKERDGRYMACVISSAIANRPPAGRVADILNR VDRGRTHYVNKRTGEKMVGIFGVDVDGGRRRNRHLLPRRNYCEIWDREEVSVSVDGGE GVDGIGEKTGEGGLDVRLHFEMETGDPAGWTRAYGLQIPELQLND ACHE_50755A MKTIAVVNATGRQAASLIRVASAVGYLVRAQVHSLKGIIAQELQ SLPNVTLFQGPLLDNDPLMDTLFHGAQLAFINTTSQAGDEVAIGRALADAAKRAGTIQ HYIYSSMPDHSVHGPWPPVPLWAPKFTVENYVRQLELPATFVYAGIYNNNFTSLPYPL FQMELMSDGSFEWHAPFDPDVPLPWLDAEHDVGPTLLQIFKDGPKRWLGHRIALTFET LSPNQVCAAFSRALNRPCRYIRDSIEIKVNIPPGYREQLEALAIVFGECNAPYFPQPE FSQPAAGSPKGLGPAGGKGAGAGMMQGPGGVISLRVTDEARELWEGWRDMEEYAREVF PIEEEANGLDWML ACHE_50756A MTEEIESRVLLIMTGGTICMRQSPNGFVPARDFQEHCLAPMPTF NDGSPSTSIEVIVDNADGKGHEAKDYTSLRTPQTAYGRKVRYTVYEFPELLDSSSIDA KGWTEIAQTIERNYTHFDGFVVLHGTDSLAYTCSALSFMLQNLGKPVVLTGSQSPMLE LQNDATENLLGSLVVAGHFMIPEVCLYFNNRLFRGNRCIKVAASDFAAFESPNYAPLA VTNSTRTNVNWEIVRRPRGIGAFGIRTDLDTRHVACLRIFPGIQPEMVDAVLRLEGLR GLVLETFGAGNAPHGQDNAMTKVLADAIGRGIVIVNVTQCLTGSVSPVYAPGMSLSRA GVVAGLDMTTEAALTKLAYLLAIPECTPESIAKDMAVSLRGELTEISQPVFRHPDGEL SERAQTLTMLGYAIARGDLQRVEVIVKMEHHWLLNDADYSGNTPIHIASTSPSLEVLE YLLRQGGSVHLRNNQNRTPLFLAANAGMGEHVKLLRQSGAHLHSDERAAAEVLAQPRP EIWGEAGLYE ACHE_50757A MSDLDIEKKPSVKPASSENASGADDNDAMKLAEMGYTQDLQRNF SVLSLVGIAFCMSNSWFGISASLITGISSGGTVLLVYGLIWISFVSLCVGSSLSELAS AMPNAGGQYFWASQLAPRKYARFASYLTGWFGYAGAIFACASVALSLAQGVVGMWKLG HPDFTIEAWHTVVVYQLINFVCYLFNCWGKTLPLVAKATLYISLISFFVILITVPACA SSHQSGHYVFAQFVNSTGWKSDGMAFIVGLINPNWIFACLDSATHMAEEVPQPERNIP IAILATVGIGFTTSWFYCISMFFSLKDLSKLINSATEVPILELYYQALDNTAGAIVLE TLLVVTGMGCLIACHTWQSRLVWSFARDRGVPGHQWISKVNKTLDVPLVSHSVSCFIV GVLGLLYLGSSTAFNSMVTACITLLYISYTTPILCLWYRGRNNIRHGPFWLGTWGLVA NIVTLLWTVFCLVMYSFPSTMPVSTGNMNYVCAVYGVVVFILLVDWFVRGRRSYRGVQ ESQGEGVLLNQSHCH ACHE_50758S MTRSSRLNVGFVSYLAAFAGMADAFWRMPCRGRTGLARLDPLMD PGKPSYHVHTVHGSGAFSMDATGDILKTADCTSCAVTQDKSAYWTPALYFMHENGTAQ IVEEVGGMLAYYLLYGDNVQAFPEGFRMVAGDPFQRNFTWPIPDPPKSEWTGDQASQA ALKQKALGFNCLNYNKQAEASLGRHFLPNKTYLDEHCTDGVRFEMMFPSCWNGKDVDS DDHASHVAYPSLVMDGTCPEGFEKRIVSLFFETIWNTYAFKDYEGYFVLSTGDPTGFG YHGDFMHGWDQDTLEAAVKQCTNPSGEIQDCPLFDIQSESDEQQCKFEMPDELKDEEE EVEICTTGLVNGLAVEWGPQYAFPISYTTSTSASAPGVSVSASLGLDLNIGGLFGNLF AGSSSATPTPSAEPSSSTPVADSSNAAANLVASTPQSTSTPSSTPTPTPTPTSTTPTW TPTPTSSFISGVVQEDTVIYAQEVQVLVDQDNKPIETETGSLVTLSTSTTTTTDVIST AVSMVTEAPRKRHYHGRHHRRNNF ACHE_50759S MDPNEDTPPEEQPAPSGEQDDLTDQPQSLIEVNPAQPVLFCDVH LSQRDVRNWSKKDAFRHFYVNWREGMNQVFQFTVRPSIHHTENNDFVYVNTAQSHQTD PSWGFILFNKEKGILSFNIRDGLKLRRYLDQGQLSVSSNNLLKAAMVMTLAGYQVRFE SRENQWDFFLSEAGSFCFTRGSSTEGAFLASLNQLSNENAPPEGISAQDLAITIGPGE SGTHRRVSHEQLIKWLGITIEITNFAQPRQIICTPYGDLIYDPDFVNRIFINGIQVSN PKVGSFLLGYHFRDDELVSDTGALVLSDNEARLRTLIWETAIGVNVHNAKVFIAFLRV SPGALDVRSVGRFLGNESVKRIWEVLVEDLGTDKIFCQETDDFDEVKRRTNREIAVIS SALWSILRAPLLIRTWSEELLHKASPAEPALDTPFAITTKRAFDALLQIDPITANLTI TWVDYPLYPPLVHADAKARRMALSKYWLHFICAHTLSPCRLSDLARQTKVRYNYLQCD HIVLAMHSKIVELISQRSCDLTPVYWATAVQKMAAEKLEEMPRCIEVVGDTLDPSRLF VTWELGLSQQTVRFVQSGYHVVLHRGDCVVALTQLVHNGEVDTSSLTAPCGCPQKVVG RQETQATFEGLSPMETHIPVVSLNAKNSIYASVTKPSTPSQPTAASPAQQETDPPEIE SIRREHLFKFNENWQKTTFPVLFAQFTPRTGITGSGSELIGAFPPVPVAVLESSRLNF RFERCQYVSVQAHSGVGEGDVEQFILYIHGIHVPGAMNLLHGEGRLTVTAFSFLRTTS LCLFHLGLSSLAQSQGKEDVGLRELLLHYQNFDEMGYRQDAIIFPIESIVSVEAINPA TLGPFEAVQYTVDPPDPSRTGYYCRFAVRCNGPPDSAVMMPVASHLLTHSKRWPEPRY ISGVPSVIDLTPGCLGPAEGFAQAGARIDAAMGFDSVRDCTWKARYPYTKVYDGRIET VLNDLKRKRLNQPPCDPRSQAPRIILLSLPEREKALQQWDRSLEDAEIFRIMPLIISA FTQRPDFLVLSLPPWILVERAWLELFKGLWTLLISRYAIHMRRVSFTDYGLARDGTML VIVASCVPTPIPWDTIFATTATTTGKPNPKATVQSVIKDLSFSNPRKTTTEHTTNTTF STALICKNPQTAADVYNHQTLTYNTPSTNPLPQDHNHTLNTPLSLPRLSTTTHPLTLP PAPHPAGPVFFPFFPAAIRPIPTLPSEYAQPVKNPRGGDLLSVREIARVQGFPDDFVF LGPVGWQRSEVLEAWPVLVGRLVAVGVREVVREFAGVVGVLGMSVGGNGNANLVQGVG AGASTGVSAGANVNANVNLNTGKSANSNAAASTSGQQKQGPAQRGKKRNRES ACHE_50760S MSMTSTDCAVIRDGFPRPNPNTPTNVLEQLSLKGKTIVITGAAD GIGYAVAEAMAEAGGDVALWYNSNGAAIAKAEYLGKTHGVKAIAYKVDVSNYDNVQQT MNAVVNDFGKIDTFIANAGMAISKPLLEQTLDEYRKQMSVNVDGVLYCAKAAGAIFSA QRTGNLIITSSMSAHIVNVPVDQPVYNATKAFVTHLGKSLAREWRDFARVNIVSPGFF DTKMGASPQTVQEAYRMAALGRQGDTKEIKGLYLYLASGASSYMTGSDVVIDGGYTLP ACHE_50761A MSTKVFLTGITGYIGGDAFYYIQQNHHDIEFSALIRTEDKARKV QDQYPSVRVVIGELDDSDKLTKEAAWADVVIHTADASDHAGAANAISKGLIEGHSPER PGYWLHTGGTGILTYFDSDVRKVAGEHDDKVFNDWDKVHELVNLPAAAFHRNVDEIVL KAGTEHADRVKTAIVCPPTIYGRGRGPISGRGRQVYELTSFILKEKYSPQIGKGLARW NNVHVHDLSTLFDALLRAAVDPARTDDGEIWGAKGYFLCENGEHVWGDVSRLIGQQCF KSGYFAEEPRSQAFSLDEAVKSPAGFEAASWGWNSRGKALRGNKVLGWKAQERSLEDE VPEIIRSEAARLGL ACHE_50762A MSGYTEPLAHLGPAEEGVATWMLYARSMLTTWPCKDNSQWFIGV IPSQLKQADLSLWKHADCETINQVYGDYYHPYAPTTKFRDVVVQSERVVASDVFQEIG FPAMSNGRIALLGDAAHAMTSFFGQGACQAIEDATELANVLVEAYQQQNTQQEKKQRP PFEAAFQKDAVRGWSAGPPCRLQLYADLGMEVGFAVAAWVSA ACHE_50763A MDGPIGDKNEKPRGAKDRFQMWTPIILHPLVLIAFAILFAIFLA VTEVLYQYSNKHQGLSTIDQKYHYLWTYAPTAVFLIVAGFWGQVEYRSKQLAPWHSMN QRVQPASQSLLLDYITPWNVISMFRSVKNKHWAVGLSVLGSLLITLLTVFSTGLFMLD SVRLQNIPTTLKASAQFNSGGYDSKMVDGVPALSVAGAGRFNLSYPTGTTDKYAFSPF NASDTDLGTNTVITGMVDLFSAHLECEQGKLANWTTYNITGDGTGGPYSIQPSSDLTL SSPSCSTNPFQASLFAIPSHNSTSADVFFEHCTGSGQTGSRVIFVSARLLRAGPKYAI SDMHPVFCKPTYTISKGLVSLFASNQSVARLLPLINETSRSNAIPGIGSSEIIASLNS SLVGAEKPVSGFPTGNTSISHLGTLYRLANMSSPFDAESLFEPSSFQNISTGTYQSIV AQIARQHFMTPSDSQFTGTYSATKQRLIVRELSVRAMEAILAALILVAAVMLVCRPVR STPRDPGPLSGLAAILCRSSHLTDRLDGTQNKKNMKARLTGGLYVGEATNQNGEKTFR IEAKYQGKNDSQPADTNVTISWWHSISRWWQIVSILLPILIIAGLEAAYQESHRHDGL GNVTSDGYIQYVWVYIPALVMLLVRIFTNGIHSSSMILQPYLELKRGAATASSIMENH LSKITLYSFCCALFKKQFVLAASALSVIIAPILTIAVSGLYSTEYATVPRSVSIMASD SFNQYGGPAYGDETGSPLIGLLIVARNMSYPAWTYDELITPILREGSLTDRDGDIPYQ PSNKTSDDFALLDLAIPALRVNANCTAFPREKISLKQFPAGGSSKWELQMNFGPDCQA YYGTRMTVQSDNPNATQTVFGQFTDDIAFFGDYCPNMAVLYGTLTPNNSTSGVHGFTC KPYANQVEANTTFAYPGLQIQSMTVDESTMKPFTGFTVPGITSSMPNITNSAGNKAFD SFFSIMMSIQGTLNTSDIVNPDAMPSVINATQHLYRVLVAQYLNVDARTAPTASIPYN GTISDPTRVRLVQSEISTRILEGCLAAMTVCSLIAVFGTRTRKILPINPCSLAGATTL LAGSDILKSDVFPPGSEWYNDKEMTRRGILNGLIFGLGWWDRKRYGIDIGKPAETLCD G ACHE_50764A MARDFLAVPASGVGVENLFSTARDVCHYRRNRLAPETIEAIMIQ MSADRFELKREYISVEDGDNDEQNDVGYVDFNVELDVNYISDEEDLGGFEDDDRDRWA DDDEEDGLSLPPLQSYQRPSAIHSPSMNAEAHSTTSQSEVINPHPQSATTRPRRVIHE PGYFQRLENGK ACHE_50765A MAVIGYFISADFRFCEALLGFSPLEGSHSGDRLGSVLLKILEKH DLSHRLLGITTDNAGNNGTMFVYITDSLAQTLDPDVAHGLQDALNTHDFFEHAMDSGL QAILSTPHHLPCLTHVIQLAVNAFLRELKIDAKNDDVVGIRWNDDDKNLREKGLTRTL EKCDMLMPALNVGKAFDDISEWIHTILKHLVFF ACHE_50766A MAPPKKQSKTPASTSKARKGADDVDPAPEAPQANEQSGNGGLLQ KLIHDDRMVTQLDSAYLGSVVVDIRALSHNERNRAIDERFIEKLSEAFKCGVRRFAQE DRLKVTTTSKMLETVLADHVTETTTLMDLHKSLTRKTSDPNELIHIQVLPEGTTFELR NGQHRVSAMLKILQEAIERADAGEDITRPEVHDYLWAIDLYDDDKMTEDTLAALMANR EVMHHSNSDGYNAVQILGRLESVPEKERGEIVRGSTFSDWVQTLFGLNLTHTARMGSV ISHEGFQPYVFRYGMTRYGERRFTWTLGGKMVSSKLDFIWFREFDKFLEFTTKIFGHT AHLVRCEDWELILSVEAGQPDYPLRLLFYPRREDYWLNNKKRKNPWPLPPNYRNEQLP RLSSPYQTNDYRFDWRRPGFLKDLSEEDYHFIFSRLMENRNLPCPCWNDWCNLEKAVD KVKRILRHIAIWIDPDWTYPAAASHDLKDFQWDLEIQDLLFGDKNFDRPFSLDADRLR LARNFIDELVRQVQTDGFWKDPKLNDLLKPPPDTLASASHSAAYFERFLHPNWAAIIQ HVVKSAGPVLTNAMSAYNDLGFLISNQMPYSPWGPVIGRTLKQNQAVCRTPSMLNKNE EEDLVQQGEIFGALWHYRSLKSKMLETISWGLKGGKKRPENLIGCEAEYEAAVVVLKD QAQVLERYGYTHAINNFSEDLSAFSLDRSEPAAITIKETPGFLKCRPKMFTSQAEEIQ AKIKEHNRSIQKNSVIRQSETQKRKRNETEDLEPGEGVEPEENTEATDNEN ACHE_50767A MRGFLQSSALFISLALETQAQFTHETRFSTTFGLASQNKTFDYV IVGGGTAGLTTAMRLSENNTASVAVIEAGGFYQMAGNLTTIPGYESEYQEAPPSIDWM IRTTNQSNLEGRSVLYPQGKCFGGSSARNGMAYQRGTASSYDLWAKAIGDDSYRFDQV LPYFQKSVQFDSSQTAFLETTMKNSDSFQTYPGTLAKQVLFDGAKRATGVRVDTAGTE YVLSAKKEVILAAGVFRTPQLLMASGVGPAQKLEGLNIPLVSNLSGVGQNLLDNPGYG TLYPVNAVSQHRLWSNSTYAAEAHDQFYKTRSGPLTTFASNYILWENLPNTTAQTATT STHLPTFPPDWPDIQYIFNAAGTTTTETADYISIGVVVLKATSRGQVGISSADTADNP LVDVNWLNTKSDQKMLVEGLRRVRVFANATGVLAGEEILPGPDVQSDGEILNWVRSAA TPSHHAVGTCKMGRSNDTSAVVDSAGRVLGGISGLRVVDASVMPVLPPGQPMSTVCEY DF ACHE_50768S MPPIRSESLRKSAEQEGRVELAIQALKKREIRTITEAARQFDVP RTTLRNRVSGRGYRTATRANSHKLTQNEEDSLKQWILSLDARGAAPRHDMVREMANIL LSKRGDTQPQLVGVNWVYHFVKRSPELSTRFSRRYDYQRALNEDPKAIQQWFDTLWEV KTEYGISDDDIYNFDETGFAMGLTSTSRVVTRAEYYGKRKLLQPGNREWVTAIECISR NDALPPVIIFKGKVFIQGWFSTAPPEWRFHMSPNGWTTDQISLDWLQNHFVPHIQKRQ GGAWKLLVMDGHGSHLTAQFDAICKENKIIPLCMPSHSSHLLQPLDIGCFSTIKKAYG QYIQSKLRSGIHSIDKHDFLNAYIQARLTAYKTDTISNSWVAAGIIPYNPEQVLKNIT IQLRTPTPPLAVAVRAMCLILHRGPLIFIAKHLRSIPYYGKDQKHPQKHFWTKYSK ACHE_50769A MPEPQPPAPPRHQDLGVEGLRQLHDQGTMDLWREYQRQYDFDAR RHDESRRDFLALRTAILESIPSNHRLGLDPSLSIREIIRTQHSSFQQSVQSRLQELNQ KYELRKAPGKNKSVDKWFQEWRDFLTDARNCPNYSINKMQALIHFHGAIQPIIPMFAA IRSAATINCSEDQIGLQNEIHQFEQQYVIHKAQSRK ACHE_50770A MGVNEAPEKETPEKENTETPKEDTIKKQLEESQKANENDTQALF DIYVYDETSNTAKMEKFVNLGSIKNIEGSKLKDIRSMLISQNALTFRQKGSSFCNKVG AQANEDLSFTEYMESLGRGAEASTDTKGGDEDQSVNTASTQGSKTGPKKEVFAVYLKS RRLPTEVDETTKEFMKQKLELELKQAELGATVRPDLLTSSYNHGDFMASPAGGSVTHP ADMTQRDWNVVIQTNSLLNGSYVRQPSAGVPKKVERAMYPAFQLKPRLFRDYEVALDS KDIKPPEQMLRIPRYRVEDDSYVEVSENKSSVASAIASSSLSEIAAEVAVGGGAFGYS AGAKASYKNEEKSADSTSSRQDENHMTITYNFPRVVVQLDEDSLELSEECAADLKHVK DSASLEAFKTKYGTFFACRVELGGRLHSSENSKALGSGSVEEKSKAMKVAASLSFSSP YVQAAASASYGNSNANKTENSSSSLNSSMTWEAKGGDTLLCNNPPAWCSTVASFYNWR VAKQENLLSIEELISRTDGNKAVKPLFDSIMTPTKDKPESPKVAEGSHVVCFLGKGTS QYMTMREEPGPDHTIGSLVGNEVGELQAQRRSWSVTPAQNAWLTGLQTKTCRPLVMSE GRYTGSQNFELHGLINTSTGRKRLQDGASYKVWNKFANGWIQGSECCPGLYEKSFLHE NAEQGAAYIKVRSVTPFEASDVLRQEDEVILEMYDESGTLLGPVKELSDKALFREPPG NVLGTDRSGGAKVFILRFQD ACHE_50771A MLNEHVDVRGDHAKLARETGAKSTVLLKSVNKTLPLTGKEKLTA TFGEDAGPNINGPNSCKFRTCDSGTLAVGWGSGAPEFTNLITPDTAIQNKFVKYGGAY ESILTNWAPAEQIDILARRADVSLVFVNSNSGEGQVFENNYGDRNNLTLWKNGEELVK RVASSCPNTAVVVHSTGAVILEDIKQNPNVTALLWAGLPGDLLRFRNIIC ACHE_50772A MYGVIPALVLALAGHAQAEAKFNNTNLFPDVTYPGYESDNPVTI QGSNSLQGSLPKYPSPWGESQGDWADAYRKATDFPSAQPGGEGQSDHGHWVGHGPMYS PNGVRLTDFASMYPSGVSLAATWSRELVETVGFAMGEEHRGKGVDMQLGPVVGPMGRA PEAGRSWEGFSPDPHLTGMLGSEVIRGMHRAGVMSSLKHAVAYEQGHFRLPDEAVESG WNITQPYSTNIDDITMHELYLWPFAEGIRAGAASNSYVLNHLIKNELGFQGFIISDWY ATWSGVSSILAGLDMSMPGDPEMQDKNSGRSFWGANLTVAVLTGSVPTWRLDDAATRV MAA ACHE_50773A MPRVWFITGSSRGLGLAIAKAALDAGDSVIATARKPEQVTGLVK SYSSERVLPLSLDVSNNEQVIKCVNAGHEKFSRIDVVVNNAGYANTASVEDIDVHDFR TQLNANFYGTVYVSKAVIPILRQQKSGHIFQISSIGGRVGTPGLSAYQSAKWAIGGFS TVLAQEVAPFGVKVTVVEPGSMKTDFAGSSMDIPEVSEPYQSTVGCFAQIIRQISGSE LSIPSKVADIIIKVLEEDEPPLRLLVGADAVEYAGKTAEALAASDEKWRELSVSSA ACHE_50774A MHVILTGATGLVGSTTLLALMSMKDIAKISILSRRPVPMLDAMK DERVEVIIHDNFEKYDSTLLDRLRGANGCVWALGISQNAVGKEEYIRITKSMTLEAAQ AFGKIKSDSKNFSFVFVSGEGATMEPGFFTPLFGRVKGETEIALMELEKKIPHLRPVI VRPAMVDYEDHDELQQWIPSATGLKRLAESILGPIKRSSVKTMHSPTKPFGGISDGII G ACHE_50775S MDNSSTNADEAAHACASYKINELQRRISELEKCVVQSSSQKLCT PSRTEEHSRIPCTKPLPSPDLSVQAYYLDSELWSSCRFPDYAKTNIFAPEQISRILGS QFDIDVMKTHYFESIHTWMPIVSKIRLNRLVQRTEGPCKADIALLLLCMKLVQEVTHE QQTEPSELYVIAKQFSSELELKGILTLRMVQAGLLLSVYELGHGIFPAAFTTISYCAR QGVALGLHNKSAPQFLGGPRSWVEWEERQRVWWMVVILDRYVTVGAGHRPLCTEDPSK DTILPADDGAWDSGEIVPPERVCLSSLTGSVSSFARLAQASNLLGRVIRHCNDTALEL EFVLDNYEMLCQTLYSLVELLSHDFTTTIETSIASTICFSALLKLSNYHSCNLFNEEG QYLEHNAAPRIRECMQRCFQINKDICGRVVSFAQGLSQSLTQPAMQRVSPLVLHCIYD CTANLSWMTLETDNAQYAAGKLVCENILRSVNGRWRTAGVYLELLRIGDMAQDESN ACHE_50776A MVFPGKRSTGCHVCRKRKIKPECQHCISRGMQCPGYPDPLTFRE YRMTRAAARDRGQSKLMIVGREKEQPEPGAAGAESASAREPQRALSEPPPSPTISSPK PRTGPALSLEWQAVCYFTHHHVLKVHKSPCRGFLAFFPELYKEKGDDLCLKHAVLSVA SLSLFNASQVGQLYVNARRHYGSAIKSLYNALKSNETAVTDEVFAASLFLNVFTDLSG ETSHGLNPHIPGTHSLLQLRDKSQLNTKYGRELFGWAILQVQTQAIANNEFRYGTLPE CIRKMYKPDNIYRAGIIIDMVAQQCYSISEMRATLSNPQTPYPSPSSSPPSSVLSTVP TYTITCGIIHRLLSQAHCLIDEIDTWHEAVPNHWKVQYHDLLTNDATGVKRDEWTTCF LATILSTQVIFYTHLIDFCDLLTEKEISFDHNHCFEGPIDLFTGLEGRIQVLLQMICS TVSFLLGKLDEDGKFQASSNAKLVNGYVLRLPMRTVLGSRFASAEQVKLCEGALDYVG ETVIGNGLIQE ACHE_50777S MNLLYLLGLSALSTAFSPINNTNHIFNAIHSSMRQWGSSLNHNG MSFFLASVPEGTTLYHGSSHPNTLIETGYVAFDPEHALVFARPPHHPKHALHDGQVQQ KIVTSKHGDPMEDQAGWLHTYRTTKELRLVYVDGMSAGKSHIGTLDSQDRILFNDTIP SGGVSQETERAKAVCRISADEWDNRIDGVIRMAAGFEIILCAPEENLVAERVTKSWIH KPKHHGDHKPQQGKPGELLRVVTSRYNGIGGDRVKVNYDHFVSVYDSDLNVFSANSSH STQPRLTHLSKASIQKIRQRLTDLVMDHDVHGKVNWQAIADMTVQQYGRRLQDLVSSP RFRTMESLKAEIVQMWEAFVDLDYSSADVEIQRCTNRFIPHAASKTSLAHNVTYTINE QICSTFATVLYDDHDHTIAIGRLQELIDYLSWTVWKECRDCRDDEFCAIPIWPQGSLE DYEDPRCQRYYSAYAGENDYWGPIFH ACHE_50778A MMKNTLLSLSLFLIGSMAASDPSCQHGDAITTYTVKSNDTIFSI ADRYNTTVCDISRYNHIADPQMLYTGEELYIPPQRCAHSDPSHSCLLTNQNATNTCVN GGPHTYTIFQGDTIRKIALAKFNITIEALNSTAGHMTYGASGDIDAEIESGLLIKVPQ CYPSRCEYRPYYYTWGTYKDLAIKYNTTPGHIFALNPSFNHSETGPGVGGWITLPMNC GSLSDNVTVVS ACHE_50779S MGMFNYFTTISLLLYQVAATSTPTAQTLNGTYSGLYSQSFNQDF FLGIPYLQAPVGNRRFHPADSLTSAWNGTVAATSYAPSCVGYDTSVDVSEMAEDCLYL NVIRPHAVSDSAKLPVVVFLHGGGFWGGSASESRYNLSYIVDQSTKLGQPILAISFNY RLSAWGFMYSNEVRDTGATNIGLRDQRFALAWIQENIAGFGGDPAKVTLWGQDAGASS IGFQITAYEGRNDSLLRAAIMESGNPTPIQGLNGTQYYQPMYDAIVQRVSPSAAYAAE NGMSGSNTCFEATDRMNCLRNVSFSDINEAINSTNPRGWFPVIDGNIVTQQASRSLFT KKYLGVPIILGANTDEGSFYMPDSKITTDAEFVDLITNPQSYNVAPGIALPKVLVNQL AKAYSNVSSSPYIKAKIYQSDATINANRRQACQVYAKAGIPTYCYRFNVPVSGHFTAQ HGDELPYVFNNAQSYVSDKSRAQLATDMTSSWVSFIATLDPNAWKNKTNASASSVTPT WPRYVYGNAREMVFDEDGKSHVESDNWRVTQVSLINGPSGIAVAYQR ACHE_50780S MGLLCRRGMVRYGMRMVKLSVSTEAMKIQLPAKLAVAALVALTT AHGPDDHETFLAKREYFSRAKHFLDSCAGPLESKGVEARARARRASIVHEHQKRNKAK RNNKQILNINHQYNGSFISPDDTDAIFVGTRHVLLNPYGDNGPYYVRGELIREDVRED EPGIPIIVEGQFINYVTCEPVKGMWWDLWNANSTGVYTGVINEGNGNFLDHSNVNRTA LRGLQQADEDGVARLTTIFPGHYSGRTNHIHVIAHSNVTVNPNNTISGGSIQHIGQFF FDEDLLDKVRQVWPYTENPYEITTNAEDDTFHQETAYSNSDPVFHYEFLGDKLEDGLL MWVRIGVNVSASWEDEYSFARTAQGVKYSCGTGRITSNYTQDGTDCTNNVDVKNLPGE SAPGPVVYPNPHNKTS ACHE_50781A MSSRPQSSICSITDDCQITQEERNAAYNYAEVESAEFKGLGIHQ TVRTKLS ACHE_50782S MAIDYSKKTNAELVEILKSRNLAHTGKKAELVARIQEDDTKNNG GEAAPAPATKTDVADDVIDWEDDEVPAEGATKPSTEAGAAAIAAGGKGQVSNPAAVPN QKLDTDPAATDDLKVEAAGGEAGKDAAPEQAAEGAAAPAGEEGAAEAVQEKPAPNFAK GLPITELEEELKKRKARAEKFGITEESQAAIAQAEKNIERAKRFGTGADVDAGVGVKG LDEALPQEKTRKRSRNDEQGGRGGKRRNFGGRGNRPRRGGGQGGNRNKTNNGGSEKPQ QMQLSEQDRAALEARKKRFAAAT ACHE_50783S MSSHKRRDSVEKNSTSKSTAHSATTSTDSLNTRRQSRIPSQPTS QVMPQKLPRTSSKEGSEKPDTKSPTTPSSATSRRFGLFPKRSKTETDAQASAQYRLPR KGPVARIGHESYGKYAQRDRRMSSSSNTSATRTRSMSTTENTPKPTSSRKESLKNRPE LDIDDFLRNRLEPVVISGGGGMDGRPSLMRTQSEQSAMSGCSTISPTNTTQTVKGAYS TGCSTDSLATLSATAGDPSMKPRTNSEGGGQSRAPISRIGGAFHRAAASQPTVSRSSS QIRPDKAPIPPKDQDQKGRKKSFRSFFQRSHSKPRKNSTTEVPSSSSNQLPAQVTPVP VNRPVAHYALLDTDPDSLEDVMHDIEDSPPTDYDYSESSPPAEAPAALNIRKSTLLPS PPRLQTEFHAGWQSPPKVYMNKGLASCSPGTEEKPVARRPSRLASIGRIPQVISRKDR QHRPAAQSFSRPFSMAETPSLTAPVLSNDFQVPTCSEEMGRRPSEPTRLGFDLTQPFG DPTYRSVLDLISGPYSNNEFLRFSPDKNSLPSSFECSTPAVTAIAPHPDSDLTDDEVW KEYDDLIDDVLSPISPKTTLPDFATSDDDEKLGLAALASQTLQNELDAPHLPTLFEQP SITLNRASQSSDGCSVRLRRSRIATALRSSYAPSSQPSYSDLKAGQEEETGESEKGGP SSPSLKLVEEQQSFPLSPLNPSQSFEACRQRNTILFDIAERDREGPTAQTNIRSGSLM TSRWLSFGRVLFSPAHNHVKSGEQERILVIDGLGNDDWSFYCALTYTNADVYNLHVGL TPAASSHPAAWQPPTNHHTVYHADLADRFPFPKGHFAATVLRFPAACSESVQDNVVSE CKRVLRPGGYLEMSIMDLDMVNMGIRTRKAVRGLKERTYVADPSISLKPASDSIQRLL GRHAFDNLHRCMVRIPVAGVITRSSASSSSTSSSSLHPSMSIPAATRSAPSFGQGSNN PFIPRHNKHGKSQSNDTDLSLGDLLSDPVPSPSNDESIRKIVAKVGRWWYTRCYEIPV LPDGDVDCRIWNDGKVLRECQKRGTGFRLLIAHAQKPSEVNRRTASV ACHE_50784S MLGGGKYSPLSIQLGRYDIPLPSSDDSFAFGIFEQHDSISLELL DFDKSNPLPIQMPTKHGEPSFSLIIQGFNIWSVVSNRVSSRGQKDIPAWETDPFWGRG LEALEHWRAAQGSRMVYSPGNNNLQAYITRNQAERFAFLNLIYYITALFIHRQLTRLL PHQNGPSETTHPGWLHSNSSSRKLLENANNIIHLMKHLHYGIDLRAPFTCFCVFNAMA TVAHAHKWFHTTPGTQDASELLEWGVEWLREAGNHWEIAQGWYNTLTEIIATYDCLDM GSPHLSDTQRHKLSHIHDRLARLDESSAPIAQILSPQDSQPEQLDIGTRDPQQEDCGQ YPAVWNLETGQESQLLDLDPHNPSLTALQNGPFQPPEGLAFDHDFLTAVLSDPSGNLI PMH ACHE_50785A MSNTGVRWTATAQNPKKQLKLDFFIHAVNLAIFFDAFMKLPYLS GKNKARLLEMKGRTDILIWASRNMPDPQVDDILNYPIHLGWPEVFAQSYKHPSDDGHL AKFVRAVAYAEKLCRPYEKEAEKRGLRVTGDMWLKIGNLAVDTVGTIFSDLWVRGAGF SEPWEKFGPRK ACHE_50786A MATATNICITPEHVGIFGTSGLNHASARKVSEVLQHDMENHHVY LNMIQFHNHIVHLMLTIWALGASPETIQVQYDREDKRQRPVFPRNENYPNYLASFQRE IDTKGVPEVMNEYLFSGDRLAESLLSRMFAGLVHPIIHLGFGIEFQQPAIIAQAFAQA SVHEDYLGEAFFIPAEETAGGLGLRGDKTLVEIIDQMRTDQKVKAGPTTETRIDSWMV C ACHE_50787S MEDADAGHRLVGQYTATKDQVDEMAHGNGVEEEDILLGREKAAR IADRETDYQKRRFNRGPLTPTRADPFAANTHANVEGEGQTYREVMALRELEKEEERIQ KLIAEKQANGENGVTEHEATLTLEDKENAEKGSTVSVASGRKRKQRWDVTSEPTEAEP TAPQLGEAKPKRSRWDQTPAPAPPGEEATKRRSRWDQAPALGAATPVGNQGLATPMHP SQVGAPMMPTSFGTDISGRNAPLSDEELDLMLPSEGYQILEPPPGYAPIRTPARKLMA TPAPVPAAGGGFMMQEPESVRSLGKQLPTDIPGVGDLQFFKPEDMAYFGKLMEGGEEN TMSVEEMKERKIMRLLLKVKNGTPPMRKTALRQLTDNARQFGAGALFNQILPLLMEKS LEDQERHLLVKVIDRVLYKLDDLVRPYVHKILVVIEPLLIDQDYYARVEGREIISNLA KAAGLATMISTMRPDIDHVDEYVRNTTARAFAVVASALGIPALLPFLRAVCRSKKSWQ ARHTGVKIVQQIPILMGCAILPHLKGLVDCIADNLSDEQAKVRTVTALAVAALAEAAN PYGIESFDEILNPLWTGARKQRGKGLAAFLKAVGYIIPLMDEEYANYYTSQIMEILLR EFSSPDEEMKKVVLKVVSQCASTDGVTAGYLKEHVLTDFFKSFWVRRMALDRRNYRQV VDTTVDLGQKVGVGEILERIVNNLKDESEPYRKMTVETTEKLVASLGAADISERLEER LIDGVLYAFQEQSIEDIVILNGFGTVVNALGTRCKPYLPQIVSTILWRLNNKSATVRQ QAADLISRIAMVMRQCGEDQLMGKLGVVLYEYLGEEYPEVLGSILGALRSIVTVVGIN QMQPPIRDLLPRLTPILRNRHEKVQENTIDLVGRIADRGPEAVNAREWMRICFELLDM LKAHKKGIRRAANNTFGFIAKAIGPQDVLATLLNNLRVQERQSRVCTAVAIGIVAETC APFTVLPALMNEYRVPELNVQNGVLKAMSFLFEYIGEMAKDYVYAVTPLLEDALIDRD QVHRQTAASVVKHIALGVVGLGCEDAMVHLLNLVFPNIFETSPHVIDRVIEAIDAIRM AVGTGIVMNYVWAGLFHPARKVRTPYWRLYNDAYVQSADSMVPYYPTLDDDGLDRAEL MIIV ACHE_50788A MAPNPASDADYFRDKARKDLLALLEGVRGKKNVVISQDLAGPVG LFVKFSLLQEYGVDRVFLLENANVDSSQRNVVFLVHAEKARHVRTVAEQIKRLQRNGN VEHEFFIFWVPRRTLVSNSILEEAGIIGDVNISEFPLYFMPLEQDVLSLELDSSFGDL YLNKDPGCIFQSAKALMDIQQRHGYFPRIIGKGDNARRLADLLLRMRRELDAEESSGL NGLPARGLLPSTSTESLIIIDREVDFGTALLTQLTYEGLIDEIVGIKHNQADVDTTIV GPGAAQESSKAPQQQTSKQGQKRKIQLDDSDQLFSQLRDANFAIVGDILNKVARRLES DYESRHTAKTTTQLREFVNKLPTYQLEHQSLRVHTNLAEEIMRVTRSDIFRKVLEVQQ NNAAGADATYQHDSIEELIARDAPLKTVLRLLCLESCMSGGLRARDLENFKKQVVQAY GYQHLLTFDALEKMELLQPRSSATTMLLPTTGAVQAGLKTNYNYLRRNLRLVIEEVSE KEPEDVSYVYSGFAPLSIRLLQCVLQKPYILSLTKGGSAPASTNTNAASTTSPGWLGF EDVVKSARGATFSIVQKGDDKAVRARQTISGNNAIKTVYVYFLGGITFTEIAALRFIA AQEAQRRKIVICTTGLINGDRMMDAAIAKGGFAKKE ACHE_50789S MGLFKKSDDGDDSNRRALFGRKNKSPAPPANPYAKPIPTNPYTK AKIEAGVAPLPASQQPVAGDKSPAATATAPIAAPAGPPAGYAPNKYGNQGGYGTDRFG GGGGGASSRPGGYGGLGSDPNDPGDANRDALFGGARERVQQQQPGAPPPYEEGGNAAG GAYGGYGGGSNDYNNVYQERQLTAEEEEEEEIQAIKQEMRFVKQGDVASTRNALRVAA QAEETGRETLARLGAQGETIHDTERNLDLATIEGRVAQDKARELKTLNKSMFHVHVAN PFTASRRRREREELVLNTHRQDRETREGTRSEAYQANQRLDRTFREIEKEAKRPVGKA RTNVAERAKYQFEADSEDEGMEDEIEQNLDLLHGAAGRLNGLARATGREIDEQNRHLE RIAGKSDFVDDQLAMNRDKLDRIR ACHE_50790A MTMVLENQNRPYGGMAFDSVYHHHGLPHPNPPQFTDPWAAAHSS SHSNAPVYAPLNPIKQEDVNNRPSPLSMPYSAASIPVSAPSLVSGPATASYSTPATTT TAAPSYPPAPEIMSMPHEMPRSSFEHPPTYTTASSMSSFAPASYAPLSYAPPLHHQDR RISHADSRVGQSQHPAPTFGDALDASRGMVALSQDLTPRNIYGPRNSRGSGDSYGFPS TNSSGSSISSGGNYPYYSASVASVDSSVTDYSSTSESYDNGISSRTLPRPSTLLSGAA PPGPQSMMSQFSSKMPSNTQKKHKCKVCDKRFTRPSSLQTHMYSHTGEKPFACDVEGC GRHFSVVSNLRRHKKVHKGDKEGPSGDEE ACHE_50791A MLPTETDILIIGSGNAGLCAAISAAQTSQTSNKNARILLIDKCP KQWAGGNSYFTAGAFRTVHNGLSDLLPLINNVDASTASRIDIPPYTNESFMGDMQRVT GGRTDPVLSKILVGDSNETVKWLKSVGVRFQLSFNRQAYEVGGRVKFWGGLALKTEDG GKGLVGDLWAAARELGVEVVFETAAKRLVTDVETGRVRVVAVSHCDRDGDANGRWVER EIRVGAVILAAGGFEANPRLRAQYLGPNWDMAFVRGTPYNTGECLEMALQDQHVSAQP RGNWSGCHCVAWDAHAAPDTGNREVSNEYTKSGYPLGIMVNGQGNRFIDEGFDMRNYT YAVVGRAILNQPGHVAFQIWDKRTSSWLREEEYRDEVVQRIEASSIEELAEKCFQSHG LGDPRRFIESIAEYNRAVYARQDDDRNNGTERKWDPSIKDGLSTQSTNSGLSISKSNW ALPIDQSPFLAIKVCAGITFTFGGLSINPKTAGVISTAGTEVPGLYCAGEMVGGLFYD NYPGGSGLTSGAVFGRRAGRAAAGAVPYIGP ACHE_50792A MDGLLTAVKTVTRDSRPSLESTADSNQHGLERCANISMKSAREP TSPTYILDVLKSRPDHDQLLQVLGILDPSNRSITPDQFDIRIPSPTSAQILQTLVSAT IPDIWDTLNMKSKDTKPAGSKLRAALLRCLCSVAGVSSLVAQLRSLIAASRSSSAEAK GSSSGIRVRDILEILSALLEPSDFLFRVYTDISAVYPNETQKQVSWRELISLIAASRV LSTAAESLTLVNDLPRLNKVSWVGDGPKYASWIGDNICRFCSKINLKNESAWKAIASF TGRAMSLGYTGQLVSGVYSGLLIDQTFPKQFSTLVDHLRMTEQVAVTEAVFRDVERRF LSEDLSMEADPTSNQTIGGVATLCSNIISNRQHLESQVVDWLSKGQGGSIQTVGLRRA LLAMLADNTGTDYLRNLFIKSLEQFGDKFYIKHAPSRCQEANTQVVLLAASYLQRTDL ITVKEIGRSGIFLSGVSNRLAASSTRARFLGMIVGTAISQLIEEAGKGMKFDLEEIES EEALWYMDLINTKDSLGSLDSIRTPTPKAQKPPRNLSSDPTSQSQSKPQSSKIVAIEE IESENEEEEEEEEQEEEDDLVPYEKPDDDPSDDDNDPTLVQRNKPTAPVYIRDLVTYL RDTDNVDRYNLAISSAPSLIRRKTGFGTELTENIEELALTIVGLQEQTDTPKFHEHRL QSMIALIVAQPLKMGRWFSAIYFEGDISQVQRSAILTALGLSARELAGNGEEDSKVLG LPVIPDASFPSKRLSESLEALYKTDESPIASLTKQLSQASLQPLAANAADSASGPNAL KVRTFSSRMEVERKRQQREAQKRKSTVKHLHKVLAEGFFYPLKGRFDMMMLQFSSSTA PSYNPFATPHLLALFLQTLSLILSTTGPHTPLLPNLTQESLSLLLTLHARPVSDDATI QSALLSFFLAVVDLNISSGVTGEERLVTDFAAQVIELKEWAGELFERMPAGVAAVGPG DDDAQGQVRTLAAGVMVKLGEVMERYQGRLMGVGVGFKY ACHE_50793S MKPVDPALRWKSLYKVLNKPGPSSDEDWVPGPETIDALESSKVL VIGAGGLGCDILKNLALSGFKDIHVIDMDTIDISNLNRQFLFRQSDIGKPKAEVAAAF VEKRVKGVKITPYVGKIQDKDEDYYMQFKIIVCGLDSIEARRWINSTLIGMLDPENPE SLKPLIDGGTEGFKGQARVILPTLSSCIECQLDMHAPRPAVPLCTIATIPRQPQHCIE WAHQIAWQERRKDDTFDSDDMEHIGWVYETALERAKQFHIHGVTFQMTQGVVKNIIPA IASTNAVIAASTTSEALKIATSCNPYLENYMMYAGEEGVYTYTFEAEKKPDCPVCGNL ARKMTVDSNMTLEAFIESLGERAEAQLKKPSMRTEEKTLYQRFPPQLEEQTRPHLKLK LKDLVTNGQEIAVSDPAYLIDFRYQLIFQ ACHE_50794A MAPLIPSQEELDRRRLVGINAETVTNIPSTDFPGHWPGESHEWS LEKFKRDFNIEFHRNERFEATFSLIGVDAAIANAFRRILMAEVPTLAIEFVFVHNNTS VIQDEVLASRLGLIPLKGSVEGINRMRWFKKPAEDDPDSGSTPSDYNTIVLRLDIECT ENPDAERGETDPRKLYRNAHVYAKDITFHPVGRQEEYFVGDDAIQPVNPDILVAKLRP GQKIDMELHCIKGIGADHAKFSPVATATYRLLPDIKITKPIIGEDAKKFAKCFPRGVI GLEPVTQEEAAQKGSGYENQAGEVKAVVRDPFKDTVSRECLRHEEFQDKVKLGRVRDH FVFNVESTGQFDSDVLFLESVKVLKLKCARWKRNLSDLMR ACHE_50795S MAAPFARLAGNAPKRLCLRSSTLNRHTAISRRSIATSLPRRAAE PTSYQATRLIPTDPTFTHLANKEGRPDTEYAAGLESEDEGVGRKIRHYTVNFGPQHPA AHGVLRLILELNGEEIVRSDPHVGLLHRGTEKLIEYKTYMQALPYFDRLDYVSMMTNE QCFSLAVEKLLNVELPERAKWIRTMFGEITRILNHLMSVLSHAMDVGALTPFLWGFEE REKLMEFYERVSGARLHAAYVRPGGVSQDLPIGLLDDIYQWATQFGDRIDETEELLTD NRIWKARTQGVGVVNAADALNMSFTGVMLRGSGVPWDIRKSQPYDAYDQVEFDVPVGV NGDCYDRYLCRMEEFRQSLRIIHQCLNKMPPGPVKVEDYKVSPPPRAAMKENMEALIH HFLLYTKGYSVPPGETYSAIEAPKGEMAVYLVSDGSERPYRCKIRAPGFAHLGGFDQV SRGHLLADAVAIIGTMDLVFGEVDR ACHE_50796A MFAGKRLSKELAKMQGHLPPGITFAKSDNLEEWQMDIRVLDDNP LYQNQIYRLKFTFSQKYPIEPPEVQFISLPASHETPRPIPIHPHIYSNGIICLDLLST AGWSPVQTVESVCMSIQSMLTANTRDERPPGDSDFVSYNKRRPRDINFQYDDDNV ACHE_50797A MSFSNMLNKLSGQPESYEKKALYRFGRTLGAGTYGIVREAESTA AGKVAVKIILKKNVRGNEKMVYDELEMLQALNHPSIVHFVDWFESKDKFYIVTQLATG GELFDRICEYGKFTEKDASQTIRQVLDAVNYLHERNIVHRDLKPENLLYLTPAPHSPL VLADFGIAKMLETPTELLTSMAGSFGYAAPEVMLKQGHGKAVDMWSLGVITYTLLCGY SPFRSENLSDLIEETRSGRIIFHDRYWRDVSQDAKNFILTLLQPDPAQRVSSEEALKH SWLKGESASDRDLLPEIRAYNAKARLRRGIEIIKLANRIEALKMQEDDGEDIPSPADM AASAGAADNETEPFPSTSPNGKSSPGSPEGTPGTTKKRSLSKIARGAIFREVVLAKVR EVKQNEERERVEREAREKSTTHA ACHE_50798A MASTRSRKLSTSIFFDKSSLPHTSEEWEVALHQVKFLYTQRQYK QCASRAETLLSFSREPIHAVHKTYLYFYSAISYEALGRAAHKYSSNKLSLLHSALDCF TTCSAVLPSTITVSDYGRGTSMEDIDEYETSLVDDSTPGDSPDSSFLETEAESPSTVG GLVGSLTRIIDDSMAWDEDDPFISHEHEQVDQDVNVGLFRLPREESKGRLMPLPLQIR KPDAGSTCAERSYRPSPLPIKIVPFEAEHTGSGITESQEHSKYIKSITRYNNSIHFLR TQITTNITTIHTLITSITKLQRARKASRARNLRRVASFWSFSPVQLGEDTSDNGCLGR NPSAGASGSPSGSQSPEWGGAEEEQEQQESKAERIARLRAEGWKTVGVRNGRRGWKGA AYYEAYCNGVLDELYLGES ACHE_50799S MTKRLTLARKEVFADKLAAQLGKTRRKFEIPTPQRWYHFANGTW TSSTPPTTPSTTTPPRISVTTWNIDAIAPASERRMTAALSHLSDLHGSEDLPSIIFLQ EMLDADIEQIKAAPWVRERFFITDVEGNKSAMFGTTTLVDRRLDVREVFRVLYDKAAM QRDALFVDVAIGGDRILRLCNTHLESMAFTERRRAQLQLASTYLHGPGCIPSPSDPTT TTATDLPTPHAAILAGDLNANSPEDQSLPAQNNLQDAFLVLGGQEDTDKGYTWGQQAP AHEREQFGCSRTDKVLFCGGVEVESLRRIGEGVEAWVEYLQWSDEEEDEETGENVWVS DHLGLKAVFRLV ACHE_50800A MAAAITSWVLNPIQSLTMSRPRTRELWCAISNDLRQPLPIECIA DQDKIDTLKEKIWQKIKERIKDTAPHYSNLTLYSPVVQLNHEEEFRIDDGDFLHPRRM ITSLFPESKDPDVDIVVVVSGGATTRKRKRSESQGATESDLICPREHTVSELAATLDE VNIVHIRGTPASGKTRLSELLRDHYHREGRKAFLITDWVKLNPMDPWGSFVELVRNWD EELQDSPITSFTSTSSELKHDSSWILTTNTVILVDEAQKTYSDTVLWNTIFKARQKSF CVYNFRLCLFCSYGSPGTGPDQTFFTPVTLFNKQRISLTPQSLPGSPSIGLFYSKEEF KDVVSRLIRFLSKQKEKFNFDEDALDYIFVLSGGHPGAVESLVNVIFENYRRDIKHKH LRTLTEDHVIWFLEDTARVFDKLSRESVDRSFPRIERCTSEVSNILNKITEEGSVPFD LNDADIRFCYQNGWIHRVALDGDDIAVLPSRLHEKYIEYLIGTMSKPLPARFDSLQKL CKEILSKFSIMNLRNSAEGKKMSTASQPRPVEAQYQAEFYRGFVHTAGQGVPISTEWS RTRNGRVDFYIPEKKWAIELLRDHIEVNEHISRFKEGGKYHPWLKEKMVKDWIIIDCA TSLPTKEFSEPKVWHAVFANDYSVLQLYNHQKALMMSVHLKN ACHE_50801A MTDRASVFLDPTSAVAAITKHKAEAIRLAREQGRAVKEMCRRAK TENPPYEFEELIGKGAYGRVYKGRQLPSRKVVAVKVMDIDSMDYKTVRDFRDESIKDF IHETKVMKQVKDSGARNINELIEAVSIHSQLWLVCEYCPGGSVRTLMRATGDRLDEKF IIPVARELAIGLRAIHDAGIIHRDIKAANILIHEEGRLQICDFGVAGVLQSQVDKRST WIGTPHWMPPEMFSAKGEAHRYGSEIDVWAYGCTLFEFATGNPPNSNLRERMQIGRQL NRAKPRLDKEGYSEELKDLVNYALDSDPRTRPTMADTLTHSFIAGTEESHPTSSLGEL VRIYYQWSQRGGQRISLFHPGGAAAAEIPDVNDTFAEDWNFSTTDGFERRFSVIDLDE LAASLAEIEDKISPTTVQPKKDSLDESCDTELVGDDKANFDERVRRGATAMEGLFDNE KPTYKYETKNDFVPVEQRRPPSDLPLRTDTDRSSVTSTFIDIDIGSFDSSHYAAAAAT AQPIQLADADTIKAYRQQRTSSGTSSRSSSSKRDSEDMTFQAQTGPRPPTMDWKFPVF MQPPEEEQESKPEGEGETTPEAKPADPPNPSDKRATMEWTFPAMGSQPADDNPSLDPS RFETLKAPLVDMGTTPSETIRPISIGEPGDSRPSTSGSQGSVFSFAPSSEADYDPFRL DRPTSPASQYPHQQYHHAPSSFATDFPEIIDADEDTYDRPSSVIEEGPGPDDHNRPSS VIEEGPGPDDRPSSIIEEGPGSDDHDEHEHGPNRASSILDLDGPGPDEEDETEASTIT TTWGSSTIGPPSSRTSIHTQRISKPSSDPFSEPFPTAISSREFPSEVPDSPLPDALPT ATVRHSAAVSVEEVQFPDLIPPSVESLAQGAEEGVLMGELDRLLGDFLDALSATGEAL GKSRPGSGSGAGPGHGPETVEVSVDGGGVSEGE ACHE_50802A MADTYDEDERRRAVYGTQFDEILSSGFVPGSTDEDLEASEFFQR YFWEHPVINEDSYSPAGLSQVEQAAVCSVEEQPSPVEDSLTNPLENSELSIVTDRSSD YRSPALIPFSSFSRRRLGRGLRFNSSFEYRAFIYEVTAADPSAESSVESLSDQGFDNH GRFYSRFPPGLSEGLRVSRDSSSVNYNLHTLRLGSPGPSVHSFELASSDDATEYIRLQ NFSFPRRRARSLIAGAIRKRRNTSSGIGSAIRNLFRKRNSRRPRETNSSSGLLKRWRE RRSETAVQRRLEAPIRRRGWSPI ACHE_50803A MTDSTPLSVAGVAALRAQGTPVPLGVAPSTSSDMFKSAHCYTKP KAKRWDHILSVEAKSRKVSTLKGAAKYLKNPGLISLGGGLPSPEYFPIEQISAKFPTP PGFSPEETRESGTVMTASKGDVKNGNSLYDLEVALNYGQATGAPQLLRFVTEHTEIIH NPPYADWQCCLNAGSTYGWDTALRLLTERGDYILMEEYTFSSAAETALPLGVNLAPLK MDEQGLLPESMDEVLSNWDEAARGARKPYVLYTIATGQNPTGATQSGERRKAIYKVAQ KHDVYIVEDEPYYFLQMQPYTGADGPTPPPPASHDEFIKSLIPSYLSMDVDGRVLRLE SFSKVVTPGSRVGWAVGCEQIIERFQRNCEVSSQHPSGIAQIVLFKLLDEHWGHAGYL DWLINMRMQYTNRRNMLVGACEKSLPTEIAHWVAPAAGMFHWIEVDWKKHPGLASGKT RDAIEEDIFQAAIQNGVLVSRGSWFTADKTTPEEKMFFRATFAAASADQIEEAIKRFG DALRVQFGLS ACHE_50804A MVYTASFAFFEALWEAGVSHVFVNLGSDHPSILEAMVKGQKEKR DAFPKIITCPNEMVALSMADGYARLTGEPQCVIVHVDVGTQGLAAAVHNASCGRTPVL IFAGLSPFTIEGEMRGSRTEYIHWIQDVPDQKQILSQYCRYAGEIKSGKNVKQMVNRA LQFATSDPMGPVYLVGAREVMEEEIEPYQLDQGVWGSVAPSALPAEGVQLIASELAAA QEPLAIVGYSGRHARGVTELVKLADTFKGLRVLDTGGCDMCFPGDHPASLGLRYGIHD AIKTADFILVADCDVPWIPTQCKPLDSAKIIHVDVDPLKQQIPVFYLPSMATFRAESA TAFKQINEYVASNESLKQFSNSAENTEFGKRREEAFQKTRQSVAQLASVPDGGDGAPL NVSYLMSQVRSGCPTDTIWAIEAVTLSGFVSDQIAATLPKSWLNCGGGGLGWSGGGAL GIKLATDLENGGTNKGKFVCQVVGDGTFLFSVPGSVYWISRRYNIPILTIVLNNKGWN APRRSMLLVHPEGDGSRATNEELNISFAPTPDYAGIARAASGGEIWAGRAATVADLGR LLPEAIKSVQSGTTAVLEAQLDGTAGKYVEK ACHE_50805S MIITRGISLTNFAVASSALAFQVFVLYPWHKQLDDDFEALKKEH LRLLHKIETVGFGQPKGIRE ACHE_50806S MPAIIQDLLEYTASASLLKHSVFFILAATAFLVLRAAKSENRTC SEMVPENVHRLCASDRTVTSELARDPTQHPLGIFHRLYRGQKCKKTTVSSQRESAHDA QDSLQRAYDCGRWGTAKPSTLFLKIYHDALCTLEKNPMAGVVSPPLIGRHGVVPLTIV APLPDICRHMANCIARAETEVFLATNFWIHSDASTLITNSFRELSRRAGKRGTKVVVK MIYDRGDPRQIFQNHLMVSEKQYAGGQVRLPSPEEIPNVDLQVINYHRPIFGTFHAKF MIVDRRIALLQSNNIQDNDNLEMMVRVEGPIVDSLYDSALVSWGRRLGEPLPLLASPA SSTPAWKLSASEPESSSDCSGREAPPQLTADHPLYDVDMQQETQRVNGSLEPLPGMSR TEAVTRHLNTTLQPGTTGDAPNRDQDLRMKPYVLSPPHEPFPMALVNREPCGSPNYSS IYTPQNSAFLSAIRHAKHSIFIQTPNMNAEPILEPLLDAVRRGVVVTCYLCLGYNDAG QLLPFQNGTNEMIANRLYKCLRTNEEWSRLRIFNYIGKDQTKPIHNCFKRRSCHIKLM IIDESVAIQGNGNLDTQSFYHSQEINILYDSPTICRSWLDTINRNQNTALYGAVSSKD GCWHDPETGKLPEGSIGINPGRFSWVKGIVGAVQRVRGAGGF ACHE_50807S MTQYDQPIIDITNYIYTPLHPSSPALSAARTALLDALGCTIQTV SSSAEARKLIGPVVNSTHVPDGFRLPGTGYRLDPVKGAFDMGVLIRYLDYNDALWGRE WGHPSDNIGAILSVSDWLSRTTKDNKHTGPPLTIQTLLIAITKAYEIQGLHQLHNAFN AYGIDHVILVKLASAAVTAWLLGLSQTQAMAAISHVWMDGHPSRVYRSGSNTIPRKGW AAGDACMRAVHLALLVRAGQVGAGEALSAVPYGFLERTFGTKGFVMPQAFGDWAVQNV LFKVMPVEGHGIAAVEAALVQGSRMRESGMRVEEDIMRVKVRATAAADLIINKKGVLR NAADRDHCIQYVIAVALLKGHAPEVGDYADGSYWAQSVAVDSMRERIEVTADEGLTKD YLDLEKRSIGAGLTVYLRDGRVLDEVLVEYPIGHVKNPATGDAVREKFGRNMRVMFSD TEIARIVEAVQVDDLRISDFVDLFARDAPESRL ACHE_50808A MVMAAVRQLQTLFRNRQQCYRAVFHTRNYTSPAKDTAYSFPSFA SGANDEVARLAATRRRPLTLADLLKHGRPPLSENALLSSANFTLSLLPARLASRIQAL RNLPFIVVSNPHVSRIYNNYLHSLSTLLPYQQRKITTLDEEKQFADVLADLVHTHTNT IPILARGFLECRKYIDPAEVTRFLDTHLRARIGTRLIAEQHLAFHFASQPAKDSSSAP KESVPSNYVGVIDSTLQPARIIKYCEDFVGEICELKYGVRPEVVIGGQPEASFAYVPV HMEYIITELLKNAFRAVIENGQEHAPIEVTIAPAPDVPGNHIHEVYDKHTQEPRESQN DTDVGFHVDTVVGTADANESVRHSNPSSQSITIRIRDRGGGIPPEVLPNIWSYSFTTF SDIDPQGSENGNVDALNTISASSGHLSSIAGLGYGLPLSRAYAEYFGGSIAIQSLWGW GTDVYLTLQGVGKMA ACHE_50809A MTEYPTALRVAQAVGISGSIWLSGNICGLSAIAIPVFFRSRREH GEKDDIPQTKLARQWQYFYDHGKAQNPPIAAVTACAYAYLGWAVASRSELFSRFAGAN TGLFYRLAGFLTLGIMPWTLGFMMPTNYKLTEIAQGLDGKEVSDEEFDGLLSYWQVTN AIRGLFPLAGGVLGLLTALL ACHE_50810A MDLEPNSHPDTSMFSLLDDSYVNQIRTRYAEERLRRLHPKGNAQ YADLELDPDIETETTSYISIDNDDFPACEEFKNDTDNIPEIDHQRIVIVGAGFGGLLN AVRLLQTGDFEPKDILFLDAASGFGGTWCWNEYPGLMCDIESYIYMPLLEETGYMPSH KYVSGVEIKEHAYRIARMYGLYHRALLCTSVSSLVWDEHEMNWTVKAVQHRHQGIFPL TFSADFVILTANPVSIPKLPNIDGISDFQGKKFHTARWDYKVTGGSADLPVLQKLRDK KVAIIGTGATAVQAIPHLAEWSRKLYVFQRTPSAVDRRDNCPTEPQWWAGVVSQGPGW QAKRMENFNHFISNAPNLPDIDLVNDEWSRARSYSALVGGPQNRKPGYLKEMEMVDLL RQDKIRNRVSQVVERKKTADLLKPWYSGWCKRPCFHDEYLQAFNNPNVELIDTNGKGV VCVTQKGVVTNQIEYEVDVLIFATGYNASGRTTPDARLDMFISGRGQKSMRMAWKDGV ATLHGLMTRDFPNLFFPGPNQAGITANYTYFLDQMAKHVSYIISNATKKQGPYKVTIE PSVKGQEAWSREVMIRAGGLAGMANCTPGYLNMEGEFDSPKAPEEIVKAARAIHWAEG VMSWVKIVEGWREKGGMEGLEVKRLKKIIVDLN ACHE_50811S MWRAIKLGCEEALLNFIAQGANVQQCERVVKGMNGNENSSESER DPVEGSETSSSSDLTEYSPSSPEEGMGQQEEINAGENDDEEDGDEEDVDNSSEFDSSE YPLNEYHSIEDYSSDDSSNEYDLSENGSSEDDSSGDDYGPSFFGSDSEMKRVLQRTQK ERHRYSSCNCYRDEPPVCLAARIGHVGIMKILLVHGVHPNTRNWTFKTPLMIAARYGQ TAIVTLLLNTGIISLNAHDDRQQCALSLAAQHGHTEVVKTLLAHPQINPDPCSKYLRR YGLQNCGYREGSPLIQAIQGQHLEIVRLLLNKGVDPRIGDEDNTSALSLAAADGNLEI VRILLDKGAAFDAWGLLDKGPLFEAAEEGHVEVVRLLFERGAADPYLEDDEGQTPLDV AKECGHTAVVDYFEGRI ACHE_50812S MPQPHNTLGNDEWLLTGDSLWSENGVYEFRMQDDGKIVVYENGN PRWQNTKQQRSDVKGVRMQADGNLVIYTHDDEAVWHSDTAPKNDVILVIQNDGNLVLY EGNPVWASSTTPSA ACHE_50813A MNRSHKRHPQTHLPDPNMFWDFHVGNPEGFHELLHLFSDRGTPA TLRHINAYSGHTYKFTTTDGCFKYVKIHIKPTQGVQNLTKEESVRIAGENPDFLIQDM FEAIERGDYPTWKVYVQVMGPEQAENYRWNIFDMTKVWPHQDFPLRQIGKLTLNRNPN NYFTDIEQAAFSPSNMVPGVAPSADPMLQARLFAYPDAARYRLGTNYQQLPTNAAKAP VYCPFQRDGSMNFSGNYGADPNYVCSSLKPAKFYQDIKGVGPKTLNVMTEHERWVGEV INFTTHVTDEDFVQPAALWEVIKKEPGHEERFFGNVAVHLSKVKSDRLRHEVYAYFSR ISPDLGEGVKEATEGLVVS ACHE_50814S MDTFLPPEAPPSASAHRIDFATTSPPIPAYANSFAAVIDNILTE AECNELIRLAEASTVPPNDTAATPTWERAMINAGNGKQTLATDTRNCGRIMFDSFDLA DKLLSRMMPFLQELGIDREENKPFVKRGKVYKLSRLNERLRFLRYEGGEYFRPHWDAM YMTPDGKERSFYTIHLYLNGEGEQDLEELRKEEERVASLWRQEGDSAFNRDINGKLLG GATSFFPRYEQQDVQVRVFPKAGSVLVFQHRDLLHSGDSVFQGVKYTMRTDIMYREE ACHE_50815A MTSVSIPKGTIKLSGLLFKPSKPFTPKTPALIIVHPGGGVKEQT ASTYAKKLSEEHGVTTVAFDASHQGASEGEPRFLEDPNARVSDIWSVVDYLERVDTVN PENIGIVGICAGGGYAVAAAKADQRIKCVATVSLVNIGDSGRYGWYGQDAPAKQRDII VKAAKQISAEGKGAAYETVPYVPPKLEDSTPVDLKEAHEYYLTSRGQHPRAQNKMLLR SVPRILTFDAFNLADLYLKQPVLLIAGEKAESRWHTEKLDELISYVTEKVIVPKARHV DFYDRDEFVIVPKARHVDFYDRDEFVDPAVLKIAQFMKARLV ACHE_50816A MSWVEVVPMSGILGVEKAQADYKKYILNPDIDKKTLEILYGQMA DALLELWKLGFDRIGSLRLDEAGKSQLKDHHFDSAFE ACHE_50817A MHYPFVLISTLTTIAFAAPAPPNLRSTHTTTTTTTTTTATLNKP TTHIGTPQIAHVPITIPDQRPPHQVNPNLRPVYTPIARPGPGIEPGRNPLFARSQRED KVKRDDPRDPAIVAVLSSIAEGVKKQQQQQQHTRRAEQLSDPPVHDTARPAHSITWIN DPMEIIGRRAVDSEVYDSENALDAPDYPLQRKEQRALDEEGDSIVPEGNGWTLLQQRG LDVPDYSLQWQDQHTLESDEGRDSLVPEGNGWTLLQQRGLVQRDDVDDKDEEEYNTAN VIADVQRRGYVRRNDGDDEEIRTVGVMRDVMQNAQ ACHE_50818A MEKENERELVLCFDGTGNTFRADGGESNILKIFRLLDRTKENRY CYYQPGIGTEISPSSLANLALRPTAKLNTQKALDLALATSFNKHVIGGYRFLARRWKP GTKIYLFGFSRGAYTARFLNEMLDYVGLISADNEELIPFVWEAFTDWKFAPQSHRRRH RAYEILRISRETMCRPIERVYFLGLFDTVNSVAEFEKSSGNSGSTNQNACQPRPIITR HAVSIDERRIKFQPVLFANEAGIKRRRPIMYEQTEEVFTADADGPEDDAPTDLEEVYF AGDHSDVGGGWRPDRENNEPYPTSHIPLAWMVNEAMRAGLTFDEKKIEKLTPDLWEVT SPTPTPTSPSNTETTLCQSHENAVRTAETAQIHDSLDFDSGKGFTTFFWRLLEYLPFK RPAVQPDGSIVMTRWHTHGLRRPLPKQALVHGSVVRRLRRDPEYRPYNLGLGLKPNMQ DRREEDRDIGKWKCVSEDGLREYWVRG ACHE_50819A MFLPVFSFLTSVFFVSIALHHTTRLWSLPLILLPSYISLITANQ LEDIADLWAIGLAVYFVHVTSLLYIEQWILLRPSPDKRYDFVAAYKLWSNPQLLKTAR QVPGVHTVDRNHDLPQSRIRFTIVRVARITLHLLLMHLWTTYIFPGPFLPLTADDFSP TKEIYFRRLLSGTVTYRETAIRSLSAIDWIWTAYLTLDCSHDALGILFTVILRLDETT DWQTPLFGSPGKAYTLRGFWGRFWHRLVYRAYTNYGRCVGQRVLRLRPGSVAERWWCV FMVFGISGCSHAVVMRWVWGSGGWREVWFFVVNFVAGAMESLVLKWAKGRVSFGFRGC RILGFLWVFGFFFWSVPKREYPNIHALLSTI ACHE_50820S MKTVFAALVIALFASFLQICPAPIGGLARIGTQLVKGAIDAGSD INDEVQNNSRRSFLTLEGDHFDDHAYLEARGDDPFAGLPEPAATQCKNQLKDVTVSFK FNGNNVVIGNVPATCMTLATVFLGDNPGAHGPIPMSSSSLKYTNVDQGDIQQLRSIMK Q ACHE_50821S MKYSLTLIALASTALTAPTQPEERGLFPGFGDSRSGSSFDNLFG GGSGLSGSDSSSSSGTSSASGAASTPSSTSTTTSAQGTDALGDFTDLLGGSSSSVGGT TENGVTDNNECQPLTFIFARGTTELGNMGSVVGPPVAKQLASQTHNKVTVQGVDYPAD AAGNASLGSSGGPKMASLVKQALKQCPNTKVVLGGYSQGSMVVHNAANSLSANQISAA VLFGDPFKAQSVGKLDDSKRKEYCAQGDPVCLNGGNIMAHLSYGKNAEEAAKFLVDAS GVNIS ACHE_50822A MRLSSALAAATLAVSASANSGCQTLKDSLPGSVYDPNTRVYKYE SQEFWSNTEILSPGCVFRPKSGEQLAKGVKGLVNARAQFAVRGGGHMGIKGANNINDG VLIVMSNLTTLRLSEDESLLSVGPAYRWSDVYKYLEPHGLAVPGGRIGPVGVPGLLLA GGVNFYGNEVGWAADNVVNYEIVLADGSLTNVNKTHLPDLFWALKGGSSNFGIVTRFD VETIKSPKIWGGTYTVSSQYLDQFLEAAATFSADISDHKTHVVPAVVPGKTTMASVIL FYDSDTISYPDVFKPFTDIPAVSNTLGFKTLREFADETAAMVIPHINDVFVAGTVTGT NRAELLQGISIINSTFFAELPKLYAKVPVANLTTIQLDWQPIGSLWMEASAKRGGNAL GLDPKKVYLCYAEVVEWTGSKYDDAVMEWVEQTTNKINAATQKAGLYDPFNYMGDAAG FQEIFPGYGPENHQKLQSISQKYDPYGVFQTLMPGGFKVF ACHE_50823A MADAQIIPAMPPPPGKTSNFVDPEYQGTKFIVVNCVFLPLAVLA LAMRTWTRVCIVRNFQADDYLMIMALLLSIVMTAVTLDMLKWGLGKHMWDVPAMPNLS PWFMKENMIAAIFYCAATGFCKVSVLVFYLRIFPSRSFHIAVWLIVFIAVGYEVGSVL ANVFACTPIAKSWDVAITDGSCMNRPVFYFANAGLGIFTDFATVLVPIPWLWKLQMPM RQKIAVGAILTMGCFVGVVSCIRLSTLYILMNSPDLTWATTDALMWCAIELNLGIVGG CVTAMRPFVRRYFPKLLGLSYGGYGSYSHSRKYGHPLNSIPRSDNPNLSGHFQQYSTS TWKGATMGDRDSEEQVLREAAAPPGLGSGKADGIVRTVEFDVENGLRR ACHE_50824A MSSNLPFKRKKPTPQTSADRKRAKTLDARTLAAQSADAALSASG ELDAAAYLSAREFEIQALERGIQQSKAAGTSRAFQQVPRSLRRRTASHNVKRVPQRLR ARAKREMIEDNTPTVTARRRKPTSHLRLRLETARRLQNLNRKTKSKRAHSKANQSRET REQLEASGSHAYNTAPRVPKIKKYKLSRPPPPESKYKKRQRCKTWLPTHVYHAKRAHM TESWRFAVPLSPTEKSYRPTHRARGQRGAVAWDMSYVSTIQIEGSEAGLEAVLRGVGI EGEEVWDVRGRKWRAGTRVLQTWVFGREKKGPIAPVTLIRAAEEKGLGLDAKEVEMVD ADAPSKSSKKKDRKKIFIRVHPSAFLQLWNELLEVSKMQSPPVMVEDLRFEIGSIEVT GPGATEALIATLQPTDGTPAPGSPESTWTSLLGVTNPSSLPQNALLAFSISDPRLHFP SQTLRPASDETHMQDQAILLASWPPDQTQSTAKLFDRPSRLAATRQLPSQKAINRRRT LAGPGNRPSRQDSDPHIPLMAFASRPATASGKKTTDHAGNLPGSWTVLLPWKCVLPVW YTLMYYPLSSGGNPRFGGLQEQQQLAFEAGTPWFPGDFPGTRAGWEWELQEREQRHKQ WGSRPKGRRVEFDRLDLGNGGSRGEIGRGWACDWERLLLGSREEPQSQSRSQHPDSEH GEHAGHQEKQPAEAQKLDDPANADAAAHLAHPRDLRPPIYIHQLRASEAKQKLSAQYE MDPKSDGGALATVRIWLVRRGTPSPRARIYRLPEGEIGRRWLDLEKLHKAPAKENKSG LSMGMSPEDARRSLATSLISGPSSKSGSRSGSGSGDGEDELPIPTEEDLIGFVTTGGY NLAEGQGTGIGSIAMARVIARKTTSSSSARKGTVNKCIVRGAGERVGRLGYWEMI ACHE_50825A MSGLNAGDAFPSDVVFSYIPWSEDKGEITSCGIPINYHASKEWA DKKVILFALPGAFTPVCSANHVPEYIEKLPEIRKKGVDVVAVLAYNDAFVMSAWGKAN QVTNDDILFLSDPQAKFSKSIGWADDEGRTGRYAIVIDHGKVTYAAREPAMNHLEFSR AEHVLNQL ACHE_50826S MDEFTAQQTARQPFTDASSRLNAKSYNWEQHGESEGDYFKSDPM SIVTSQISPRSNNYGDYLPSTPGPQTPSKRVSAVSAASTVLSGRGKRKTHVGPWHLGR TLGEGATGRVRLAKHAITGQEAAVKIVSKKSARMVQSESIAAMDRRVGNFAGNTARPM PCGIEREVVIMKLIEHPNVISLYDIWENRGELYLVLEYVEGGDLFSHVFKHGALAEEE AVRLFRQVLAGLGFCHRFNICHRDLKPENILLDSWQNVKLADFGMAALQPNGHWLKTS CGSPHYAAPEITYGNPYRGDRADIWSCGIILFALLTGYLPFEGHDVPSTLKKVRQGKY RIPDEVSHEAADLIQRILQPRPEHRLTVQEIFDHPLMKKYEKLHQAMSKHYVGPPPPL SVYECGDPVGSVQEIDIEILQNLQTLWHGAKPEVLVNKILCLEPTQERMFYNALVRFR DEQLENFQGQPLEYSASDYHHISRRPMRARSKRSPSLRSQHGSVRRQQFSVKKQGNRR AGSIKEPMSSASYDPFRSPRNVRIPEAEYAQITIHRESSDATMRTPAKDIERPANPTP DDDMDEDSESPPSSPFAVVRNKKPKGTSMKTSHSRTSQTSSRRGTAHAHTPRSASYRR NVSFHHIRHRSQGSAHGKGKQAPPKQVTPRKQMSHSSLDVPSDAVSDRYGSPALPVQP TVVRGPGVAVRSCPQPKRLRDADFIWKDETRQISQELSKICEEAFNGSSMSTGCTTSV CGDTETPPTSLSIASLENSQHQMTANKTKSKALPDTPNASPSRGGPDLAETRRWLIKQ SRQEPSDTLPTYLSGVINQLDRLIEEDAATKQATRQQNLNESTSWTNDPFVSSAEPGH LPIISEEMNCPTDSKNQLPGSQSEELKTTPIIGTQGQSIQREPKTTIRMVPHDSMRSL AEIKPLNIRKKGREPESEPASEDTERPRYASAPTRSSRNVSGLDPIEEHPGSQTCGDG KPQENKKWSWFRHRSHGSRENMAKFVKDRPIQPSAETIVVHEAKQPEERPPTSRKSSA ESIGGLFKKLIKRKPSKTALNEHGQEPEQQDPKPTVTITENPPSPTKAKNNKQKPLPR RPRSYTNNKSTNWFARVFQIKPATRVMALNTTKLHGRKEVFRILREWKQYGLEDVYLD KSNSVIHGRVGEANFLRLRPVEFIAEFYTVLEHKREANLSVVRFRQVRGAASSLGKVV DSLGVVMGQRGLLVQDPGKAKKMASVFDDFAD ACHE_50827A MGIFISPRWFGFIVFSAHCSYISGGYLSSFFLVIYRVHADDVYM LVFVDRRFLIISFPFIICEEKEAVSFLNISFYLLTLRVVFMFVVFHVLDIKPGRLSDH LSVLF ACHE_50828A MSSNPHDYVDPHRQGQYPPPPQWSQPEDHPSAATAAAHYSPASQ YPYPTASYPPPTADHQYPPPPPGQYPPPTSMAATYPHIPGPPQDPYRLPPPPGTYRPD IYAQQPPPPPPQVVYQAAAPRQRTAIACRYCRRRKIRCSGFESSQDGRCSNCIRFNQE CMFTPVSSQAQAFVPAHAAYPHLRNAQSQGRPGGVMLYGAHGQPLPPQQQPGPDLPPP QGMYHQPYAAAPPPLASSMPPDQRPGGRRGSASGFEYPDPTNLAPVTPATSTPGYQTH TAPSPYYPPPPPHDRRPSPQSAYPYDSRHSSSPHTSPYPPMAPQGAMTPPPTSTPTSS RGGGLNVRDMLNPNDNPGRSSTDSDMLNALNRRGLSQ ACHE_50829A MPRRSSRAVPASAAAPAPAPKRRAPDTFSAEKTESKRPKCISNS TTADTKSVKTTAKKSKYFKQETCEIEASESKDGSEREGSVYESAHEDSQDASETPELK SPGAASTDHGAEKGQSSKQGKRGQQKAQGRDSSTKNDEDERSDVEDDAVTSLINKELW REGVKTGLGPGKEVFIKKPKARDAGDVPYQDHTMHPNTMLFLKDLAKNNEREWLKAHD PDFRAAKKDWESFVESLTENIIEQDSTIPELPAKDLIFRIHRDVRFSKNPTPYKTHFS AAWSRTGKKGPYAAYYVHMQPGSCFVGSGLWNPEANALAALREDIDQNSSRLMAVLKE PEMRREILNGIPDDEKEAVKVFVDHNKESALKVKPKGYDVDNENIQLLRLRSFTIGRP LSDSEFMNANVQERIAGLIGIMEPFVTYLNSVVMPDPVGEEPSSGSEEGEESLNENQS S ACHE_50830S MANTTETIPEAFETRKRQILADLSIPEAEYTDLSPKGSVDEGVR DLIRDINALPGLVTTSSCAGRISVFLEGRKKQSQKPPQQEQQQPQQEQQQQRQFAPSG GKGAGRWLYVSHEPLKEEMRNGQSLHGLFGLVPGDGRPPRRLQDEQVQAPRLVRFHFE PMILHIMTAALQHANPVLSAGSSSGFRESGLQSLRCLEDSEGISPIVAIRSSGLSLES IIGYCDDSSEDDGGEPVIHSLVTEEYLEMLVAISNERFSVNTERKERFRTSLLELCSS EQARDSKTKGKSKPPGWEDPEKRRERKRAEGLMRKKLLESQASTSDENKDTKATTSNN FL ACHE_50831A MSQSLRPYLQCVRSSLTAALAISNFASQTSERHNVPEIEAATSP ELLLNPLTISRNENEKVLIEPSVNSVRVSISIKQADEIEHILVHKFTRFLTQRAESFF ILRRKPVKGYDISFLITNFHTEAMLKHKLVDFIIQFMEEVDKEISEMKLFLNARARYV AESFLVPFD ACHE_50832S MASIPVIVKHQGKRHEVELDPSSNGETFKFQLYSLTGVEPERQK ILVKGGQLKDDTPLSSLNAKPNQTFMMMGTPSGDGTVADLGRPKETMKFLEDMTEAEA ARAEGATPSGLQNLGNTCYLNSTLQTLRGVPELQDALQNYQPSSSGGSSRLGDLSSFG LGGLGASGDLTASLRDLFKQMSETQEGFPPLMFLNSLRTAFPQFAQKDRNGHGYAQQD AEEAWSQILAQLRQKLMVKEGEGSEASFIDKYLAGQFDSETECDDPAAKQVGEQPTKS SDVFYKLDCHIGKDTNHLHDGIMDGLEEKIEKNSPTLDRNAIYTKRSRVSRLPKYLTV HFVRFFWKRETQKKAKIMRKVTFPAELDAVEFCTDELKNQLVPVRNKLREVRKEETDV ERARKRQKIAHRDEEEKRAVGASSMEPMQKKKAAEESKESGKAGEKDTEMTDVYKTDA EYEAEAAASILAAKRELAALVDPKVAAESGTNQSGLYELRGVITHQGASADSGHYTAY VKKQQSNGRSEDGKWWWFNDDKVTEVEAEKIETLSGGGESHSALILLYRAVDLPTPE ACHE_50833S MTTMFGSRAALRSQTRFLSAPTRPISRLTSPPSSLPRHTSTVTY ANASSKRSIWLRRLAYATIFGGLGMLVGQRIEEKVAAPPVPGSLEDKIVMEELLRIYE RAIPIVMELRSNPDYEESDVYQNYSEEENSHRLTAGPLRGSRGLALQKVFYNRKEQES ISVVFLGEGTEGWPTITHGGALATVIDENLGRVALRSFPGKTGVTANLQVNYRAPVYS GHFYTFHSKLDQERTTERKAYVTGEVRDPLGGLCVEASALFVVPKKLKLKEVGEKY ACHE_50834A MSNSMEPRPLTSAFESPTFGEDSTFHVEQPVGSMSISPCGRDVV LASKEGLHIIDLDSPYSPPRYLPHHTPWEVADVQWSPFAARDYWVVSTSNQKALVWNL AMNTSQNSIEHVLHAHSRAITDINFSAHHPDVIATCSVDSFVHSWDLRTPSRPAITFS DWFAGATQVKWNRQDPHVIASSHDRFLRIWDDRMGAVPIRSIEAHNTKIYGVDWNRVR PGALVTCSLDRTVKFWDYTAERDVPEKLIRTPFPVWRARNTPFGWGVLAMPQRGNSDL HLYSRRSGEEPGPTTDELPLVHSFPGRKGQVKEFLWRPRGGVVNETDHREFQLVSWGS DKELRLHRVQPDVLKRVGYEKGKSFIHNLNVTRSGAVYKTFRDGQEDLDGTGSGLSAG SQPRFPDGTGINNTISMPYSRTWGGNVDSRVGMRAKTTVRTETNPIAWMRGVKIAGWD IETLGDEISHVGEKFSKVVFESVDVRQRKATISLHGPWGADGASLFIKADIKFPVDYP RASVPTFNIQKTAAVTDQLGAGLVSDLKTIAESYLSRKRGCLEGTIRYLLGESTLEES IAWIPGETAETVKSPVNGGDDSSDEDEVGLSHSQDLGMSSELLRPVNANVMVPVAKAC GALWANDGRLVCFFPPKKDKSTTMLETLGFKEMTRLSRSDKVFEGFGRLQAASPGPRI TGTIASTDDGVSEYSDESDTGSSSSSGSSDMLSGLQTRFPAPQTWRSAGSLGFHRPRS TDNSQRSIGGSGHIKPSEASQTVVSIHDLSSLLPAKRELASKYRICGKSADVCAHNAS VALDHGYHELAQIWGLVKLILLNQQHPGFQFEPEIVQRRFFGLTRRKDSAVDMSFDTL NPIQLPNGAAERGLQWGSHPFGRSWLIPALFDHFERIGDVQMVAMLSCVLHEFNNEGN LVRDRHGHGGLAPRRTEPLSPLDAPSEIAAAQSKSQEAMTPVGSAPKESHLLSAAQNS GRSSGETWRGSSPTFSTGTTPPANSRPGAIAADRKHASHNVSIATSPDQQSQSRSGSG IGSVLASSLSRSFTFGPSSASPPTSVLGRKRQSPNGSPNAPIAWPGGAFPAKAAANST DHLMVPTALNSQTHSDAGSENTQAVPKQQARFRVSMKNQGAFDNVGPSQDSFLDPKKD WLYRSYRTAYSRLLSIWGLPIQQSEVLKIGGVVDSDANYRRARASFSFPALIRNNSDF DGVNPDTQGLEIQRHCSRCGLSLQLSIFSAPPSMEGAAEAKPSEGTSVTCPNCRPKQS LPISLPCVICGEVIEGMLIPCLNCGHVSCFSCHQAWFSKTPSQNDQEKTPATPGQEQE FRSCPSGCGCICSEHITVKIPVPWTSPTPSHERERSLDRPRSGPADSSRVSGDYFPRD EQLEGDVEFWRASPFASLARGLGGGLTRGFRKDDRRLRSKSTSSHSTAAFVPRRSAMN QVENN ACHE_50835S MALPKRIVKETERLMAEPVPGINAVPHEENLRYFDVSIHGPVQS PYEGGIFRLELFLPDDYPMTPPKIRFLTKIYHPNIDRLGRICLDVLKNNWSPALQIRT ILLSIQALLGAPNPDDPLANDVAQRWKEDEPAAIQTAKEWTRTHAMT ACHE_50836S MSLKISNDVEDGDSCEQSLEYTFESSAFSDSNSDDDQHIEGELK STADTNETNSIDHSLGLDSSSDVTAKPLEDVSHSKDGRYEDPSPPTSPKSPGSPGSET TNKTKERRKRNSFLKRFTVFAGVPGQTAVSSQRSSLHSLTGFGDFRRFSLASSVSSQA TEKSDATYKSSGSHGRLSKYISSFSGDTAFPNLDFSETVSGPSQSLKDGKTEDEQAIS FQKKLKHIREITGTQVALRAEEDPDSPQRPNDPRMWHTKNLRCASCMGACAVCNTACC MYEAGCRAKKDPSSGAFKAAQAERIVLNIEALGSHVRDNTTFIMCTLGGGCGRYVCPE CCGICINVACRDVQCKSHDQVAAETDSTQPPNANRQQLVSTGCASPTTKMRTYDDSFS GQKIYPGKGKLFVRGDSKIFRFQNGKSESLFLQRKNPRRLSWTVLYRRQHKKGISEEV AKKRTRRVVKSQRAIVGASLDVIKERRNQRPEARAAARQEAIKQAKEKKAASESAKKA EKAKSAAGAAKGGAKQGGKKRT ACHE_50837S MGKVHGSLARAGKVKSATPKVEPQEKKKQPKGRAMKRLKYTRRF VNVTMTGGKRKMNPNPGAA ACHE_50838A MTNVTLATTSVPVATKPVPTAQNGVETPLFSTNLISPEVLAALP PGYTIRPLRRSDFQRGYLDVLRVLTTVGDVDEDKWNQRYDWISSRNDEYYLLVVCDDA ERIVGTGSLIVERKFIHSLGLVGHIEDIAVEKGQQGKKLGLRIIQALDFVAARVGCYK SILDCSEANEGFYVKCGFKRAGLEMAHYY ACHE_50839A MVSPALSSRAAVRSVASVTRSSPAVARAAVAFAPRTPASLSSRR ALCSITRPQQFPRLQSFNNLSKRTFATTGRMAAETRTESDAFGEIQVPADKYWGAQTQ RSLGNFDINQPQDRMPEAVIKAFGILKCAAATVNMKFGLDPKVGEAIKQAATEVAEGK LLDHFPLVVWQTGSGTQSNMNSNEVISNRAIEILGGTMGTKKPVHPNDHVNMSASSND SFPTAMHIAAVVELENTLLPSLRSLRNALQEKVEKFNNIIKIGRTHLQDATPLTLGQE FSGYVAQLDRNIERVEASIPHLRYLAQGGTAVGTGLNTFKGFDEAIAAEVSKLTGTEF KTAPNKFEVLAAHDAVVEASGSLNTLAGSLFKIAQDVRYLGSGPRCGLNELLLPENEP GSSIMPGKVNPTQCESLTMVCSQVMGNHVAATIGGMNGQFELNVFKPSIIRNLLHSVR ILADGMASFEKNLVHGLQANEERISTLLHESLMLVTCLNPVIGYDMASKVAKNAHKKG ITLKESAMELKALSEEDFEKYVRPELMLAPKEKK ACHE_50840S MTILDKMGPVATDEIFDLNREFFNDTYPQKASLGVGVFRTNEGK PWPLPVVREAEQRLFDEDSISRHEYTAIEGDMAFVRLARDVLFNFHGKEDSDAQKADK ERIASVQTVAGTGANHLGAIFLARNMKPSKVWLSDPSWANHETIWEQAGVPRAKYPYY NPATRLFDFEGMIAKLEKEGQEGDVILLHASAHNPTGLDPTKEQWKAIADLCERKKIF PFFDSAYQGFASGSLEEDSWAVRYFFNEKPHLEMCVAQSFSKNFGLYGQRVGAFHYAL SNSEKQLNRTVVDNLCHLIRGEFSMGPSAGCSIVKKVLTDENLTAQWHENMKQMASRI QSMRRALYDELVRLGTPGNWEHIVQQIGMFSYTGLTPEQVQALKEKYHVYLLKSGRAS ISGLSTENVAYIAKAFDDVVRNVN ACHE_50841A MIEFPSVLLPNNIASGSIVDITVARNHAAEATSASDFQSLQKRI LNTYGIKTPSPPVLRLRNATQTSLVLEWDPIDLATASLKSLSLYRNGSKAGSIPRPTE TRSTKISGLAIHTEYTFHLVLRTTAGTYQSEKLTCRTHKMTDLSGITVTPGILPPQQK EALAAALDRIGGKLIDTVRIDTTHFVCTEGRGPLWQKAVEMNIPVVVPEWVDACEAEG TIVSVRGYYLNADPKARQLGPIHGSSQHQHNASTASIATTAQTQAPSQNQTQTNLQTL PSRERGQSTTSEQPVTPFPGQPTNGQPKAEEQEVSDSEQSPPPPPPKDDAPEAPEKEE AKEETPEASTQPEQNGDSKPSEATSPDKKEEQPEQNGTAKEPENASPENKSANEEPVG DVEKKLKGKQSEGDFNEVPL ACHE_50842A MKLIASTNLYFIRYDPRALAPPVAKRYLGAPFHPIRPKIAHMYD TRDQNTLWWRVAIYPLQQYRRVVRSWSARRTRLAFEQALEARGFDREGRRISNNARGT GKTKMSGNLTGSLEIIAREATIREQYPTIQKEMSSALDALLRAKRQQNQATGDSNKRK KRERVPDTR ACHE_50843S MPKNKGKGGKNRRRGKNENDNEKRELVFKEEGQEYAQVVKMLGN GRLEALCFDGEKRLAHIRGKLRKKVWINQGDIILLSLREYQDEKGDVIMKYTADEARS LKAYGELPENAKINETDTYGQEGLEDNVEFDEDRESDEEDKEIDVDEL ACHE_50844A MPPERTNVPVKLSLPLQYQQDIFTELREEDELVILARGLGLLRL VTNLLHFYDAAGNNLVLVVGADDRENEWIGEALAEHYAISKTPLARGLKVINTEKATV TMREKLYAEGGILSVTSRILVVDLLSRLLDPEKITGMVILHADKIVATSTESFIIRIY RQANKLGFLKAFSDSPEPFTTGFAPLANSLRNTFLRKASLWPRFHVTVAESLEGRRKA EVIELEVPMTDKMREIQNAVLECVEISISELKKANTGIDVEDWTLDSALHRSFDIAIR RQLDHIWHRVSFRTRQIVNDLTDLRAILHALLTYDAVSFVKYLDTIVAANSPPPGSSR HNFSPWLFLDAAHVLFQTAKCRVYQGKISHEINHSFSSPFPSTLQPVLEEQPKWKVLT EVLEEIEHDAYFNPANMDGSNNTTLIMCSDQRTCRQIREYLGTMHARVDNDPEDMSSE NIQSSAEIMMRRRLREYLDWKRSLSNVNRNLSSKPANDDSQTGKNQSQPGKPSQQGKA PQNKRRRVRGGGAVSSAAGRVPNSSVQTEVELPDQVTSLLDEIQPTEVEETQKEEIII DVLDDMDDFYELYDMNDLVVVHPYDGDMDEHILEEVRPRYIIMYEPDPAFIRRVEVYR SSHVGRNVKVYFIYYGGSVEEQRYLSAVRREKDSFSKLIKEKGNMAVTLTHDKSMENP QEQFLRTVNTRIAGGGRLAATASPPRVVVDVREFRSALPSLLHGNSMIIVPCQLTVGD YVLTPDICVERKSVRDLITSLRNGRLYNQAETMLQHYKNPLLLIEFDENKSFTFDAFT SAATPGTTFLTDYGFSSSGAMTTSVSASSSLVNASSPKSAQHLLVLLTITFPRLKIIW SSSPYQTAEIFAELKKNSPEPDPIKAVQTGLDVDIDPDTAPTREGGNMMAAAGIEHRI FNLLPQEMLRAVPGVTPQALERLILETGNISEIANMDVEQLDPLIGKEAARKIVGFFR KSVFEGT ACHE_50845A MPDPWDPTSHLYDQADKRRSSSDSSSNTSQSQSAWDWQGQWQLA TGSNDYSSKSGQYATQNNNGEAQGSSGDIERRWQRW ACHE_50846S MPSILSDADKETVKRNVPKPSNKIHAVAVARLYVAHPDPQRWVY TGLQGAAVLANDLVGRTFWLKMVDVSPAGRGVIWDQEIYDNFHYNQDRTFFHTFELED CPAGLSFADEKEAKTFIKKFHEREKNASKETRQTPFASTRGQGPAPLVNGKGGVGRSI FGSLLGHRSSSVPSGPPPPAPAGTSAPSIQVAPPPPPPSAGPSRKELPFDTSDPSWKG LLDELMQMGITEDQIAENSDFIKAYIDQKQAAEDENQKKGKAPPPPPPSAPPAPKVSP QSTGNSSGSRRGAPPPPPPSRRTRPDAQEDGASASPREPSPPRPKFRAPPPIADAGKF SQDISPAPGTRSRASSGANLGPPPPPRPPKTPMEDSAPRFGVPPPFQGERKVSAPPAP PSRSPAPPGPPPPPPRTTSPAAQPPLPPKVPHGPPPTPARNPVPPPPPTRSPVSPPPP PLPTASRPTPPTAAAPPAPPPPPPVTNTPPPPPPSTSGLPPRPPPPPVNTAPPPPPPP PPVSTGPPPPPPAPPVPPANTGPPAPPPPPPPAPGAGAPPPPPPPPGAAAPPPPPPAA GAPPLPKPTGGRDDLLASIRATGGKGGGGLRKVSDSEKKDRSAALVPGGANESSSGTP SGGGAPQGGLAGALQDALAKRKQKVSGSDDEKDDDDDW ACHE_50847S MLSPSPLKPSAPSASLLRFLRSQSDYFTANPSTCLRSTTCSKGR PSGALSLRRISNWTGLDPAPCRATVEANLFAIPNLSTKKNTPPRGRCALGKEEPVQLS LSPLNPFPSRTASTKSRPLLRRLFDLRRSKESDAKSKASRTGPALIDDGTESNFNIGR GLVSKATNELRLRCTEFDINGNVTLVNGEFRKSELIAKYGLLPRDLRKIDSSTLPHIL VRPSAILINLLHLRVLIKADRVLVFDAYGSTDSYMQSLFVYDLEGKLRQKQAQSAGAL PYEFRALEAVLISVTAGLEEEFNGVRDPVVRVLRALEEDIDRDKLRHLLIYSKRLGTF EQKARLVRDAIDDLLEADDDLTAMYLTERSQGTQRTDDDHQEVEMLLESYHKVCDEIV QASGNLVTGIRNTEEVVKAILDANRNSLMLLDLKFSIGTLGLATGTLYAGLYGMNLKN FIEESDYGFGGVSVTCFAITAVVCVYGLHKLRKLQRVRMWGESGAGGAPIAPLASNVG HRSNWRADSIEPVWGSYPGEGRVERIKRLKEGAAATAAKSAASDATATKASDLMNKME YTRRVRKDASAQGSAEHYPSRGSDHKDSSS ACHE_50848A MVRVKQAPRDQSKLTGKPLSETLEPSPLHIFKGIEEEKWSTSPD LLEGNLLFTPKVIDNLTLYLLANPNRMSSHLFRADILHDSLGVLRTPAERERLFADST GKVALSGDADVADEDDVQPIPARDIAGFELSRTVVRRLIPRKPQLDRPLEQTCHFYGS VYGGYRRLLVVYSPHIASKEELPFYHPLLQSWALLYDYYKPRATSSEEEEGGAGQLSL HFMLYPDEPIQNRLERTLHALLNTQIRLARGSDISTMMPEGGNYKPTKDNVLPRHLVQ DTYSRLKQKYASWLCQNWVEDTQPSKHVFEDLSISAFLIELWRSMYGVVPAEERGKDG HDRNFPGFVDVACGNGVLVYVLLIEGYQGWGFDARCRKTWKIFPETVQERLSEEVYIP KPFADILNGPGASTDALDVGAKTHSGIFPKDTFIMSNHADELTVWTPLMAALANPESP LPFMAIPCCSHALSGARYRYPPPKDGKFDEEEENKAHEAEQNPQPATGDLKALRAAKL DAQTDAGANKSMYGCLTAKTMSVAEEIGYDVEKTMLRIPSTRNMAVIGGRQRVTSEWN SKKNKSAVQTGHPDTNVDADSGDEILTLIEEVVRRECAREGGVQEAAKIWKERAKGIH SGRGQSQRH ACHE_50849S MPAPRCFIIRHGETEWSLNGRHTGISDLPLTANGEKRVAATGKA LVGDDRLIVPKKLSHVYVSPRTRAQRTLELLEIGCKERLPWKESRKAEEDEPIRTEAK VVITEAVREWDYGDYEGFTSKQIKEQRAKNGEEPWDIWKQGCPGGETPEDVVRRLDAV IADIRENHHRKCFEDPNASGDVLIVAHGHILRAFAMRWTGKPLAETSLILEAGGVGTL SYEHHNIDEPAIILGGGFVVD ACHE_50850A MPSHYHNPSQASFRPSILPTSTSASASTSASSTSTTKSRQYTHL HSQLAQLNAHLADTENLLRMTAVQAEDMRFLGGYVGGLFMGSAKVLGEEGVFKEESQG EGERRIKEESES ACHE_50851A MAPSPRLRILSVGSNAISAFISWRLQATTSCDVTLVWKSNFDPV SQYGVSFKSKAFGNERFKPRHVVRAPEEASSRENAYDYVILCVKALPDVYDLAAVIES VVTPQHTCILVNTTNSIGVESHLEQRFPTNVILSLVSGVEISQIGASEFEHLSSSKIW VGATSKQSNIPSSIQNDMASALAMTLSSGGVDCKVSDNIRQEQFERMIGPIAFQPASV LFETSNYAQLLEKVGVRQLISGIIDELLDLARAHGCEFPADFCQSTIDKMTAVEAPST MYQDFQARRPMEVETFLGSPIKLAMESDIKVPRIEALYAMLHHINAANTSKPPTKNSP PPVATPGPPPRMSSAPPPQRGPMNGPMRPGPARTASGIMMPPPRRGMMRPPGAHPPPQ APPAGRIPRDTSVEGLEEFSHLVLYDDAEGGALPQPMQNGDMSAGPPPSASAAELALR ERELALRQRELQVREQEMSMRRGGGGGGRRRGPSRPALDEEDEDDYFDPMDTLGIPQV DPDSVDMMSLTSRKNRRMPSASQFRKNPELSLNNSSNSRPASSFSRYFGGGRKRASER IMQEIPGLHDSLFDNPMMSYSSNRYGAVDRNQMQADSRANSMTTSRMGDFPPHPYPTS RRNSHSPATPQGGPPGPGPRMGRPMTAQDPQNLGPPGHSHGGNPSPPVVRAPVPKHPP GHGNAVGPQQVEQHYGVSNNQFPAKRAPKHRSLTGSASASAKSGDSAASANLESENSA HSSQISLGAQQATTPVR ACHE_50852A MSHEEDLIDYSDEELQTTDAAATTAAPAANGAEEKKGDLTVTGG RPDKKGSYVGIHSTGFRDFLLKGELLRAITDCGFEHPSEVQQVCIPTAILNVDVLCQA KSGLGKTAVFVLTTLHQLEAVPGECSILVMCHTRELAYQIKNEYARFSKYLPDVKTAV FYGGTPIQKDIEVLSNKESFPNIVVGTPGRLNALVRDKKLSLRNVKAFVLDECDKMLD QIDMRRDVQEIFRSTPADKQVMMFSATLSQEVRPICKKFMRNPLEVYVDDDTKLTLHG LQQYYVKLSEAEKNRKLNELLDSLEFNQVIIFVKSTLRANELNKLLVECNFPSIAVHS GVSQEERIKRYKEFKEFNKRICVATDVFGRGIDIERINLAINYDLPADADSYLHRVGR AGRFGTKGLSISFVSTEEDEKVLKDIEKRFEVALPEYPEGGVDSSTYMA ACHE_50853S MAKGKGKNVNSHLRARLDYLHKAATYLHSTTIASKLPQTQQSDN ENNASDEKRDIENISTRTVPQILSPGATASAVEKVSGSVSKKQEPNLNRLPNLSRAYI SQLRGISLKTQLRLPQEVKRSFCKRCDTLLVSGVSCMQGIRNASREGKKPWADVRIVR CTTCGTEKCYPQAGKRSKKLAERKKGKEQKERQAAET ACHE_50854A MSVSASIPDTSLGLTSSEIQILRQQQQLALQGGHTGGGVTRGRG TGRTSNASSRAASAASSHGRLLLDPMNLRALSHQLDSLQEQIRNRLDYLEEQMQLSLQ NSTDRAGNVIRNADAEIARTRSILTSIDDLETEFAKIANIREIVKQYRARIEGLDQRL DQAARRRR ACHE_50855S MPKERSMNPAAAQHKLDKQKSMKKGKAEALARRNEKLARRNPDR IQRQINELKQMQESGQKLRPREQQILEALEKDLRSVQKAREALGDKAPKFNERGGDGL RGQGDGVLGKRRRDDRDNRFGQESDSSETDEEVRRIPMPRDTPPPIPRQYQKRDAGLA ESVRGPHALPNKPPVTESKTVYEAKPEIKDLRREAINKFVPAAVRVKQESIRGQGKLL EPEEMDRLEKAGYQAGSAEGQSTGNPDYDEQRRRILEEEERRFNQELLSVQIEEVEDE EA ACHE_50856A MAIALAEADKYEVLERIGCGSFGIIRKVKRKNDGLILCRKEINY IKMSQKEREQLTAEFNILSSLRHPNIVAYYHREHLKASQDLYLYMEYCGGGDLSMVIK NLKKTNKFAEEEFVWRILAQLVTALYRCHYGTDAPEIGSNVLGPAPKPSGLKGKQAQM TILHRDLKPENIFLGNDNTVKLGDFGLSKLMHSHDFASTYVGTPFYMSPEICAAEKYT LRSDIWAVGCIMYELCQKEPPFNARTHIQLVQKIREGKFPPLPEFYSPELRNVIASCL RVNPDNRPDTAALINLPIIRLVRKEKEVVDIGRTLRKREEVALHKVRDMEQNVLKIEK EKQQMKAEIESTVRREWEVKARLEIDRQVQNELEKLRRRFDFEVHERVGMELEKHKRN SNAHNDSGFQSSHTSQSSGDDQEPSNTDISHLSLESPSANGNKAIKKETRTPLCRSKT VIDSPIDIQMAEPSPMSIASLSLSPRRAAAQNNGPGKNIFADPERHKPKWEPALTYSD DEDDTPDLPSPTRPKVRPDPFKAPARPLLRQNTAAFMQKLSSQPSLFPANQSRLPQAS GNNGQNEARPKSPHRRLSKIPSSANLAADAGSPTRKTGSKQLTSKANGGGEEMFKAVM QRNMGGRTLVELAQARAGGRPLDEVKRCASDSRTSSSNPLKSSDREPPAVWDPERDEM PSPFLNRGKKVIRNLR ACHE_50857S MAENEPTVLRKDQLEISLHNEKKLIKEGTIKDDNPLDLSRPFEE LCNACRQGDLKVCQEKITEGVNVNARDSYDYTPLILASLCGHFEVVRLLLESGALCER DTFQGERCLYNALNDRIRNLLLEYDYSKSTDPIQPLAAHISSLLVRDQPVTSDIIVTV DDESLHLHKFILSARSPYFRQKLAAAPDSTTWLLPSHIPPQAFVAAIKYLYFGEAPRE LRSGPGTGFTESEVFVGIDKIAKHLEIQSLLDSMLDSGDRRMARQRRTIEISRGRDQL EEWFRENVLRNRIVMETSKVDQVKWDRSNAVFADVLLRADEVPEEPEEDTNDAESQTY TKDDKVQISTLFPCHRAVLLRSEFFHAMFSSSFREAHVSNHLKIIDVDCSPEVLEIVL AFLYTERADFSLDVAVDVLFAADMLFIEKLKTKAAVVISTLGSGNMSQAEAARTRGGA EEDELDIYSIVRAAWLTRVQRLEEFAARYLAYRLEAHIDTPEFAELIEESAFRVKGRQ ETDTIEMLDDIRFYLGERFRLRFDDAGVQEMMEREVQSKDTETDNTEDVSKITDGVKT LEIPKTAEKGADVAQVASQKRPQEGQDAPVFETLDGEVTNDEFSKDAINYQILMDKLD QLLENLNLEA ACHE_50858A MGQSVKRKGNKEMNAEPILVDESLFPEFLHEKVNSFRVAIHAFT RQNFRQRLEEPCGETTRVGAFIEHVESLSDDTEGNEVVKRLQIICCVLNWIVVAFDDS YIYFTVKELLKIENWQYQLFKASPK ACHE_50859S MAGSLHSLSVPASTSNFASRRQTFHTTRTNLPHLLYYTTLSTMD VANLISHGPDYLPKRPLYSPPSSKSFKFDDKSYFTAAPTPTSYYSRSPQPPLSPPVED QPKCTLPSISTLFEGADSAAMHPAKRQRMASPDSRPQSRAYEPVTATVPVCLPPTPPM RPGSTFHRASHSPSASSVNDKALQHTIALPADRSSISSQGSIQAGPYASPAPSVTYAS SPVETAAPAPATTAAPAPTVYYHTRPAPAMAPAPAPVAAAPQQMISPVNPAWQHHHYF PPSNTAPYQQNHDRYICRTCHKAFSRPSSLRIHSHSHTGEKPFRCTHAGCGKAFSVRS NMKRHERGCHSGRPVAAVV ACHE_50860A MPSLPTVSRRRQRSEEDTDAASPTPTQSSTGSKRRRLQSPVEHD DDNDGNDTGDEGADQETDTGEGGPKPSTQASMSMNGTQATQTQATQLNGSQAQSEPDA NGYKPGSIVRIKVNDFVTYTSAEFFPGPKLNMVIGPNGTGKSTLVCAICLGLGWGPQH LGRAKDPGEFVKHGCREASIEIELAGGTRFRRNPVVRRVIKRDGNKSFFFLNDKPVGR NKVYELAQSFAIQIDNLCQFLPQDKVAEFAALTPIELLHSTQRAAAGPEMLEWHESLK KLRAQQKKLQTDNQADKDLLANLENRQEMQRADVERMRQRAEIKRKIEMMEFSRPVTQ YKDHHQRYEAAKENTSKLRQELQQLEAELEPAFRVLNAKRDYCTSLNDAVKEQEKLID QASNSAKELAKKIEQREDSIKDLAGQIEAERKSAATHKQEQNRIHQTVNKLKRQMEEE PVEFNVDWYNERIREKRQEMRNLMARGESIKDERRPIFEALNDRKMRIHQAERQLSNL DSVSGRQETKLETLSHDTYTAYKWLLDNQDKFEKEVFAPPIVSCSVKDPKYADALESL MQKNDYLAFTTQSRNDFRTLQKYLSIDMKLSDISIKTSSVPLDRFQPSLSDGEIRQFG FDGWAKDYLNGPDPVIAVLCSENRLHQTPIGLRDINDDEYNRIAEGTITSWVSGKHSY QISRRREYGPSATSTRTRQVRRAQAWTTQPVEASTKHELQQRVQVLRDELREFEEKAN AGRARLEQLGREHGDIKREMEELEREKSDRQTAHTNFRAIPEKISQQEAKLKSMESIS EGVKARVRGIRDQQDRLSIKKAEATIEYARAVEKLRKLHEQHITTRVRQIEAISDFDT LEHRSEEQRTIQKQKEAEVTEAMAYLKSASEIGKKLMKEASAIVKASKRQPDLEEFLK TLSDYTSERLEADIDSEKARLELTQGGSNNVIKEFEERERQINKLRSKLADFESQLSD FNYAINEVRSKWEPKLDALVKKISDAFSDSFARIGCAGQVALDKAGEEPTPIDAANGE RPIGTAGDSDFDQWSIQIHVKFRESEGLSLLDSHRQSGGERAVSTIFYLMALQSLSAS PFRVVDEINQGMDPRNERMVHERLVDIACAHTTTANSTTASNDDESVGGGGGGQYFLI TPKLLSGLVYKPGMRVLCIVSGEHMPPDYSQLNFGHVVERLRAVKTAHGANAKGKGRA IKSPVQRVNGVSA ACHE_50861S MPVPKNTKRMVLAGAVTAITIAGTLYGAGLKTNQEVAQTVQKTR EITLDERIADLRQTRQNLMSKKELVEKQIRDLDMRIEDKKQKAIGGQSK ACHE_50862A MFNYSSLRRKTTSNDTNEAVALETDSRGLVQDDGASHVPATSGA ATLLPRPVASLVSFVTQSTSLSLRLGTFFGGAALRGARSTTLTGLELSRAMIEGILTK AGRDVAVRSGGERGKAEAESLLERSLATLHTTVTSASFFAAATFRLSSTTLSSVAHMS QALLSTLDAILGSTESSRAIAAIITLIRREFRGNVPGPNAESIGVGDLLVGSVGFALL QSWGRKNTERCIRDNGGDETVWDIVILDNGMRADVVHTNQIEFPRPSSGGTEAESRRS SFITPDNDDWSFDAVQHRSSMIDGFDSPHPSLSPEDQHQVSEEDIRLYIMKQLPRGCH ASIRTDLVTARTITVDVFDDNNAEISPPPGTTIVEERFCDNQDTDGSVPVGPAQRLPK HTVVFRTAFNQSQSADVRPNTGDVHAESLEQPLIDTSTRQYGSSSQGQLDAHGNSAAA GKASKLLAPKQHQKNLNNPSQSSPDAASKDTFGGSLTRLAQKMRPATIDNSDDTKQQF LKPPFEKTARSSETQPRKASKSSSNQRKSSLNKEASSAANRSTPRSHLHHSPGKKSAS SPGSRQVNRGRSARNDQYSVREKNQESFMAQTDAYSARPADRRPGSAAGMRNHARSSS SLSLTRSETDMTVSVNNDGRPSSSSLHHRPKTFTPSIYSLATAGSETSLILAHRSRRS AYDDTETVQVLSRDGMVPGIFPESHFVSNIRRFSRFSSASYGSSALKVMGVRKNTKAL PPPSGSDSREHSDFSDHAGLPPSTILLSSFVDPEGGSNAAGETETGFPLVHFLCVDHE SKAIVLTLRGTWGFEDILTDMTCDYDDLLWQGRTWKVHKGMHASARRLLEGGGGRVMN TIKAALEEFPDYGVVMCGHSLGGGVAALLATMISEPVNETAEPAFVTASYHSVMRRML PSRNGAYNVQPYYFLPPGRPIHVYAYGPPAVMSPFLRRATRGLITTIVNGQDVVPSLS LGILHDMHAVSLAFKSDTSGTKSNVRYRVWESLRQSIVNKFYVNEAPMLVHAGDGVGE DAWAWQTLKGLREEMCALKLMPPGEVFVVETMRVLQRDAFTPNLADDGSPRLGRPATR VQLKFIRDVETLFGELRFGSGMLSDHNPARYEASLAALTRGILDG ACHE_50863A MAPVGVQCLFHEDKETGLAEACRESGVPYILSTASSSSIEEVAA ANGDGKRWFQLYWPEDDDITLSLLKRAKDNGFSVLVVTLDTFSLAWRPADLDNAYIPF IRGVGTQVGFSDPVFRSKFEKESGSKIEEDIVGASKSWTSQVFSGRPHTWDQLAFLRD HWDGPLVLKGIQHVADARQALDAGCDGIIVSNHGGRQVDGAIGSLDVLPEIVDAVGDK MTVLFDSGIRTGVDIIKALCLGAKAVLISRPVIYGLAIGGKVGAQSVMKGLLADLWQS IGLSGISNLQECDRNKIRKVHYPGDRMAML ACHE_50864S MEQHREPKLDVESPPPGVIWRDTSEPSIAPDEAKREQRLIRKMD FYILPFVVLLYLFSFLDRVNIGNARLYGLEEDLGLVGDQYQVAVSILFVTYCLFEVPS NLVIKKLRPSRYIASISVIWGIIATLTGICQSYGDLIACRILLGVVEAGLFPGMMTYL TLFYSKREIALRTGYLFSSSAAAGAFGGLLAYGIGFMDGISGLRGWRWIMIIEGIPTV IIGVLTWFFLADAPDTAYYLNEEERALVVKFRSRHAGQTASAQKFHWADVKDGATDWI IYAFSIGQFGVDTMLYGYSTFLPTIIEGMGPSWTTAEVQALTIPCYAVGAIAYLAVAW LSDRLQRRAIFVCVFCAISMIGYGILISDTPSGVHYFGALLVALGLYVAVGLPLAWLP TNLPRYGKRTFATGLQLTFGNVSGVMSPFLYKSNEAPRYVRGNAVTCGLVGFGGIVFG LMWVYYHIVNRQRALGEEDEKIAEMSEEDIQEMGERNPRFVYSI ACHE_50865A MGEPLSPSDSVKRRWNWPFDKAKHGHLLHRSKDRMDIQEYELGR TCRSSDSNKSSTSLTSKFVSFLPRGFANRIDRRVKQERSQQREWAVGVLISAYSACAV LFLNIVLTIIAVSMSYPKFGVQDFSSSILYQGKCSTSGNWARGLHLLSNVLGTIMLVA SNYCMQCLCSPSRQDIEVAHAQRKWLNIGASSIRNFRYIDRRRVVLWMVLLLSSLPVH LLYNSTVFSALGTREYGVLLASANFDFNNPPNHGSDAGCFEQNISMNMSTFYSEVPKF ERLDTQKCINTYAADYIADRGTLILVTNNVTADNGSLRWVSMGNSPQQYSSYSFLWMC QSEPNYPQFIHDDTPGERNCEKFPCQKECKRGDCETVCLGDWSVSSMPWSDPLLSSNM SYSTNSSWAKDSATQNAGATCALDSVRADSFPALSVDYCLSQKVEEECQLLFSLPICI IVILCNIVKIVCMFMTAHDPRKEIFLTVGDAISSFLSRPDMTTEGNCLLSKNLVSMGR SSWERSSKPAKLQPRRKRWRDAVGGPFFAVTIVFCLLLLLMSSVLFYLGVMTLRAKGQ SIKPTELWKLGFGTITSTAIIRFCDNPSNCSGTIPMALLANIPQIIISVAYFLYNNML TTMLLAAEYNDYAIERKPLRVSWAKGLQRSTYYLSLPYRYSIPLMICNTILHWLVSQS FFFVEVVLYDMAGKLTAERLIACGYSPIALMVAILLGFVMVCIILGMGFRRFRTNMPL AVSCSAAISAACHPPPGDNDHALKPVMWGETSAPQVDSSSNGEIATRSVSQPDTRQYS SVKKPLHRANESQTELLGKGNGFEDDGEAIAPVVSSPYTGSCFSDEGNPCADQAKSHV NLLGESLGVGGRRFSEYGHCSFSSLEVSTPSTERLYL ACHE_50866S MNVQPIQAIEEVNMFKEDGNVIHFGAPRVHASVPSNTFALYGNG EEKELTELVPGILNQLGPDSLASLRKLAESYQNMQKNQAGGEGKKDDEEDDIPDLVEG ENFESNVE ACHE_50867A MEYHFVPPEERARDEKGNLLPWGYVYKDESRNPRRPPEETGPFG RRRNARYETARSRTRTGTPAKKENVNVAEFGRLFAQQQEEERTHHTLPKSSSASNLDN PFTEKVATECILYGYKSKDSEWKVIDKYERISRGLICEDYPRSDPNAFGYSQMLSGGD VVIRANLSADANRKSKRYAGGFHWIKITFDSTNAADRACFFSPQEIDGHLVHCELYHG SGPAEDIPIPVESAAASRKAARTLTTSHSTNFLQNQVNERHTLPRSFALSNLSSVLDN EDDESQISTNTASSATATGIEQPATSTLHQRQQLQPQPQPQLQPQPQPQPQLQEPKPE SEFMTHIPTVRRTKLRPLTEALPPQPTVTERVLRSIPILSWFTGDIVGDGPLLREDGT FDYDNSNIYWRFWYTVDLLIGTDMCGLKEES ACHE_50868A MPGAMSPIFGFASYGLRHPRDLFSSVGPTLNELTFGALGSSFDP QRDIGDLTGKVIFVTGGNIGLGKEAIIQLAKHNPSRIYLGARNATKAQDAIASIQETV SSHVDIRYIPLDLASFKSIRAAAGKFNSDSERLDILMLNAGTMGNPPDTTEEGFEVQF GTNHIGHFLLTKLLLPTLQKTAANATPAPDVRVVTLSSVGHSAAPSFDVMTSTPALLD ASTWTRYGASKAANILFAAELARRYPEILSVAVHPGAVSSNLYQHAKASAFTRAALAA MSLGFRSVRTGAMNQLFAAGAKKEDLVNGGYYIPIGVHAKTQYASDAELGKKLWEWTE KQIEEKSQS ACHE_50869S MKFLCLHGAGTNDEIFDLQSGGIRHSLEEKGHTFRFINGGVLSD PEPEIASIMDGPFYKHYTVNTPPGPTLSTALQYTLSIIEKEGPFDAVMGFSQGAALAC SLLIHHAKTHPDESPLFKMAVFICGATVWDVDNGLEALQPTPGTSAVGIPTVHIVGKQ DPLYAEGKKLYGLCEPEKAVFYDHGSRHMIPFDLVNTERMAGIVEETVKQVEGL ACHE_50870A MPPRAPYVVPALKKHTATVIMAHGLGDSGAGWMSLAQNWRRRCM FDEVAFIFPNAPMIPITVNFGMTMPGWYDLTKLGRDLDFEESIRTQDEPGILRSREYF NTLIQEQIDNGIKPSRIVLGGFSQGGAMSVFSGITNKEKLGGVFGLSCYLLLSDRIKN FMPEDWPNKKTPFFLAHGLDDNVVPHSFGEMSAKAMKELGLEDVNFRSYPDLPHSADP AEIEDLEQFLGKVLPAEGAGESAGL ACHE_50871A MEINQLEKLRPVGRLEQYSTARNPLGFYYNVAVAATYTLPESSL SIKDYVYRACEAAIFQHPILCAIPVGEDTKEPHWARLPEIDLGRSVSSQKRSHPFPGE DEKDDELEKLLNIQHNIAFSPPLPYWRVCLLLDAGNKRRFTAAFVFHHAVGDGTSGKA FHKSFLEGLHAVTESSAETRQVISSPTMPLLPSIEEIHPMTLTIPYLATTLFKEKVWS WRDPGLWTGSEIRVPLETQMRHIVIPKSLATSFRHACRRNNTTITAALQTIVSRAVFT HIPDNFTQLKCNGPLSSRKFLPDIVTDDSIGVWIQDYNEFYSRDDVMLDSFPWSEAQR SRRTIESTLSLQGTNASPNLLRYVNDFHQELFLSKVGKQRGSSFELSNIGVFAPGLNL NDDPSKPHIGRMIFSQCASVTGCAVEISVISGGDGCLVLALSWQTGVVEEGLASSVIE TVEKEVHELAQE ACHE_50872A MKLSILSLAALTPLVAAHFKLDYPTARGENEDTMTTFPCGGLAR SSERTKVSISDGSFPVAVTMGHTQTAFEVLLSLGNDPSTNFNVSLVPTFGARGLGSLC IPHVAFDEDILGVNITDGMNATVQVQSNGDPTGGLYACADIQFSSSTEYSNPSSCKNN TNVAAAPFTGEAAQRNANESTANGGAQSGGSSTSDSDSASTSTGGAVALQTAAWRMLG AAVVGGMAVL ACHE_50873A MDMDMGSSDMSGMSDMSGMDHSSSSSSSMSMAMVFVNNHDTPLF SNAWTPSSSGTYAGTCIFLIALAIIGRCLVAFKAIMEQRWTAAHLNRRYVTVAGKTSE AGRIDSDPDAKTASLVTPQGVEETVKVVRRVTNEPLPWRFSVDLPRALIYLCITGVSY LLMLAVMTMNVGYFCSVLGGAFLGELAVGRYIQWNEHDH ACHE_50874S MSMSMSMSMGGMGGMSGMGHMSMGAGVPDPFYLQRMYWAVVGSA IGAAALVNFFNWYLARQRLRDSTNTPAKPKSIFFVFCATATTLVREASYATIPPLSFK GRTFYFAPLGPVAIILANIVTLMVLCFYKLDTTNQWKWEDVGYRTGFITIAQLPLIFL LAGRQNIIGYLAGMGYERLNWFHRWISRTLWLTATIHMGFWFRDWGQYDYITYQLKND ALAKRGFAAWIILSFIVISSVAPVRNISYEFFVLQHLVTFVGFIVAVWLHAPNEVKAW VWIPIGLLIFDRVARYAWATYANLSIFHRSANPKHPLWANRASFTPLPGNVTRITIEN PGISWRPGQHVFLTCHSIVPLQCHPFTIVSLPEDNNMEFLVRAAKGGTRLFFRYASKN HQILGSGGTSPQKGERTVFIDGPYGMYRSLRQFDSVVLLAGGTGATFIIPCLRDIVAA WKGESQHQDSKTRQAATKRIRFVWVIKSRAHLSWFDSQLQTVLAAVDECRSNQPGLIR EIEMSIYVTCDEALEPTSTTIDRTICSQAQTITPSSAEMHDEKGPITQKEDNVTVQPI SSSSSSNQPATGCLPKGGCCCTDRVEDEDEITEQHHCTCSGHAAVPTSPVIENKIGEK ATSNMSPIKSALPIFSGRPQPRTIIRKILEKAEGESAVVVCGPRGLSDEVRRSVVSLS DERAVHKGTGAQGIYLHVESFGW ACHE_50875S MFRFPKSLPPITLFHNPTLTSSAHALTILKQASTTASETATEDQ ASDYSNHAKNQQAEFQLEVTESAPTNDQLRSILDYVADNGVGKKKTYVPGEIVKGARD AEDALARFKEDKERFVRPITVDWNGGRAIIGDNQSEILSMLRQVGESDVD ACHE_50876A MMPVDIARETAERHGLRLPEPPPLLHTRHEPYDRPSTPSGNGFT SPAQTPQGSPSKNRMPPGSLDLPNVFEKAMKLAPPSPTKSSPTKTTYNHYNHPMFSPP KAKGSMEDFSESVIHQPSSSPTRKCQDKENAAPSRLPQKSLGRNPAVAALSRHEPYQP PRDDPIGRRQVQMRGLTPEELEKLQNPRVKRLVNVTQLYFLDHYFDLLSYVHNRQNRQ SQFRNAYPDPPNTPIEEYEPALRKYLGRERAHLRKRRTRLRQHDFQILTQVGQGGYGQ VYLAQKKDTREVCALKVMSKKLLFKLDEIRHILTERDILTAAKSDWLVRLLYAFQDED QIYLAMEYVPGGDFRTLLNNAGVLHNRHARFYVAEMFSCIDALHALGYIHRDLKPENF LIDSTGHMKLTDFGLAAGMLNPGKIESMRVKLEEVGNTPVPFGRPMEQRTAAERRQGY RSLRERQVNYAKSIVGSPDYMAPEVLKGDQYDFTVDYWSLGCMLFEALAGYPPFAGAT VEETWQNLKRWQKVLRKPVYEDPNYFLSRRTWDFITKLVASKDYRFNNIHEIHAHDYF NEVDFSRLREQRPPFVPELDSETDAGYFDDFSSEADMAKYKEVHDKQRALEEMAERED KMSKGLFVGFTFRHRKPAIEGTGRSSPRKPIPTDGSFGTMF ACHE_50877A MATPFVYHAQAQSTFEPPLIANENAFLGDLASSEQNAPEKPISC GFFRLEKGTPLVYEYTYDEMKIILEGEVTISDATGQSVQARRGDVFYFPKGSKITFVT EGGGLAFYTGQRAKGGA ACHE_50879S MTTVSITRELEPYLASLRSYLEDHPSNLPDSEPATRSECQPAIK KDPGEPSRSKSSATRNPSAKRLALRPRY ACHE_50878A MRSPTLQAQGMESIKIPSPSAILDIPSSTPPSAPPAATTGGSVG SSSSLPAPSISSSAPPARSSLFTARTSTAGGHASSKPKQSKSRNGCITCKAKRLKCDE SKPTCLQCERRKVQCGGYKKDFKWRPFEETNAANRTSAKTRNVTLLPPPATTPKRSTG NVPRPVSFARTAPSEGSPPKSRQGRRLPQKNTPPRVEEDTQSNLDALSEKTPESSLGE LEIPGSAPWLSDLFPSSVDSDGNSFGAMWTSSPSKSDSFSFSALLTGDDDEIEEIVRQ SDPGSDQWLFLPDSGPSPSRTEAPMTSSIPAEPDLGAASPEMLVLRFNRSTCGILSIK DGLHENPWRTLIWPLAKDTPALRHAIFSLAAFHSSKENPALRVHGVDHMRKSIAYMVQ NIESMRTDAALATSLALAFADTWDQHTRSCIQHLRGAKALVSQVLDLAVQGKVHGADL ERVRFLYNTWLYMDVIARLTSRDDCEGSEMDLSIFQLPDDVVHEIDPLMGCATTLFPL INQVARLVQRVRKSNSNSISLVSQAIELKMLVEQWEPPRWFEPPEDPTSEVQHSIQTA HAYRWATLLYLHQAVPEMPSEPTSELAKRVLILLATVPPSSRTTIIQMFPLIAAGCEA EQEEDRDWVLNRWMAIQSRLMLGGIDRCLEVVREVWSRRDAYKVEQERKHKRSRSNTV YDSSETWRKGLGREERAIPSTTSRQAPRRSSAVSGLENIEHEKTVRGRLHWVNVMEKW GWEVFLG ACHE_50880S MLSDYRVCGWRSEPQAEARMAGKRDVFPKQSPSTFFLLSNYTFC ILDNKMFRSVPRRLPRRLPTFSPITAGNPSRLALRSFTCAYPRMSSPLPPVEPPVSTV LPGDSYQLLSSADKAGAAEDAFYEQQVKDVEAWWKSPRYEGIKRPYSAADVVSKRGTL QQTYPSSLMARKLFNLLNERAAQGKPVHTMGAIDPVQMTQQAPNQEVLYISGWACSSL LTTTNEVSPDFGDYPYNTVPNQVQRLFKAQQLHDRKQFDARRKLSPEQRKSTAYVDYL RPIVADGDTGHGGLTAVMKLAKLFAENGAAGVHFEDQLHGGKKCGHLAGKVLVPMAEH INRLVATRFQWDMMGVENLVIARTDSESGKLISSAIDVRDHEFILGVTEDVEPLAETL QAMEREGAAPSEIDAFELDWVKKHKLVTFDEAVDAHLESEGVSQSTREAYKTKVSENP NLSLSRRRALANDYSKTPVVWSCDSPRTREGFYHYRAGFPAATKRAREFAPYADLLWV ETGDPDVAKAGKLAGDVRSAFPNKKLVYNLSPSFNWMGQGFDEASLKSFIWDLAKHGF VLQLISLAGLHTNATVTTELSRAFKDEGMLAYVRQIQSREKELGVDVLTHQKWSGAPY MDGILGAIQSGSSSSKSMGEGNTEKGF ACHE_50881A MGRTVDQEVHAAFVEFRAKEDDKCLSVQCIYCQQIRAKNTSRQK QHLLECPGLRGHPSAPQPQSQSAQSAANGISAANGYPPTPNGPAAAAAAAAAAGAGPG PGAAMPTANGAMMSNGVNPHATPMQTPLNMAAGRGTLSTPGGPPTAGPGSSSGTPQQG SRPTPKPKPKTSTSSLPAPPLDDVHAAFVEFRAKEEDKCLSVQCIYCQQVRAKNTSRQ RQHLLECPTYLSVMKDSIPANNLLHTFPEGDVARSLQIPAPSLELDFRMSIKMNPRVS VGQGLWGQRDWVSFLGGQWAGRWGKGIILPGGQDSQIVTKDSVTSLRATYMLQTADDP PAYIIVKTNGWLTGSKDVLDKVNDPNMADAVNPNNYKYRVNLSMETGDDRYAFLNTLM WIGSGCRRGHEVIMDCFRVN ACHE_50882A MLQYYTGYKPGDVPGNLPDPYYWWEAGAMFGALVEYWYYTGDSQ WNDITTQALLHQTGSGNNYMPTNQSRTLGNDDQAFWGLSAMSAAEVRYPNPPEDQPQW LALAQAVFNTQVPRWNEQKCGGGLKWQIYSFNGGFNYRNTISNGCFFNLAARLAVYTG NKSYAVWAEDAWDWMFDVGLASQDFHFFDGTDDRNNCTEVNHIQWTYNAGVMLLGAAN MYHFTGQSYVWKARVEGIVKGLDYFFPDNKNVMSEVACEAGGTCNVDQRSFKAYLSRW IAATVQIAPFTHDTLMPKLRASAQAAALQCSGPNNACGLRWTKGADYDGSTGVGEQMA AMEVFQANLVDSAKKRVTADHGGISKGDPDAGTEKDDDAYIGVLDDPITTADRAGAWI LTVLVIFVTFIGVYFMTT ACHE_50883S MSEQPYDPYIPSGSNGAAGAGAAGTAQHGGDPKTQQVSREIDDT IRAMRSNIIGVSERGERLDSLQDKTDNLAVSAQGFRRGANRVRKQMWWKDMKMRVCLV ICIIILLVVIIVPSVVATRR ACHE_50884S MLSLRTAVRRASSKPLRALPTSHAVSPARLSSPSSRHALKASAT PLLQNRHYSRAADPQLSSTRSTVVQLLSNIGSKREVQQYLSHFTSVSSQQFAVIKVGG AIITDHLQTLSSALAFLNHVGLYPIVVHGAGPQLNRMLEDAGVEPQFEDGIRVTDGKT LALARKLFLEENLKLTEELERMGVRARPLTAGVFSADYLDKDKYNLVGKINGVDRKPI ESAIEAGCLPILTSMAETPDGQVLNVNADVAAGELARSLQPLKIVYLAEKGGLFNGDT GEKISAINLDEEYEHLMSQWWVRHGTRLKIKEMKDLLNDLPRSSSVAIIHPADLQKEL FTDSGAGTLIRRGNKVHTKTSLSQFEDLQRFKDVLVRDREGLDARATVDRYVDGLNER EFKAYYDEPMEALAVALPPQQGSSLAHLATFTITKAGWLSNVADNVFAAVRKDFPKMA WTVKEDDENLTWFFDKADGSLSHDGDVLFWYGIENGDEVKQLVQEFNKHGRDMFGEIN LESRLVRAAQAAAKLGKGVGASAASLEQTRTFSTTTNVLRAARSSFRPTLNGVRTYAT TNPNPPLGEKNNSNTRPSKVALIGARGYTGQALISLINAHPHLDLRHVSSRELAGKKL QGYDKREIIYENLSPEDVKRMSENGDVDCWVMALPNGVCAPFVDAVNQGSEKGNVIVD LSADYRFDSNWTYGLPELVNRSKIAQATRISNPGCYATAAQVGIAPLVPFLGGQPTTF GVSGYSGAGTKPSPKNDVQFLTNNIIPYSLTDHIHEREISTQLDTSIAFIPHVAVWFQ GIHHTISIPLKQEMTSRDIRNLYQDRYAGEKLVKIVGEPPLVKDIAGRHGVEVGGFAV HSSGKRVVVCATIDNLLKGAATQCLQNMNLALGYSEYEGIPLD ACHE_50885S MFYSYDILTSPEHGVATIWLVATLGSRSIAKRLNKKAILDVDVP RACNVITNPEAPMALRLQGSLLYGVSRVYSQQCGYTLTDVQAMHDKMRAMLKVLPGGG LDPAAGKARPDQLVLPDDPSFVPEINLPGLGIDFSKITLEPQADTSRQDSFLWTKSPD LSQIVPENLNLQLDLSSEDIMKDFGGFGSQSETSGSVQRRPLGRIAAGALDDEAGVLL QPDFEFDEDGNIIELSGERESRPNILRDQLAGRHLSETPLAGMGDNNLSWDYQPMLVD DDEGRATYAQNTATPMQLARSRQPFESSPAYGPSINQDSQTVTARQSRRVPKVVPADN QTALRNTELAQMNNEYVQNMAAILKQKQNNKIPAQAKKNAVFWVFGLGIGSVGIGLGA SQMPHPLQVFSGDELHTALNPQEKRKARKRARRADDDESDSDEEGRRVRAREEIEDQI GRGGDDVEIGRNAPPSLRDDNSQMPWNITASIQSSRHGSSANIFRGLGSVSELSSRGM SEPASIQLPGFGRPRSRLTSASPLAGRGFSYDLESLNGLEGLEGDIGVYGDFDLSHYL QAEVDGDGNVMLRNDDGVNASAQKRARTNTRGTNSQQRYLQEQVLQSSLDQDTVNFLD FMYAQTLDMPEKHDSEAQQEEDEDLTGFSTPIRQVSGVKEITFSTLLPPKETTRTVAT HALMHVLTLVTKGFLEVHQEEYEDRSSEEHGVLYRYGEIFMRLP ACHE_50886A MSRGSGVTWLKMLGTAIVLCVGGPALVQAIRPTDEELFKRYNPE LQKRSLEEGDRRAQEFDAYVNRLKEWSKSDKSIWFAAKEQEQQRTQQAVDTPRTKAND EARIQREEMRRELLGEK ACHE_50887S MAYPQRPPPQRQPPMRSYGGRPPPGPAPADGYYDYGYDDGYQYG DAGYGDGYGYYGGGGADYATPRSYGPSRGAPRPPRGPPPMDHGGYGYPPRGYGSSSSS SSSSRRPPPPGRGGMRPGPRRPPPPDRAPYDNPYPHFPGRERPRKGSVPAPVERGMAA MNINGPPPRGMPDRPHTSNGRRPGPPPDSSGRGRGPYPGPAMRSASAGRPAPSRPYDR SYTDPHGPPPMPMPPMNRSATAPLEIAGPAYGEPAGDNHDTDDLLDSYYGSAPDDPDM PNFDAMPDAKGGAVDESLPGLDGPKPTPKTPTESKPPGGQYAAFTPHSVGSHSAPVSP DSNAPNQFANAGFQFDLPSEPHPISPGGDRSGYSYNSPPEGYGESVPGYPFPHRQDSH SHTMPGYSGASGGPPRPYRMNQPAMANEMEAVDPQQNPDALPHHPVPFRPGHDGGKPA PVRQYAGAPNGPTSPTSATPQQDMGPFAKPVTRQELEELQLAVKKKPDQATQLLLAQK MVEAATVLVDEAHLDAKGKAKAREKYVMDAYKIVKKLVSSGYADAQFYLADCYGQGQL GLEVDNKEAYNLYHSAAKSGHAQSAYRVAVCCEIGSEEGGGTKRDPFKAVQWYKRAAS LGDTPAMYKMGMIMLKGLLGQTKNPREGITWLKRAAEKADEENPHALHELALMYANAS PNDIVVRDEEYAKQLFCQAGELGYKFSQFRLAAAYEYGLMGCPVDPRQSIIWYTHAAA QGEHQSELALSGWYLTGSEGILQQSDTEAYLWARKAATAGLAKAEYAMGYFTEVGIGV TANLDDAKRWYWRAAAQGFPKARERLEELKKGGSRMQKTRLSRSAVNRQNPNEGDCVV M ACHE_50888S MSPQTTPSTASNQHHPNTHTSSRSSTSATIFLASVVALLAAAAI AVFFGNEDTAGLFRLRRFFGGGVASSRSSSILRQTSPAVQGFSSSALAADEIKMRTPV YFLSHGGPNVMYQVDHPAYKRLGQIGREITTKVKPRAVVVFSAHWQASQDTIQVNTAE ITDLIYDFYGFPGHYYKEKYPNVGSAEVAKKVLDALGAAGIKAKGVKRGLDHGVWASF KCAFEPDENPLNVPIVQVSLFKTEDPVQHYRLGQAVSHLRDDNILIIASGMAVHNLRD MMFSFGDPRPLPYTASFDEALKDAVTSVPEDREKAMAELLKRPDARQAHPYFDHLLPI HIGAGAAGEDRAKRLWTLGEGSMSWAQYRFGEVANSSGSL ACHE_50889A MSTKYAFSTGLKELRFLFCQSSEQSAATRSFLNRAYPTMKKHNP HTPILIREAAGTLPRVYARYGFGREKQEVLLGLSDQQIEEKLTGLVKESS ACHE_50890S MSTSRIGFRFFQNTRAAFRNAYAPFRRPGARFQSSEAGAASAES QQSGFQRLWNSPVGVKTVHFWAPVMKWGLVIAGISDFQRPAEKLSLTQNGALMATGAI WTRWCMIITPRNMLLAAVNFFLGCVGVVQVSRIFLYRRSLEGSSTEAAKSMEHEVADS AKAVAHEAEGAVKKS ACHE_50891S MPRPKSIIITGGASGIGLGITHHFLSSPAYTNTNTHVTILDINP SAGAKARQSLRSQYPTASVSFEHCDVSSWESQAAAFERVFEQQGGVDVVFANAGVTES VDLVKSALWSASGGGLVKPGLKTVDVNLSGVLYTVHLGIHYISKNTPTIAGDGKPPSK GSIICTASNAGLYAFPMAPIYSATKHGVIGLVRSLAPALEREQIQINALAPAVIETNL APSSDLFKAMILTPMSTATKAAEQFVDDSSLTGNVAELHGEHVTFAEPPEYVDEDTKT NIETFAKLGYA ACHE_50892A MKSSSEKHFRCTVCQRGFTRIDHLKRHQLRHSGLKPYSCVFCNE AFARCDNLRDHYADCAKRGDQKIPETGQRGRRRHACQPCMSMKLRCDGSNPCGSCQKR NVECVKEQKPGSQKSPLSDSQSTSTTHSALAPRNIYEPSSDRGSIKFLLNGGTDSFTE HFHLPPHTDRARGLEYHNQKGFEEAEMSILGYPERSDQPGYAPEFVESDLSAQSFFQD TFINFFQGPFPLGEPPRTLDEHYRSETPYDPLVPQGQPSHEPEKPFAMALIQAILSRA WTVPLEPKVQEEISMDIHFLLTTARIRKFVSLYSRFWHPNCPLVHIATFDPEIASLPL LTSIVFMGAIYSDDMRESVAAKRVLDFAELFVFSSHVFASEHDIGASFRTEHRMDDEP NELVQLQDLQAGYIMTVTQYWAGSRVSRNRAMETLFNQVVNASRRLGLSKCRHQRQDQ FHESLWIKTESRIRIMVMIALIDSAFSFFQNYPCRLAHVEMDFDLPCEESLFNSVHPF SEPNFRFSRNLTVSEAFQSLFEECPEDPLRPPSPSLTQNNVGNPLAFTVFDMFLLIHL LYAFINTHMTLLIPILRKYQTSKSPSTIPDDSILAAIRTALSRWRDNWVTLHNQLPGD QWASMGFYKNGYNFWLVSQLLITKKESVDVVMRMEVKCEDKLEKLKVLLMDE ACHE_50893S MPGMFQATPVSLSFLILPLAVTLILGALAWSRISSSFLPLPSWL PVLVTLLSPLTAAALAVSNTSSRSGANANTPRTRVVSIIDQLHSILLSAIASVALAYL FPGNILACHLEQQWQGFFQSKNAHAIRTIQDTNQCCGLRSVHDRAWPFKDRDHGDNAC EMQLGYHRSCLTPWRVQQQNVSWMIFAAAALIWATKVGFVQFGGHRASWMSTASSRNN GEYRRITQPELRDAEAEGNGDTERGTSANSTFSPHAGHNVWEER ACHE_50894A MRRLSRLLNGSNDNAAEPLPTESKWIVEERSIDEARPLRVVIIG SGISGIISSVRFRQRIANVDLCVYEKNADVGGTWLENRYPGCACDIPAHTYQATFEPN KEWSTFYATAPEIYQYWKRVASKYGCEKHIKFKQQVVQAVWDEKKSNWQLQVQDVDSG SVYSDEADVLISATGALNNWKWPDIPGLHDFRGKLMHSAKWDESYDYSGKKTAVIGNG SSGIQVVPGMLPKVTHLDHYIRGRTWLSPTLARDEIDKRGAGLENFSFSPEEIEEFKK DHQKYQRFRKGVELELQSVHGATITGTPEQVGARGIFLENMKRRLAGNPALIDDLIPS FPPVCRRLTPGPGYLEALTDDKVDVVTSPIVKVDTEGIITADGKHHPTDVLVCATGFD TTFTPRFPIIGRNGVSLAQRWKQTPETYLSLAVDGFPNYFICLGPNAALGEGNLLLLI EKEIDYFTECVMKMQRDNIRAIGPRKESVARFTKHCDQYFLRTVFSEKCRSWYKGGTE DGRVTAVWPGSSLHSMKALAHPRWEDYEYEYVDDNPNGWIGDGWTENEKYKRINVDYL DDDQVDFPSVVVDNREVPAAGVTAD ACHE_50895S MPVFTEYATKSRELRVLPSFGPPLPRITPAFTRDEQTEKYEVVI VGAGPAGMMLGLLLSRYGLNDDSLLFVDGKPSLLTSGQADGIQPRTLEVFKSLGIVDE LVHDGCHMEEVAFWNPSSNKDEVIERTSIVRDIAVPARYNFEVTIHQGRIERILETDL LRYSKRGVQRSTKLLTVNIDESDPEFPVVAELETKGQRRTIRTKHLVGADGAHSVVRR HMGLKMLGESTDHIWGVMDLVVDTDFPDIRRRCAIHSPAGSVMVIPRERIATGDYLTR LYVQVPELETEETLNGSNKEQAKERRSKVTMDRLFQHAVDAFKPYYIQPKKDGDVDWW AAYQIGQRVTERFAVKDSKGVNRVFIAGDACHTHSPKAGQGMNVSMMDSYNLAWKLIY SIYGLAPNPEALLDTYHSERHTIAQQLIDFDRTFSSMFSGKIGSEDGNQGLTHEEFQT VFSTGNGFTSGCGIEYPVSLVVNRTLEKEGKDPIHGTDRLSGMLYPGRRLLNVQVKRH ADGNRRDLQDDFLSTGRFRILCLTSTDLLDPSGISHQTLTTLGSSVLPRFPISTIEQV VLHPRLSRDFTWRDVPRELKQYSEMQFYNGYEKEDAYGVYGVIPEKGAVAIVRPDGYI GVVAELGDVKRVEGYLEGCLRTV ACHE_50896S MAAQLLDNIPTMTVGSVAVVKAFDHWFARQLREDWRLHYQTLSA EREREFRAFAREDGIEKYLARLDSDGDDEFNYEDDKEGEDEHEDSNFEGDYEESDQEV SPGKGEAFFYHLMRKW ACHE_50897A MNIARLTTLGALVSLAAAWLPETNKQITASNGTNLFSKSNGKIR GVNMGSQFIFEPWIGESAWSDMGCGDQKSEFDCVSSLGQEKANSAFTEHWDTWITEED ISEIQSYGLNTIRIPVGYWMKEDLVYRDSEHFPQGGFEYLERLCGWASDAGLYIIMDL HGLPGAQTAENPFTGQYASEPGFYEDYQYERALKFLEWMTTNIHQNDKFRNVGMLEIV NEPVQEEDKAASLRAGYYPNAFRVRLLPLPCGQEQQANPSQRIRAAEQKLSVNQNDYL HIQMMDENWGAGDPNQYLDDLYYAAYDDHRYLKWANIDASHDSYISTSCSDELDSNTP LIVGEWSLAVADDIESDSDWDPDSNTDFYKKWFAAQITAYEKQQGWVFWTWKAQLGDY RWSYQDAVSAGVIPTDLNSALNTGACG ACHE_50898A MVRRQYSRIEFTPGIPAQAPQTSASLVDTVVPVQGHRDQLYVFF GGRYLEIKIDDNPNDNSVNGGARTIPSGWKSLEKVGFDIVDAAVVVPGNTNQLYFFEL KGWPNLVEAGFDAINAIVESPSGEDVYYVFCGDKYAKIKIDTSRKDTLNLSTRLISSG WKTLDGWA ACHE_50899A MSATAPSVYPIRRRPRVCKTCLPCRASKVRCDRNLPCGNCAKRN FTCSYSQAPLSTGATIHNPPQQPSTLSPSQQQHILQRDIHPRNAYPSVTVTPSDSSIP SVRFTPDQGRYQYAAENHEAGADGDGFVGDDEGDDEDEDESSDTVNISQAEWEDIHTK MGAMEQILNSLHSLFQSHSGRRRKGPDPPPPPSSSSSSFTSSFEESPPAETEADRERR HSPQVGNIFRPSPLLKKGTIHIGSRSALVDIFNKSRTSDDTARALPKDDLLAELALGN QSVAYPFVDLWSSDPFTFNIVAVCDVLPEDVQCHRFFDYYRNIGAVLYPVLSDITQVE QNVQQLLRNRAASGGGYHPDDNGLVKPFGMSLGFLSLLFAILASGCQLSDLSASEREL TSWVYVSCSYQCLRMMNYVAQPTVEVIQILLIISNVLSYNMNAGASYTLLGMTERMCM VLGLHVETPGFSRFEQAVRRRVWWTMAFQNSHFSLAYDRPSITMVSQPEIPYDRKSMP GHRSYFESLCRVVSLALEVLRGRLDPEHSHIRYHDIREYKQRMHRILAEAAPHLRFAD RCVTIADNIERTELRLHSCYLISVLCRVSLDPDSHLDGHRRALVREDCIANLIGTIEA FVELHSISHHCSRSWISVQRTIACAFLLVAHDGQSNPRTGLLVQKLQDVLADHVYADG AAAHNSRTDSAKHLASSLRALREINAAFQARQMRTPPDSGVVSKSDTPNITVTLPAAS GSMMINPSMAAPVMPGYGQGQSYVPMEYEYPDMRNILDQVSDVMLFPSMAE ACHE_50900A MLPNNQVRVSSTRVVSQTVCSDITDKFTNAASKLSTGQLVKDEY FTLFEAVGALEIMDSRMDSGYIGPGENQAQALEDDYDVTRELTPEEVLGIMDELLCHE MAWHMGHPLSQTLFTSLYLDKLLWPVPKTVEEARFDRANMNSEKERSPLLHLVLRAYC LALVKACDFVHDRIAHEYYYEEEDFVTQLYNRTLLSQFDMTHFVKLIDQAILWIDQQK GTIDDELRDAIKCRLSLRRGLLHALDEDEDIKTKSTEHFTTCLPHVSSLSESSSLGKQ IPEAFSEKIQRKLASTVPPRPIVRIDFEDALVHLKRLCQDAIDLREVLDYRGPHNFRV AIWTLLSRKPQPSVYIRALTQALLVNNTNVLGSIQVKDFLYSDLMELVFPSSVLLQSN TDETEMPSDPRFQIAKHMDGFVKRFGQPFVDTYRCACLNRCRVRRTLCHTVLDWDQLQ MEAEDLDEQLRTLSREPPLPLPNGDTTYSYPLSSWTYHQKLCQFRLIIQLGFELSIYS PEELPGMYWYLSHICSTHLGHIDRIRTFIASTAKRNLSSLIGKKRHVLGQQLAAQRSL RLLERITTQVVAIDAFAISLHALYVLLVRHNILPTASSAQAYSSERLRYELRMKPFLP VSLPELVPYEEFRREAVLEGDSDTIVLDRATRAISEARKAWEATLAHGPFLPDSDGKV NKAPAIEEDWKRDIKDTMKACIGASIAIESVKKALAGRKDLSDPPSTPIGLRVEIPGI GSKARWHDWWAVPQVSPAK ACHE_50901A MSFWKAAGLTYNRYMAVAARTVRRSLKEEARAVAERRGQMDLKF AKWENGKQGEAKSLAQDNQAAMAAQAEK ACHE_50902S MIHSQSASHYKEETTIYQTVEDADIKYVPESNFNQDIRSTITSN HQFTCDETSICSDSLETRYTSLYSSIPDSPASVGSPVYECGIACISQDYLLPLDAFNP RSDSPAGETICLKPSQDWLSPHAQEIDEFLDLSETSDMSTSCISTGSVSSLCVEDVSS TLATYVPVQARPLISYTEHQRAIYSEFFQYSPEPIRFDISESAGAANSGTSCHQGDDC VCHDLIDADEH ACHE_50903S MQRAFSARLSAAAKRAPIARSSGFNLQQQRFAHKDLKFGVEARA QLLKGVDTLAKAVTSTLGPKGRNVLIESPYGSPKITKDGVTVAKAIQLQDKYENLGAR LLQDVASKTNELAGDGTTTATVLARAIFSETVKNVAAGCNPMDLRRGIQAAVDAVVDY LQQNKRDITTGEEIAQVATISANGDTHVGKLISTAMEKVGKEGVITVKEGKTLEDELE VTEGMRFDRGYTSPYFITDAKAQKVEFEKPLILLSEKKISAVQDIIPALEASTTLRRP LVIIAEDIEGEALAVCILNKLRGQLQVAAVKAPGFGDNRKSILGDLGVLTNGTVFTDE LDLKLEKLTPDMLGSTGSITITKEDTIILNGEGSKDSLAQRCEQIRGVMADPTTSEYE KEKLQERLAKLSGGVAVIKVGGASEVEVGEKKDRVVDALNATRAAVEGGILPGGGTAL LKASANGLEKVNTANFDQQLGVSIIKNAITRPARTIVENAGLEGSVIVGKLTDDYAKD FNRGFDSSKGEYVDLIAAGIVDPLKVVRTALVDASGVASLLGTTEVAIVEAPEEKGPA APAGGMGGMGGMGGMGGGMF ACHE_50904A MAATSGAGPSPAPQAVNQIIDDVSVSQRMVSATLGSILTSVLVT PLDVVRVRLQSQSPTNKTHKISPYTFHSIQSLKNAPPNLGVTACCREVFWIGQNTEIC IAGPGAGTLGNASPAEIDCAVEETRRRTFTSTLDGLRKIARNEGTLTLWRGLSPTLMM GIPANIIYFAGYDWLRSDDRSPIKRGFPDVYAPFVAGTTARIAAASAISPIEMFRTRL QATSGSGSGSGSGAGHFKETLEDLYHMTQVRGYSSLWRGLTLTMWRDVPFSGLYWWGY EEVKTLLMDGRKKAQGQHILPAAQQQPLEPEAPTFIESFIAGATSGSLAAFVTTPFDV GKTRQQVFRHMGDDAPPSVASGSKVVGNLKPEQLSLPKFLMHIFREEGMSGLFRGWVA RCLKVAPACAIMISTYELGKKMARGVNERHQVSLSSEPDSDSA ACHE_50905S MADNAELESFRRQWREEVAQRTRNTRLEPLKPRAPAPTSTSTAR LGQFPPTRHEASERKDEDEDDDGPMAPPADQEEIVQQIGQLSLGDEDAFHSRVPEKEP SSALEHFEKAVQKEEEGSLGDSLQLYRKAYRLDSAVDKSYRDKHFAWAWKKPAQPPAP SAPTTGTTTVKQDEKESGILPTPELIASFANLPIPPAEPEIENTTPPPCPIAKVPSEV IVEILRHVALMDPAAFGRVSLVCKRLAYHFAHDQHIWRRLCQGSEFGFRSMHYSFACD VFGNREYTLAPRYTPFPFGTPLQIPSPFDSWSEVFQMLPRIRFTGVYISTVNYTRPGG ASAYQNVSWNSPIHIITYYRYLRFYPDGTVLSLLSTTPPLDVVPYINKENVMTARGAS SHRQQQQQQQQQQQQQQQQQKGQTATGTSEYVPPVAMAALKHTHRGRWHLVKPTTLPD PPNPNVRPELAPDPSLLGKTPEGVETDSRDIIIETEGVDPKYIYTLHLALRSSSGGAK PANSNVSPPNPAKNTKLAWKGYWSYNKLTDDWAEFGLRNDRAFVFRRVRGWGMN ACHE_50906S MPERVLTKFADSDETVPKKTSPEADKSAETGSVKRKKQDDKKDP QKKNSNKKRKHDDERNSEERGLKASKEPKTEERKKPKNGADVTRKEEQAQKSEKKQKD QKKPTRDVKTNFTSLREKARVLYETRKNLPIFPHGDEIRQHLRKNDVMLLVGETGSGK STQIPQFLVNEQWCRSTTTSIPQNDDSRKDVSVGGCIAITQPRRVAAISLARRVAEEM GTPLGSSSPASKVGYSVRFDTSTGPSTRVKYLTEGMLLQEMLHDPWLTRYSAVVVDEV HERGVNVDLVLGFLRNLVSGKREGRGGVPLKVIVMSATADMESLLGFFQAGIQTWPGR LENGFKEKSATPKEAGDDIAVCHIKGRQYPVKTIYAPEPVHDFVDAALKAIYQIHYKE PMPGDILVFLTGQETVEALEHLVNEYAIGMDPSLPKIQVLPLFAALPQAAQQRVFAPA PPRTRKVILATNIAETSVTVPGVRFVVDCGKAKVKQFRTRLGLDSLLVKPISKSAAIQ RKGRAGREAPGQCYRLYTEKDYLNLDETNTPEILRCDLSQAILNMKARGVDDVMGFPF LTRPPRESLEKALLQLLSIEALEESGQIGAVGKEIAKLPLTPTLGRVLLAAAGNGPGC LTDVIDIISCLSVENIFLNTMSEEKKEEAETARRDLYRREGDHLTMLATVQAYAAENT DRKVWAERHLVSHRAMQSVMDVRKQLTAQCRQAKLLPPTEQQRDPTLTRDPSPVLILK SFLTGFATNTARLVPDGSYRTVVGNQTVAIHPSSVLFSKKVEAIMYNEYVFTNRSYAR GVSAVQLDWVGEALAGGS ACHE_50907S MALRLPTQALPRRTTSYSHSQPSPALSPSAPAQLPDDNEESTQW VVFSPSQPSTIAPTRTASTERATGISRLSDFESFGGTQTRSVFEIGEDDDDNDDEEVE EQEQDNNNIGEQDDDGTELDSLDDGLHAFRAPSLADDDELPTAAQWDQDRQGTPAVLP THDGLGSFQASSQTVQDQLWQHEQFNPQRRPELRLRRRSSVQRHLDMVAEQEQMTNAE RDRWQRIEKWRMEQSRLLLQEVERETRRRRRRRNSRASRMSEQTTSTQQQSHHQAAPF ESMKSDPETTSGRPSSMASSESTEPGSDESLWKRITRKVIRDLMGIDDNVLSVIFGES LPDDPLSQELTSASDLDSYGIGDQHHWQPKLLQRIARELGVLVHQLCEQPGAFSTYLT MSNQIGNEYAGMPLERRTEEDMQTRPSRTRAPSTSLETSGNGGSMPSPHFSPTLPEPS GREHAAQWGIEEDDDRMAGTSESRLQQEKDYWESDLDVMMVFRYLRNRFGRSGNTTNN GSSNSSSTTTAPSSTTASRISSHRRQAQSQDASRRAAMIRQHHPLVAHAHSRSEAQTR RQSQHSAVHSGTGTGVSSPILRQNFRRRPSSSCASHSAKLSTISSRRTMTGSSRNYWD IGGSIDGGSAIAPVGGGMGAWDV ACHE_50908A MARRPARCYRYCKNKPYPKSRFNRGVPDPKIRIFDLGRKKASVD DFPLCVHMVSNEYEQLSSEALEAARICANKYLVKIAGKEGFHLRVRAHPFHVVRINKM LSCAGADRLQTGMRGAFGKPNGVVARVNIGQILLSIRTRDSNRAAAVEAMRRSTYKFP GRQKIIISKNWGFTPVRREEYVKLRQEGKLKQDGAYVQFLRGHGLVEENMKRFPQAYE GVAQ ACHE_50909A MAPKTKKSGDNINSRLALVMKSGKVTLGYKSTLKTLRNGKAKLV IIAANAPPLRKSELEYYAMLAKAPVHHFSGNNIELGTACGKLFRCSTMAILDAGDSDI LSGTQ ACHE_50910S MSASPRKPGTPKSSTAESSSANGSPSRSHTRSPSAAATNGLTRT PSGRQPVSARAAARRPTRSNLSMSSSIPKVNNDPSEEEARAQNAALIEDLKEQLQKAE TASEQYRKQLGVLQMKLDEAVSEQGKLEDQSHERESKIEALNGEIREHVRQIRDLEQS HEMERNAMLQEKEQQISREEEMQATIQRLKESLAQKDMKINAESASFRNRSSAEVDGQ FAPSSQLERSPSRNNSKLLLQKDKLIESLRLELAESQIKLVEMENKGGGRQRELEKEL LEARMANARLMEDNESYQLLLSERTLNGDFAKGEFMRELHPDTPEPSEAANDSGNNLG SLAEELESMDAKAETDHMRKLETEVRTLKDQNKALTLYIERIISRVLQHEGFETILDK NENDPPATAKPKNPTTEKDLPPTPPEHDDSGGQSFLKRARSSIAGPNQPPAKPRSRPT SVMQPPTSVPSGPPTAHENPNTAPSIPLNRAQSVRLGHRRARSDQADVSAATVVGQMY RGRNSGGPVSPTIMGPGSRQSLFGGSVMSSGMSSTGRAPSLSSQPERSRLSSSESVTS DLHETASTGATSSSPRSSSGMTNYTGAVMTQNKLRPLRLVSETHKAAEEEEIARKKAN RQSWIPWFNRTNTNESTQS ACHE_50911S MPGSLSLFSVNAVLLMSADDGSRIFAKYFSPPHPPAGVAPNSTD YPGANPYPTVKEQKAFEQGLLEKTNKQTSDVILYDNRIVVFKMESDVMLYVVGSAEEN EVLLYNVVLSLRDALGILFKGATDKRTIVENYDLVALAIDEIIDDGIILETDPVLIAS RVSRAPAPDAPNMKSIDLSEQGLMNAWEFGKRRLAEGLRQM ACHE_50912S MKQRFSSLDVKVITRELASEVVNLRVSNIYDLSSRIFLFKLAKP DHRRQLIIDSGFRCHVTQYSRTTATTPSHFVTRLRKALKSRRVTSVEQIGTDRIIDFS FSDGMYHLFLEFFAGGNIILTDREYNILAVFRTVPEEEVRVGLKYTVTDKQNYHGVPD ITAERIRETLEKAQEAFAKEEAGPKKSKKKGVDVLRKALSQGFPEYPPLLLDHAFAVK QFDSATPLAQVLQDEGLLQGVFSVLEEARSVSEELSAKDSHPGYIVAKEDTRPAEPAA EGENEESKKPALLYEDFHPFKPRQFAGKPDITILEFDRFNATIDEYFSSLESQKLESR LTEREEAAKKKLDAVRQEHQKRLGELEKAQDLHIHKAGAIEDNVYRVQEAMDAVNGLI AQGMDWVEIERLVEMEQSRGNPVAKIIKLPLKLHENTITLLLGEAGNIDDEDEKIFTD DESEEESEDEEQEEAKAAERQSALLTIDIDLGLTPWANATQYYEQKKVAAAKQQKTAQ SSTKALKSHEKKVTQDLKRGLKQEKQVLHLSRNPFWFEKFLFFISSEGYLVLGGRDAM QSEMLYRRQLKKGDIFVNADLQGATPMVVKNRLGAANAPIPPSTLSQAGNLCVSTSSA WDSKAVMPAYWVEASQVTKTGPGGIVPTGEFIVNGEKNFLAPSQLVLGFAVAFQVSKE SLRNHKTGRFDIPEIAEETSAAAEPAEHKEFVQEQITTGVPKETGEVNGQEQGMKQEQ EQEQERGQDSESDEEDPDQVPAKNPLQRGVSEAQHEPGGPESNKKDAEGEDQKNGEAE QEPEEEAAEKGEEAQDEAGEQAQEAQEEDQQLSARERRALRKGQLDSPAPAKGKLSAQ SKQPAAKKPPAPTRGKKAKNKKAAAKYADQDEDERELVLRVLGGKSAKAEKAEAAAAA KEAREREAEAAKKRRKAQHERAAEAERKRQALFEADDYDEETAVAEAADLSWIPALVG TPHPEDEIIAAIPICAPWAALGRYKYRVKLQPGAVKKGKAVKEILGRWIAETNTGKVK KEQAEDLGLSLADAEKLRAREGELIKTWKETEIINTVPVGKVRIMGAAGGGGGDSKNK GKGGNPKGGNAKGGKGGKKK ACHE_50913S MEEAFQPKTFTVHLEKGVFPTPVCAKDPKYFPYTRKFGLQLAFD ESPYPPPEAWTPERRGSADSLMVWKWVRFGARDLGPWNTSWS ACHE_50914A MDIKRLKSSHTTYPYPAVDDTDQDLDRRPEAEKREWEHRLIQRT DSMEWGTGERASLRAGLEQMTTRDNERLLRTHHRSLSKASIVYITSSPFGRRIWLISC NVPDITAGGLDIHILPAGYPLKSPLIPTPAAQFILAQSLVKGQINPRKFLTEQDLESL RILFPKAIGAQLLIAGFLRMLFDSIADVERTHNLGYPGEVGGLVVLLDTATFSATAQN IESGAVVSDTEAKSVGCLGLKLKLPGGKTVLTTVTHAYVRNPALPVVLMRVADWVIRA KNALYRFRNPHLDRDSRAYGVSDQSLSNNPTGKDILLFKTNTKVGTITHCFDNPSPIL PFPVGYRHDLSLIEGETLPEVVSPPGYPIISAWAPYEKVLAGVPLYAVALNAQTQNWR VVEGAKVTPAVANSVLLGSEYVWDREASDQAVAMLWRSKDDVDSAGGYSGSVLCTGTP TDQHGEAVVFQNYETGLRMWESEGSSLLANIKAGFLLPGEIRESMIVVPDPKQPISYN TVCGKGSVVQAERRYPSGL ACHE_50915A MAIVSSGRKQKVDKSEARRKLRESRNYDPLAHRKEDAQRSRDRA SMDTKELYRGIVKLYEEFITSEGVIPAGFPVKDNFPVPILEELKSFIRWYIASTEGSI YDSPTMRTVLAFAQQFVPGSYLLTGNQIPPQDSEELYFWIQYVLTEENVINDIKKEKY NFTKENFIQIMTSFWVLDNPVFLHGRHKIQIPFITKIFLFMGARIGAFLPANKHKQER GLRYKHIQLVLFCTHGNAPWSQLETVPAMGQEQSKPEIYCF ACHE_50916S MTNDQSTRILPVQRLNIKGPRDKPLPEWWASERQSKTPEAAAIE EAAELLRTSDIPVAFPTETVYGLGADATRSTAVQGIYRAKQRPSDNPLIVHVDSLAML ERLLNPGMSAADTTHTPLKSIPPIYHTLLSRFWPGPLTIILPNPSGSSLAKEVTSNLT TFGVRMPASPLARLLIHAADRPLAAPSANASTKPSPTTAQHVHHDLHGRIEVILDGGP CAVGVESTVVDGLSDPPVILRPGGIGIDDLRQCEGWENVQIAYNDGSHEIKEAPRAPG MKYRHYSPKARVILFEPECSEEKIVKRVRKDMEDSAVGAHSVGIVRTRHWSPGLGLYE PAKKEETLQRIQEAPTGGFVSFSVPLKDHVKDCYTSKKAYDCALGTDIGQIARGLFAV LRAMDDKQVDVIYVEGLVAEHGDLHAAVMNRLRKAAGAELKV ACHE_50917S MCQTKLHEFLQGLPKCEHHLHLEGCMTPQLIFQLAERNGVKLPD PETNPAYESIETLTRRYGHFTSLDDFLSFYFQGMSVLLHESDFTDLAFAYFKKAHEDG VHHAEVFFDPQVHQQRGIAYETIVSGFVAGCKRAEQELGLSTRLIMCFVRHLPVDSAQ RLYEEALANNHFEDGTLHGLGWSSSEVGPPKDMYREQYASASAKGIPLTAHAGEEGDP TYISTALELGAQRIDHGIRLVEDPELMERIVREEILLTVCPLSNVRLRCVNALDEVPI RKFLDAGVKFSINSDDPAYFGGYILNNYCAVQEAFQLTFREWRAIAENSVSKSWIPEE RKQELLRRIDEHVRKYDAEL ACHE_50918S MDAQYPFASRDDIWRVFDELKDLHVAQFEQAERIARLERRRDED ARLRSAWGPVSPFLTPIGGSVAVESAYHSPTDAFKGFDQGQHGVMNTMDAEEEPRRGT SRANSVRFDESAIHGYYGQASRSISELPLRTGSGLASLPLTERSLSHRSDGRLSSSGQ SHHSARTNSLGLETTNRMMSSSVSDSPLIPPPGLFLLGPVPCIIRCWLTTNFSNDSLL YAAVCSGSYMSSLGYPIVRKLGLEDLMIQEEDSRFIKLPLYLPEASVHLSSSRCSSPE PQLPTLTIRFLVRNVEPNDQSIQIVLGSDVLRSHNADILFSQDKIIMVDDERNKISIP LVRPENDSVFRSLSTVADTPRAEPLTRLRQESEPHANGQAPAGVIGQPASSVIRRQST SVPASARASEGDEERKPPSRPSTSHQTGDASHQQGPVKSQTAAESQPNTPTAPGAHVW GSWRRDAKPESTASTPATKPTRGRTMKVLRPTKSSTRISTAQGGTDTSAEQQSNNSQN QYQSENSRLNKQWGSNPVGGASAFGWLNSPQPRQFGTNPK ACHE_50919A MASISRACRIRNPALFICDIQEKFRPGIYEFPKLVNTTTKLLKA ATTLQTPTYITTQNRARLGDTITELQPHLTGPHIRANVDKTLFSMVTPEIIPVIPDTT KGETPLDAIIVGIETHVCVTQTALDLLERGHRVYVIVDGVSSMNAEERGVALARLRDA GVVVTTSEGVIFEILGDASRGEFKAISGLVKETKEETKGALGALAKI ACHE_50920A MAQKIPVDLSVYLVTDSTPAILKGRDICAVVEEALKGGVTIVQY RDKKSDTAVQVETAKKLHRITRAYNVPLLINDRVDVALAAGVEGVHLGQDDMLITEAK KILSENAIIGISAASIEEAQAAIDAGADYLGIGTMFATPTKTNTKHIIGTAGTQAILD AISETGSSVGTVSIGGINLSNVQRVLYQSQAPKKGLDGVAIVSAIMAADDPKAAAEDF AKRIKAAAPFATLSKAPRTDEAASLLGEVPGVVREVVKAHPLVHNMINYVVANFVANV ILSMGASPIMSPYGDEAVDIAQFDGALVINMGTLTSESIPNNLKAMKDYNERGNPVVY DPVGAAATQIRRNAVKTLMAGGYFDLIKGNEGEIKHISGSAATQRGVDSGPSSLNGEQ KAALVRDLARRERNIILMTGTVDYLSDGERVIAIENGHELLGQVTGTGCAIGSISGCF LATHRSDKLLAVLSGLLMYEIAAENAAAKEYVCGPGSFVPAFLDELYSIRQAALKGDD SWLDRRGKIREIKV ACHE_50921S MKLTFLTSLGFALFTALGAVASPVDFDSNSLDLRDEAIKASAAE ANVFDDASIADEDGEAGTLIRYDGTCSNRNNKCRYRAQSGRTAICRCQVKRCGSEHGR CFFDSVNRHCTCY ACHE_50922A MEALRGDTTSPSLRHDPDPILVAASAGDRESVRDAIKRGEFDDL RSAAYNKTWYISERYCRIGDSVDSFEPILHDIWYIYYQGGCYLSYKNAEHDKLVLDVL RTKGRGPLMRPAPSGCGVDIARTPVGALWNDLPFFVTDMTDFWVNDCAKMEVKQRLNF ASFLAKLASTRLDKDRLCQIALLVFRETFETERPLHIPDDTGENPSRIMHDLSISALL PAACAWIREAGYNIILLSDVSWNDCSSSIGQGGNTFLKSELGQRASAGFSPWRWMYWL KRLHEIVKEAEQSDEKHLAEQATEAIDIMLGHVEERNSRILRVYEAAGGGLRQDKAFL GLEKLVKEDESSE ACHE_50923S MATVNIRRDVSDPFYRYKMERLQSKIEGKGNGIKTVVVNLNSVA GSLSRPPSYVIKYFGFELGAQANAKPSDDRWIINGAHDSNKLQDYLDGFIAKFVLCKK CKNPETDVIIKDDRIILDCKACGQRSDVDPRLKLSTFILRNSTSGKGGKKNKADKKAR REKNKEKNDGAANGDKEGSQGDSNSDNGEAEDLGVEAGSDDELTRRIKAGAQEIEADE GEDEQWAVDVSEEAVKARAKDLPDDLKRSLVIDDDDEEGGPSSYDQLGSWVMSVAEEK GNVLEVEDIDIYKKAKDLGIESKHKTLTVLAQTIFDKNVAKQIPKRASLLQKLVTSER HEKALLGGTERFVGSDHPELMSQIPAILLGYYQNDIISEETLKAWGAKASKKYVDGQT SKKVRKAAQPFLEWLENAESEEEESDEDEE ACHE_50924A MLIDPIHAPNIQRTDLNCKTFEQFRFQFLTPAMADGIFAIKSDR IKNHAAKAWAEVKPLADVLPRPRHGPLTPFFGTPECDTVLKMALDEYLQKPSTPEFRS HLRVSGHVAFPGVVATGQTTTILVPLQIIGEVKIGSVRIDIDHYYNVLTLCDVEVSEG GKMVALVVSSIPAQ ACHE_50925A MPPFSALCCRRSFAYAATRIWSAPSSRVDLVPLATPICIRAFGS YAQRSKASTEASQLFASGFSVLEMSGKQATLGRFFGSNSNPKEAPKKQTTLAFSDKKK DTAAAEPEATNASEPETETLNGDEMKIDESPKRRSSARNGNRNGEGMAEDEKDIDAED SEGSDVQPARKRRRKASADEADAPAKKEKSPSPRGSKKKEAKAPSPPPFVKKASGEET PEEEDKASDLEPSASEGEDEKPELKKKKIQKVQESLKGTGKEPFPDWKAGAPVPYAAL CTTFSLIEMTTKRLEILAHCSLFLRQVLRLTPNDLLPTVQLMINKLAADYAGIELGIG ESLIMKAIGESTGRSLGVIKADQHEIGDLGLVAAKSRSNQPTMFKPKPLTVRGVHEGL LGIAKVQGHGSQDKKVSGIKKLLSAADAEAAGKGSKGIDITKNKGGPSEAKYIIRFLE GKLRLGLADKTVLVALAQAMAMHEATTKGEKTPSTDKMADAESKLKTVYSELPAYEVI IPAMLEHGLDKLGDVCKLQPGIPIKPMLAKPTKSITEVLDRFENKEFTCEYKYDGERA QIHYVAPDAVQHYPGATNTLQQDAKGLCAIFSRNSEDLSKKYPDVLAKLNTWIKPGIS SFVLDCETVAWDTVNKKVLPFQQLMTRKRKDVKAEDVKVKVCIFAFDLLFLNGEPAVK KSLRQRRELLHESFQEIEGELQFAQHGNTNVLDEIQTLLDDSVKASCEGLMVKMLDTD ESGYEPSKRSRNWLKVKKDYLSGVGDSLDLVVLGAYYGRGKRTSVYGSFLLAAYNANT QTYETICNIGTGFSEAILEEFHKDLSPLTIDRPKPFYSHSSVPKDQPDVWFEPRLVWE VKTADLTLSPRYQAAADEFVGTTGGGKGVSLRFPRFIKSRGDKKPDQATTTRVVAEMY RKQEAVAKENASKKGVDDDFEY ACHE_50926S MENSRQESFRKLRPTCVELSAVGLGFRGHQATSNDVARALEPLH NTLKELADKNALDEKLAEYAFFPLSHILNETRRISARCLELTVNCLRILVAKGWRQHL SPMMGKQLIILLTLIVGGVPNRPNESHAASGRPEELIIAGFKCFSAIFDALGGPLAEK TVYNEIGTATIVDQTVYILLEGVVDSRSDDLCLAAAGALQRLYRRITDRVVLASIMPR TVSALTKVLKPTTQIRRSYRLSVICIEVLTHLLKTVLNDRVASTTENPVQPGTGNDTI VLDDSWLKATTTQIKLALANVVQIRRHERLEVQAALLDLCLMVIEDCQNTLQDSIPIM AETIVVLSDMDEEQTPNTAYSSLRHLATVYPTILDSLKDSLNSWVTSFPRTMQGNDET AKQWGIKQISTVFHILSQVQSGSDLLTTGLTRGLCDSVSAVVGHTANTLHSVSLDPTN NLSLEVAQRDYKSMTFPPVLMEHRSQQQTLIDLDSMVTRLSLSDSGSEITGSIIGRMH NASGNAILAPFWLSLTFLKNSSQATTAFDDFIASDCVDFSMSPNTRSNMIEDLYYISL PILNEPLVDDSRDWRVSALALEAVALQAKQLGEAFRPELMDALYPVLQLLASSNSNLQ NHAMTCLNILTTACNYDGTSTMIIENVDYLVNAVGLKLNTFDVSPYPPQVLLMMIKLC GARLIPYLDDLIVSIFGILDIYHGYPKLTEMMFKTLAAIVEEGAKTPSVLAIMEDEND KRPDHRKRRYEGLDVSTLVKDFATRKSKRTKFLEDEAGNTEKSTHPKQPWTLESESLR QPEPSLDSAADLMDKMEGETDEPLPESREPEDTEKPLTKSHTLLLNIMKSIPSHLSSP SPYLRHSLLSIVIQIFPVLSQNETSFLPLINDLWPSVVSRISFPSSAQDVSSSNSLMT RGAPAASADRAEQRSDEFEIREETYVITTAIETVQVICSTAGDFMASRIETEFPRWER LYRRAWDKVRQDAERALDRRATRRLPKPASRSEPFFSFVQSLALATATSSVATARIPP SHTRTFTPHHSLWRALASLFLTLLTHVRLPLSMGDQICEFLGAWIASYAGPDYYFAFY RSSSGTEAKIPEPLKIEARTVDDTIQTMETWNADLTWFIFQQERARVRDAAGIMKTGF QKRDYVAPGTPSLLGGRLRFAEVVF ACHE_50927S MGPFRWFSVLAAASAALAITPEQLISAPSRSEAIPNPSGDVALF STSQYSFETHESSFWWSLIDLKTGKINRLTNDSDVSEIVWLGDFSILYINSTNANIPG GVELWVSDISDFKNGYKAASLPASFSGLKAITTGTGDIRFIAYAQSYGNGTAYNEKLA ITPRSSARIYDSIYVRHWDSYLTTKFNAVFSGTLKATNTSSTNGKPRYILGGSLRNLV SPIRNAESPYPPFGTSSDYDLSPDGKWVAFKSKAPDLPKANLTTSYIYLVPHDGSKKP IAINGPQSAAKPKGIDGDSSNPVFSPGSDKLAYFQMKDNDYESDRRTLYLYSIGTDST IPSLARDWDRSPDTAKWTADGKTLLVTSEDKGRSRLFSLPDTAGDDFKPKNFTDGGAV TSYYQLPDSTWLVTGSALWTSWNVYIASPEKGIIKTLASANEIDPELKGLGAADIDEF YYQGNWTDIQSFLVYPENFDKSKTYPLLFYIHGGPQGSWADSWSTRWNAKVFADQGYV VVAPNPTGSTGFGDALTDAIQNNWGGSPYDDLVKGWEYVSNNFDFIDTDHGVAAGASY GGFMINWIQGNDLGRKFKALVSHDGSFIADAKINTEELWFAQHENNGTYWNNRDNYRL WDPSAPEHILQWDTPMLVIHSDHDYRLPVSEGVALFNVLQERGIPSRLLNFPDENHWV QDPENSLVWHQQVLGWLNRYSGVEAGNAQAVSLDNTTVEVVNYNP ACHE_50928S MATHPLQALGEAIGGVGGDPRALQLSSLFQGANDGPARTAAKLT GVQEPGKRADDGPYFTNNEGIPFPDGAHSKTAGEFGEDGSSFGAFGYFETTKDMSHLT KADFLKSPGLKTPVFMRFSTVTLGREFPDLARNPRGFAIKFYTGEGNYDVPVFFCRDP IQGPDVIRSQYRNPQNFLLDHNSLFDLLANTPEGNHAALMYFSDHGTPVGWRNQHGYG CHTFKWVNKDGTFVYIKYHFLVDKGQKQFTADEALQFGGQDPDFSKRDLWQAIEKGEQ VSWTAHVQIMKPEEADPRKLGFDPFDVTKVWPKKQFPLHRFGKLHFNKNPENYHRDVE QAAFSPGSMVPGIEDSPDPLLQFRMFFYRDAQYHRIGINLHQVPGISPSYNYILLRKF LITRTVNCPFMASSYSSLNFDGPLRVDANHAMNPQYAPNSFANKFRPDTAECPYALGD NTVSRKSHFYHEGKASEYEQPRELYRRVMDAKSRARLHSNTARLLRLVEYQEIVVKYL VQVYCVAGEYAKGVYELLPEPGFGFEEVERRVRGAAGVGKEKKFLPTDGEVLMGTCPK QPIYTEVDRV ACHE_50929A MPKKRHNPIFTKPVDTPHHTLLSSPRNSQNDRFRSSSSAQPSVN DLIHHLRRTQVSSSDDRTSSPSGVITPRSVHPSLRNLLELPETPPPRPRPNARRVGVG RLRRTPGPPPPESWLLGNNQSTSNEPDSEQDDVDTAAETERVIYRLERLPGTTFPPRN GLLHMTLKAMAQHWAWHVAYDGQFLAMLPAQVKVLLLSYITVYGRDQPLRGLVRGLKP LIAVDESDEDYQGSELDGISRLDFGGALGRWMGFKELNNELFLSQRPDAVNLPRKSKE PVPASWEDYEEEASSIPKSLEHGCRFENLRFLSLAHPHPSAANWKSLLKLLSRLSILT HLSLAHWPVPTLTPNAINARVRHPTHRSLTFSYGGTDSYSAMENNWAEAAGILRKLSH ATYCLKWLDLEGCGDWIPALSWTGHGPDGEVYRTGPEWNGAWRDIEWICLGPGWLPHI DDAEILGMHTRDAGAGRPSTSAGTRPQQQQAYQSPAFRSLASSIHAPPTPPPSLSRIR GIETDDDDSGSDTSWDVEVERVKYRRAKELERYRETVRTARTVQQQVQQLRREGKGKW VNLSLGIEELKEDVLRGLLGDEHMGFLP ACHE_50930A MSSDGDSGAATAECPSLPWRLLSSTTMLGVSALCRGFLYGLSHP EVNGLESFLEVIESRKDPAQRTRGLLTVSNHTSVMDDPIMWGALPMRYHMRFPATNMR WSFGSHDICYQGRPLALFFTMGQVLPTHRLAHSPFGGLSQPAVTQAIRLLSKGPFPVD SYRALPERQDWSIQNVCVDPFSDLPTAYTTNGQDSHLAPSAYACNSHAWMHIFPEGKI HQAPHKTMRYFKWGVARLILEASECPDVVPIWLEGLDQVMHESRKFPRFLPRAGKNIS ITFGNKVDTETVFGEYRRRWKQLREKVEKSSPSARDLPLGVLNEELLYGEEAVELRRE VTKKIRDLVLDVRRTRGLPDEDPKEGLVETWLEEGPKREGKMKDDSWVRDI ACHE_50931S MFKPTSALFSGLLWKTPWRISQPQKARQRKRLRSVDRVVDTLSA ALQRNGQSTKAVERWYAEMPREEEMVPKDKYTIFDKKEKTYRKGIHKLPKWTRVSQRV NPPGF ACHE_50932A MILRLMAPVLPRQYYHYPSPPRSPKETPGTPVPVTKHISKKVFL QLFAGTVCIFVLGVLFWRIGRCFRRFTRNKVLKKGKKVDTRYARTWYGWVPLQQHEAA KNVFRKCWRKICEWTSWKTTRADYHWVWWDPGQTAHEEYRQNRSFLRWIPKLFRSKEH TTADTIWNRGPPPERHKRTPEDRQRTTMADAFPYSQRYHAPHTYHGRTKGYRDRLKRS RHQFPSADSIDGRRSFEAFRNSFPGKLVNGPRIPVDQARPFWSLQPPSRTRRKSIPFT NATISENRLPQSVSLPCLLMVDLYPQRQRQPSSTSCKTSATSRTLRKMRYSRKYQVWS ARMELQASNQLKHTYHGFQEPPGTPASELLRSYASENSAPFGSLDRSQREKIARHLSN ENSQRISSLRQRQPPARAPLDALDERNGRTIAAQQGAQYLFPSIDTVVWRHWSSSFGD DPLQLPEKQIELGTKDAKGKSPKKKHRRLRPKGRPLPLHKLNNWEIRWMDNLDRKLEW HFDQLTPGRRPFHFPLLANHWLNRKTWMVIDPVSRVPVDKKRQLGDPRFNVPYPAPRW EAKPKYPIAPHKKAHTPRIDSWRLAVNCQRRTSGMRDVVRAVELFDDSVDEPPDGHID PASWILRRPPQGFGMSSKQRDAYYEGGTGWHETLSDWQRVRHGYRVRKMVYEGRVNRT RAKEIAFGLTRFFQKAITKTFEKENVPG ACHE_50933S MREYIRRLAELYVWTRAGKPADQDSWGPYLVHLRDTQMVVQEFL DTEPFAGTGSERYAPFPSLENYLSKTIRTLRQLDILKKFVRSPRSPQLFSLPFNILKQ PSISTKSIVIPQTASHWEDVLDRAAGI ACHE_50934S MPTERENIEEQIKNAIATYERDKSQKIRPLAEAFDVPYQRLLRR VKGLPGRNSTKPVNYALDKHQENALKHWIERLDQAGVPPTAKRIEKSANLILQRAHTD PTIPPKKVSKEWPYRFLERLGPEYTRLKQRPRDPKRLQSQDLGIIQNWYDRLEILLKQ YQIQPQDLYNFDEIGFMEGQGRGEVVITKYPSRAQHPGASFSRGLISVVECISADGSV LPPCIILPGKGHLEDWYTHSDMPGNWILGVSPNGYISDEIAFEWIKHFDKHTKQRCAG VYRLLLMDNHGSHLTYEFIEYCEKNRILLYSFPPHATHFLQPLDGKPFKQYKHYHGQA VTEAAILGWSDFEKREFLTVLPGIRKETFKTHTIQSAFRDCGVFPFDPSPVMDDLEKQ AEPIPDLQIWDGDSTSSGSAQSSPKTIRQLRKEISKARASLDKIDGHLAALSPGLNRR LERIFSGGLTQAESSDQTAMELDRYLKAAAHQSKPKSRRQVPGLSHSGVLSVQDANRR IGARKKAEEKKEGRRLEQSIRTSLATTHRRYDRLELWMMGIDENADQETIDSILNKNR ACHE_50935S MVVTNGTNGCSSREYGMTFEISPPTAVRPGVPFTLPVIVAVRSG NPGNGTDQLAANVCLKNESGTSVSALGGPLTSSVRSRNGNTTSGYARFSPLTISQPGR YKLRVMLGAASQCGVMTKEYIDSGVITVDAGAPASQRPTAAQVSKLRSLITENIDITG AEIAAWQQA ACHE_50936A MQKQAQSSKQYNNTERGLYLSSTSINTNQKHSSRPKSPTPSDIS TSTTLVNLPRMTQRSASPVQMSPRSSFSSLSRSDSHSRKHVRHSRKTSDDYRRYNGTV NHYGRHSNDWLFGGFSLRDTVRGGVERLRHHNHHGNEG ACHE_50937S MLEALTALTGRAPKAGAYVDENRFASIWLRVTPPEAADDSFWPI LGYTLGALANIRIPVITGLEKLKPNKDGFKAFSAAFATSSSAPMFHMVNLTPEAPTLE AVCPKGIVLEAIDVDWKALDAIWDEFNHGSEP ACHE_50938S MPRPRNTIASTRANRNETNDDDTNRNEANDSTNQENRRSSVFID GDDNEERQMVTLEEFLQFVSEKPEWLYEKLCSIHQRYEECIDEQGVQRAERELQGRTK DGEIALLELSQRSYIHGLLGTGCQGSGCSHYRG ACHE_50939S MSEPVTTRNEGGKEFPAMTLSPAEVEREIEVQEQQSRQYLENES VYDRIAFSRIPFGAKNTRALVITLGTFAAFSGMLSGLDQSVISGALPGIRKHFIGTGE WASMDDPKLANDISLISSLMPLGAMAGALMMMPLNHYLGRRNSIIVSCMWYSLGGGLC AGARSVGMLFAGRFILGIGVGIEGGCVGIYISECVPPELRGNLVSIYQLMIAFGEVIG YATGAIFFDVPSGSWRWMLGSSVLFSTILWAGMCFLPESPRWLVSKGKNGRAWQVWKS LREVSEAKNLEEYLAMEITVKNEVERSNNVAWWQRYMEVCLIPRNRRALIYASAMIFF GQMTGINAVMYNMSNLMAKMNFDDRESVLMSMVGGGALFLGTIPAVFTMDKFGRRVWA QNILVFIVGLVLVGVGYLYTNKGDAFFQANKDTALGLFFSGMVLYMSFFGAYSCLTWV VPAESFDFNTRSQGMAICSTFLYLWSFIVTYNFDRMQAAMTYTGLTIGFFGGLALLGF FYQLLFMPETKDKTLEEIDELFLMPTRKLVGLNLRNLVKHWRWIFGGMHPVEPREVRT GNTASGDAEKHEISEARLETL ACHE_50940S MHHFTAIVCSTLSDREDVQNVWGMVLPQISFSCDYLLHGILAFS ALHIAIQKSEQSEDYLNCSMLHLHYALNTYRRSLSTISAQNCISLFAFSSLIVVHVCA LPGADGSGPSTRKAISLFNMCRGVETILRPYLSLIRESPMKPLFRDDYHRMDKQLSRS PHSNAILPVDFENRISQLRQFIAACPLEPGEKSTYLDALLSLEVSFDIIDKATIPLEC GMAFAWPIMLPLKFIDFLQENRPLSLVLLSYHCVTLNRLNKFWFLREWDKALLTEIWC LIPLDLRSWVEWPKAVCGV ACHE_50941A MSDSNRQIDFELYRYTPNIAAAVIFIVLFTLSTAYHSYQLIKCR AWYFIAFVIGGIFQIIGYAARVAANSNKENVPIYSIQTILILLAPPLYAASIYIVLGR IVTYLHAEHFSLVSVKWMTGIFVTGDIIAFVMQAAGGGIMASGTISAMNTGENITIGG LCVQLAFFSFFVLTSLVFHFRIRRQPTAKVLQLAQHEDSSVLRTWESVMWGLYIASVL ILIRSIFRLIEYAQGNDGYLISHEAFMYVFDAMLMFFAMVAMNVFHPSVILNGNKRVS RGSMWSSSQTELRGRRY ACHE_50942S MESNVPFTLDLPTCLCTAFALSMMPIAYFFSTALIPSTQKRNRF LFFWHAYDALTHLFVEGSFLYECFTSYVSVPAALNREPFFLGQKDRVYGAAYGTGPSA RLWQEYAKADFRWATADANVVALELLTVFLGGPAAIYICYLLWKSSSSRATVSARGAA KAKLWLVAPALATAELYGGWMTFAPEWLTGSSQLETGNAVYLWFYLFFFNTLWVWIPV WILWESAKELHGAFVTAEGSDERKSQ ACHE_50943S MSYNPYPPPNQYPPYGHPPPQGYPGQPPYPQQQAGFSSPPPQGY YPPPQGPYGHPAPQGQYGHPPPQGGYGAPPQAPYPPGPGFHAPSPQPPYGGPGYPQHG GPGPAPGPYGAPPAPAGPNHFAPSPMPHGPPAMPSLGYTPGQLAPGDYRQQADLLRKA MKGFGTDEKTLIQVLAKLDPLQSAAVRSTYSSHIKRDLYKDVKSETGSYLRQGLLAII DGPLMHDVTLVREAVDGIGTKEWVLNDVLLGRSNADLNAIKTAYECTYRRSLQKDVEG DLSFKTATLFANVLRANRHEESTPINPQLMQDEAKAIHNATAARMVNNVDEVCGIFAR SSDNEIRAINHAFMDRYHTTLEKHIESQFSGHMKDALLHMLQTALDPAMRDAVLLEDC MKGMGTKDEKLVVRAVRVHWNKAHLEQVKGAYRHKYKTELAARVRGETSGDYGRLMVA LVE ACHE_50944A MSGEARAGPGLGDLENELTCSICTELLYQPLTLLDCLHTFCGSC LKEWFFVQGTRRRSSRSAPKFTCPSCRAAVRETRPNATVTTLLDIVLASNPSQAKSTQ EKEEIAQKYKPGDSVFPRDDTGEEDEEDGRVLDEVRQLSLQEGRSRTREQRHRAPHSS RARRAERSDHDSRRDDGRSRRRRDDDRSTRRQAHERAARQTEEVAEQSRRIEHQSSLR SLLSLSDAETMEEEILRQILEEGLLDDIDLDHLGPGQEEELSERIADAYRRRHRLRSR SRQRQETRGASHSSDRPRARSHSMQRPTTGPPPRDTSRGPPVSRPYLLDPLVTRPGPS THQRHTSEQGSGRRRTSLSPAHPASSSEVNLRPTTRSSSDMTSERPRAPQAATRTPEP STRRRRATESGRDSPHVWTQGTRERGSSVARLTNSPTVASPLSNVPQTPVHSTISVVD GSVSHSRPRARSRSNAAPPSYVEPSIICNGCGKPDIQYELHKTCTKCNDGDYHLCLRC YRTGRGCQNWAGFGLSANTSFERILAMSNNEAIAEHETPHIFTSSKYKQPARPRRATN NDDRQIISDDPARRLQTGLFCDICSSEANDTFWKCDECNEGDWGFCNTCVNQGKCCTH ALLPICRISSSSDETPTETFKILSFATNCDICTHPIPASTTRFHCLVCNDGDYDICTN CYLKLGVTGKISKENGHNGWRRCVKGHRMVVVGFEEHEEGQRRVVVRNLVGGRALKDE HVNYQATASSVSSAHASATTAPTAGTTTPTPEVGTGDWSWKEGAERRKKASRTRTPWT NPNASEPALPSPSTPVQSSTRRFPPDGGVGVIAQALWSWYPEDGVQDELMFPRGAEIT EGENINDDWFWGCYAGATGLFPGSHVRVLGEVV ACHE_50945S MAAAITSWVLNPIQSLTMSRPRTRKLWCTLSHDLQQSFPIECAA DQDDIDTLKKKIWDRLPARFEDTLTDYSELKLYSPVVQLNYEEEFDVKNGEFLRSRRM ITFNPLFPESKDPDVDIVVVVSGDTTTQKRKRSESQGAILDEVNIVHVRGTPASGKTY LSELLRHHYHNRGRRVSLIKEWEKLNHKNPWESLVKLVEKWNEEVQDAPTTTSSQSEQ DLSWVLTSNTVILVDEAQMTYNDSALWNTILKKRQSSFLGYNFRLCLFCSYGSPSAGP DQTFFTPVTLVNKQRISLTPQSQPGSPSIGLFYSKEEFKDVVSRLIKYLYKQKFSFDE GALDYIFVLSGGHPGAVESLVNVIFQNYRHDIKHRHLRTLTEDHVIWFLEDTATVFDK LSRESVNRSFPRIERCTSKISNILNKITEEGSVPFDLNDADIRFCYQNGWIHRVALDG DDIAALPSRLHEKYIEHWIGTMSKPLPARFDSLLKLCKEILSKFSIRILRRSAEGKKI SSASQPRPVEAQYQDEFYRGFTHVAGRGVPISSEWSRTKDGRVDF ACHE_50946A MTSHDEGIQAQSSDQTETPQSNHTQNNSEEIKETQANKHESQSA QRKSQGRDYEQENNNGKDKVKKQEESNDEHDNNKGKEGSEKEGSQQQSGEGKSGNGNG NGGSKHPKVSKEALEGPQSPAPTTKYDFEAEARNDGSGKKTGKDEAGKPKDSKNQSPG SDDKKDKSSEHKHGGTLGHIKDKMHEHLGR ACHE_50947A MNAGFEAVREEPTAGKKPVKYWRLTEEAVTNSIQSTTRYRKQTN YKKWVASDSLHRSVSGQELWGGGGRRRGSLRL ACHE_50948A MTLHDESSQEPEQLPRENWAFLPSLPLFSGPTVPKVGRQFFTHS PSTILKLGTDDGEGKMTALAHSILGPCVPRVICVVTLPRITSDALTPNRTQQGLVLTH QPGTPLVELWPSLTPLQRQTIKAELCRLLVRMRTHHFSYYGRPTRQPYLLFSEFGIET YVCCASRSEWDDSRIRALQASAPDAEHAVALEQVQRGTTGAGDWDRPVLSHGDLSDRN ILVDPCTLAVTGFLDWEMANIMPAYFEYVAARLSGGHQPEWRRELLDVLRSVLRCECD AGRQEDLDAVNVDDGEERYRTTLAAWDAVVDVERIAQGYDNDCEWTFETGLPDVSQKT GSAL ACHE_50949A MCRYRSRLTNRPENQTPLFPDFDHVPGLNGTATSVIDHSIPLKG FFTKFYTVNMVFGDYSFTVAKAIDVVWDIIIGRGGQALLLYVFSRVFYDVLHMLLEKD SMTYDTFTSLSLSGATAWGLWMLGRDLFSTAQKKKVWFVAMGVSSLWCYLSRR ACHE_50950A MWIIETNIARNSDLFKAMVFTPMSTAVNAVAQLVTDQSLTGKVV ELLGEHVSFAEPPAYVDEATG ACHE_50951S MLPKVTHIDHYIRSRTWVSPTLAREQIDKYGKGLENFTFSPEEI EKFKKDHQEYQRFRKAVELELQSIHGMTLTGDPMQVDAHERFVKNMKRRLANKPELID ELLLIPESINKARAISIST ACHE_50952A MESQLKVQFAWQAIDYYYEVGKETYNQIRKDNLKWTKVDMKADM IIQQGLTPTIALEIKDLPNVGAKWDYLKEAYPKSSNAMKPMQLMKMANWY ACHE_50953A MEFHVVSKSNNRTHATFSVDASIYPERKQLVTDCVRVQPVVISL TSNNLSYARLGEMLRWWDVYPIPNAAPEPYSDNTQWGIVPAWGFGIVLESSISSLLPG TLLFGFWPTASAPVDLILQASEPDGYWVEVSKHREQVMSVYNQYQVKRRHELSSDTTS FTFPEEQLNQMAWFSLFGAIWQTGYLLNRHTFTSDPEIYPPIGPLGKKIPWSKDNADL SQAVLVSLSASSKTARGFAWQVLTKRAHGSGPLAFLQVTQAPDAIRDVAARHAQVPFG AFDYSQLDGAVDLTAEFKPQKIILVDFGARDNAVKNLMGFLSAKPELEGLETVIVHVG GEQKVYSPGESLAMRNELQRFGKILCNTADILDEAIASGGRQAYHNDLRANWNEWISG KETNIPDLKLVWGHGVSGGNGLHDGWEKVTNGSVAVDQSLVYRLW ACHE_50954S MSGRGKGGKGLGKGGAKRHRKILRDNIQGITKPAIRRLARRGGV KRISAMIYEETRGVLKTFLESVIRDAVTYTEHAKRKTVTSLDVVYALKRQGRTLYGFG G ACHE_50955S MAEYHLSYESELDTFQLYYRYNEPPKEDWRTAALRGPALPALGN ALAGAMGSAVSNVATYPLSVIVARLQTRRQNRANKSDNNEKENEDEDEEEDTSIISAA KELYQKGGLKAFYPGLAQDTAKTVADNFLFFLAYSFFRQRRTRARFGAQRARIHTSIV LPVLDELAIGILAGSFSKLFTTPLANIVTRKQTAASSKKAREIAAQIRSEKGLKGFWS GYSATLILTLNPSITFFLNEVLKYTLLQGEKRSKPSPATTFLLAALSKAAASSITYPF SMAKTRAQVSGNASVSNKKATNEKTLLILLTPQILRNVVSIARREGPTALYSGLPGEV LKGFFSHGFTMLAKDAVYSLIVKSYYLLLLVLRKYPTPEELIERARERMEEYAEVAHE GAKDFAEKAKNGAEDIAEKAKNGAEVILESQPGHVAVDASSYVTPGGIESSSALPNET ADLLGDYVGGDE ACHE_50956S MLTLIFVFTLFFLSTYLLQDREPSPLPYSLSALPVSLQYAWDSN MQYIYSAFAGLSSISLSTDDIKMFLRSIFTSAMILFSGSSIVHGASSPGCGKDLPMVQ EPGGSYSTNITTKDGRERSYIIHIPSNYNKNEPVPVIFSFHGRTRTAKSQEKLSQFSN EEYNPDAIAVYPQGIKNQWQGDPSSQGIDDISFTLQMLDHFEDRYCIDRTRVYAAGKS NGGGLTNRLACDPTASQRFAAFAPVAGAYYQNVTEDSCRPNTVKIQCNPARTPIPMLA FHGTADETIPYTGGGRRGKCLPSVPHFVREWASRNDLGGKNETTTTNGGKVEVSRFGD HGEVVHYRIERLGHAWPSTEPNSDNPHGTYVDATPIIMGFFDQWTL ACHE_50957A MEANYLRHMSRERRGHKRQRLSSTAESERQASSQNFEISHENSR GSSSQVADNESTTSSRNLRRVASTNILTIEQRRQELELRDLEAEVKRKEEEVRLKQLQ NEQLELELIVMGRSLAI ACHE_50958S MTTFSAEISDHESNDQTDGNMGDNIHSGGTTTPVPQDLVAVIAG LQRQLQQMEERRIEDLRRLKEELTSPPREPLPQPTIEEIAPQPMADPVRRPRPKLTDP EVFDGRNRSLYRPFRSKLRAKLEVDKEALGNAYDRMWYAFGRLTDGAAMQVLPWMERF AKKGATESQLDGMLDQMDFIFLDRNLEEKAVRDLASLKQNNKPFTVFLTEFNRLLMEA DGHNWPENTKRSYLDNALNREMNTRLETVEKKNGFEDYCRQLQQIADRMEKNQLRYSR NNKHTTSTSPAHPVNTTRASSPPQDMDWEPTTTTSARSQPRRVAKHVSREEMERRRQE RRCLRCGDSTHFISHCPYDSPRNSTRMARSHIHGPELEDEEEQLREQPKLGKE ACHE_50959A MEANYLRHMSRERRGHKRQRLSSTAESERQASSQNFEISHENSR GSSSQVADNESTTSSRNLRRVASTNILTIEQRRQELELRDLEAEVKRKEEEVRLKQLQ NEQLELELIVMGRSLAI ACHE_50960S MEIHTTVFAPVMAPPPPSSSSSSSSSSSAAAAAAASSAAPAVAP PSTSSATTTPSTAPAPASTPSGQEPKKRVRRWHHRGFTGCSTCRRRHVRCDEASPSCR NCTRLGLECDGTQGRMTFKVYGPSQASQDTTSKPEPKKRGKKAGTNESAAAGKQAIIK KEDDEDKDGVDAIVVSPTTVSQSTPTRYQFQDPFRLVTMNNPLDSKDARYYSHFIDQV ASLLLIYDNNINVNPYRRYFPEMARDSPSMASAMQALGALHLANTSQGQQRIEHFQQA MGQYGEVVKSFRTRYTEPNTQLGLNDFATCLLLSLFEMMDSQHDNWTIHLKGAREIYK ILFFQNSTDPNQEAQRVTEMNHPLRHFLISLLSYLDVAGACATSDGTVVEGSYWRQYT GGWEYNLGIPSLSSETPPSEQILVELRNCWSVMMEIQAAISSFGRAKHENQLAPAQQD MIYGDLLNRLLNWRATAPECMQVLGGLELDDASLEHYPYLEILEYAGCIEAYEKATVI YLHKVAAANRPDRQTEGPLLQMLATRILQLIGKCANGVGRLAVLWPLFTAGRETHDVM EQAYVQQTMQELQRYGFKNVEKGLEELERVWFKRRMFPEGWIETMDDIRTSVLIP ACHE_50961S MAAPSSLVYDDNDRAQSQDALPSDGEGDRSQNEAVDDAALLKTM GYKPVLHRTYTLFENFATTFAALYFVGGVRVTFSTGIAAGGNLAYWTSYLVTMVFTYI TAAVIAEVCSASPSAGSIYLWAAEAGGPRFGRLLGFIVAWWSTTAWTTFCASNTQSAA NYLLSEMTVFNVDFPTETTEVKFRTLQWIVTEVLLALAALLNFMPPRFFRWVFYFSSF VVLLDFLLNLIWLPIGTANTWGFRTADEAFMSTYNGTGAPPGWNWCLSYLATAGVLIG FDASGHVAEETKNASISAARGIFWSTVVSGIGGLATVILFLFCAPDPATLFSFGSPQP FVPLYAVVLGRGGHIFMNIICILALWLNTAVAITAASRLVFAVARDGVLPFSSWVSRV HSGQPRNAVIVVWTVAAIITCTLLASNVAFTSLVSAAGVPSAAAYGLICLGRLVCTPK RFPKPQWSLGRWSKVFQFIGVFWNGWVVAVLFSPYEFPVSGENLNYAPIIMAAVTIFA LVSYFVMPEESWLPRNRISHFIDSKGVAGVQETVEEIHAGRHGRQESANEGEGEPGPS ESR ACHE_50962S MTHLFFHLSRDKKLTEELQRQLDALSNHNDDSLAGLELLDAVIH ESLHLHPAVPSGIRRLASAEGITVTDPMPVSGDVWH ACHE_50963A MAAQGKINPEELRALKPFPFPSTTLEKKSYKLESDGNVLEVTPE CDEGVEVAAEEDNLKCVMWFNTGPMSLETIQRIDSVQLFTESHDANSVLTTADNRTWF DLAILEHKDDQRARVKQDVELVWTSHRNRSQTTEFGWDKGTVFDKNHPFLRLLEDGNC LAVRVCAGDQNAHNVVKNAILKLDIGDKTEREAVTYNETPRETKIMVEVFKECNSAAP SGNYMPWVSLGAFRADYYDDGHTHPLRVLAMDGGGVRGLSSLFILQKIFNKLEERLGE RKKPCEIFDMIGGTSTGGLIAIMLGRLQMDVKDCIKKYQELMGIVFKKREGTLGSITD WFTKKASLLWSGQVYDDKPLETEIKKLVRSQLGDENAELLDGKGNPGCKTFVVATRRD ALNNRGPVFLRSYKHPHDSRGLSNVKIWQAARATSAAPTYFSSMKVGDYELVDGGLSA NNPLGWLWTEVLSIYGMGRRANCFLSIGTGIGSNQALTDPRKAPGQAISAITAAATNS EIAHLLFRTLIDAFAPNSGKPKYWRLNVNKPINKVTDGQEPEDYEKVVELDDSGAAKV LEDGAEKYIEEINADIQKCVDAILDKH ACHE_50964S MGARRRMGPATRAQDRLHSMRLRSEKRLNKHNGKEDASMEDAPE VCKTPTAPPREPTTPQQSPEQLRCEIPMQAQHFPCNPPENQYLPTQPERDTPPTTPTH ESPQSQLGSELQSHIAAAVASKTLQIKTTGDEVLELVSMVSQKVIDWEKQSLQGAASL GRDIRTLVLNFSKNLTTGNPSEQENHHPPHPVHNSYAKTVGSPSTAPRTQPKLPKTTY KPPQPEKPLRIFLRLSKDHPARQASPHATMDILRKHLDKTCSAAIKEIQQVPSGLAIW PKDGPGLQLLTEHRELLERLIQGATAEVEQKWAIYALPNAPQQYTSYDGTQVPVTEQM ALDEFKLQTGLSPLRFYRSNKNPLSGTLVMAVPETQVQTVPKRVYLFVLGLSQPPDLH PHP ACHE_50965S MRHNNIRISPSAWINDPMNYPFPEPPSVVSLPPGRAMGTSHSIM SASDMKTPSWSAPVDAQRPPTTSTTVEKATRPPHSHGVTGRWMRFCAPSQEQGISMDG CRGLTFTARYVRHTDLKPTNPKKKKKNLLFLSLFTSFSPSSP ACHE_50966A MGDIIDISNRCVSHVFDPVDGHIHAHVHALVHALVHVHSQGSPL QGCTHVGKVLFELTQGPH ACHE_50967A MMILIKANVFVALLAGTSLAIPAKEPTSAHNTGKTLQCIVDGKT ANIHEDAAKQLAKTAPAGKDIQTKSSYPHIYENNDAIKWDNQACNSKNVKTHEFPIDE TGRMYPWNGVWIGNTLVKKKEDPGPCRVVYSETDRHYCGVMCHKSMKPEGEKGFNKCT ACHE_50968S MIASNPLNLDLESPHEPSNTEHAFFSLLTTYLPETSSITPDQAA NQINALLPSHRPGPKEEKESTASFLFEFWELMFRIAPQLDYRHETMQRFVALVKALRN LPETIVIQDGGNYDGQPVWRDGLYFEPMLHERWNRIPENKPPEDLYAVRWRNMNGLLA HFTNHNLCTNAFRALACIIKALEEFRRKKPKTPINRRVPAAAIWFVLCPSMIYEACQR QECADREVPGGLWKGEPQQGYSIARWAFWRKRFGEVECHSDATEATQEACRAAIEGMD RCVARGG ACHE_50969A MFIVKTRRSTDVTDILVAAVETRPSPLSYLHLLQGGGVVGDDGT EFAPAAVRWVYKVARGLLPLSIGVYGAGLEPDSRDAALAAKAFGLNWPRLARLKHSLD QRNMLAYACPLLKAPMKQKLIILITGESCASEVFCTDIWVSVFLTCTHRSLKVRAVSN SDVTKREYATAAGADLNCLLRNRAYKEQHWPGLTAFFQGQVRHQPRLPEEHSLNVVYG AVDLDVLLITGIIDEAPVATWPHLVPYSRLLEVRVKASQETRRAHRGCNGVDD ACHE_50970S MLFISRSYGRSIHLTFHPFSSTITLYLHYLHWKMAIVQGNRLQG KVAIVTGGGSGFGAAIARRFGEEGAKVVITDINAEGGQNVASQNPSSLIFQKQDVTNP EDWKTVIDLAFEKFGRLDVLVNNAGTTYRNKPTLEVTEEEWERVFKVNVKGIFHGSQA FMQRIIEQGQGGSMINISSTGASRPRPGLVWYNASKGAVSNATKGLAAEYGPHNIRVN TVCPLLSGTGLFSMFTGLEDTPENREKFLGNVPLGRLTEADDVANMCLYLASDEGRFI NGTELVIDGGKCI ACHE_50971S MMSSHFYHTYSGRQCTIIARQSHVDVATSTIATAVGFLAILPRG LSAGLRNDLSSISRTVLTQTFTNPSSTPLKGVSYTFPLYDGVSVVDYICQVGDRVLYS QVKFKEQANKDYTEAVSQGRQASVLDYSARDVLNVRLGNVKVGEQVVVEITFLSYLKQ DAQADGVRYTFPNILAPRYGSLNDNPAFWSRFGNQAQNQSISITVDVLIEKP ACHE_50972A MFQAVESFDLDCISKQQPLQRYESEEEDISESEAGGQDHSFSPV DFHRSDSDLSADDMSNVDEPDNFPQLVSLCQPVDKDSRPVSMATIKRASDATFVAGSY IYDAEDDMIIELPSPDTPSQLPSSAFLQPTVYNPLESKRSSARLSFRSPSPASCLSDD ESDVLVAEQVKYVEPVTRPNLIVISPTSTQTPSSSTDETPSAGSGKLCPSSTSSSIQR EQTDMVSSLRQPAEPLTTNRTASNKRNALYDHSGDVQPAQKPNNSEPIFPGQSLERTN TSATVNCLPVPTLPHPASRSQSISTPRPRTSISDRVASHVRLPSKSLGRPPSLRSVSS FSAPFWQRPFSPRFEDAHSRSLSYSHSVYNSDVTSSRATRPYSGTPSHAPYSAFSRGR SESTYNLSSAACDTPLPIRHQTVKHSAAPSIWSINSFSFKRKLGRTKTFRRTKQQQTG SAEKPPGKSFLGLRLGGKRKSTVKDAHF ACHE_50973A MLVRESTAYRCLKAKGFSQSGVIPDSYGTMTNMQPALWLNLHMS LEDELPPDAVLVEYIPNSQHIDLSNYSNERLHTLRDIHYEIHQARVYHGDAYPRNTMI SMGDSGEEDRVLWVDFDSSQTLPEVTLTERQEKWIKRDVDLMENFVGAMAKHHEEDRL NIA ACHE_50974A MALEFLQDYRPTIDRPFGIQLWPIFDKAFEYVMGYPASQFEFVR GETPFSTIESTGVMLVAYYIIIFGGRELMKSRPALKLNTLFMIHNLYLTLISGTLLAL FIEQLLPTIWRNGIFYAICDYNGGWTQPLIVIYYLNYLTKYLELIDTVFLFLKKKPLT FLHTYHHGATALLCYTQLIGLTAVQWVPITINLLVHVVMYWYYFQSARGVRIWWKEWI TRLQILQFVIDLGFIYFASYTYFTSTYFPWMPNAGKCAGEEFAAFAGMIIITSYLVLF ISFYIATYNKTAKAGRPRRNTGRQSLIDMRNAEIPTVGSPAGDKNATTTGRSNGPVTR SRKA ACHE_50975S MASTSLFRLATRAVRPSSFVRASQLPRSASRVQTPVALAINRPG FSTTSIRRSGQHDDETYEEFSARFEKEFDGVQDVFELQRNLNNCFAYDLVPSVEVLTA ALKAARRVNDFPTAVRIFEGVKAKVENQEQYEQYLKALDTLRQELGVALREELYPSEQ ACHE_50976A MAVARPIRMLGAASILLCLFLIFQLNKGPTPLVSQGRTYNGMKS DPLLEPTGEPEGQLWRVDDGDYSPNSANSARTNAALISLVRNEELDDLIPTIRDLERT WNSKFNYPWIFFNDVPFTEEFKRRTKAETKAKVYYEQIPKDHWSVPDWIDMDLFYESA ELLKEKGIQYSDKMSYHLMCRWNSGMFYKHPILKDYRYYWRVEPKVQYFCDVDYDVFR YMEDRNTTYGFTINLFDAPQSIPKLWPETKKFIAANPSYVADNNMMEWLTDDQLRPEH TKEANGYSTCHFWSNFEIGDLDFFRGDKYEAYFQHLDRAGGFFYERWGDAPVHSIALG LFEDAAKVHWFRDIGYRHTPYFNCPNSPKCSGCTPGKFYDGEPFLAKEDCRPNYFKYV GTH ACHE_50977S MAHAANISALTDQLITAVTKSPDKKNTSRSKNLKRRIEETFKAS QYGRTDQFAVAKQLEGLQEKFRVLNRDELATDLRSSLIELDNYRSPWFPEILSLFLQL SDRPVLQPQRDKTVTQKPVQVEESLSWSEADRAGTAYCDEEDIWEDVDFRAGSSEDDV SSVSSEISVPRILPQESIALDEEYVIPDEVFIPEEDGGVLVTIKDVQFWKPENNTALS PKENYSCPVTELQIVRETIFMLQGLPTSLFWRSADSVEVDRTYALAHSSNETLSCLLR SFSTIGAQIDILRRFITIPQTVAYVQSFIRGIEDLLQKFDAFLSKAQTQYLTPTWTAA VSLLELLEVVRRESRVLLSLAGLVSTISHDVQTQSVQCLDLLYDLVCMTQAVGDDNEF KSLAELFFFCFKSYIRPILLWTETGHLDSVEETFFILENGQDRDLRTLWHDWYTLDET SKLPNAPKFLHQVAHKIFTTGKSMVFLRHLEAIPTDIDRLSKSTLTFGDLYPHHSSSS FTLPFSVQLESAFEKLIGANHSVTSDWLQAVLDQQCGLWTSLQALDYIYLCKDMSITG SIDYKIFESIDRGKGAWNDRFLLTELAQHALSALPLVDPSRVIVRSSKVSPQDPDVQS KSVKMLNVLSFDYILPWPVANVITKDAISTYQRISTFLMQIRRAQYVTVKQRLQYPSN STEKNPDRTHVLGYAVRHSMLWFLNTLYGHIVDVVISTTTSAMRKELSSSKDIDAMIA VHRAYISSMEDQCLLSRNLVPLHEAIINTLDLCVRFADLQAVYYGDNQLDQMQSSKSF RKQHDEEQSDSDSDEDEDDEFDQGNTTRTIPTQGASYPQQIRSLKNEFDRSVGFLTAG LKSVGRVDGQPSWEILAEKLEWRKEREAF ACHE_50978A MAATPSNDAFLALPGSVAFSRSRGRAVAASIGAREVRTQWVHYV HASQPLDERQQNILDQLLRYGDITDIPETFSADEGPFDVFYVFPRTGTISPWSSQATA IAHVCGLRNTVNRIERGMKISCLRADSAEYKTGYQDVLHDRMTQIISQDEPDLQQMFS EQARQPLQTIQLHGSDKSPKEVLQEANKRLGLALEESEIDYLAEAYGPNGAIARDPTD VELFMFAQVNSEHCRHKQFNAAWVIDGQQMPNSLFAMIRNTHKKHPDHTVSAYSDNAA VLEGADAAWWAPDSFTGEWNHTKELVHFLAKVETHNHPTAVSPYPGAATGSGGEIRDE GSVGRGSKPKAGLAGYCVSDLLIPGLKQPWELDVGKPNHIASALDIMLEAPIGSAAYN NEFGRPCTAGYFRTLLTELDIGNGEKELRGYHKPIMLAGGVGTVRPQHAIKKPDAVKP GAFLVVLGGPTMLIGLGGGAASSITSGEGSAELDFASVQRGNAEVQRRAQEVINACVA MGQNNPIKFIHDVGAGGLSNALPELIDDSGLGATFELREIDNADKSMSPMQIWCCEAQ ERYVMAIGEDSMNKFTAIAQRERCGFSVVGRGGGTSEEEQRLVLLDRDSPEYPKPIDL PLSVLFGKPPKMTRVVDSRKLKLPAIDASLTTYLPSLAANSGELLGEAVNRVLSLPAV GSKSFLITIGDRTVGGLTARDQMVGRWQTPVSDVSVTATSLLPGMKTGEAMAMGERPN LALISGGASARMAVAESLMNIAASDLVNRLSQVKLSANWMSASSHPGEGAAIYEAVEA IGMDLCPKLGISIPVGKDSMSMKMKWKDEASKEAKEVTAPMSLVISAFAPVGDITKTW TPALQRVEDVGESVLMFVDLSFGRKTLGGSALAQVFNQVGDECPDVRNVDIFRDFFDA TQTMQEAGIILAYHDRSDGGLFTTLAEMMFAGRCGVEVMLDNICPTTDTRSVVETLFT EELGAVFQVRKKHEMQFRSCFATCGPPGGLIHKIGRVSEKSKQNLAIYKGATLIYRNS RANLQQTWATTSHHMQKIRDNAACADQEFANILDDADPGLSWKPTFDPKDRAMPVLTS LSQWSPFSSKPRVAILREQGVNSQAEMAFAFNVAGFSAVDVHMTDIISGRVSLSTFAG LAACGGFSYGDVLGAGQGWAKSVLLHDNTRKEFQTFFERPDTFALGVCNGCQFLSRLK ELIPGAANWPSFERNASEQYEGRVAMVRVSDPDPSNPSVFFHGMNGSALPIAVAHGEG RASFAPSSNTTAESFVRDGLAPVQFVDNATLKPTMKYPFNPNGSPEGIAGVRTPNGRV LAIMPHPERTVMNGIASYLPDEAKEWGDIGPWGRVFFSARRWVG ACHE_50979S MQDDYSHNPSSRTLSVADGTGPLGPVDLPLRELNENANLEEYTR ETASGQIPKRVTTNDGRTEDYKLVTFKEDDPENPKNWSKLFKWYITMVVAFTCFVVAL ASSVVTADFPGVMETFNVSREVTFLTVTVFVIGFGVGPMVFAPMSEMVGRRLVYGVTL FVAVVFVIPCAVAQNIGTLIVCRLIDGIAFSAPMTLVGGTLADLWRNEERGVPMAAFS AAPFLGPAIGPLVGGFLGDAAGWRWLYWLQLILSGVAWFLITVTVPETYAPTILKRRA KKLRKAENDPKYVTEGELNALPINEKLRIVLLRPFQLLFLEPIVLFISVYMSVLYGLL YMFFVAYPIVYQEGKGWNAGSTGLMFIPLAIGVVMSAMCAPFVNKHYLKVSEQYNGKP PAEKRLIPMMLSCWFIPIGLFIFAWTSYPRIHWFGPAIGGWPVGFGFIFLYNSANNYL VDTYQHQAASALAAKTFLRSMWGASVVLFTEQMYNRMGYQWASTFLAFLALACCAIPY VFYFKGESIRRYSKYAFADDEEQQGTEKH ACHE_50980S MASPYQPSMSYSPATTSDMAAGPSRSVSELPQSQVDAIIRTKRK AREPKACYPCHARKVKCDRNLPCDGCVKRDHADLCSYERPVKKRTAAAASTTAATSSA VPQTFRNSPVVGPTSAVEDGSAPSIRVKQEPGLSSRGNAAVSATAAATAGNGNGNGRV SIAREEWDNVRNRLREMEKTITNLRVGLDRAEEGSGSILETGSVQSGDASTRSKGASP EREGIHAANTLGEGTVHLGSRSVLAYILNNKSGSDQLHTLLEGGILPKLGLDNESATY PFVDLWSSDMSTFDISAVCSALPSDQQCKEFFFYYRDIAGAIYPVLEDVRQFERILDL LLQSRTSTGGMYRADPVQAQRPFGVTIAFLGLLFAVLASGCQSSDLPSKERELTSQVY VCCSYQCLRMTNFLSQPSIEAIQTLLIIGNVLSYNMNPGISYVLLGMTLRMGLALGLH VESSRFLPAERYRRRHVWWSMAWQDSHFSLSYDRPSTTAVSQPDIAYRESTQLGELSY FETLCRIIALALEVVRSRMLSLHSQMTPKTIQGYKERIQKIMIEAKPGLRDRKYCLTA TEHLERVVLKLHASYFTSELCRPALKSPADTTDSIVSEMRADCISNLMKTVEAYVEMH SISSHASRSWITLQRAISCIFLLAVIEESKTDTQFWTLLQQLKLIIAERASNESVYES GDPSAAAAATAAAGGNPMTSPIPGGTPIQQPDRNAVFNTIPGTTNTTAASPGAINATS PVPAAVAADTQTQWAKPLTKTLRALEKLEAAFANQGAPGRYAAQGGSADATGTPTTAA ATTAYLNPNAGSTTAMGGFIPVSGMGSLPPPTPESSTSGEWTIPNILDRAAEYIHPPL WG ACHE_50981S MCYRVFSLLGDPQYRYIKFSKADGDCLRVGDIVTAAAAAAAPGL DTTTVSISILVPGEDKWIFWNELSLDLERVKKLEGSHEAERAAATMLLVDYDFVIFAR VCGYFRSGTWHDVGERQRTGGWSLLGRESLLRMTRIVTSDLLGCVRGIVSYFRLTSGS VLSLLYTASDDTGYPDVERGLDNPPSRPNSPKEAAVE ACHE_50982A MAQKALSLYEILELILIYTDRRTLLLSQRVCRKWNAHTNSSHNL QEALFFKPVRYELPYGSKKCVRNPSIDEHVWSWLVRKQIEAEAKKEEENHHNWYYYDS ESELDTVSDPTSDPTSDSDNGDDDDPFARPEASWKRMRFQQPPTSHVGFIYYEFCWHE PSLYRRMRSLKPDYDPLRLKDIAPALEDGSMVADEGSCILRSKPHYSFDMIRNFTW ACHE_50983S MAPRRSQEADRKLESGQANQSANTGLHPAFYIALWIALSSSVIL FNKWVLATAKFNFPLFLTTWHMGFATFMTQILARFTDKLDSRHNVPMTPRTYTRAIVP IGLMFSLSLICGNLSYLYLSVSFIQMLKATNAVATLLATWAFGIAPANFRTLGNVLLI VVGVAIASFGEIKFDMFGFLIQIGGIIFEALRLVMVQRLLSSAEFKMDPLVSLYYYAP ACAVTNGVVTLFTEVPRMTMADIYGLGLGTLIANAMVAFLLNVSVVLLIGKTSAVVLT MAGILKDILLVFASMAIFRDPVTFQQFVGYSIALGGLAYYKLGAEKMGALLRDLRATL CG ACHE_50984A MPAPIPAPPGLPILGNIFDVSPSNTWGSLNALAEKYGPIFKINV LGHQIVFVANNAYIEEICDQTRFRKCVTGPVVEIRAAVHDSLFTAFHSEDESWGKAHR IMAPLVSPEAVREEFAGMRDTAKDLIKKWSSGHHQKVSVTNDLDRLNHAANMLCFFDQ RIDCVLGEEPVVLKAQEAATGEAMRRPTRPRLFNWLYYNRKFDNHIKTMRDYGAKIVA TRKETQNPKKDMLYAMLYGKDPQSGNGLTDSQVLDEIINIFIGSATAPNLVSFALYYL VKNPEEIKKAQAEIDAVVGTNEWEYEHLSQLPYCEAILREAFRLSAVAPGFNIEPIPD TQGPVTLGDGKYEIPTNQPIIAILSQVNRDPDVFEDPNAFKPERMVGEKYDRLPSGVK KGFGNGKRECIGKKYAYDWSFFALVAILREIDIEEADASYKVANAGVNYNGAFSVKPL GFHALVSPRKR ACHE_50985A MALPSCSFWRLRRSTLSALYVIFTVTLFCVVSIWYGMNSDRNYI HPLLTQLIPAGHCACQTSTTFQCSSCLSCSQTNLLQQLTPSTNWRYQYERDAGDEALD RGQCRTAFPGLFEDVFRAVNYWRYHGNLATEDLDHITLQHGMARASIYRGELYVNAAR AKGEDHRQKILAVLSAIHRALVADRDRRSRDMSFIFSVEDKVEDVTSSEYPVWVFSRA ATEEAVWLMPDFGYWAWDNPQNPIGPFDQVVEHIQEVDVPWSEKKRQLVWRGKPSFAP KLRRALLEAARDKAWGDVKQVDWQEKANIIKMEDHCKYMFIAHVEGRSYSASLKYRQA CRSVIVAHKLQFIQHHHYLLVSSGPEQNYVEVERDFSDLADKLEPLVENPDAAKRIAD NSVRTFRERYLTKAAEACYWRALFDGYGAVWNSSGVRDARERGLRYESFVLLESSEMV EFAHSY ACHE_50986A MHTKNEETHLHVQPRDDDKANFPPEDPSSFDQYPDRGWRAWLVV LGAWCALVPAFGIVNTIAVLEEWLSEHQLKDYPKSSVSWIFSLWVFFFYLGGVQVGPI FDAHGLKPLLIPGCTGIVVSLMILSVSTEFYQFILGFSLLGGVTASMVFTPSLAAVNH WFLRRRALANGFANTAGSIGGIIFPLMVGDLSEKVGFPWAIRVMGFVCAIFCVASTLL LRTRLPPNKEGGSSIDLRALCDVRLSTVAVAIILIEIGFLIPMTYLVSYATSHDVDGS LSYQLMAILNAASIFGRVIPGYLADQWGRFNVIIVTTFVCTVLILALWLKSGTNAPAI VSFAASFGFWSGTAISLAPVCVAQISKTEEYGKRYGTTYSLVSFGSLVAIPVAGEILK AQNGNVKPETNYSGLIVFAGVAYGGSMLFFIISRGVSGGWGLKKIF ACHE_50987A MATYGMAEAFGCLAMADGNTGSHITPVSRVLRLVISNTLGRYTY DFDLQGSCGRRQQHATFKASGYISENPDVMKNAFDSEGFYRTGGIGKLENDIIYILGR ASQDVIRFECWEIYAPEVEVELSLVPHPPNHRPRRVIPKIHRRVAVLLTLKDQSTISQ KLDIGSLHQWLFNDRKLNKYRRPTVVRILWPGEVVPVTAWNKPVKGKIREAGVLRFGI LVLRKGSEERPFHWARIQAD ACHE_50988A MEKFLRSWRQDALNRGQHDAAIYIGDKVLALTNSDSDAFWLAQV HFSNNNYTRALALLSRKDLISRSTACRYLAAHCHIKQNQFEQALSVLGDHNPTHLIRN TTSRRKIQHLNGQSHVTLRNGKSAASRDRGEDREREDANNIRFEAAMCYLRGLCFAKQ NAFDRARDCYKDAVRIDVQCFEAFDQLMKNSLMSPAEELEFLESLDFDSISGPDPSVA QEAAHFTKMLYTTRLSKYSSPAILTDATETLSTHYNLAENPDILLSRAEALYTQCRFA EALELTSSVLSTPQSTQGSSTTVIAASNLPAQNHLGHPPAVYPLHLACLYETAIGVYY LSVSKVAEARRFFSKSSLLDPHSAPAWIGFAHTFAAEGEHDQAIAAYSTAARLFQGSH LPQLFLGMQHLALNNMSLAHEYLCAAYAMSTGAASGSVSSVPINPTSGSAPLGGDPLV LNELGVVLYHQDELEGAVELFRQSLALAKSLHCEPGAWVATRANLGHALRRLSLHSEA LEEFDECLRIGAGGASMGYTPFLGGNGGSTSGVSSSGVGGYEDRGLIGSLYTSRGLVL LELGRTMEAVTTLHEAVRVLGASGGGDAAGGAGVAGTLLSRALEIWALDNHANDEALA RQEMSRTPASQSSRSRGKGKERLASAEPVRRRGVSHDQLSEDWTDEVAQAEPSAAAPE TMEDKVEMELDEEADGLLHQALSRVRCGRSRQRRAISSPIEEVDETPIPAVSRSRNPR AVRGNTRY ACHE_50989S MAGALGKLTITFHRDFIDDVRPYPTGPSMPDYVEIHTNINIFPE GDFYDSGVVVEPLHTYVRAYITRFDQEIYVPNAFFYADDRFHVTIAEENQSEIIIQAL SLQR ACHE_50990A MAAAITSWVLNPIQSLTMSRPRTRELWCAVPGNLRQPFSIECIA DQDNIQTLKKKIWDHAPAHAKKDAADYGDLTLYSPVVQLNYEEEFKIDNGELLHPRRM VTFNPLFPESKDPDVDIVVVVMSGDTTTRKRKRSESQGVDLSPKRLITEDPHVCPRER TVSELAAILDDVNIVHVRGTPASGKTYLSELLRQHYRKGGRRVSLIKKWEGLDFKNPW DSLVKLVEKWNEELEGAPTTSFTTTSSESKHDLSWVLTSNTVILVDEAQMTYSDDVLW NTILKGRQSSLFGYNFRLCLFCSYGSPETGPDQTFFTPVRLSNQQCISLTPQSQQYSP PIGLFYDKEEFRDVVSRSIPVEYQETFTFDEGAQDYIFALSNGHPGAVESILSTLFQT YRHKIKHRHIKTLTEDHVIWFLEDTGTVFQKLSTQPVNRSFPDISRATNGICNTLCKI TEEGSIPFDINNASIKFCYQKGWIHRVALDGGDIAVLPSRLHEKYIEYWIGKMSIPMP ARFDSLPKLCKEVLREFSITILRHSAEGKKISTASQPRPVESQYQDEFHRGFVHVAGL GVPISSEWSRTKDGRVDFYIPEKKWAIELLRDHNKIDEYISRFKEGGKYHPWLKENMV KDWIIIDCATSLPTKEFSEPRLWHAVFIDDYSELRLYNHQKALIMSVHLHI ACHE_50991S MSERKVLTKYYPPDFDPSAITRKPKHLRSTGPKVITVRLMAPFS MKCTSCGEYIYKGRKFNARKETTEEKYLAIPVFRFYIRCTRCSGEITFKTDPKNMDYT CERGAKRNFEPWRDPKAENYHETEQETLDRLEREENEEHEREERDKMAELESKMLDSK REMAIADALDEIRTRNARIERNEALGDETAIAQVRDAVDEAKEKEEKEIEEAARKAFM TESGEKVKRIVEEDGPSTSTAALEKKPDMPPPSFAKVKKPKKPLANSLGIKKKQSLV ACHE_50992A MERNIDIYASKLGDEKIDIKVRANVAVELRDNIEPLCSGASYPL FLAKLWPVFKTILKGDPVFTNLSFDQKLRNCVLETLHRLPTASLDVEPYAEDMVDLLM DLVRVENEENAVLCMKTIMDLERNQPKATAGRVQPFLELIQEMFQTMEQVVRDTFDTP SQNTPSGMPSTPGATAQVFQSPRPSSPATSVADLAPDQQPGNVLLKGMQSFKVLAECP IIVVSIFQSHRASVSANVKLFVPLIKSILLLQAKPQEKAHTEAAQQGKIFTGVCKEIR NRAAFGEFITAQVKTMSFLAYLLRMYAHQLQDFLPTLPTVVVRLLQDCPREKSSARKE LLVAIRHIINFNYRKIFLEKIDELLDERTLIGDGLTVYETMRPLAYSMLADLIHHVRD HLTRDQIRRTVEVYTKNLHDDYPGTSFQTMSAKLLLNMAERISKLEDKGEARYFLIMI LDAIGNKFATMNHQFENAVKVSTASKERAAQEADPTSETYLAEKEQPPEWDEIDIFSA HPIKTSNPRDRTGDPVADNIFLFKNLINGLKNIFHQLKNCNPDNVQIDPNSLPINWSE VSYGYNAEEVRVIKKLFHEGARVFKYYGVDQSPPEVNYSSPFDFLASQYTAPMSREEK ELLESFGTVFHCIDTATFHEVFHSEIPYLHDLMFEHGALLHLPQFFLASEATSPAFSG MAKILLRMFKLSFMAVTLFSLQNEQVLHPHVTKIVTKCIELSVTAEQPMNYFLLLRSL FRSIGGGRFELLYKEILPLLEMLLETFNNLLIAARKPQERDLYVELTLTVPARLSHLL PHLSYLMRPIVVALRADSELVGQGLRTLELCVDNLTADYLDPIMSPIMDELMTALWDH LRPHPYNHFHAHTTMRILGKLGGRNRKFLNHPPELSFEQFADDAPSFDVKLIGPNERR PFPIETGIDLAIGKLMEAPKTTASKDSDVYYKQQAYRMITSQLKLYIGYENLPDDFAS ILRLHANDLVEGKTTAVADILEKSERSSSIQKKLNQEGLLKKLLKATIFATTVPELSQ SATAFVTDVCKHFAIVEVGRALAQARHNRKPFDVASGEGPIYLDSRVLAEAVVESLSS DDASVQDGAHTVLNVMKEAATVIFGAPEKASKLPFFQHLGRVFCHSCHSEEWFTKAGG SLGIHLFATKLDLGDSWLFDKQAEFVRALMYVIKDTPSDLPANTRLRARDTLDVILRR CIKQVSKDDLKNEKSRLFSLCGFFVYELSHMNRHVRDASRRSFSTIADVLGCEVHELI FPVKDRLLQSIFNKPLRALPFATQIGFIDAITFCLGLHNDIVTFNDPLNRLMLESLAL ADADDESLATKPNEFKNADMIISLRVACLRLLSMAMSFPEFANTPQNTSRARIISVFF KSLYSKSSDVIEAANAGLRDVLTQTNKLPKDLLQNGLRPILMNLQDPKRLSVAGLDGL ARLLTLLTNYFKVEIGARLLEHMKVIADEATLQKVSFSLVEQSPPMKIVAAIFNIFHL LPPAATSFMEHLVNKVLDLEDKLRRTSNSPFRKPLVKYLNRYPKESLAFFLARFKDER FGRFFGQIISDPESEGLRNAVVADTDNFTSVAFGQESETTAAINGIYVAHSLSCYQST KKWLVSHPDLRVKILHSGRDLERKLRADQLMASERLRVEQAEEQLMDIFTTYLSESIQ DLDFLFEVIDGLSAEELKRTLAFPKFIYHHIITNESIDYRRSVVMRCLDLYGQRSCTQ KMKTYAFRNLVNPIFAMDVQLSWNNPPNTPKLMDKSMTESIQNRLWRPQLSDLAEESS QIGVDHSRMELLQLSALLIKYHSTTVQDSRKDIIKFAWNYIRLEDIINKYGAYVLISY FIAHYETPFKIVIQVYVALLRAHQNEGKALVTQALDVLAPVLPTRVLAANNASSANSS QVADARYPLWAKWPRRILAEETANLQQVMSIFQFLVRQPDLFYESREHFVPLIVPSLI KIAPPLSNSNEAKKLALNLMNLIWYWEEKRTKNTTPIVSSSGALESPSKKRKVEENQS APASSPALGPPVSTTRERGEYTVPPDLRAAMTKYLITFITTISERFPVPAARIRELST TKTQQPVMAGDMIKKAVYLLRNLLSPGYWGEIDVELYPKATDPILASERADKPDEKHL THMVNALQVVRVLLASKSDDWIGVRLPAIQKLFEKSLRCDNPEIQDCLHGTEEEMDIS PKLPSPVKRVLDSLPDDQPEEEDAMDVDSSPSEFVTYLSAIATETLSASNYISSLNAL WSLSKKKPAEMDVHIPQVMKAFSQKLAKEHVAATANSQGQYPLAVKPGEGVPDQQEFE IGVDLIFKTIELISVRMSHLGEQRRPFLSVLAQLVERSQNIKLCSKVLGMVETWIFRS TESWPTLKEKTAVLHKMLLFETRSDQTMLKKFLDLVIRIYEDSKITRTELTVRLEHAF LIGTRAQDVEMRNRFMNIFDRSLTRLASSRLSYVLTCQNWDTLADSFWLAQASHLVLG CIDMTTSARLHPDDFTVSPVTFLFSSSENDSRKSDVMVDSSLEAFVSDRRRFLAEIGD VKVRDLLEPLCQLQHTDPEVAQKLWTTLFTTVWSTLPKDDRIDLEKGMVPLITREYHQ RQLDKRPNVVQALLEGAVRARPRFKIPPHVMKYLSRTYDAWYTAATYLEETAISPIID TPTVRESNLDALVEVYAGLQEDDFFYGTWRRRCKFVETNAAISYEQQGMWDKAQQLYE NAQIKARSGAMPFSQGEYFLWEDHWLICAQKLQQWDILSDFAKHENLNDLLLEAAWRN VENWQSENNREQLESLIKSVSDAPTPRRTFFHAFMALLQYHLKKENIQEFNGICDESI QLSIRKWLQLPKRITNAHIPILQHFQLLVELHDASHICSSLSQTNERNLDTKSAELKL LLGTWRDRLPNLWDDINAWQDLVTWRQHIFQLINATYLGLLPPQTNNVASNSYAYRGY HETAWIINRFAHVARKHQMPEVCINQLSRIYTLPNIEIQEAFLKLREQAKCHYQNQKE LTSGLDVINNTNLNYFGAQQKAEFYTLKGMFLAKLKSVKDANEAFGVALYYDLRLAKA WSEWGQYSDHRFKADPKDYELASNAVSCYLEAAGLYKNAKSRKLLSRILWILSLDDEE GRVASAFENFKGDTPVWYWITFIPQLLTSLSHREARLCKAVLVKIAKLYPQALFFLLR TNREDMLSIKKQHDQKQEKLNRVRQAQQQQQQGQPQGSPQAKPPNGNANGSPAQTSNP SANASPVVNGQPMNKQPSQSPAQPQQQLPQQGQAQQQQSPPNPGQMQPPQGIPGQPPV PGQQQQFQQPPVSGQNGPQPQNQGSGAEPEKEPLKKPWEYSDEIMSGLKTAFPLLALS METMVDQIHKNFKCPPDEDAYRLIVALLNDGLAYVGRMPVSYAQDFKLPPATEANITR FAETILPAHIRKSFEVDFVVKKPTMYEYIQKLRRWRDKFEEKLDRRPQFQFLESYSPH LSEFRFLKFDEVEVPGQYLLHKDKNQDFVRIDRFLPDIDLVRGIGVCHRRLRIRGHDG SIHPFAVQHPAARHCRREERILQLFRILNGLLGKRTESRRRNLYFHLPLMVPLAPHIR LVRDDPSYISMQGIYEDYCRRVGINKDEPVLFTMEKLRSLAETKQNRSADQQQVLRTE ILTAIQEKWVPSNVVLDFFQQTYPSFADFWLFRRQFAFQYAAIAFMTYVMHMGNRYPN KIMISRSTGDIWGSELIPTINPAKAFFYNPEQVPFRFTPNIQTLLGPIATEGVFACAM MAIARCLTEPRHELEQQLSIFVRDEMMYWATAQHRGVLPVPQLRDLVYNNSEIIVNRA VSLASPPEGNLPANQTTIDLISKAVNPQHLATCDALWMPYL ACHE_50993A MKGFRQRVHEQLSRAKDNKSSKKKDSNQSRDQAALGISQSASPN HGTPTSSTTSVNDTKGRSPDNAAQGLYPPGAPGSHYLPQGGQPGNNGPATPTKQGGAP SVVISPSAPHAPPPGAAETMPGDLAPPRKSNVFDRLQTTPKDMSEGIRTPKRQHSSRF DISDQRQRELEKLPGFHEVPPNRRQDLFMQKIDQCNIIFDFNDPTADMKSKEIKRLAL HELLDYVANNRSVITEPMYPRVVEMFAKNLFRPIPPPLTPQGEAFDPEEDEPVLEVAW PHIQVVYEFFLRFIESQDFNTNVAKAYIDHHFVLQLLELFDSEDPRERDFLKTTLHRI YGKFLNLRSYIRRSINNVFFQFTYETERFNGIAELLEILGSIINGFALPLKEEHKLFL TRVLIPLHKVKSLSMYHPQLAYCIVQFLEKDSSLTDEVVCGLLRYWPKVNSTKEVMFL NEVEDIFEVMDPAEFVKVQEPLFQQLAKSVASPHFQVAERALYFWNNEYFCNLVSDNV EVILPIMFAPLYENSKGHWNRTIHSMVYNAMKMFMEINPQLFDECSHEYNERMNTAEQ REQARQDRWAKLAEQAKDRKNGVAPPPSNTGEIPLQIDEVDAIAQDTQNRLKLQDESG STPERKPTSRRRRGSLDGSSRRRRSGSGSEIRPRIFDRRRSTGSGIAVQFPTRSNSTK S ACHE_50994S MTDEQRTAPFYTIPPHHIVSIEHPGIIRNVDKAVDTLEGNAGIS KIINSSRTDIRANLNLRPEDAMSRPLQSTGSQTNNVLLKVTVPRWTGRRRKRGTEEPF KEAHHPSEDDVCPRRSARDLRRSLEDNVGRYRAEPVGMVNRTHVFRGMPDFVWSTSSS EFTNRFRDQILSFDYIKMKQFDINMSKGAISNVDIIPPPSYSHGDIPFNYFYRQNPTV RQSLDQTGKPTTINTSSATKIATHLVPYDIQTVPQAPHPGCPPISTLEPVLQETISVL ESLFSTRPAWTRRGLRNSLTKLEQRHALKYAVPYVGYIFRSGPWRDAIVKHGHDPRSS PDYRIYQTAMFRLLPRDPEVARDGGGSANTLTDTTGTTTTGSGGHGGMKSNRRHTHTR YSDVVAINPFANPSSRTTLSADNTFTTTTPVPAETTHIFTGTPPLHHDGRMWMFCDIA DPLLRNFLFPPNPSDPTKPPPGFLRETCEPVTDGWYGTGTMAKAKAIMRAKILALTEN RITDDEAEFGRILAFPDHVNEENLNEDVLKFSCEGEEVSSREMQMATDIRAAIRGAVS WRAVVSRRGSVDGKGGEAGKKVQWEDEGEGEGDEGSEGEEVEMQNQEGEDEDENQDGD DTDQDEDDEMDEGS ACHE_50995A MAAVTMSTPARQPFASLDAPRLRALAKSRMNIRNQQNGAIIITG KRKPLGDIDTENIDPTLNLSSKRKRGSDEDDDLSSKKTSISTAPSKPLKTSRFALKTI ETSPAPRISSTPQPSTPKSAPSLKPAGRSPQSLKSICKPFARRSNITKGTRPEPTSRK SVSRPFSIATALSNGKSQKTIAPSTPFTKTPVPASWSFDIYVDSEEEEMTNLMQHSTG VLDISDSEAKQETSSGRGKENVPPAELGIELAPAAPQQESPAAATRKSVMMEESRAPL GELNAADYYGADCHAFSYAVVWDEEEETDVENVPVPVQMRKLSASRGSTKPARSTKLS SVSSISSIEPTASKDESAKSEPSEAGIEIYESESAAEEESA ACHE_50996A MPPLIRVIGSLNVDMVSVTPRFPSPGETITASSYFMSAGGKGAN QAVACGRTSRPKPTSPNTPSTPSTPIDIEMVGAVGALDGHFDALLKPTLEKSGVDTSR VRIIPDAYTGVAVIIVDESAGGENRICFSPGANYKGMQPVPEVLGSGLAAPVPDVIVL QGEIPKQSLIGTLLEIGAFKQRNRKEGKQGIEIGPDIVFNPAPAPPGGLRPEDGMYYV DHLIMNETEAEIMSPSEKELGSHACIGEDRKKNIAGFFHGYGTRYVIITLGSKGSWYS ACRPGAGGPANGVDRFIGEIPADKVSKVLDTTAAGDTFVGAYAVEIARWREARRAAGK AGVDITEGEMKERYETVTEQAMRLATKAAARCVERQGAMDSIPWEDEL ACHE_50997A MALRSISFAHHTSFPKSEEDYQARRKEYIRNSLLRKANLNKVLQ VADQSEKNREGMVQLRRTILDTDLSVVQNTVTYAGNIVRDIECIEQAQNDVDTHVDAW KSTFRKLYRVSFDDREDVLKAPQALVDTCNMLWTSKVVYPWAEHDEVARYCVTLDELI FGWEVARREERGDEYWDMEHVVSTFNDVKAWYEYGVYGIPE ACHE_50998A MEELINKLPHIQEFTQKFNKSSQHHLESLKARLLILSTCLPTKK AAISYGGNILADIECIQTMQTIDKRQAKALEARFRDLYELPFDSHALIVKSPKDLISS CNILATTKILAPWAENKEAVGYQKQLKDFVQGWLLYEKQGTGIDYLKASRLEHARHWY IETMKDGFYAKNLWDGFGLGLLSD ACHE_50999A MDRRTPYTLSVLPPSEEVGEDSRTHIQARLREFVLEFQLDNAFI YRDQLRQNALVKQYYCDIDIAHLISYNEELAHKLTTEPADIIPLFEGALKQCTQRIVF PSQRDIVLPSHQLLLHSSATHISIRDLNATNISHLVRIPGIVIGASTISSKATIVHIR CKNCDHAENIPVDGGFSGLSLPRTCGRKQQPGDEPGDRCPLDPYVVAHEKCQFVDQQV LKLQEAPDQVPVGELPRHVLISADRYLANRVVPGSRCSVMGIFSIYQAKGGKKDAAVA IRNPYLRAVGISSDLDHTAKGSAVFSEEEEQEFLELSRRPDLYDALARSVAPSIYGNL DIKKSIVCLLMGGSKKILPDGMRLRGDINVMLLGDPGTAKSQLLKFTEKVSPISIYTS GKGSSAAGLTASVQRDAATREFYLEGGAMVLADGGVVCIDEFDKMRDEDRVAIHEAME QQTISIAKAGITTILNSRTSVLAAANPIFGRYDDLKTPGENIDFQTTILSRFDMIFIV RDDHDRRRDENIARHVMGVHMHGRGMEEQAEAEIPLDTMKRYISYCKTRCAPRLSPEA AEKLSSHFVSIRKQVHRAELDANARSQIPITVRQLEAIVRISESLAKLQLSPVATEAH VDEAIRLFLASTMDAITQGEGQGSKEMMEEVGKIEDELKRRLPIGWSTSLATLRREFV DGRGYTEQALNRAIVVLQRRDTLQIRSGGSQVYRHGV ACHE_51000S MSTASPRKSIDSLGSGTSTPSLSQQSANPLDSPRFPPQRNTPLR RGSTASSVVSIGGALDSSQRHGSIAESGQNAISTLLQPPIVRTGLLPHTAVSSTGYKP PSSRDIPPVTLTNIPHVESKAFQPYVSQVGSLYDVFQQAKESAGEESQLARGGKGPQK LDDFDRLGSRSQERRPSTLSISSRASSPYDARGRRRSSGGLSRGQGITPLSTIPPLYF EEEFHLENPRIFDVVSEKSDIIRPPSNGAGEPAPTGRKALATNAILQEKLSWYMDTVE LHLISSISTASKSFFSALGSLRELHSEAADSVKRIQTLRKDLQKIDREMALGGLKIVN LRRRRENVRMLADAVSQLCDVVESVSRCDQLVESGEIEQAAYGLEEIERLMAGEHADG RPSEDDASPERKRRIDLRGIKALEGASDDLARLRTRIGGGYESRFLSELLADLRQHVD KVPLDSTLRRWGSSFQRQRGAQRSDSTISPAYMSFDDKFRSKLLSQLTGLARTHHTTP ATTSFKTAVLREMKALIRKQMPSSSDDDAESAISVSTARSSSLSQQEKSSILARNLRA MDAEDSYSMLSRVYTNVSESLRRLSVQVKILLDIASGLDNPSESKSRSPSPDPRRSES RSRRPTIVAQDEILQVLDMSSLLGQAVDIAQSQITKVLKVRTEQTSQLAKEDFVKYFT LNRLFADECEAISGRSGTTLKTVVGNQIRDYVTRFGENQRHSLVEVMDADRWDAKDFG DAENAALSRILDASTKDIETWTHASKIWLSAKANDTPENSTAPVNGSGKEKARSALID EQKYILSDSAIAMMRSIESFQFLIANIPSMIQDVASGLLESLKLFNSRSSQLILGAGA TRSAGLKNITTKHLALSSQSLSFIIALVPYIREFVRRQAPSSPLMGEFDKVKRLYQDH QSGIHEKLVDIMSARSNVHVNAMKKIDWDADSASSGANSYMETLTKETATLHRVLSKH LPDITVTMIMDPVFRSYRDQWTKAYGETNVRTEAGKQRMQRDAEYFQTKMDKIEGSGE LGKRLLELVQAKNVESGSTREGSNSLKKSEDGSKSGTSNQS ACHE_51001A MSTMNAIVISTPGPPNVLKLLQRPTPQPRANEVLIRIRAFGLNR SEMFTRQGHSPVQFPRILGIEAVGTIAATAPGLESRFPKGASVATAMGGMGRAFDGGY AEYACIPAKQVRVLPRTSLGWEVLGALPEMLQTAWGSLMWALELKKGERLLVRGGTSS VGLAAAAIAKAHGAIVGATTRKKERESMLRENGADEVWIDDGAIEEQIRQASSTSSSA GLYDKVLELVGTVTLADSLKCSRKGGTVCMAGIVGNEWSLKDMSPMELIPSETKLTVY GGGDEQFMKMPLDDLVKLVEFGKLKVKIGRVFTMEEIVEAHRCMENDEAQGKIVVIP ACHE_51002S MPRGSEYANAPAQSDNPIEAGETKAHGTSGNTDLNRVNKVAEFP EGAKGTGTAANPLSGQGSAGHQDGKGGHDPKTLGENKGLGAQ ACHE_51003A MSTLNFPRPKRQSLGSRYRPLSQTSQFLLHKLGGSSRPLPQGYA NPSPRIGTVDEDCILYNGLSDPMEVDYSRDRHSIDSTRNNYSKRGSLYMANSDIDTQS ISSKGSITSDMSVESNSEAELPVCLTPGRLSLVPHPSNVHMGIQDLMQGVNRNCDSYI EDSGINIAPAPLRPRKRQNNKKCSHDKTNRALNQAETHFDYSDTDAHAHDRRAQSTVE YEIEQHLAQLEHQTAELREILTACEAKLSQMTQQLAQSTPEKRAVSFEPKAAEYRALL VQDSQFPLVGYRNESRRHGEPYMDFLHVDFANAEQVNLLSHLNTAGTMAQRRRGRLPR AWAV ACHE_51004A MRATTIVFAFMASVALAGPASCPVKARQMEGLKTVAIATLMVLA GIAAPVNALLKAALVTARPAVAATTRASAAPVLARYTRDVPLYKWRYDYDAIIYAIYV GGRYDAMVYDVKDV ACHE_51005S MQFTSLAVLFMASVVYSLPAPAPAAVGFSTLETRFNIVSECAGS KCRVDGKDYDCYVGTCADGQHCGGPNVNNLACNM ACHE_51006A MNMEQKLVFITGANQGIGLETSKNLILSGNYHVILGSRNPAKGA KSIKALRALPDVKGTASWVQIDVTDDKSVDAAAAQIASQYGKLDILVNNAGIISLASP PSREALRQILDVNVVGALSTTKALLDLLRKAPEKGLVSVSSSTGCITHAVNPKSPYYS PLGTEYRTSKAAVNMLMVIYQARLKDDGFKVLGADRVCVLRILQGNQSRCAIEALQSR RMVAAEWRLWSRERRMRMLGGC ACHE_51007S MATDKITFLTNWHATPYHAPLYLAQAKGYFKDEGLKVALLEPND PSDVTEIIGSGKVDMGFKAMIHTLAAKARDFPVTSIGSLLDEPFTGIVYLKNSGITED FRSLKGKKIGYVGEFGKIQIDELTKHYGMTTDDYTAVRCGMNVTKAIIRGDIDAGIGL ENVQMVELAEWLATQNRPRDDVQMLRIDELAELGCCCFCTILYIANDAFLAANPEKVR KFLAAVKRATDYVLAEPLKAYEEYIDVKPIMGTPVNRKIFERSFAYFSRDMKNVNRDW NKVTNYGKRLGILDPSFVGNYTNEYLGWALEPDSVDPCGDQKRMVTLQKKVAAEGGFQ RLEVTA ACHE_51008A MPAWLSSLPTILTLTLATLASADFGPRNQSTDHDNGLLGTFPTE TYRSSPLIGPSLSYIQDSLLCHDGLYTLLAPRGSEVRTPGPMIIDNDGHLVWTSTGYG NTHAVGIYEFKGEGYLGFGVENSGLKGFGDGVYYLLDSSYEEVYTIKGANGFRVDLRE FHITRDETAVITAYQIKPADLRSVGGPQDGRIYDSIVQEISIETGEILFQWRASEYVD ITQVPRTLNREAGTYVDHEGRQAWDFFHISSIDKDSKGNFLISSSSTNALSYIDGSTK KILWNLGGQNNSFNDLSGGIATQFSGQHHARFHDDNAITLLDNNVNAADGPSRGLYLT LDYDQMTVSLLHAYTPSTNIHSSEGGSLQLLPTGNILQSYGLNPAWTEFSINGNILCH VHFGAATSFGSAKVLSDRIAKGPWTGLPKTSPDIALYGYEAAVSWNGATTITTYILEG TNDPELDKKQATYTRDHPPPTDLKDKNKPPELTFLSATPKSGFETILPIPNTFPAGSY LRVRALNKYGHVIGITKLTYWDPDADQPIVGIGGPASPGPDLRPVGYFVGGFSVALVL AVGVWLMRRRIAARRVYGRLQKRRRGYGHHDDSENDEWDSERVGYGGGWDDGDEELSD AELIDAVEFSLLGGEALRARGVRGLEDSDESDCEMGKV ACHE_51009A MTSREQFESVFPSLVEDLLAHAKKYNLPENALQWFEKAINVNVP GGKLNRGLSVPDTGLALLQQPLNDEQFKHLSTLGWLTELLQAFFLVSDDMMDGSITRR GQPCWYRHDGVGMIAINDAFMLESGIYVILKKHFRSHPAYVDLLELFHEITWQTELGQ LCDLITAPEDQVNLDNFSLEKYMFIVTYKTAYYSFYLPVALALHYLQLATPGNLQQAH DILIPLGQYFQVQDDYLDAYGDPAFIGKIGTDIQDNKCSWMINQTLQRCNPEQRKMLD SAYGRKDAEQEAKVKALYKELDIENVYKEYEEKTVGEIRAKIAAIDESSGLKKEVFES FLGKIYKRSK ACHE_51010S MAAGFTEDNIRDLRYRLEDASIKCSERCLYQSAKWAAEMLDSLL PINEYDTDPDSPMGLADTLPMPSNPYLRPQDPLEARLEAQEAHKYLLAKTYFDTREYD RCAAVFLPPTMPPVPLSTASPHAKSKQPLTPQKGKSRMSAFGGVKEGTSTRNPYPALS QKSLFLALYAKYISGEKRKDEETEMVLGPADGGMAANRELPDLARGLEGWLTERRENG MEGRNQGWLEYLYGVILLKGRNEEEAKKWLIKSVHLNPFHWGAWQELNDLLTSTEDLK QVVDLLPQNIMTLIFHVYCSQELYQATDDTYQALSELETIFPTSAFLKTQRALLYYHS KYFEEASHIFTEILISSPHRLDSLDHYSNILYVMGARPQLAFVAQVATATDKFRPETC CVVGNYYSLKSEHEKAVMYFRRALTLDRNFLSAWTLMGHEYVEMKNTHAAIESYRRAV DVNRKDYRAWYGLGQAYEVLDMSFYALFYYQRAAALRPYDPKMWQAVGSCYAKMERPE QGIKALKRALVAGSYYASDDASSQLSGMSPSPGRKILDPETLHQIAMLYERLEEPEEA AAYMELTLQQESGPLNPEGEEEGSSDNDDDDNDDSQHRSRRPRKPSPNDDDEDTWHGT GVTATTSKARLWLARWALKNGDLERADQLAGELCQDGVEVEEAKALMRDVRARREGAG SAA ACHE_51011A MVIFFDILVLDDDVCLKKAHRQRRLLLKDVVQPVHGRADISEQQ ILDFSRPDSPSRLERIFAKGIAQRWEGFVLKGCEEPYFSIFPSDTNGTFGRWIKLKKD YIPGLGDTLDLALVGAKYEPRDATALSRIPKLLWTHFFIGCLLNKGAVSQQRATPRFR VVDVIDHHCMSLRNMQILNQFGEFIACDPAYNPDFKFEYERANLPTMGVIFKTPLVVE MLGSGFEKPSGARYFALRFPRILKVHWDRTFEDATSFQELQQVAEKARSVTAEDDSSQ EHWCKRLKLANGACQYIDRGSQSTLFESTSTSGLDDEDSVESTNTNAMLPTPLCTRDS SRVDQMDTAVTENQESPIPIHIDDTAGTLLSSSESGFHSHPLTENPNQSSYQKARARK DNARPDNLAASVHSSGKEGNGTIKRHDTKVTAAPRPLVANVDSHSTNKNGYTTSTSAL STTREQQLDLRSPILTLPQYSCSSSSSHEYHSKLTKATHSPDNLFQEMTSHHNSNPHT TPQNQSLGLVLLPQSFSLGQEILNIIKSLSQSLRTVPKFPPTGKVFFLDSKVLTLGNG PEDTRFCLRATWENISKVYFYACVSWSTTTAEQSLHRRSLAIVDEIPCTKPGDDIAPG SAPMLDQMEKVDISVCFEKDELNCLGKFVSIEPLMHVNGQTYIS ACHE_51012A MVLEEQRFIHEDLERLEQAVADRVAEDPRNIRDRLARDHEVAHF LARIEDQSKRLLEIYNDAEGAREKEIQAISTGDQFEEFYKQLNDIKDFHKRYPNEPVE NLERAYKRREGEPIGVNIDNMFSGEEGFGQFLDLTMLHEDYLNLPGAKRLPYTQYLEV FDCFVPPHLLIKRPNKISDKYFNYVGELASYLENFMKKVRPLQGLDTLFASFDEEFER QWTANEVPGWAEEKAEDGTQGPKTEGSGEGIWCPDCEKEFKNENVYKNHLTGKKHIRA AEARKAAGGGDESSASTKTGSSSAVHRLKERAVAEREHRVRSLAKVLEKERQATRINV ERKQGMTERERQMELESIYGDSEFPGEGREEEESDNEGDDRIYNPLKLPLAWDGKPIP YWLYKLHGLGVEYTCEICGNFVYMGRRAFDKHFSEALHIFGLKCLGITSNTNLFREIT RIEDAVKLWEKLEQEKKKDRESRDNVVQMEDAEGNVMPERVYLDLQKQGIL ACHE_51013S MADGIDRRADDRMEFNTSKEVTVAPTFEDMHLKESLLRGIYAYG YESPSAVQSRAIVQICKGRDTIAQAQSGTGKTATFAISILQVIDTVVRESQALVLSPT RELATQIQSVIMALGDYMNVQCHACIGGTNIGEDIRKLDYGQHVVSGTPGRVADMIRR RNLRTRHIKMLVLDEADELLNRGFREQIYDVYRYLPPATQVVVVSATLPYDVLDMTTK FMTDPVRVLVKRDELTLEGIKQYFIAVEKEEWKFDTLCDLYDTLTITQAVIFCNTRRK VDWLTDKMREANFTVSSMHGEMPQKERDSIMQDFRQGNSRVLISTDVWARGIDVQQVS LVINYDLPTNRENYIHRIGRSGRFGRKGVAINFVTSDDVRILRDIELYYSTQIDEMPM NVADLLS ACHE_51014A MRLDGISSANGYSQGSNGTASSPSRKNDQTPYVSFNGDTPTNGH AKPSANLPSYYGHDREEVTRILIQSLYELGYNGAASLLGKESGYQLESPAVAKFRGAV LEGRWPEAESILLQSFYPYNGGSGKAGHNKEKLLLAEGADKSEMLFHLRQQKFLELLE SRDLGAALIVLRHELTPLNYDIGRLHALSSLLMCPPEHLHDQAGWNSPISSSRERLLS ELSKFISPSVMIPDNRLAILLDHVKKNQINQCLYHNTATPPSLYSDHMCDKADFPLQT AIELSQHSDEVWFCEFSHDGTKLVTAGRDRSVIIYDTSTFDVLHKLTEHDDGVAQASW SPDDTKLITCSQDKVARVWNVETGRCLLTIKHHSEPITAAAWAADGESFVTASLDLES QLCHWSIRGQALYMWPRGFRVQDCTISADGRRLVAADVEGKVHVYNMHTHEEEYCLPM KSKPTSIAISRDSQYMLVNLSEGQINLIDIDTTEVVRRFQGQKQGSYVIRSTFGGAAE NFVISGSEDACVYVWHKENGVLVETLEGHISGCVNAISWNPTNPGMFASAGDDCLVRI WTRESDIPRSTVRGIQRAMASKFLPRTSALRSTSSFQ ACHE_51015A MGAEGFIAPLLGALQACVSVLLTICYGIAAQRLQLMHKASIDDM AGLNVKLFLPALIIVHLGEQLHAGNLLNYVPVLVWSMIYTGGSIGFAYLLTKGFKLPP WVTPACTFNNTTSLPLLLLQSLESVGSLHPIVRGEDTVPDAIRRAQSYFLVCAVVSKT IGYAVGPRLLEAQTSSDDGQGRRITEAEQGDAEAHHASAAQQEDANEETSLLPDRAHK AGRQIRSQIKHYGGFVTSCLPKRVKQRLLAPFESPFADVMITCTIVGVILGSVPQLHK AFFNHSDEGGIFNAWLTSSVKNIGQLFTTLQIFIVGGKLGLGFERMKGRSGRIPFRAI LTIFLVRLVLWPAISISVIYALAKGTNILQTDPILWFSMMLMPAGPPALVISGLAELA KIPETEEIEVAKTLTIMYALSPFVCLSITGALKASQAALDARSL ACHE_51016S MLGLGVNNRLKARPSLLDLIASRGVDEELPSVTVDVSSPPGPAN LPPLPDSPTSTTSSWSYSISNNNTNSTIEHRVPSLPIPSIRIPASHTMAPAKDANDGE RGAVFSVSGSVVVAENMIGCAMYELCHVGTGQLVGEVIRVDGDKVTIQVYEETAGLAV GDPVYRTGKPLSVELGPGLMETIYDGIQRPLKQIHSESGSIYIPSGIRVNALDREKKW DFTPTMKVGDHITGGDIWGTVFENSLVNQHKILLPPRARGTITRIAEAGSYTVEEKLL EIEFDGKKTEHAMMQVWPVRVPRPVNDRLSSDSPFVVGQRVLDSLFPSVQGGTVCIPG AFGCGKTVISQSVSKFSNSDIIVYVGCGERGNEMAEVLMDFPELSIEVEGRKEPIMKR TCLIANTSNMPVAAREASIYTGITIAEYFRDQGKNVAMMADSSSRWAEALREISGRLG EMPADQGFPAYLGAKLASFYERAGKSTALGSPERNGSVSIVAAVSPPGGDFSDPVTSA TLGIVQVFWGLDKKLAQRKHFPSINTSMSYSKYTTVLDKFYEKDHPEFPRLRESVREL LTKSEDLDQVVQLVGKAALGDSDKITLDVAAMVKDDFLQQNGYSDYDQFCPLWKTEYM MKAFMGFHDEAQKAVAQGQNWSKVRDATGDIQTSLRSMKFELPENREEVTDKYEKVLQ SMTERFASVSDV ACHE_51017S MASPTGFSINVNDPSLISLVNKLQDVFSTVGVHNPIDLPQIAVV GSQSSGKSSVLENIVGRDFLPRGSGIVTRRPLVLQLINKPARNSVNGSTDEKVDNTTD EAANLNEYGEFLHIPGQKFYDFNKIREEIVRETESKVGKNAGISPIPINLRIYSPNVL TLTLVDLPGLTKVPVGDQPKDIERQIRDMVLKYISKPNAIILAVTSANQDLANSDGLQ LAREVDPEGQRTIGVLTKVDLMDEGTDVVDILAGRVIPLRLGYVPVVNRGQRDIENKR PIASALENEKNFFENHKAYRNKASYCGTPYLARKLNLILMMHIKQTLPDIKARISSSL QKYSSELSQLGDSLLGNSANIILNIITEFSNEYRTVLEGRNQELSSAELSGGARISFV FHELYSNGIKAVDPFDTVKDMDIRMFLYNSSGPSPSLFVGTTAFELIVKQQIRRMEDP SLKCISLVYDELVRILGQLLNKQLFRRYPMLKEKFHAVVITFFKKCMEPTNKLVRDLV NMEACYINTGHPDFLNGHRAMAIVNERQAAGKPTQVDPKTGKPLPSRANSPSLETSPE TTNNSGFFGSFWASKNKKKAAAMEPPPPTLKVSANMSDRESAEVEVIKLLITSYYNIV KRTMIDMVPKAIMYTLVQFTKDEMQRELLENMYRNQELDDLLKESDYTIRRRKECQQM VESLSRASEIVSQVQ ACHE_51018S MCYTLTFPSLPSLCHAAPRHLVNLTMYFICDEITNNPQWGMTHC KAEDCIPYGVFGSYRSKGECPHCIEEVVGERVLFVMESGDYQVASGGESKGSENGQED GLFEQGHGDSGQGSWIFF ACHE_51019S MAPIRRVAVAQWYIKDLAIDENHAKACQYIREAAAQGAELVVLP EYHLNGFNPSDPLYIHQTTTYTPYLQTYQSLARELSISLIPGTIVENHSPTPAHNENE KPLLYNTAYFIAPTGEILGSYRKKNIWHPERKYLTSSGEEPHEVIETPVGKIGLLVCW DLAFPEAFRELIAQGAELIIIPTFWSRYDASPEALQYNRESEVLFLESTLTARTFENT CGIIFANVAGGPGDQYFGLSRVVLPVTGVVGDMGNKEGVMVVDMDMGLVRIAEENYKV RQDIKKEGWHYVYRHSAA ACHE_51020A MLQWASRCLPGFSIIALVFLLVFTSNLIPFSPWNSIWPPAKGPP STGDNSVLNVAQKIFMVYTVLVHTNMLWFAARLSWSLWYVTKQTKQVLSRRWKANEND YVDDPPPSPSRKLPDPSIFKFNNPAVYEVDDQEVIHAIILPNYGEDIHTLITTLNVLA SHPRARSQYEIYLAMEQKETDAAEKAQKLISKFEKSFLHVRSTFHPAGLQGEIAGKSS NVAFAARQIVHVHRTELSTGSCNVIVTVMDADTHLWLDYFTEIRRLHYAHLTDDADRT LYSCPIIFDRNSHESPILVRCADLLWGFAGLSTMYPGTTISIPTSVYSLPLSLAEKVG GWDSDPTAIGEDMHMMLKCYFETAGNLLTRVVYVPASQCNVSGDTASDRKIPRYRRTL DTCHARYRQALRHMWGALDSGFAARRTLTYLRLHSRCLFLRPRHLALLHLLWEAHFLP VHLMILMVFSAVYELTSSSLHPTMALALSVTNILRTTSFIWMNLCLALHERWYNICLR TRKGDMLQANVNDTGFSMRVWWYLPFLLERICFPIAGTIFGAVPTLHAVFSHFWTDRL VYRVSKKPDFASLV ACHE_51021S MNIAVLKSLRFHSFVSRGRRSATARSVARMFSKFIEKTIEVKSR IPFVRDIHMNFILLHYTYIICLSFIASIIIYPGTKLAYIDALFFSAGAATQSGLNTVD FNLLHTYQQVILYFISMLTTPIFIHTVLVFIRLYWFEKRFQHVVRDARNLRATRTRMR TVTEGKEDDDDSRDYDREERGITSRPIVVLRNESGDAIHKTPQGSPIKNQTPDGSDSS RSPTDTRPANGEDSNNSSDREDSGQRTPRLGLGSLRVPSQVSPDQHIAFLEHQRKSKG ALRIPSPREYDRGGMPEVLEEDDDGERLARQRSRESHEAREADDEVPPMEGPHITINE PEITRTKTRQSTIPRMDTRPTFRETKDTSDASPFSRARRGTMSSIVRSFTQERDRPTL PYLSWDATVGRNSNFVDLTEEQRDELGGIEYRALKTLAVVLIAYYVGFHLLGVVCMVP WIMHTHWGSVVQAAGQGRPWWGIFTSGSAFNDVGFTLTADSMNSFQGAIFPMLFLAFL IIIGNTGFPCMLRLIIWVCSKLVPVDSPLWEELKFLLDHPRRCFTLLFPRNATWWLFA ILIALNGIDLIFFIILDLNDPAVTALPAGIRVLDGLFQAACTRTAGFSVVSISDLHPA VQVSYMIMMYISVFPIAISMRRTNVYEEKSLGIYPTEPEDEEDEDQQTAPTYIGAHLR KQLSFDLWYVFLGLFIISIVEGGRLKDTGQYSFQLWSVLFEVVSAYGTVGLSMGYPGV NTSFVGEFQVISKLVIIAMQVRGRHRGLPYSLDRAILLPSEALNQQEIADGERRMRRR ASNLSGTTSMDRQQSQARTETGVSTGLDARDRDSFMNADAVLHRHGTLRSQRSQR ACHE_51022S MANTQLYSGDDYSRYGGATSQFPKFKLPPQYTAQLSPNPDYPYT PVNTRHPTITPTSAAGGTGGALPWYEPRGWSLRTKLIVGGVTVVVIVGVIVGAVVGTK RNRYPDYTSLNYRLVDDYSGSSFFDKFDYFSAQDPTDGFVQYVDQTTALNLNLTYATD ESVVVKVDTSNTYAASGRQSVRIESKAHYNDGLFVFDITHTPYGCGLWPALWLTDGYN WPTNGEIDVVETNNLATEGNAVTLHSTAGCNMKVKRKQTGKPNYVTCDNSTHGNAGCG VQAEPQSYGEWLNALGGGVYTLEMRNAGIRAWFFPRDGIPDDISNSTPDPATWGTALA DFPSTNCDIPSHFTNQSIIVNIDLCGQLGAQPQFYTEQYHCPGTCENFVAHNAANFTQ AYWEFKSFKVYQAQ ACHE_51023A MAVEAQSMGLGPDNQAPPMKFSRYRSVRRAASQKQEPLKTAIPA VPVPPVPSKPPSPISANPQETTVVRSVSRYRRQRNPAPSPTVQHPVPVPIPSFHAPGK PDRPSCSPPKDLEGVERANISSNGEEDDVARTRHRQDAMSRLVGGDSRPTSKQTLESR TDTSSYHRPIDDNNNNRATPSNGQQSGKETKLRSLKDTMKLFRSKGDVEKEGASAKPI EPSGTTFPGVDAPVSAVNAGERHVLVQYRKATNFLPITTSTTAQDLLLSAQSCFDVDA QTFVLIERFAQFGLERPLRKYEYVRDVMNSWTKDTDSKLIIVPAASLDALHQLEAQSA PIEQPADTTFHIYHSQRSRKWDKRYVTLRSDGQVTVSKKYQGQDQTNICHLSDFDIYS PTLSSLSNDVKPPKKICYAVKSQQKASMFLSTENYVQFFSTSDKEVAEGWYKAIQAWR SWYLVSVLGTGQNKDEASLPELSRQQSDESPGSKTHQPKPLKPLLEFEPLDQQCDDEQ PSSPERTKSSKTKQFLSRSRSTKPSQEKPLTVNTDVGTNSQGIEQSPFSPTGLLGHNY IMRQRAMQEREEIDRKAYEEVFSPQGLVFGAGAAGRRQYPATQSQPSSRSNTMTSTQG PDASGLVRRSHSVSKGNHRPLVDLTPVYQEPPQHARKGRGVAVDPGTPLVDAATTPDL LRGAIVVPSATTWKRPPIPEEPTSTTNVKTCSRSNTVRSTRNTRPRASSTTPSDDAFI PNSLLARSATQKAANGGHGVATGDRNATKPMLDMSSGNPFVEGSLLRDL ACHE_51024A MSLNVLVTGASGLLGRQVFNTFKHSGCLTVGQGFTRATPPTILK ADLEKSEDVKTILDEAKPQIVIHCAANRSPDLCDQNPEQARRVNVDATRLLAEQTSSR GAMLIYISTDYVFPGTEGDAPYEADTETKPPNFYGQLKRDGELAVLEATKDTGLGIVL RVPVLYGTASNNSESAINTLIDAVWKAQDEKAGIKMDDWAQRYPTNTEDVARVCRDIV IKYVKEKEKLKELPKILQFSSEDRMTKYEICEKLAQVLGLSLAGMERNKQGNDPNASV QRPYDTHLSTKTLKDLGIDVSTMDFVAWWRKSLGAYRK ACHE_51025S MSSARNLSLALRRARVPRCRSYARPLLYVPSVTPVRAFSVTPAA QNATKEVKLTSNAYPNLKRNPNFGELSAEDVKFFKELLGAESAVVDGVTADAADDIEP FNGDWMRKYRGQTRLVLKPQNKEELSKVLKYCNDKKLAVVPQGGNTGLVGGSVPVFDE IVINTSRMNKIRSFDAASGVLVADAGVILEVADQYLAERDYMFPLDLGAKGSCHIGGN VATNAGGLRLLRYGSLHGNVLGLEAVLPDGTIVDSLSTLRKNNTGYDLKQLFIGAEGT IGIVTGVSIQCPPRPKAVNVAYFGLESYEKVQRAFLEAKGQLSEILSAFELMDGRSQH LVNQSTGNKSPLEGDYPFYCLIETSGSNGEHDMAKLEAFLEHIMGEEIVADGVLAQDE TQFQSLWRWREGITEALSHLGGTYKYDVSIPLNELYQLVDDCRERLTQMGFVGDDESK PVRAVVGYGHMGDSNLHLNVSVREYNKEVEKAIEPWVYEWIQKRNGSISAEHGLGLAK KEFIGYSQNDTMLKLMKQLKDLYDPNGIMNPYKYI ACHE_51026S MADMASQYQMLEELGSGSFGTVYKAIDKATGEIVAIKHIDLESS EDDIHEIQQEISVLSTCASPYVTQYKASFLRGHKLWIVMEYLGGGSCLDLLKPGVFNE EHVAIICQQLLKGLEYLHSEGKIHRDVKAANVLLSNVGKVKLADFGVAAQLVNIKSQR NTFVGTPFWMAPEVIEQAGYDYKADIWSLGITAIEMINAEPPHASTHPMKVLFLIPKE PAPRLEGSQYSGAFRDFIAQCLTKDPERRPSAKELLRHKFIRNAGRTEALQELIQRKQ DFDAGRGAMQNVKYYAESLHHVAQDQDDDDDDWVFDTVKAPTMKKPKQPKQSVEILPD EDDPYEWLDEPSQMMDNLHISTSSPSVSFQDTPSPTVRRTPAPDPSPLSRRANMKRRS SNKQPLGLDLSFGNSPSTVRQFRRVSDKIPSDASYSPQYYPMATDENISPKTLFSESN SKEARLGQRAYSKAVGLSCQEVLGTTADQEKRDAISRLAEAWSDLEMVDPEGLYHIIR GMNERLGSDPKLSSLVPQAPPPESPQRPRLVLAQNNPHLKSHRRRQSAASPEFPLQPA QLAGLPGQQIPGMEHTKQLSDVLYSRWAEGLRNRWPAV ACHE_51027S MEVCLGRAEADLDLDHDRHRQQQLQQQQHEHQQQEQQKLRQLKR QSLPARPHAAARHTKRLTLNFPINIPPAGLGTNTAPASAVEPNPIPTPMTPFSQMTST TSPALGTPLPLDTQQQADDSTNLLTAIASQERKVLELREELQRAETELSTLKKQWTLS EKSKKRTEISHHAEPLISLRSPVESVGGEERESLLQQHRKESAAADIVQSQQRTRELE RRRSMRVAPAPGTTISANGRRVFQGSHTRTLSLVSASTDSGFSSGKISLSGKSIENEV VGRTPRSATLPSSIERSANHGAFVPTEDMITEWRTNMPASSRASLVHTGKQMASDLRE GLWTFLEDIRQATVGEEGINATEVRGMSPSGISRKRDSTSTSTSRSSRDRLSVHGGAT LSRTASSSSRSKGAGAERISGKDTKSTDIDSSFWSEFGIDTPGQKSPNAHGPSKPRPN EQQSNNSNNLEVYDDDWDVWEASPQQPNKTHTPSSSRSTLESKHDQSPRSQASSPRTS TSFGDWRPISQGDSVPDPSVSDGIPWPAITKMAPSKLNRTASSLMTEWERSLSPDRTP ASPTLSEKENKAD ACHE_51028S MSFPNMTPPMGGIGGGPNMQGMSEQEQMMVKGMHAAMESCPFKT VMSGGMGFALGGVFGLFMASMSYDSPLTPQGRQIADLPWRQQLRHGFKDMGARSWSSA KNFGIVGALYSGTECCIEGLRAKNDLTNSVSAGCITGGILGAKAGPQAAAWGCAGFAA FSAAIDAYMRMPGDEE ACHE_51029A MAIPYRHNQSLTPSLNLDESRESRLNADELPQNNTNMQDVDMQN VDAGMNDNYKHDDNNGNSNSDDNANGPDRHSPSSPSSPPPVPSSTLPPDSSELMLIQH QKQWIEHEGSCSKIQADECIKRDRIQADSKPNSPKSTQKPKCIFKRCKQ ACHE_51030A MVAWAEFEVQKLITWMEHNLDFINYSPIMTWVQRAKEEAFPDSR HIDINRIRVKYYNLKAAWKSAKSHLVEFKVMSGEGNEECNDAVRHAMDKKCLFYWRLD RIFMSNPQLSCPSDFADNMLSVRMTRSQPQVQTEQALPPPTTKSQDQTMPDTYPNPLQ SQQNSPAQPQAKAISNPIQIPPTPPAQPQATATSIPSPNLNSNLSSSGPRPLAPNPSG HVLSTFKGPSPAHQPAREQPAQVEPSHNNTNTNVQPPPPPPLVQEKEQLEHDEDAAFN QYLTAVPRAERMKIETYITQLRARHQRRIIQIKGRQLRRRADAESPDATVTMRTEYEQ RIRDLELQNTRLQEDYEEKLLYFEARNANVQEELEKGIRDVRSWKAETQEKYENMICV LKSQNAKRQEEYEQQIRDLESQNANIQEEHGQQTRDVEIRNAKMQGEYEERIQDLETQ HAKMQEEYEERIRELESRNGNAKMQGEYEERIWDLESRNTRMQEEHKKQMANIQSEQF ERFMDMHRHFGEQQAKAHDKHMKLQSRMFSKAFDSVVRMAAGDVSGDQGGGS ACHE_51031S MTGKVHIADTPVTRYNWHKHVNWLNTFFILGIPLYGCIQAFWVP LRLNTAIWAILYYFFTGLGITAGYHRLWAHSSYSATLPLRIWLAAAGGGSAQGSIRWW ARDHRAHHRYTDTDKDPYSVRKGLLYSHFGWMVMKQNPKRIGRTDISDLNEDPVVVWQ HRNYIKVVFTMGLVFPMLVAGLGWGDWWGGFIYAGILRIFFVQQATFCVNSLAHWLGD QPFDDRNSPRDHVFTALATLGEGYHNFHHEFPSDYRNAIEWHQYDPTKWSIWVWKQLG LAYSLKQFRANEIEKGRIQQLQKKVDQKRSQLDWGISLDQLPIMEWDDYEDQAKSGGR ALVVIAGIVHDVTDFVKDHPGGKAMISSGIGKDATAMFNGGIYYHSNAAHNLLSTMRI GVIRGGSEVEIWRHNQVEGNKYIRNESGQHITRAGEQVTKTPTPIPTADTA ACHE_51032A MEGNKDKDNTDNAPLRPVSSLLSHFENLSHTRSSSAVAPNTRDS SLLKSPEPRDDDPRSHFTRASVDLSRPRSPWTPQPQHADAQQSDQLYRHRTGGFANGE ASRANGSPGRRHGRPMSLSFRSSPQLGPTLTVDSPRSPPRGFVESPEELAEDGHRISR SPLGLGVDTGAGASHESLPPRPSRSSVAYRPGSPNSTVPGSLSPGELHSARFTMRESP SDRKAKSASLPPPANRADKPKIPAKPANLALQESNMLAAPRAKRASSDLRVSPFSTPP SSPEKSPPKAIPPRPDRLETESPSQRLFNEERTASQDARELGFTRRPPPPQREPSRDT KPLMVQIPTGQQRQAEPLSSVPHSAQRLQATSDLPYDRPGLPPRASVQSRRVSPSRQV PADFPVTSPHQPPPQPRRPSPGRQPVQSRSPSRQMSNAEYPSPAHQQPPQPLLPRRSN PSPSRQMPNADFPISPRPLETIPQQQPLAPQQPARPSELHLHRQPSYSRENKPSYDRG QPPPPPQNPPQPRPRPDTEDEEDQYPSQPTVTLTDYPDASNANRRPPVIKNGPKEIPT RYDTRLMDVCGKYVCTTGYLTRVWDLTNGEQIMSLSHGETVKTLSMAFKPGAGLEDEG RRLWLGTNTGELHEVDIATRAVVASRSYPSRREVIKILRHKKEMWTMDDEGRLLIWPA DETGVPNLQYSYHNPYDRVARGQTFSMVVGDELWLATGKDVHVYQPYARDDASFKVLR KPLVSQNAGEVTSGAYTTKNGGRVYLGHADGKISVYSVKDYACLAVVNASVYKINCLS VVGDYLWAGYKTGMIYVYDVNSNPWTVKKDWKAHDSPVSSFILDTSSVWTMNRLQVTS LGTDNCIRLWDGTLESDWLESRMQSRDVEFCTFREMRAVIMTWNAGASTPGSVRTSTF IQDAIHPEDPPGILVFGFQELVDLEDKKITAKSLLKSSKKKEKKEAGEKEHMSRQYRV WAEHLTRCINDCMPLDESYVLLHTANMVGLFTCVFVRHKERERIKNVRAAEVKRGMGG LHGNKGALILRFVLDDSSLCFVNCHLAAGQTQTAHRNNDIAAILEAECLASESSLTTR ADHFVSGGDGSMVMDHEICILNGDLNYRIDSIPRNVIIDAVRQNNLPKLLDRDQLLAS RRKNPGFRLRSFNEAPITFAPTYKYDVGTDEYDSSEKKRSPAWCDRVLYRGLGRIKQL DYRRHEVRASDHRPVSAFFKLRIKTVLPSERAATWESCQAEFQKERKRLTSEASIEYL ISVLGTEPKQARALILGSA ACHE_51033S MCKHILNAQVAIRSPCCRKWFDCAECHYETESHALAKSPEMTFA CKKCKKCFRKDAAEFEESDEYCPHCDNHFVLEAVTPKPALQVEGEDARMDNRMLKDDR VHVPEERSIFNFKDLSDRMG ACHE_51034A MSGYDRALSVFSPDGHVFQVEYAMEAVKRGTCAVGVKGKDVVVI GCEKRSALKLQDTRITPSKIAVLDHHVTLAFAGLNADARILIDKARLEAQSHRLTVED PVSVEYITKHIASVQQRYTQSGGVRPFGISTLLAGFDPNDDVPRLYLTEPSGIYSAWK ANAIGRSSKTVREFLERNHVEDMDREQTIALTIKSLLEVVQTGAKNIEVAIMSAGKTI EMLPDDQIETYVKNIETEKQEEAAKKKTGRTGTTTAAILTRPGGGETGESSRSAE ACHE_51035A MSVSAPTPKLDRYIVIHVATTCDEHGVYVTKDSAEVIELGWILL DTKTCEELHRESVLVKPVNTPITPLCSTFRDAINRFDAFAQEHLISKNLEFAFVTLDS WDLRVQLPREARDKAVVLPPYLQHSRTFDLRTEYQRWQTHHPESLPFGPSSLANICAA LEVEPVQSSAPIKHNLPFHLQALAPASPRRAMEESITLARVLRGLIRKSQPPHEHPEI LTRPMDARADVRAFLAERSKVLHLSGLPHDTTQSELESWFTQFGGRPIAFWTLRTPDQ HKPTGTGFAVFSSHEEAAESLCMNGRALNEKAIEVSPSSSRVLDRAAEILTPFPPSKN RPRPGDWTCPSCGFSNFQRRTACFRCSFPAMAAAPDPVGYGAYGYGPPSMMPPHMHGG GHGMGHGGRMGGNGGVVPFRAGDWKCGSEGCGYHNFAKNINCLRCGAPRSGAAVVADS AFPSPMDPPSGFGMGPNAMASTPAPAPFASAAGAFGGFGQQFGAPPSNYALPSGLGSG PGAAYPPMGQVSTGYGSANSHSTASFANPAAQAAFTSADHSAPTSASNGAFYGNDGSD PFAFLSTGLGGLTVADDAHARRNGAGANKSPA ACHE_51036A MPARVSARSTSSTTRKTSVQPSGRAGSAPPVFVIPEEPPLPETS PNLRRDVCSIFADAQRSTTGHRKLVVRLRKLQEISCGILKKKSKDKQEQEELSLPNEE TYPEKEFNVEVGRCVLRILPIKKTEPVGDRILRFVGTFLTHASEKDAELFASEEDDDV STETPTARLATSLVAVVSPLLVAKDKIVRFRATQVITLIVNSLDTIDDDLFYTIRQGL LKRIRDKEPSVRVQAVMGLGRLTGNEEDDDNDDNSALLEKLIDIMQNDTSGDVRKTLL TNLPLSPGTLPYLLERARDLDAATRRALYSRLLPTLGDFRHLSLSMREKMLRWGLRDR DESVRKATGKLFYDRWIEDCAGTSRENEAEGGPGAQQRSPPNISALLELLERIDVVNS GMESGIAHEAMRSFWEGRPDYREAVVFDEPYWESLNGESSFLLRSFNDFCRVEHDGKY DSLADDKMPEVIALAHYLQKYLNELLERKKTAKETGEANDEDSVEQEFVVEQLLHIAI TMDYSDEVGRRKMFSLLRESLAIPELPEETTKLAVETLRCVCGPDLSAEAEFCSVVLE AIAEVHDTIVTEDSFVSAKSEISDDASSRARSETPASEVSFNKDEAKAKVLKEIVVNM KCLHIALCMLQNVEGNLQQNMNLVTMLNNLVVPAVRSHEAPIRERGLECLGLCCLLDK TLAEENMTLFIHCYSKGHEALQVTAIHILCDMLTTHHTLLAPVTQPDGETVSPPPFQK PLLKVFARALRANSPNDVQTGAATALSKLLLTGAFSPSGPNVPPAIQEMNQAAVETLL LNLVVSFFHPRTKENPALRQSLAYFFPVYCHSRITNTQHMQRITVPVVRTVLNAAEEH YSLEAEEDSDGEIDETIGQRELKTLMTGVLGMLAEWTDERRVVGLGGENVLIGGTASS SACGFVHLAVIKDILERVLGISAGTNRCSKDERKLLFSLMSKLYIAPPPPPPSRSGSR NPEGDDPFRTSTRSNGEIAQENIQLAQEVKELLDQAIEEGAAGDATGRNALVKAKNSV LKIVATAQGIRIPSRMAREGTEEGDSDMMSVKSGASSVRSGSVRPSIEPGLARRRFSL EPSIIEEEEEEGDSRGTIVKSEVQDD ACHE_51037S MFRQSIRRFGTTALRAAEGSTVYSARVSQAQGSVNGLTEAIGNT PLIRLKRISEQTGCNVLGKAEFQNPGGSVKDRAALFVVKDAEEKGLLKPGGTVVEGTA GNTGIGLAHVCRSKGYKLVIYMPNTQSQGKIDLLRLLGAEVYPVPAVAFDNPQNYNHQ ARRHAESLDNAVWTNQFDNIANRQAHIETTGPEIWAQTGGKIDAFTCATGTGGTFAGT TRYLKDVSGGRVKAFLADPPGSVLHSYVQSGGNLMDRAGGSITEGIGQGRITENLKPD VDLIDGSLNISDEKSIEMVYRCLDEEGLYLGASSALNVVAAKEVAEKLGKGHNVVTVL CDGAYRYAERLFSNTWLQSKNLRSAIPKHLEKYIVLP ACHE_51038A MMDEPRKVEYSVEKPLNREPPTKNLVDSFYTPQDISYDRNHGPI PHLSTGEEHIVRIDGQVRNPTTLSVKQLATEFPQHQIICALECAGNRRRTMRTLLKEV EGIDWGDGAVMNCKWKGPKLRDVLLWSAGGISGKVENLHAAFSCYQVRTQNDTWFGGS VPLERVMKDEDGGDVILALEMNEMSLTPKHGYPIRAVLPGIAGARWVKWLDQITIQDH ESTNFYQKRDYKVLPEEAVDKESAEPHWDRTPPMYDTPINSVIGVPTDEETVTLRDGK IEVKGYAVPNAADGPVTKVQVSTDGGDNWVDAEIGKSEGQRNKWCWVLWRAEVDMEPG TDRAILSRAFDAGGNVQKEHSQWNLRGVGYSGYGRAMNLTIV ACHE_51039A MPKIALFGASGQIGAAILKALLTDPSLNTIQILAPGTSSKVPAN DHPNLSTKTIDLTSAKRDDLAKDLAGVDVVVSALNGKALEAQSVIQDAAADAGVRRFY PSEYGSHHIYRKPGDTYGYIHPMWNIKDQCNEAALHHPAIAAGKMTYTLIGCGDFYNQ SREKTWCPWTQKSPENNEYTIHVIGSPDAAADFTHTDDFAAFLLETIRHPELSENQRL NFVSDHINHEDIARLLEKYSNKKVKKSVLPLEIMHKVLRDPGEAPKELRDAPSAFPVD FWFLVKGMQGAGRFWRAKGEVHNNLFPGVKLRTFETYLKELFI ACHE_51040A MKDNLDPETELRDTDFSIPGTAATFAGLTTLDETLASFDPEQYR INGEFISLLRRCFSRYATSAEPSAVGKDFVECLRYRKPFPLLILMHWGGGGVAWGVGW ADVMG ACHE_51041S MRECRFAIQRYQVIEGLRERRKSLVIISQCCVNISQRSSWCILI VDYGGGAIQRSYCKHAIQQKFMAESILRDNNLPCIIARGIIAEIFMICMLRSSLLQVL YGKGSV ACHE_51042A MGFFSRLRKRSKSHSRNAAKCYDNLRINANSPPNGVPPVPRLGR DLTKDLPPHVLARILSAVCPHTQDDSYDTSEESMTEDGCMLCDMRDLAHCAQVCRRWT VEARKLLYSHIRIDPVHYCELEEQLAEKRKRRSFFDRNGDPIDAPQVRLQLLMRTVRE NRDLGDWVLSLRMPYMTREANKAEIARTVSVLPNLRYVDLPNGIFHDDQSCHALKQEL MARCPDLRRMSYRHGAEGSFSQVPGTHLWGNLEVLELSGLKIEMNVLRFSLGSFPRLR ELTLEDVPLLDDSAFVVAETLPPFPPVQRLTLRDTPNVTASGLAALFSPASNRKALLN LTLSNTGVSPASLYRILDAAPQLCALSVVQSVARSFPIDKVRPLASSSLQTLHYEITP ATSASFGMLPVSASYYSYLITSLTSNCLPALQELYVRDATFPENLMLAPPPRLFGGGE NGPQSLAGVLSQPLNVYSKGLDELEWNFTPYEPPATRGRRDSTTRPVSFHDAHLSRSW GGGSRKSVLVGNGFGGFLAVPAEDGRPRSSGGFKRDSRSDLWR ACHE_51043S MTSCPYIHLKKSADEFIHYHPYTSMLFKQFPRTTRSRLSSTYIH IISRLLPYSHTPRMTTKDVCGAAPGSQGHATHKPLPKEEAWKYRPPYLIQTPEAFGEI KWRGKCHCGQISYSLNLEKPLNAKFCHCRGCQLMHVEGSLLTAGGGGAGAPFQWAAIF PKSSITFDRGVRGLEFYCSSHYTREYEVPAKVSCSYCRTPIMDEGRNVCLLFPESIEF GEEEEEGERVKRCFEVSSHIFYSKRVVEIPDGKPKWAGMDEASELLDDYGNVKPED ACHE_51044S MSWTQKQFTLPPRSRGSYLITDHVVSELPELRSYKVGLLHLFVQ HTSCALSLNENWDDDVRADMSDALDRIAPEDRKGNLYRHDAEGLDDMPAHIKSSLVGA SVTIPITNGRLNTGTWQGIYYMEFRASRHSRKVVATIQGEKA ACHE_51045A MLPIARSRIAALSSTWSSRFLSRASYSTTVPRFSENSMQANDPN PPTPKPNASATNATPVDAMGSWDYPLKEDPEVGERNRQLQAPNRANTWAASQQPREKA MTGPRFEQTIMELQPQPMAAIELIHKQPVRWTNKRIVSCDGGGGPLGHPKIFINTDKP EIATCGYCGLPFAHEHHRAYLKSLPSTSYPLEPTGDAAEVNEEQRVTPEALEQR ACHE_51046S MPAAKAGAKPEKTMSSRLMTMGFMQRSAAAKKSRASTEGSQTPD SKRARLSTEASPEPAVKPSSDLEAISAAIAAEEEKRREALSKQAAEAGDTEWVLDYPG VNQQAARPIVVAAGSLDDDDNDEGYGGRQAYGNFKRKKKTGVAYGNPDEGELDEAQLE EKSKLRAEMKQEAKSSGGISGGGGRGGLSGNSAQKKRKQK ACHE_51047A MACPCWVGDITIQGLETERPRLVRSVSTVEPRSPLPRSVSRMNI AANEYKPQSSPKQKTRGTGSKGILRQPTTKFPFEDKQYLRPHITKRPPDRLRAEVGAF DSGVPASDVIKVHAYRRRGVPVKQDRDLSLVLDKAPIKKGDVIVGKTFAPESSLPRHE DRDTRQNKNRNALDNNAPTRGARSPEW ACHE_51048A MLIIGLTGSIATGKSTVSSLLSSPPYSLPIIDADTLARRVVEPG TAGYKAIVEYFGPTTPDLLLPDKNDNNNGESKGGPLNRPALGRRVFGDSPERRRDRGV LNGIVHPAVRWEIYKALLTNYLSGKWAVVLDVPLLFESGLDLLCGTVVVVGVSDPEVQ MARLRARDAHLSAEDAENRVRSQGDVKGKVARAESRGVSNARGVIVWNDSDKGALEGE VKRAMERIGGSSPRWWAWVLLVMPPVGVGVGIWNIVVNYLGMRRWEGRVKEEKAKL ACHE_51049A MLFFGSGLQSPRRRNREKSILITIFIIFAIYFLFYAKSSNRNFA AYDDEAMLEEIRRSKPAGSSSAHQSKPLIQKNMVVASMKKDNTSWLFEYFPDWHKSVY VVDDEDAELTVTENKGRESMVYLTYIIDNYDRLPESLLFIHSQQYQWHNDDPYYDGVR MLRNFQVPYLQKQGYVNLRCAWVLGCPVEIRPYSDTHREDVHAGEYFKTGFMELFPGV EVPNEVGVSCCAQFGVTRWKIHERPKSDYERFRKWLVKTDLKDELSGRIMEYSWHSTL ILTLVIQQEMR ACHE_51050A MATATEHRERAHNLIFVSNRLPFTIKKEDGLIQQELSNGDLVTA LAGLVKSSNIQWFGAPGIKVQNGEEKRRVGDKLKETNATAVFLEDTLAHEHYNVFSNS ILWPILHYQSEMNFDERAWGSYQHVNEIFADTIAAEVKDGNLVWIHDYHLMLVPKMLR ARLQQQGKDCKIGFTLHSPFPAQEFWRNMKVQGELVAGVLAGNVVGFHTDEYKRNFIK SCASVLGARTDVASHVDYDGHRTFVDTFTLGIDPQNFNDSMQDTRVLARIDELEEIYE GVNVILGVDRLDHTKKLLQKLQGYEYFFNAHPELIGKVTLIQILLPSAREDGDDYEEL ETEINRLTVEINEKYATEDWSPLVNLHHKITFIDLTSLMCVSNVCFMASRRGGMNLVA SEYVACQENRHGVLVLSELSGAVSFTKAGSITFHPSKMNEISEAIYTAIMMGEGEKEE RYNYLRCYVTTHTSARYGREFINSLSHRAQVGD ACHE_51051A MRLLNRLWAMTALAVSTIAAPTPNRALVSRAVSSDILQQLELFA QYSAASYCERNINSAGDKLTCKAGNCAIVQEAETTTLYEFENENDVAGYLAADTTNNL LVLSFRGSRTIDNWIDNIDFIQEDITDVCNDCWAHGGWWDAWQEVADELSGQIKDAVN QYPDYKMVFTGHSLGGALATIAATVLRNEGYTIDLYTYGSPRVGNEALSEYITAQGTL YRVTHTNDVVPKLPPPIGYAHPSPEYWVTSGNYETVTTEDVEAIEGTNSTAGNAGTDG VSVIAHLHYFGNIAGCI ACHE_51052A MSTTTATAMRSSRPPTLPKDFSAQQPQTIRLYPLSNYTFGTKET QPEEDPSVLARLKRLEEHYDQYGMRRTCEGVLVCHEHNHPHVLMLQIANAFFKLPGDY LHYEDDEVDGFKKRLNERLAPVGSQFSGEGVNEDWEIGDTLAQWWRPNFETFMYPFLP GHVTRPKECKKLYFIQLPKKKVLSVPKNMKLLAVPLFELYDNTGRYGPQLSAIPHLLS RYNFEFVDENDNVVAATPGTPLPEGQIPKTKVLAGQDEGMADYTEENGGLNIQG ACHE_51053A MSPRSPVPPRLWNIGGRLYIPASRSLLRPSTIDAAASHCSVLPR PPISYRSRLLSSPSAGRFFPQSSCNPFSTRSVTMGPIERLTEHLEKPEVDNRSYRVIR LENKLEALLVHDPDTDKASAACNVNVGNFSDYDDMAGLSHAVEHLLFMGTEKFPQENA YNQYLAAHSGSSNAYTAATETNYFFEVSATSTDDHAHNQSATNGSVNGTTNGTAVEQN GTGKSEGASTSPLYGALDRFAQFFVAPLFLESTLDRELRAVDSENKKNLQSDLWRLMQ LNKSLSNPKHPYSHFSTGNLQTLKEEPEKRGLNVRDEFIKHYERHYSANRMKLVVLGR ESLDDLEKWVGDFFSDVKNKDLPQNRWDDVQPWLSEHLGIQIFAKPVMDTRSLDFYFP FLDEEFLHESQPSRYISHLVGHEGPGSILAYIKAKGWANGLSAGAMPICPGSAFFTIS VKLTKDGLKQHREVAKVIFEYLAMIKEREPEQWIFDEMKDLTEVDFRFRQKSPASRFT SRLSSVMQKPLPREWLLSGSNLRKFDAKLIKEALSTFRADNFRAVIVAQEYPGDWDRK EKWYGTEHKVEKIPEDFMSEIKKALASTPETRTPDLHMPHKNEFVPTRLSVEKKEVSE PAKTPKLIRHDDRVRLWFKKDDRFWVPKAILQITLRNPLVWATPANYVKSRLYCELVR DALNEYSYDAELAGLDYNLSSSMFGLDISIGGYNDKMSVLLEKVLTGMRDLEVKPERF HVVKERLSRAYRNAEYQQPFYQVGDFTRYLTAERTWINEQFAAELEFIEAEEVAAFFQ EILQQTHIEVLAHGNLYKEDALRMTDSVEKVLQSRPLPPSQWNVRRNMIIPPGSNYVY ERTLKDPANVNHCIEYYLFAGSSMDHVLRAKLLLFAQMTDEPAFDQLRSKEQLGYVVW SGARYSATTIGYRVIIQSERTAKYLESRIDNFLSDFGNKLANMSDQEFEGHKRSVIKK RLETLKNLSSEASRFWTHIGSEYFDFVQNEVDAATVRTLTKLDAIQFYKQYIDPQSVT RAKLAVHLVAQNGTHPEATSVQERKSELVLNLVEQLETAGFAVDPKRLETAFAKVDVS TGDKAQILSTLSAFLLNEMSLSEQQTKPALEQAKRNLGFQLKHLGFEQTNGHNFGDSV ETSTGADGIKKRDVQQSVTYITDVPEYKARLAVSAGPHAITDISEFEDFDAKL ACHE_51054S MDLAQTLKNDTSTQPIRCIDMHTTGEPTRIVYSGFPSLSGTLLE QRDQAIRKYDHVRRRLMLEPRGHDGMYGAIIRPETELVRSGEAHVGVLFIHNEGFSTM CGHATIALGRFLVDTHDLAVFLNGKRWKVMVPVTPDARKSDASRPVTFLSTPAFASAI QLKVPIPSEVRWPELGEKNSICLDVSYGGAFYAIVNADDLGLTNGLKDVDLDVATRCV QKLKPYLESRPEIKSAIQHPEDERLSFLYSVMIVDPNRGYKPDNVAGAETGLCYFAEN QIDRSPTGSCVTARMALAYAKGLRPVGQRWAYNSIVSNHFGAGTFSAEIVGKTTIDGP DGSSIESVVVQVEGQAFYTGTSTFLVEDGDAMSSSGFTMNIVT ACHE_51055A MGDGLVEFFTEMNINWWAVNKETKTLFPDDPIYRFYFGLPAAEA LKRAAALVPHALRSMGVPAASAAWLEVPSTYLICTEDNALTLEFQREIMKRAQAEGAN MRTVEMKTGHSPWLVDVGVFVGHLLDAVNTGI ACHE_51056A MGYTHYYPINTNSPEWKAIWPQLIDNVHKIISRSGVHVSGPDEG HDGEEIPPPIVDVNEDTYLNGVGYDGYQPFVIGRKGYPGCTKTVRKPYDVVVTCILLR AYMLAPSACGIGSDGFWIELKAARSLYEGLFGEKPKSRNERSQSIRYIALTVSRAWER F ACHE_51057S MKEEMDDCDHPKTYLPRKKRPCLEDSSDDDKPLSKHLYRKRDRN VSPNRADSANDESLQTTITKAVTCEDSDSDVPLLNRNTRSNGNRLYMPISKMKDRIKS EGDSKEGLHVPLLENARKEGNTENDAPGQSPGSQGQEGDTTGNEWWNEPDKHDGTIKW KTLEHNGVLFPPPYAPLPADVKMKYDGIPITLEPEVEEIACFFGEMLDATHYVENPTF RKNFFEDFQKTIKRTSGARNPQGRVLQIETLAKCDFSSIFEYFQMRKAQRKAEPLAQR KEQKAARDALEEPFRLCIWDGQPQKVGNFRIEPPGLFRGRGDHPRNGKVKTRVSPEQV TLNLSKNANVPLPPVGHQWKDIKHDREATWLAMWKGNVNGNYKYVMLAANSNVRGQSD YKKFETSRRLEQHIDRIRHDYQQGLRHELMEIRQLATAMYLIDKLALRAGNEKGEGEA DTVGCCSLKYENVTLKPPNTVVLDFLGKDSIRFYDEVGVDRQVFKNFKIFKKFPKKEG DEIFDRLTTSGLNRHLSNYMPGLTAKVFRTFNASFIFSNLLKTIKPEASVTEKVKAYN DANRTVAILCNHKRAITASHSAQIEKLYDREKAIQYELWRRKKMMLSLDPGVREAMGD GYFERDDCLELDWIKEHQISLVEEKRQKIMKKFEADPTRLQQELEIIKQMELDFQEEN ETGEVQYESKRVSMESTKKRIDRLQKQLEATRAQAENKESNKEVALGTSKMNYIDPRL SVVFSKKFNVPINKLFSKGLQEKFDWAIKSVDESWEF ACHE_51058S MQLLLRKKWVDSNQQDERRHTPLSWAARNGQATQVRILLTHKGV DPNSRTLEGWTPLSLSARLGHQAVVEELLSRQDVDANAADMWNCSPLEYAAGSGHLRI VKLLLQKGANPAAIDMRGWTPISRAAQEGHEDFVSALLECDKMTADLADFEYRTPLSH AAEEGYESIVQLLLSLNSVNADSKDFEGRTPLAWAARGGCEGVVKMLLERDDVEVDGK CNQNWTPLSRACQEGYESIVRLLLSRGGVDVNSRDHDGWTPLMHATEQGHKRVIRILL GETNP ACHE_51059S MKAIREIFNDIFRASSLREKIEYTSLTRPSDDDAEAETGTRDED PQQTSFQIHETATTTTPATEAKTIAQNSTPVVFSLLLEYSLTTITVITVGNLGTVELG AASLAGFTANATGYAVYYGITTSLDTLCAQSYGSGMKHLTAVHLQRMALLLALVTIPM AALWWCAEGILVRIIPERDTAVLAGRYLHIAAWGMPGYAAFESGRRYIQAQGCYSAGM YVLLICTPISAFLNWFLVWNRGMGFIGAPIALALTDNLIALTFFLLVYFFSLDKCWIG FTKQSLRNWEPMIRLSIPSLLTVEVETLAYGLNTYAASYLGPSILATQTVLSTISNIF WHIPFAISTSSRIRIGNWIGAGSPNAARLATRVSLYVAVVVGCVGISVLLVFGRYIAR VFAKEDDVIEMVLNVLPVCAACHVVECIAIISNGVLCAIGRQDVAGWVQTGAFSVLGL PVGLGLAFGLGWNVSGFWIGTLLALCVVVWIELVFIKSVKWRRCVQEAQRDMSAAEN ACHE_51060A MARAFGGYWCVLTAVCTLMWGVYFIYDLPASLSTPLQAHLGLSD SKYAYLVSALYTAYATPNTILPFFCGPLVQRFGEKFALLLTLTSVVFGQLVFALSVQT QFQPGMILGRTLFGLGGEVMGVLGSEITTRWFRDKCLSLALAMNLASWRLGSVTNSIL IPRLAKAHGVVAAGWMGTGFSLGVSILSALYLISIDDSTGGKRTGTEESNDEEEETGM NVNLLVSLAQCPRIFWQLGLLCMLGYGSINTFTNSAQRFLAFTFYGGDQRAAGSATR ACHE_51061S MTIPGQLGRVSSLFPYIEKDWWRDAYNETYLYADGDCVEDPTVT AKECDGLLNIPRVQKLFQNATSITPVKVLDLCCGQGRHTIHLAKRFPAVHFHGVDQST YLLDIAQERAKAEDLTRNTKFEAGDARQIPVADDNFDVIVLLGNSFGHGNEQDNLQTV REARRVLKPGGIFIIDYVDGEWMRANFTQSGWEWLDTELVAKANKQLRSITASMKLLA CRERELSPDKKLLASREIVIDLAAPAVHQDLFYSVRMYDLDEMEGLLHRAGLCMQPQD AQQINGPKNENGAADAGMMECRQLVVAHKPGVTSTAPATALTPLVPQDADLYIHPHLT PDYDPEKGRSLRVSESVPVGTVLVVDPPYAVVPSEHPSTHDAIMCSNIICRRQVPQAI AVRCPNDCIQDVVWCNDHCRATGQATHDFECDWLKQHGTTIREKEDEYTLSMAGGVAL W ACHE_51062S MLWIIVRIYAGRHLEMQAKSGSHQHYPWQDKFPYGWRGMDDLRD NMDLWPQAELDIWRRQIETYLSNHQGLPDTEEVLMLVSKEESNAFGLYPGATGIIPFT DQPHKRGPQYALACYQRATMANHSCFPNITWAADERGRIVYTTSRDIAAGEECCIAYF DLSTYVDFQARQKLTKNLFTFACTCERCLKEAQNA ACHE_51063A MPQSIIIPAGFNPDGKCSQPTDCFTGNVYFDALHSDQQTSIANV TFTPCARTHWHTHPEGQFIRVVAGNGWVCDKGGVPQRLRTGDVVWAPAGTTHWHGADD NSTMTHFVVGLGKTVWHDVVTDEEYSRKE ACHE_51064A MPYFPFDESPFLFQLSDIQDAFRGNASRPGPELDGGELVFGQRN NGVALVLKQDLERVTVSHNVSGQNEPMYVATTWRGDWQVEVEVGEICINELVEKQL ACHE_51065S MRFPKIQAGLVLLASVRGAAANCDLPLTYTWTSTSALASPQSGW SALKDFTNVVYNDQHLVYASTADTAGNYGSMAFGVFSDWSEMASVTQNPMNHGAVAPT LFYFKPKDIWVLTYQWGSTAFSYRTSSDPTDANGWSSEQPLFSGSISDSSTGCIDQTV IGDDTNMYLFFAGDNGKIYRATMSIDDFPGDFGTESEVVLSDTSNNLFEAVQVYTVQD QTKYLMIVEAIGLNGRYFRSFTADSLDGSWEAQATSESQPFAGKANSGATWTNDISHG DLVRSNPDQTMTIDPCNLQFLYQGRDPSASSDYNDLPYRPGVLTLLQ ACHE_51066A MENTFISEDPGFILQDDLFRSIETDLSQPQQEEENILIQLSDAS TKPLKVLKLEYTSDLPEYPSTDPNGYGYVINVPPNQQRETVEDMVNSIQYCVRQNYRN RPSSHSSFLGTSYTSSSYRCSGIKICEYAGIQLKNMHHTHVTDDLWTILQDIRQRIHE MERDTTKDAAYRFYRSAKNLFKNQLSCYHFQNSCQPKLTQSSIPNPLGGFDFYVRCIN APSDPAGHYTYRVPKNGSVHLQFLEGLLNNEIIMDMEECGAVESIKSKSLYCAYDHPQ GPGKLVHAKCNVTFHWLIPTDLSQNPYFVFMSHGVHTHVPPPPRKAPAKIMNGILQLI NQARSPSLTLGTFLKSPALQSFCAEHNCHTIQQIHESFSNMDPIQAVIRKQRLLHYPA GQNVNGVMFELGKNKDLQEYIHEVYQQNDQIMIICILKEQAELLHTLSSIEIDMSFKR VQSKEMKEVVFATYLADQKKIMTLCRVFTTEDTTEGYYILFKKIYHIVYKLTGKRITF RALHGTGLHALVMDMDNKQIEGLAQFLMEIDPDHHSRIWLLKNVLLLCRVHFLRGIRE TLQTHSLNPYIGTRMAALLECESEEDYHKWCDLLIKHEHPAIQNWARHKKSAVIAAGL NKYCSLIPHHIFDMVRKSTNAVEQTHNKSNRRGKQLTLLQAILESLKLDIQDVQQNRS YNSYGLRHRYATQTLEASFLRHMARSESARQTESNSPELNIQDQDQDHIFFPSSSSGR PLQRTPSRRGSMSRRGSSRARSSSSQVSLQRVATANSHEQYQNTELQNLEEELKINNL KAELLAKQIEIKKRERELRELELENGGQH ACHE_51067S MITTNPLFPESKDLDVDIVVVVSGGVTTRKRKRSESQGVDLSLK RLITEDPHVCPRERTVSELAAILDDVNIVHVRGTPASGKTYLSELLRQHYRKGGRRVS LIKKWEGLDFKNPWDSLVKLVEKWNEELEGAPTTSFTTTSSESKHDLSWVLTSNTVIL VDEAQMTYSDDVLWNTILKGRQSSLFGYNFRLCLFCSYGSPETGPDQTFFTPVRLSNQ QCISLTPQSQQYSPPIGLFYDKEEFRDVVSRSIPVEYQETFTFDEGAQDYIFALSNGH PGAVESILSTLFQTYRHKIKHRHIKTLTEDHVIWFLEDTGTVFQKLSTQPVNRSFPDI SRATNGICNTLCKITEEGSIPFDINNASIKFCYQKGWIHRVALDGGDIAVLPSRLHEK YIEYWIGKMSIPMPARFDSLPKLCKEVLREFSITILRHSAEGKKISTASQPRPVESQY QDEFHRGFVHVAGLGVPISSEWSRTKDGRVDFYIPEKKWAIELLRDHNKIDEYISRFK EGGKYHPWLKENMVKDWIIIDCATSLPTKEFSEPRLWHAVFIDDYSELRLYNHQKALI MSVHLHI ACHE_51068S MVTYTPDDVESDTMNSPNMEDDLAAMVESMRTRMAEMERELQNV RPAQRTSPPRSEPTPSTTDSLRRPRPKIGDTEPYDNSDRSLYPQFISKLRAKLNIDKD AIGSAYDRIWYAFSRLTGSAAAQVLPWMDHYAGDMDTVTEQTLKDFLNHLDFNFKDRN LRERAVRALGNLKQANKPFATLLNEFNRLLMEAGGYDWDNEVKRSYLDNALNHEMNDR LVTVEKKENFSEYVVQLQLIADRMEKNASRSRTLQHNNANRRANNPNPSSFNTNPAPP VTTPQGDQMDWTPTISRHRPRQTAKWVPSEEINARKEQNLCIRCGASGHFISKCPYNA PQRTHVSKTQVAPKLENDEDERSPRETQLGKE ACHE_51069A MGARRRMGPATRAQDRLHSMRLRSEKRLNKHNGKEDASMEDAPE VCKTPTAPPREPTTPQQSPEQLRCEIPMQAQHFPCNPPENQYLPTQPERDTPPTTPTH ESPQSQLGSELQSHIAAAVASKTSQIKTTGDEVLELVSMVSQKVIDWEKQSLQGAASL GRDIRTLVLNFGKNLTTGNPSEQENHHPRTRYTTAMPKLLDPHPPPPGHSPSYPRPHT SHHNQRNLYAFFSASPKIIQPVKLARTPQWTY ACHE_51070S MKGQCLLRFLLGTLLVVLLLAAQVLTTPVPLPQQGQQKPEPALE TTTQSHLESLWLKAKKKLTVGNVEHFTLDPTKAVSYGATEIYGCTVLVVVDGRSVTIG HFPQESGSGITMENEQHTQQKIIDPMERNLVLADYTTQSVAYIVHSATQYSVGYKKIK EYLVNENVSEGNIHSKPYTAGLSTVGHRGKVLVTWDPKDEGGATMKVYIQNDNPIYVR DYDANGDPCELIG ACHE_51071S MNKRKALITGIGGQDGSYLCEILLQDGYTVHGILRNRTTSDYIP VAVSHVLAAAEKNPNKLILHSGDIMDPYFLLGLFREHSYDEVYHLAAQSHVGTSFKLQ LYTCDVNALGTLRLIQTILTLGLEKKIKFYNACSSETFGQTVEDYQNESTPLCPVSPY AAAKAFSYWITSSARITHGLFAVNGILFNHESPRRGLGFVTRKITFGVAQIHLGLKNC LMLGNLNARRDWGHSLDYMRGVYSMMKQDAPDDYVLATGETRSVRDFVVTAFQIVAIQ LNWSGSGIEEIGVDARTGRVRVRVDPDLYRPAEVSYLRGSAQKAATSLDWQPEVPFKE LVKEMVEADIALIHGKPTRFWMESKL ACHE_51072A MNVPNTEKQIKILVTGGTGLVGSAIQWAIRNVSGTFGKEQNEEW VFLSSADADLRNYDETKSIFDKYKPDNVIHLSARVGGVFENTSRMADFVRDNLYIDQN VLRVCQELGVEKVVSCLSTCIFPDRTTYPISERMLHDGPPHPSNYGYAYAKRMLDISS RAYRQQYGCNFTCVIPTNLYGPNDNFNEGCHFIPGLIKRVAQAKQSKASVMVVPGSGR ALRQFLYSRDLARVLIWTLRNYDEAEPFIISVDPDQEVSIKDAVTMVSESSGFEGVIQ WDTSATDGQLRKTVDNARMRALLGNFEFTTLEQGLRETLAWYSANQGHIRGMDVRKDN QTPRGLL ACHE_51073A MVSAVVFAYHNVGVRCLSVLLAHGIQVKLVVTHLDDQDENIWFD SVANLATLHGIPVVCPESPNTENFLSQLQLLKPDFIFSFYYRSILSEEVLNTASCGRY NMHGSLLPKYRGRVPVNWAVLHGETQSGATLHEMVLKPDAGAIVGQMAVPILPNDTAA DVFSKVLVAAEIVLDNTLPAIVNGTVTRQTMDITQGSYFGGRKPKDGVIDWKTMGTRQ IHNLVRAVSHPYPGAFTETSKGRLVIWRTMLVEDSKESHMLPVEPCLWRKDSQVFATA CDGGVLRIISAELEGRMLDPADFPNIV ACHE_51074A MMIPNNVPPVTGKELEYIAQLVNGGTLSDGGGHFSQRCQSWLEE RLGCPRAFLTPSGTAALELAALALEIQPGDEVIVPSYTYISTANAFLLRGASLVYVDI EPETMNIDAGKVREAISPKTRAVVPVHYAGMPCDMEAIMEAIGDRKDIYVVEDAAQGL FSSYKDGRALGSIGHIGCISFHATKNVTSGGAGGAIIINDRKLIERTETIRDKGTNRP QFLRGETDHYTWQRAGVSHLLSEMQAAYLWAQLEAADQIQAHRLKLWEFYRQNLAGLR TKGIGIPLECTRGQHNAHIFFIKLRDKNERRQFTVAMRDKGVAVLAHYGPLHRTPPGL QGRHVLHPQDYASQESERLVRLPLYYAMTIDEARYVVDQVQNFF ACHE_51075S MNDVHSPKNVLVTGGAGFLGSNLIDFLLEKGYHVIGVDCFQTGS PKNLQHLEKHPRFTLINHNIQSPFEGLDRIDQIYNLACPASPIQYQKDPLSTLKTCFL GTENLLELAKARSIRILHTSTSEVYGDPQVHPQSESYWGNVNPFGPRACYDEGKRAAE ALCYAYREQSKVDIRIARIFNAYGPRMRADDGRVISSFISSALAGQALRITGDGAATR SFQYVSDCVEGLYTLMNRDYDDGPVNIGNDAEITIQEVAEMTAELVSQMSGQRRVSIT YHPLPVDDVRVRRPDITLAKSKLGWAPVVQLQDGLRKTIEWHIHNS ACHE_51076A MEKHANRTQDQDLKDGVKTSAQGVDLGQMLDVQATPEEEKRVLR KIDLTLMPMMGFAYFWQFLDKLILSQTTLFGLREDLNLHGSQYSWSSAIFYFGYLFWS WPNSYIIVRLPIGKYLSVSTFLWGGILMCHAACKDFAGLMTARFFLGLGEAAIAPGFT LITGMFYKREEQPIRQSGWFFGNCLAALLGGLLAWGIGNIDSAGIERWKLMFLIPGAI TASYGLFLFFVLPDSPTQAIFLTPRERAIAVQRTLKNKTGVLDNGSFKWNQAREALID PQTWFLFLNSFCNNLWNGGITAFMAILTAGFGFSDLQALLMQMNLGAAEIVFLVITSV AVTFIPSSRIIGMFINTLISVVGLILVWKLDNDHRVGRMFGLCLSIVYAINLPLSLSI VTSNVAGFTKKSVITNLIFISYCAGNIVGPQLFIPSEEPSYPTGIKAALATLVLSAFF LVCLYAYYSWQNWRRNKRYGLPEAMTIGEEMQDELSNRTDWEIESFRYII ACHE_51077A MIGRLIAGLGVGALSLLVPMYQAETAPPWIRGALVCTYQLFITL GIFLAACFNYGTYTHQRDNSGSWRIVVGLGWAWTLILGLGILLFPETPRYAYRHGRIQ EAKETLCKVYGAPANHYSIHIQMEEIENKLRSETHNSGGIVREFTGMFKAPRMPYRIA LGMTLQAFQQLTGANYFFYYGTTIFRSVQIDSFVTQMILNGINFGTTFIGLYLIEHYG RRKSLIAGSAWMFVCFMIFASVGHFSLNIDRPELTPPPGIALIVFACLFILGYATTWG PMIWTVQAEIFPSRYRATAMALSTASNWTWNFLIAFFTPFITSAIDFRYGYVFAGCNF AAAVIVYFFLIEGQGKTLEEIDTMYVQHVAPWNSSKWDPPASLEEGKKTSSNAGTETA AEHLEAT ACHE_51078A MTSKASQRTDEPKGHPEHLEEADAKPLTSALSPEHQAFLLDRHG TLDLNPIPSMDPADPYNWPSWKKGINLALVAFHAFMAAFSAASLITAFAEISETLGVS MQQASYLVSLQIAVLGGAPLFWKPLANNYGRRPIFMLSLILTCVCNVGCAKSPDYASM AACRALAAFFISPAKALGSAVVMETYFQHERGRCLGIWTVMVTLGIPVGPFIFGFVTH HVGYVWIYWILAIANGCQLILYIFFGPETRYLETNSKGSAFQRQYLHIRSIDPRPLTF YDFVRPLFLFCKLPVLLAAAAYAMVFLFASVMNPVEIPHLLQHRFGLNAEQLGLQFLG VIIGTLLGELMGGTMSDLWMIWRAHRIGHRPAPEFRLWLSYIGFVLAMVGTIVYLVCT EQSQPGKWTVVPLIGTGIASFGNQVVTTVLTTYAVENHPEDAGSVGVFINFIRLTWGF IGPFWFPAMFESVGVAGSAGVVVALICGVSFIPITLLHWQMGRLR ACHE_51079A MVRALSEWLGMPVPEKSLQFIKDVLTELKSFNGRDGITTETVTL SIAAKINSQPEPTARDFLLRYHGILFDAAASQSPLDLSDIADITCLVAKELPSQLAPR FQDELTWGAREDWDSM ACHE_51080A MHLLKSLTPFALLATTASAVGNAIVTNNCKDPIYLWSVGSSVSP KHTIPSGANYTEPFRHDDTSGGIALKITRTDNGLYDGSAQLTYSYSLDGEQVWYDLSS VFGDAFAGDAVVVKPESEGCGSICWAEGSTPGGSQVKVCDAEGDVGLVVCAEGC ACHE_51081A MAMEDDEDIVMRDASSHPMALPHQLATASASRQSLPPHLNGSAD PSLAPSILAQGMRIPLTPRPGSVPATGTSAMGPVPVAGPGLGHPAEAPGFREESPMEA NSDWSDEEAAAHVGIPLAGLSSGLCYDVQMRYHCEVRPTADVHPEDPRRIYYIYKELC RAGLVDDPESSRPLVSRPLKRINARNATEEEVSLVHTPDHFAFVESTKDMSDDELIAL EHTRDSIYFNKLTFASSLLSVGGAIETCLAVATRKVKNAIAVIRPPGHHAEHDKTMGF CLFNNVSVAARVCQKQLGDSCRKIMILDWDVHHGNGIQKAFYDDPNVLYISLHVYQDG RFYPGGDEGDLDHCGTGAGVGRNVNIPWPSQGMGDGDYMYAFQQVVMPIAHEFNPDLV IIASGFDAAVGDELGGCFVTPSCYAHMTHMLMGLANGKVSVCLEGGYNFRSISKSALA VTKTLMGEPPDRLLSTSPSDLAASTVRHVMMAQSRYWRCMYPKEPQELSLWTDRLHDI IRQYQSKQLYDNFKLTPLYIYRTAISKSFENQVLASSNYNKQTPLLVIFHDPPEIMGL PHPTTNKLEAHNCWLADVVKEYVGWAIGKGYAVIDVNVPKHVTNEPVTGKYEDEDESR PTATEELAGYLWDNYIEPNEATEIFFIGMGNAFYGVANLLINRETLYQRVNGVVSFVA ENPVRAIASHTQVWLSRWYKDVCPPVILSPTRSPSSDSPQLTKQNSLVFVSHTHGVWN NVETRRKPSKRYGHLIQSPTSGLSEMLMHHREDVFKWIEDRADEEESEEEEEEEEEEE QEQEQEQRRKSQSQSQGGSKSRSPVKRVAAGE ACHE_51082A MAPKVGINGFGRIGRIVFRNAINRDDVEVVAVNDPFIETTYAAY MLKYDSTHGQFKGTVEVDAQGLIVNGKKIRFFAEKDPAAIPWAETGASYIVESTGVFT TTEKASAHLKGGAKKVVISAPSADAPMFVMGVNNTEYKQDVNVLSNASCTTNCLAPLA KVINDKFGIVEGLMTTVHSYTATQKVVDAPSAKDWRGGRTAAQNIIPSSTGAAKAVGK VIPTLNGKLTGMAMRVPTPNVSVVDLTCRIEKGASYEEIKQAVKDASNGELKGILSFT EDDIVSSDLNGDDHSSIFDAKAGIALNPNFIKLVSWYDNEWGYSRRVVDLISYISKVD GQ ACHE_51083A MVSPQVTNMAVILVMMQLAKKIPFENPEILMMVRGLYVLSNLII LSIYLFTQKKINTKKDMTTLKYVEPAPMGSGEEPRPVTTTNMDYDKSQLRQLIKSQLM GVGMMGVMHLYFKYTNPLLIQSIIPLKSALESNLVKIHVFGKPATGDLQRPFKAGNSF MNQGQVKSDKASVEQAEKNWRGGVKEE ACHE_51084S MPGGKGKSIGGKGGSKESAGKTQKSHSAKAGLQFPCGRVKRFLK NNTQNKMRVGAKAAVYVTAVLEYLTAEVLELAGNAAKDLKVKRITPRHLQLAIRGDEE LDTLIRATIAFGGVLPRINRALLLKVEQKKGKKDI ACHE_51085S MSIQASRDSHLAQIAILAAYSAHGPVGFVEGVVFFWESSEGHEE LINAAEVVAEHVDLSIEG ACHE_51086S MSYQQSQDMYHDNPSARSPGSQRHPQTLHRQPSRQFDAYGPMPV NLYEDPMARYDTARLERLNPALNNSYAYDLGGSQTWNPNGFANPQALGGIRSASSSLK STTRGGRAGLPTTWLDQQPGIASPFSSSLGPSPLQNSALRPDTSTSEVDDELIPTAIV IKNIPFAVKKEQLVQLMTELNLPLPYAFNYHFDNGVFRGLAFANFTSAEETATVIEVL NHFELQGRKLRVEYKKMLPLQERERIEREKRERRGQLEEQHRPLPTSQLQTQSSMSSL TSHMPATSPSPVSQRGQKLEVDLNDSMTLSYYSQLLLFKEDASRDSVLFPVNLTPVHR RTVHTLAHNMGLGHASRGSGEQRQVQVFKVMPGSNVSPPLSSIPTAVQPPETARRGLN RAATIDFSESRNDGPPPYGSLRSQASGFLGVLDSPGNFGNAQNLRAAKSFADLRSYTP SPVPSSASFPAALQTNGARLPQYDGATSGASNTPTLTPAPSGSSLGMQRDDSLLVNSL SSLSLGTGIGATNSSPRRLRGAHAHPAA ACHE_51087A MAQSFASTLRDPSLFVEKSYINGQWISSTSTFNITNPATETLIG TCPESTIDDLNHAIRAASNAFPTWRTLSGRQRGRILRKLFDLLVENKIDLGRIITAEN GKAKADAEGEVLFSASFFEWFAEEAPRVYGDVIPHSNATSRTHVIKEPIGVCGLITPW NFPMAMGARKVAAALAAGCTVVLKSDGLTPFSLNSLAVLGERAGMPKGVLNVVTALNN TPQLGLALCQSDTVKKISFTGSTRVGKLLMQQSSSTLKKLSFELGGNAPFIVFDDADL EVAVSSALASKFKVTGQTCVCANRIYLQEGIYDRFCQRLVKEVKKFHVGNGAEDTVTH GPMTNGVSKVKEHIQDAVSKNAKVLLGGSQLPSLGKNFHELTILGDVDDTMRISTEET FGPIAALYKFSTEDEVINRANSCDVGLASYVMTNDLARSHRVTERLQFGMVALNTGVI SDAAAPFGGVKHSGMGREGSKYGLDEYVNIKMVVTGGINTVYTRL ACHE_51088S MSTNSKANPRKLRRTQNACVACRQSKIKCSGEEPCTNCRRRVMK CRFVEAGNKVMVAERYFQELQSQARLQQQHTFAVRTPSESTCPEDVCPSAEQPQHQSI DHTRSIWTSPFSLPSRIVKDARDNNRNWIWLAPSSLWSLTARLKVMITEKLGLEPLNK SLGFLEGDVYALQWRPAAPNESPDINGLPSIDQALYLFNTVKFHLGQIYRFFDDDEAF VSHVREFYCGDAATKASECRLWFVQFLLVLAFGNAFLSRSSRNTKDPPGSKFFVRATS LMPDLASLWGDSLLAIEVLAMMGLYLYSIDHRESGHVYVGQAIRIAQLGGLHTQLPEQ ELGSRTLTRCRNLWWTLYIMDRHFSSSVGIPMTTHDCDITTLVDPSQQDTALNLQVKL SQMLSTILATIYKTERTQLGIFLEQTRSILHTMAGHAQEIEKIIHLKFQNSVDTMPRG TRHITLSYHQCVIVATRPLLLSILKERLEKLDHGEEDWQSFLAPTKALINTGIKSAAK TLQILSDEDSLLEVFLPFELEFTYGAAIYLTMTRTLFPRVADGETGSHNAHSILDEMI CKGNKLAEVRKMELTQIESLFQQLATRIEQQGLQALTLSSPEQSVISASTGYNNNDNG RQGGEDFATATATDPQTSAHCMPGDSRLPSGLLPQDTSDVEFLESIGISSYEFLSIVD GIDDNFGILDPAQSWEG ACHE_51089A MSLQDTHLRYLLEERRWPVSRVAMWRAMATHAFAVLELFQEFGW IINEPVDHERKCTIANGSRKVVSSEKRVRWCLTDGADPNSHNVGKNIDVLSHVGEYAV IPVLELLAAHGGEFRCSNAALHCAAHGSVGYSTEEEPIKILAWLLLKTGINMNQRKHE FSGMESWRREMMKTALHCTVGAVRDLAVEKGSDEAVKILDGH ACHE_51090S MGHWDWTAFFSSRDRRNFPGVVMPLADAPAAQQPIPNAEKEKEP DNEANSNKLTTMSSEEKGASPVPQSGSLTLEALRAEVESDIAASGLDTAYDRKAKVIN RAIQDIGMGRYQWELFVLCGFGWVADNLWLQVRFPDWLLFQRL ACHE_51091S MTTASILTTYSVCALFACLGLGVGGNLPVDAAIFLEFLPFASGA LLSSMALFWSIGTLISSLLAWAFIVNYSCPENEPCTKANNWGWRYLVLSLGAITFAMF LARFFLFTLYESPKFLVSRGRQDEAVTAVQGIAYKNKTQTWLTDEVLNEIGGYPEEVS DQKLSYTEILKRSLSKFSYEQVIPLFRTKRLGITTIIIWFLWTTIGMGYTLFNAFLPQ YLSTSSSVYETYRNYAITAVCGIPGPMVAYFTVDLKYIGRKGTLAISTLITGVLLFCF TASQDPNAQLVCSALESFFQMMMYGVLYAYTPEVFPAPNRGTGTGIASCLNRIAGLCA PIIAIYGGSNASAPIYASGALLLVSFVAMCLLPIETRGKQSL ACHE_51092S MLAEIDNLDIHVIVNDELNPISPSPNAAVKVASRFMGIPLTPLS SELGGATMEMCMDNFFCAAHGISLLLNTTKGDKKHYLLFDAGPEGEVWERNTHRLRTE IDDIEHIALSHYHRDHSGSLTKAIELIKKSNDGDKQADRPISLEADPSFEELETAGAT LLKSDQPHTVLDDLFLISGEIPRQTTYEDGIYGGVWFNPSTGHWEEDTWIMEERYIMC NLKNKGLVVFTGCGHAGIVNTCHDAIKLGNGSSLYSVVGGYHLADAEDAKLNATMEDL KRFEPKVLLAGHCTGWRFKCRIARDLPDCLVPCFSGSKYTL ACHE_51093A MPEAGNLEAELSALQLLLRPDEIITPDSADYQPSIQTWASQKQL NPRLVVRPTSVESLSKVVAYLYSTDLEIAIYGHGFMSSSSKDALINTTALNDFHFDKH SELLTIGAGQTWEEVFRKLGEVAPDYGVVGARTPCVGVGGTIMSGGFSWLSAEYGCIS DPANMLDAKVVKYDGSIVWASSEPELLWALRRGGGGFGVVAQVVLRVFRYPQNIWAGP IMIPREKLQVVAEGIAQFTSKTIDPKVTMFLYVVKKQMLDSIGAESDMLLIHAFDAHG EAHGRASFKWALDIPGAVDQTKITNLIGVANLQDKVLTVKGSMTQFWAPLMLREVTKD TIINAIEWSEDIERLDQSLGDCTFLIFELLSSRDPAGSTASCAWPRPIGTKHILLIAT GSPSTAGKDKEHLARALAIHAASKILGEDAEKHYLPNGFEDFHDPKKIWGSHFSRLQD LRRQYDPRNKFKGAISA ACHE_51094A MPILRDAIRAGLPHFPPELGIQVSIGECELDSDGRILFRKRRWV PNNEPLRTRLMQEAHDSPLSGHPGSNALYSLLARQLFWPNMSADVKRFVKNCDQCGAT NIWRDRRQGLLKPLPIPDRKWRELSMDFIEGLPESNGYSAILVIVDRLTKGTILIPCA RTGSDYIVPKFLQHVVAYHGLPAAITSDRGSQFVGELWERMCSLLKINRRLSTAYHPQ TDGQTERMNAVVESYLRNFCNFAQDNWSEILPMAQLAIANQTAASTGFSPFFLDHGYH LETLQLVEPVTEELQQSSSGSAGARIADKLKNALEVAQSELAAAQERQEQYANRYRNL APHYKPGDKVWLALHNIRTSRPSKKLDVRQAKYTVLAQISPYAYRLNTPEGIHPVFHV DLLRPAANNPFPSQRNDDYQPPAVLVDGEEEYQVERILDYRQIRRGRGFQRQYLVKWT GYLHPEWTAAHNMENTAALDEWEQRHGSQSPVRDGDDS ACHE_51095A MAGSDIPLSDYVFAIICALPKELAAAKAMLDETYPEIPPAPLTQ CAFTLGRIGRHNIVLASLPAGVYGTTSATAVVSYLQLSFPGIRYGLMVGIGGGVPKET ADIRLGDVVVSKPTDTSSGVVQYDFGKTSSGGHFQPTGTMNQPPTILLTAITQFEARW MIRRADEISRLIAHVLGQHPDMEAEFSRPQEADHLFEATYEHAELGASCINCDPEKKV SRSSRGSAEPHTHYGIIASGNQVIKDAYARDRIAGKLNALCFEMEAAGIMNHLPCLVI RGICDYCDSHKNKQWQGYAALTAAAYAKLLLSFVPVTDSPRIQTMQQGFSILPFNRNP QFLGRDSEINRLEKVILAQNRIRKAAIAGLGGVGKTQIALELAYHFLDQNPNYSVFWI RSTNMEAVEKSFIDICDALGLPRTPSSDPKLQVKSYLSKSAGHWLLIVDNADDTELWM SSQSSYPTLKTILPQSPNGFTVFTTRNQKLATSLAGPQVIRVSELSKDLAMDLLRACL IEEDLMNDSESAKILVHQLGGLPLALIQAASFINQNLISLKVYLSLLGEQEDTKVELL SEDFDDDYQYEGVQNPVSATWLISFEQICRADSLATEYLSRIACIDSRDIPLSIFPPN GSVVEQRKALGTLKAYSFISEMADVQFVNMHQLVHLATRNWLRTKGVIEDWTIRTGEY LSQIFPSDDPRNRAVWRRYLPHAQYILQSENFPWKTAAKEKLSQSAAQCLYADGRYRE AATLFQIVLGRRTQQLEKRDPKILKSMQWLASTYGKLGQWKEARELEVQVMNTAEKML SLEHLDILSANKNNRAGLLWGEGRFKEAEELLIQAVDMHKTVLGPEDGGTLTSMANLG SSYKSEGRWKEAEELFIEVVDTSKTVLGAEDPVTLTTMENLATTYSNQGRWKEGEELG LQVMNTRKTVLGAEHPDTLTSMANLACTYGNQGRWKEAEKLLVQAVNTSKTVLGAENH DTLASTANLAWTYGNQGRWKEAEELQVQVMVSRKAVLKPEHPDTLTSMANLAWTYSNQ GRWKEAEELQVQVMVSRKAVLKPEHPDTLTSMANLAWTYNNQGRLKEAEELGMQVLNT RKEVLGAKHHDTLISLWNMAYTLKHQRRHDEAITLLKTCVQLQKQQLGHTHPYTIRAL SDLEIWQKSFSSSLI ACHE_51096A MSPPMEARVQAKLDADITNSNLQGIKNFYKSADPEKQSSLLADI AARAAAKAQVDILDWVFSEGFQPPPDSLNDEFYHQACLAQSLAVWKTLVKNGFNLNGH HSEFFGDALSLEAYCGNVGIIRFLLENGQDPNDAWGSYDDLEPGVAALVGEKPSLEIL HLMLQHGWNQKRSAAHIAAAELGKMEALKLLVEHGADLEEASGWWPNCGIIEADKWGT ALYRAAYKGQKEPVVYLLGKGANIWFKDDKGRSILWAAKQGGNEEVIELLKSAGLEEE ACHE_51097S MLFSASSRKGSFLFFLFSILSTLLLLSSQAPTALAAPVNDFGTL VVRDKNIPDKAEVEKLIKPENLHDFSKYAKKGQPAKDKAIYFTGQDQKTINDIVRWAN SQQLTSVRDLWKNVNFYQKGQYKGVDKDTFTNFQKAFSKYYAQLTEGKAYLIFPQDKK PSKTGIFYSVELEEIIKHGKVEEIIWIDQNKIHDKGYKWKDEKKTYWKKSEKKPDGA ACHE_51098S MTLATLRIRALPRPPCKTQFRAHLSTTPHRHNDTLNKVSSKITQ PKSQGASQAMLYATSLSESDMNKPQVGISSVWFEGNPCNKHLLDLSGKVRDSVARAGL VPMRFNSVGVSDGISMGTSGMRYSLQSREIIADGIETVMNAQWYDANISLPGCDKNMP GVVMAMGRVNRPSIMVYGGSIKPGCSSKGKQLDLVSAFQSYGQYITGEIDEEERFDII RNACPGAGACGGMYTANTLATAIETMGLTVPGSSSCPAEDGRKQAECENIGETVKNLL KEDIRPRDILTRQAFENAMIVVNILGGSTNAVLHLIAMADAVGIKLTIDDFQAVSDKT PFLADLKPSGKHVMHDLYKIGGTPALLKFLLKENVIDGSGITVTGKTMKQNVSSWPDF PQNQPIIRPLTNPIKPTGHLQILRGSLAPGGSVGKITGKEGLRFEGTAKCYDYEDAFI EALKRGDIKKGQKTVVIIRYEGPKGGPGMPEMLKPSAAIMGAGLGNDVALITDGRFSG GSHGFLIGHVVPEAIEGGPIGLARDGDWIVIDTEERVVDLVVGMEEMEQRKKEWRAPE GRARKGTLRKYAMLVSDASHGCVTDGPI ACHE_51099A MHIKNLLFLTSALLTSSLALANTLDSDDVPNRCWQVCGPVVGIS HKCDAMHDNDSAERKCVCEWQQAPTLIPLCEACISQYRNETKHDDDNDRDDDDDRDDD QDDDNDRDNDRDNDNDRGKDRNDDNDNDCGPRTQPSIKRSARKSKRDDPHDNDANDIL RACSFTTTSYNPMTATTAISAASTLIPSSSATATGTSSASGSSSGSDSRSASGSGSSG SASADNDTNAASGQSVPRAASLAAIVGLIGLVWL ACHE_51100S MHYQAQKYPGDITMARAIGKMSWEKYALRPVQNAPPATLPVFIY GTAWKKDRTADLVHQALQSGFRAVDTAAQPKHYREDLVGEGIRRAIQDGSVRREDLHI QTKFTSVQGQDPENMPYDPRTSVTEQVHASIKSSLHNLRPSAGPESAEDAYIDMLVLH SPLSTLAQTIEAWKAFESYVPHRIRNLGISNCTLPVLKELSSQVSVPPAVVQNRFYSM TGFDVPLRAFCRDNDILYQSFWTLTANPELARSNPVQQLASQVSISPAAALYCLVLGL GKTTVLNGTTNEDRMAADLAAPQHVEKFIEEQPALWSKIIEDFQGLVGDSS ACHE_51101S MSPQGISRKRPAPGASPVVHPPPPPIGTVQNYPPNPGAQLSNDQ FLQWGQNPAPNVVNQPAHYPDPAAAAAYNPTAFAPGQDIPAPTAPASSQLARRPTPNQ LVSRNRGYEQAPAVTTDSGGGNGESGGWGESLDELYRRASIAKRDAQAKRKQIPPFVQ KLSSFLDESKNTELIRWSDDGNSFIVLDEDEFARTLIPELFKHNNYASFVRQLNMYGF HKKVGLSDNSMRASERKNKSPSEYANPYFKRGHPDLLWLIQKPKNSAGQGTKAGKGTA RVRTEEAEEHDEEYGEESSHVSRDDRNRARSQLSLITGDMMPKDQFAGVYRELQAIRQ QQQIISNTITKLRREHEQLYAQAANFQEQHTRHENSINAILTFLATVYNRSLQGQEGP QNLANSFAGAISQDQGGSGNVVDVGDDYAFSALGNMSSPGGQRSVKKQPLLLKAPPAA QRDSRAATLSPASSSYDQRQARGHSRQPSSTRPGHVEEVFDISPRPRDSTPTQHQQFP QRDIMSVIQNSNARGGVPTSFAEFPNVLSSLETSGGNVPLTPNQRADMLRLMANETNT ADPNVSATNNNALITPNPPPMPQNYSGRLASTRAEIDNLAKMQAEQDRSVQNLTNLLQ PLSPTGNIPGIGLENGNVPPPPLDLDQIFSNDYFTDIGDLEHNRANLEFNDQANTAPE GTHDPDANTGTDDGTIKDANDLFDFDQLPADGDFFEGSNQHQQNPSFFNGFDAGFGSD AGLGVDASHNNAGNGVNHHHQNSNDLDSNRVIETLTDSEGTSPANTVDDTSQYHGGND PQGDEGSGGGAKRRRKA ACHE_51102S MPRGRPRTIAEETTLSSQDTITPEQIDTDLQAIHNRIAKLEELR VARENLARLEAEVINPSEIGSVVNRDHPGNHPPESHTEELKIKNISTFTLNFNLQRRQ DWLLDLRYTFRGAPRKYRTDGKKILAALNFLDHTCRHRWYRHVEEKSIEERQNIEDSW AYFEEWTLSLIRNTTTLQADIMDQIERTCQLPNQDPREFHAYLDTLEQHFPRQAEKER ALSFSLNSRATSRNIFENITLSCLKDERRWSPLPPTIGTS ACHE_51103A MRIEIWEHVHDFSVVPQPIGRRSLKKLEVLQNLQSVAESDLQSG TNEATTIRFQPPVFWELLDPKLLRTTQHKPTAHGPPISRTEFGQDTRMRVATHFVHPL VDDQSEQSTLSEITETSGFPGVEFEQLPMGELFAHFSTDDLNWLQP ACHE_51104A MMDKDSKIVIIGAGIFGLSTAYQLASEGYRNVVVLDRHMPPVPD GSSSDISRVIRFDYADDDYLELAYEAYLEWRDSPRYKGIFFPAEYVLVGKTSPYWITK TTAALDKESLPWTKLESVASTKEQFPVLTGDLANPGFFGHNNHQAGWADAAKAVSQLR DDCFELGVSFICGRGGTIFGLETDSQKAIKYAKTLAGTRVEGDHFVLSTGAWASGLVP MYNSTLSTAQVLGYVRLTSSEMEKYKNLPIYSNFSTGWFNFPPHEDTRTLKMAIHGWG YTRTPTPEDCNTIPSNISSPPLIPPRERRNFFPADGEVRLRQGLREILPELGDRPFEK LAMCLYTDTPTGNFVMDYHPDYANLFIGGAGSGHAFKFLPILGNYMSLALKKRLPSKL AQKWRFRKEYEVQNDTFKGDGSRGGPIRRELGPQERAKL ACHE_51105A MSAHYSTEPNPTASATLHTTFGPLHISLFASQTPYTCRNFLQHC TDKYYAGTIFHRIDPDFIIQGGDPTGTGSGGTSIYEYPEFETDPDARDPSEKIVLRDE LHSRLRYNRRGLVGMAKSEDGTYGSQFFITLANTERELNGQCTLFGRVEGESIFNVLK IAEAERVEGTERPVYPVKVTGCEVGELGPLAGKVVKRQVTAAPAKEEGKPAAKKKKKG AKGGKTLLSFGGDEGDEELPVRPAKPKFNPKLVTDTKLPEADETAQRPETQKRKRPRS PSPKRAVPEKKQPDPATQIPLPNPESPDRSPAEEPPAKTSKLDRTKAEIENLKSSMRR TATIGPVEGPKKSALEAMIPESSIRGRKRPPPGAVGSGTNGVAGFSSTAEDETLKIFN AFKAKLENADSTTKPQPKRETAETRKTKQAPESEPEDDEAQLCDLHFIANCQSCRSWD DPSATNENDPEADEGEEGWLSHQLRFGKDTLGKDAKWKREHPDDVDSLMVIDPREREK EIVGGRKKGMARDRERERKKEKAGDLEWGRGR ACHE_51106A MARTSLRLGLAIILLVSVLLFRSTLASLWNNGFHSIPVDVRNGT ASAMPETPDRVVVVGKMKHEDTEWVIEDLYDWQHAIYTVDDPTAPLTVPKNKGHEGNV YLQYILDNYHALPSTIVFLHSHRDGYPLAWHTEFDTHSNPITVQRLKTDFVQRNGYVN MRCNPYPGCPNELLPLRNPPDPMRGPEYVYGEAWKKLFNNTNVPEVVGAACCAQFAVS REQVLKRGYGEYEWFHSWLMETELPDDISGRVLEYMWHVIFGKEAVYCPDMEQCYRDV YGVYSDY ACHE_51107A MWSPSLLLTLLAPMAHPALAEKILGAYIFARHGDRTAKALGDTH LTDLGYNEVYLTGSYYHSRYISPDSPLQIAGISEPTVNTKQITASTPSDEVLQNSATG FLQGVYPPVGSSANETLRNSTTVQSPLKGYQLIPLSTVSSGTNSEDSTWLQKASGCEA ATASSKGFYSSALYKDLVEETKGFYESLSPMLNSTFNVSQMSFKNAYKIFDYLNVALI HNSSSEFPSSGLLSDEVYNQLLTLASTSEYNLAYNSSDEIRAIEGMSLAGEVLEGLED IVTSQGKSKLNIQFGSYGTFMSYFGLAQLPSVNVNFTGIPDYASSMAWELVTNSTSDA FPAESEISVRFIFHNGTITGSSTPDQYSLFGQSSTVLPWTDFVEQTKKIAVTNQDQWC QACGNTDSQCPAASVQSTRNSGGMSKAVAGVVGAMVTLGVILILEALFFLVGGFTIAK RKKAVAGSDVGSEHVTDKI ACHE_51108A MSDYEDNMDVDVSKDVQFSSSDANGKAKRTAADLPVEAQDNLPW VEKYRPDTIEDVSGHQDILATINRFVECNRLPHLLLYGPPGTGKTSTILALARRIYGS KNMRQMVLELNASDDRGIDVVREQIKTFASTKQIFSMAPPTTSTGSSLASFKLIILDE ADAMTATAQMALRRIMERYTANTRFCIIANYTHKLSPALLSRCTRFRFSPLKEADIRS LVDQVIEKEKVNIQPEAVDSLVTLSKGDMRRALNVLQACHASSIPLPVKNAPKDQPRP ESETITNETIYDCIAAPHPSDIQEIMSTLLSTSDVTSCLNTLNTIKINKGLALADILS ALAEQLQQLEVPAQTRITWLEGLAEIEWRLSGGGSETMQTGGMVGVVRNGCELMGGA ACHE_51109S MPAYHSIFLEDRDVPVIGNFPVLPLRTRTRGPAYTLPPLPPNTL DVDVPADSESYDCIDEILSLFRANVLFRNFEINGPADRMLIYGTLFISECLGKVKPTM AAREAEKALINVALDHFAIPGDVSFPFNQAFEPPRDRQDAETLRSYISQVRQEIAIRL HARLYPGGVGPSKWWLSFAKRKFMGKSF ACHE_51110A MHSYIRPYQHVAFRLPSEATRIIHLIPNTEVSLGKYGNFPSNQI IGRPFYLTFEILDNPTEENGNRLRIITAAELHAESLITEGSGEADGEGDEPDVGGDAE TPMRTNREIVDDASTQKMTLQEIEELKKGSSDAGRDIIAKLLESHSALDQKTAFSLAK YTLRKRRKYMKRFTVLPLDVSLLTNFMLEEKDAGRTMELRDELIGLVGCWGNVHHGGN VSVGPKPNGRYLVVDETGGLIVAAMAERMGILYPHDNEDEESEEESSGKPAEETSPAK ERPSQMSASGNTITLLHAHTQPNLSLLKYFGYDQDNPDESHPLFTHLKTVSWMQLVDP SSDPIYGNEPEVIPDETLATYKPNKRGTYYRKRSRWQRVRNVVDEARAGEYDSLLVAT LMEPASVLKHAVPLLAGSAQVVVYSPTIEPLTELIDLYSTPRRTTFINARRELIEATQ KRNQENNVDDPVDLSELEQEFIVDPSLLLAPTLETARIRPWQVLPGRTHPMMSGRGGA DGYVFHGIRTFPTQAKIEAAGNPSRKKRKVETNPVPLPAGDVEMKL ACHE_51111S MVLRKQPPPRLNSVKDTKRRPSLSPTAKSVSPVSPKRLTRPRRA QSSPYPRRLPSQESVYSPDLHTSPAFDLMTLEQAQRSPVGNVHSEAQNPWADELIERP DQQYHEYTPSASMPASHSGTQEEPVNKNKGDRVPSILVAGTQRRMAANEWQPEEEADE WEHVRQTPVQLRSNNPFLKTRQSESNPWQTESYQAQHVEDTRASQATSVHSDALSQGE GIIPMTARLSLLDQQPSDSAWAEEHPVTAPQSQHPQNGHSVQDSQAQAPQTSYANQVA IYTADGQSYDTHGAPGNTAEPQYQPQYASPYEYQPYSSQDYDKLIQQYQPNPHSEPVS SATTQPNVLIDVGDSSKPEVLPRSTSSVYESDVNEARGTRTGSSDTAPPLPQRPSEAG RSEGDEQHTTLSAADANRQAEQRAETYAIRHVNWTDISGKLRNSPILSQNENGPCPLL ALVNALVISSAEDAQTPVVKALQTREQISLGLLIQALFEELITCLGPDDEFPDIEALS RFLTMLHTGMNVNPRLTLEPDDNAGRFHETSDIQFYNTFGLPLIHGWVAKPSTEAHAA FSRVAQYYEDIQLLPFRKEELEDRVFRGGSLSPEEEQTMYDIQTIQQFVEVDHATQLS DYGLEHLKERLAPGSVSILFRNDHFTTLYKHPQKHELFTIVTDAGYASHAEIVWENLV DVNGSRAEFFSGDFRPVGHGPSGGPATSAPALPSRDAGYFTKSKDEPSQEQADADYAY ALALQFQEEEQQRQNAPAAHNQNASAPNHPARGHGPEATHQRTSSAVNRGVGRYQPAA EQRSARSSQQHGRSSYPREEEDLPSYAQAARSPIYSPQQSTPSVNPSNQSMDSRFSRS HYGHGRRPPATSSGLPERPKDKNKDCIVM ACHE_51112S MQIYNLNGKVFATVLVVIALINFYHLWLGKRDFSPIALATLPDR QAQLRHNLYSLLEKYAPQCPSPELGRSAGMPRFDALDDPPRRNYIDNADELLQPMQTA HDGFVREIRKSWTGHPYIVGTKGIVSAAGGTYMPTFVVTLRILRRTGCQLPVELFVKD PTEYEPHICETVLPALNAKCIVLSEIMTPNPNDATNTTITIEHFQIKSFALLFSSFDN IIWLDADNLSLHDPTTLLSSKPFASTGLLTWPDFWASTISPLYFNISRQPEFPTNTRA STEAGMLLVSKRTHFPTLLLAAYYNYHGPSHYYQLLNQGAPGEGDKDTFLQAASALGA KFHTVSEPVADLGRPHYPDDEDADDDIVGSAMLQADPIEDYELTSHGKWRVKDGSVSK PPRGFFVHAYNPEFNPGEDLLGLKTHAKSGNATRVYTGPKAALRRIGYDAERGFWEEA REVACDLEGVFESWRDKKGLCDDVKRHWEDVFENPDAEALVFTD ACHE_51113A MQSTWSSVWLWGNLLVSGIAAAQRETVVYPQKYQTTSHHTVNAS SSTCITLSSSDATAILDYGTEVGGFPVFDVQSVSGPAQVEAKYSESRVALDAPFADGP WTFSNGLSNTFRVETFNVTELGHVQSFLIQGGLRWQRLQLLNPKSTIKICRAGIRSAN GRTAIDALPGHFECSNPLYNEIWALGPRTLQQACIANHTAPSTWEVTSDGVYLRGQQP AASVKGASYANYTMTFQSKIVRGGTGWKVASGVTGYGPYFVLTSEYPSELTFLNTNRT LVPPNTLVVGYGYNLVNQTSLTTGAIKHFPLSFNVTEGEWYNISTSINQTGYSVSIND HPAVFVALKYLQTPSTSIGSPSSLTSGTWAFGPYQDQLAYVKDVKVLAQNGTILYQNP MANESVLKEYGVMMNSESVCLDGAKRDRLVWSGDFTHTYRVISASTHRQDFLTGTLAY SLDRQATSGIYEGFFSMSPTMGQSAEYALIYNTFGILDYQMLFLNAFAGYYLDFADDA FLQKYWPQAKKGVNAVLPLVDSASGLVVSQSVPGSFFLGPANGTAPSALLVYTLQRMG RLANIMGDTKTATNWTTTATRISNAINNQLWNNKTGTYGESLTSLNTSSITGTAWAIL ADVANTTQAQRSITALSSLRLGIGYKESSSTSNARNTQLSPNLSGFLLEALFQHSRSS TTPQNATTEAIGVLLNQLWPAMVTQDKYYTGTAWEYLDAVGRPGLDLYTSHAHPWGAA PTYVFMEYVLGIQSVTPGFKEWAFRPALLDVGVSWARGRVPTPYGAIQGNWTVDQKRR HLDLNVCAPKGTKGTVSLPLKAESYTANGEERSVEGVGLKEEVSGGECTKISVVLR ACHE_51114A MTDSGLLYLLRKRQDFLDPNNDPNVGSSRGNASSSSSEVADNLG IGGSTSLSAFLTTLVPALVIAIFWFGLFIICRRTQQRWYAPRTHLHCWHKHERSPELP SGFLNWFGKFLEITDTHVLHRSSMDGYLFLRLLRVLCALCFTGCLITWPILLPIHATG GAGNTQLDMLSFSNVTDPTRYFAHAVVGCVFFTYIFYVVTRESLFFANLRQAYLNSPA YVHRISSRTVLFMSVPEDYQNEKKLRQVFGDSIRRIWITSKCQELEKKVRKRDKLAYK LESAETKYIRLANSTGLKILKHGDIGSEACLECGKHTPSWSSTVRRPTHRVGLLGIFG QKVDSIRWLRAELAKINKEVRALQHKHKTGDAKHLSATFVEFQTQNDAQIALQTLSHH QPQHMTPRFIGISPKEVVWSALNLSWWQRVVRKFLIKGGIAALVIFWSIPAAIVGTIS NVTYLTKILPFLSFINELPDVITGIIAGLLPSAALVLLMSLVPIICRFCARSAGVPSL AGVELFTQSAHFCFQVVQVFLVTTLTSAASAATSQIIQDPMSAKDLLAENLPKATNFY ISYFLLQGLTMSSMAVVQVAGALIFKFIATFFDRSPRNLYQRWAAVSSISWGNVFPVF TNMGVIALTYSCIAPLILGFAFVGLYLVYQAYRYNFLFVYDIDIDTKGLVYPRALQHL ITGVYLAEICMIGLFAIRGAVGPLVIMIIYTILTVLAHISLNDALTPVMSFLPRSLDT EEEHLQSKEETEAFLDQKQTTRLEAIWKWFHPNLYRDYATLRRKVRRDLVEIRYSEEE RQNAYYEPCITAKCPMLWLPRDKWGFSHQEVLDTDAGIPITDERAHLDEKNKIIWDKY DPHLPLWELKVLY ACHE_51115S MSNDASKQPFPPLTPDDADFATNENGYLEDHGQNATSPAYDHVD VEDDADFQSQYSHESQSLSDASSDSDEEPPDDNLGMQQHSFRQSTGSLHGPNAFAPPF YNRPPTPLPPSPSLTSLLRPPFSATTSRPTTPDGSDVETPDDTEAAVAVSARRATTVP RASPKVPTYEYYGFVLYLASSLAFLIYILWSYLPSPFLHQLGIYYYPNRWWSLAFPSW LVMSIIYIYIALASYNTGYLTLPMNSIENIVDEVANVSVIDGRGRRRPGGSSRMKPGA ISYQIMGPQKRKVNWKDIWSEGTDAVMDVPVGGVCEVLYGQERDDYELYESAGFTSSS RTGDT ACHE_51116S MEGSQPSDPTSQPEGAKPGEPSRAPLTPEQTRRIEINRMKAKAI REQREAEEASKSPANASTTAPSGVKRSYSSMTSETPATVRDASKSRPLDTIRPARNFT KFVDYDFSKMTDTKGGFLTEEDDPHNRALHMGDGKREQKPANMTQKEWERQQLLQSLR RERAGPFEPAISVLEDKSKQKTCRECGNLEIDWKWEEMLKCCVCSSCKEKFPEKYSLL TKTEAKEDYLLTDPELRDEDLLPRLERPNPHKSTWNNMMLFLRYQVEEYAFSDKKWGS PKALDSEFERRENDKKKRRETKFKSKLEDLKKRTRVDAYRRNRKGATGGNFGDDLGSG RHVHQWGRSIENPETGIGVKKCVDCGMEVEELEF ACHE_51117S MAWQSPSAMAGGGGFVGSGDGGPNGGHPQGTEYTLQGVMRFLQT EWHRHERDRNAWEIERAEMKSRIGRLEGDVRTNKRLRESLAKHVRLLEAALKKEREKV KKLTNNEEVDDMRDPKDIARENLSAVKSQHPKLSKDPFDADPDETQPEYRQENERDKS RLYLSKCSQEVTYHVIPASNPPADLAEQDLPNHIYGGQPMSQQSLEEAYMHQQRQKQQ HQQQQQGNHIMAREVPFPNHQPVLQYSENPGLARAHGLPVPREPLDRRFLEPQTSVTA LDGRKNSLDNAFLDEASGPPRQAFEEYGAQVPPKEEPKPQQTEESSDDSDGWNFDEPS GPEPAVEHIPPHKPDTDAFPNANFVPNSTGGGLLHRRKSSGSRQAEGATESKENNVAP AQKEDPNFRVRFALRGHLDVIRSVIFTGGGSPSEPEVCTCSDDGTIKRWIIPAGFGLN SSSDMDITSYFTHRGHAGAVTALAACSPSQHFSNGGRALGDGWVFSGGQDASIRVWER GRVDPKATLDGHTDAVWGLCVLPGTTGSVFGENCSRYGGPDRLLLASGGADGKILIWS VSAPPQAASPQAGSRRAGGSRRANSISSGSNFPNSPQPSIATTTPFHYTLVHQIVLAE SPSPTCISPLSLAGINFVVSYADASIVVYDTRTGEEIVGMASKETYDGTPSTGVNAVV ASTIGFDGSAHLDPNRTMGAEEEVHGATGSSGVEGVIISGYEDRYIRFFDANSGQCTY TMLAHPAAIASLSLSPDGRELVSAGHDASLRFWDLEKRSCTQEITSHRLMRGEGVCAA TWSRDGRWVISGGGDGVVKVFSR ACHE_51118A MAELSFAKSFLSAIDTKPVKLRADYVLPPEQVDLRGPYLLPRLS PSHPPMPKKIKTAAAPGSSKSITIRLKSTRNPPLEFTLPNAPISTTSVEDLKDAVRER VADASGNKVSVEKIKILYKRKPVSGKTVSEVLADEPELLGGGKEIEFGVMVMGGATVV EPVSGTGEKGDGEGEGEVTAKPVVGPSGEDVLETEAFWDDLQGFLMQRVKDVEEAKRL RALFKGAWSSGR ACHE_51119S MGKGRRMKKQGPPAPLDETKFDQLKKRKAGADVAENKAEVGKKR RREVDEEEKPKKKVNGAAAVNGKEKKGVNGAKKQEVEQEKKPKAKPVDFMESEDEDDE EASDLEGEGDEEMMDDEFDDLDGVSDGSEEEGSNDDSFIDSDEEDHPRQAMFSDDEDD SDAEEKLTAANMEGLSRKLDAARQQEEEEAEAELQEAALQTNIAGDRPDVFGEGEGGQ GLAPDLQLLRTRITETIRILGDLKTLGQPGKSRADYVQLLLSDICTYYGYTPFLAEKL FNLFTPMEAFAFFEANETPRPVVLRTNTLRTNRRSLAQALINRGVVLEPVGKWSKVGL QVFESAVPLGATPEYLAGHYILQAASSFLPVMALAPQPNERVLDMASAPGGKTTYISA LMRNTGTVIANDASKPRAKGLIGNIHRLGCKNTVVTNLDARDAFPRAMGGFDRVLLDA PCTGTGVIAKDPSVKTSKNERDFFAIPHMQRQLLLAAVDSVDHASKTGGYIVYSTCSV TVEENEAVVQYILRKRPNVKLVDTGLGDFGTPGFTKYMGKQFDPKMTMTRRYFPHREN VDGFFVCKLKKTGPSPDAKKAAAANGDAADAPATKAPSTASTTSDDVEMVDKTPITDE EGNTIPLEGGEFGPFENDDEDQERMARAERSRLRRKGLNPKAVLNKPKKAKGEKTEKP ESTKQSKSTESKDASAGTENGKKEKKQDKEAKESKPTESKEKKEVKEKKPEPKSEKPK KKQTKKAAK ACHE_51120A MDSSNPSQNPQQTDNAQSPPEQQTPVANSAPSPALEHAYWAEFE EDPSVPDEAEMRDIESKVDCDTSATKYDHWEKTFYPDLNDPEYRPVEKARLSWKLKGV RGSKECPNWAKVMRSPAAYVGGYWWTIKFFPRGNNTKSLSIYLECSTTMPTADKTLSE SEFKVHRGTPDATLNNCTPDLDFKTEKTGDSAAWLDMYKSKYPVAAARQEHSQDWRVA AQVGVIIYNPDEPRTGWMQSSCHQFNPHNLDWGWTDFHGPWDKIHIRQSGQRQPLLRN DTLAFDAYIRIIDDPTHSLWWHRSVTEHTWDSLGLTGYRPLGDSGINHSAEVAGLASW LQIAPFCNIIQSVDVLEHLTNCEVKPKPLCDALQKVLWKLRSRSEPLQYVETDVVTAT LRNLNEYSGDVTEFWERLRRGLELELAGTKAGEEFAKLFDSPSVAQLPGALEPVNTLP QDYNSRISVPADEAKTTGEALTKYLSTKPGRWALPPVLNIELNRHKLDKATKQWRLLY NRVEPDEELDLTEQVPEGQCGRYVLYGYIVHRGRRTSSKFFSILRPGGPGTKWFAFDD GSDKRVECLTRKTAFGQHLGLDASKKPDQKTGHDVAVVMMYIRSDVVSEFLPGPQGPC DAPEVMREYYEKGEDPFNKAVDGKPVESDLQVEVYSLPNYNEMGSLFDTYDLMSRAKS SNNVMYLSVPRSSTFVDLRKKVSLWKSSGGDTVSSELVRLWQIGHTLDRHGPTLAFSR VSNLNDALDLPAKVARFWMQIVSDEEAKYFAMPDPPKTIASVQKPQPTGAVAEQRSSE SIHEVDDSADPALPGQQSEIASNDGQPSAPTPPSSAEGNPGSDAAFARRIASEIRQID RITAAEAQQQPTPFAEPVAELTTQIRTQEASEHAESQVQLPVPHVYYFLQLFNADTQT LRTIGTFFSRAEENIKSALHTHLPHPPKDILIWQRTDTTTLLSLSASETFTPATTPDG TCFIVSERLSTQKRTSLHAAGNFSTPDPLLTYLWATARKHPTHSFTGTTTLPVTFTSD YYTGALHKGHYHGHGTHITPLGNIYTGTFIFSRRHGHGTMEYPSGDTYEGDWANDVCH GQGTYIEAKTGNKYVGGYKDGKRSGKGISYWEVVDEEMDLCQICYGGEKDACFFDCGH VCACVGCARMVDLCPICRRRIVNVVKIYRT ACHE_51121A MASNPDAAPSSATNAVLVASEPVPEGTHQVRGVDFDRFKGRDIT AAELVDNMIHTGFQGSGVAEAARIINDMRAYQHPETGEKTTIFLGYTSNLISSGLRDT IRYLVRNRHVSAIVTTAGGVEEDLIKCLAPTYLGSFTTPGAGLRAKGLNRIGNLLVPN SNYCSFEDWVVPILDRMLEEQEAAKRKALETGEEEDELHWTPSKVIERLGREINHEDS VLYWAARNNIPVFCPALTDGSLGDMLYFHTFKSSPKRLRIDIIDDVRRINTMAVRAAH AGMIILGGGVIKHHIANACLMRNGAEHAVYINTAQEFDGSDAGARPDEAVSWGKIKAD ANSIKVHAEATVVFPLIVAASFARATPSSNETAESH ACHE_51122S MASRYPPLSGFNSRDRSPQRFGDRRPPSGPRGSDDAIPPPLGRE PPRGPKALIDHPPRSHYAGRGRGYGRGGDFRDRDRDPRDRDRDRDRDFRDLRDGPPPF RRDLDRDWSGRRDRDFDHRDSRGGFGRGRSRSPPPRDFRDMREPLVRDPDLVRMRRGS RDSILSASSIGPPDGPPAIGHHPRSGPIRGRGRGDWEGGRGRGGRPPFLDDRDSFRRR SRSRDGWWDRDRERDRERDRDRDRERMLEIRDRERDRERDRERDRDIRDRERDREILE RRAERFERREEPERRLDRDDRDRDRERDRDLLDRRDRERDREILERRAERFERRDEPE RRLERDDRDRDRPAPDHWKRDRPPSRADTRPPPPSTATPTSASHPSLPPPPPAAATVP PAPERPMDHIPPDLPRRPSVPEPRRNIDRPELLPPRPEPVKDLPAPAKRSPPPSAPQV PAFGSVTVPIPGPPEKPLADTAPAGAPPAVRAEERNEPPSLRPPVQPPTGPKAERAGP QPPSPLEQRIRRDDGPEPVGRIDSVSRAPKPPSGAPGAGPRPAADLSPPTAPAAMAKP PVHQEPPLVTRPGPAPTSPVFERRQPPPVARAASPTSPRMQYGIPTGPRAFQQRPPPS PARGPPKGSKQWVRPGYSHPPFGPNSIALPKRGSFDGKERALSFSEEPKREPPPPPSP PQYDSDQELEAGEIAPTKEPMELEQPPAPAERPERLERPERSERPERPKPVREPTPPP PFPPAPKPPVEQPAVEEKKKPDESPPEDTVIPDFGAESDEDEDENVVFTQDYLEERKR IFEKDMQVLRAEMPRPPLEDPTIVSLLMRIQLLGMIAHDVPEPVREPEPAREPAQKPA PVPEPEAEPGPEPQPGPAKEPEKEPEQLPELESEPAQPMEIDETHETDKGVDETVEIP KEEPIAPAKVKPDGEPPAKVVLESLPDAKTITVESLPFLNAGPPTPFSELDVYKENAA NFETIRETLRQELKKQRMEIARKNAVLREEYLSIYKPWRMEIWEMDNEKGKNSLTPGQ TPPPPPPALPLPTTTAEARRYKGNSELDFQNALRASEISAQEELERRRGNKATARPDP NKEAVIPNMLEPPEVKAGVYKDTNNIVPVSNAMEVFGFFPPSNDFTPLEHEQFTNAFM AHPKKWGKIAAELPGRDYQQCIIHYYLTKEEIKYKAKLNKRWSRRGRAKRSARPKSNA LMVDLGVVKPDYEGEEEPAPVTDTGRPRRAAAPTFGDTGVDVEHATNGRRGAAKDTGE QPEKPARRGRTGPGSRGGRRGKAAQQHQQQQQPSQPPTPQEQPAGSISIPVPSAIPRI PKRETAEPVLDSALEAVAPRPKEAREKEQQEIPAPPRGKAGRIRQKDGVYVFESNEPE PPAHFKTPDVGGYGSMQPTSYWSVPEQRDFPLLLAHFGKDWEGISSFMKTKTTVMVKN YFQRRVDGGHKEFEDIAGEAEHKKARGEPMGPLPTPSGPTKRRYEATPSSIVPRPLAP HTDPLESDKKPVGLSSQAVPLHTRAPSEKERNMPRFPPLAQANAAPMTTTALLNEDPR TARVPSGMPRLPGPKMGLFTEDRREPPATVLPQTVPRFQDAHIPTRQTPLSMPEMARM EPLHQSGYRDVHGSPLLAPQGPAPPPPQPSFMQSQPPPLVSHSRHPSLGKAPGSPVPP PLQRLDHDISPTRRDSVSQRPLYPLPSQPASMSQTAPVLSPPKEFSRPSSTLPEPPEG PPKQVPAKRSNIMSILNDEPEEPPPPKKRYTSEQASTVPSSAVSPSRPVYAGMPPLSQ PGSQSRHEEQKTPTYAQQAPSLSSSRSYFDYHQPYQSVSASSGTPSSNDWMARFDPRN QQQQAPPPQSMSSRPASMAPQPPYSPYTSGQSQQAPSLTNLTAPSPVPSPAPGQRPTY QTSVYAQSPSIPSSRELPSQPVYRQSMGSPPPRNSGMAYSSRQGPPTPIQSSASLLNM RQQSTAPYGSTATTPTLSQPGGHQSYQQHVQTMVNGAHQQQAHRSALGLTGSQYGHST PPPQATTSRSAAGQPPSLSMGRSYTPPAVLHPNPSGGLSYASSGPSPAVGPVHPLHAR HSGMSEATPGPPGGSHHHRVYSQGSNAGPLPGPLTPQHQHPR ACHE_51123S MPSYKTLFVGGCPTTKSQLFSPQQGNKCSPIHTDNTLEKDIRRD HWTIVFSVERISGERVLGWLRRFILALGPWLGMDMDMDMGKGKGNGHSRFIFIIGFLG RVTVGGSGRGHESSL ACHE_51124A MLENDEGTENAAIEDLLRRLYTAKQPLIIVDGFAPRYGIREEAD ELARLIRFPTSTTPFGKGIVNETYPNFHGVYASAAGKQVYMPWVNSCDLIVRIAPLNA DTNTYGYKTLTNRNVTIDIGQNSVEICGAYRDLNNKSVSPQVTVPSGYLQTTTPRLIA GSRKHAANSRQTARPRQMLPSIRIPPGCGSRTSSAPATPS ACHE_51125A MLNYENDSKQVRSIYGVSGDFSLVSLDYIEPAGLNWVGNANELN AGYAADGYARIKGITALITAFGVGELSTLDAVGGAFAERAPVVHIAGVPSAALQKARL IMHHSFGDGDFRRYARVYEEFTCVQVNLDDAGAAAEMVDEMLREFLLQRRPVYIELPT DLV ACHE_51126S MATHAAAGVEPISLVQDVLDNAYLVVQRVSGEQTVEEIGMCKAM EDLDILKVKGVEETMTLAGNGFIGNFYPRLSELLL ACHE_51127S MARHLVCIGAGFVGGPFGAMIAYQCPDTLVTIVDVDQRRIDAWN SDSLPMYEPGLEDILASIRQRPTQIAQSESGEDMSNLRFSTDIEQAINDADIIVLCID TPTKSFGIGKGVAPDLSNIQAAVRTIARVATSDKIVVEKSTVPCGTAELIRDLLQSGS PHQVRFEVLSNPEFLSEGTTITDLLHPSRVLIGCQQTPSGTKAAAALSAIYEAWVPRD RIKTMDCWSSELSKLAANAMLAQRLSSINSLSVICEAVGGDIDSLSMACGLDPRLGPA MLKSGLGWGGGCFEKDVLDLVYIARTLGLDAVADYWASVIEMNNEQKTRFLRRILSCM HGSVRGKSIAILGFAFKKNTSDTKKSPAIPVVRGLLAEGARISIYDPMVPSTTILADL APLSDRERAQLHIRETAYEACDNADAVAIVTEWDEFRMAETRKRSSTQSTLTDDEQDW TTQQPPTSRCLTPDSEENLFTASPVTRESVDWEHIVHRMQNPKFVFDGRNILPGERME ALGCRYVRVGRMSEWDAVRMSRYPFSG ACHE_51128S MAPYFTEKDKYRDPVQGEGDNHYSDTRQPGDSHHEHAVSSDNDS DSRGKVRSNGKRELTEDECYDKLGFSFPWYKKWAILSVIFTVQMSMNFNTSVYPNAVT PLSEKFDISEQAARVGQMIFLVAYAFGCELWAPWSEEFGRWPIMQLSLFLVNIWQIPC ALAPNFGTIVVCRFLGGLSSAGGSVTLGMTADMWEPDDQGFAVAYVVLSSVGGTTIGP LFGGMIQQWLPLEWNFWIQLIFGGVTQLAHLLFVSETRSTILIDREAKRRRKSGEDPN VYGPNELKENRLEAKEILRIWRRPFEMFIREPIVLFLSLLSGFSDALIFTCIESFTLV FALWNFDAVHTGLCFIAVIIGYIVAYLIFLPDIARQRWVRQRHGNASRLPERRLLLLL FIAPLETIGLFGFAWTSMGPDYTHWIAPLIFVFLIAIANYGIYMATIDYMVAAYGVYS ASATGGNGFARDLLAGLSAMYATPMYKNIGGKFHLQWASTILGCLAIFVTIPIYVFYW KGPQIRASSKFAQTLEADRQKHGGRRVSYESEKA ACHE_51129S FNGAHIPAGTTFFMNAWAADYDESHFQNAQQFLPERYLNLSEGS GTPHYGYGAGSRMCAGSHLANRELYVAYVRLISAFTMHPAKNPADRPILDAIECNAIP TALTTEPKPFKVGFKPRDTELVKKWIAESEEKTKDL ACHE_51130S MDEASDYHEDHLRPQKKRRKYIAKACNECKRRKIKCNGQTPCQR CGRQRVDCIYADNPRTLGDTLYVRLAARKPCSWNGCELKKQ ACHE_51131S MTAMQEQIALLSSAVYSLTNATGIPRPDTTTQTQPQPQTWTRRL SSAKEALTFQGPTTSAFSFDLAKSSLQQRGIVGVVDGDDEDADGDLTQEPSPMPSPPS PTRDSVDPLWTIGKSEALRLCRVYEEEMGIMYPVLEVEELLGQVNVLYGDGGLEGGGL EEEDVHVLRIVLACALAAEASGGSELAVRLFESVRVVADDCVWGAPDINRIIFLTLVS IFYFQMDEETLAWRTIGIVERMCLETGLHRRETLDQPSLVASAGSKDRLSRLFWSVYI LDLRWSFGTGMPFSLEESDIDPWLPEPEEKNFPYLRVMIRYSRIAAKVWKLIAAFNNT DEIKKDEMNYLDWQVLRWAETMPECLRLNGHQDEPRSLRRLRSVLYLRANQLRMLIHR PVLHTAAHIRRYPAESATVVDLAKDTIRFIARLHETSDIYSRQQVTFNWFLVSALAVL FLAAAQAPGQFNRGCKEEFYMALELVKGFSTQSYISQRLWKSIKSLRKLGPQLGLSHE HQQQQQQHQGDNTLDDVPASSTVHTTPLDGTQMTQELMEWFETVGNLDTLMAMGIPGT NTGVEDGLAMLDYGGELSSVMKDCF ACHE_51132A MESRLLARTNKITNTSGLSPTHLQANLLILPTAHAQSFHDLCRR NPVTCPLLATTPAGNPHTLQPSKIIQSTDFDIRTDCPAYRVYKHGKCIAQRRDILDLW NDDYVGFLIGCSFSFEGALGRAGLKPRHQETGTVVPMYRTNLPLLPAGVFTDATCIVS MRPYRPEDVEKVREVTRPYLSTHGEPVAWGWEGMKRLGIEDIQRPDFGEGPFIREGEV PVFWGCGVTPQIAVESAGDKIDGLVFAHEPGNMLITDLTDEDACIL ACHE_51133S MDTLPEKEIQPVTESQDDHHKEELESVTLDPEKEKKLLLKLDLA FVPIIMLTYLSCFLDRSSIGNVQVAGMPEDINASPSQFSTAVSIFYVTYVLLESVWAV LMKRLTPRNILTGLCIVWSICTIFTGFIQNVASLYAMRLILGACEAGLFPCLNLYLTM VYRREEQAKRVSYLMSCAAISGAVGGLLAYGLLQMDGIGGKAGWRWVYIIEGLFSAFC AILIWFGLPNDPANAYFLNNEEKWMMRVRNEQRRHYMGSEEFSWAEMRIALCDPKLMF SSVTQFCQDILLYGFSTFLPGILQSIGYDSLMSNVLTVPVYIWAAIVFIAIAIMADRF SVFAWFILGSNVFGIVGYIVLLAVSNDAVRYFATFLCGVATYTSVGLNIAWLNVNVAP HYRRALAIGIQQTVGNCAGIVAGQIYRSSPYVLGNSFSLGALVVAQGVVSAHAMYVRA KNKEKSEIEQGKEDTRRVTTGDGELEFRYHY ACHE_51134S MSLSERTDSTASHFRDSLSSILAKARHEEIWGINLQNGERHSVK IILDKFLSSHAAIPALQFSRASASLRKALEWRRYSEPRALLSDVECLLPKLDLCHITL CHGQYVLWVEIDDRAIKAHSRTYQNLATPAGIVKLGLALMEKLATLLLAESCDPSYDS ASCVVHFRTRTIPATRKALLVQTFQSKLCRALEELVTLIRFYYPGILGKAYIINPSDE YLTSLDIPESLLRNTVLLQNPQDLADYLGSQIPPEYGGTGKPLTESDFLLNSNFHITS EESLDSKTQSDSTAVSTIIRTPEAEKDIPTSPRNPEPMEEEPRLIYSETIGPPSIILD PDDLQTAVNLCPGKMGASLAFPDSDMIVKYGHGVRLAEAEALHLVSTCTTIAAPKLLS AYILDGTGYIIMSYEQGEHLEHYWDRVSEGKQNRILEQLRDYVNQMRDITGNFIGGLD ESPCRDGIFEAGYGDYTRYSYGPYPSEETFNEGIVQALRDRLSPKTLAGENDTESNFF NSEYILYQTVRGLKGHKIVFTHGDLHPGNLIVKDDGTVVLLDWGLAGFWPEYWEFYRA MFSPGWRASWDRMVERFIPPYYVEYSVIKRVFGTVWN ACHE_51135S MDFDGVQAYTLTEVQQRTSNNLNIRDMCLKHLTETQSIEPASTW NSPLSLPVVVAITWSSKATDPRDSIYGLLGIARHDPGLDVIADYKWPAEELFIEFARR FMEGSPKEPIQEWKSGICKYFDSLEGLPYLQRPFTSAIQPELPSWVADFNSPLVMNRL WSPDFSAGTMNGPPKIIPSSNPLMLHLKGICCDYAVHVEPRVFEPDQYGVSIRNWIGM MKRMSPAYVATGEARIEAFWRTLMTNRQHHSSQKPGDETKKSFKIMVGTLMALVLDGP GSKDDPGLRNDYSELQVSDISESLPSFDEIKEISDEVIKSNPESPESEKLIDLSFMRT ETSKLACSMRQYYTARTLFTTKYGYLDLAPLTICPGDEVWIIPGARVPFILRKLPNDD SERRTVVCEVYVHGIMHGEVVLDHHGEFSPAEVV ACHE_51136S MDDQRDARAIWLGHLLMQSFLARWLVRSDLPTDQLQNRLTNIEP RLPFSDLCRTLSLQFPLPGCGKTAREDLYLFLGTAYQAYPGNQISQIQQILEDYLQQI PDPLASFVQEQGKPETDTELARYTSLLKEMGDIQGVVPQHAETMLQQTPPVWRGTASY RGVSAVGEGKTKKEARHRASKEVYLLLQR ACHE_51137S MSDKEILVQAIPASDAQDAEKSQQFTFHEHSTGFWQALRLNWPA FLWGLFINFATVLKGIDGGVVRGLVGLNAFKHTYGYNYHGEYVIAAHWISAFNYANLL GAIVGALCSGPAYDRFGPRLMMTICSLLSIAFIFLQFFSHTPAQLFVGQLINGCIIAF YPICASAYVSEVTPLALRGCVASMTNLAFSIGSLIASGILKGTESMDSIWSYKIPIAT QWIMPVVILSTIAFCPDPPYWLCRKGKTDAAMRSLRRLATPQIDVSLKLAHIQETLRL EAGFKNHSNRPNYLECFRGPDLRRLIICVMAYDMQAFAGNVFFISYAVHFMEVAGLSS SDAFSMNLGMTAIGLVGTCVSWTLLSWVGRRPVYLVGCSVLCALQLAIGLVDAIAPQN HSGNKGATWAQCGLMIACTLVYDLTLGPFCYVLLAEVSSARLRGATVALSTVSCFIWS IVFAVAIPYAMDKDEANWGGKLGFLFSGTTLLCLVYCLFCLPETKGRTFEELDILFER KVPSRKFKNYQIDIAIERESTASSQS ACHE_51138S MLSKLILINRIVFLAFHHLFSRLRLKVTSLIHRITYRPVSSPRD IVVIGASFAGYHAAYCLAHSLPSGFRVTVIEKNSHFQLTWVLPRFCAVQGHESKAFIP YGPYLAKAPGSAYRWVCDEVTAIVSDKKGNGGRVQLRSGGMIVYEYLVLATGSSATLP SRVGEESKEDGILALREEHQRLREGRNVVVIGGGPAGIELAADVKTEDPEKNVTLIHS HGTVLNSHFGMDMRQRVLNEMETLGVRVILGERPSASDETTELTLSTGEKIPCDCLVK CIGQKPNSNLLSSSVSPSGHIQVRPSLQLADPSLGSVYAAGDIIDRDIIKNGRAAIEQ AQIVAQNIVRQIQGKQLVTYQAQWWEGATKLTMGLKKNLVYMNDGKTDMIFSMRSKRE DLDSAMVWRFFGAKPYEDPE ACHE_51139S MVYHSSRARHIPWAPESQEVTSCGIPIAYNASKEWADKKVVLFS VPGAFTPTCSVEHLPGYLKRLSEVKGKGVDVVAVVASNDPFVMSAWGKANGVKEDMLF LSDPDARFAQQLGWANNGRTGRWAMVIDHGKVVYADIEKEKGVKVSGVDAVLAHL ACHE_51140S MAPKVLIVLSSHDHFPANGKPTGWYLPEFAHPYHVLKDKTELVI ASPKGGEAPLDPSSVEMFKNDPVSADFLQNGQELWKNTVKLADVLPRVNEFDALFYVG GHGPMYDLHYDPTSLALIQSFSEAKKPVSAVCHGPTVFLRATSASGQPLLANASVTGF SNAEEDQAGLTSLMPYMLEDELVRVTGGQYVKADQPWGEKVVVSKTGNGAVLITGQNP ASATGVGQEILKALGIN ACHE_51141A MIFSSGHPRLNIPTAHSIWDFLFDSEYSCLRRTPAAELAGFTNS VTKERVRYDALKDYTTYVSTTLVKKYGLQPGETVALFSPNTIWYPVAMLGTIRAGGII SGASPAYNIEEMTYALKTAQAKILMTVPSSMSVAIPAAQNAGIPRERIFLLEGDHEGY TTMQQLLEMGKSYDSDQTPAFKIPQGKTNRDVCAFLSFSSGTTGLPKAVMIAHCNVIA QCMQVQQVTPPEYKRALAVLPLFHITGLVHQMHLPVLLNIEVIMIPSFTMDTMLKAVS EYKISDLLLVPPLVIRLVHDTTTSKYDLSHITRFTSGAAPISGELLQKLEVKFPQTGF KQGYGMTESCSCITTHPPDKQSYKYAARVGTIVANTDVKIIDVETGKELSYNEQGEIL ARGPQITMGYLNNEKATRETFDSDGWLHTGDVGYIDYDGFITITDRIKEMIKVKGIGV SPAELEDLLLGHHAVADAAVTSIPDAYSGERPKAFVVAKETGLDEAALVKLGRQLIKY VHDNKVRHKAIAEVEFVKEIPKSASGKILRRLLKNKPASGLVVREDIRAKL ACHE_51142A MFNEKTNGSVETSLSSTTKGRFHNALRRVRIISIHVEPQFKQVL EYIHPVIFRPPAKMESLPSVL ACHE_51143S MHVGTVVSLLACLGLSSAQVITRDVTVIGGGSAGTYAAVNLRER NRTVAVIEKSGRLGGHTDTYFDAATGTHVDFGVLFYENLTVVHDYFSHFGIPLTTVPP GGGEAQRIDLRYGTPVDASQGNVTDALSRYAAQLLQYPYLAVGFDLPDPVPEDLTLPF GEFVQKHDLGAVVDVIFSYGQGVGDLLQLPTIYVMKYISLEVLDAMQNGFLQTTNHNN GALYVGAAEQLAADIFFNSTVVSMDRDSDPEWVHIEIKTPDGRQNIRTKQVISAIPPT LDNLDGYDLDEVEQGLFGLFSSSSYYTALARIDGLPAEVTAINRANDTEYNLPPLPGV YVVTPTAVPGLYSILYGSEDFISEHNVKDAMSQAVQHLNGSNPEYMAYEAHAPFELRV SPDDIANGFYQDLNALQGRRRTYYTGAAFDAHDSSRIWRFTETLLQRMQVKQ ACHE_51144S MKATTLLAIFGLFGASTTAIPTVNLPRQNGNGHPLSWEFFLFQN TNCTGPQDTFSGNGTTACRNDIQHGSALGFIKEDISPGCVIELFRAKNCTQSVRNVTS RTESTCQVLPGSVSSFDVKCARPRFVVPRG ACHE_51145A MIFSSQSQKTNSTMKISAAIATAALAAGATAFDKYQPWGKRDYS CVNVYQGVPDNSTVAPGTIIDIRFNRAPTTNCEDPLSQYPGDYYSVWLYNNPVRNLDT INFDHQVKLTDGFSEKDGKVSITIPEDLPAVKDDSVWYLRLGGSLSTAPQMPTIYNAA GPFTIQKQQ ACHE_51146A MALDIIIVGAGISGLCTAIALRRAGHRVQIFEKSRFATETGAAV SLAPNGVLVLEHLGFDFARAQACRHKAWEVMDGLTLKRITLQCLESVASITGSDYHTV HRVDLHKELLRLALDTRTDNSSTPPVKIQYDSPIANVDALNGQVYLGDGSTHTADLVI AADGIHSTVRGCVVPSDSNTSNKAIETGMSAFRFLLSTETLMARPEIRDALRMKTGDS ALLADTSRVDSVQHMIWYGCRGEQVQNFVGIHLTRQPEKSSGDAKSDMLQEFGHFNLI VPELINLADDVKCWPLLIHQPLKTWIYHKVLLIGDAAHAMLPFGGQGANQAIEDAGAI SILFQDLHSVGDLPARLALFEKVRTKRASRIQVLSMVKVGKEREVEEEVMKYMEDGLD VPNTFASRLVHDSGFNVLERCAKELEVARWTNHAL ACHE_51147S MTGSPPASPTAASPRFSAMMRTPRSSSRLSMSSKQGPGSRASDE DSKTAVKVAVRVRPPLKPTDPGYELIPQRFQRSMVHVTAPTSVAVDVPQGRKLFVFDR VFAETVDQEGIWDYLSDSVNSFLQGYNVSLLAYGQSGAGKSYTMGTSGPNEQNNTEAM GVIPRAAQMLFEKLDGPPKHNRNSSTGLRTPSRYSMSSTSSFGKANNPDKNWQLKATY VEIYNETLRDLLVPETPGNERPPVTIREDAKGRIILAGLHQVNINSFDDLIGALNFGS SIRQTDSTAINAKSSRSHAVFSLNLVQRNKQSSPSIGSPSAKDKRMSAPIEFGNSDPS VTVDSKLHFVDLAGSERLKNTGASGERAREGISINAGLAALGKVISQLSSRQSGSHVS YRDSKLTRLLQDSLGGNAYTYMIACVTPAEFHLSETLNTIQYAQRARAIQSKPRIQQV TDESDKQAVIDRLKAEVAFLQQQLQNAEESDRHMAPPRERSSERRNEKEMDLQNQLLD AQESYNALSQRHAKLISDIARDSERPSTSQDDTSDDASSVGKSSVERLKRSHSFAESV EQVVLEYEKTIQSLESSLSNTRSSLSVTESTLLERETKCTYVETVNTQLQARIQKLLD RESSTEAYLHELENKLDGQSSGEEQHAAIVSELRKEVSRAREGEAGCEEYISTLEERL AEADQDMELMQREVERLEHVVERQRSLGKLDSLLNELDHTKQQNGHHKRQSSHDDFET RALTMKSAQGMRKRATSLDVLNEATETTVPESDDEHGDSLAGSGPTLQDEMAKSANGS DELDTGAAVKPAQGATPDDQSRFVADKLDTVNQELFDLRMQHESTLTEYETLEAKYEE AIKALAKAQQDTADEARHSHLAPSDAHQDRPSSPITATTNSRPVSFLEDTRAPETESR TGTQHSFSRSLSSELSLAGESATSPEASNASEITKKEPVEGESNDIEEMRRLLSEHQE GVNLMSQKYAELQAEHEGVMNLVETLKSELQRSRSSSPPSTPGIKSPIIRRKTSQSLM STVDRAHRSLAALRNIAVEAFESRPDTMQNFDVHYDSAMHELHTRMDRIQSLESENQS VKKEMEIKSTIISGLTRERSSLQGGASSVDMGLVTQLRDQVVQQENLMADLKAGHDAR ELQLHSEIAQLKGLLKTQEEAARAQDAGAEEHDRRLGVLQRELTEWKGKHQNALDSLQ SSEQKLGSTLIELETALASVDALRAGRGGDAARETSDQKEATARDLDNDRVQQQGLVD SLTKIIEEHKATIATQLTTIASLEKSHSSAQDQLNQHAAATDGNGDEMSTYQSRIAGL EREIETHQSTMAGHQQELSSLQESHKRELSELEERIKAAAQAEYESQLAAKNAEHDEA MKALRTEMAESRDELAKLLNMVSDLLKSDVTKDNLSEQIQEVLAQKQHFSDKYAELMD TNEDLRKQVENSGGNNGRLEELTKKTAAQEAKVHELAILVATLEDTLHQRDEQVKKKD ALVEEITAEKNKSARLVEELEDQITNSFDQHNNRLSVIQQERDQALEDAKAKISVYEK DIETYKVRIEQLELQLKNGSGTDTSHDRSSSVNSNLRKSSSAASLPSPPPAIPLPPLP TIASQTNGNTGSISPPSSRHTSKELVNAQIVEDQEARIKTIEKHLYAEKQLTATLEEA LGDLEAQSNKVKSDCEAWKKKAFQVEDELSTLRKERNSQRMSLQAVEEERSARREAEA ARAQLEERMNALNKKKKKSTLNCF ACHE_51148A MAPNPDNSHVTVIATSSENEAVQNSDNFLSLKWAIGEKWFCRSN YFGTLLFNIGAFILPALYSTLVKIWVANIDTSLVVTTDVYTYIGTVAEVLNEGLPRAV WVTIADKDARSYESRLGLAHTLIIFQAILGTIMSLVFAGAAEALSATFVPHAVQEASF TYVRISAFSALSSALEIAVSSATRALDKPDVPLLISSVKVVVNIILDLMIISKFHIGG WTPDINMQAGIRLSCDMAAAVSGLLYFSISTSTGRSRGGRHSHSEPPSLQAFFILFKP GLITFVESAVRNTLYLWLVAGVVAMSPDYATAWGVFTTIRWGLVMVPVQALEATSLAF VGHYWGELKHVSNQERRSWKSLYVTTRPAILSVAIATAIEIPLFIFLATLGCKPFALF LSQSEVVAEITTHMWRTIDWCYILYAISTQLATILLATRPLWYLGQSLISNIFYVLPW AIVCQVVDLSPANAWTYHSLVFGGSLVFSFVEIFFINTLWAWRLLCGRLHTGSV ACHE_51149S MMSPTRRVSQTSKFTLSPHQSRTTPSGTTEMPRQPPNITIIHPD LGIGGAERLIIDVALALQSRGHKVTIYTSHRDTGHCFDEARDGTLDVRVRGNTIFPGS VAGRGHVLMAVLRQVHLTLSVLGELATAGPMTTTTDEKVDEVEEVFIIDQLAACVPIL KLLGEGFAHATRGKQRILFYCHFPDQLLARRDERNTLLRLAKAIYRYPFDWFEGWAMS AADRVVANSRFTRGVVGDVFGKDTLGDVSVVYPCVDTAEKEKKIGEDGVEGELWGGKK ILLSINRFERKKDMGLAIRAYHGLGEERRRRTRLVIAGGYDNRVQENVQYHKELDTLA TTLGLQTATSKTIISALSVPDSIDVLFLLSVPTAFRDTLLSQAKLLLYTPVNEHFGIV PVEAMHAGIPVLASNTGGPLETIVEGETGWLRDANKVDDWTDVMDKVLYGLDQESTQH MAVAGKTRVEEEFSLRAMGDRLEENIGEMLRSERRPFNGSQQLLVLLSLSGVLLSVLA FWTIKAM ACHE_51150S MPDIIDLISSTPPAPAGKSAPTSLAPATAAALVSSSPPFSANTT TNTRAPRPPPPPFTISDDIDIFSSPFENARDTIDNNPSKRRRLSDEDFNVPPSGQPRN RGLNTNARKSNPFEFSDEPGISSSIEPTIRSKSPNLNTSKNTNSFQFSDELGIPSSTG RKSPSKSPNPLAKSNPFQFSDDFDEFGLPPAPTPGSLVSPVITRGGERDKNEGLGTRS RWAIDSDSIVFTSSVGKPQGNGVVGSVQRENTITIDSDDDNGHDTGKSKDKGKGREQV DEIEDFSDQLFSQMSDMDELMEIAERPKPTAPFSNRTASLLATLEDKMGSASAGTKKI TARSRKGKTTAVEEQVDVDVDDVEPPQPKRRTTTKPITQDKEAKVRSREANKAARERE REAEKERKAKAREEKAKEKQLAADLAQVNKSKVDKKESTPEMIVDFDKSLEGTSVGNQ VVEFMSRLGVEYTFFEGPIRGLVKWRRKVQATYNETLGYWEPCPLRIEPEKHVLCLLT AQEFVDMATAPETSPTTLQNHVLSLKQTHQHCKPIYLLEGLTAFMRKNQNARNRAYQA EVRRQLDPTTTSSSRRKNQPELPQVSDDSIEDALLHLQIHHGALIHHTSATPESAEWL KTFTEHISTIPYRLELMRGNDSAFCMDVGQVKTGEDKRDTFVKMLQEVNRVTASMAYG VVERYPSVGDLVEGFKHGGVGLLEDVKKSANKNGALTDSRIGPAVSRRLYKVFMGLDP ASTEI ACHE_51151A MPGSNVQVVDKRDFDANRSLLRDGTDSGPDGEEEARSRLSQSSQ DSNDGLLNDVVEEIVERDRQKIAREVVRVASFAWGVISCLGAGSITAFSLYGPLLLTR LHYTQLRVNAVSIAAEISMYLPVPLFGYLCDRYSPSPLSLFAGIVFCAGYLLAAFTYQ SGPPPDAGGDGWPFWVMIVAFTAIGTATSCLYLAAVTTCAKNFGRGKHKGIMLAVPIA GFGLSGMWQSQVGAYLLYEPREDGSRGDVDVFRYFLFLALLLLCTGVVGTFGLRIVDE EEKYIDETVEELERSGILEQEGGFFQSRDEVQASYGTFASTDGADEERQSLTTSDEER EERRREKEREEEERKKKNWLLNYETRLFLKDQTMWWLALGFFLVTGPGEAYINNLGTI IQTLTPPSYPPNAPPPAGLPSTHVTTVALTSTIARLLTGSLTDFFAPKATHLFPTNQE DDASRPSTIPESLKENRPTLSRMTFLLPSAILLALGYLLLASPLPIAHPSLSHITTAL IGLGYGSSFSLVPIIISVVWGIENFGTNWGIVAMVPAAGAAVWGVVYSGAYQDAIDRS GEEEDGQCHGWRCFGFWAVGCTISVSVAVVVWGVAWRVWRRRGVSV ACHE_51152S MGINRKHTFNDDAGSAILDFVSVDKSLAPALMQEEGEKLSNPEY TEDLTRAVYSGSATIMDLMLQQNIDVNARDHRDGRTALSYAAELGYVEIAELLLKRGA AVNIRQYSLSKRVLGRGMNDLPWMISGRMPIHWAAVNRHSAVVELFLQYGANPNARNT PGRLVLQDSCVNDDPKSVRLLLQAGADVNARGYCSGWTALHEAATWNHIEVLQILLEF NPLLDVPAVHDPEGCSPLHIATRWGHIEIMKLLLLNGADPNIIMVEDITPLHLAAVGG WLNELDLLLDWGAAINVQDARLRETPLHKAARNLEFEVIEKLYLSGSFGLHSTEP ACHE_51153A MQEAGKDFVLNCMDSFASLEHDGQAQAILRPKIVRFLESDADDW REAMNHELDAFTLKPFPKDARIRRLKQEGNQRVHGPNLIKYARLYTTRRKGRDDVDVL LALFGTTH ACHE_51154A MPKLLSSILQFPTNVLSMSTPPPAEKKTVSDQRLVTPAAAAHHD CLARRHHHQQLRQGDNQLQDEQAPSPSRAESPSAYQWSLPTSSSSASALPLKKHPKHP PELERLTRPHRSRSPQLSERDSIFATNYLPSDSETQALPPLPSRDDDRTRPAAVSPSL VQQDAPSPVSVPDPTATSKVLPLRPRRGEAAHMEVDVDRSPYHSSQLRPPFLSPPVST HAALLSATGTGDRPQDSDNLDLVAELASSIPIPETLQSRSHELGTKSAPPPDDQWSID RPAVRSSAISSPSSGLLGTTQVPEERGDPRDSAWDGRDEVHNKVKRSSSRGRRGMVEH SIEANLANAEPASHVRSRKSSHYLGLFKENTTTSPDRKRNQDRGRKAEEPWMMSDEAA LEAPPGSGSRPSEKAGVRRSSKSLSHPSFVADAPVTIEPASRTDTHPQAQTDDLVKIQ YRALPRNLLEEIRNFHLTPGGARGSSFSRSIPTQYVEGGREYTREAVSGDEAASPDTL RAQRRGSSQFEDEEEEKEQISSALYFPHERVTVSDEVDPYKPYADDQDSLKHFEVSTP DAGPILLPGKSHDVLPGDQEVNHVDISLRSKNDSRILHGDLQDLQAPIEEEINDKALT TISERSHDYSTCESELASEDESGLSTHEESSVTEDDAAADITPTATPTQRNRYLRQGR KHGKAAPVGAVELKPYRHQVGGHTTVFRFSRRAVCKQLNNRENEFYERIERRHPEMLM FLPRYIGVLNVTFSKGSKRPKSRPEGQAEHTDNETAKDEKPAKNAAANDAQLPETEPQ RIFSHSQKQAPSVTPKVILENNRHIIPVDLFSHHQRPRTADATTLSSSHRFPAGNVLR GRPLSLKTSVEAQKPPETSTPKRPKISWGATTVNRKLQEQVLREVFSPPAIHHHRRHA RGRLTMSRTTSDSGRRRANLSEDHTASSRHIAPEPNEPQRSDAIEIATKSDEGPGLSS SASTALDATQNRLEQIRGEERQPRTSSLNRHRQVRRRHSGSGLQRRGSLDSKPGDLVF FDDDGYGGDQEDEIFAMEGDVPMASDRPAAKPSAPSAPEVPLLSGNVHHGPPAISEPP PKPPLPPQMKEDGRFYLPTNPKEAQTMKDERVQYFLLLEDLTAGMNKPCVLDLKMGTR QYGMEADEKKQKSQRRKCQTTTSQQLGVRLCGMQTWNVKKQDYTFEDKYFGRDLRSGR EFQDALTRFLYDGISHASVAKKIPIILDKLSKLENIIRKLKSYRLYASSLLILYDGEQ SPSDMISHTQGPQNRSENKRPDLQRNASDDGHNNLDVHLKIVDFANCVTGEDELPPTA RCPPQHPYDIDRGYLRGLRSLKTYFRRILKEVTHDDYLERGENEAIALGTRVTNHDDI SDRGWDEVMDNDPGEVSI ACHE_51155S MNRQLRLDTNNVNRRYSFLQTPLEMHAPGHQPSAAADPTQHQQR LQERQEQPQEPEQPTVSRQVPQQTPNIVNEKTQYLQNGPVASPYTSGSPPPPEQHPAN YAPYADEMIQPHSQASIQPQLPCAEAAQYMQDPTPRSPGPLPLKTDQTSSEQNPSTRP LAVAPDTNPLHSPQFPRFPPPTATSTTQVAVPEDVAAYHQPGQVKHPNQEIKGGTWSH SLCDCSNISTCCLGIFCPCILYGKTQYRLTLKSRKEDPTNLLGYSACNGSCTAMALLC GCQWLLATIQHKRTRKAYGIKGDIGSDCVRATCCTCCTLIQDETEIKKREENRAKIAA AQGATLLSPYTAPTPMSYPPPPR ACHE_51156S MGDAAGLTPRQIWAQPTSTTTTSYHPGCTPFLLPQHGYIHLNRT ATLTLTQNAVFEPLCTGEPSNVTNPSAVLDTRDPFYSSVTPQLYAIGCATVVSYLLVI ILLITPRTYYIGGPSGGANFLSRHGMIGGSYSGGSSTVGVGGRPWLQKVAAILVAISL TIASADSFKVAERQYVYGYSDAEALTAEVIDGNEIRIVRVISSTFLWLAQVQTLIRLF PRHKEKVMIKWAGFALIVLDTTFSILENFLVKTNTTRPRLYDDAIPALSYLFELSLNL LYAAWVIFYSLSKHRFAFFHPKMKNICLVALLSLCAVLIPVVFFVLDIAKPDVAGWGS YIRWVGSAAASVVVWEWVERIEALERDERKDGILGREIFDGDEMLEITPSEEVDWPRQ TYTGNDRGGGTGASSGWGGMMGLAHHPLRTRNNGPRRQPGPQSQTYALFAESRRRRAA RPTPPPVAVTPVSRADTNSAASTVYNVRYHPVSSPTPPVVMPYMEEEEETDGPKEQDI RQRNDHSDEALTAQQHQTVHTDPRWRSLLNQFKRRRASPPREVASAQAEHPEEKESDS SEDEGNEQQPSAPRRDNHFFPFHRKRHPASGRQAADASLPITVIPARNQGQSNWSPQL FNDSSLLEQGRHPVSGHSNLPVRVIPSQMGIAPPWSADGNANHYELRYDPEAAALVGS DDHLPGHISTNHTHDASQASEGAGSDGTVYQPTPQRPGQERGQSFGHFGTGQ ACHE_51157S MAAVNRNMFEATVTADMPGDASLMVLPLNLIDKIISYVDDIADL ARLCRTCRVLNYMSLPLLYRNLTLTSYDKIRYRGEEPEGVGSASPFSMGLNAVITRPY AKLVRSLTLRGEWREHELEEHARVGRVPDSSMMLNIAVRAAVDRMPDLESFSWELNTK MLETVYLGLAQLPRLTSLNVRFPSSRHPRPTIVIPPMPHLRRLKLTDIDPLCYPDDIS TLLWKCKKLKELNMHWSSRMRNAQEPSVMLHDYFRKCISAREPLAVRKIALQNLYALH SEEFNIAFDPNTVEDVTVLNNTGSDGPSFMNTFVDRSWPTNPPNSRLQIKCMRQDALS KRHAEFLANFTGLERLYFVNSPRDANDVTSPRVPSSSSPRPSSDYAYPHSNSPTVSSP NPSNLQLNIRDNYLNAIVTNHASTLRHLLLPSRWPLSASTLARLVHASPNLEQFAFAT ELSSMETLGLILPFLRKLSALRLLIPTENITSPPNGTPGTGTAPRTTNNANRFASART LQDLVELDDKILVEKMSVPLADKSIFSNLKVLGVGWKAWELREFYIAEDHQPPSQQRP HTEESPNHYASTPTLQTPTFENGTPNQDLGMNTTSPHSHLLPTTAHPQSGHPNSRQQS TTSILGKRPRDDDHQSPLTTSPTKTRHHQPLPHENFLAELDDNICLPLQTNPDAQRWR RRLRRVGWEVLKDWEIWGLDKQEL ACHE_51158A MRASVSVAWLSLLATSAFARSTRTYDSEMLTPVPTPPAVIRGMP AGELERVTVTITVGVSVWLGDSETCTGAPAGTVTDTVTNSITDTVTRTVFETGKVTVT DTVSVPAATVTDVSTKTVTIDQSSVPTSTVRTTVGGSTVTSTVGGPTVTTTVGGSTVT STVGGSTVTDASTVTITMGESTVTAPVMTFPGTTMVTSETIPGSVTTIPGPVTTVPAS TLTTFISQPASTVTLSGGISTLPGSTATVTVTRPGGTVTVVGSTAIVDTVTAPGSETT EMAPTTVTETTSGQEMTLTQSSVGTTTVSISVCSSLVSNPTYTPATQLPIDYTWGCPP GYLCRPPHTGDRAGCNAEAGVPADGYVCAPSDCIPAPPLIYNQSVAIDENGHHFNISK DYYNLDPGDFGLNYSIFRVSGSAASKRKRDTKSFWDLLVSRNAKRDISDIPGQCYNDC NEAALMPQSLGKTPELCESDSFTAELGLCKTCISNNADSDSDDQYSQRMLPTFAQYLN YCSGLATTSTSTTAGTTATSTTASTEATTTSTQTTESTAAISTWTGETTSTTPTTPVA GLSTTTVEVSRTESIRTPDESASSRGPVATGDVDSSSTTVSSSPSGTATPSASSTSSN AAVSTMGVPHKGLLSLLLALFATPFF ACHE_51159S MLFFLATVFTLLAVVVDCTVGACGSWQTQINISMCNWQGLRANV LRDTIYLDGGQLWLQQGFSDGCVNPINDGNLEGTIYYLNLSTPFNTSSDFMNVLKNTS VAGGAANNIAPNYVDGVMFANDDEFYLYGGRAHAKPSSEQPPDNEVLGYEAYQYGPYR SSWTPGWHEGYLSTNVTRYITNGAGVSAPSENLGFYFSGMRAPDWGYFSYGYPEPNIT ADTLITVDMSVMRDEKWYNHTLPSYVPGRANAELVWVPVSDSGVLVAIGGVVNPIEMW RNGLDKSQTAESKRVSPTFMDTVSVYDVKSQTWYLQNTTGDTPPQLTQFCSVLASAAD GSSHNIYIYGGYSGLVYDENASDDVYILSLPSFKWIKAYSGTNTHGRVGHRCIKVYPD QMLALGGMRIDSTHCLEDGVIVNFNLNSLRFEDYDPTQWGGATTTAPSSWTNTSLAGM FDKKYSKTIETFWPYKNGSSTAGRNSKSGGLATWAAAVIGVLCGLFGIALLAVGLWLC RRRRNQRRAVAVAATTESDTKEKPQSMYSGGPTSPGPGPVSESTGVETTQDSALTSVT PGTVESGGDEVYELHDSSPVELPTQFNVSRSTPSMSQQLGPVRDSHSPVSLHTRGESD SGHSYPPSHYRRPSSLSTAPSFSIDNVVTGRSSYFQESLDNENMQRPRHRSEISNASV SSDERG ACHE_51160S MADRYDEYAEYDPDLILTPEFQLLQNLVKNPNDSPEEAVQQVVE LTKAEALSGKWPENTIGGDFAWNISHLALDIATNTKPENQLNLLDFLKKLQKVAVMDP RTGEQLMHDHEKLWTELPCVGYYSSGLQDFSHFTQHTPEEMEKWENRNTFFARATATS KPLDDKSDSFDPLDFSLLAYFELNGAFEPEDVYETAVRTVCIWYIHAAEKLWYNCRMG RDHTNENTPDRKFDLNKWGFWKRELIAASGVYEEGGTQKLIKEAMECRLYGWLKIKVL L ACHE_51161S MGKGTDKLYITHSEWASEDAYSASAGAGVGKARKGDGQAAFRRL PFNFCSLSLQPYQHPVCTPSGTIFDLTNILPWIKERGTNPVDGTPLKSSDLIKLNIAK NESGEYVDPVTYKVLTDNTHIVALRNTGNVFAWDTVERLNIKGKLWRDLVTDEEFGRK DIITLQDPQNIEGRNLNSFHYMKTGETPVTEHDSAENVNTNALGSAAKIVKAKEAVAK ARAERAQQAGSAGSKALSKTGSAVGAKAASQKAQQPGKVTPYNAARYTTGLAAASLTS TGMTPHTSGELALLSDEEYMLKRGRVKHKGYARISTTSGDLNLELHTEYAPKAVWNFL KLAKKGYYKDVTFHRNIKGFMAQGGDPTGTGRGGESIWGKYFNDEFEGPLKHDSRGTV SMANKGKNTNSSQFFIAYRALPHLNNKHTIFAHIIDDPTPSSTTLNSLEMHPVNPTTN RPTPDVRIKDVTIFVDPFEEFLKEKQTQEAIDKGQTPRTAEEEEQDARREEDDRVTWT GKRVRGAGETTTDDSSGGGVGKYLKATLAERTGQGQEEDEIVEFVDEEPEPEPVRKKV KGTGGFGDFSSW ACHE_51162S MFSGSSSPPKDKTDSIPHSNSIDTPSSSLAVPAEDSTVSTKKFS PPTGFFSRRTSEDQSNPGGEKKRRSSTVTKAATFFSNAKQSLTLSTQREENSNGSPTS PNPLQKLGNMDAALSVPQGSGNNSAGESIPTARSSFRVGVTEDRNRKCRRTMEDTHAY LYNFLGTPAPSTRNDVNGTDQDVSPTAPTFNSTVETDNGYFAIFDGHAGTFAAEWCGK KLHHVLQDVMRKNPNAPVPELLDQTFTSVDQQLEKLPLKNSGCTAVIALLRWEDRVPN SHSATGTAALAPVAAAETTKSEGENAQSDPATVLPKLQEKASRQRVLYTANVGDARAI LCRNGKALRLSYDHKGSDENEGKRIASAGGLVLNNRVNGVLAVTRALGDAYLKDLVTG HPYTTETVIQPDADEFVILACDGLWDVCSDQEAVELIRNVTDAQEASKILVDHALARF STDNLSCMVIRFDSSRVKDVINQAVEPIGVDGDPATANQSVSEADKIVEGTRQSMANA GITDDSENAQKVNEEIIRRMSTDEPGPELSVNEHTDVPPETGEKKPETENKDGKDT ACHE_51163A MASSSSSSITKPFQKILDPTKIGTWNVVRRPPVENHSVIQGKAR EQNSNAFKTHQAKEGVRLRKALNALTHGKNIFVYHNIRTNQVVYSLTRYLEKNNVLRQ LFYHGKKTVPATLRKDMWVPYYSVHFADTKIGLRAYHLLREFSMQRQLSPPRDMITIS DRYLEQKRPRDPEQAKEFDEKYQNKIGWLMEKKDRARVVMDQKATSVADIAAVLAIQE EEVRNGFADGKRGYLTRSARRRRREARKKEEQYANEVAERVAGFEETLSNNVVDYRVE DTSENDPALQGEGVKVLWTDVHDARFAESWPERVRHGELDLTRDHVMPGQKPIYDVEV LANDAFKEKVKQA ACHE_51164S MSLTEHPSGGLGKDGTGSRREKPWLLPSNRRLRHLHGISVRNLV VTPPSSSSRGKGKTIDDEDIPNALQSPSKQILAHDANRSLHQSRSFTDLKSQETGENR GSTSHSERPPRRRDTLPWDDPNPHTRQVNLEDITKSRMADSWFSVHCEGVEEPVYVSE VIENATNPSFRSFDLNMCGPLVSRLDQLTLKLWAKPTTVAEYVLLVELQLHLQSLQFL GKSLDSFHQPLPSNSVLFHFSDGVYANLTDIPPALMASSAEYASSDSAALPTSSYDAL MRLANLDECIQDALAIREKLESQINSILQKNQQAIAMTSEASQAQDKLALTRNAVGTE RRNLRLATKRKEGLIASIKARKDAMERGRSTQDKARSHLPDAQLKLASSAKLLDQNTE DAKGQIRRISEDLLSIYPIEPIPDEPFTFTIAGLALPNSNFEDIDRDAVAAALGFTAH LVQLLSFYLSVPVPYPVKPYLSSSLIHDPVSASLPQRTYPLYPVNVQYRFEYGVFLLN KNIEILLNKQGLRAFDIRHTLPNLKYLLYVLTAGTSEIPARKAGGIRGLYPGRLSPSV SRRGSNNSSVYGEPVHPSKVLDPGTGKLNGDVKGRKKPLSPIAGYVRMSQVA ACHE_51165S MAPGSSASLYSQNGSHPPKSPSPPPSQHRRGYQACDPCRRRKVK CDLGSVDNPRPPPCVRCRRESKRCEFSATRRKRKPSEVDENVDGVLRRDKRMMVGDTA DDSSSRNVSPFPQVEQQQPTSFDAEPDRSPQKWSEGPSTTTASQRYPQVNAPSQPAAT ATATATARHLQDTRNHLCPPVYPIGERGTGASYGLEGGPPMMNRTAVELLSPAISNSH DALHLLSEAAGRTEDLNRQSMENRYAARPSASSFSSPISPMTQGGTPRSAGGSFSRTP RAGAMSMGNYYQPVSSGPVDGQMSGSRGQAEGAGTFQDPGFVDAVKAWSRLRFVRAGW LTVEEAMAYVAYYYEHLAPLSPIVVPDFSQPSTHRTLLTDEPVLAVTILTTASRHMKP KGDGSYTRSFYIHDRLWSYLRGMIERLFWGQEKFGGHGIGSGPRAFDFSSVSKMNQKG NLRSLGTVEALLLLTDWHPRNLHFPPGDDENALLDLDAQAHSRSEMENDGDPINRTAT SNAGEGRLAFQTWLEPAWRSDRMSWMLLSTAQALAFELGVFDQKSESKIANESPIEQT RKRRLRRLILVYVSQSSGRLGIPSMLPLPQWANDIQPTSPADANGCDTNVDRMQDSWI GISKIMYQANQLLFASNEQTSELIRSGRYRDQIDRFQPFFREWRHNIDTIKLAPAMRQ ILMIEYEYTRVYVNSLALQAVVDRWTTMSNEAAQNQKGLPTGNAWFGVLMELYRVNEQ YIQEVVDASRRILQIVLDGLVPGDHLKHTPVRTCFRILSGMIFILKTFTLGAKEDDVR VSLDLQDRVVEAMRTCVVDDVHLNHAISRLLELLTTSIRTRFLRFAPLDRAGDGEGSQ SQSQERPSAPISRHQSPSRSRREGSSNAWPSANLNFMDSSATTGPNTTMSSLHDPLAG IPAQPINSSNINVSFMPPPPSVYYNYYDAASGDLDDSNNVPSHSMHDNTNANANANAN AGALPDWFALPLDQFFNSSTTVVDQGLGGTGPMLGEFDMLEVLLNEQHDGNGDGVGVD SGGDGLGGTSHLMQS ACHE_51166S MDTHFMDLDSLSFNIATLIAGVFVLDYGADKFLDHTVIVGRRLG ISPTLIALLTAGAEYEELAVVIAAILQHRSPLALGNVMGSAISNILGAFSLGLLCHPG GMEFDGSAKIYSALLLSVTTLFVALAFFNQLSQVTGGFLIAIFALYVISIGYAIYKGV TEPPQMSDSDSDDQVPTSGEERPIGRGGWTSASECSPLLGGANPQQEGEDEKLPQPLY RHVFQLFFGLLALSLSGYIIAHSAGAIADSLQLSGTVFGLTVIAFATTLPEKLISVLS GSRGQGGIVVATTAGSNIFLLTLCVGVVAVAGVHVDEADTFALFDLVIVWMSALCFGA VVFLGPSWIAGLVLLAAYIVFLVLEFTVVH ACHE_51167A MASTDSALLSQTILSLTESKIRELEKQRNAYEARKTDILQNSKR SPDIHERIHLLLSAVDELQPELTQDPAVTNIRRYLQQAQYDSSIPKEKLEGFEKCLVE KLNMRSAKLAMADLYSRLLMEWVDPPVPSDKKANADVNVDIDESSPSIGELDLLADER QKQGLKKLCDTFEAAVFTPVDTNEGDIHAFLNKLFPGEKGAKSLGDLRKEIRKETTEQ WEQDEPFNVTTLSACIRGLAMEDLLSEERQVMLKSFLSNELALNEIADVLNFRYADLK NWGWHAGEGGIPVLPRPQWNGRYRIWMDEDVLQTIFVQYIGVKLCNRLKKVLRWFVET SDLWRWSTRPQMTEREGLRREYYLGSRRLSGGVTGQRRSEFINTYFLSRLPATQETLI ERGGAYDDDDDDDDGDDGDDDDSDDDDDETSPREDERNIKQKLLRKLATETLLHRQLY GGAAVVQSDLKWYGTCLSHSTIFAVMRFMGFSEDWVSFFKKYLESPLNMDQASENREQ KGPRTRRCGVPVAHASEKFLGELVLFFMDFAVNRETGMLLYRQHDDLWLCGEPAPCAH AWEVIQEYAQVTGLTFNHEKTGSVYLSNAKDPDIASRLPRGPVKFGFLKLDGESGDWV IDQTQVDAHVQQLQMQLKNCNNVAISWIRTWNSCIGRFFKSTFGLPANCFGGPHVDSI LASYEKMNKTLFMDTDAPTVTDHLRQMIRSRFEISNIDTIPDAFFFLPEKLGGLALRN PFIAILLIRDGIQFKPTKFLDDFKKHEFERYVSDQKDFNNESDRTLNYRLQDVNEAGK PPIISQSEQRTFMSFDEWSKFRESTSYDLCHCYKQLLGVPREHRVKLTQATEAALTHA RRVVDLGHLDEEEKWILQLYSEDLTRDFGGLSIVDKKFLPLGLLAMIREKRVKWQMVL ACHE_51168A MEPESLSQQIRPRFARKYGRSYHHFGQLSSASLGSRNLGKVRVD CRFRLSESQWGVLNQSTPAGVLYVDLNFDQPQDCRLKSATVLMTLDKEKPVSTATPLN RDTLQLTDYYGPKHLSGEPTTLPRRRVYQFMPEVNAMGCSGGGVGVNSEKTTNEVRRW MFTGQLMPGKAPNNAVAYRTLKWELTENDLDSQSFHNNVIRTGFAFEHDREAFRIRVE IQGKLQKRTHQLKESWKDKTRHFKFPPEADRDQGSAITLVDVRQTRQFQRNIDNLAMG LPHEMVRRNQLEVPVEVPNSMPSLFQELPSAFHPSDIQSPTSIKSGVSEHLEGPSAQD LISATRIIGSESQQTESSISSPPSSSTTLVNGQEGLTTTTSSPSAQSKAAATAASASD QPVEPDSRPPSLSPFLFILRMLEAMIVGLILRLEPASSRSLLDKSDVKQNVAPQGNQK GSR ACHE_51169S MPIGFLLSSDVESEPQPERTRNRGRDDDGATFEEHLDRLRGRHP RRSDRNSEVVLRGHQPQVNRTSTSRALYDRRHPASRSTEEKKESGHEPPVGGYQLVLS PQNAKDCTVHFEMDIEDDLEVQLEEFSRLKRLGRFGAAREMFQRELAERTAYSLPVLI EHADMLYDQGDYRGFSDLVLGYRRRLAKRDFNAIEQLLFELNEALAETLLGEDPEIRH RSYLGEARALFNVESNVDSIEVQILNRLLRIWALREGEQRKRRNIRHVVKSTYRPVSK ALIAQERFWELKDLIAEIANVSIDDAWLRMFASAYGDDSSLQEMIDDFTPGPYDESSY LALLDVLVALSGFPFSKQSFTDPDSLSATGHILQWARQVVSAIETKSPDLVKSRPYIR WILAEAEHSRRLEGDVIRQHFSRFPGVTVYRSLVPIYIPRACENPGWPEVHACPQYTR LVQIALRTARAMGDFQSEVLCLQELICRSSHPRELFEQLKQRQRQIEGNSIAYRQTCL SMYLLQDMGSSHSLEALLNEYHLINSPTQSLTRRNQDGFTQWCYIMLQRAFAIYAGKD KEELEDEASRLSRKLPYDVASLVNGTAIFRRPLRVSIPSSSDSGSDDDSDDDGDHEKE AEKSFPSRTFRRTKVRREPLKPEVDPRESRNSDLLPSKSQPLTDVKDIHDSNKVIGVD QMVQTENSGGKHNATPHIAIDQPSTEANADAGPVQDKQPHEVEEPFEDNPPKQAHVHE PSSCSE ACHE_51170S MLVLEAITLLAGLSTVSAVPMAQPRRRGFTLNQLIKPTTKGLAR TANLPGIYAGVYTKFGASVPADLKSAADNGTAVAKPEEDDKEYLTPVKIGDTTLNLDI DTGSADLWVFSNELSTTAQSGHSVYKPASNATKMEGYSWEISYGDGSGATGDVYKDTV TVGGVTAHGQAVEAAKKISRSFVADKNNDGLMGLAFSSLNTVKPHAQKTFFDTVKDDL DEPVFAVALKHQAAGSYDFGYIDKSKYTGSITYTEVDSTDGYWMFTAGGYGIGDGDTS STPLTGIADTGTTLLMLPEEVVDAYYKQVSGAEKSTTAGGYVVPCDAELPDFITVISS STGTGSSTGSSPSSSSTPGLGGSSGGGYGDGGLGSIFGGGFSSGIFSNKRSTSSSGSG SYKAVTPGKHIKMSAIDEEGSSCFGGIQSNSGMSFSIFGDVFLKSQYVVFDPEKPRLG FAPQA ACHE_51171A MATEFIGYNVLVTLKTPPNATVQGQVANVIGQRLILQNVTLSWT GQFLPTFSLDAPDIADLSLGPSKSSAPPAQYAPPPSETPKAPQTQPFVDPAILSFSKP PATQPGNIEPGGPVPPTVPSSQQVYPPQQQIRPGNVNLTAALSEPFSNLELDTGNRTT AAYSATQENELDGSAPIETRNRGGNKGKPRVDGGATSNTNPRSKGWRQTAFVEPAEPQ SRKEKAAQLNGRRRKKKGRQAYVDDPNGWATEDPTDIQEMGEFDFQSNLSKFDKRRVF EEIRNDDTTADEERLVSFNRKTPKPGTNGGKNLHWTENVLDSPQESEAGDTEQEVSDT KLSSGTYSGSRLGPTRKSSAILGQPLVPQQVNSLSRNQLSTSRTTSPMSGKNSISASQ VNVPGGSGASLRLTTTNRSCPTVNPLQAFEVEQIAVAELGLTEDMITENAGRCIAEAA VGLLPNDSAAPTMLVLAGNHKTGARAISAARHLRNRGHRVTACMLGLQLEGELLESCR KQLDVFKKVGGRVLRWEELSARLSSNDFEPDLVLDALFGMHIAFEDLRTDQQTTAFEM ISWANRSNVDMLSVDIPSGFSAASGEVTLLETSRLCINSKSVVCLAAPKTGVMNALLS GEGQSWNISVADIGIPQVVWRKYGTRRRHGIDFGNRWVVPLRYQPPVV ACHE_51172S MAEKATSNKEHPLVGVVLCLTSVLPEQRTELATIASQMGAIHKF DLTSDVTHLLVGETNTPKYKFVARERPDVKVLKPEWIQALRESWMQGGDTDLRTLEEQ YKLPTFSGLSICITGFEDMALRNYLEDTATAHGAEFRKDLTKSVTHLVSRNTEGQKYK FATQWNIKVVTMKWFNDSIERGMVLEETLYHPLLPAEQQGAGAWNRSIPTFKKTSSAE TVSNPRPRKLRRMASAKLEHQNENIWGDIVGTGFEGSDPKKPKTNQQSSGGSAPAKNR PVLQEAKSFASATTFADATEAQPGPRKQSPEAAANSHKGFLHDCYFFIFGFSSKQTSV LRQHLTFNGAQIVGSLSDFSHPDIPKTGHGLYIVVSHKTPRTQVPSTDDMAFECEVVT DMWLERCLDAKAFVSPESHVANTPVPGFPIPGFQGLKICSTGFVRIDLLHLSKLVNLI GASYNEYMTQSSSVLVCNDPRSVNQDKLRHASEWGVPAVSGDWLWDSIQASNKKPFEP YMVRKLFSQNDKGAEKRQNGSRSNNTSQGISPRAVQEIQCSSSEIAAVKENTPAISRS KTIAEKRKQPSDEVAQNALQEKQPNPQKRATESIPHSKSPSPQKDQSRPNTSTSPLKR QETDQSAASAFDLAVSGLLKQAQASSRSATDSRDQSDQPRARRRKPLLGRATSLNSTR TFERSAFSRASSIDTLNEDGCGSGAESVATDKNTTSRVNSHGEQSFTSLFSGTRLEFG AEGNPEGQEDENEEPPMTQLNYEDPDAVAMRQKFLQNAGKLVDTEPANQGLVVGEVRE LEDVGWGTGRRTRGAAKPADDLEY ACHE_51173S MHASDPKRTDQANHPQTADMINDVIQVTKERYEKCQQGGLRISR STGKDIDLRQLSQKIINAALSFKEIISTTAGFDPTHHAASAWAVISLGLTMTKNRFDL CDALFDSSEYLANVLARCAYIEKNFYSADSQEKAEIGHAIVRVYKVVLQYAAEVLTGH KPSVGRWILDTVTAVTKQRLLELQTSVKEEEQHLQQWIQLDGHLQHGKNAENILAQID EVSTSLRNLVQKFNLPIAEGAFYNSYNPYMDSLEALCLPGTRTELLCQVSEWAESSGS KGIFWLNGMAGTGKSTIARTVAQTFKDNGQLGASFFFKKGEADRGNARRFISTITKQL IAHDQQLASGVLKAIETDPDISEKSLRDQFDMLILQPLQALELDQTTSMVIVIDALDE CEHDNEIRIILELLPYLQYSTSIRLKVFLTSRPELPVQLGFKKVDNHQDLVLHQLPQP VIEHDIRLYLKDRLSKIKDDNDTLPPNWPAVTELRN ACHE_51174S MSLMGIIQEAVGMINTLQSSIGGHTDSEISKFLYDAKRFLLKNI HIIDIAPYQLYCSALIFSPTESIIRKAFEGERFRKIHILPQEESFWSAEIQTLEGHSG SVLSVAFSADGQTVASGSGDRTIKLWDVTIGEERRTLKGHSNCVWSVAFSPDWQTVAS GSRDNTIRLWDVTTGEERRTLKGHSGSVLFVAFPADGQTVASGSGDRTIKLWDVTTGE ERRTLKGHSNCVWSVAFSPDWQTVASGSGDQTIKLWDVTTGEERQTLKGHSKWVRSLA FSPDGRTVASRVSLSDDWVAFGSEKVLWLPSEYRTFSSSATRDDILALGYSDGRVFVV GFPTD ACHE_51175A MTDSLSAATCAIYVILAFPVLYLLFKHGRNGFLGWLFLFVFCGL RIISGGLGVKSSDSAAASIISNIGLSPLLLTTAGILHEARAYRIFGIDKKLEWAQTLF FHILVGAGVALTAAGSAKLQHHDQPIDKAEKIIKVGIVILAVCWGILVGWTALSFRAP RGDGPVVRAGTTMLGSIACCLVLIGVRVFYSLVAICTQAAYLNPRTGTLVIRVVLGFL PELLATLVYICVGIQTRGVAKLVRHGVAGYKPSAPYV ACHE_51176A MQSPRIQLDMHRPNSWVSHELTTLKTLGLVLKSSMSITRPDPKL DEGLHVSSSGVHHGGTIKVIVAGLPRTGTMSMKKALEELGYKNCFHLAEPLCQFTNLH LSARIVNTKNTALRRQKLARLLQGHEVTLEVPGSACLPDLLEMYPEAKVVLTERTSAA LWLRSWRGFGIDLRSDCFRWIGYWVPGVVSANDLYRGWMRLASERFGLAPEPSEALYH AHNNWVKSIVPQDRLLVFKCQDGWEPLCRFLGRQRPNLFPHGNEAGHLRYYKRVAMAL GIALWLIVLALSFLVVSL ACHE_51177A MFTLRDAIFLIELAFYCPIPPVIIFILLIHGGKKPYTWRPIIIP LFLLSGLRIASASLGLAAMNPDKSNLLTTATILDTIGLAPVLCLLIGILVRANAPLRK GLSFWIFLPLQLTVIAATVMTAYGGRDLYTTDEHQTKDLTLMRAGISIFIAIFGITVL LSVITLFKVQGGYGNERAAAVCAVLSIPFMSVRLAFSAGSLFSGEGSVLDPMAEDDTG IWLHLFMVVVMEYVVVLSATAVALGSRRVVLVEKKDDGFDKEIEVQTWI ACHE_51178S MLVLPLALLTLTVYALCRLVQQRRMFRNLPGPPHHAIWGHFLVM RDIASSLPPDATPQLFAHLMRERYGLGDFFYLDLWPLAPPQLVIVQPELAMQVVQKMN LPKESVVMRKWTGHILGEKSMVTANGHDWLVARKSFTPGFQPRKVLQHVPGIVDDVLD FCDVLRDHAVKQDVFGMENVCARMIFNISARAILGIKCNAQRNEDEFLELFRAQASLA PQDFWSRYLYDISPRRHYQKWKNGRALDRYVGRLVDDRIINSPIEKNMNYAIDDAISF NNRNRNMGTETRDMLIASVKTLIFAGHDTSASTLCYTYAALSKHPHILQRVREEHDIL FGSDPATAASTLREHPHLTNNLHYTLAVIKEALRLWPPTGVSLRAGQPTQTLKTPDGT QWPTYPFAVLVNNCATMRREDLFKDAERFYPERHLVMDPQDPYFVPKDAWRPFEKGPR ACLGQSLALLQLKIILILTLRTFDFETVYEDGSFMYQVLDVTAKPSQGLPTRVSLR ACHE_51179S MAPTILIVGATGNTGRSVTETLPKLLNTSNTLSGHRVIALTRSL NSPVAEQLARIPGVEVLEQNWVEVTADWLREHEVVRAFIAPHNEPHQFAEESTFHVAA LNAGVRYVVRISTTAANVRPDCVAYYPRTHWAIETLLSSPEFNGLQWTSLQPNVFTPF YLSTAVEFIKQYRKTGNQDTLRLMASEDAPVGIIDPDEVGVFAAHLLSQDDPSMHNKA KYVLNGPEDITGKQIVNMVEQQIGTQVENVSYKDMFFVDSFLELGSRGAQEPKNVILS IKYAAETAWEGECSASTTSKKVLEIAAPKRTPIDVLKTLLKE ACHE_51180A MSQGYFPGGSGSSAGEGSSRHAGEEERFDRPLAPRPPPSYMTVG NGSTSESASALMASLNNDSGYGGSISSGSVADGDGGAAWHGLMEDRPTYPGEWNPAVD NERQVVASHVHQLLYNQNRTKLGRAISRTIETLKELQDMNRQWPAHYPSVSTTPGSPS LQHSQTFLEGTPAEPVPGQLRRATTMPVSSEAESSAASERRPAPEPRLMSPQMAQEFS ILKLDLKLGALSQAELVHSLEKSSIASLLDGKVSQSIKHLLSLRDRIEDTSSKVLITG DLNAGKSTFCNALLRRKVLPEDQQPCTSIFCEVLDARENSGIEEVHAVHKDVPYNRND ERTYDVYPLCELENIVIDNDKYMQCKVYVKDVRTIDESLLNNGVVDIALIDAPGLNSD SLKTTAVFARQEEIDVVVFVVSAANHFTLSAKEFIMNAAHEKAYMFMVVNGFDQIRDK KRCERMILDQINKLSPRTHKEAAELVHFVSSNAIPVAPPPLVEQPGSGSGGGGGFDDP HDDDDDDDDSDKGKGKGKDKGKEKEKIQDFENLESSLRRFVLEKRSRSKLAPARTYLL NLLGDLNSLASVNRDVAESELKRVTDELAELVPAYENGKKRKLDIADQVEKCIEGSCE DVYNHTRSTLTNTIARVSEADLGVEYPGLFSVFQYAEDLKLAMLEQISGSVTECEDFA RGKTVQGVGFIQNIGLLHVGEDKFAPLNFRADMMFRRSRRHTFAKQIDTEVELWDFFD VASLWERQEKVAGTGMAMTAITVLTGRAFSGISWVDSVFSAVKFLGPQNVRRLFVPTL VAAAALTAAYVLSSIPTTLPPRLSRKLAASLHEMDYVHSNANRISTEVRRILRIPSGN LQTSLAQDVEDLGKRKNEVSKTKQESEVANKYFSNLFRDSGENRRFVEDIDLDAPLPG ALAAAHD ACHE_51181S MNIVEWAFGKRMTPAERLRKHQRALDRTQRELDRERIKLENQEK KLVQDIKKSAKNGQIGACKIQAKDLVRTRRYIQKFYQMRTQLQAISLRIQTVRSNEQM MQSMKGATMLLGSMNRQMNLPALQRIAMEFERENDVMDQRQDMMDDAIDEATGMEADE EEGEDIVKEVLDEIGVDLNQAVRIYPGLPLGYGVLTCLTQLGETPSDIQKAAVSESRV AQPVGAGASGGGGGGGGTTSDDDLQARLDSLRR ACHE_51182A MAHPLDNWRARRPSWANRLETNIHDLVQPGTAIATQYPHLHEAF AGRLDQAWIARDGEHVSRCDEPYGNAARADADAFQRHKNHENEIPYDNAQYRLRNMCR VLKARMTPYANIGGGTYGTVFLAREPNPNPEIPTEYKFYAIKVETHWDMENRATWNYK PTMTVLDELTRFPKLDSVYVDDKVHSIVMDACLDPDLLRMDPKEFQTKDPELKRVVAF TGMYLTHEKKPILNEEEVCKVASQILEGFAYLRHMNISYGDLSKANFLVDESLNTKLI DLGMTTFGFDDPDFQKDCWAHVVGQENILTPEIALELAKPEWKHQDREQIQVDIPIPY DARIAHLWRIGALTYEMLHGYAPWESPEYYHELGRVDWDRENATQWEEIYKRRDRIIN EELPIREDLSQDCVDMLRMTLHKQPMERATLEELCSVPWFGQWAYHDDGVWIRPESES YKQKRADKNLPRWNMTF ACHE_51183S MVAINQNARPHVPPVHLRPGEAPRIIHHSNPGLMNPTQLAAQLG QLNVGGRPSNGQHVKAPAAPHAHTNDVSAPTPLYEGYTFFKADAAPGQKSTWGRIERT KMSQSQNELSKMVQTRSKKLPAAEQYETLTKAKRTLVDQLIEQRARFDSHLGWECVYV KTEEKPVKGKNARRGELETVSMDVVIMGLPKPISNPNAPQKSVKIETPEETKERNLEK PVHPTAEKEPRQSNKIGDLKSKVQWVKPGNTRQQPPAMKIHIPQRNESPPPYPRPQPP PDPNPFPQPRPAEGHSAGRGQPMERPWPQAPAVQPVQQSFNVPPTHPNHGSGRGMLNG GAQAGFYPRRMEARGRPPFVRPGSGPAPETQHARARSGSEPKSVNGHTGSVQTPHDFP GKSKPPVDSEEDRPAGTPNLTERAKWPAESSETEDESILSGGDDEDDWSSVTEDLSDE SDLDSEDEELENNNRHKPQPWRGSLWRRHSSQKLNRRHPVYRIHCRKWPNSSSPNKDG DDSNPNRGRSPAGNTDCSMEEVVSTTRHTSDNNAEWRAATGQTPREITVPGTRGRPTI IHEPEANPGPLDSSGAQSPPDEEQLRMHNDIRTQILNDREARLERREKLVDFHAKMLA EKLEEARFMNQRMALREPFYYRPYSPPRRYPLPEY ACHE_51184S MPRQFFIGGNFKMNGVADSITSIVNNLNSAQLDPNAEVVISPPA PYLQLARQVANKNIGVAAQNVFDKPNGAFTGEISVEQLQDLKINWTLVGHSERRVLLK ESDEFIARKVKAAVDGGLGVIFCIGETLEEREANSTIDVVTRQLNAAAKELSKEQWAK VVIAYEPVWAIGTGKVATTEQAQEVHAAIRKWLGEAISAEAADNTRVIYGGSVSEKNC RDLAKENDVDGFLVGGASLKPAFVDIVNSRL ACHE_51185A MLDFMDYIQLAFAEGTNWNRDNSYSSLTATAQSLLDFTTPERLR VHLSSLSTPHFATSYTLGTVGLIDGSVSYLYSTLPFDNLSSRSALIPLRKLTPGYRQV QRPVAPTQNWAWNSILDGVGDFSPARDGGDDASTRQKATLLHATLHLPPPTTLNALFL RRISPTMQLALAVCSTRGPPLSKSAPQASLLTQLSHDTGKYSNEYLFSTDNALFGWRG LWNFGPDPRTASKDDTSPSQLSLLSAGAEAYYSPISSLIGMSTGLRFSTLPAPETACQ SSPSTSIQSSTNGTPISTFPYTLTLTLTPLTGSLSTSYSLRASPNLSFSSRFGFNVYS WESEMVAGCELWRKPSKSIPDPEDDGLEWARRKMRENNPAFPPVPSDANPAPLKELQK DEEEEEASDSVLKIRVDQSWNVRLLWEGRVKELLVSAGVGLGPSSFSPAYGPGGGAQS AGGGASGAASYWRSVGISVSYSS ACHE_51186S MPRSKRARVVHESRTAKKSHKEQTRRLYANIRECVENYEHLFVF SVDNMRNTYLKDVRTEFAEDGRLFFGKTKVMAVALGHNPETEAAANLHKLTPFLTGAV GLLFTSRDPDSVIGYFDTFRPADFARAGTEATRSFTIPNGLVYSRAGEIPTTDDQPVS HTIEPELRKLGVPTRLVKGKVMLELNEGEEGYVVCREGETLDSRQTTLLKMFGVAISE FRVGLKAQWRNNGEIKILEQGQGEMEVDGQ ACHE_51187A MTDKLPPPLLALFQPRPPLRYVTPINRAPDDVKKSTISGIAQYL PEIKKYEEEIPYTATESWIQRKWREKLQKKERVHKQVTEALQEFDPSKDPQARGDPFK TLFVARLSYDVKESDLEREFGRFGPIERIRIVKDNVSPKSKPHRGYAFIVYEREKDMK AAYKETDGIRIKDRRVLVDVERGRTVKGWKPRRFGGGLGGRGYTKALPSRPSGPGFGA PTGPGGGFRGGFGGRGFRGGYRSDRFGPRGGIGYQGGRNGFGGPPPNAPSGPGGGRSA GGYYGGGGRYDRGGTGSNREPIRPREGYSDRDRRDHDRDRESDRHRDRDRDYRYRDRE RERDRDRYGGREDYGRKRYHEDDPYDDPRSKRRY ACHE_51188S MPVQGIRTVAAARNGVGAFILPCKRMDFHYCDWAGSSRGMVSFL KSALPSFAKANPQIEIRVSPRPHKHPVIKGHYINGREKAICVRNLEPEQIFKKANLLK EASGEKLKRTKKPVTSINDSVRGIWSPYHGDIKTV ACHE_51189A MSEGVEILAARSRKRPHSRMSQTSSATPGQSPWPPSSMSSSSTS HRPLQLPTRYPGDGLDFRRPVTSNNEEVIDLTEEPDSPPQPRPQRQQESHTRHRHHTR LPRFGRNIMQDVVDLVEDDDDDDGDEDDDEVQEVGQGIPPSSPEVEFVSATTRTPVAP PRSEGHLWRMLQGNSLASFVMSSEAFRRPIPWASGLFGRQPHDVDSLFIGGTSGDLDI EYPVSTTTADRRPQADTYKAPSPAPEGFTRNAKEDGEVVVCPNCDQELGTGDEIKSQI WVVKKCGHVYCGECTRHRALSKAKKAPQRTKPFSKCRADDCEVPVSAPKSMFQVYL ACHE_51190A MLCAISGEAPQVPVVSPKSGSVFEKRLVEAYIGEHGKDPVNGEE LATEDLIDVKSQRVVRPRPPTLTSIPSLLSVFQEEWDALALETYTLRQTLAQTRQELS SALYQHDAAVRVIARLTQERDEARDALSKVTVGATRTASGGDEMQVDSAGLPEAVVAR VEETQAALSKTRRKRPVPENWATSEAISSYQPKESSDDLYPGGKALSVHSSGELALVG GTEGVVGVYSINDKRVAQTLQTNGPVTDALWAEDKAVIASATGSVKVFENGNEVASFN SHAGEATALALHATGNIVASVGADKSYVLYDLTTNSVLTQVFSDASLLSVNFHPDGHL IAAGGVDGKIKIFNTTSGTPAADYSSSGPIKGLFFSENGVFLAAVAENSTVVSIWDLR HSKETKVLDTGSKVDSIYWDYTGQFLLTGGPSGVTVQKFTKSTKEWSEPLRSAVPAAA VQWGPAAQSIVVLNVEGGITVLGP ACHE_51191S MSFNAKNLSYDSKDPPFLQRLKGHYGTTTGRLERPIARPRKQRQ DDEDDEPTYVDEESNEVISKEKYRALVQESKQKDDEHPEQEPVDKEQNAPGSVEKDIA DTGKDAPASKQNVAEIGGLKKRKQAKVVGEDNKEPEVGAAQREAPAAWKPKQKKKKIK LSFDEEE ACHE_51192S MPVVSVEDLVRLQRKPEDIRNICILAHVDHGKTSLTDSLIATNG IISPKLAGKIRYLDSRPDEQLRGITMESSAISLFFSMLRRPNPEAAPVPKEYLVNLID SPGHIDFSSEVSTASRLCDGAIVLVDAVEGVCSQTVTVLRQSWVEQLKPILVINKMDR LISELQMSPTEAYAHLSRLLEQVNAVIGSFYQGERMEEDLQWRERMEDRINASAAKSK KQAPEDALTENTEEAAEFKEMDDEDLYFAPEKNNVIFCSAVDGWAFTIRQFAAIYERK IGIKRSVLEKVLWGDYYLDPKTKRVLGQKHLKGRALKPMFVQLVLDSIWAAYEATTGG GKGKGDPTLLEKITKSLNITIPPYIMRSRDPRNIMTTLFSSWLPLATAVLVSVIEYLP SPPTAQAARLPSMVEESPGAASVDSKVKDAMVQFKTNRDEPVVAYVSKMVAIPESELK PSNKRSGGGMSADEAREIARKKREEIAKLQGEANTQQKDDFAYVQSSLELVTIDESEG QDDGAEDKNDPEHLVGFARLYSGTLSVGDSVYVLGPKFSPADPHANPVPQKVTITDLY LLMGRSLEPLKSVPAGVVFGIGGLAGHVLKTGTLCSQLEGSINLAGVSMATPPIVRVA LEPVNPADLGKMVTGLRLLEQSDPCAQYEVLSNGEHVILTAGELHLERCLKDLRERFA RCEIQTGQTIVPYRETIVHAPEMAPPKNPELGRGGAQAVSSSKQLTVRLRVVPLPEPV TEFFTKQAATIKRLQSQKHAAVGDRQAAEEASANGAEETGDVSHEAQAGDVMSLENFR KELTRIFDEEVKENKELWKDIVDRITAFGPRRIGPNILVDATAVNTCDKFLLDEPHTN ISPEDRQALIVRDFTDKIAYAFQLATSQGPLCQEPIQGTAVFLEELSTNTENGEELDL GRLTGEAIKLVRESISQGFLDWSPRVMLAMYSCEIQASTEVLGRVYGVITRRRGRILS ESMKEGTPFFTILSLLPVAESFGFAEEIRKRTSGAAQPQLIFAGFEALDEDPFWVPAT EEELEDLGELADRENVAKRYMDAVRSRKGLVVQGRKLIDAEKQKTLKK ACHE_51193A MAATFPSLAIPGQRLGSTISYAAGPGTHVHDAVIYASIAGPVIV DQAQANSKAKSLLTVSRSINRQTGATQSGTATVKPTASTIAPKPATTKPKLKYNTLPA VESVVLARVTRVQKRQVIVSILVVLDELSSSQVSDPSKTTSDNDNIESILSSAANPEN HSSSDELRFQALIRKEDVRAVEKDRVVMDEMFRVGDIVRGTIISLGDQSFYYITTARN DLGVVMARSEAGNMMFPVSWKEMRDPVTGLGELRKVARPF ACHE_51194A MSGIANACWSCLSTVDRWCHITACLGGSRSRDGIYETTLADNER EAVSDLLGYLENRAETDFFSGEPLRSLSTLVYSDNVDLQRSASLTFAEITERDVRDVD RDTLEPILFLLQSSDIEVQRAASAALGNLAVNADNKVLIVALGGLAPLIRQMMSQNVE VQCNAVGCITNLATHEENKAKIARSGALGPLIRLAKSKDMRVQRNATGALLNMTHSDD NRQQLVNAGAIPVLVQLLSSSDVDVQYYCTTALSNIAVDSSNRKKLAQTESRLVQSLV HLMMDSSTPKVQCQAALALRNLASDEKYQLEIVRSKGLAPLLRLLQSSYLPLILSAVA CIRNISIHPLNESPIIDAGFLKPLVDLLGSTDNEEIQCHAISTLRNLAASSDRNKELV LQAGAVQKCKDLVLRVSLSVQSEMTAAIAVLALSDELKPNLLNLGVFDVLIPLTASES IEVQGNSAAALGNLSSKVADYSIFVRDWADPNGGIHGYLHRFLASGDPTFQHIAIWTL LQLLESEDKRLVAYIAKSEDLVQMVREISDRNIESDVEDGEDGEGEVVALARRCLEFL GNGPKQTLVEG ACHE_51195S MSTSTASASAANLPSDSRSRPLRRLSQLRSFTSSSSSNNNNSNN NNNNSNGGASQRSSYRNSLTNRVPWLSSSSSHQHQSSTSAAAAPGSESATNTSPTNAS HSCPESDRQSMLARYSSVFSSNRGIDSDRRSQNSSSSRETPTNESGTNQQPQGAMARL RGLTQTGDSRGNNENTSNNNATTTTTTNDAPPSRPDNVDGAVESSDPAAAEDPSANSS QPKQKPTIRFFPYQDPLQSSRPSLTFIPISRTLPSESCVIRVGRYSERDGIPIANPSE PSDAPIGFKSKVVSRKHCELIFMNGQWHIKDVGSSSGTFLNHMRLSQPNMTSKLYTVK DGDIIQLGIDFRGGEEMIFRCVRIRIECNRSWQRQPNEFNKNTESLIKNLGKGNAADY SGCRECSICLGSVLRPYQCLFMAACAHVWHYKCVSRLIHTPDYPIFQCPNCRAYTDLS AEVDDTNDFVEEEDEEEQKNSSSEGQQTGEQQARSESQSPAPETNANSNPAQETPTPP ENTNSTETRADDLPAEAGLTVNIENMHLNDADTPIQSTSNANPPTPAPTSNPEGVPHS ASVDIPGPQSASPSPGTVGNRPAQLRQETLGRADMSDDNPLTPRNDSGPLAFDGWTGI P ACHE_51196S MRFYAFLVTLFLQLAVLGHAFQDTYNRPGRWSATDHKNHRAWLS NIARRVDESPEELHPVIKEFEDRIDTTPRLAMLFQSMFNEVPLHYTKQDNGAPQLRNY KHMLRVLNHLIRTAPSYSERKNRMSFVGLPINAVLDWPMGTPSGFAAFIDPEVNQMIQ KILNVWGAFLQSPDSAYVLGNEKLDWFGPTALEDLTRVANIGKTNYTFDEMFISDPSD PKHGYTSWDNFFTRLFHDGIRPVSAPDNDSVIVNSCESKPYNVQHNVKGHDAFWVKEQ PYSVLDMLGRDPMADRFVGGTIYQAFLSALSYHRWHAPISGKIVKSYIINGTYYSEPL FTGTTSPRDNHTYIDDVGIVTAETYLTSMATRAIIFIEADNPAIGTVAFVGVGMAEVS TCDITVKEGQHVRKGEQLGMFHYGGSTHCLLFENGVNVTGFPEKGGQWNVPVRGELAV VQ ACHE_51197S MRSTLSEPPAFIYGTHPHRLSTRKKPRRWPLVFRFIKGAIHGAI LLPVCLHAIFTAFVVWLDQYVFDTVGLPSSIIPSLSIVVGLMLVFRNQTSYNRFWDGR NSMNNLTTCIRNLVRIIITNSYNGGNSQIARPLTAAEKQDIERTIRILMAIPYAVKNH LRAEWGAAWATPSLGDDVDKSGTTVYNPVYAGLLPEGLQGHEDEGLGLPYQLAFFVDG FIKRGVDRGWFHAPGASQMQAQLNTLLDAYGKMETIRLTPIPVAYLIHTKQVLALFGC VLPFAMVDDMDWWAIPIVSLVIFTLYGIEGIGLQLEDPFGYDRNDIKMDAIVGDAKTE LDVVLDEWRRLVGSIEGIGSAGEEGFVPSELFLKRA ACHE_51198A MADWDLSDLAQELSDLEVALLICLVAHGHPLIETTEDGIDDVAK ELALICSNTFGFSCAVLDCSSATSAEDFYSEIAASDSRKGQIQPPKSRAESSSNFSVL QGSQGSRNRSRSLIRSELEVVNVVVAKNFNHVGQYIQLQALELIHSKKLMTAKADLNA PENFLFVPLVARDTDILQPGLNTHLNDHLFISHFHDPRDGYTYLEDGNDWLSDGQSIS SVVHKPASKQHAKVPQLLLYKFQREGDVVTVSAEVLRYQQDIIIFLRLSRAVAGGITT RSNNYFLRFSKLLAALHGLDYLTPSIAALAAKKVFRHRIIIAKPEDDRSLQYGSDLET VSQVLANVGPEEVLDSVLALEAPL ACHE_51199S MSSTKFQSSLYITHVTTATAILEIDGVNLLTDPVFSPGGTKFDV THLLPKDAPQSFLILDDDPALRLDQLPPIDGILLSHEDHDDNLDIEGRRLLDGRYVIT TIDGAKNLASRPGVAGIKPWETQVYHFRGIEFQITGTPCVHIPGGESTGFILHSTRFG RSPDGRPNAIWFSGDTIYIDELVKMRDSFHIAAAVMNLGAAHVTLPGQEPMQITMGGE DAARLVREIGIERLVPMHFESWHHFTQFGKDLKGIFEKEGIMDKVYWLEPGQKKKII ACHE_51200A MEANYLRHMSRERRGHKRQRLSSTAESERQASSQNFEISHENSR GSSSQVADNESTTSSRNLRRVASTNILTIEQRRQELELRDLEAEVKRKEEEVRLKQLQ NEQLELELIVMGRSLAI ACHE_51201S MKVKQRSVCQTCRTRKLGCDGKRPECSQCVLTGRRCDGYQSDWT FVLQNDHSSRLPSVSKQRAIRMMMPQGKREVNVPLSLSSLPRNRYQVTSAGVESSFVP GLVLNPTRPPWDDLLGLVVECYIPEDEMTSITDFTDSKQSRICGSWLAILPKILGKSD RDCVLRATIKALATSILSHNPQMGGSALDSVESYDVAIQAVRKGLTVSGYAFHAVFIA AIMCIALAEIMFPDSAIAFAAHVNGIGHLLQTQNVEQYCSGVFHALFVGFRPILIVEA FRYRQPTFLASDSWIRIPFSAHKPSPMQSLLSEAAAIPSLLQQIDMLHDDPCQGSSYG VTRLLRYLMAALNNLENWEIRLRTENTEPHYWAVSLDTRVTDASSTDKYIWFPNITMA NVYSHLWAFRIICLSEITKLTSLSPLFLDENQSASWQSDFNNTQENIIALSKQICMSM EYLIQDGMRLFGPASTFFPLQAAYRTFQTDKLRQRESIIRIEGIVDRLVKKGLQSAPH IVFDGRDSVQTI ACHE_51202S MITPPILIRQMQKMASDTLPERWQDSIDGKTTTEVPSPALQNWL EELYFDSERSTDLTKEDIVKLGQLVGKLLQFEPAKRVSVREILDDPWFKCDS ACHE_51203S MAPSSPLSYWERVGDSFYRKVPVYDAIFDEDVELENYIVAGAPY GGAIALYRDESKLYRFQGAQTTKSSIDVYSCSGKHINRINWEYGSIRGLGWSDKEELL VVSEDGTVRRYFGLFGDFTSFSLGNGSDEYGVRACRFWTSGFVALLSNNQLVAVSNYE EPRPRLLAPCPEGEISSWSLIPPAYTLSRSVEVLLAVDKTIYLVDPTEAEDKVLQNGP FKHTSVSPTGRFVALYTAEGKVWVVSSDFQSKFSEYDPKARTPPREVEWCGDDAVILA WEDEIHLVGPNGVATRYYYDGHVHVIAEFDGVRLITNDTCEFLHKVSDVTEEIFRLGS SSPASVLLDSVDLLEKQSPKADENIQRIRSSLPEAVDTCVKAAGYEFDIYWQKRLLKA ASFGKSVLELYNSDDFVEMTEKLRVLKAIRDYQIGLPASYEQYMRLTPEKLIERLVNR HEYFLAIRIAEYLQIPADRIYVHWASQKVKVSTVDDEAVCKLIVQRLDGKPGISFELI AQTAFDEGRAHLATQLLNHEPRAGKQVPLLMNMEEDEIALDKAIESGDTDLVNYVLLH LRSKLPLASFFRMINTRPMASALVETSARGEDSELLKDLYYQDDRPIDGSNVLLSEAL QETELERQTEKLHLASRLLADSKDATVVLQQKMLNESSQLLKVQEALDQDIAEREEYV GLSLNETVYRLIRSGWSKRAQKVQSEFKLPEKTYWWLRLRALVAKRDWGELEEIGKNK KSPIGWEPFYNEILGAGNTKLASVFVPKCTNLPVEDRIEMWVKCGMTVKAGEEALRAR DMNTLELLRTKASGTAVTEIDRMINQLRPRK ACHE_51204A MAKARTTSKHSRAARRDISPSADADKSLLSAPRAETTVIQRDSI LSERANAGVSKKQSKPKNLSRAQRQRQKKGMERAEMVVDQLENKVAKSVKRGKNVQAR RADWDDWNRKTAKFEALQQIAADGDDGDDNDDDDVMVDDSAPKSNKRESKPARVTQNL VADDHAGNDEDEEIT ACHE_51205S MNTRSGRSDILMQSPWNSGESHYDTVLQYIQSNPTIVYVPLTAI LAYLVLAYFGLVPFGLPQGVWNLIVYLTPSRIVVALDSKSNSANENTNPSLMTFQAKS EAMQRILGIDNSFSSFLSRGTSLSGFGNAILGSKDSLPPGLGNWDNSCYQNSIIQGFA SLRSLAEFLGQNIEALGEKGSFSTHQALQDIVERLNDAAEYGQKLWIPPNLKSMSSWQ QQDAQEYFSKVVDQIDREVQQASKRQTRNLGLKMAGPEENVIGSGSAQNGKNSDSLSS QTDAPSFRNPLEGLLAQRVGCMKCGWTEGLSLIPFNCLTVPLGSKFEYDVRECLDHYM DLEPIEGVECAKCTLLRARNQLHNLVKQVEEDEQISNSSDSPKLSDALKNSAHERLQA VEVALEENDFAEKTLSNRCHIPSKNRASSTKSRQAVIARSPKCLVIHINRSLFDENTG MLRKNYAAVRFPQSLDLSDWCLGAKSVDQINESIEKWGTDPKESMLPRAGTTVDVRGR QYELRAVITHYGRHENGHYIAYRKYPTDIFPAHVPEAVLEADGAKEKAERWYRLSDED VSMVSEASVLSQGGAFMLFYEALEPSTEPSTPEAELVDSTESMSSLETPEDMSTVSGV TEGTEATECSASVTPKAISVSVPEKIGPIGGPPLEPPLL ACHE_51206A MPPKKRGGGPPSAPTPKKPRTRQSKLAKENDISAEQETEIKEAF HLFSSANEAFPDEKEGVISRSDVRKALVALNLPPSDSSELQTILSATDPTNSGYVPYG PFVAVAAAKLHSRDEIDEDAVAAEVDAAYRLFTRGSDGPITLNHLKRIARELKEDSVG EELLRDMILEANGGGVGEGVTREQFRDVMDRAGVF ACHE_51207S MDVKLYVYDLSKGLARMYSLALTGTQMDAIYHTSIVLDGTEYYF GQGIQTAFPGSTHHGHPMEVIHLGTTELPKDVIEEYIQSLEEIYSPESYDLFLHNCNN FTQDLSMFLLGKNIPDHIRNLPQTFLNTPFGQMMKPQIDNALRGVTQGTGTRGVPQLP SAPARAPAPVPASGTTAVAALPRVTVQMANNVQQLDTYLNTASQTGKGAVIFFTSATC PPCKILYPTYDELAEEAGDHTVLVKVDISVALDVGMKYGVRATPTFMTFLRGEKVDEW SGANPGMLQGNVRLLMQMVQQEQLAKAHPHRRLDLPSLQRKIDNYVLYKKVPPLDKVR QKLSPYDSGPVVSSIIDFLQARNNAATAPADTPIPTNLAQIATYLQATAPHLPRENRF ALVDLARLLFLDPRVSGYFAERDSPSHATLSSLFSLASPVSPTDPSPSPCTCTSISTS PGSQSAPAASALAPAPYNLRMTLLQLACNLFTTPLYTTHLSTDRALRDTIVRLATSAL LSEDIITDANGTKTKIPANLRVVAASCIYNLAALNHNVRLRLVDHDPRSGPGFKDEVK SKFETKSGERRHAETEPEPGAEADREPLSEEEQVEIAAAVIEALDKENESSETVRGLV LALALLVYEADVEGSVVDVCRAMGVEEILRVKGGIFREGREVISEVGCLFK ACHE_51208A MFPFLPWSCKTNMRSSPSAPTASIENSFGSSFSMPSPPTSIATM ATTTTTATASSTLVDLSPRKSSYTPYGQSSSSCAFPSWPNRPSLVCSDPDQSTSSSAM NNTTPSTPSAYISDEELLDTPLSSPSEELGDDKQSLDPTLMSMSYTTEQRIRHMREMA EEEEGRAQFLAQVQAHARAQQAMRLQKPQSQLPVTTTTTTNQMATGPAMATIAARRKR RTVVPVVGKKRRATSSSSNKCYPRV ACHE_51209A MSGKLDKSLDEILVNHRQSTRRRGGARRGKAGAAGGIRKTKATK PTGKAAGKAAQPTATPTPTDSKIMVSGLPVDVNEANIKEYFTKSAGPVKRVMLTYGPN GTSRGIASIIFGKPDTAAKAAKELNGLLVDGKPMKIEVVVDATRAPAVPEPKSLTERV AQSAKSQPKPATGPKPAGAGRRGRRAARRGGGRPNRPQKKSKEDLDAEMDDYFVSNES GGAPAEGNAPVNGQAQPATNGGEDVGMAEIS ACHE_51210S MVSTFRAESPNTWAEGSFYARGQLVPDQTEGKGRNGPFTKLKLR CFLLTPEALEALVCWPKRLEVFQLKFSFGDDYSTMGLHTEWTLAMLKQILAIHRSTLR SIKLYAVNVAGLADFDLREFESLEELSLSSACTGHQYPVQSYMMGSFTNLLAPRLRVF QWDLTLENQQHCEGMDSFDQKEEDWLRALVREALARGCPLQSIEIKFTPSSSHCFNGI YPWDRMDALGADLRPYGIKVRYNTPSINREEFSKTVENTKKQEA ACHE_51211A METLKAVFFGPDPQAQMRKCNQLIRANTRQLDRDITQLKTLDSK TRQFILNASRRAERNPSQARQATVELKTFARELVRIRKQSARLTTSRAQLQSVQMQVN EAFSVRKIQGSLKKSTGIMKDVNTLVKLPELSGTMHQLSTELVRAGIIEEMVDDAITD SNMLEEEEEEADAEVDKILQEILQGKLSQAQKPEKPLEEEPAAEDVFEDQEATLEQMR GRLEALKS ACHE_51212S MPDLPQTHPEVTWAQRSSSTEAERNYLYVDIKASDVAKSAADLK ITSKNVSFTGESKKGVKYHVSLDLYAEIDPENSKVNHNDREVELVLRKKELKEEFWPR LLETKQRVHFLKTDFDKWVDEDEQDEVPDEDYANNFGDFGGGDGGAGGLGNIDFSKLG GLDPSALAGLGGQPGEEEAEGAEGDEEEEDMPELEPADDKGEAKNPKIQEVS ACHE_51213S MPPKKQPDQPKKKKATAEDKTFGMKNKKGAQAKRQIEQMKAQDK ANVRPDDKRKAAEKARIEAEKKAAAEAKKESAELFKPVQVQKVPFGVDPKTVVCVFYK QGNCEKGRKCKFSHDLNAERKAAKKDLYTDSRDVKQDEEEAKKKDAMDDWDEEKLRKV ILSKHGNPRTTTEKVCKYFIEAVENQKYGWFWTCPNGGDKCMYRHSLPPGFVLKTKEQ RKAEKALMDKSPLNTLTLEDWLESERHKLTGNLTPVTPETFAQWKKNRLDKKAAEQQA KQAKEATGRTLFESGNWKADDESEDEDDDGFNFDSLREETERIRAEKEEERLGKIHGF TVPDESAG ACHE_51214A MPPNETIVVIGAGVIGLTTALTLQTHLSPSQSILLIARDFPSTT SINYASPWAGAHYRPIPGTTPQALRESSQARRTYAAFKRLVTQEPAAGIEEVEGVEYL ENPPEEYLEKRGVEDAYSSYLDGFCQLRSGGDGEDGLPEGVKWGVRYKTFVVNSPVYC AHLLRRFVLNGGRTMTYDLADTNEAFYVGENVKTVVSCSGMGFNDPKSFIIKGQTCLV RNPCAITLTRQNSDGTWSFCIPRPLYGGTIIGGTKEPNNWDPNPSPQTRAKILSNAAK WFPFTAQSQGKFDVIRDIVGRRPAREGGMRIEIERIADGKTVVHAYGAGGRGFELSVG VAEDVVDLMFENGILRTKGLL ACHE_51215S MRHFCSICGVLIRSSAAENDMGPPVEDLEWYQLIRTFYKGSPEC TVEATGLGYLNSFNQPVVPVNFNEFYLQPDVVIDNFVPSFDAGLHNPTYLVHEACWRV LLDRILYGNPLTDLVAVALAQLFWGACLYRQYSCVPRHDHGGSTEYWHAEGDPIEEMT QGGHGHCAVEPVGFFSIEDLRSCLGPEVPVEIPATSSIASTRRLEHGSDKFTNLPTEV IHILLTWLRSDDIQRLRLASRPVASISHPDLLPQFFWHGRFLPDFEMGFAMPIHTDGF HDWRSLYFRVKRGLKMHCSNRLRNRKRVWDFICNEEDWYQKLYTGSDDELDLCLFR ACHE_51216S MVQLTRNNLVPESLILRPMAPPPPHAPERSLEACASTASLFLYA QGSTILCLHHDTLALDRRFEDHQDDIAFISVDNISERGQGRLVVSYDVGQTAIVWDLF TGTEIARFAAFEPLKVASWMRNGNVAFGNTKGEVILFEPSTSEHVSTRTISESITALA PGADCRTYAIGYQNGSILLATLHPTFNILHTLTISRNPSPLVNLAWHASSSKQKSDML ASQALNGDLKVWSVSKPAEKEPPRTIRVLKRSDTAASGPQWMAWSKNGKILQYMNGET WSWDVRTKHVTSERIPAINGVRGIANYGPTATLFTLGPNFAVQQYDLEIFTMVANVHH PPTSSEDVQILPSRSLQDPPAIKEAPYTFEGRQTPSDNEQQHADLASPASSQSNASSK ASGRYRMPLASPPSRSEQSNTPASTLPSNSERNTPQPSHGYTPSMSTLSTKSSRAGSR LRHEVQFSPADKQIDLFPFIRTRLNDVPYGHHQPLEETNLTPDGLRQRMLSMVFGWEG DIKGLIQDELNRHPPGSQSSILLTQWLGALDTDEMVSMIHSGPVSISDWMIMAFSQMS GQTQANKVGQAFVQKLLEIGDIHTAATILLGLGDKNDAIEVYVSQNYFMEAIIMTCLL MPTDWQRQSYLVRRWGEHVVSHSQQQLAIRCFTCTGAEPSEPWTSPAAQNAATFAEEQ RSPMISPGSAQPISPPSQRKRVAAQKATAKTPALKLITSFENPNMRLPGLKSDNQTPT NVPWITPIAESAVGESALSPGGLASWRTNNMQSLNQAVGSRSNTPGFRGRLPSIGETP VDVEPPTFPSTPFRRGEYPTTSDSEDQQQDQDKEQEEEPSLLLPSARYDPNQETKSTP QTAIPANSDNFVNIKGLPSPAPGVFEALRSESRNGSRDRKPDGLQISLIEDQLERMSN SRSPLSSSNSLQTAKTPSTGGYSIDQFIHSLDDANQYPNFQLQQRVNGQSTTPGWNED PYNQLPLSPPPMSPEEATQEERYRAKHNRSQSRNENKVQKPGSRNSSRRRHNRSTSRN ATGRTSDRNDRGRSSERDASNAMSPSSSLPMSPMDEALRLVTSDRERRTHQSNSRRGE QIPGRRRDQSADSGMPVRPAGRQAREHESATDQESSTSNINGMSQEEQGLGNTDTSEQ ETRELPFAVGISEQRRKELAAAELEARRLSLARNPSAPNIPFPGDTRMNTRSPPVGLP FSISPFGSRSQARQRAPSTKESQSKRSSSDSGSSQPGLPTSPSSSLRQAKYNNGTSNG HEENAADAPILLSAITYQPEAERIGRSMSVPVPETHSPPANVNPPNIPTHPRFNPNLP RSRSTSRSRHMGHRRENSREQGSPGGYFGGSPVAISIEETLANADHQPPILPELQHLN TPPPPPPLPYSNSASASPRESSGTIDIAFDNENLGRLLPRAMTAGPAFTNTMDVRASV NGSASASPDRRRMSFDHRRGKSANESFTSKIRNLTRMRSNSRGLEGWGSPAVGTELPY ESVQMVDRT ACHE_51217S MSFKPTIVLVPGAWATPAFYTQLSSNLSEKGLITETVAHSSSGT EPPTKTLDDDISNLRSTLQRLVNSGECHRGRALGLEGPVRRKEGKQGGVVMIVYMTAF VVNEGQSLIDVCGGEILPWIKFDGDYTTLAFENPFHDLPQAQQTHWTSALTHTPLLVF SGTATHDPWYVIPTAYIMGEEDAMLPLAVQEYMVGVLGTSRVYRLKSSHFPFLSMPER VAGIVGGLVEEV ACHE_51218A MGSLVAITSPVYWDRDYGPGFSFLYLLTTQMLGFGFAGLARRWL VYPGALIWPSSLSSTVLFRALHEPKQDNSPANGWTITRYRFFAYFTLLAFVIFWFPDY IWTSLSTFAFITWIWPHSQKVNTIFGMNSGLGLLPISFDWTQITYAGSPGSPLTTPFY ITCNAYAVVVLFYLFLSPILYYTNVWNSGYLPLLSSSTFDNTGSTYNITRVVDENLNF VQSKYEAYSPMYISMSYSLTYGLSFAAVTAMVVHTYLYNGREIWAKFKNSRHGGEDIH RRLMHAYPEVPDWWYGALTVVIVGLGIFTVRYWDSGLPVWGFIVVCCGMGVLLIVPEG ILEGTTNQRIFLNIITELIAGYAWPGKPIANMMVKFYGYNSVKHGMDFAQDLKLGQYM KIPPRVLFVGQVYSSVLATLTQTGVLRWMMGHISDLCSPSNKQRFTCNGSKVVYNASM IWGTIGPQRMFQSGQVYNALMYFFIIGPVVTVVVYFVYRRHPNSWIKWVNVPIFFNAA GNIPPANTTQYSLWFIFGFLFNYLIRKKAFQWWKRYNYLLQAAMDTGTALATIVIFFA LSYNQIELNWWGNTVGSNTDDANSVPWLKVPSGGHFGKGPGEFH ACHE_51219A MATSAKDSRKDPIETQTQPVDVEAWEGENPVKPAPASNQAELTP NEAFKWNVEGDQSPCMSIFGLMVCDHR ACHE_51220A MTVIWGLDLHEIHWSKFRSSNMFTRVYHLRRTKMIVYQIAMILC VCSEAVGTAALSDYLDQQSQIQGQHPGVKVYNNDFIGAASYNIWAGVSVAWVFGGAFF FDLFWPERHEDRDIRWAWKLCAVAESIMMLASAFALTIITATRSAKITGTDAASARQY WEESMKKPALRYYTNPKAVAAVVLAWPGVVATIASTIILWMSHKHDDEFGPKSNHGRS LENGVGSETEQKPV ACHE_51221A MVLDDASPQAYYTSSQQDDQNVAPTNANSSITQYQIKPEAIVSP DLFTLYFGFAGKWNWQRNLVNTLAARVENARVLVQRPPTQDEMDAFVTHSSRSLYHGR IGAPLASGLAMARMYSQARKTDYYKAMVPGAEEGKLPSAKQLAQGVTRFAKADPAAFR SVAAASVFKLFFWTLTGATMSSIYAVYNDTIATMSDPRLTNFVREVKQQKPEEVRKRK IDAAQDRYRQNQQQMTSQPREPQQPSEMVPDQASGGTTESHEQQDYSAMYGNNTEPTT SSRSYDRPTTAVSTSSSWFGGRKEQDSVSDFFDDASPTAPEYQYPRNNTTASQPSPPV FQRGAWERIRQQNTNGVSNSQGQEYYRGQSREWNTQGQRNSGSGQDTERQREKEQARA DFERMLDAERNMSSDDSGNGKNKGWERWS ACHE_51222S MNSIYDINSRDIEQGHGAKSIMLHTDSVDTEGSSQTARDITGEF EVLEERMYRSIAFRIWMREVNLRFVQEIESINTQLLSAPFLYN ACHE_51223A MPRTKETGNAELQIDLASPPGWTFAPGDTVIGTVLRRSHIVAPT ATVKLSLVGHVRTKITPNGSSSRGYYRGHWYLFSTTTTQVLFEGPLHAPKDNYSGKDE TSWSFSLPIPVKPADSALGGYYMEEGFLPQDKFGLAQHTLPGTFITSNNDWNHSSEGY VEYYLEARLQYHRGGGYKLNRATVPIIMRPQPPIVLPDCELQQRKIDKTFQSQRLLPG MERADLTFRQKSQRLLGSSKVHRAHYSVEIGLPRVVQLDNPSPIPFTLNIVLPTSSTS FQNAGLKVRLNWVKISIKSTTTLLAPRDFSRLTKRDTYSVNHNLNLERAFRDLEAPIE YSIDKAHDNGVVNIGNMFQLTLRSNGLKAGNRRLASVPYPYIQPDLVTYNIRHSHRLE TEVSLSIAGERHTIPVSGDVMILAAN ACHE_51224A MASKAFAIIAGVGPGTGASIARKFAQAYSVVLLARNPANFEPVV DEINSNGGQAVGFSADLSDSQSVRSAFDQISQQYADSPLAAAVFNPGGGFVRKPFLEL TEKEFTTGYESQGKGGFLFAQSTLPLLLRAKEASLKHPPTLVFTGATASLKGSATFSA FASGKFALRALAQSLAREFGPQGVHVAHVILDGVIDIPRTKSWTFEHEDAKIDPVAIA DSYWHLHTQPRTTFGFELDLRPYVEKW ACHE_51225S MSETPGGDPLLSELCTICHINAPKYRCPRCSTRTCSLPCSRRHK TWSQCSGVRDPAAYLRRNELATPSAFDRDFNFITGIERSMERADRDAENRGISIDRQA EEGEEMRDGGRKRKRPNEGLVKGEAGFLRGAQSSEVNVIRAPKGMTRNKQNTSRWHPK HKCLIWTMEWIAPDGEKKIRTCLESCRVAEAYDRFYPLPKEERNKDQAQDQGQPPEQV QGQKQEDNQQPQSELEPQSTIEMNSQSAEQELPVQPKQDETQPEPSDPSPSDLQENEI TPHRDVYFYLHRPRTATRQPVLIPLPPAATFTSALRKRTVLEFPTIYVLPDSPDILRA TSKENASHLLEEEYVQPEKPGEDGELGGLDGEGAQGIDHSAPSVDLGQVDEKKVLEVL KQDLFEPDSAVGP ACHE_51226S MKILVLLLKFLTYAVPILSQAVAEDDSSPTLPATTENHKHPHCD CYLVSGQDQGYFQHYQLWDFRHAPIPRDITPIKPEAPTNSVIPDEGIDGGVRDETVMA TDSVFGANVVPLSKSHFAADWKTQNWNRRPTSQKPVPILNSVNNIFFAQDPLPKENGT NSTTEFGNTYLVLRTTRLDKYNSAAELENRLTNVFRCSFRVRLRMLPYGRLTNDKSGW NHTDHNTTNPMTPGGPPKGACAGIFTINPSGGESDIEILTKDPSNIIHYANQPDYDWE TDETIPGASTIAAVQTPWTEWATHRLDWFSNLSVWYVNDHMHSSKTYKVPDMPSTLVI NLWSDGGDWTGDMNVGESVFMGIEWIQLAYNISGRSRDVLDGDTGKANISSEERKKKK QEEDEDDDDGDDGDDDGDDSGDDYHSHEEKCRRPCWVDDIR ACHE_51227A MAGVVATQQPEMEDEAMNDIALLSPDAPRLDSAMVSSPGDPMDI SPMPATNHQRQPAFEQQCAQNGSQRVEKTSNKTFNSLPQPSFTNDHPVLSSTAGYVKP DGNNNKPSSGLQNRRTTFQPHRFSPKNRASVKQGHLKRLPLAVQAKLGTPYKPALVGM NRNFRTLPSSNPQPDLQSNDNHFTVNGYPPLDLNHVHYRPTLDPPVNRQLFKTTAPIP APTNSATGSTSDETTAKRTSEKTITSVGPDDGAGCEDPHGTTSGFSLQRTPLAKGQLN HIDTNRPATYVSPKYRKLSQRDSDSATTSPAHNSQQMASAQDNGHSNPSKQPTLAPIS SSNLISPSKPNISINKTPSMGRTDDSKHTEAWNDMLELQDALRNQGIAPDNPPYQDPI NEPPKPCHHRMPGSWPEDSLDFPEPIRDGPHLFIQDPNLSGDHGSLIPSTLVTSPEFH KTQEPANSTLSPKSYDPAASQDAAVIQAQTSEAGQDSQPKDDISYASFSWSDQLWGAT QSIFATAIALADTFKRRAVALFEEPRPTSRRASHTVTSSSPTRANLRMLSEEQRRRLK SNQWRIDRGYPTVQDYPFPELSLDAPQFLTTTDALPEDEAPLKPVISDAKKSISSDGP CRKHGAPVIDRKRRTVKSGISKRSTFQALSPNMKRRMHMRPGKRNIPRTRLDRSLVHA VQSGKWTLDDLSTKPKGRVAPVQDRKRRLELATTEAARQKRAAPKKVRFVLQSAPPDD DPALVGHHLHPGLMKTLIEEEKENEPPKYVEEEPEAPDPGLSSWLQSEFPFGRPVSAV RLFAPGAKLPQGRSESIYAAEWRKIQEEEKAKQVPARIRPEGPAVRPLPPKWEARLAE TKAFPDNRQIATTLSGDPLTKRDLATCYTPMKWLNDEVINAYLAVLIDYLRRANGNAG RHDKPKFHAFNTFFFSNLRDKGYSSVSRWAKRAKIGGEALLEVDTVYIPVHNSAHWTL MVVKPTERSIEYFDSLGSASPRHVAIVKDWLRGELSARFVEEEWSVVPTVSPQQDNGS DCGVFLLSTAKAVAVGIEPQSYGARDIPLLRRKIVAELMAGGLDGDFKPEGETGEVLM ACHE_51228A MAQGTNGQPDAGLRSLDHYRYQLPSWRYWPRQKLLPLVRYETPY LAWFQERIRTPSLDSYFAFTANLGTHTFFMIFLPILIWSGQITMGRGLVHMLASGVFF SGFMKDLLCLPRPLSPPLQRITMSGSAALEYGFPSTHSTNAVSVAVYCLGLLNSPEST LGPRLNLVLQALTWIYVASVVLGRLYCGMHGFFDVIIGCLLGALIGFVQFAYEPFFEE SLASATGLQVLLLVLVILALVRVHPEPADDCPCFDDSVAFAGVMLGVEVSYWHSVRSG ILWADSSYGLEHSGLVKTVLRMVLGVAMVFAWKEIMKPLLLHILPPIFRGLEKSGLIL PRRFFTNASEYSTVPAQLTDDEVLPKMSEIPSILNNISHPRRRAVSVGPQSEADAYET LAYREKRRRESRSGGNRPSPGWEADKHGVSAAVNGRPSPSALARRPTKLNDYENMMGT GTPRYNSPHEPGTDGDRTDRSSPPVPFPPYEEPPDEAEMFRQIKRPRVRYDVEVITKL IVYSGIAALAVEGAPLVFELLGLGIGQAK ACHE_51229S MSGQMYDDQFYATSQRQSLTATPPPASVPRHSRVRSQSVRVSNG TVSTDTSIASSGRMSDATNLTQPPAYSKKFVVVGDGGCGKTCLLISYSQGYFPEKYVP TVFENYITQTAHRPSGKTVELALWDTAGQEEYDRLRPLSYPETDLLFVCFAIDCPASL ENVIDKWYPEVLHFCPTTPIILVGLKSDLRSKRTCIELLKTQGLTPVTPEQGETVARR MNASYIECSSKEMRGVDEVFALAVHTAVSQEEQWASSSRPGTTTTGKTGGGGSTSAGG SGGGGMGGKKIKKRSCKIL ACHE_51230A MAFDKRTSSPTVFEQQREELVREIAVGMEQVLQNINRLNRNLES VIAVGNEFGSVEALWSQFENFMGRPDAQETEGNAPGQGQEETGGSHAPGHSPSHSQDM SYEEEDTVTK ACHE_51231A MPLREERKIWFDRGNSNNNSDSRWNHGAAVNAVLFLFFLVWGVR TFRIPRRTYTLSLSVLGPLLILIFALILILILSLLSLRLSLTTSITPLPFISHLSTLQ STLNRTHELLKMPSKFIELFPEDDNPGASPKRISSFDVRLEDVLAHHEASIGFRSRSS TFSSSKASLVDKMPSYASSSTIFSSSSTSAPSSSSSGSSASGPVSAASSPPNPSSSRW KRLSNSILGSRRAS ACHE_51232A MSFQPVNPRPYLQARVGTEMIVRLKWGQTEYKGTLESIDSYMNV LLRDTEEFIDGKNTGSLGLVLIRCNNILWMGSADSVEMTELGLK ACHE_51233A MLSLVLSAELSGVNLLRPQDTEDNPYFYTFKVQCTSCREVHPNF ISFTRFEQHEIPGSRGEANFVWKCKLCQKTHSATVTTGPNAYEADEKRKGQKIIDLDC RGLEFVEFKPDGEWEAKGTESSTPFTGIDLSDGEWYDYDEKAGDEVSVKEVNWDIMKA ACHE_51234A MTQNQEKYDIVIVGAGPVGILLSLCMSRWGYKVKHIDNRPVPTA TGRADGIQPRSIEILRNLGIKRKIMAYNPAKVYDVAFWDPLPNEQGINRTGSWPSCPR FIDTRYPFTALVHQGKIERAFLEEIEKAGTTVERPWTISGFKNDGADETYPVEVQLKS LDTNVIQNIRTKYLFSGEGARSFVREQLGIKIHHKDPISYVWGVMDGVVRTNFPDIET KCTIHSDAGSIMVIPREDNMVRLYVQIASSTDPDWHPRKTASVEEVQAAAKKILKPYW VEWDRVEWYSVYPIGQGISERYTLDERVFMGGDACHTHSPKAGQGMNTAFHDALNMAW KIHAVESGFANRSILSTYESERKDIAEMLLNFDAKYAALFSKRRPTAGEVGEASHTSV KAGGEEDEFVKTFKSSCEFTSGYGVAYKPNVFNWDASHPAQSPLFNYPGVRLTPGRAF TPSTVTRLADANFVALEQEVPANGAFRIFVFAGIQDKTKQAIADFAANLEKDRSFLSA YRRPDIKDVSFFERHFPHSTNFTLNVIYAAQKNQVDVNSIPQILRDYHHHLYADDIPD IRVPQAKYAAHEKLGFDPEKGGVVVTRPDSHVACVVQLVEGSGTVDALNAYFGAFSTK PLGQDQQQSRL ACHE_51235S MYEDIAKLNPFYEVYEDFWNTESEESSLTFSSRGSSDSARSPSL ASITSSQDSFRPLEVSTSPSIRHFRSHLDLHDTKRLQVFLHEGSEHQPTYGEYDGQSS QFAALDYTNKVKQPIEEPEDDSDSDELEPDLRPKVHPSKYPHKKLFGQDGWLGCSTDV HALPLEKRTSMIFKDIGKKIKKQVGEIADDMAKAYPNRLGSAALRRSKSSLNLAARVS LDPATQSKLYSDLEVMICLSANNFLVQQHGEGRLSAESIKKINSFWGSKNRPQVVEFH FDQATQRRLINDNLRTLQFNGECSTNPIRLVTNMNNWKALAKDMSVRTFCVPDSVIRK HLHDIHEILDMLDAPRETFLDFEELQVRTLSLMKDQSYRSGSDNSTLSGSRTSKTSSI RCSEDSRRMYRTKC ACHE_51236S MAGPIHTYNSTCLLADDDPDLSTWYASPTPPRARPQFFYTSSLP IDDPSSSLPPPSSGQNAVNERAPPQPFSAKDHVALEDAWRALRETVRKRAVSKAGIQE ETSTRNSVISIPDLDGDRKRQAGSRDSASPFESQQNSPNVSGSALEAYNAKVRANYRA SVADAAPRSSWEGSHVSLGQDYKAVDGARSASISIRKRGRPSFGHNFKPTKRRTSSSA HDETALEEGDTGSGRTTPRDVSISGSPFIRAPLVPPSPLGRSLESGPGSQELHTTPHS RDANTSGVRSSLYQEDLLDDAQTETGEQPGGRHMKAKIPVGVSRLHLVELPNLKMKPI YWSPLHDISNVLRATWFYKNTMLPVETELANKLEDGYVYLKPWTDTWQDELNSCVENG ADAEMKIVHKLWPKEDPKTPSRPATSQDPKSTDSETNLAEDTLDSGLNLLAMDENRAA GGSTALLEAVKPHINSSVIYVDGKNAQILRPSLLPSVSRGRRPLSAIRKGRQIGIPVV RGFSRRKWDQLHPIKPNPVDVRNYLRSVQSRNMPVAGGQPICYACAMEESRPTPTDLI LVIHGIGQKLSERMESFHFTHAINTLRRNINMELNSEPVWPHVRQDHGGIMALPVNWR STLSLDDATLEAQAVNDPAANHFTLNDITPETIPAVRSLISDVMLDIPYYLSHHKPKM ISAVVKEANRVYRLWCKNNPEFQQHGRVHLIAHSLGSAMALDVLSHQPTQIPHFDFST TSIHNDIFEFDTKNLFICGSPVGFFLLLNKANLLPRRGRDKPGCEGDDRLHGVAGEAD TYGCLAVDNLYNIMHTTDPIAYRVNATVDKDLANSLKIASIPNASASFWQSFSSVFRW SSGPSLAPEAATAPIRPAAVTKLPSNVELETHDFTREEIAEKRMLLLNDNGQIDFFLS GGGGPLNIQYLNMLSAHSSYWTLTDFVRFVVIEIARVQGRNGTLAGFRAQKRKEWKVH KG ACHE_51237A MAGTKLKPAILIVSDTASQNPTSDKTVDALSTSFAAVGPGTWES PVTKIVPDSVLDIQRTICDWTDKPDCFNLVLVSGGTGFAVKDNTPEAVSPLIHRHAPG LIHGMIAASLQVTPFAMMSRPVAGVRGKSLIITLPGSPKGAKENLEAVIKLLPHACIQ AAGANSRAIHAGGVKKLEADVGISSGRQGHSHSHDHHNHHHHHDHGHGHAIPKPHTSP SERPQSNNPNGAPSQRHRSSPYPMLSVDEALKLISEHTPGPEVVEVPVTTALVGSVVA EDVYSGEAVPAYRASIVDGYAVIAPESPTSGPSIKGVFPVASVTYANASGVLSPLEPG TIARITTGAPLPPNANAVVMVEDTVLASTTPDGNEEATVEILTGDIKPRENVREPGSD VALGSRILQKGDQITSVGGELGLLAATGTKTVKVFKKPCIGVLSTGDELVEHNAPVNL QGGQIRDSNRPSLLSCLASWGFATVDLGIVRDTADELEQTLRDALRGVGQANSSNIDV IVTTGGVSMGELDLLKPTIERSLGGTIHFGRVSMKPGKPTTFATIPFKPSSSSSSSSA QSERHSKLIFSLPGNPASALVTLNLFVLPSLHKLMGLDERSATPSLPGTLGLPLVSVT LAHPFPRDSKRTDYHRAIVTASRADGRLYASSTGLEGVAQRSSRVGSMASANALVVLQ PGSGKSEKGSLVQALMLANVVAGK ACHE_51238A MVLAYPAGAATVSLLVIGGYMLFTGDGESFNVGQFLEDVSPYAW ANIGIGMCIGLSVVGAAWGIFLTGSSIVGGGVKAPRIRTKNLISIIFCEVVAIYGVIM AIVFSSKLNLVTNEEISSGVNYYTGYALFWGGITVGMCNLICGISVGINGSGAALADA ADASLFVKILVIEIFSSVLGLFGLIVGLLMAGKAADFGAQ ACHE_51239S MASTGVGTYSNPLKKFKLVFLGEQSVGKTSLITRFMYDSFDNTY QATIGIDFLSKTMYLEDRTVRLQLWDTAGQERFRSLIPSYIRDSSVAVVVYDISNAKS FQNTRKWIDDVRGERGNDVIIVLVGNKTDLNDKREVTTAQGEEEAKRNGLMFIETSAK VGHNVKQLFRRIAQALPGMEGEGANQSNQMIDVNITPKETTSNDGCAC ACHE_51240S MGLLSSRFLRIPRPETFLYVMSLETGASLITLSLLLNKISGLYG LLALLTGYHLSPVQLSMYLYSLIALGVATVLFPHIRKQSPLQCLALAYLYTFDSLVNA AYTAAFGVTWFLVVSQHYDNGSASGPGSETIAQTAGFTSPKYDTSYSEDTQSGASYYY TESAAASTARSASDGLGNAVTQPESAQSIFFICMLWAMRFYFVFVMLAFARQTLRLYV ALPRHTSLPTHSRNTSMASVGSVGSVADIDREPFSPYSPDGQGWKGKLGRTMISIGRN YWMGEDDDGIWMVNIGRKFQNRNENTELPGPLERERRRRSGTGPPQPSQSAFEAAALQ QPIYEHPVGR ACHE_51241S MASISTAGEAVARVAYLTSDVVLSVQPALQTDSLFSKSLKALKA NKTQNFLSKGAPEIVTVRNNEDPLLSAFHPLQNGSTVSAVASSSVLLPSITHLYRLAN YPLVLHVALEPAPFPDYSVISSIRQCGFTFLHSETLQESQDIALTAHALALKSGKGVI HFFDPANSANDDTIAEEDVEVVNKVLDLGANAASHNPGLGAQTLYADSGRVATVAEEN VEAPQDAAGTSTPEQASAAQTPANGSTDNSSVGSSRRDSSVDSRALSSSAATTVDGVS VRPVNSADIYAYTTQIWNVLSETVGRKYNAIEYTGPAEAKSAIFLFGSTGVFVDVLSK ADASSELKDLGLITARLYRPWIGSHVANSIPASVEKIAVLEQARKTTRWGPSFMDLLS SLNPTTTDRRLQIVGFRLGYVEPSTADQALRGVVQNLTSASPIQNLEVGSSKVPTPET SVEQPRIENAYQKILNQLFGERLYVANQATSKHAGISSTISASPEYGFGSLIARIEHR QRFIREVEEASKSSSFATDVPRTWLSKWALVANDPPKANKLAADVIARLSNDGSALAR QLLQSKQLFYDETHWLIGSDAWAYDLGNSGVHHVLASGANVNMLVIDSQPYSERAAAD PTRRKKDIGLYAMNYGNAYVASVAVYSAYTQVLQAMAEAEKFNGPSVVVAYLPYNQEN DSALTVLQETKKAVDLGYWPLYRWNPNNEDTAEPKFALDSDRIRRELEEFLRRDNQLT QLMNRHPKYSSVLSESYGTEVRALQKRKAKDSYEKLLEGLFGAPLTVLFASDNGNAQN IAKRLGNRGRARGLKTMVMAMDDYPIDDLATEENIVFITSTAGQGEFPQNGRSLWEHV KNSGDLDLSSINYSVFGLGDSHYWPRKEDKIYYNKPAKDLDGRVAFLGGRKLTDIGLG DDQDPDAFQTGYSEWEPRLWQALGVDKVEGLPEEPPPLTNEDIKIQSNFLRGTIEEGL LDETTGAISASDQQLTKFHGTYMQDDRDVRDERKAQGLEPAYSFMIRCRLPGGVATPL QWLQMDAISSAHGNETMKLTTRQTFQFHGVVKRHLREAMRDINKALMTTIAACGDVNR NVMCSSLPELSSFHRETNAVAKKISDHLIPATTAYHEIWLKEDGEKKVQVAGDAVVDH EPLYGPTYLPRKFKITIAIPPHNDTDVYAHDIGLIAIKGADGHLEGFNVLAGGGMGST HNNKKTYPQTGRMFGYIPADKAHIVSEKIMLVQRDHGDRKNRKHARLKYTIDDMTVPV FKEKVEALLPDGLRFEEPRPFKFASNVDTFGWQKDEKGFNHFTFFIENGRIEDTADFP MRTGLRELAKLNKGEFRLTGNQHLILSNIKDEELPEIKDLMTKHKLDNTNFSGLRLSS SACVAFPTCGLAMAESERYLPVLVSKLESTLEEVGLGRDSIVMRMTGCPNGCARPWLA EVAFVGKAYGAYNMYLGGGYHGQRLNKLYRQSIKEDEILEILRPLFKRYAAERNTDGE VPERFGDWVIRAGIIKATTDGMNFHEGIPEDDEEE ACHE_51242A MATDADSDPVVASYDVYLTDSEISRYVLQYLDRPANQAYDDRHG QKPTALRLKPQTGLVEVDVPISTRVNYDVGKGLRYGDAMKKSRSAREGGAYGMAGGFS GGSAGSGGGGKVKMEDGDVEMGGIKKGGDAEDTASLLRLQTLGGRKKTPEDGDPVYML AAFRGKDLHLSPVSAVVQLHPQLHHLDALDEVPTKGRGKARKEGEDERAAESEARTID VKIKAAAEDGEAAMVAGNLELLKKMQDEKWSTYDWVDAETEESWQTYEQCMVNQEIES LPQLQSVIDGEDYLDQMSAPRIDPAHPELTGWAMKQNRKKQHEDTPVTE ACHE_51243S MLSRVVRQKNALSHLRHSTGRRALPSLAPLRLHSQSNRPLPSER SRRTSLQLNRNLATTTDQTAIDNGSSYVPLDDSYAGNDEKSSQWEHLFSPRAQGFDDS SLIIIDDLVTTKPKSLRKVKGIGGDEDEMMANLDMSLKVGRFDRAATLISRMGNNYPV GSPEFLALHNRYLEEMVTSMIVTRQHNMVLPMQRWFELDMPNGKVQPDAKTYAIMIRM ALRMLHGSKRDRSVRRYWEFAKRDGVEDQVLAVPILSELELGELSEICSSDLQRMAID SMDQSQKDLDAEIEEIAEVMPVAQKGLGLASLKQSLSSFAKNPDTPLAEGEAEEDVEA LKQIRQRQIEADSIQSALERWRREFSERQKAGIDITGGGTRLGSVMNQWHTDLVSRLK EEISLIDEAENNPIRTRAQKERAEYGVFLRSLDPDRLAALTILAVISVFSRVGMDRGI KLSAIVSTIGKDLQDELIAETALKKNLGADARRIKALKQILSDRKRKDGRGRWQYLVQ SLLQEDSTLVWPPRVTVKVGAVLMSLFFEVGKAPVTVEDPETKKQVVTLQPAFQHVYQ ITWGKRSGLIHLHPEIVKLVAKEPAADLLGRTLPMVCKPKPWQSATDGGYYVYRSNLV RSTPGEKLQPAYIKAAIETHGIPEVREGLDTLGSTGWAINRDVFNVMLEAWNSGKAVA NIAPLEPEFGIPPKPAPEDGYQAEKEWNNIMREIENKRSGFHSMRCFQNFQMEVARSY LNETFYLPHNMDFRGRAYPLPPYLNQMGADNCRGLLLFADGKPLGEAGLRWLKIQLAN LYGFDKASLSEREKFAMDNLDNIFDSADNGLHGKRWWLEAEDPWQCLAACCELRNALR SPDPTQYLSRLPIHQDGSCNGLQHYAALGGDSVGARQVNLEPSDRPSDVYTGVAEYVK QAVAREAAEGEPIAKMLDGRITRKVVKQTVMTNVYGVTFMGAMMQVRKQLVDHYPDLN HLQKRQGALYIARKIFEALGAMFQGAHEIQYWLGDCATRITQSLSPEQIDDIAKIALS PGEVPEEVKPKSKDPTERFRSTVIWTTPLGLPVVQPYRVRKSRRVETSLQDVSIVDSN SEDVVSKRKQLQAFPPNFIHSLDATHMMLSANACRRAGLTFSAVHDSFWTHASDVDSM NTILRDTFVRMHSDDVIARLHAEFNVRYSNNIFMARVDAASKIGKAIKMLRKSKRNSK VTELLDEHKRQKLLKSDDPEQQARGREMVTPASLFDEMGCGHDDLRILSSLGENAVGH VPEDIEAAERKMSNQVDTQDPAIATLFQDIDPTMSNGEKNPDDAEGKEAEAPPETDAS YFGEAAEDVLKEEVKEQPKRKTKPPTVWLWLPVRFRDVPRKGSWDITRIRDSEYFFS ACHE_51244A MVSLWPWKGEDNSPAHFEKTLAALSTKINDSTARLDTHRQNARR FKALWTLYTTFAYLLYSIILALVLGWQNWGIVEYSAVSGGPVLIYVVRTLASRFFDYR ISKTQQHLDDLNKQRDTTIEKLKAATKYNSTQQLLEKYGGESPKPSPSKSDDEKPNML ETLRKPSTPQQQPVARTGLAPPPTANIRRRPVTPSAPSPSNPSPPPPYGTQTQPRSLP QTPPQPPLPQQPVNEPGFAPNAFPRSSQYIEQSHWYDRLLDVLLGEDETQPKNRVALI CRACRLVNGQAPPGVRTLEEIGRWRCGGCGAWNGEESEATKVLANIRNSQSSAGESTW EPVSRPDADSHSFAGDAAEGAVMVPASDPSPEASEGGPAEEDEEPQSTRLTRSKAKGG NRKG ACHE_51245A MTSPEKAGVNEAPPDQSPNRLTPPTQPTLQRENSFDGTDIVYPI RSVVSVDPTSQNSEPVPSHPASPIRDGVRRYSIIDANTWDQMQSRARTDSVNDPPKPI NATENPDLDEPEYQRRSSEATEESLPVINPEHPQNPEILEGTSLVTSRFEHVITERGH AVATGPGAPFQPCEDEPIHIPGAVQSFGVLVVIREEAGDQYLVRIASENSKDLLGYSP NELFALQNFCDILRDDQADDLLDHIDFIRDDSFDPSVDGPEVFLLSIKQPSRRSRKFW CAIHRCPADPSLIICEFELEDDQINPLNVSGRGSPASPSATLGLAPTPEQLAASTSSM SQPLRTLRNARRRRGEAAAMEVFSILTQIQDQLARTETLESLLNTATGLVKELTGFHR VLIYQFDLNWNGTVVAELVDPRASVDLYKGLHFPAMDIPRQARDLYRVNKVRLLYDRD QTTSRLVCRTAKDLETPLDMTHAYLRAMSPIHIKYLANMEIRASMSISINGFNELWGL ISCHSYGKSGMRVSFPIRKMCRLVGDTVSRNIERLSYSTRLQARKLINTAPTDANPSG YIIASSDDLLKLFGADYGALCIRDETKLLGKLTHSQEILALLEYLKLRQINSVLASHH VTKDFPDLRYPPGFKHISGLLYVPLSTSGTDFMVFFRRRRLTEIKWAGNPYDKKVVDG YLQPRKSFQTWCETILDQSREWTETDVETAAVLCLVYGKFIKVWRQKEAAMENSQLTQ LLLANSAHEVRTPLNAIINYLEIAMEGSLDTETRDNLTKSYSASKSLIYVINDLLDLT NIEKGQNLIKDESFDLPQTIKQAAEMFEGEAKRKGISFNVHSHPGLPTAVVGDQRRIR QVISNLISNAMQHTSTGGITVEVWRAPSQPDNSDVMIEMVVVDTGSGMSQDTLEALFQ QLEQVGSMDDEEGQDHLPSLEGQEKRVLGLGLALVARIVRNLQGQLGVRSKEGKGSRF KISLQFPVPEGTTSTADSDPRPPSRMAETTAPFQHQQEIMLVDRNRSLDRSRRQSAES NRSGQSGSSAQSNRSEADRLISAMQEPLLVRSASEGGATRTQQLDANDPLIPKPRKTT SSSVAATPTTEFAPGPDIRTTVPPVSNMPPGQTTVKDFGVPLGAIRVPEQDVERPPSP PKLVDGEPAPALGSPEKVPDKAPQQKAAVADRFNVLVAEDDPINSKIIQKRLEKLGHK VHLTPNGEACAGAYRADCKNFDIVLMDIQMPIVDGMQSTRLIREFEKETALDSLSEIA KLNGQVPIFAVSASLLEKDAPTYIETGFDGWIMKPIDFNRLNIFLQGLQDDETRNSTT YHQGEWEKGGWFAPRKA ACHE_51246A MAVTIHRGPYITIPANTSPGLQFLKSFLPALDCLDPISQPVAPF LHPDALVYVGSNPPSRGRQVVPLLEVRSKHLSAFHHDMEIAWDIVPEGSDGRTRTVMF QATSVTVFRNDPDQFAVRVEEFNVLELKERSKELGPGQEREGQLELDFQVVEMRTYLD ARPVQDRAANLHRETAFGGGKPVD ACHE_51247A MAIAESLSYPPEGLLHGYQGDNVAIKACLIVFSAIAWYNAVELI ILIFLTFNRYSGLYFWSMFLSTTIGVIFHAIGFLFEFFTIGPIGLAVTLATIGWYFMV PGQSFVLYSRLHLVEQSPVVLRRVFYLIVFSAIVILIPTTVLTYCTVYVRNPASIKGY NVMERLELTWFCAQEILIASIYIFQTINILRLRPEKELHRSKLMYELIAINLVMIFLD IALLVLEYVGLYTLQTTLKAAVYSVKLKLEFGVLGKLVSLVHTHRSDLTSSEYDEFPN FVDPAQLTGDVTHAAPVQDERRQSRPAWGRVSVDSVPASERRGRPSFSLETARPP ACHE_51248S MASETWDFIVVGGGPAGCSIASSLSRSPKRSKVLLIEAGSRRDE KHLRVDGQRWSTYRNASLNWGYKTVPQEHCNGREIDYSRGKALGGSTVINFCVYAFGA RDDYDHWADVVGDDIFKWDRIHARFRNLETFNGTIVDPAHAKYASPRPEDHGSSGPLH VGYAPEWEQDLPLIIDAFIKAGLPQSSDHNSGNPIGLTLAVNTAHKGVRVTAADLLGN APDNLTVITDAPVQRVLLEGKKTVGVESLGKKFMASKEVILSTGTLDTPKILMHSGIG PAEQLQKFQIPVIQDLPAVGQGLQDHFSTSLTFQRNPNTNDRNIFFKDPIAMEHAMEE WENSGRGPWARHSCQLGIGWFKSDIVPTMPEFKALPTSVQEFLQRPTIPHYEIIPNSP LHMFMPEAVKDYSYTCVSGYLMNPQSRGEVRLQSSNPDEPLLFDPKFLSHTYDRAACI AVSRHLLDICNHPAFQRDTVSTLMAPASDSDEDILEYWKANLFSIWHMTGTVKMGRQD DAGKEAAVDSRFRVFGIEKLRVADMSVVPVITSNHTQATAYVTGTTCAEVLKEEYKLD N ACHE_51249A MTEQERRGDDQKNAVVVGTDVENSPTRYQPTRWQSNITIASCYI ANFSDGYQNGLANPTNVIFKHLLGTDFTSEMKTRISNSLLIGAIIGIIVLGYASDMFS RRAGLLFTSSLVAIGTLMATVAMSVHPTMNMLWYFVVVRGIAGFGVGGEYPPSAAAGI EETDEFMRRYRGPMFVSFTTLMATLASPILMIIYLITLVASNNNLTIAFHAIYSIATI LPVIIIAMRVFMADSTLFHYSNFKRQRKSPRLILLLLRRYWWRITTTSLAFFLYDFIN FPNSIMSSTIISSLVKDNNVRTTAIWQVILAVLPVPGVLVGAWLTNLIGRRWTGIAGF AGYVVLGFVIGGTYTKLSQHIAAFVVLYGLLQAFGHMGPGATIGLICSESFPTAMRGM GYGIAEAFGRAGAAVGTQCFTPLQDWAGDSATFYLAGAVAVVGMCVYWLLPESGGLDL EEEDKVLRFWLEERGFTVDGGS ACHE_51250A MDTTTFRNVINNELTTTAEIRHGINPATAQPNPPVPVATREDLD RAVDAAQQAFKKWSKTTFEQRRAALHAYADAIEENKDALAAILTMEQGKPLTQSSMEI GFGIQWVREIPNLKIPENVIEDTEERRVVQRHTPIGVACAIVPWNFPVLLALGKIAPA VYTGNTVIVKPSPFTPYCDLKLAELATKFFPAGVVQALSGDDSLGPWCTEHPGIGKIS FTGSSQTGQRVMASCAKTIKRVTLELGGNDPCIICDDVDLDAVVPKIGIFSFLCTSQI CMMIKRLYVHERIYDEFRTKLADFVKSLPVGDGTKPETFFGPVQNAMQFEKVKDLFAD TIKSGYKTALGGDIPSASPGYFVQPTIVDNPPEDSRIVQEEPFGPILPMMKWSDEDDV IARANGTDSALGASVWTKDMDRATRMADQLVAGSVWVNSHFDISPNAPFGGHKGSGLG TEWGINGLLGYCNSQTLWLKKSP ACHE_51251A MLLGSSSQFFQQIGGCNAVIYYLPVLFEQSVGQSHLMSMILGGV NMVVYAIFACSSWILVERVGRRKLFLIGSIGQCLSMVIIFACLIPGDPEPAKGAAFGL FVFIATFGATWLPLPWLYPAEISPIKTRAKANALSTCTNWTFNFLIVMVTPIMVRDIK WGTYLFFAVLNGLFVPVIWFFYPETAGRSLEEIDLVFAKGFVEKLSYVRAAEQLPRLS DEDIERVAAQYGLGVDVEAPGRDTSESASQEQVEKTG ACHE_51252A MIFCVGRVVTGIGNGMNTSTIPTYQAECSKSHNRGLLICIEGST VAIGTVISYWVDFGCMYGSDDLTWRFPIAFQCLFGFIIIFGLMFLPESPRWLFARDRY EEGEYVIAALAGQEINHPDVQMQKTLILDSLRA ACHE_51253A MSAPSYVGLSGPWLTRAITACATMGFLLFGYDQGVMSGIIDARP FGEVFTQVQGDSTIQGVVTAIYELGGSQ ACHE_51254A MFRHISRQVSCSKHVAITRPPWRAFSSTARRPLMELTGFTDEQL TVRDAVANICVNFPNTYWQECDQNEKDPKEFHAALAKDGWLGIALPEEFGGAGLGISE ATMMMQTITQSGAGMAGAQAIHANVYATQPLAKFGTEQQKEETIPEIINGTWRTCFGV TEPNTGLETLKLKTLATRKPNTDVYSVTGQKIWITCAQVASKMILLARTTPLEEVKKP TEGLSLFCIDLDRDHPGLDLRKIKKMGGRAVDANEVFFDNYEIPTSTLIGQENEGFRI ILHGMNAERCLLAGEALGLGYAALERAAQYAQERVVFGRAIGKNQGIAHPLADAYMKL EAAKLATYHAARMFDSSRTSDNTIPVHTLGVACNSAKYLAAEAAFTACERAVLSHGGM GYAMEYDVERYLRECFVPRIAPVSREMILNYVSEKVLQLPRSY ACHE_51255S MNVLRRSTWQSYPHWARTLSTKATDGPLAGITVVSLEQAISAPF CTRQLADLGARVIKIERPGVGDFARHYDIRVNGLASHFVWTNRSKESLALDVKNPRDH RVLMLLLGRADVLVQNLAPGASARLGLSYEALKANHPSLIVCNISGYGPDGPYRDKKA YDLLIQSEAGMLSVTGTGAKQPAKVGISIADIAAGSYAYSNVLAALFRRQRDPEKRGC NLDISMLESMVEWMGFPLYYTYEGAPGPTPVGAAHAAIYPYGPFETGDGKSVMLGIQN EREWTSFCDKVLGQLELAKDERFVSNSLRVQNRDALKSIICEVFALRTAEDVLVLLDK AAIANASVNDMQGVWNHPQLQARQRWTEVKTPAGVVPTLLPPGMGTDADGLNARIEAV PDVGEHNEAILAELGID ACHE_51256S MPPTRAKKACTTCNRRRIRCNVMDRQPCANCVALNTQCEIGVSR RGKYPRNKIRRGTPQQQQGHAHAQILTPVSASSASLWRTPALENNVHINHAERIALKP SLAQTQQTVFLGESSPLTCVIDEGRSRSPKGYANEMSKTRLHYPIPERLDANTTRDEA LRAHKSAMEDQLTRNGAFAFPSKDIREPLLQAYFTWFHPCFPILDRKVIHDTYKNETI SPLLLQSMLFIGVSLCPDITFAKTGFQTRYRAKVLFHSRARAIYDADWESNKITKLQA LFLLSFWRGGPNEERDTRFWLEVGICLAQKRGMHVMLKLSFQSGREEMLWKRIWWAYY IRDQQSSAALGLPSRIRDEDCDVAMLEPKDIRDEESVDDQAVFGVQRAEHIPYPIEMA KLARLLRAIVSTQYVPREPTVNGISRETLHAQLTKWESHLPAEMKLGSHSTPSAIFLT GLLHMTYNNLYILLYRPLFLHPTTTTETQGAIALEAATRSTRIIEDMLSHNLVQHGPN HLITHAFSTLCIHTINCCRTTGTTRKLAEHRARLCLLGLQELQKSWDLENWVLDLFFR CLDESTAHSLRSVDVVTPVPEGRGERRDQGVGNLSGGAERNDTTSAIAADNSQPWQDT GPTDLTAPNDWYGLFNFTDDYTDVLGTSSQPDSLNLQSLEFLYRFL ACHE_51257A MTADVEPQDRDMVVEYDSENMEKQQDVKASPHYQDAFGDEEFAE VKYKVLNWWQCGFLMVSETVSLGILSLPAVVAQLGLAPAIVLLLGLGLMATYTGYVIG QFKWRYPHIHSMADAGEVLLGAFGRELFGTGQLLLIIFVSASHILTFSVAMNTITEHG TCTIVFGVVGLVLSFLLCLPRTLLNVSWLSLVSFVSILTAVIIAMVGVGVERPGKGNI QATVDTSLYEAFLAVCNIVFSFSGHVAFFSFMAELKDPKDYPKSLCLLQGIDLVLYIV ASVVIYCYAGQDVTSPALGSASPIVRKVAYGIALPTIVIAGVVNGHVACKYVYVRIFR NSDRIHKRDWVATGSWIAIGAAVWLLAWIIAEAIPVFNNLLSLIASLFASWFTCKSLG TSQLWSALTYDIDGFSAIFWLHMNRGQYFASPMKIFLTFANILIIGVACCICGLGLYA SGKALHDNPSSASFSCANNA ACHE_51258A MRDKETDPEAPVASQHIERTIFMEKEKIASDAEIAQTWSHDVIK RDEKTKQKNPLAGLTKEELMADVEAFAREKDLEHILDDLKKGALVAQDPKAFESLDEL SEEEKELLRRETTHKWHQPFMMYFMTILCAGSAIVQGMDQTAVNGAQEFYFTEFRVTN KWLQGLLNGAPYLCSCLIGCWTTAPLNRWFGRRGCIFISCFISFASSFWMAAAKSWVS LLLARFLLGLAVGAKSTTTPVYGAECSPANIRGALVMMWQMWTAFGIMMGYIASVVFM DVSNPSLPWLNWRLMLGSTAIPPMFVCAQVYFCPESPRWYMIKNRYQRAYEALSQLRP SSLQAARDLYYIHSALQVEEKLREGKQLWREMFTVPRNRRAAQSSFFVMFMQQFCGVN AIMYYSSSMFRAAGLSLRISLIVSLGCGITNWIFALPAVYTIDTFGRRNLLLTTFPLM SIFLLFTGFSFWIPNDNARVGCVATGIYLFMIVYSPGEGPVPFTYSAEAFPLYIRDIG MSFATATTWGFNFIVSFTWPGLKDAFTAQGAFGWYAAWNFFGWIFCYFCLPETKELSL EELDQVFSVPTRKHINHYRGMLPWYFKKHILRADVPPKKQLYDYE ACHE_51259A MYRLQSASFLAALLSATQVAAHGHVTNVVVNGVYYQGFDINSFP YMSDAPVVAAWTTPNTGNGFITPEDYTSPDIICHQNATNAKGHISVKAGDRVNIQWTA WPDSHHGPVMDYLADCGDSCETVDKTTLKFFKIDGVGLVDDSDVPGTWGDDQLIKNNN SWMVEIPESIAPGNYVLRHELMALHGAEMEDGAQNYPQCFNLKVTGSGTAKPDGTLGT ALYKPTEAGVSVNIYSSLSTYQLPGPTIWSGATSISQTTSAVTSTGSATAGSGSAAAA ASSGSGSESSSSTDSTAKNNVAVPTTIPVRVPVSTGPSSTGAAAPSAPSTFLTASSPT PSAPPSAASSASFTPSASNAGSVQSAYGQCGGVNWNGPKSCANGATCSSMNPYYSQCT PAA ACHE_51260A MLALLAFLPLLAQASSVLPRAVIDHDQVEGFAETIPSGTTGEVY KAYQPYLKVNSGCVPFPAVDAEGNTSGGLDTTGSQSGDCDSSTGQIYVRGGTSNTSNT DAYALMYSWYFPKDNPSQDLGHRHDWEGVIVWISDPTSTSADNILAVCPSAHGGWDCS TDGYTLNESTSEPLIKYYNVWPVNHQCGLTDVVGGQQPLVAWESLPNVSRAALENTDF GSANVPFKNANFETNLEKATY ACHE_51261A MNRKHSTMTALDTAAGINALARFLADQQLNDLAAYASVDCIIIC ASAVLHSTEVLFRTLQECPTLTRQLVLCGGIGHSTQFLYQAVADHPQFHSLAKAITGL PEARVLERILDEFFDGAAIRTAGCQILVEDESTNCGSNAIKSRDVLERFACDPKNIIL IQDPTMMLRTKASFEHAYKHVENRPRFISCPVFVPQVCPSAQGQLVYGPDSPPRLWDM QRFIDLILGEISRVRDDENGYGPNGRGFIGHIHVPAGIENAWGKLQLIFKGTRF ACHE_51262A MQLPLLALFPLAVSAVNIVSSNDDGWAEANIRALYDSLTADGHS VLLSAPADNQSGKGSMDDAPKNRDEACEYDSCPANSGPYGHNATDSHQNWVNSYPLTS MRYGIDTFSPVTFNGAPDLAVSGPNVGSNLGVTAFFSGTVGAASYAAHKAGIPALAFS GKSGDPTAWNASSSYPLTSQVYAQLATKVTDRVLKAGTPYLPKDVWLNVNFPEVSESK CSSVEDFKFVLSRIFDPVPLVSGDDIEICGDDHLPSETDVVNTDGCYVSISVGNAESK RDANATMQGVVHGKLGNLLTCLP ACHE_51263A MNTPSSGTATPPDLEQGEKAYIQKRLTLTFQNLNVRVTAPDAAL GSTILSEADPRQILDFFRRNQRPKRAILTNVTGQVKPGETMLVLGRPGSGCTSLLRVL SNDRASFDEVNGETRYGSADHNEAKRFRQQIMFNNEDDLHFPTLTVNRTMKFALRNKV PAERPEHLQQKESYVKDKRDRILDSLGISHTQKTRVGNEFIRGVSGGERKRVSLAEMM AGQSPVQFWDNPTRGLDSKTAAEFGCMLRHEADTNGKTIVATMYQAGNTVYDQFDKIL VLAEGRVIYYGPRSMAQRYFEDLGFVTPKGANIADFLTSVTVLTERIVKPGWEEKVPS TPDQFEARFRETSIYRQMMDAIEPPEKLTHEIEDMKLAVSSEKRKQHLPRPQSVYTAD IWEQIRICTLRQFQIMAGDKLSLCIKVVSAIIQALVCGSLFYDLKDDSSSIFLRPGVL FFPVLYFLLESLSETTASFMGRPILARQKRFGFYRPTAFCIANAITDIPVVIVQVSCF SLILYFMSALRMDAGRFFTFWIIVIVQTLSFIQLFRAIGAVCNKFGNASKISGLLSTV FFVYGGYLIPFEKMHVWFRWIFYLNPGSYAFEALMANEFVGLQLQCIEPDYIPYGSGY SDSRYRGCSVLGSNEEGIIDGAAYIRQQYSYSVHHIWRSFGILVGFWAFFIFVTALGF ELRDKQGGSSVLLYKRGSQKRGSEQDCKEQQQRPKVSADALQQRAKHSTFTWNNLDYY VPFQGEKKQLLEKVFGYVKPGNLVALMGSSGAGKTTLLDVLAQRKDSGEIYGSILIDG RPQGISFQRTTGYCEQMDVHEGTSTVKEALIFSALLRQPSTVPQAEKIAYVDHIIELL ELQDISDALIGVPGAGLSIEQRKRVTLGVELVAKPTLLFLDEPTSGLDGQSAYNIVRF LRKLVDGGQAVLCTIHQPSAVLFDAFDSLLLLAKGGKMAYFGETGKDSDKVLNYFAKN GAPCPPGANPAEHIVDVIQGKANAKVDWVETWSQSNERRNAMAELETLNDAGKADPNY EEDQTDFATSKLFQFRMVLERLMTQLWRSPDYMWNKIILHVFAGLFSGFTFWKIGDGT FSLQLRLFAIFNFIFVAPGCINQMQPFFLHNRDIFETREKKSKTYHWIAFIGAQAVSE IPYLIICATLYFACWYFTAGFPVESSISGHVYLQMIFYEFLYTSIGQAIAAYAPNEYF AAIMNPVLIGAGMISFCGVVVPYSQMQPFWRYWIYYLDPFTYLVGGLLGEVLWDVKVH CEPSEWVNFDAPAGQTCGEYMADFLSEQAGYLMDSNATLSCSFCQYSTGADYAKTFNL REKYYSWRDTGITALFCISSYALVFLMMKLRSKKTKSARSE ACHE_51264A MAFSDSTMSLHSPSSGRIRELSRLYSFTGTPLTPETQSSPGHFR NRDRSVSDTAASLTSDITLKALNQLGLYRFNCTHSFTCLTLEQRRHIIAEASNSVSYG KGRQSRDSGIDFGGDSGSGLSLNAALPASRDQSSVFDITDSTAKGECCIILDMSKDDS FKSHPHAAQWPHMRFFAEVPLYDPERYVLGTYCIMDDSPRAVFDNADIEALRGIAESI AHHLWTQHKYSRASRMMSGLSTLVQSPGDEKNEFQRRGSQAHVNVLSGGNRDEGGTSG VVPLLSPTGRLSDAQEVDSAPIPTPGEVSPCTMRPQPSEDNEATPTAPPQKQKEPETK FNLPSPLPFGEFSDSINRAKTTEDSRRASRLISVSEAPTVSQQILTLFARASSLLREC LDIEGVLFLDASRSNTRRNSCISTSMSDTSQDTTHTDTSSHPPRSPGLSSDSIWSSNV GRLCDVLGFDLDETSVKSASTPAFGFTDGLIYDIITAFPHGEIFNVHEQESQEGDGEP GFPGFSARSQLISQLRSRFPSAESILFFPLWDWNKSGWLAACLLWSRESGRFSTEELD YLKAFGNSVVSQVAQTDFTTKERAKSDFISSISHELRSPLHGVLASTELLQSTRLQPA QQDMIRMVETCGITLLDTMNYLLDFAKINNLTAVNAKGKAPDLATTFDLDSLIEEVTN SLYAGHRFPKSVDQATGRFRPGSVGSSQESVSEAKAQEKLSVVVRLEEQDAWCVRSVS GAWRRIVMNLFGNALKFTKAGFIEMTLSRSDIASDPKHVLAHLSVTDTGCGISPDFLE NRIFTPFSQEDILSEGVGLGLSIVQQLVTSLGGYVEVKSEVGVGSQFDVFIPIQRPCA SMSTAQVSGDKQPKKVSLVGFNPYDDLKKGPAGMLTTNVKRKLALRRSLSSIILTQPG WMVSFADSLSNASGDMAIIEEDKLREIAANGPIRTGFGHIIILGEYGTPFDSDDIIKK ATVVHVTQPIGPRKILEALKKARPSPQEHVRETKPAKMSTLVHQSSMKKHPLSNVWTA KSSPSPPPPEKKASQNDLHVLIVDDNDINLKILETFMRKLGTSYESATNGQIAVDKYQ QSERRFDYILMDISMPVMDGITASAKIREYEQEKSFPPSVIMAVTGVASAATQQQAFD AGINDYLVKPISLHELKRMMNIK ACHE_51265A MTADRQEKDVAATVEKSEETSHETDPVQEVLRAAGVTSDADDPD APCLTLRMWILGIGFCVFGSGLNTLYTLRKPSITLSQSAIQLLAYPLGKLWEKVMPNW HFRLWRWTFHLNPGPFNQKEHILIYIMSNLSLLSRLSADVLTEQQAFYGYYAGWGFQI LICLATFLVGFSLAGLFRSVIVEPPDMVWPGILGVTALNRVLHGQKKEDITSGMTWKI SRYSFFSLAFCASFVWYWFPDFIFTALSYFTFPCWIKPDDRVVNQVFGMTSGMGLLPL TFDWSQIAYVGSPLLVPNWAIVNVAVSLVFWIWIVATALYYSNVWFSAYLPFQSSSVF DNTGSTYNVSRVVNQASDYQVDDAAYRAYSPLYMPITYALNMFGLSFATLSALLVWMF LEKGNLIWDAAKRLYAAIASPFRTRLQSSQSTTETYRDVSLLWYVASSVLAIFLSIFA VEYWKVQLRWYGVLLALAVALVFYVPLSVVYATSNVKINIDVFCRIVAGFAFPGKVLA NIWFFDLGYISVIKGLYFAQDLKLGVYCNIPARKVFLVQLVGMIWGTLSYVSVINWAL NHIPGVCTNSGTNGFTCPFSRTHFNTSTIWGAIGPHRFFSSRAGYSALLYFFILGAAL PIPVYLLRRRYPQSFWRHVHIPLFLGGLNYIPPASGTNYGSWAIVGLTFGFLVRRRAW AWWHKYNFVLSSALDCSVSIAGVVIFFAIFYSGASSRFSWWGTQVHKNTCDAKGCPYL SLDKGETFG ACHE_51266A MASPSCKVQLYYGPTAHFALMQQVYRDLMSNQAAQAEEPQGEVE EAGAGLDLFSFRRIFFGTPSEAPDLGKSANMSGLPTTMFLSYGLANTFLQRFLSTLFA LIPVRSQDFFQQQLDQLYQPSLGVEPDTAYHALVLLALAMGSLGTEHYAWGDVLFERA KASSATLDEVVNLQTVQTSLFIAHYQMEQGRPNSAFIHLGIGARKAIAAGLHKEVPSQ DDETSESIEERRATFWYFYFYETWICFHLGRPSSLSLRDVTVTYPKDSFLLVLLHLAK AISRSADEIYGQRHESLLQMWKIAKSISNDLHGHDCLMKQALGFGLDKPPQQGVLGVR QTVLVTLYYHTILLTYRPFLIFRGRWQRDMKRSAQDPGSNAAKRPTEIPAWLNEACAN VLNCASATIHHLCGAACVNELVKELRYHGFFIGSSSFALFYNLMHDESVASSHLPWIH AGIWYLSTVRPGDPIESSISAMQTMLKKLHPSYEWIPPERTRQIHGAENTSSFATRPF SSEGPGPQEVPMDLNPPDEQLPEAGFPVLPDLQTNILPGGMKVPSVGSGEDLLDLTQS DMGWDFDFSTMDLEEIFSFYPGTGPPAI ACHE_51267S MAGGPKKPVNIFRLRNLGDPKEVFNWKLWFAVVSFALMGAARGV DEGLISGAFDSADFQRYIHYDSYSKVEQTNIKANVSAMVQIGSVGGALFAFLVCDRIG RIWATRQLCLLWILGIAIFMGNNGKLGAVYAGRFVAGLGVGQTVVVGPVYLAEISPAS VRGLCTCIFTGFVYLGIVLAYFANYGCELHMGDNTHNRWLVPTSLHIIFAGLIFVLTI FQHESPRYLVKKGKEEQALKNLSRLRKLPVDHPYVQEEMNGIRNSHYAEMEATMGSGW FGIIKETFMIPKNLYRLYLACMAQLLSQWSGAGSITLYAPDFFEILGITGSNESLLVT AVFGIIKLVAAVVCALFLVDMIGRKRSLLIGITFQAISMIYIAAFLSTEPKMGVVDGY EPPEAKAGASRGAIAMIYISGMGWALGWNSMQYLLTAELFPLRIRALATSMAMTLHFV NQYGNSRAVPNMLLPTPHGITPLGTFWFFAAVTVVGGIWVLFTVPETAGRSLESMDRL FELPWYKIGLYGNRDAEQRDLVHSEKAEIMEESTHMENRDNTGRV ACHE_51268S MRLGDISADRNVLSQSHRRDQLIEWIKGLLAVPFVLHSHPTAVY DESSKKLRAVAADTHQRYREIMRDVENLILDHIDHEWTSAPGKSKLNLLVPTVGTFFT KLPLEDAFRYQDDHRFISRRRFVAPSFNDVRLILNTAQVLGLLRSNGPDLVTFDGDVT LYDDGACLTEDNPVIPRLIRLLSQDRKIGIVTAAGYTDAPRYYERLKGLIDAVHESTV LTAEQKSGLVVMGGESNFLFRYDPNDAVRLVWVPREEWLLEEMKYWKEEDIAELLDIA ESSLRACATNLSMPVSVLRKDRAVGVFPDRGTLIDREQLEETVLVVQNTVERSAVGSR LPFCAFNGGNDVFVDIGDKSWGVRACQQYFGGIDRTKTFHIGDQFLSAGANDFKARLA STTAWIASPAETVALLDELEEHEKALSIPDALIDG ACHE_51269A MPPTQAEPPKRRRIGVLTSGGDAPGMNGAVRAVVRMALYSDCEA YTILEGYEGLVNGGDMIRQVQWEDVRGWLSCGGTLIGSARSMSFRERPGRLQAAKNMV LRGIDALVVCGGDGSLTGADVFRREWPGLLDELIQKGELTKDQVEPYRVLNIVGLVGS IDNDMSGTDATIGCYSSLTRICDAVDDVFDTAFSHQRGFVIEVMGRHCGWLALMSAIS TGADWLFIPEMPPRDGWEDDMCANIVKNRKERGKRRTIVIVAEGAQDRHLNKISSNTI KDILTKRLGLDTRTTVLGHTQRGGAACAYDRWLSTLQGVEAVRAVLDMTADSPSPVIT IRENKIMRTPLMEAVKATKDVTSLIHNKDFDGAMALRDAEFKEYHFSYLNTATPDHPK MILPENKRMRIGFIHVGAPAGGMNQATRAAVAYCQTRGHIPLAIHNGFPGLCRHHSDE PVSSVREIDWLESDNWVNQGGSEIGTNRSLPSEDYEGTAKCFEEHKFDALFVVGGFEA FTAVSQLRQAREKYPAFKIPMVVLPATVSNNVPGTEYSLGSDTCLNTLIDFCDAIRQS ASSSRRRVFVVETQGGQSGYIATTAGLSVGATAVYIPEEGINIKRLSKDIDFLRDSFS KDYGTNRAGKLILRNECASSTYSTQVIADIIKEEACGRFESRAAVPGHFQQGGKPSPM DRIRALRMAIKCMLHLESYAGKAPDEVAADELSSTVIGIKGSQVLFSPMGGENGLEAT ETDWKRRRPKTEFWLELQDTVNILSGRTSFVAESEESQSFYS ACHE_51270S MSSDDHGDSSTATDSFTIPLRPLIEKRHRPDTLPVEIAQINAQW GTFRGVNEDQLRAKIQEEKDKEGLEDEEEEGEKPAGEVDSTERLEQLYKRRAEITQFA LQAQMETMFALDFVSLLLSKHAPRQAETSMSAFLRQAVPLGSLNSEIVNPPPKPESAA QDPKAVSRGWRLQSFDSAANKLLKSASRLETEVASETRYWSEVLAVKDKGWKVCRLPR ERQALGVQYGFLEATPIFRDRGLASLRRADDGSLILDKGLVPSKSRRVRVRVKANNRV TGCSKSTRSTADGEESIETRILQARDTVYEEELFHELVREARIMGSQGVTTRQNLIRF AVSEEQEIMLDLADTDLESFSEDTDMIGSHEDDVLADAIAHATRILLSYAHRQNLHRR TQPPPPLSQKRRHTPEYQLLRPILAYLQHRSHVQWLESFIKDMYGVLKAAGVECDHTA NLFSPVNAQRKNHTLPKVEGLVQGFLVPLESTISGSLITPQSPFRVRIRTSLVTPPFG TNYDISMTMPQHPGIQPPARVGLRNEAAAILTHFMMLDVVSAVSSYRPQPAADGHLTW QAAYPHHGELLTVSPTTGEHKKKMKVTLARGEMTLHVSHVRAADGTSTCSQTWKADPI APQQPGLMEFVADVSKE ACHE_51271S MVLYSPWKALGLVSGLCVAIAQSQSTLASNSPSNTPSPTQFTIP SSAYAAPTVLPNIVDPQAVDPQKVCPGYIASNVKNTLNGLTASLSLAGEACNVYGTDI SSLKLSVEYQAADRLHVEIVPTYLDVSNATQYLIPSGLVARPQVENRNASSDLQFSWT NKPSFAFTVTRKSTGDVLFSTEGKKLVFENQFLEISSSLPENYNLYGLGESVRPFRQG NNYTQTFYAADAGDTVDYNSYGTHPFYLETRYFTQDADGKRTLLTSENPSPDANYTAV SHGVYLRNAHGQDVLLRPDSITWRTIGGSIDLYFFPGPSQAEVTRSYQKVIGLPAFQQ YWTLGFHQCRWGYTSWKELDDVVKGYTDFQIPLEAIWTDIDYLNRYRDFENTPGFDYQ TGKDFLQQLHNGGRHYVPIIDSAIYIPNPSNPDDAYPTFERGNDTGSFLRNPDGSLYI GAVWPGYTVFPDWLSQGAEGWWANEMIEYYKKVAYDGAWIDMSEISSFCTGSCGSGNL TLNPVHPPFALPGEPQNLVLEYPEGFSATNASEAASASSAIASSSSAYAAPTTSSATS YLRTSPTPGVRNATYPPYNINNIQGALPAKAISPDATHAEGTLEYEIHNLWGHSILHA THKALSQVFPGKRPFIIGRSTFSGSGAVAGHWGGDNYSKWAYMYFSIPQGLQMSLLGI PMFGVDTCGFSGNTDMELCSRWMQLSAFFPFYRNHNTLSSIPQEAYRWAEVIDSSKTA MTVRYQLLPYIYTLLYHAHQQGDTVLRALAWEFPDPLLASADRQFLLGPSILVTPVLE PGSTTVNGVFPGLIQGTETWYDWYNGSAVPVPAQANTTIDAPLGHIPVYVRGGSVLPL QQPALTTREARQTPWDILVALDKNGEANGDLYLDDGVSVEPTSTLTVDFVVKNRSLQA SITQGGWTDGNALQNVTVWGVSDVNTAQVKFNGRPVGAKNVQFDEKRGTLVVTGFNVG AWTASGWKLEW ACHE_51272A MARATATARKSTGGRASRKRLGAKAARKTPAKKKGTRKFKPGTI ALREIRRYQKGHELLLPKTPFRRVVQEITSEMMFEKDYRFQSSALDALQEISEAFLVN EFEMTNLCAIHARRVTIQARDMQLVRRLRKHMGLDPVGTV ACHE_51273S MTRKPGIPGPKPGANPGKKRKQDKDLSKNPHTMRGRELLASKSD SEKAVIRRKNNDRAAFVSARLKLRASTSWQEATMEEQEILENSLKDQVMRERYEKGQS AQFFLDQLEGESIDSSVWETVDFENDTELCYHAQLDDIASHETIPTTETAKEAEAAQS SATGQLIKTLHTITWGHFRLSLLRSLASLDKKLKILGKMESCHDPLYYNGIPFCLKSI LPEKVFLKEERAAWSIMSSISSNPWATLPGPADWWEGYSCQSLAKFWGFASKEEAKAL YRLGIYIIQNKEAPENAGGLIDEVMGLLELLP ACHE_51274S MKTSARTVRRRLKEWGCTQRFPIPDTPQLRARISALFFEYCASD KEIIYILEKEGYQISSYGLSVLRARLGLTRRVSRFNREEADERLLNIVQKELDKGAIE GYGRGYLYSHFRNQMHCISRDRLFAAVKQLDPDGVYRRANDLQRHRGEYIVPGPNWLW SIDGHCKLNFYGIEIYAAIDAYSRYITWIYIGISGRTAISILVQFLTTLQKEGVHPQR IRSDRGTETPLLAAAQHAFMKRHVADISFRDCYWYGTSTANQRIESWWGQLTGGLLFR WRDYFQRLHSEHLFEPDNLADKIALLAIYIPILRQEVQAFARMWNMHTIRKQPNRPNA VHGKPIMLYFYPQDPCIQNYGLKPDPQLLQELTDQTASYDLNEYLPSETKNWCNEKLT AFGHRREPDDIDSVDITGDGKDIAADDNNFIGDLDSQF ACHE_51275A MSEPWTPFCRRKEHFEHAVHCADDISCPYCGMTNPDTSTSQDII FLPQTPTQSSGKRNTPIEISSSPPAHQSTSSTFPQLDRTSEIARQQSIQRTQQSTQIR PHAGSKILSSRPQVTRTQRTHSAQPTLQKFGVTVIIYTGFLYDFDLGFGDRWKCRTSF GAYLTPADTYETEDDLIPILARNYQRWDDLLRKYNPVKIMIAKTFEKGIGQPQIIPTD AIKLPVPHILTECFSANKIFLFLGCEEELSDVEDTQDTSMKIKKEKGQKVKEEKGPKI KKEQSMPQKPRQTYQRKRNHSQVSPQSSQTTPLRYVQPRVALNRNSKKSESPEHVNDS TEAEDQTTLDGVYAEEQELLEIQEPEELHLDAPAGRTRQRLQQN ACHE_51276A MYINPTMATYLITGSSRGLGLALVSRLASLPSSDVGAVIATSRQ DNSPRLRELINGSSGRVGFVPMDVTDAKSIQEAVENVKDRLSERGLDVLINNAGVMPV TKSGLEAMDNLNDTFNTNVTGVHQVTSAFLPLLRQGERKVVANISTTLGSMNMSSTFS SMPVPAYKITKAALNMLTVQYAHAYAKEGFAFLSLSPGWLQTDLGGSRADLPPETGAE AVVDMVRNITTEQNGKFLNIHVPGWEENPGFNQYDGKEVPW ACHE_51277A MGWFATIPPERRLLWKIDFFILSFCCVTYFFNYLDRSNLTNAYV SGMQEELAFHGNQLNVINTVFTVGYIIGQIPSNLAVTYIRPRFFFPAMVLFWGALTMI TAAVRNPQGIMAIRFFLGVAEASTFAGTHYILGSWYTERELGKRSGIFTASGLAGTMF GGFIQTGIHSSLDGASGMSGWRWLFIIDGLITLPIAIYGFLLFPDTPTTTRAPYLTES ERALAVSRLPEVNADKAPLDLKFIKRLFTTWYWWGFVILWVIAGETESFSSNTLLALY MKAHPTIHYSVAQLNNYPTGVPAVGIISTLFWSWLTDVLRGKRYLVGYFIGITGIVTS VLILTRFNSTATVFGAYYWAGAVYACQATFFAWCNDAMRAQDARQRSVVIASMNLGNN AVNAWWSILFYSANLAPRFTRGMWAMMGCSVALILWTSGIRFVTAREERQPAQNEPET QITKHA ACHE_51278S MGASDLSVVSPRAFVGREANASNDNNDDVRSIWSEKSTHIDGQN DLRFWKSNSQHNVASPDDHRESNAPDESPDRPTTQFSFYSPGLEYAIHASDLKSLATS HQPVSNLLENGETGDVWWIDATAPSMEDIEWLSKVFGIHPLTTEDIGVQETREKIELF GHYYFVSLRSSHPNQKAGGTSWSILNQYALVFRGGIISVTFGPSPHAANVRSRIMEHK SHLALTSDWICYALIDDIVDGFGPSIRKVQQGIETMDDSFAITRPDDISLVLQQIYKC RKEIIRIRRLLTDKTDVIKSFARRCDERCFITPSCEVVVYLSDIQDHVLTMMSNLAHS EQMLSGSQMKYVEQLSMDNNRTRNTTLDTLSKLTVLGTMFVPMQALVSSFGMNVEVPG KEVHGVAWWFGIIGGLATVVIVCLALAKLRRWI ACHE_51279S MSLSVVAIAGAAGHLGQHIAAAFLSAPFQDAFSRIILLSRSEQG SSSPLAKYKSHSKVIFRQYDEEDPQSALDGVQILVNAVGATGHDFKEKLLRALPGSGI RVYFPSEFGVDHYVHDFPHGEWDKKKKHDALAREIVPDVKVCRVFCGLFLEDSIGPWF GLNTKHGKYGSVGSSKSPVSFTALDDVGRSVAQLAAKPFDQIPEKVHIGGDTRSITEV AQIMEQAGAGKIEVSEVDLNEYKAETTKDISSTDPARFLRFLMGERKIEHTTQGLGNS NESVNPGEGVWKWKRMEELAKETGGRPWGDYEWS ACHE_51280A MPYKLTPDGLMTHIDKTSAIRAARNPGPAFLDAILADYRKKAAN LADKPHSEQTASGQLSPDAA ACHE_51281A MVATNVTKPSPSHTIPVIPTQCKAGVVVNEGPNFEVRVATVPVP TPGPDEILIRLNATGLCSSDVHLMAADLGTPPMSAFGVRSPGHEGAGIVVQVGANVKT FQVGDRAGIKPILDTCNNCDLCWDDKETYCSDAVLTGLAVAGTYQQFVVSPARYASPI PDGIPDEVAAPIMCSASTVYRSLRESGLKPGNWAVFPGGGGGVGIQGVQMAKAMGIRP IVVDTGFTKRTLSLEMGAEAFVDFRETSDPAKAVIDVADEVGAHGVFVTAPAAYKTAV SYIGKRIGAIIMCIGLAPAGTIVMGEDPNTFVFRNLTVKGTLVGSRRDTAAALDFARR GMLKPICEVYPLNRLPEAVEKLRKGEVAGRIVVDFNSWAD ACHE_51282A MSAAERNYPNPPDDKPGWLAMAQAVFNTQAARWDTKTCSGGLKW QIFTFNNGYDYKNTISNGCFFNLAARLAKYTGNQTYADWADHIWDWTKSVGFMTDEYQ FWDGADDRQDCSEFNRIEWTYNSGVYLLGAATMFNYTEGNPVWEERTRNILNATTAAF FTDSVMYERACEPVDTCQVDQRGFKGYTARWMASSTQMAPFTYDLIMPLLRASAEAAA ETCTGGDDGLACGQKWVTRKWEGEEDVGLQMAALEVIQSNLITRVDPPVTNEHGGTSK GNPGGGSPPPEPRPSSLTMSITTKDRAGAGVLTGAVALIVIGSTGWLIWD ACHE_51283A MSEYDFIIIGAGVGGLVLASRLSENADCSVLLLEAGPNRMGDSR IETPGLLGTLYGDPEIDWNYMSEPQIHVNNRQIGQPRGRVVGGSSAINFGIVMYPSRS DFTAWAQLGNESWGPEEMAPYLRKFHTYTRPSDSTSSLLGIDEYMKTEAQGVDGPVPV TLPDVYGEFNQAWNESFHKLGWRASGDPITGENIGAFTSPLTVDGNGQRGYATAYYTP EVAQRPNLNLRAEIIVEKILFDRSRETPRATGVQIRTQDGNQCITARREVILSAGSLN SPQILELSGVGQADLLQKQNIPVVVDRPGVGENLQDHSLVALSFQVADGQISGDVLRD PNVAQALLKLYEDTHSGPMSGMPVSMAYLPSVDSNGALSAEAVQELVQNYLGHSAPPA LQQQYDLLQKKLLDEKTPDVQFMFLPIQVHMKPGATTMTQALAKDLPENYISILALNN NPFSRGSVHIRSSQIEDKPIYDPKLLSHPLDLELVARQLQYIDRIVQTEPLASLLKAD ARIPAHAIDLSDLDVAKEVVKERLYTCFHPSGSCAMMPADKGGVVDSRLRVHGTSNLR VVDASVFPLEPAGNIQATTYAVAERAADLIKEDHAEAPVERSIISAFLPSCIVS ACHE_51284S MAAPQLYNVFQNAIVRDQLVANTVLAVLATTMVLMRFVSRRIRE SRIWWDDVCCVLSLLHTYGMLAMHYHYARVGMREHITDIPKQNTILMLKMLIAYQIVY YNAMVLAKLSYLFFYLRIFVTEAFRLAAWICMGCALSYWLGSMLQIFLICQPFAKNWI ATLEGHCASQNVAFSTIGAFNLVTDLLIMLLPVHFVRKLQMSPATKLGLYMIFGLGFF ISAITIIRIHVLTTVDFTDLPYSMIWAAFWSVAEPALAVTNACIPMMRPVLKAFFPNL FSSARDRDYSSETGPSVGSSLNFHKRRNADDLDGEMPLTQLQDGPGKWSRIGDEVSLN ERLQEGHSATHLPRSVIES ACHE_51285A MATNVSFLSRNLKLAGHLYHPPANAPNRNGAAVVIAHPWTSIKE QSPTNYARVLSQAGFICLTYDAAYQGESEGQPRALDDPNQRVEDIKSAVTYLVSQKDV DSGRIGVLGICASGGYAPFATQTDLRIKACASVAAVDVRAMARSGLEKNTTTPEILKM QLEGAAADRNSDVTGDKVPIVHLLPEKPEDAPANMPESFRDLMNYYCTPRANHPRATN TTIPRSWDIMANFDSFAFNSLISPRPLLMITGTKAASKWHSEEGVAKAKEPKELFVVD GKTHADLYDQVDEAGGKCVEFFGKNLTA ACHE_51286S MDHYDPSLQKAIHEVRPSDPVSQICLIWTDILQYYFPQPIKQTA MTDLPELFPDMGLVLGLIALTIDISSGPLRGAPFFHVHVRDPPHSDLALGWDEAEKEI KCELNGLEIKSGMTVIYAAVVIGPYVRFYTYAGQSLKIIHWTERQTLHMVHDHNDIVG HFDVMKSIFHGL ACHE_51287A MKLQPILSLITCFVFFIGHVPAHSIRPRAPAKVANIENAIIHTP SQRVTASTEFNLTFSLAGQPSEFRLSLEPNHDLLSQNLNTRHVDVNGEVLRTETSGRA QHKIFKGKTLVRSAGGGWEAAGWARAFVVRDGNDPLFKGALSVFSQKYHIGIVSDQAG VPASGRRMVVYHDFPGVPQTNVEVGALLGRPDAAIPFTKRQYLPDSTDLTDSIGSTSG CPTTRRVAVVGIATDCTYSAAFDSAEEVQQNLISMANTASEVFENTFNIALAIRNLTI TGSTCPSNTSNSIPWNVGCSAGDMDWRLQRFTDWRRTLGDRTNAYWTLMTGCPNGEQI GVSWTGELCDSQLGANVVARTENAWQVFA ACHE_51288S MASMQNKVFAIFGGASGMGLVTARFLLARGARVAVCDISADNLK QLHTSLPTDQNSHCFVQTGSVTDESAVEAFLTKTKSNFGKLNGVANYAGVPGHKLGTE AIWQTSQEEYHFIMDVNIRGLFNVLTASLRPGFL ACHE_51289S MHQANLARIKDFDPAPKTAIPRDGRAEEVASVAVFLLSDESSYV TGAAWSVDGGANA ACHE_51290A MDSATQQHLAKLLEWAKIQSINDLNAESVDYLKYELKGRIPITQ FRVRDHEYFKWRKEHPDVVDVEYDAPNAHVIIKATTSVLYDGALNAFERWFDKWSKEI NADGSRGHYYCKTLIDLHLLGKYEDSEKQADVGLWKVGHRYPSVVVEVGINETLREDG KRWFEGTCGKTKRVILLNITEEKRPDLSVKDQTWGLHAKQLRTIEHDALTKQIYDWHE KHKIPLVGERFVFDLASLRPGGRITDAVFKAPIPHLKWQAPGRQSMQKTITVVLCDDE EDYENPDNLTNDLSLTKLAYELDTVISMELPKERASKIAWDYIVKRELEK ACHE_51291A MSGTNNMSIISKITYRRLNMIIYHVISSPSLFLLSHRFSDKAQH CCLLKSTELSIAIQDATEYSLKNMFKQDGKFNVSRLAEDIPPLRIHSVVECRFQAFVR SKLHWIKTPTMTLYKMLSSSCMAFSIVSRRNPFQFIIFSFPKAFGHNCATGQQSIRNN PT ACHE_51292A MSNSTSSSPGNWIIGGYTGNSDGVRIAIATFVGVAWYNVGELMV LVFLTFKKYRGMYFWSMLSSAWGIIPYSLGFLLKFFNATDALWLPITLLTVGWWSMVT GQSMVLYSRLHLVLRDIRILHLVLGLIVLDVFLLHVPTTVLTYMANYYHTDATVTGYN VMEKIQLTGFCVQEIIISGLYIWETNRMLKLNPENDSRKVIFNLFAVNLACILMDIAL IAIEYANFYMYQTTLKATIYSIKLKLEFAVLGKLVKIAHQHVWRPQLIAGPLGYPVPM DTNQLFADLDHNYASTRTS ACHE_51293A MVSNENESGGKIPNAESISALDQIDVEPCWDENSLALDLSLDPV LEVQDENEPWNDDPFEFPTLFPGVPGPMDLTPDAWELLSYYRDRVIPLLSPLNSAHHK SPWNHLILPTAMNVLAEKSMGVTVTATRSALLNAILATSAFHRRVSGGEYWQVTSESY QKQAEQDLLGGFQRELGSAQKRVKYKEVLMALVNLATLSVFQGEPDKLLACLLIAERY IRTKGLTKRRLSRKVRLLHHCYAYLRIINETVLLPDIVTDKGHELQSILDAPGPPSEA PLRITKWMVVPDFGLSSAKDLQLGQNDLHLEFPGRWDLTMYPEIFGIPESFVGLVSHI TRLGNERDRLLNGSPADNGCTPTMRDFLLRAKLLDEHVCRWEPPSGPHHFMFCAMQKA LSIFFYRRVYDVDVTTLQHSVRQVRDLLAQSQTWYTESGQRGVFPVWPAFVTACEALS PDLQEFFQTWFEQGFQQGGLASFRLAASIVRDVWGNRAQVRGKAVHWPEIMRSKKLAM VCM ACHE_51294A MTHGIRAIDLPGTRAAYYAPATVTSQPPSSWIHVSGQPGSLVNG EVPSDYESQIHLALLNLHKVLVAAGASIADIARLTLYIVNYDPQQRKHTRHIERFLRS HRPVITLVPVSQLACPEWLFEIEAVVAKPPAIPMQLTPPGAKVWDVVIIGAGLAGLTA AEHLIQSGHSCLVLEARDRVGGRTWSTTLPDGKGTVDIGAAWINDTNQSKIYQLAQRA GVEFIEQNTTGNCLLQESAKSKQTFAYGEVPFDPETQKQLVEIRDMVEADCQQVDCPR PEDSTLDSMTFLAYLKSCQASDRAISTAAIWTRAMLGQEPEDVSALYFLNYCKSGGGL LQMRSDRRHGGQYLRIRQGTLSFAQTLAAALPSGTVQLSSPVTAINQQFSGRVLVRTR NRTVQASKVISAVPSTVLRTIDFNPPLPARKQLLVDSFSYGYYTKAMMVFRSAFWVNK GFCGLVQSFTGPASIVRDSSIPNDDKWVLTCFLCGDSGRQWSQLQSSERTNVLLNQLG EIYGDSDLVRREYVTTVEHEWSKEEYSGFGCPCPSLSPGVLTVAGDTLREPFRDVHFV GTETAAEWKGYMEGAVRSGERGAAEVQKQLAMAHL ACHE_51295S MANVLLVGCGGIGTIAALNLQTGGKARVTTVLRSNYDHVKQHGF HIRSLDHGVVESFRPDIIRNAVPNVSEEDIEPYRYIVCTTKNIADIPPSLSDLIRPAV TPGYSVIVLIQNGLNIEKPILDAFPQNIVLSSVSFCGSHEVGTGQIVHEDHDRAFIGA FDNPNLDAQVQKDAAKEFVEIYKAGGKCSPEYQPDVGWTRWRKLLYNACLNSICAVTD LDTGRMQLADGAIDNLVRPAMQEIRAAAKAYGHDLPEELVEAMIRMDPIAMYNPPSMQ VDLRKRRYCEFETVVGEPLRAGLARGVPMPVLTVMYHILRAVQWKTKERNGLVTIPEP EDHSVKR ACHE_51296A MSATTTIAPLTNTSQDKNDKPETSHAVQAISQGVPLPGIPSFTS IDKRRRWMLEHMAGAFRVFGRNGYGEGMAGHISLRDPEHLDCFWTNPLGVHFSLVKVS DLILLNHNGEPVGGNMKRPANAAGFQIHGHLHRKYPHVNAACHTHSVNGKAWSTFARP LDMINQDVTMFHGEAQAVYPEFGGVVFDPEEGERLAKALGPKGKLLILKNHGLLTVGQ TVDEAAYLFTLAERSCEIQLKVDAAAAAGIPKQIIDDKVSEYTFQMTSEPEAHYCEFQ PAYDLELEMSGGRFLE ACHE_51297S MLLLGGTIGTEMFAGTGEALAIAGPAPLLGVYIVICILVYGVIT ATSEMSTFVSRSLWFALGWLYFYSFAILVAYEIMAAGLV ACHE_51298A MANSTPADLPLTIKDIQDEAEKKLPQLYRYYFNEGAGEMVSLRD NENAFARYKLRPRVLRDVEEVDTTTEIFKTKISFPLGFAPAAAHRLAHFHAEAGTSRA ASRNGIAMCLSTWSTTSPEEVIAQSSGNPYAMQVSFFKDIEVTRRIIQRAETAGYKAL FVSVDLPVIGSRRNEQRNKWAFPSHIEFPSLQGATDDLMATYAAGYDASIRWDKIVPW LRRTTKMEIWLKGVSTAEDVQLAIEHKVDGVIISNHGGRQLDGQPATLDALRECGPVA RGRIPLAIDGGIRSGADIFKALALGASICFVGRIPIWGLAYNGEAGVDIALKILLEEF RHTMKFMGCRSIQDINLGHLSLLQADGRLAKL ACHE_51299A MASTNTPSGYIGNLSTEQEAKLQQLWTILLKALEASGVEGPPQR KQSISGTDGNGSGRASTASTRADSSQLTQSLQETGLDATQIKAIRQALANMTTDELHQ GFLTSFKNENPDVLMLRYLRARKWDVCNAFAMMVAAVEWRVKEMRVDEVLVNGELHAL KQEQNTANPSEQKEGSSFLAQSRMGKCFLHGVDKVGRPIVVIRVRLHKPGEQSEEVLN RYIVNVIESVRLLLRQPVETATVVFDMTGFSLSNMEYPPVKFIIRCFEANYPECLGVL LIHNAPWIFSGIWKLIKGWMDPVIVSKIQFTKSVKDLETYIPRERIFKELGGPEDWEY KYIEPEQNENKRMDDTTTREALEDERRDIVRELLTTTSSWITATATKDNEQLKTSKAR RDELAEQLSANYWKLDPYVRARNCLDRAGIIPDIGFQPQQGLKTEKQLEVEQHETAPV NVVS ACHE_51300S MARDSDVQVAPIECRTSSLAAVCEFCWMGELLSYEPNGGDNYLL AWLITCTRSLVAKSRLRFSGQSDNTNQPTGYGSERQATGTPPLMSFALVEAGDTYSED KVVETGRMTHFTMLKGTVNSNGMDEVNVDCIEDTFLGQVAQTFSTHCTMTCSKCYACR RQLRPVI ACHE_51301S MEAQELCGGGISHASPPGVDNVASQFAETEDMPVTPTPIRWRSG NMMQPEFNEGSMESASMDENEGQEHWQTRGSSRAPSRAPSRASEARSGIRQRKSLQKT LIGRPKHQTSLLDVSKHAQVLVGALEAAQNQQQEMFQMVQEQVQAHLAEKLSNWRAEQ QVHEGLYLERVTKLELEVSKLRTELTEAQNTIQRIKPVKQDTPTTTNAQSSQTNQHNS GKVPKIREATSQKSRQQPTFADLATLLSTRPGVTG ACHE_51302S MDLFLYNDTHRLWICGPCGFAVRPAHLAAHLANRHPKHPSAATP ALRRAACALMLKRPCWDPAREPDRPVPPPPAPGSPPVPGLPVHPGYRCPHPDCAYIVC NPESLLRHRTRIHADRRPRGRQPPASQVSPLPPYRTVSCQRFFPSGAGSGFFQVTPPA HTERARQAATMGEVEFIRRQVAGALAEDAAAAEAGAQRVPDPDAKAPTEISPWLELTR WPEFLHGHAFTAVAPLAAPPDPTAEPLLTVFSASVERLIEAAYQSIKTRRINEFDQVS RQASEKYTYIGTPTPHALPHHMRARAHPYAICM ACHE_51303S MAQMLVAQRAVQMADHGQVEHPADALEAMRERFLLPGVAAPFNW LTRLRTFGKRIQNTTTSLGYIYWSDDQQTLSYKELHLTMAGLRGFVRTQVELAQLELE GLFLLHEEETREAVVPRLALVELADDPTNNRRGWNFLQDHRTRAALPTTGEQWLMDRV VATDWLRAEWVGVRPHDHQVMWHTTVVDAYLGQVDQFLERLLLLMHLTAGQPARATEL LGIRHSNTVCGQHRNLFIEHGVVSLVTAYHKGYSMTGSTKIIHRYLPAEVSELVVYYL WLILPFARAVQALAHGTRQARSPFLWPRGPNLAAGAWDSGRLRGVLQREAHIHLQTKL NVISWRHAAIAISRAHLQCGGFKRDYSADDGLIDQQAGHGSWAAGTVYARGLQEAPGH IQARRVQYRAISREWHAFLGFQVSLGPRKRGWGEGKGEEPAAKRQRQQQPYVTVEMKE N ACHE_51304S MARPQQAPHRARARAVHMFPGAGRILEQGGNPGSYRATEGRGVS PGDQPTAGHGVSPEHVEEAEETGNAGSTEPAWMMSPMERACLEFCIELLNQRHRAHEY ESPLVCAMAVLGWGRLGGVIPTVTPHFIADDCDGSAQCFHEHSLSAWQTMP ACHE_51305S MIKLARFMVVQKALWLDPHVGDIIQMWQAQASTANGTVNGTVNG TVNGTVNGTVNGTVNGTVNGTVNGTVNGTVNGTPNGTVNGMVNGTPNGTPNGTPNGTP ASPIAWPLASADAQLADIDEGCDSASPTRSTPTTVHDRPSFHDHVQQMVSRFMIRGTH GPMQTLLDWRTYGLKIHYNSTAPGHVAWMGADELLYKDLHFTMGEFRGFIHRLVGATR ELLCELLCIADGSSSAHTPSTMPLPAIPWQGLYDDPTQGHPGWNFLHDRRTRAPRAAA IHAPGAIHGPLVAQYLARVARFKEKLAVAIHMTAGQPARAPELLSVQYVNTPNNQFRN VFIEDGMVTLVTAYHKGFHASNDSKLIHRYVPRAVGELVVWYMWLAMPFIDQLTAWQA GTAHGTVNGTLNGMSNGTSNGMSNGTSNGTLNGTQAGTVNGMVNGTVNGMSNGTSNGT SNGTANGISNGTLNGTTNSIPIGTRAGTVNGTVNGTPIGTQAGTSNAMSNGTSNGTRA GTVNGTSNSTLNGTWNGTPNGTVNGMLNGRLNGTSNSTSNGTPNGTANGTSNSTLNGT WNGTRAGTVNGTLNGTVNGILNGTLIGTQAGTLNGTRAGTVNGMVNGTVNGMSNGTSN GTSNGTANGTSNSTLNGTTNSIPIGTRAGTVNGTVNGTPIGTQAGTSNAMSNGTTIGT LNGTANGTLNGTLIGTSNGTPAWQPPSPYLWGPDPGMQRPWTPERFREVLKRETQARL GQALNIPAYRDIAIGISRRFLRASSTFTSDRQDETEQAAALDADCEDGMDADQWMAHM TDLQAGHSSHVAGMVYGRQLMEQAGTTSHRRAMFRQSSVDWHQFLGFGCGTGVPGDVH ADIDAGGLRAGLVDEGSCPSRCPGQEQVRACLVDDPSQERVRACLVNDPGQERVRARL VNDPSQQAVRARLVDEGSCPIHHPGQERVRACLVDDPGQERVRARLVDDPGQERVRAR LVDEGSCPIHHPGQEQVRARLVDEGSCPIHHPGQEQVRACLVNDPSQERVRARPVLGK RKRAPWQVEAEEHHMERRHQLQTMDMAAALQQMTGQAGMQFQGIQAPAMAAIQQGKSP VVAVMPTGGGKSMLFMLPAWAVPGGTTIVVVPLISLRQDMQQRGRRLGIPCMAWDRQQ PCDEAAIVLVTPESAVTPDFHSFINRLVVMQRLDRVVIDECHIIMNQQKNFRSAMAQL GKLVRARTQMDQIDIYRARTSRGNVAYGVWRPPIPHTAPHGYGWEQDARIIQFLQAQL QWARARGEDGDICQPGPPGAGDGGGIGM ACHE_51306A MVQAHTPGLMPSLMPGLMPGLITTHVPYMPLHITPITCLLVPVI PAMLPPVSIHRPIQIIVQYHPPAPPTCHHPHVLLHQLPLHLRCAQLPLILRPPRRLDN DRLAGLPIPPGSPTFLCIIQ ACHE_51307A MNINRAGHLPGPWRRYNIDPATAEPPAPQTPHPAMPGTVAGGIE CRPRPTGAGAGRGSGYGSGYGYGSGYGYGSSVGNSSGVGYGSGFGLACMSPLLCWNHT PFRA ACHE_51308A MDSKNKNCAECTRRGRKCQKQFHSEREWDSLHRDQEKLAFDLEE AQRLWLEHSQKMQEAMSKIIRLQKQQRFLKERGGRMLEHDSKLMEQLDEEDPPSAEDL QELERLADEEEAARLAAVSNNPSLTQMMNSPSFWENFDSAVAGGIPSPTGDNPSSSR ACHE_51309S MWHPAWTPCESTGARSINGPNRPAVAGLARESAHKGAAELRRSF TTVAWQQIFPSGPGSHYIHIRFPEGHPPPPLPPADQAQRAVDAIITAWDQARTAQEQQ AVIQADRITDANPWLRRTGWARYLEGVHPQDLLRLAIWNAMGQLGRRSQQTVQRCGTG ICMEAARTEAGQTPYRLLQAYMDETSVQKHVQAWQQVLGFIARTQATQAGQGMPEWCG PLPVYGMTARQQRKWQMLWQLAMPTMARPQQAPHRARARAVHMFPGAGRILEQGGNPG SYRATEGRGVSPGDQPTAGHGVSPEHVEEAEETGNAGSTEPAWMMSPMERACLEFCIE LLNQRHRAHEYESPLVCAMAVLGWGETGWRDPDSYPPILSRMIKLARFMVVQKALWLD PHVGDIIQMWQAQASTANGTVNGMVNGTPNGTPNGTPNGTPASPIAWPLASADAQLAD IDEGCDSASPTRSTPTTVHDRPSFHDHVQQMVSRFMIRGTHGPMQTLLDWRTYGLKIH YNSTAPGHVAWMGADELLYKDLHFTMGEFRGFIHRLVGATRELLCELLCIADGSSSAH TPSTMPLPAIPWQGLYDDPTQGHPGWNFLHDRRTRWPVDGRWWMIQRLRTERPVQQQS MRRGRSTAHWWHSIWPGWPGSRRNWLWPSI ACHE_51310S MVTLVTAYHKGFHASNDSKLIHRYVPRAVGELVVWYMWLAMPFI DQLTAWQAGTAHGTVNGTSNGMSNGTSNGTWNGMSNGTSNGMSNGTSNGTLNGTRAGT VNGTVNGTVNGMSNGTSNGTLNSTLNSTWNGTQAGTLNGTLNGTANGILNGTLIGTQA GTANGTRASTVNGTSNSTLNGTWNGTRAGTVNGTLNGRANGTLNGTSNGTANGISNDT LNGTSNSTLNGTRAGTVNGTVNGTVNGMSNGTSNGTLNSTLNSTWNGTQAGTLNGTLN GTANGILNGTLIGTQAGTANGTRASTVNGTSNSTLNGTWNGTRAGTVNGTLNGRANGT LNGTSNGTANGISNDTLNGTSNSTLNGTSNSMLNGTTNSIPIGTLNGTSNGTWNGTRA GTVNGTSNSTLNGTWNGTPNGTVNGMLNGRLNGTSNSTSNSTPIGTPIGTQAGTSNST LNGTLNSTLNGTWNGTQAGTLNGTLNGTANGTLNGTLIGTSNGTPAWQPPSPYLWGPD PGMQRPWTPERFREVLKRETQARLGQALNIPAYRDIAIGISRRFLRASSTFTSDRQDE TEQAAALDADCEDGMDADQWMAHMTDLQAGHSSHVAGMVYGRQLMEQAGTTSHRRAMF RQSSVDWHQFLGFGCGTGVPGDVHADIDAGGLRAGLVDEGSCPSRRPGQEQVRARLVD DPGQEWVRACLVDDPGQEWVRACLVNDPGQERVRARLVSDPSQEGVRARLVDEGNRPI HHPGQERVRARLVDEGSCPIHHPGQERVRACLVNDPGQERVRARPVLGKRKRAPWQVE AEEHHMERRHQLQTMDMAAALQQMTGQAGMQFQGIQAPAMAAIQQGKSPVVAVMPTGG GKSMLFMLPAWAVPGAPPLWWCH ACHE_51311A MVQAHTPGLMPSLMPGLITTHVPYTPLHITPITCLLVPVIPAML PPVSIHRPIQIIVQYHPPASPTCHHPHVLLHQLHLRCAQMSLILRPPRRLDNDRLAGL PIPPGSPTFLCIIQ ACHE_51312S MGGWWMGMVDGDGSEAVGQVWRQGLDGFGVDVEDDSGVVGFVGE EHGAAAWVG ACHE_60001A MGGWWMGMVDGDGSEAVGQVWRQGLDGFGVDVEDDSGVVGFVGE EHGAAAWVGGG ACHE_60002S MNINRAGHPPGPWRRYNIDPATAEPPAPQTPHPAMPGTVAGGIE CRPRPTGAGVGGGLRTGAAAGGGSGSGAGAGVGSGSGSGSGYGLGLSYRCGAGADLGL GSGLGSGSGSGYGSGVGCGSGYGYGSGVGNGSGVGNGYGSGSGSGYGLSLGCGAGADL GLGSGSGYGSGSGVGCGSGYGYGSGVGNGSGVGNGYGSGSGSGYGLSLGCGAGADLGL GCGSAYGSGSGVGYGSGFGLGCMSPLLCWNHTPFRA ACHE_60003A MQEWCGPLPVYGMTARQQRKWQILWQLAMPTMARPQQAPHRARA RAVHMFPGAGRILEQGGNPGSYRATEGRGVSPGDQPTAGHGVSPEHVEEAEETGNAGS TEPAWMMSPMERACLEFCIELLNQRHRAHEYESPLLPPILSRMIKLARFMVVQKALWL DPHVGDIIQMWQAQASTANGTVNGTVNGTVNGTPASPIAWPLASADAQLADIDEGCDS ASPTRHTPTTVHDRPSFHDHVQQMVSRFMIRGTHGPMQTLLDWRTYGLKIHYNSTAPG HVAWMGADELLYKDLHFTMGEFRGFIHGLVGATRELLCELLCIADGSSSAHTPSTMPL PAIPWQGLYDDPTQGHPGWNFLHDRRTRWPVDGRWWMIQRLRTERPVQQQFMRRGAIH GPLVAQYLARVARFKEKLAVAIHMTAGQPARAPELLSVQYVNTPNNQFRNVFIEDGMV TLVTAYHKGFHASNDSKLIHRYVPRAVGELVVWYMWLAMPFIGQLTAWQAGTAHGTVN GTSNGMSNGTSNGTWNGMSNGTSNGMSNGTSNGTLNGTRAGTVNGTVNGTVNGMSNGT SNGTLNSTLNSTWNGTQAGTLNGTLNGTANGILNGTLIGTQAGTANGTRASTVNGTSN STLNGTWNGTRAGTVNGTLNGRANGTLNGTSNGTANGISNDTLNGTSNSTLNGTSNSM LNGTTNSIPIGTRAGTVNGTSNSTLNGTWNGTPNGTVNGMLNGRLNGTSNSTSNSTPI GTPIGTQAGTSNAMSNGTTIGTPNGTANGTLNGTLIGTSNGTPAWQPPSPYLWGPDPG MQRPWTPERFREVLKRETQARLGQALNIPAYRDIAIGISRRFLRASSTFTSDRQDETE QAAALDADCEDGMDADQWMAHMTDLQAGHSSHVAGMVYGRQLMEQAGTTSHRRAMFRQ SSVDWHQFLGFGCGTGVPGDVHADIDAGGLRAGLVDEGSCPSRRPGQEQVRARLVDDP GQEWVRACLVDDPGQEWVRACLVNDPGQERVRARLVSDPSQEGVRARLVDEGNRPIHH PGQERVRARLVDEGSCPIHHPGQERVRACLVNDPGQERVRARPVLGKRKRAPWQVEAE EHHMERRHQLQTMDMAAALQQMTGQAGMQFQGIQAPAMAAIQQGKSPVVAVMPTGGGK SMLFMLPAWAVPGGTTIVVVPLISLRQDMQQRCRRLGIPCMAWDRQQPCDEAAIVLVT PESAVTPDFHSFINRLVVMQRLDRVVIDECHIIMNQQKNFRSAMAQLGKLVRARTQMV FLTATLPPEMEPEFSQRIHHPQDQIDIYRARTSRGNVAYGVWRPPIPHTAPHGYGWEQ DAWIIQFLQAQLQWARARGGKMVIYANRVHQVQAMAAVLGCEAYFSGQVDRGGILGRF MGGDSTVLCATSALGMGVDIPNIRVIIHLGTPRTLLDYAQESGRAGRDGQASQAIIIQ PAGWAEDERQLGTPEVELVQQYMGVVAALRG ACHE_60004A MDPFQELRNEFSSTIRALQNEIESVKNEPKPLQRPKPCLPDPEK FNGQSLKFDTWLASMKAKLRIDAPAIGDAVAQFYYVYLNLESKVQALVLPQLSYAEDT NTWDYNTILDQLSLVYDNPNKVQEAEDYLLVLKQDSGESVAAYIAKFERILYEAKGKD WPDVTKISAFRKGLNPTLRGRLNQQLNLPKSYTDFLRVVQQLGSHSFSSNSTNVSHSQ SHQSGSHTKSDPMDLSVININSLSAASTSLDERNRRRQQGSCVRCGSSDHWVKDCSMK AHKESNKIWNQQMIARLEANRLDDLNDLDD ACHE_60005A MVRLSTRQMPWRPCGSGSSSRGWLPPSTGSHGLRTFGKRIQNTT TSLGYIYWSDDQQTLSYKELHLTMAGLRGFVRTQVELAQVELEGLFLLHEEETREAVV PRLALVELADDPTNNRRGWNFLQDHRTRAALPTTGEQWLMDRVVATDWLRAEWVGVRP HDHQVMWHTTVVDAYLGQVDQFLERLLLLMHLTAGQPARATELLGIRHSNTVCGQHRN LFIEHGVVSLVTAYHKGYSMTGSTKIIHRYLPAEVSELVVYYLWLILPFARAVQALAH GTRQARSPFLWPRGPNLAAGAWDSGRLRGVLQREAHIHLQTKLNVISWRHAAIAISRA HLQCGGFKRDYSADDGLIDQQAGHGSWAAGTVYARGLQEAPGHIQARRVQYRAISREW HAFLGFQVSLGPRKRGWGEGKGEEPAAKRQRQQQPYVTVEMKEN ACHE_60006A MDLFLYNDTHRLWICGPCGFAVRPAHLAAHLANRHPKHPSAATP ALRRAACALMLKRPCWDPAREPDRPVPPPPAPGSPPVPGLPVHPGYRCPHPDCAYIVC NPESLLRHRTRIHADRRPRGRQPPASQVSPLPLYRTVSCQRFFPSGAGSGFFQVTPPA HTERARQAATMGEVEFIRRQVAGALAEDAAAAEAGAQQVPDPDAKAPTEISPWLELTR WPEFLHGHAFTAVAPLAAPPDPTAEPLLTVFSASVERLIEAAYQSIKTRRINEFDQIR INSFLQRPRVWDRPILIQLRPSTYRAYRQVWQRLICFAYRTSRPNAAVQLGHQLTTAQ LAALDRMETAAAELLSLPSPPLCTPGPGAADHPPWTTGGGPWVVIQTPRGGDRDRDPE GDRRTERRHAAYEQLDHACLDLSIALLDHPLKGDLFESAVVAFLAVLGVDVEKQTFRD PYAFTSSLSGLIKMAQMLVAQRAVQMADHGQVEHPADALEAMRERFLLPGVAAPFNWL TRAAHVWQAHPEHHHQSGIYLLER ACHE_60007A MVVQKALWLDPHVGDIIQMWQAQASTANGTVNGTVNGTVNGTVN GTVNGTVNGMVNGTPNGTPNGTPNGTPNGTPNGTPASPIAWPLASADAQLADIDEGCD SASPTRHTPTTVHDRPSFHDHVQQMVSRFMIRGTHGPMQTLLDWRTYGLKIHYNSTAP GHVAWMGADELLYKDLHFTMGEFRGFIHRLVGATRELLCELLCIADGSSSAHTPSTMP LPAIPWQGLYDDPTQGHPGWNFLHDRRTRWPVDGRWWMIQRLRTERPVQQQFMRRGAI HGPLVAQYLARVARFKEKLAVAIHMTAGQPARAPELLSVQYVNTPNNQFCNVFIEDGM VTLVTAYHKGFHASNDSKLIHQYVPRAVGELVVWYMWLAMPFIDQLTAWQAGTAHGTV NGTVNGTVNGMSNGTSNGTSNGTANGISNGTLNGTSNSTLNGTSNGTSNGTSNGTLNG TSNSTLNGTWNGTPNGTVNGMSNGRLNGTSNSTSNGTPIGTPIGTQAGTSNAMSNGTT IGTSNGTSNGTLNGTANGTSNSTLNGTLNSTLNGTWNGTPNGTVNGTLNGTLNGTANG TRAGTVDGTSNSTLNGTWNGTRAGTVNGTLNGTANGTLNGSLIGTSNGTPAWQPPSPY LWGPDPGMQRPWTPIP ACHE_60008A MENELFQKIPSLQVIICRQCKHGVRPAEVERHLKRKHQFKHQSA HQLAQAVQQWEDIEQDSAAIQIPPVVDNPLPILPCEPSGLLCQRHDPLCHYVASNMGT MRNHWRQVHQWSQQTRRGRVGQRECTQGAAELRRSFTTVAWQQIFPSGPGSHYIHIRF PEGHPPPPPPPPPADQAQRAVDAIITAWDQARTAQEQQAVIQADWITDANPWLRRTGW ARYLEGVHPQDLLRLVEAPPEEPPDPIEQAIQAIWNAMGQLAWRSQQTVQRCGTGICM EAARTEAGQTPYRPLQAYMDETSVQKHVQAWQQVLGFIARTQATQAGQGMQEWCGPLP VYGMTARQQRKWQILWQLAMPTMARPQQAPHRARARAVHMFPGAGRILEQGGNPGSYR ATEGRGVSPGDQPTAGHGVSPEHVEEAEETGNAGSTEPAWMMSPMERACLEFCIELLN QRHRAHEYESPLVCAMAVLGWGETGWRDPDSYPPPFYRG ACHE_60009A MAAPLDPREAEKAAGVANDAQIPIKPEKENDESAEKKKGTNGFL VSLAGELMAASSYVRVMTDELGRSILQRVFTFGDTKLYALECIAFIAAIASGVALAMV NLVMGHFLTLLSDFSFSDANSMPGNFMSAVRTSALYFVYIGVARFVATYIYASLFTYV AYHLTRNVRRSYLRAAFSQEITYYDQGASGSISQQATTNGKLIQSGIAEKLGIVIQAI STFLAAFVIAFVAQWKLTLILIFMVPTLLIVLGMAGGIDAMIETKILQVYAQAGSYAE NVLGGVQTLQAFSLRPRVMAKYDSYLQDAYTQGMKKNKLYGIVFGGQYFVVYAGMGLA FWQGIAMLDRGEISDLGTVFVVLFSVIMAASTVMQVAPHMVTFSRAATAASELFALID RQSEINPFDESGDKHDETAGFIDLHGINFSYPTRPDVTVLEDFTLNIPAGKVTALVGP SGSGKSTIISLLERWYNPRAGSISLDGTDISRLNLKWLRTNEPVLFNGSAFENIANGL VGTQWETASQEDQMQRVQEAAKLAFAHDFIQNLPQGYHTRIGERGGLLSGGQKQRIAI ARSVISEPKILLLDEATSALDPHAEGIVQKALDSASENRTTIVIAHKLATIRKADNIV VMSKGKIMEQGRHEELVSRNGIYATLVEAQDLAPGNIEKNHGSGGTSTSDEALEKEDD HVVRVQSLASIRTAEVQQLATLKDREDYDLYEKTGIIRSIWKLLRGTPDIWLWFAVTI ATCIGGAAINPGQALLLGNIMSIFTSPNMVARGNFISFMFFVMSLSILVIYFVMGWST NTIAQGLSRKMRREILESFLRQDLRFFDRPENTVGALISRLDSYPQAILELMGFTVAI ILMSVINIVASSVLAIVVSWKLGLVGVFVGLPFMMLGGYARVRLETKMDDEMGKRLSA SASVASEAVMVIRTVSSLAIESTVLKKYVDELDFAISQTSGPMFHMMTWFSLTQSVEY FVLALGFWWGSKLINDGEISFYQFIVSFMGVYFSGQATALALSFASSFTKANQAANYY FWLDGLDGTIRETDDNRKEGPEHGCHSYDFQDVQFSYPLAPDNRVLKGVSLSIQRGDF VAFVGASGCGKSTMISLLERFYDPTSGSITIDSSAPLSSINPLLYRKQVALVQQEPTL FPGTIRENISQGVPDLGATEAASDETLEEACRAANAWDFVSSLPEGLDTPCGTSGSQL SGGQRQRVAIARALVRKSNVILLDEATSALDTESEKLVQGALSEAASSGDRITIAVAH RLSTVRDANCIFVFYAGRIVEAGTHGELVAKGGMYAKMCEAQKLDGAA ACHE_60010S MSQLSHEPTKSRTSKPLDFADPIKINQEERCSSSSFAPTPSSSS LGFTPNQGNLLYNPTGESVREVHYNSSKAEYEGESSLFAHAVFASQFLQNAINNTTNA EVAHEMEAVLDGLRAAVHSGKQQSDTLDKLYPHAKAIPPGLTTRNLPLPPIDKVFICL RMARECPQVAMLWLGDFIRPTQFSDYFIKIASPGPATEADLIIVHCGLYWLFCECSKA VTDEETKQDYDAQAFICEANLQTVLANLRFHQPTNMDFIYAMGMASMYCLQKSKPSAA WNFINSASHMVQALGLQHNVPTGIERPEEKTQKMNLFWTIYMTEKMLSLRLGRSSTFR DQDITLTRLGMERPSGSFLAELAPGWINIASIQGRIYDDIYSPGALMQPLHIRTSRAR ALVAELKTAMQHAQGIHDHYEASKGQVLGLDYHEIARRSDRVIGLSMLTLIYRSIAPE KPSTSAFCEECIDAARDTLQEHDRCVAVITEARGRTVFLEAYINWTITQSPFIPFIIL FCHIIETSEASDLEHMRGLVETLESTSDSRAHNTCGKQRRLFKALYDVAAKYVEVKSR ADGGQGGMSWSMAQQQYADAFAGTTSNGLGLGTLDSGGIVGAPGTNTADAPSHMASHS EANEDGMGLVNGLVGPTAMQNTVFGDVDMEMDLSGAQLWDWFNKNQSIMRMLEDT ACHE_60011A MPTTRSQTKKIDLASSQDTGATPYLMNEAAWGPVLGWLDLDYSE TSPLKRIEWRNALNQIQEADGCRYITFSCPEEEPQKLWIIIQWSSAAQRNCFHQSDNV ASDAKKILYPISSGISDDGNKVHLIDRVDYSIGKVMHAGFPASPNPEKVHEVWMACFP VGALKHQWEQEQKLPLLLNFYARDEESSNHMAGILSQSVAWISGEVNYQGIRCQRIAW LMEWKSKEAEELYKTTVRWVKEEEGQSSKPQLALNMFIDDLKSFEMVGYETWHAQFED IRDTLNN ACHE_60012S MKFSALITSLCSLAALARANPVKKQVFNVALTFYGVDGSSYGET FPADTTSVRIDNPTVVKSVWSNGGGFCTIKGVDGSSVVIAGEGNYTVNPPQAQSWGAC DNLR ACHE_60013S MVTSKEDLANGSYYEPPKTHNPHHIVEQDYFVASAVMNPRSVSE VQGLVQLANEYRIPLWPTSIGRNSGYGGAAPRSRGSVVIDLGKHMNRALESSTLLTQW SNQA ACHE_60014S MGNTLERGVGYTPYGDHWMMHCGMEVILPSGELLRTGMGALPQN PGTSVHPIHQDAANKCWQPFPYGFGPYNDGLFSQSNLGIVTKVGMWLMPDPGGFQAYQ ISLPRDEDLHQAVDIIRPLRLQMIIQNVPDLASYPS ACHE_60015S MRLSWMQSRRISIWADGTSTGQSMVRSRFGTFFGHKSKKRFRRS RVFIFFPEDVKGNHLLHTRAKALQGIPTFDELRWVDWLPNGAHLFFSPISKISGDDAM LQYKVTKKRCVEAGLDFMGAFAIGMREMHHIVCIAFNRGDPESKRKAHWLIKTLIADC AEHGWGEYRTHLALMGQIADIQLESQCTHAIQSDG ACHE_60016S MSPKVAIAGASGNLGPAVLAALLDAGFEVTVLTRENKDNKFDER VRVAKVNYDSLDSLTSALTGQEVVVNTLGVGRIPKETHLRLIDASVAAKVQRFIPSEF GANTTNPRAAQLPVYADKVAIQKHLQEASNSNDTFSYTLPITGPFLDWGLKTKFILNH EGPEVELYDGGDQKFSATTLAGIGQAVSGIIRNLEATRNQAVYVREANVSQKGLLELS GKQLATRTVSTAELEKEAYDELGKPNPNPAVFGFNFLRRAIFGEGFGGLVPAEELSND LLGVRSLSDAEIRDIVVKNT ACHE_60017S MSLIASIKTPHITVEQPVGLFINNEYVKGSEGKTFETVNPANEQ VITSVHEANEKDVDIAVAAARKAFEGEWRRVTPSDRGRLLNKLADLIERDIDALAAIE ALDNGKALTMAKVDLANALGCIRYYAGWSDKIHGQTIDTNPETLSYTRHEAIGVCGQI IPWNFPFLMWAWKIAPAIAAGNTVVLKTAEQTPLSGLYAGKLIKEAGFPPGVINIISG FGRVAGAAISSHMDIDKVAFTGSTAVGRTILQAAAKSNLKKVTLELGGKSPNIVFEDA DIDNAISWANFGIFFNHGQCCCAGSRLLVQESIHDKFVARFRERAAQNKLGDPFAADT FQGPQVSQLQFDRIMEYIKHGKDAGATVALGGERHGDKGYYIQPTLFTDVTSDMKIAQ EEIFGPVITVQKFKDIDEAIKIGNSTQYGLAAGVHTKNVNTAIRVSNALKAGTVWINN YNMISYQAPFGGFKESGIGRELGSYALENYTQVKTVHYRLGDALFG ACHE_60018A MPIDLLIVGAGPAGLLAACWASQYPISTRIIDKNPSRKPTGHAD GIHSRTLEILDSFGIVDRITRLGAQEIEMCYWGRDEKKGQLQREKRLRSQPEELSPFS QRLLNQGIMEEVMIDYLRGKGVEVEWSTAAESLEMDHDDLPVVYVSRGQVGKDIISAR YMIACDGARSWTRDQLHIPMDSLSAPSEESIWGVMDFVPISDFPDIRQSCAIHACSRS GIMQLPRENRLIRLYIQLKHDDELGQKAIQNAHDKNTPKRLLQIAQRTYEPYRMDFKR CDWWSLYHIGQRLVQEYRIRDRIFLAGDAAHTHSPKGGQGMNVSMQDTYNLVWKLASV ILGRVDPSILETYNSERRPVAQELMRMDSDLVHAYEQQPGTSGYTNSVDKIREQYTGF MTGVKVKYSPNVLIADNKNSLARNIELGMRLPSFRVVHQASACPLRLAERLVSNGFWR VLVFSGDLREDTSRRRLDSFAEAFKPRLETMRIPIEVLLIHSSSRTDVSILNLPDIFH PFDETLGWDYSRVFADKDAYEGYGVKSGCVVVCRPDQHVGWIGVDVDGLNEYFSFVK ACHE_60019S MSLLRTVLRTSLRTPRPAQFTRRYASQSSKSNKTSEMPMLVLSA PLTKLFANLHSAVAVATVTAAGGYYLLQSGPESKLHHETDQYAKGEAIESGSKASEST PQPDRDSEQKVSPSGSSGGGSLGQPPSNVDPASSRKEAGGAGTISGKQAGLSNATTDN PFINEPGKSKKGEGETETAKVKGSVSTGRPQA ACHE_60020A MSSAKPQHFMNTSGDSDSVWVHRMPYSEYPSFPTLDRDIHTDVC IIGSGIAGISTAYELVNRGKRVTMLEARHVVSGESGRTSGHLTNALDDGYEQIQNKHG FHGAKIAAESHGWAIDRVGEITKALGIDCEYRRLPAYEFSQYNREDPKHEHEVHGLKK EVELAQKLGLNATFREGLAVPGWDGKPDQRDGAVFHDQATFHPTRYFLGVLGWLAKQP NFQCFAQTRMISLEEKDVIHVKTEPGYTVTARDAVEATCVPLQKLSIIAEMEYSRTYC IAIRIPRGTVKDCLIYDEAEAYKYVRLTGCDDQDDYMVVGGCDHKVGQEDVSGRFDEL ETWARERFLQAGAVDYRWSGQVFEPVDYMAFIGKNQGQNHVYVVTGDSGDGLTHGVLA GRLLADEIGGIKNPWAFLYQPSRVGSIAKSLPSMLEHDVQINTQYKRYLQSDIKDIED LGANTGGVLHDSLTSKPVAVYKDGDGQTYKFSAVCPHMKGVLSWNQTEKSWDCPVHGS RFSCEGVCVDGPAKSNLTPMDSASRHRQIPV ACHE_60021A MLSVSLVLFFLFSSSAVLGADDYRTCYYPGGNKALGHMPCSDEE QTACCASDHICMANGLCIEAGSSQPYGFSRAACTDRNWGAGCPQVCISTSDNQNAGCA IIPFHANGDDSTYCCNAIVSNGSAAVCDNDRDPFKLASGTVIPGRAYLSNLTTKDSGN NNNRDVAIGAGVGVPLGVLFLTALGWALFERRKRYALLNSTAAAAPLPVQQPGAGGQT VMPQGMAAAAVAPVLAPAPATPLQELEAAKRARPQELEARW ACHE_60022A MAPARNSAPRGGVGTQETPATNTGSGSGLGDSGYPKGSGPTTGQ FTTLSNTKGAARESDEGFEATKNPSEDRSFMAHKPGHPETLPGWDKLKDVMGYTS ACHE_60023S MSAEQNNQRFQLENVFNVKGRIALVTGGGSGIGLMATQALAVNG AKVYITGRTGEKLDRVTELYGKNIPGQIIPLTSDVTSKDSIAQLVKEIESKEKALHIL INNAGISSNPGNTDAEDPKQLQQELFQDSISTFAEWESVYRTNVTQAFFTTAAFLPLL QKGTDLDHGWSSTVINITSISGIVKTSQHHFAYNASKAAAIHLSTMLAHEIASSGLKI RVNNIAPGVFPSEMTAKSSDENQKSALPKEKYEQKVPANRPGKDEDMAGAVLFVAANQ YLNGQTVVVDGGYVLAAGTV ACHE_60024S MTSLRDIQPLTSSNNIPTLRSTPSLERSSSYDSPVDNSPSEINN QREPDYQVKATLTELLNDARVKSSTNGSRRVQNALMETEQELRQQRRQSTHSRRGSKD DSRQGNLIVLGQECENPFKS ACHE_60025A MSESNPTNLTQRLPTDAKNVDINPRSAHETTDKGPNEGSFPGPG ANATARAPQQPHSSKVLNKLDPRYDSDIIEAADKEKGGL ACHE_60026A MSSTITVTMETKRHSGQDLPSEHARKGSINTDTPWYLQLDHANE VVYDPAICTVRQGTLTGLVEHLTRHDKLDAVFNDTFLMTYPSFVTASELFEKLLVRFY TLPPEGLSESETETWIQQKQKMIRFRVVNILKNWFGRFWLELPGEDSLTFARQAHHLV QTSPAITEIPGAQQLLVVMEQRLQDKSTKHLVPPPIASAPPPIIPKNLRKIKILDIDA MEFARQLTILEYQHYARIKPLECLNKSWQRKGPNDKGTNVNAMILHSNQLSNWVGATI LAQTEIKKRVMLIKQFITIADKCRSLNNYATMMSIISGLGTTPIYRLYMTWPQLSPKV MNTLEEMRELMSSTKNFGKYRENLRCTHPPCVPFLGIYLTDLVFIEDGIANHAPNGMI NFSKRLKVGEVLQDIQQFQSTPYVFQSVPELQDYIVNGLQSTEEFGDLYERSIALEPR RMGEEGFSGHVPYAATGSHMSSVVIASMAIR ACHE_60027A MADKSELNKHATQLPCDPKYGSNPQDHVLLRSSGVRISAGTGVR TLVPAGSLDQARSPAARTTTSSGPARAWELGFWVVNNAFIGPQAGMVRDSCAAMQDRL G ACHE_60028A MGVINLTRGRNDIRVVQDIVGSDLHGLKSEANTSTSSSDGKDGH QMEQQASVETVPEKPPQRNSYRTTQGAYIGVQKAEAAALAWSKKSAYGTFALIWVSFF ILALQSSISTNVIQNAYANFEAAPQVSTANILASVVGGVLKLPIARLLNIWGRTEGFL FFVGVYMIGLIILATCNNANSYAAGYVLYWVGYDAIYLVLDVFVADTSGLRNRAFAFG FASTPFIVTAFTGPIAAQSFLNHCSWRWAYGVFAIITPFVFIPLAAVFKFYQRKAEKM CLFVREPNGRTRWESVVHYVNEFDLIGALIIMAAFILLLLPFSLQSYGRTEYKSASFI APIVIGFCLFFVFALWEKYCARTHFIQYSLFKERTVLGACSLSAILFFSYYCWDLYFY NFCMVVYDVPVSVAGYIGQIYNVGSCLWSAIFGIVVYVTKQFKYSCLGFGLPLILLGS GLMIHFREPGQGLGYMVMCQIFIAFAGGTLVIGQDMAVMAAADREGVPMMLSLVGLFS SLGGAIGSAVSASIYSNVFPEALHQALPADTKSQYQAIYSGGYVKQKQYEVGTMQRTA IDHAWGEYMKYGCITAVAVMSLGIPAVAVWRDYRVDKQQQNKGQVM ACHE_60029A MPRPRVSPANRLRAYAACLACRTSKRRCSGTFPCPNCVHRGQAD TCVPCPRDASAPQIRYQPYPTTVTTTGPSTANPDIGAQSPASIQSSQSHGLDPPSAGA QHRTHPRMLWNRQGERVYVGKAASLSFLQLLRDTVTQHIGPSQFSHNVKSEDMLETES LHHIPPAVDDRLDLAHKEVFIRAYLTATSGFLHVISESETLQSLMESLEVDKERENTR QAFRDVMIAIGAQSCKGDPTTVQAERFFFARGQRRAFSSMLETPSLELVRLFLCMSFY MLGACRRNAAFMYLGVAARAAVALGLHQTGLSGSMTANEQQQRARVWMSLCVLDLLVS SILGRPPATATVQLEYGEYPANLDLPSNRTGASLVASYRMSLILDEITRQLYSERAAS ADVAESLLAKLTRWSDQLPESILAPPGDEQDRAAAQKQIIGSLHVACFYHFAVIVVTR PFLVSVLGVRLARVHQDLSASEQVRPEEDPAHSKLSVACVDSAVYMIQTCLEIHQSHL LLNNMCILKAFIFAAALVVGFSMFSQKELDSALDSAFNGALDILRMLSQQSAQAAHYL EILTFLSTAITEQRQRLASQSRHSRSRYVSKLFSLHRRRSPGTHESTESSAVSPLASQ STAAQSDEQGDRVLTMPADIDDAFSGWEGMELPLWDSFPYIAEPFQLQDRTNEGNTL ACHE_60030A MTQIKSTGGVLPIPAAATQKNAPAKKAPKPPAPRLKLLIRRLPP GLTEEEFRHAVGEAWMAGAGKVDWFQYRPGKVSKDPAKPSRPSRAYIHVISSDHIAPL ADKVRQSSFLDAQNTANDPVLLGPPSVEFAPYAKIPGNRVRKDARQGTIDQDPDFFAF LESLTQPITKPSAESVEPEEKKETMTTTPLVQYIKDKKANKAKEQTAAKSSRKSEKEA KLEKVQAKKLLQRPDRETAPVTEKMEKKSKSDKATKEAVKAANKAANVAAKQSGRATP TGKETPTAPASERKRERGNAAAAAKILQRDLGLSSSGGHRKGGKGGSEADAKKENVPN APTEPSKKETAKPPKQPKGKASETTPQPSEPSTPQPQPTPPPSALAASKPPKPSKGKQ PAASPSATATQAFLKHANPSQGVTELLLETAFSPFGQVVSVEIDKKKGFGYVDFAEPS GLQKAIAASPVTVAQSQVVVLERKTNPGGDKSRKGRGGAAGGEQAAANSGNGNNNSSA RGGKPEGSGGGGSSRGRGGRGRNRGGNKPEKERA ACHE_60031S MFSFCIDSLVWSLSTWSHTHSSVLHSYRYQCFLNTSIMADNVPQ PGPAKLKPNAGPDEWLEAAKNCKYLSETHMKQLCEIVKEFMMEESNIQPVSTPVTICG DIHGQFYDVLELFRVAGGMPDEYDADPPKTSPSVITSDDIEPPSSISDPKLRKKLRNA ESAENEEDTGSRSRSGSEGSGEIQLKSNFVFLGDYVDRGYFSLETLTLLLCLKAKFPD RVTLVRGNHESRQITQVYGFYEECFQKYGNASVWKACCQVFDFMTLGAIIDGRVLCVH GGLSPEIRTLDQVRVVARAQEIPHEGAFCDLVWSDPDEVQTWAVSPRGAGWLFGDKVA DEFCHVNDLTLIARAHQLVNEGYKYHFQNQNVVTVWSAPNYCYRCGNLASVCEIGEDL KPTFKLFSAVSDDQRHVPTSRPGRSEYFL ACHE_60032S MQNKTTMTKVDVLICGSGSAGLCAATWLARYGLTCKVLERRDGP MKLGQADGVQCRTVEIFESFGVGEELLRESYHVLEVVFWADQEGSGGIRRTGRTADTQ PGLSHQPHVILNQARINMLLIELMQKWNGQEIDYGYNVHDVQVDSRLAADPEAYPVKV TAEKDGKTEEFEAKYVMACDGAHSIVRKSLGYTMIGDSSDAVWGVMDMVPRTDFPDIR KKATIRTNAGNLLIIPREGESNNLTRFYIELPAGTNAKEVKLKDLQKTAQKILNPYKV EFTETVWWSAYAIGQRHADNFHKDQRVFLAGDACHTHSPKAGQGMNVSLQDGYNMGWK LASVLTGLAKPSLLETYVLERQKVAIDLINFDRYFSKLFSSGPGTSPAEFQEGFIKSG KYTAGLTAKYDPSPITSAVDESARLASNVTVGMRLPSAQVVRYCDSQPMQLVKSLKSD GRWRVMAFIGDLTVSKSQKRLNALGDYLSSNDSPVKIFTPPGSDADSIIETILVGHGK RHVLELEQIPECFYPSTGKNQVRDLHKIFYDDESYNKGHGHAYEFLGISPDEGALIIV RPDQYVSTVMGLDDFEEIGKFFAGFMLPQEKELPGSKL ACHE_60033S MTTFTFAPHLALFNTDLSTFSATKPGFTDFGVGGFIFSIPPREE TTVIETKSEPKVLLLQRCLSDSFGGYWEGPGGGLDPATDRTILDGVAREVLEESGLHV SRFVDLVAVDEWARMKRDRLHVVAKFTFLVEVHEAGTRTGGDATEGIGEVSRETIQKR WEDGVKLAEGEHQVYAWATEEEVREGLEDKGPYRFVNQMGTSLLKGFEMVRELEQ ACHE_60034A MTDEKGRLLEEGSREPAISEQHHVSTPSPSSASRMGRFAFLLFL TGLLTFNVRSQEPPSIEQRVDRILSETPLIDGHDDLPILVRMNYNNRIYGDNFTSPFT NGSLTGHVDLPRLSKGKVGGTFWSVFVECPKDGLDWSDENYATSLRQTFEQVDVMSRI QNAYPNVFSSPPNGTTALQAFRDGKIISPLGIEGLHSIGNSLAHLRDFYKLGVSYATL THNCHNRYADAAVQETSDGGSKKADPYWHGISEAGKDLVFEMNRLGMIVDLAHVSADT MRDVLGAGKDDWTGSRAPIIFSHSSAYAVCPHPRNVPDDVLQLVKQRNSIVMVNFAPN FVSCKATNVTNGIPELDPEHATLEHVVDHIVHIGQLIGFDYVGLGSDFDGIPTVPRGL EDVSKFPDLIAELLKRGISDEDAAKVAGGNLLRVWKDVDLVALQLQAEGALPAED ACHE_60035S MSTPIRKCGNQSTPRVSNPKNSIFFRFDQKWFNAASAVISVKGD LQSDAGSISRERPVYICDALSGFYQSLLDLISERTESSTLMDCSNAMLEGAVTFWHAY RLPILVTLSTLFVIIQAYRKLQPKSKTEASSSLPPSPRSHSPEKSEKIPVNDGTKKGT PVFSKSTGPKVVTGKKPVKGGKRGSDEPQPLSFIQPIVFFASLTGTTERYAHMLVEEL RAAAQNRADPENRERGLLPPQLHDLSYIDFDDFFTSAPKPPSTSPGTRYVYCLLIPTY NIDTVLNTFLGHLDETHHDFRIDTGSLSQLAGYSVFGFGDKEGWPTEEEGYCSQAKEL DRWMAKLTGKKRAFPLGLGDVKDNAEQALKEWSHGLQDILYDILQNGGLGEGVPGSGD PLESDEEDLDDEDETDVAKPKSKRGKGQSSTVVDLEDIKVNPDSPAPLAVDFTTGGKS SEPQAKEMVPKTSPTYAALTKQGYSIVGSHSGVKICRWTKSALRGRGSCYKFSFYGIK SHLCMEATPSLSCSNKCVFCWRHGTNPVGTTWRWKVDDPDMIFNGVKDGHYKKIKMLR GVPGVRAERFAEAMRIRHCALSLVGEPIFYPHINRFLDLLHSEQISSFLVCNAQHPDQ LETLHRVTQLYVSIDASNRESLRKIDRPLHRDFWERFQRCLDILREKRNVQRTVFRLT LVKGFNVEDEVIGYANLVEKALPCFVEIKGVTYCGTSTSAGAGLTMQNVPFYEEITEF VIALNKELERRGLNYGLAAEHAHSCCVLLASDRFHVNGKWHTRINYERFFELLEKEKA DGTSFAPEDYMSETTEWALWGNGGFDPNDERVYKKGKKAKAQQAQQQLTNSTPNEA ACHE_60036A MSRHRFLVRSLSLYAQGIRLSLTQKAMTLTEPGNKVTNEHIYRY NTGRFLVNEEYELAKRYSPFDIEALCRIVASLPSVSSPVSQVVKKEGGYNKALLMTAE NGRMVIAKVPCRNIVPRQYGTASEVAVLRFVLAWSAHDDNPAHTEYIVLEPSPGRQLS EVWDELEEAQKSILVRKFAELESNLAAVAFPGYGSLYFRNALPAALQKSRHRTIDVDE TYCLGPMYHGSWPGGFAADPEQYAQFSGPWKSLTHLAHDFVNQGIFQIEHYKSSYAGR GPHFGTPEEHIDTLHRAKQVMPLLTELPALQRHGGPVLCHPDFHPGNIFVCRQEPTRV DGIVDWQYANILPRFMNVRWPLFLTMGCDAEEAGQEDPKCEAQRRHEEAMRIKCYEAA LVKSHMEPYLDLPEPDVAVRKLFTLCSDTYRDGILPIRDCLVKLFQYWKQLGLSKECP YHFSTAEIAQHERQWTEYQDWLTLRESTHGLLKSNDGGWIPPGVNFEETKNKHQLLYE HFIRTKMKDMPEEEARKLWFFRERG ACHE_60037S MTDRNLEVKAVAATFMSVAAVTVILRCYVRLRIVKAFGWDDGAM VVAMIFYALFSGSMIGGSLYGTGKHFSDLTAHQRVTAMEYWWFCEIAYCFASVGCKVS VCIFLLRITVKREQIYILYSVMGLTAIAGVVFMFIMLLQCKPLEYFWTRSALDPSIPG HCMNVQVVIAMTYVYSAIAALCDFTVGILPIFLVKNLSMRRQTKMAVVGILGMACIAS SAVIIRIPWVHTFEEINDFLYATVEIAIWSNIETGLGISAGSLATLRPLLRRFFPRSS RDGGSYTPAPGSRRLPLGSLDSGAQHRFRPDKLAVTVTTVQSHHPGEELDTWERISQE QLTERPPLPGIAEEINTGMGIHRTIEVNRTVEVTQGEYV ACHE_60038A MAGLLYVTMQPKASLSASAFHDWYNNEHGPLRLRLPFVPNGFRY RAADAATPEWLAFYDISDMSELTKETYLALRGDGIRTQREKDVMAQIDVGRKLYDFLS ERKIDGYTPVDALPDPEAAGIVALSVTMTVKPEQEEDFNRWYEEEHISMLAKVPGWRR SARYVTAAIDSKAPREFLALHEFAAVNGLGGAEHKAACETAWRERIMANAVTGKTRRV YQWYYTFGPAPRELSTIATPETGRWSSNDGRTKTLPEPRAAVESFVTTPDGVDLPYRL EGSADDNSPVIVLSNSILVDWSIWDGFVEAFLSNPKNQGFRILRYLTRGRLENAGETP VTVDVLAGDIITLLDHLRIPKASLIGVSLGGVTVMNTALLYPNRIDKFISCDTNSSAP ESNRKAWNDRIAIAEAEGTVSASGEKIVGEQLAEATTRRWFVDESYTTQPEIARHVKN VVVNNSLEGFRRAAQALCAYDVRDRMANASVPGLFVAGSGDGVLPQTMKKMAEDLKGD AELKVIDKAGHLPMVEQPGQFTEVVNAFIHA ACHE_60039A MKHHLMVGTWTPPGRIYTVQFDDEELTLTKVKTTDIPEDEAISW MTFSHDKKAIYGAAMKKWNSFAVNSPTDIVHQVSHPVAGHPLAASADTNTRAIFVLAA KQPPYNVYGNPFYKYAGYGNVFSVKDDGSLAENVQNYEYVENTGIHGMVFDPTETFLY SADLQANKVWTHRKDAATGQLTLVDCLEAPSPDDHPRWVEIHPSGKYLYALMEAGNRL AVYVLDRNNVPVFTHITYPLLPDGLPPRNKYRGDVCFCTRNGQYLFATTRSNHFDVTG YITAFKLGPSGEIERQLFIHPTSTSGGHSNAVSPCDFSDEWLALCDDQLGFVEMYRFK DENLARVARVDIPEKGFGMNAIWYD ACHE_60040S MSANRQFDPDFTPYVINAMGPKVPERTRVILGSLIKHIHDFARE VELTPAEWMLGVEFINSIGKISTPIRNECHRICDVIGLESLVDEIANKIVTDEGVTPT SNVILGPFWSPNAPFRALGDSIIQDPNPDGKVTFMHGVLRDMETGAPIEGAVLDIWQA SANGQYDFQDPNQSENNLRGKFRSNEKGEFYWYCYHPTPYSLPTDGPAGVLLNVMDRS PMRPAHIHLMITHPDYATVINQIYPSDDPHLGIDSVFAVKNDLVVDFKPKTDDPKASL DLDYPVKMALKKHHPNPNSAPPVSSFERFSKSKGQQQQQQQQKL ACHE_60041A MRLFGNTIAALGMMLPIHQMSAWAQNLSYGADNFYRSDIVTVTP VTFQDQYRTTIRRNLFTRHNLSHSVESPAVIVGHPMGAVKEQSANLYAAKLAERGFVT ISLDLPFWGASEGEPRNGVSPDLYAEAYSAAVDYLGTQDSIDRERIGALGICGSGGFV ISAAKIDPRLKAIATASMYDMGAVNRDGLRKSQTLEQRKAIIAAAAEQRWVEVDGGEV QYSGGVPSQITANTSAVDREFYDFYFTPRGEFIPEGTRRELATQRTKSSNPKFMNFYR FNDIDSISPRPLLFIAGDQAHSREFSEYAYARAREPKELFWVAGAGHVDLYDRVGLIP FDKLTQFFRVNLR ACHE_60042S MSFYSTAMPNGAKLWLLNLGTVNLDAANVLAGANQFAVRLPPQS HERRELIMIAALIYHPHVGLILFDTGSCEDVITSCGTKALECGPRNWDKAVHGLPEAI RATGAGEITDVKVVVMSHLHCDHAGGLEHFLGTDIEIWCHEDELKNAFWACATGIGQG PYLKDYLVIDRLNWRTFTGKVFEVYQGIILHHCPGHTAGSIAMQLNLEKTGTVLLTGD AFHVKENYEQGIHPGTLTRDYNDWHRSRNDLRSLAQARQAKVILGHEESYFRTLKISP EYTE ACHE_60043A MVPGGQEWQEVTKKKQKNRQIQAVAAVSQPDPTKLKPAKDSPKE AWRFLFRREGGKAAPRSEREDIILAINRAVAKAHFPAFIRVVDAGYTNTGAITILLEK GTLGSMLLPDYKDLLVTAARQVDPAVISVELPEQWYRVKVHGVPIKRYLTCGLGLACE EIELGTEYQLKRNPTWLRSSKELHNSNQKCSTIVTTSEIATVVTFLLSDDSGFVTGST WAVDGGANA ACHE_60044A MEVQELCGGDVSKHAQVLVGALEAVQNQQQEVFQMVQEQVQAHL AEELSNWRAEQQVHEGLYLERVTKLELEVSKLLTELTEAQNTIQRIKPMKQDTPTTTN AQSSQMNQHNSGEIPEIREATSQKSRQQPTFADLATLLSVTGSE ACHE_60045S MPNPLADEAAWLSQCIKTCKSLHEYYTGYCDSVPSQADPAGEFE ILLATLGELDTTLKNRCFEQGEEQNQIDTIKFPISNCGVIINELQEEHDRISATVEKA AIGIHQASDRAAAYPFRQSTMQRLHEIVDDLQRHVSSMLNALNPKQQKVGINDDDDDD DDDDLARPDALIQHMNPLGKSLIFEEWLPTVDATIDHRAIYAKRHRGTGLWLQKSHPF REWLAQENSLLWLNGAPGCGKSVLCSTAIQSTFCQQQQQQKHMSDVAIAFFYFSSAEM SKQGASAMFQELLLQLEKQQQRSSKQLASEILYQKYELTGPPEAELADCLRASIQRFR HAFVFLDGIDENPNQAGVLTAINKMRNWDLPDLHMLITSCDTPDIRSSLSPSPNEDIP LQSDAINQDISRYVTFKITNDMKLQRWKQYKIRIQQALTRFSQGVFRYAECQLEALKR YPRTKCYLEEFLCSLPRNLDETYERLLSNIDNCYINDTRRILELVCFSPRPLLVEELA DAYAVDLKNLCLDPDRRMLDAESIRELCPGLVQVMPVVDSANEGKIKSTVCIAHSSLQ KYLIREQVRHSNPARVSFQDKIAQAEMAQTCLVYLLEPELRTGELDETKLKAFPLAHY AAKFWFHLFQRAESNTQIESLVLQLFTYQRGAFDRWVELYDVDGLWESIYRADPALHG LLTPVYFASYLGLGWVLQDLLDGIVKDGDGSGPAERGLATALQIASWRGHEHIIQILL NNDANVNASGEWCGTALHAASRNGHQKAVQMLLELGADVNAPGPECETALHTAAANGH APVVQMLLDWGVGVDMVDHHRLISRALQVAASRCHMNVVQMLVDRGADVNHKRGGQCG NALEAASRQGSEELVRLLLANGADVNAYSGLYGNPLCNAGLSGNPQVVQMLLDNGADV NAGSFFILGTPLMWATNQEDNEKVVELLLQQGAHVNDQGGTRGNALQNACGRGNARVV RLLLDWGADVNARSEHQGTALQVAARHGATDAVRILLDRGANANAPPGPHGTALEAAL YIEDEEIVRMLRAAGAKPSKYCKL ACHE_60046A MVRKNVLRQRIESEGFRAVIPCDRCVRLHKVCFKSESSDRCSEC VRGSGVKCEMSKPTYSDAEWRRLVKLQQQIAEERRDALAKVMRLERQESLLRSRAGDF IARDYKEIAELEDLERREKEESERLEKERKAREEQENLQKQRKDVEYNAQLASMSDDP SLTQMLNSPSFWENFDSAVAGGIPSPTGGNQSSSQ ACHE_60047A MKPALTSSRFPFAATNARTVFRRNSTVTAPADSVWSSMALQSAR AAEDRGCWLMSSEVWGWGELLVGDWFSQRGSGEERGDD ACHE_60048A MTSKQKIAIIGSGWGGYNVAHALDTSKYDVIVISPEETSAITSL LASAACGLFYSQLAEEPIRHKHLDVQYIKAYAQGIQFEEKAIVCRPAFNSLKDESFKI FYDKVVISPGCSTNTFNIPGVNEHAFMVKNVSDANAIRDKINQNLEIASLPCTTEKQQ RQLLHIKI ACHE_60049S MPWKPPTIGNRTVAILGAGVLGRRIACVWAAGGYNVAIRDPSAD QRTSAVNFVDNNLASFYKTLGNTNTKMGQCTAYEDLDRAVKDAWFVVEAVPEKLDLKI STFAELAQKAPDDCILGSNSSSFKSRFMVEKLNEEDKKRVLNVHYTMPPVRVTEFMTS TFTDPAIFPFLEEKHRDVGLIPATARKESTGFIINRLWAAMKRETLMILADGVTDPKQ IDELFVEMFGATVGPCTAMDGVGLDTVAFIEENYIKERGLPSYPVEWLRKNYVSKGKL GAKSGNGGLYPAGYTIKKGAASQHNNLTAPTLYVLDVGAGENVTSNFFSSGKIYTASA NGENVRKLADNLPVPDGIGVSLSQGRMFWSNMGIPSANDGTIESAKLDGSDRKVIAPA GVAHTPKQLTIDHVNKKVYFSDREGMRVHRINFDGSGHEVLVQNGDFNKLAEKSDQTR HCVGITVDPKHGKIYWTQKGASKASQGRIFRANIDTPAGETAATRSDIELLLENLPEP IDLEIDSDTEILYWTDRGEYPIGNTVNRTFVGSDSDSKVPTAPKFDILTRHLHEAIGL SLDNVNKHIYFVDMGGSVYRSDLDGHNKIVIYSGKNAFTGLALTHH ACHE_60050A MNLSPKGNWIVPTPITGLSDSFASTSIQYPFFICSSELIDIYRV GSTI ACHE_60051A MKVNSASGYFHIHTKEVLDIIKYFEFILAVQGVNYPLSIFRALS DYHEVVNMRSQIHIPPLTMNEEAVVILGAVKADMLQIGRQSVIPYPRCRGKPIKRVFE LAN ACHE_60052A MQLLKTLFLSVISVWAVSRPALTASVSSNLQHVLDDDTTCLSPN IVTGVYDNPPKIIVHNACKGDIWTWTHYDAQRHMYKESDDVFKCLSNGNSATEVKWVA EREWILAIYGNAAIIVNYSDKQLVFAVCLNDNSHSLELVPDDKLALATTSHTLQGSIK IFDCAQNNSLPIQELHLLPACHALVWDEHDQTLWAVGNDKPPSGNYGKSYGILNGYQY DKRKGQFRQAVLDTHRVTEAALLLEEWTDADYAGWWDGPHDVIPIPNERKLLITTDRD IHIFDITNGSFEHGDAVMQKYLPGFQPVDHRTGRNGVLLPRSDLKAVSINSNRDVIYV QSDWRSWSSSHVNLLVDGAKKPDINFSGIIYRSRWMDEVSGWPAA ACHE_60053A MESIQHRALYRIAGAFRTTSRAALEICLNIPPPMIALERAAKES YLRIATSPLMTTLIEIRRSGQRPAPRNAQERRMRWFTNPDQDPLTSPLEQWGRRTAIS QDRLEVIHPHVTSPWWSGLESHIAETREDALAAHQTALHSGADIIAYTDGSMTEQGVG AAVVSPLGRQAVHIGSPATHTVYAAELRGIEMALTQIGNTFRPTSQRSHRAHTAIIFT DNQAAIQACSAPGRSSGQYILSEITRTASQLQECGWDIQLYWLPGHEGIYGNECADAL AKEAANSPAPSGAEEFTLMASTRRTLRIEAANAWKTEWAASPHGNSLRRLWKEPSKAP MQLYQGLRRAATSVLIQMQTGKIALASYLGTFNAMESTECPCGRGPQDTRHVLLHCTN QAGPRMRHLTQGSRRELDYRAYLTRPDLVPKAVRFMLDTGLLSQFQTLPTTYRVTTTD TKQLAA ACHE_60054A MSTNPTNVIRLGDSSQLREAFTLEGLLTIVGDKWRDDDDTAANT WIELRTAKNACPLHIRLSPTRNQVAFNCAVSVDNTPGKTSWIREEAVTIEKLKTRENP RIIVYDHGRKHGYQILFNYQTVAWYKPDEKVPDEKVTQVWYGRNEYNPPAFGEQITVS TARDLGRFK ACHE_60055A MSVKDNARFDFIVVGGGTAGNVVAGRLAENPNAKILVVEAGVAN SKDIEKIRTPSEAMELRDSEYDWAYKTTMVKRDDYERIEKPNTRGKALGGSSSLNYFT WVPGSKGTFDMWEEYGGKEWTWDPLVFYLRKSATYHDDEKAYSPDLKKIGQNGPIPIS HSELIPEMQPFRDLLTKAWKSTGQPINENIFDGQMVGLTHSVNSIYKGRRSGSFLCVT DKPNITILPQVHSKKLIIDNADKTCKGVTVITASGQELNLFANREVIVSQGVFESPKL LMLSGIGPAAELKKHGIPIIVDNLHVGQHLLDHPGVPFVLRVKDGFGMDDYVLRKGTP QNSQAIKAYQNNYGGPLGSGFLEMVGFPRIDKYLEKDPQYRQAKASNGGKDPFCPYGQ PHFELDFVCLFGSAFQWHYPTPSKGNYITVMVDLVRPVSEPGEVTLNSADPLQQPNIN LNYFNNDLDIIAIREGIRYAYDVLKNGEGFKDIIEGEYPWEMPLHDDKFMKRTVLDRS QTSFHPCGTARLSKNIQQGVVDPGLKVHGVKNLRVIDASVIPVIPDCRIQNSVYMVGE KGADAIKRDHSDFY ACHE_60056S MGDDRYYTLAEGCPFANNSTAVLMRGRQGGSLGLLQDTQLIETL AHFSRERIPERVVHAKAVGSYGEFEATRDCSDFTCASFLNKVGKKTPVLQRVSTVGPE SGSADTSRDVHGWAMKLYTDEGNLDWVFNNTPVFFIRDPIKFPSMNRSHKRHPQTHLP DANMFWDFHVGNPEGIHQLLVLFSDRGTPKSVRYMNSYSGHTYKFTKPDGSFKYAKIH VKTQQGIQNWSREEATRIAGENPDYMIQDMFEAIERGDYPVWNVYVQLMSPEEAEKYK VNLFDMTKVWSHKDFPLLQIGRLTMNRNPRNYFADIEQAAFSPSTMIPGFAASADPVL QARLFAYPDAARYRLGVNYQQLPTNAAKVQVYCPFQRDGKMRFDDNYGGDPNYVGSSI KPTKFYQEVKGTHPAALALHTEHEKWAGEVSAYTSEITDEDFVQPAALWEVIGREPGH QDRLIDNLVGSMKGVKYPELRKAVYSLFSRVNKDFGLRLEERTEAAIKAA ACHE_60057A MTPGECNASEIDPRLESEKMNEQHEKQELGNSDRSDRQSLSAIS KSTSSSSSNDYGSELHTLRSRPTEPDLERRRTRMSDALSRIETQRYQHALTVGESVQS RASRASLPPFGGGKPYPPPLPAREEYVVEFDGTDDPLYPQNWSLGRKVTISAILAFTS ICSTFDSAIFSASTSNVSKAFGVGIEVSSLSSTLYIIGYASGPLIWAPLSELRGRRIP IMIGMLGFGIFNTGVAVAKDLQTLMLCRFFSGIFGSCPLAVVAAVFSDIYDNRTRGTA IAMFSGTVFLGPLLAPFIGGFINMSYLGWRWTAYLPAIMGYFAFALNVLFLKESYPPV VLVDKASELRRRTKNWGIHAKQEEIEVDLRELLVNNFSRPLRLLLTEPLILAVTVYLS FIYGLLYCFLTAYTLVFQGVYGMTPGVGGLPLFGMVVGLLIAAVCIIILSGPYNRKLD ANGGVPIPEWRLPPVIVGGVLFAAGLFWFGWTGFTNKVPWIVPTLSGLFTGFGLLIIF IQLFNYLIDTYLMFAASAIAANTFCRSLVASSFPLFSRQMFNGMGIQWASTLLGCVAA VLVPIPVAFYLFGKKLRARSKFAPFDERIHEMAQVEDDVIEDVNQRT ACHE_60058A MARLASSNWCDCDFLSSLDDILPSSSEYPDLEKRPIDGPNKIGN YFIGAAQWIMWPDEGRYVYQQCKKVEGVSEPREMWSMERWREWKNQFAFVAGDDLAGR YREVAEQSYRQILVYESEELN ACHE_60059A MEITLTKRAITTRGPTDGYILNEENLIDDAFLPKTYSVRLEKGM FKTAFERTAGPVRPWRQSYAYQLVFDKSPYPPRHEWKDPEDVPEPPFLGFSEWREFCS RSFPSDAE ACHE_60060A MHLSLAILSFLAVCSHAIPFNIQTRDVPADELTQFHFWVEYAAA SYCHDNYVAKTGHKLTCWANNCPQVEQSDTEILFDFSNTTITDTSGFVALDKTNKAIV IAFRGSYSVRNWIADATFIYTDPGLCDGCFAELGFWSSWILVRDSIVEVVSQHPDYEL VVVGHSLGAAVATLAAADIRGKGISAKLYAYASPRVANPALARHITAQSGNYRFTHID DPVPKLPFLAMGYVHVSPEYYITSGNNATVHTGDIEVLEGEVNFHGNTGTGPPSLTAF AAHNWYFGKTDGCKGPGLPL ACHE_60061A MAVLDTTQDLPTLFKRQVQATPNAVALEDESTKYTYAELDTEVD ALASRLRAYGVSRDTLVGVLLPRSAHYVIACLAALRAGGAFLVLELAYPAGLLADVLE DANPAVVITHRPDAHKIKASHIPLITVDEPAADINGHAKEPAPLPASDDLDRLSFVSY TSGTTGKPKGIANPHRAPVLSYDLRFAVQDQQPGDRVACNVFFIWEMLRPLLRGATVV AVPDDVSYDPAALVDLLAAKRVTETLMTPTLLTTILSRYPHVETRLPDLRTLWLNGEV VTTDLARRAIKALPNTRLLNCYSACETHEIACGDIRDTLDVESLYCPVGPPLDPTHTY ILNESGQQVEEGTSGELYIGGSLLAREYLNLPETTAKAFTPDQFDATPGARMYRTGDR ARKLPSGLLEITGRVGAMIKLRGYSVVPAKVESEICQYLAVSHCAVIAHGDGLDRQLV AYIVADKEAFGDERPAVEVNESGHSPAARRVLEPYLAHYMIPALWVALEEIPTNDVSG KVDLKSLPPPRSPSPSSSAPKDPISINDVAAIWAAVLKTSKALLKPEDNFFDLGGHSL SLADLSARLSRHFGFRVPIPRLADNSTLTGHLETVRAVRDGHTAAVQADLPAVLLSDA TLDDDIKPSSNATITSIAEAETVLLTGVTGFLGAFLLNDLLQSTSARIICLVRFNDPE DDDQAGGVARIRRNLLDLGLWRDSIMERVEVLPGNLSRTRFGLSRQAFDDLAARVQVI VHAGATVNLVYPYAALRGANVGGTREILRLSAKGGATVQYISTNGVLPPSGQRGWTED AMLDVAEVPTKLLDGYGQTKWVAEQLALKAGQRGLPVKIHRCGTISGHSTTGAANAWD LLTALLVESIKLGYAPDVDGWRAEMTPVDYVSKAIVHLASQTQATQPVFHLGDPDPVP TRSVFENLTELGYPTQLLPWDEWVALWYEKRGSAKGGDGAFTVDILRSGMPTVEFLRD IVVLDNALTKPFRAVIERPKVDSDLLETYTRHWFARGWFSKPPSRQRALNRSANPISR GPLSGQVAVVTGASSGIGAAVAVALAKQGCSVALGARRLDALESVKRRVQSEVHDAKC TIRATDVTNPTHTEALIQVASEELGPVDILVACAGVMYFTMMANTQTDEWTRTVDVNC KGLLNALAPTVPGMISRGRGHIVAISSDAGRKVFPGLGVYSASKFFVEATLQALRLET AGTGLRVTSIQPGNTATDLLGMSTDAEAVEKYGGESGAKILDPSDVANSIVHALIQPE HVSVNEILVEPREEPI ACHE_60062S MHVVFPVVYYFPGLGRTYPCLCIRYSLYTLVATFSKGKTSTYDH RVWRTGLPVRSAVLKPHAGRLVVGWVTTSESRLLRSKVGINSGTPQTRRVSTAAYQRE TEEQDNVVCLKQRAPATEATYSRANENWCLWRLSRDENESKNSAKEEPDPSAQTLKLF AEDFVATRKQIPSQYSVRHTISCFVAEWERKTGRTHPKHLKNDVYNVGHESRA ACHE_60063A MSNQTVGIFPAAGGIGGSTVKHLLLRLPAKDLVFIARHPEKLTS AKSAGADLRRADYDDDASLQHAFDGVNTLFLISYASVEHEHRSERQRLAIDLAIRSGV KTIFYGSLGYGGKPESDESVAHVMQAHLDTERYLDECTRKHPGFGYTVVREGLYSESY PLYTAFFNHKQPVDEIRIPHDGSGPGIAWVKREELGEGTAELLKRFVIDPAGFVYRNK KILLSGTKTLTLGQTVDILGKIAKHPVRIHQVSPDEFAAQSQVSPNFIYHGFDHSKVW TTTFEAFRRREAAYPSPLLKELLGREPEDFETTIGAA ACHE_60064S MELVAESGKFLVFDISESSPKEIGNGGATTIAYFRGDVACLTDW SEALARVLLSYNHLDIVVNNAGVLHKAQPSIDLSDKEWEQVCRVNVKQIHLSTKTIIP YFEEATQPGLFVNISSMSGARPRPNLVW ACHE_60065S MGTRRRACDRCRRQKLKCDVEKPCSPCVRSGFACETTSAPLRKS QKSRKTAKRQNADNVRFNGVPPARPDTAFSDPSQERVRDNQEWEENRSELLPEPHRYA QVSAIELTDELFQIHDQGSVVSPAENDTTSALPGADHLSRRDHARRGNERRFSLSSQF QGLILPSRRLCDFLLQSYWDSVHWFMMVFDEEAFKREYREILGSQSVAPQQMGTAVLI LMVLAMGARYAADDKIGRVGVNRQELQVFQNNMLDQIRARFFDVLDMGDVECVQLCIL LSSFYLYNGKPNLAFPILGAGTRSAQVQGLHNEALWRIKNMATAEVRRRTWWALYVLE RFASMTYGRPSSIDCTFCDVKMPQDMNDTLIVHPLLNSMEFSNKQPRSRVTLGTYQRA KFELYCIAAPIMGKVYSFEATVPTSVMEQAAEINTQLVEWFSQLPPELRLERNVNLDT SKLSTSELKVCQLFQLQALVLQLAYDNIQIILHRPFLRHNRSLLITPATHALDARPTS FEQCKHCARRTCSILPRYTQVLLAAQNTHAAAYIAMQNFTAGVTLGMVALSNPSSEQS QDAKKGVANSISLQKALAASSIVPSQTVKVLEALFRLIFQREMQSLLGNQPFDIESPR GYTSNQIEADEGYDRSAPTNSGDTCQMEPMLSHEARQTRQSQSTCSVPGGTQEDGLWG EPASGYEGDENVALHADPGIDQALESIQQGMSAVAQFLIPKPSQEEALPLHLWIFGSH EASFTDVYDLSPM ACHE_60066A MPSSTPDDRPKATAVAYQGEPLPNVPEDLVVPNILSLDFEERLW VPQAPDVWFRPILFNVSQGYFVNLLRVRRSGILSRHRHSGPVHATTLRGKWHYLEHPW WATEGSHAFEPPGDIHTLEVPDGVEEMITLFHVTGAYIYVDPQGAPLGVEDVFSKLSS ARAHYEKVGLGADYVDQFIR ACHE_60067A MESRCRVSEEQPSSSPHEYHDRVPEQLLRSVGLRENRMALVLLG KCKVRGPAGTYECTCVNGRYFTGIPSSTCTECLHPLNLHDEYVPVARQLPGQPYSTID DQSRLVHESTPIGRLSSISPREKTVTRLQKLLDEYRVVHVRGTPSSGKTTLAKLLEAR YKEQRQAVVYVNAWHGVNDGTDHLVQLCRQKGYIVDRDSLATSDVVIVFDEAQHSYED LDLWVGLIKTQSGANQGLRMCLFTSYGSPTAGRAEHPRGTTPVHFGREQRVSLASLDL DHPHLFYTAEEFMDVVERLCMDPTCPMTLDDAATDYLFKVSNGHPAAVQALIRYVHRV YRSAPSHGEISTVTVDDIIQALRNDADVFSKLDAYPVYRSFPSRDKITLEAANALRAT LLLGNVPRDLQNPGIRLCYEQGWLHSDPPDPVKPDNVVCVLPSRLHEKFVEFYLATDS PRSFPITEFPSLPVFCLEILKKFSRSRLKVSARGRLGAGSQRRPVESQYQKEFHCGFD SLLGSGHGISSEWSGGGSGHIDFRITEPKWGIELLRDGDRLTEHCQRFENSGRYWNWV LNGWIRDWIILDCRHTMPKPFTAVPGAKLWRVVFTTDYKSATVLDADNNVVMDNFVLL N ACHE_60068A MTRTQVPYPSPTTLTKFPHIHDVPSQVSHALDPFTITTSTGFMP YQTAPTELPEAFKTLQSLVERMPVLRLDGKPGLLATYEFGPAVERELSVDLTDEIEKL VLPDGSYDKFTMAAVFRDYTFVASSYLLEPCWENWRKNPDGGYGLGRQVLPKAIARPM YRAAQILDVPPFMSYAASYALFNYTLADHSKGLSEYSNLRLVRGFERGLDPKSSEAGF ILTHVDMVKETGALVAGVLKVIDTIEQHGDRDEINDGFRDILRTMEKIEASMEDMWGN SKPEEYLSFRVFIFGITNQSMFPNGVIYDGVEDNQPLSFRGESGANDSIIPLLDHLCQ IPMPETPLTKILHEFRAYRPRPHREFLTYIREKAEEIGVQKYAVEDSETAVLFLKTLN HVRSFRWRHWLFAREYIIRRTPHPTATGGSPIVTWLPNQLSAVMDLMVTIYDTYLAPK DGVTIVNGKEDLIASHRKQVEPMMELVRDQREKLAKEVARWCQERGV ACHE_60069S MWKPPLNSITLHYAYIISCGILGLIVLYPYGNLKAIDAYFFGVS ASTESGLNTVGVKALKIYQQIFIYIIPIITNLMCINIIVVAVRLYWLEKRLKHIAPAV LSAKPQSVRLKDNKYDQEAQAGKLEDSIPEQETDHTPNQRYMNMERHDSTASEENRFR S ACHE_60070S MFVIGRQPSKQGPRIQRVVSLSKDSNLPGLSSQASVGRNSQFFN LTAEDRKTLGGIEYRALKVLLRIVIGYLVGLHLLGIICLVPWILHADPKYRNYLEECD KEVSGGFLFGSNNG ACHE_60071A MDPLSITASVLAVVTAAVQSTRSLHETVKRFKERDKTLHRLQNE LEDLANIMESLAQVINSETSMVALLQNPIKRCTQVCREFEQSMKVFSGKSTTGFRDWA KMEFMRGSINEFIDTIAGYKSTISVGLGTITIHTSKVSHQVLQEYNEMIQDTAYDLEL HLQRIDEKMARFAIEKTKTSDIDINLKDEREVTQQCLRICEDAKSYIESLTSRESSLL QEPCQNAAAERDTESCFEAQLLTRRALDENQNGFAETILLLQKRLQSLVLNKDKNDDE RLGLQEDIHISKQCLEVCKMASEVSHQKIYRIGEVVAEDDSDQVVVTTLADLFDIKKA MSKGNAAQLVGSMTEGALRHLADKRYSNRFGVPASDSDFVEAGTSSSPSVFETQKGRS VFPSQTGDYEQSPGLKTRRNRASPNEMRKRGMGGAAGGECYQ ACHE_60072A MNYPFPELPSVVSSLPGQAMKTSPSIMSASGMKTPSWSAPVDVQ SLPHHFYYCRKGHKASPQPWGSQQQQQQQQQQ ACHE_60074S MRLPYAPLTPSNPEDEEIYNRIAARRRPRPLIPLDLTLLHAPSL ANGYNSFVGAIRSSTVLDQGLLELAISRVAILTNAVYEWNAHAPLALKGGIKPDELKA VRTVPSTIDSEEAVKALDESALSPLQRAIVRYTDQLTVTVRVEDAVFGALREEGLSDR EVVELTTGVAGYNCVSRILVSLDVGENNDKEMKSVDDLVASL ACHE_60073A MDNHILTLSCPDRPGIVHAVTGFLTQHDLNIVDSSQFGDPTSKR FFLRIHFAPASKDKERNSLDQLKQAFEPIAQEHNMDFQLWPVSQKPRVLIMVSKIGHC LNDLLFRQSTGQLSIDVPVIVSNHPDYAPLAATYNVPFVHLPVTPETKREQEERVLEL VQEHKVDLVVLARYMQVLSPRLCEVMSGKIINIHHSFLPSFKGAKPYHQAFDRGVKIV GATAHFVTSDLDEGPIIEQDVVRVNHAMSPKELTHAGSTVESNVLARAVKNVTERRVL LNGHKTVVFN ACHE_60075S MTFAVNFRNHLTTLPDHSLGNTVFQSRVYFQIPVYELPDLDLLG NLIHLHPLQGSGIDTRSLLEIANQAFQSGAGILAYDDASLRSWMAFITMQPDYESMNL RYGDTIASSWRDLKINSLDWARLTTLSSTSVLPTGRAPYCQRQSQTIQRQPRRRRGMS AFLSRCNI ACHE_60076S MAAAITSWVLNPIQSFTMSRPRTRKLWCAVPGNLRRPFSIECVA DQDDIETLMKKIWDHAPARAKKDASDYGDLILYSPVVQLNHEEEFRIDDGEFLHPRRM ITSNPLFPESKDSDVDIVVVVVVMSGGATPQKCSESQNVNLSPGRPITEDPHVCPREH TVSELAAILDEVNIVHVRGTPASGKTYLSELLRHHYRKEGRRVSLIKKWEGLNFKNPW GSLVKLVEKWNEELDGAPTTSFTTTSSKSKHDPSWVLMSNTVILVDEAQVTYSDDVLW NTILKQRQSSLFGYNFRLCLFCSYGSPEAGPDQTFFTPVRLSNQQCISLTPQSRQGSP TIGLFYDKEEFKDVVSRSIPHEYRKNSTSDEVAPKKFTFDEVAQDYIFALSNGHPGAV ESILNALFLTYHHDIKHGHIRTLTEDHVIWFLEDTATVFSKLSTQPVNRSFPRMERFT GGISNILNTITEKGSVPFDFNDADIRSCYQNGWIHRVALDGDDVAVLPSRLHEKYIEY WIGKKSIPLPARFDSLPKLCKEILREFSITNLRHSAEGKKMSSASQPRPMEAQYQDEF YSGFVHTAGRGVPISSEWSRTKDGRVDFYIPEKKWAIELLRDHGEVDEHISRFKAGGK YHPWLEEKMIKDWIIINCATSLPTKEFSEPKLWHAVFINDYSELQLYNHQKALIMSVH LHN ACHE_60077A MSGRNAPRSKNGCSTCRRRKVKCGEEHPVCQRCSSLRLHCEWGV PVKRGRSVQVRDLQPAPRPPSQQDEISLNFGDLALLWERSAASASPGQPTSLPATLPF LPPYSYPTPIYPSVSTPEVACANSLVLSKQDQKYFQYFPSSSLVFYYMKAWQWSSFCY LYQGPAATSKVIMRMILALSASDMHRNGLEVRSPGRPTAEDHARHHYGLAVKEFRQTL ETPRRHISHGELETIFVTMFLMVMYECQFGHHVRHLQLHLQGVRSLLETHPELFQNRN VGNVFSLMDTEEPGPTTQDISFVPIQMLLWMLYVDISAQPMGATESLYDYILQSGNAA LQPDHLYQCARLWGRCFWGDQYPEQEVMDDNENYRGLELIHVGMVLRHVIWRLAMGNA VVPGTTSESLFQEMMAIRNRYSDLFITAKMARGHTAYRTMNTILMAVANFYGEVLFHR RVLCIDTPPSPFQRQALGHIMEIAQKQYSWDPRLLRRLHWPLLMAVVDTADPVAREWL QQRLVELRASHSEYHWANRVADEVLAEQTKIGGYVNLLEFLRTHVQ ACHE_60078A MAILNGSHLADVKPAVDAAVESLNSSLRELNGEIWSNPELAYHE YKAHDTICDFLEKQGFTVTRHAYGLETAFEAKAGSGGRLVNFNAEYDALPGIGHACGH NLIATSSITAFLALSFAIKKFGIPGRTQLLGTPAEENGGGKAQLIEAGAYKDVDISLM GHAGIHELFPGVISDGIAGTYMNARKKLFCEFTGVSAHAGGNPWDGVNALDALVSSYN NVSVLRQQIKPDERVHCAFLDTPKVANIIPHSTKAVWQARSPTLKGLNQLMARVRNCI EAAALATGCEVNIKEEELYKDVRLNGTLCERYQAHMQAYGRNVLKDHEKVLTASSDIG NVSYVTPTLHTMFAIPTSKGAYLHHSSFAEAAGKDEAHEEAIAVGKSLALTGWDMLTD DEKFEAAHSQWRESVQE ACHE_60079S MEKRTSNEPAASTKEDLYDVKGEGLPAAAQDVVLGEMLEVDATP EQERKVRLKLDLVLLPMMAACYMMQYMDKYVLSQATLFNLRQDLNLVGDQFNWTSAIF YFGYLVWSWPSSYLMVRLPIGKYLSVCVFLWGGFLLCHAAAKNFAGLMTARFFLGVGE AAIAPGFSLVVGMFYTREEQPARQAAWYLGNCVANLISGVVVYGIGNITIHTVAQWQL IFLILGAITASLAFWLVALLPDSPKNAIFLNKKERAIALQRTLRNKTGVMDVDSFKWN QVWLAFKDPQTWFLVLYNFCVNLCNGGITSFSSILINGFGYGRLRSLLMQMPMGAAQI VFLVITSGVATYVKSTRIAMMMFTTSLSMMGMILIWKLDADNKAGRLTGLTFGGVFAV NIPLSLSLVSSNVAGFTKRSVISAMIFVAYCVGNIVGPQFYLESEEPDYPTGIKAAMC GLILGIFFLFCLMVYYIWENRRRDALYGPPSLMTENEELEQDLSNKTDQEMESFRYVI ACHE_60080A MHSFQLLGLAVLGSVVSAAPAPSRASDFTKRASTCTFTDAAAAS ESISKCSDVVLKDIEVPAGETLDLSDAADNSKITFEGTTTFGYKEWKGPLIRFGGSGL TITAAEDAVIDGEGSRWWDGKGTNGGKTKPKFMYVHSIEDSTISGLHIKNTPVQAISV QADNTILENLTIDNSDGDDNGGHNTDAFDISESNGVYIRGATVKNQDDCIAINSGKNI EFSGGSCSGGHGLSIGSIGGRDDNTVQNVTITDSTISNSANGLRIKTKVDETGSVSDI TYSDIKLSGISDYGIVVEQDYKNSGATGSPSNDIKVTGITFDGITGSVEDDANPIFIL CGDGSCSDWTWKGVDITGGESSDDLYNL ACHE_60081A MSIEVSQAGVPKGHPDHLEEAEGKALTDISSEHQAFLIDRHGTV NLDPIPSIDPADPYNWPSWKKGTNLALVAFHAFMGTFSAAGIIPAFQQISEALGVSLQ RASYLVSLQIAILGGAPLLWKPLSHRFGRRPIFLLSLILSCVSNVGCAKSLNYASMAA CRALVACFISPAMAIGSAVVMETYFQHERARYMGIWTVMVTLGIPIGPLIFGFVANRV GYVWIYWILAITNGCQFILYIFLGPETRYVESNKTGSVSVFQRQYLRLGRIDPQSFTL NEFIHPLSLFRRIPVMLAAVAYGMVFLFASVMNSIEIPQLLQHKFELNAEQLGLQFLG LIIGTLLGEQLGGIMSDLWMSWRARRIGHLPAPEFRLWLSYIGFFLSMAGMIIFLVCT EQAQAGKWTVVPIVGTGIAAFGNQVVTTVLTTYAVDIYPEDAGSVGVFINFVRSEWGF IGPFWFPAMFEEVGIAASAGVMVALITGVSFIPTALLHWQGWRLR ACHE_60082S MTVTAPPPVANALQVIGNTPVIHLRRLVPENHADVYLKLESINP TGSYKDRMAKSMIEEAERRGDLKPGMTVVEATGGSTGSSLAYICAIKGYRFRVVSSNA FATEKLRTMTAFGAELEIVHSPTGTITSDLVPSMAKRAREIAGNGNCYLTNQFSNRDS FVGYEGIGHELVQQFPEGIDAFCGAVGTGGMVMGASRVLKSQRHETRTIVLEPASSPI LTEGYTGSHGVEGVGLGFIPPHLEKSLYDEARAISEEEGRQICRRLAREEGLLVGTST GLNVAGALALAKELGPGKTVVTVAVDTGLKYLNGTLFTE ACHE_60083A MTGEKASYVTIDVFTSQRLKGNQLAVVDITNKSLTHQRKQQIAR EFNFSESVFLTHGGPGRNPRAEIFTPVNEMEFAGHPVIGTGHVLFQGLLGSYGAGQSM ALDTKAGPVTIEYDPAVKLVSAGIPHNVHVHEKQAALSALVATQKSLADVSGLDCTYP IVSMVKGVTYVLSDFTKQPDAFANLAPGPSPTIALDDEWSPSFVGVMYYRTLQSHIEN ETTIWDLRVRMIAIDLEDPACGSGSCALGAYLALQSGQQSRKHRFNINQGSEIGRDSQ IVVNIELNESRDKVESMQLAGPAALVTQGKIFLN ACHE_60084A MHLIQAFFYVALLVATPIFAAPAGKSAPTAPSTVTCKPTTNASS TKTFKVSVSRAKDEMTKAGLNTGKSGDPHVYGNTDKIKWGVGACDKAGAHLLEYPVFW EGQKANWEKNEYTALQAKTPIRVVYANANGAAVYCGVMTHAKVTKEFKGEDYFVKCE ACHE_60085S MDPEYSEEYDQKPLLFKLFEDWDTEEVIQGKKRLEALVNGDVNP RDAAIEFNTVIVQEAYRRKEELLKRPDPRNLTPEEEEHGIPSMRAIAPNPSGDLEELI QWIARLCSAFPPYHPGQDRIIKFLEVLRDLPRREVPDGVPPEDGSEEPYPTMTFWEFE SWGWLAEIFRVEESACQPPLRWRNWQSSIARLATLNLIKCDFLCSLEHLLPSSREYPD LVKRPIDGPNKIGNYLIGAAQWIIYPDEGRYVYEQCKECEKVPGLSPREMWSMERWRE WKAQFGFVAGDERFQGKFREVARLAFEKMGEYERC ACHE_60086A MLDNGAYPLRCHDGEHTAMSLAVMNGHASIIELFLERNIPLPKT DFLDPDYDALTAAVHEGHAPVVRLIIKHRKLPASWNFEFLLADAAGDGYIAVVEELMR LWEMEHSPDQLPLPSLAVALARALCSAAGADEIEMVHCLLRLGANPNAVPHTRDTPLL AAITYGHSDMLWYLLTHGADVAQLPVEQRCSLFSKAATDERDAKLILENIDYRKFAMN SRKEHAYLLYAAIACESEKHVRELLQHGLPDDSDFIVLRYGQFSLRCGPLEVAAKAGN EKIATLLLDKGASCNPRDMIPSRDPLRLAILEGRENIVKLLLDRGSDPNYIERLGATV SLPLVLAIEHESIFKLLLERGADPMHPVYFDYTSSTVALQVIISGKMALAQTLLDYDV PIEIPDPLHHEQERTGLLHYAIEGGADMMKFLVRKGFLDLPIDENEREEAVIYALDRK QASSFNYLMEQGFNMPINEVPKALEKLAGYTQTIGYGSLHSQANAMFNTLLNRGANIN ATDDPGYSALWYSIHFRHIKTLEYLLSKGADPLTLNRGGETPLSLAVQWMEGFELLMR SIDISSVPPDQLRYRIERCLDVAVGFKQWEAVKRLERLLIKIDRLEDLVFLSCI ACHE_60087A MRFVNLPSEVLLHITDFLEYSWNVSALSQTNCYLYTALNHYMYQ HNVRHFDSSLFAWAMENGSEIVARKMLDAGASPTKECTWDHFDLINAAAAEGHTEIVR LFLERDLLPVDRRRNLLMTAAIFGSDSVVHLLLNHGVSITSNTAKELLCERQACGLGV TKILVDKLWDEYPRNETIDVLSWELEQRVEAGSGCLETIQYLLQLGISPNATSPDKPG PLSLAAERGHLDIVRCLVDSGADTGRALETSRRNPIYKAISGKHIDVARFLFQRSGLD ALTPKTEGEAALLLWVAAALGSVSHFWRAVQIELPRQERLLHLMYHHYDLPAPLEVAA RMGHGTMVGLLLEFLQFNGGKYMNMRQQEHALFAAISEGHEPIVKLLLDHGADPNVMD SSNSTPLSVAIEHEDIFRLLLERWADATRTPVSENENFFAVNITAVLVRLGKTTFIDH VLSSPEDSQAHVLPLDNFHLLNRALIGGPAMLKYLVSKGLLQLPFDEHDRQRAVNYTL DNYLPGSLNLLLDAGFTLPPGVARDALKLAPSNDKNALETIDVLLKYGVDINSRSPDG STVLGYWLQKPVRDAVFLVSRGADPLLVDRDELLLATAARALPVQDLKPVLQSIDVRS VPRDELRQKIARSLFVAEGAQKWDHVKVLERFQVENNLLAS ACHE_60088S MHLWKHKFRGKTLQVAMTMASCQAFLLLGFDQGANNRFGRDFNN PDTAMQGNITALYDIGCVVGSIACYFIGERYGRRAMLMTGGAIMVIGTAILASSYTVA QLIAGRIITGVGNGMNSSTAPVYQSECAPASTRGALLTLQGTVTILGVVIAYWMDYGT SFTESSFQWRFPLAFQAVFAVCLILQVIGLPETPRWLVQHDRHEEARQVLAAIENKPL DDAEISKSVLDIQTALEEERKDGPFRFIELFSWGETQNLRRMLITITIELGQQFTGSN MINYYAPVMFQTTMGLGRNLSMILGGCVQCTYLVGSFIPVFLMDRFGRRTLLIVCSAG LCLCFVMVSILLSLGQMNAAYGATAFIFLFQIFYGAGWLPVPWFYPAEINTTRVRTRM QAIASGWNWMAVFAVVKITPIAFDNIGWRTFVIFAVLNAAFIPMVYLFYPETKGLELE DIPLLFVKGGFTGGVFSSKGGRTVEPRQHAHNVQVDSKLEGMLQQVEDTGQV ACHE_60089S MNLTKSLAALILHFAVTNAQCGTADACVLTETCSTYTRTTPTTT TFATCVPTPTCLKVYQDCKSGGSGDICCSTYCAATKCRPTDPKWPNCREDLELCKADE ECCYNNKCVEGLCRKP ACHE_60090A MSYSSNEPTIEIDAKEAKTAIVDTVLANTVKLAGAAADTTNSGE AAQSIYYFKITAPEAHESIRSSPRRSQRSWFYQRQHQLLLHRPVAREEQLQGELPRLR VGSAQHDCELWTILYVVEDGLPAVCEGQDETTTALHGFTHFPALYEPLTSDFKYGDEV LLLESEQALENADNYAMYVTAVYLNCNLAA ACHE_60091A MRFVPDFTGALGLAHTALTSLNVTLSQTGNTLIKAVVQNDCPND ISFVYYNFYGDSAPVKEVSIYRNGTQVDFEGIHQTYRLTKLTSDAVTNLAAGANYEDE FDIASNNDLSGRQLPSTLKDTLTS ACHE_60092S MVNFMGTTIALQVLLAPHQTVPFTSLLPIEVSIHNAASTPVTIL DRNTPLEPGAGHLGVLKLRDAQTYEEVPIATISASRIEPPLPNEYIEIPPDTTVKRTL ELRVDEKDIQVGREYTVRAEGLWSAVWYRALSEIMEEQRDHSLNATTGEFLSNVGRVK VE ACHE_60093S MSEPKQVIDIKADALRVFHILKTGGIAIIPASVGYGIVAIDPEA LQRVFTTKQRQPHKKHAMIGSYALHRELHILPSREAEMVRLLTVDLDLPLGVIAPFRE EHPIIQKLGKETLQQSSVDGTLSMLVNGGKFQEELSRLATEAGLPLMGSSANLTGKGT KSTVEDIESEISEAVDIIINYGRQRYSAPRPSSTMFDFKNMRLMRFGACFDVIQDAFW RFYGIRLPDDPGREVLFSGHLDARANVYN ACHE_60094S MTIRTICSKGIYHGLPVFPDDVEGLTAIVTGANGISGTHMLRVL CESPKRWKKVYAVCRRPPHGEWPSQVEHVSMDLLQAPEKIAEKMHERGVKADCAFFFA YIQPKPKEGESIWSAVNELVNVNTALLRNFLEGLAISKSVPRRVLLQLGAKYYGVHLG PSAVPQEESDERVHLESNFYYPQEDYLRDFSQKHGIGWNITRPSWVPGAVPDAAMNLC LPLVIYATVQKHLGKSLEFHSDLNAWEANQTLSTAQLNCYLAEWAVLERHAKNESFNA SDDCPFTWGKFWPRLAERFQMPWTGPSINPSVFKEQETPYNPPPRGFGPPAKLRYRFT LTEWAKKPEVQRAWKELAEKHHLRDKSLEDVDRIFGFADAALGMSYPILFSTAKAKKL GFFGFVDSAESVFKVFQEFVDLKMIPPLP ACHE_60095A MVLGGFYFSASEARFLISEPEIVGSLKTAAQGVGIPESNILIFN SLGQNVPSGFRSWDELLKAGEQDWVRFDDLKTTKETTAARLFSSGTTGLPKAVTITHY NMVAQHELVFDVHPRPYRVCKVVPTPVFHAAAAPSTHFSTLKSGYITYIMRRFDLNEF LDAIEKYNITDLMMVPPIAVGILMSPHARTRPFLKKVKFAGCGAAPLGRDVQGKFHEL MDKDAPMTQVWGMTETSCVAMRFKYPEHDDTGSVGRLIPNLEAKLIDIDGNNISAYNT RGELCVRGPTVTPGYFNNPEANASSFDADGWFKTGDIAYCDEATGKWYIVDRRKELIK VRGFQVAPAELEAILLLHPQIVDAAVIGISFAGSDEEWPRAYIVKRLTEEGQKLTAAD VQQYMKERLAKYKSLTGGVVFVEGVPKNASGKILKKVLKEISKREIEVGMIKPRL ACHE_60096A MAKFLENSRWYQAYKELASQGKLQLPEYEQNENGEFLVKPGELF CRYPDCDKRTTEFSKTVNLRWHLKHHRDVQIANSGTGRFKQVEKDMTNAWYKELVESN QIMDESKDEEQSKEDDQEHQKPYVPWRKDLMDINRIKVRAIAKALGVFPCDACQEAGI SCLSDMNICTIVMHHFDLRSPEELEQMGLNATNPN ACHE_60097A MLFSFSITNLLFSICILMNVKMIFEPAERLHIPTKDLLSYIFDN PEYDQDEPIYRDPANSSRSISCNQARKLIRQLVAGLQAWGVRKGDCIAIHAFNDIYYS MLVLAIVGAGGIFTGTNPSYTPMELEHPFKESYDKNESETGSLGS ACHE_60098S MPGMNDPETIRILVATDNHVGYNERDPIRGDDSWKSFHEVMCLA KEQDVDMVLLAGDLFHENKPSRKSMYQVMRSIRMNCLGDKPCELEMISDASENFQGAF NHPNYEDEDINVAIPIFSIHGNHDDPSGEGHLAALDLLQVSGLINYYGRTPESDNIHI KPVLLQKGRTKLALYGMSNVRDERLFRTFRDNKVKFYQPGIQRDDWFNLMSVHQNHHA YTETNYLPENFLPEFMDLVIWGHEHECLIDPRLNPEMNFHVMQPGSSVATSLCPGEAV AKHVSILSITGRKFKSEPVRLKSVRPFAMREIVLSEEKGAQKLARKENNRTEITRFLM TLVEELIEEAKADWVDTHGEPTEDEEQEVPLPLVRLRVEVSTPEGGSYDCENPQRFSN RFVGKVANVNDVVQFYRKKKNTTRKQGDVEVDESAVSQLATLDTVKVEQLVRDFLAQQ SLSILPQNSFGDAVSQFIDKDDKHAMEMFVNESLDNQMKHLLSLNREEEELDDEGKLQ NSLQTAMEKYRAQMEDMFSRGIKKRTRGKKRFKPKPDGWDTEFDGVWEDQPGALIHSD NEGGDPNEDVAAEDGTTPAPVRGATRGRGRGRGGRAAAKTTTSTRKTATTTKKTAPVA KGRRRKAVSDDEDEEEEDVVMLDDDDNDDQGLSDIDEDDDSQALFVKQPAAKNKSTTR KAVAPATTTTSQRRSGHSAASPAASSTTATARGSRSTARGKQVTQMTLNFTGPQASQA KPSRTTRATSLLSEDIDDDDDDDDAFEAMPSSGRRR ACHE_60099S MQPESTAVSQHTFISDSPRAETLDPTQHGHDFCGDDPVSDSPRR GEDGDRPEVVPAPRDRADSPLNGLEDSIASSSSQQAKTNDFNVLDLSHLSSVSFGDIP NEVLTHILSHLPPPSLSSIALVSHRFHSLVTTPHAWRIAFSRYFPGPSNDEIGSRVAA SQRSEQFVSDKRYFTRLTALASWRSEYILRTRLLRSLARGKPTQFEPSKKNGAVRSAN VRNGSAVVTYTSQLLFPVSHIAGSFGTETTKERPVFIHGAAEQGIASASDPASVKVGT WGLSDHQLFRHFADLYTGVAEYGLGSGDIVGLPNRMDVSQPYGMIYGEGCPQGRSYFI SSTELRGRFLGLTVSNPEPYLGVPAQNLITSSVTAVWIAKSSEVLRMTNGLVGMLSGS SAGILTAYSLGPNPLYEPRLERGQPTAKWVLCPGVPIIAIAVDDKFSPQRHAHRRIWV VALNALGEVFYLTDLLRQPDIPPKATPEELDRLAWKTGRSVRWELAELSRRVARPDPY NQDLVDGSYSPRSSSDSMKLNEYQIAAETKEIEKFLAFKPKHFRKVCEGWDMRRELKV DFAGDDGNGAGESVMVIACGLGEGEKASIRRFTRKGLANTASSIPEAHTSAVNTPMST SIFGGPIKEPLLEPQSIPPSRSSRVNEPVCTAKNTEWYISDFNFGDRRSVQITASALD ASTYALLTKEEDPLLAMSGSSVSSAMSSPLPRMAHPSTGTEVPGQRARYVAVGTATGL VFLWDIRSPTARNSEVINNVSPLRIIQTDSPQISCVALTSLYLVHGGNDGLVQAWDPL ASSTGPIRTINSRFSSRARRRLVQAEASMHGVGNNFFATGAICLDPDSTVLRGMVALG THLRYWSYSSSSADQYKTSKRRWRRGQRGDNASGEGGQRFSNSGRGALWDYIEDEKVE MERQKVADQKERKHLNNRFGLELLGPDASEEEIIAYAQLLSQESLTNEAAKRGEILET PNISTSPSTPGLNDVSIEPSSSSSPFQTSIEDVDDPEIAEAIRLSLLEEQASSFGTDP FTTRASQSSQSEYSPEPAAAESSNQQEIDDLEFALQLSLVENNSPETAEEWEEFPALS TQSPSKDKGKGRAW ACHE_60100A MPSTSTLLTTFVALAATASAVTPVVVDGKDFVNSETKERFPIIG VDYQPGGAGGFSTKKDPLSSPKDCLRDAALMQRLGVNTIRVYNLSPSLNHDECASIFN AAGIYMILDVNSPLQGDNLDRTQPWNSYNPGYFKQVFGIIEGFKDYPNTLAFFSGNEV INEQAAHTAPAYIRAVQRDMKAYIAKHATRHIPVGYSAADVRSILLDQANYFQCSISD ADSHADLFGLNSYSWCGDSDYHASGYDVLTEDFANSSVPVIFSEYGCNAVQPRKFSEV QALYGKEMTQAFAGGLVYEYAQEENDYGLVVVDSSGNAKLREDYEALREQYGKLDMDR LKKASKAHTDAEPVECSASLITSGKFLDSFELPERPHGVQAMIDDGCGAKVGKIVGFG GRDVTQKIHGANGEELSGVKLDMKESESGEKHATDDKNSDDKEDDEKNDDGDKSEDNN GDKENDEDKENKHSSNDDNEDSDNDASSKSTTEPTTVTSSANAQTTPTPTPSKHNANA ISTPSSSTIIHATATGSAIPSASATPTYYTGDGSKPSSSMLLGLLSGLAGLVALL ACHE_60101A MKTSTLVAATAGTVLTGLLAYAVYFDHKRQTDPEFRKALKKNNR RMARAVKEEAEAIGAQQREQIKKAVQQAKDEGFPTDLEEKEAYFMGQVARGESLCAEG SDNVEAALCFYKALKVYPQPKDLISIYDKTVPKDVLELLAEMVAMDAGLKLGTFTGEG GSADSGAGVDE ACHE_60102S MEGRGLTLRSKKGRRPQISAPKPIADPAPANNRAADSAQKAPSA TSRERAPQSDATSDLVKRRYSTRFNQVPDFDAAPPVPGLPPGYGGLGPPPASNNGPSH DPSAPPEVDLNALRDPSLPVDRYVANLLANASEEEIRDYQNALRKVKNRTSTDLQQNV YQNRTQFIKISKEADKLKGEMRTLRTLMAELTTALGQTTVGNTPNPMSPTTDERLPKR NANRSSVANLESMWNVQLQTLWKTVEGSQKFLPAVSGRHIVIETGNWVELDSATWKPR RPVHIVLLNDHLLVAVKKRKRVDQSNHRGPVPTKLVAEQCWPLQDIDMIDLGANMGAG QARDEAEDRGISSAVVVRVGAKPFTYRHDKRNSPAKNELLATFRKAVDDLRRTLRSET EAASRSNESFGYLAGARQSSFGSLKPIDLYDGGSDNPRDKPELRIDVDGKQQNLRWVE GQVDELDIDIALQRFEEAVSSIERLRKLAKGLKGNTIAQDVINSKVDERAAKLAGVLS RSLVDTNSFPNATKTKVSWLTRLGFEDQAREAYLKARSDVITKRIRGCVFEGDLPLYI FQISYVYFTLVKHTISIYQQCFPSVMTSACIKWAKQHLDGFNALLTRQLSTVQRGTTV WQKCIDIVHEQAEVLREVAVDFTDLVARGLEINGEERENRPQMTRSESLISGLAQAAG EQQPSF ACHE_60103A MLRRPLLWTGILRTPSPRLLRHVSSVPPLIRIEKGTFYQRYPTP DDAATGQNPPLFPNLNFVLPAKPESLSTKEKDEPQQQQHWAVIGSSGRTSFLDVFRGQ YICDPPTARSYPFLLTDEIAEKDPRLRFVGNAVQYIGFSGEGSGAIGGTRGAYLSARY ESHREETDWTVEQYLKGQTELNPLEGFEDGKVKDKVLLDQVISDLRLKELLDMPVANL SNGQTRRARIAKALLSKPELLLLDDPFMGLDPATVRGISGFLHQLAAKSSPRLILALR PQDIVPDWITHVIILGNNNRVLLQGSRAEVNQALEVWRRLPIKNQTGLRPGDKKIYAQ AADDMEKGFLDKQLLWDLDLVKSKADKTVPAAAQGGEPLIEMDGVRVQYGDKVVLGNW EQDINGKKQEGLHWTVRRGERWVVLGANGSGKTTLLSMITSDHPQAYAMPLKLLGRSR LPEPGKPGISLFELQSRMGHSSPEIHAFFPRQLTIRQSIESAFADTFLSRPKLNHDRD LDVSAALRFFKAELDPDAASPTKENPPSIESKSGDAFPDIGLSHRMQKQPYFPTDYDV DYADTVTFGQLSTAQQRVVLFIRALIHKPDIIILDEALSSMPASTRDKCIAFLETGET IPPYLIPPPGTRRSKNEEPLIKGFNSDPATIRHTGISENQALIMISHVKEEIPDIIRH YMRLPSDPGDGAEPLDFRLGVLAQDWVMSDPAMWERVWSPPSVFDNPFKAAKFKPAMS PEKSKAKEERAVETEKPEVKTSRRGKKKAEQPEEPEQKTARGRKQVEEPEEPEQKGSR RRRSKKTEESTEPSKGRGRPKKAE ACHE_60104S MAQTPANGQLATHSIPSLPIFLEAKKHAENTPDKIAVDDASKGQ RFTYRQLLADAATMKKVILEELGLADSGSLEERRITFLTPNGYDYVVVQWAVWAAGGV CVPLCTTHPAKELLYTIGDSDPSLIILHPSFEKFEAPLREGITKDIRFMTLTPFSQNN EAMLPPFSSECPLDQRALMIYTSGTTSSPKGCVTTHKNITFQAGCLVEAWEYNSSDRL IHVLPLHHIHGIVNGLTASFLSGVTVEMHPKFDPTVIWDRWQDQGSSTMFFAVPTIYS RLVDYFDANIRGTDRENAARAGAKALRLIVSGSAALPTPIKSKFAEITGQTLLERYGM TEIGMALSCGLEVEKRIDGSVGWPLPGVQVRLTNKETGAVIDAVDEDGMIEIKGDNVF KEYWRRPEATAKEFTSDGWFKTGDVAKRDPAGAYFIQGRASVDLIKSGGYKISALEVE RKMLGLDAIQEVAVVGLADGEWGQRVGAVVKQRPGTEPLELQALRTQLKQEMAPYKIP TVLKVVDAIERNAMGKVNKKTIVQKYWPELA ACHE_60105S MASSSKPPSFLLYTCIAHRTTILTEHSAPGTSSTSASSLASIIL PKITHDKAQKLTYTHDRLFVHCIADSPTGEAFDDATRHEPSSHLPLSYIVVAAAEQGR RIPFAFLLEMKRKFLSTYPPSSTDFSSLPAYGCAAFNLELRSLLSTFNTASPSDSLAL AKRDIDDVRGIMTENIERVLERGERIDLLVDKTDRLGGSAHDFRIRSRGLRRKMWWKN TKLMVMVVVVVIFLLYLFVGMGCGLPAWGRCVG ACHE_60106S MTKTFTPFKTGHIHPSHRIVMAPLTRLRADTNNIQLPMAIIYYT QRALIPGTLLISEACTISPRAAASFPHTPGIWNELQIQRWREITDAVHACGCFMYCQI VAPGRAGFPDAAASKGFSVDAPSAIPISGMATPREMSENDIKDCIGEFAHAAQCAMEA GFDGVEIHGANGYLIDQFTQDNSNHRSDIWGGSVENRNRFGVEVARAVAGAIGAERTG YRISPWSRFQDMRMEDPVPQFTELTRALKKLKLGYLHVIEARVTNSEDIDTPEQVDFV LDVWGDHSVVLLAGGFTPDNARHYLDGVYKDRNVALVFGRYFLSTPDLVYRMQKGIAP NPYHRPSFYTPMQLEGYLDYPYSKEFLEEHGHEIVA ACHE_60107A MTVINHALASSRSKSRKAHFSAPSSERRVIMSAPLSKELREKHN VRSIPIRKDDEVTIVRGSNKGREGKITSVYRLKWVVHVERVVREKSNGQSVPLGIAPS KVVITKLRMDKDREQILERIAKGREAAKSS ACHE_60108S MSEKDYEWIANYWSQPTSALGAPNGFYPAAQMQNTGQTSTPSYD GHMDMDPIALAQSALESSMPYRPQNSYSYMSPYGPFFPPASISAHSQQQQPQQQQPQQ QQPQQQQPQQQQPQQQQPQQQQPQQQQPQQQQPQQQQPQQQQPQQPQQQQPQQQQPQQ QQPQRQQRPLSATPTGFRARPSTMSSQAPIPAVSHHTHTHSIFARPGLAPAPGPAPQA QQRPPPSAPAQRPPVAKPRNPQAPNPNNDFKHRRIESSQAKVTSGYDFSGYSFQPRHP TDGSSLRDRIDIVQPFDKKDAAEKTSYDPTTIARDILVASGRHPTEPALNHHLSQLRD IFHLVDNTADLDTIRWDIVDTLNMQVHPTQPAKPETASKPMPPPPVPTQPPSHSAAPP RPPPAALPRDRSQPIAAAPCPTPPSRPPAVSIPVPQAQPQQQQQQQQQQEQQEQQKKK PKPKPKPEQQQNRPQTPASVPEQSPMPLVQVQPPRPSPRPQKLQAISLPQLPSSPAKS NKPTPSQPVRRGRSLKKPKQPETMASKKSAPKVEVSIPLSAPPVSYPVYACEWQNCQA ELHNLELLRHHLLKSHIPYTITCSWSGCDCNNPMAAAELFNHVKSKHLNPIAWKLGDG PSVPQTVDNETSENIPGTYETPESNQLGGEDSLILPASYSSIRAFNQVHGNTSQQDKA REILKAVQRHKERIGVGLDPGGCQLATPARNERVTNDEEFYELYSDPEDGPAWESESE MEF ACHE_60109A MISDNDLYRLALFLGTCAMMMIVLYHFLEVNASDEADEQPTHKN AGSADKASNMGITGDGVPLAAAAAAAGGSGSSAIGGGKGR ACHE_60110S MEDPTNFANPNARSSGPSLLACLLCRHKHLKCDGQTPVCGRCQV TNSECQYTPSRRGYKGPSKKRRANPTTPEQTAADLVPSFDPTSVGMLDLPPNWSLQNS GMQYAPVAPIPSSSSSQSPVFLADVLGSAPTPQQQQQPVPGYGPMTPDSGASLYSGAA DGYLIDIYYTYFHSAHPILRPLRCLDRSSIPPFLEHVIKFIGSHFTPAASSETYRPTV VTTVMEQELSIEKIQALVLLAIVLHSRNERPEAGECLTMATDLAFDVGLHQADCASRL GGGDPLREESLRRTWWELIVVEGMLTALGVQKTLRAGAIPLEVGLPCEERIFQDGLTP PPPPTIAQFDGRVFADEERDFSSYTYRIEAIRILGRVVAIQEMVEGQQDHVEAIDARI ASWFHHLPESKAELMRPDGTVDEMMFQATMIVNGAGVYLHFPRSDLLSSPAVAAEVIC GHHGPLSIPAFSHHAHAMKAVKAASEISTLASIRLPVVKHTPFFICALVLSSIVQLAA CSVKAGQMPDPSRDRLTLTIGVFKSLARTWAISQLIMRQIKAVARDVMDMGLRPTMDQ LDLNTVLDNNRFWLSDALPR ACHE_60111A MADSEAQARSPSQTSSSSSRPGHKTKTVRALSQKRHSTSSNTTS DLTSFPSLSPDRSPEGFVGEPALNRSLANALVDGEADNGGGNDIGRTRNRKATLAKLT SESPASSGRVALFDDSVALNTDVPGALHLADDAHIERLVASNGAVKLVRQFARDLALR DAEISRLRQRADKRERELKRMLREVSVSNQDIERRLYALDNRDDTTNGRDSGSHADQG SNGIDGLMQQAMTDDVGSEMHEEVNGVDCQTTLRPQQLDNDAKSGASSELGVNRKRQS GRGWQDYIFGSTAGSRKTSRASSVMSDVGDVDETNKSVRRKTFDEQLSGEQKTKPAGD DSSTHSRKSSNSLSSWAAKLLVGNNQGKGDSTDPGRKTPSGEQPRNRAVSSVNAPKGG MTAVAALKRINSNAPGAPGKPNGPARTNAQAPRRSAPSVSQGSEMADKTSNNLGPVEM DAILPMESRPPTLTHTYNNYQPGDLLTDRFGFIYDQRRKKRQREARSSVSRLSITETL SSFRSDSDGDEIPASPERPLSSPSPEDPDSGAVQVRKWQDYLKIPSKPTELLSHTPSA GPIVALTTGDDSQPRSASFSVDKSGSVSVNPARQPSASTSAVVADRPEFAGTSADETS AAAVGMAISNEQEPVRLLLDQLTDLHDSLQRDRTVRWNEFLRKVRAERKKEGEAAAAA AAAADRPLQSIDTPEASLADGEVIGIAGLGNKGKVGRAKWKEFRSLVLGGIPVSLRAK VWSECSGASAMRIPGYYEDLVTAAGGSDPDPSVVAQIDMDIHRTLTDNVFFRMGPGVS KLRDVLLAYSRRNPEVGYCQGMNLIAASLLLITPTAEDAFWILVSMIEVILPQHYYDH GLLASRADQVVLRQYITEVLPKLSAHLDDLGIELEALTFQWFLSVFTDCLSAEALYRV WDVVLCLNASTVTNNPTSSTLENDPSTNAAKTIASGSGGGSTFLFQVALALLKLNEQQ LLTTCLTPAALYTYINHQMTNHAISIDGLIHASEALRNVVRREDVVERRTEALRDMRE FN ACHE_60112S MSAKQRLQALSQQLAEGIPDAGTFEDIPRIRQVAPDSVGPRMKD KVAIVTGANSPTGIGRATAHQYAHNGARAVYLCDYATTHLLTHKREIEALYPGVDVHI RDFDAGDESAVQAVVDDAVEKYGRLDVFFANAGVIGQPVAFTEIDGEGFMDTLRTNTL GVFLAVKHAAAAMKLTSKDKPYPAGSIICTASVAGLRSNAGSTDYSASKAAVISIAQT TAYQLTGTGIRVNAICPGLIETGMTAPVFDRARERGTERKVGQLNPLQRGAVADEVAR VALFLGSEESSYVNGQAWAVCGGLSAGHPFVPGKLA ACHE_60113A MGPSLRDSSFPRPSTRDRPSTRDENSLVVPSRTSSLHSRITQPI PSQVNSKAAQRTPKTLTHAYMVCGVGREPSQWVRAPTPAQGKIGHMKGAVGQFWLPEI LGSSPRLEQDNEIARALHSAMRACFPHDVEICTGKSQPHCVHHAFVLQQDSSHTLYGI ALRVWSRADEKRAETIRELRKKTEPDFYDNPDETYWIPYCLSFLSRYPLYDLLGDYLR GMWIHWNKATNLFHAEEVSRILSFPAPRLNDLVRIDMKDYALCYQFPSSPTGFQNFSM WPLFTCLSIPNIVGVVEAAVSPTRRIIFVSHYPAILTVAAETIRYCVRVYEWSGLYVP IVHARHIKDLVQEPGPYILGVTAECRTLFNAPPDALVVDLDRNFVLTSSPPNILSPGQ RTKFINRLTQALNGDVTPSGVPNHLRSAYAGGKLIPAGQIIVMRGEVESVQDPPWWNQ DSVMGVMDHVCEKLGRNTGMKAIFGGSVKKPLMTKVSMRHLNEIVRERNQYSRDAMEA WQDFINLKGRMDTEIGKVTKRNNFLVEELETWKQQFLKFQAFAEQLTKETSELKVKIE THKRENRRLSGLIDQQKDDLARLTVRLSGTEKQRDDALEALVLQQEIAEELERERKRN QKEMAALQQTNSTLSRQRDEAQRVVVHLRTLIHGQSHHMEHIIRSVGSPEFSDAVQQQ DESKSQHAEPATRRHSSLYSRKSSMMRRDARSERISAREVKDINPDLEQHLLNMSAGQ ERLSRLSITDVADRYLRDKTDAISDIIRGISEQCAAAVEGLHMAQDAVADDEPGKLAP APEFDHTDGRSTRDGSEIDPSENNSLHPDGRGSSVPPTPDLVHNRSSTSMSMISNSTY PEQRSSQQYGPGEIPTRIVEDDDEHAHEAEDLDDQTETGQLSKQASEDLMRPGVSRLA A ACHE_60114A MPGHEEAALPTSPSAGGAVAYNQTNKEMQPAHSMESSNGAVILP ASSRVRGSSQKMFALELEDGTVYQGYSFGAEKSVAGELVFQTGMVGYPESITDPSYRG QILVITFPLVGNYGVPSRETMDELLKDLPKYFESTQIHVSALVVATYAGEDYSHFLAQ SSLGQWLKEQGVPAMHGVDTRCLTKKIRQKGSMLGRMLLSHEEVPDGHIEVSGDKDSW RSSFEQIDWVDPNTQNLVSEVSIKEPRLFTPPEDVALKHPSGRPVRVLCLDVGMKFNQ LRCLLARGVEVLVVPWDYDFPTLAGKDYDGLFVSNGPGDPATLSTTVANLAKTMEENR VPVFGICLGHQLIARSVGAQTKKMKFGNRGHNIPCTSMISGKCHITSQNHGYAVDAES LPQDWQELFVNANDGSNEGIRHVSRPYFSVQFHPESTPGPRDTEYLFNVFIDTIKNTM ASSDALNKPVSFPGGTLEENRKIAPRVHAKKVLVLGSGGLSIGQAGEFDYSGSQAIKA LKEEGIYTILINPNIATIQTSKGLADKVYFLPVNADFVRKVIKHERPDAIYVTFGGQT ALQVGIQLKDEFESLGVKVLGTPIDTIITTEDREMFARSMESINEKCAQSASASNLEE ALEVVEQIKFPVIVRAAYALGGLGSGFADNMDQLKDLCIKAFAASPQVLIEKSMKGWK EIEYEVVRDAQDNCITVCNMENFDPLGIHTGDSIVVAPSQTLTDEDYNMLRTTAVNVI RHLGVVGECNIQYALNPFSKEYCIIEVNARLSRSSALASKATGYPLAFIAAKLGLGIP LNEIKNSVTKVTCACFEPSLDYCVVKIPRWDLKKFTRVSTQLGSSMKSVGEVMSIGRT FEEAIQKAIRSVDFNNLGFNETNALMSIKGELQTPSDQRLFAIANAMAAGYSVDDIWK LTQIDPWFLSRLKGLSDFGKLMTSYNTSTVPRPLIRRAKQLGFSDGQLAKFLSSNELA VRRMRVEAGINPIVKQIDTVAAEFPSVTNYLYLTYNGSEHDVSFDDHGVMVLGSGVYR IGSSVEFDWCSVRTIRTLREQGHKTVMVNYNPETVSTDYDEADRLYFENINLETVLDI YQLESSSGVIMSMGGQTPNNIALPLHRLNVKILGTSPEMIDGAENRYKFSRMLDRIDV DQPAWKELTSIDEARGFCDAVGYPVLVRPSYVLSGAAMNTVYSEHDLASYLNQAADVS REHPVVITKYIENAKEIEMDAVARNGVMVGHFISEHVENAGVHSGDATLILPPQDLSP ETVRRIEEATSKIGNALNVTGPYNIQFIAKDNDIKVIECNVRASRSFPFVSKVMGVDL IEMATKAMIGAPFAEYPPVSVPKDYVGVKVPQFSFSRLSGADPVLGVEMASTGEVASF GRNKYEAYVKALLSTGFRLPKRNILFSIGSYKEKLEMLPSIQKLHQNGFNLFATAGTA DFLKENGVPVKYLEILQGQEEDIKSEYSLTQHLANNLIDLYINLPSNNRFRRPANYMS KGYRTRRMAVDYQTPLVTNVKNAKILIEAIARHFPLNIQTSDYQTSHRSIVLPGLINI AAFVPDLAVAGSKGFEDVTKASIANGFSMVRVMPVGVDTSVTEPSDLKVAQQNAQNAL CDFNLSVAATSSNADQIGQLTGDVGSLFIPFNHLSGNISKVAAVTSHFTAWPSNKPLI TDAKSTDLASILLLASLHSRNIHVMSVTSKEDINLIALSKEKGLKVTCDVSIYSLFLS KKEFPGCNALPSAEDQKALWDHLSTIDVFSIGSIPFQLAGKEASAAAGIAEALPLLFT AVSDGRLTIEDIVARLYENPKKIFELHDQADSSVEIEIDRPYVYQGPSWSPFNGKFMK GSVQRVIFQGKTSCLDGEVTPDAVKGTDMSGHRIVPTSPVVKPLSPLVQARPESSLDR RLSLSGTPGRGLRKGDQAELGPPLYAQLPSISSSLLETLSRSPFRQKHVLSVNQFNRS DLHLLFTVAQEMRLGVQRQGVLDILKGRVLCTLFYEPSTRTSASFDAAMQRLGGRTIA ISTEHSSTKKGETLQDTVRTLGCYGDAVVLRHPEASSAETAAKFSQVPIINGGNGSIE HPTQAFLDLFTIREELGTVTGLTITFTGDLRYGRTVHSLIKLLQFYDVRIQLVAPKEL ALPEEVRQQIVSSGQLVLESDRLTPEIVAQSDVLYSTRVQKERFADLEQYDRLKDSFV IDNALLKHAKSHMVVMHPLPRNAEIAEEVDFDQRAAYFRQMRYGLYCRMALLALIMAP ACHE_60115S MLASLLRPNKRHDHVSPERSPSSPYTPREATPLNRDYPQQSQYG GFNGRGNGFGDDDIIEEEEYEDADDDQEGEVDDEDETHESSPLLPIFSASHLDALPVY DITHAIRSLIASRCETTLSWDQLRSPQISQFLVKPIQQRIMASHFSRATLYALMTNCL QFNKEVQLYPANSGTSQTRAMVSELLAIKLLRDYTTRELIDALSYEFYPLQAQTPGAN GNLHTARGWSAPRHLAGPARISCLEIAIRAQAKRFLAHPVVVQQLEAIWAGTIVFHSA ADSLHRAPKGRLDRGPIPSISQIGSDVSENRMIPVRRAVTLYDPRDASLFKLSRLRVP RYRQFLSTISFAVLLGLFVAVLQQRKLEISTLEIVFWLWSAGYMLDEIVGFNEQGFSL YLMSFWNVFDLGILLFLFCYYCMRLFGAVIPITRHEMVADEAYDILAASAVLVFPRLF SVLDHYRYFSQLLIAFRIMAADLIAVFVLIVIACSGFLVAFTLSFGNRDEQSAGSVAY ALFQMLMGFSPAAWTLWDEYNPLGKTILTLFLFICHFVIITILITVLTNSFMRIVQNA YQEHQFLFAVNAISMVKSDALFSYVAPTNLLAWVITPFRFVMPFRQFVRLNRTIIKVT HLPILFTICFYEKTILASKVIEPTDLVDLPEPRDATAARMRRPGNNRFKTFSAKAPRL VREPSVATYQKDRALDEVFHRLFKDESTRRANSAQDQDSSNIVKTWMQGMGPGPIHPP AEQDPKEVTRLERLPKRLRFPFQRRLQESIRDFTETTRSVASNPEERITPVAVHRGRN PLFPPERSRQLSRHTDIEGDDERTDNEDKQSIARNSNSSGPSGKRIARETSGPPPKFY SSRPSTAKHLSRRNSPIRRPKIHSRTVSTATMRYAPTCLENRGEGGATPSVPVRSRAE TPEQAVSTSVDQLTARRGDLNTSRAYNTDTLSRSHPIPVPDFGGTSAPDSHPRGGRGQ NPFLYGLGSDIGDNRAIANEFMGGLPGSLTTEMAYAAGLHRPISPENQNRLSKLVLAR MNNIEEGFREVIKEVKDLRRGESSRSQSQPDDFRDAQRDRERKRRLEKKEKKKKSQGS RMTKTSTSSDSPLSDVSSE ACHE_60116A MSMTKVNVSKEDLEDPTVPSQAVTHDDVFGEISDRGPNYRNVRV LGIIALMMKTQIGLGVLSIPSAFNTSGIVS ACHE_60117A MPVGARRIEIRDLLNPISYYPDSDSTTRPSSNLSNLNLKASNGA VQDQPQVSPDNIRYIPHESSTGNDLFPTPINRDVSDEPECTKPQSLPISRSNLVSSNL TWIKSDEGCRADLEAGTYKQSSLNDARVLRIMELINDYRSLLIHIMEQIRGISLDNAR EYGHRVLRQNHAAAQHLIASSYKPAAVFGYKDDDQAIQLRRVILDASARRHKAYKIYL GVAAAKRWVLSCDYATSTSESNELVQQLRNIDAILHRELTDISDVSVACKLHETDTKA GYWVDEDPPLSSILLCVQSILYE ACHE_60118S MHNSSLTHLTQTPMNTSGTEIERTPSGNELPDGSSTEFLYGSMN PTQELGAQIEETPAEPACSDPTVWYPHYVACLQHFLNHAQHSLPVQSMAAFVNIRLPC QWLSGPISQFEQSDNFPVTVVSVSLRPYIQRLIVTGNDTSSVLRDFFGNNWKAGVEGL WKQERLNYLFTAKSRGWAATRDAYNILPDEQIPVLQPLRNPMEEELRTADVRWSEWLA MEDWMVGPRSPW ACHE_60119S MASPQSTPSENVQLTTVPLIINGQDVYTPEGFDIVSPGTGERVW TCCSVTRRATDNAVAAASRAFATWSATKPAFRRAIFLRAAAILEQRATEGQEYMAEET GATQPFLLVNTDSATELLRDLAGRINRALSGQVPVCEEQDTHALVLREPYGVVLGIAP WNAPFILGIRAVAYALAAGNTCVLKGSEFSPRCFWLIGSIFREAGLPDGCLNVIYHRP EAAAEITTALIEHSAVRKVNFTGSTAVGRIISAMAGRNLKPVLMELGGKSSAIVCEDA DLDLAAQECAVGAFLHSGQICMSTERILVYHTVMHRFTESLKAAISTFFGPEGMPQTV VQQTAVARNRHLIEDAVSKGATIIYGNHTDDKVSTLSPFQLHPTVVQAVAPNMDLYQM ESFGPTVSLIAVESDEQAIAISNDTEYGLSGAVFTRNLAKGLTLAKRIQTGAVHINSM SVHDEAGLPHGGFKGSGWGRFNADAGLEEFLQIKTITFKQ ACHE_60120S MMNEDDCSDSDKDNLQVKQDKSLLYKPGYQQYLWSSIVTLDKEP EKEELVAIAHKASEEMQATLHNALKNKQPSIMTALQVGKEVYLASSATGDYSLIYED ACHE_60121S MDFSVVDAKTLEATSYLKVAHAAQKTIMTEELKKELNTKMDADK KKKKEEQEQKKKQKQAGGKEGNGKRWEA ACHE_60122A MVLFKNSFVVLALAATSALASPLVERETTWKCKNSGKVLSYKQT SAESNLHHAPLSDGKTGSSYPHWFTNGYDKKGDGSLKEGLKNPLVKFGNGECDKPPSH SSNGSGKGDHYLLEFPVFPDGHEYKYNSKKPKEDPGPARAIYTYPNKVFCGIVAHTQG NKGDLVLCDK ACHE_60123S MALSLYLDDDDPWIIEQQIFDILNDYLQPKSNITAAAAAQSIDN LFPVNRQDDEEKEDPGSFLWHLWGRFHRTAQQIPHAHPAQDKLAALIKCLRSFPSRTP VVYLSSWDAEYKLWEDLPLLGPTFQEEWDVIGLTDQEECQRNRNLNAYAARIFRDGSA NLELFAIRALAAALEGRAVYRHAHTTAPQIEASFTPGLELDSLVSIAADWVAHCGEIL LALSRKGVSSSGDHGGPLREKEQGFSLERWGLWKERFAEISRTAHINEETKRLAQGTR RLMDELEKSC ACHE_60124A MGNGKEAGAYYAPTGGLPPQTRLLTDRAMFTEAYTVIPRGTFSD IVTSFLPFWDKTRLWVIARPLSGFAETFSQYIMEVQPGGGSDRAELDDSAEGVLFVVE GQVTITMASETHTLAEGGYAYLPPKSGWTLRNAGVTTARFHWVRKAYEYVDGLDIPEP LFLNEKDIAPSPMPDTNGAWATTRFVDPNDLRHDMHVTIVTFEPGGVIPFAETHVMEH GLYVLEGKAVYRLNQDWVEVEAGDFMWLRAFCPQACYAGGPGRFRYLLYKDVNRHMKL SR ACHE_60125S MTEIEEKNGWQVSPEQKDEKSTSPAASTLAAENQVNINEKALLR KLDLRLLPPLTILYLLSFLDRSNVGNARLEGMADDIDMTGDQYLTGLTLYFIGYVLFE IPCNIVLKRTTPRIWLPTLTLVWGIVATLLGVVNNYAGYLTSRTALGIAESGLFPGVV FYLSMWYKRNEQHYRVALFFSAASLAGAFGGILAWGIAHMKGVGGYNGWRWIFILEGL LTVVMSVVAYFWVYNYPTTAEFLTEEERQFIHDRLKNDNDSTREEKFSWSAVMDAFKD PKVWLYGLGFHTMALPLYTLSLFMPTIIQQLGYSSAQAQLLTIPPYAVAFVLSIIVAV LSERVHLRAPFIMGSSGIAIIGYILLLAQDRPGVSYLGTFFATAGVYPAVAIVLSWPA NNVSGQTKRAIANAMQISIGNLGSVLGTQLYRTETSPRYFLGHGFALGYLVANIIVVG ILWIVLKRENAAKAEERERLGLNALIGDIGDSEGDFQGDKDSRWIFQT ACHE_60126A MAPNDRSGDRVHHPLTSGYSTSPLAETVIARDIQNYYADDQGSM LTSDDEDSETGTVRPLNGSEPGTNPHSLAGSYRRPSFFTTVSHATVVPYHADREGLTR GERDQAIEEERRLLNDNHVIERGYGGRGMSLPQKISGFLSRRSAEPLRRHSAHAATED TSLLRPDNAQPQELDQEEIDRKWEEAVAAGQIRTSWKREALVISKYAAPLVVTFLLQY SLTVASIFTVGHLGKRELGAVSLASMSVNITGYAVYQGLATSLDTLCAQAYGSGKKKL VGLQMQRMIIFLWMCTIPIALLWFFADRILMRIVPDQEVAYLAGLYTKVLILGAPGYA CFESGKRFMQAQGLFSASLYILLICAPLNAFMNWLFVWHFGWGFVGAPIAVMITDNLM PTLLCLYVYFIAGSECWNGLSKRALSNWLPMIKLALPGLIMVEAECLAFEILTLASSY LGTDELAAQSVLSTLGSITFQIPFPLSIAASTRVANLIGSTLVDSARTCAKVSFTGAT IVGLLNVLLLSSLRTYLPRLFSSDEQVIDLVAAVLPLCAAFQLFDALATNCNGLLRGL GRQAFGGWVQLFCYYAVAMPISFGTTFGLDWGLYGLWTGVAIALGLVSLIEGVFLRRT RWERAVEDAIQRNSLA ACHE_60127S MLLNHILPLLSIFSFPLTTASQPSTPNLSYLYTAYVQCAGNLLE DPGPNGPAGIRKTIPIVGGNFTGPRLSGQILNVGADWGTTDPATGIFSADTRYNLRTN DGEDIFIRTSGPKSPSGQLHLRILLETGSEKYYWVNNIVAVGVLTNVGKTANSSLLKI DAWNFASDWNTTKFVQ ACHE_60128A MALHLPESHRTPDSDREELIFKSLKSKSKMSGTETVTRITAENV ATIFPDVDTSLAREVFPTASTTTARESNELEGYDEEQVRLMDEVCIVLDDDDKPIGSA SKKTCHIMENINRGLLHRAFSVFLFDSNKRLLLQQRAAEKITFPNMWTNTCCSHPLGI PGETGAELDAAILGVKRAAQRKLEQELGIPPEQVPLEKFEFFTRIHYKAPSDGKWGEH EIDYILFIQADVDLNVNPNEVRDAKYVSEAELKQMFQEPGLIFTPWFKLICDTMLFEW WSQLGSSSLDKYKGETGIRRM ACHE_60129A MDWSPNSFSPSSPRARAWSGSHMAFDPYASSLPSESSPVSARYA EYPLVLGLGIAHCGFESHPGHLRLCPPSEPYTTLPTTGWSIPSDPLQQGQEHNLETGQ YPSTTTTTTSSYEPYHEIASPLSLYSAQTLNASPSYSSVMERGDHHSALSSQSFGYWS TTPRSDITTPPETFIKDEHWSPPVIPEDRNPFETSTMMMMPMPQVVLNDGLSDPQLPE HTNTTNSDRSFVKQDQQIKIKQEPRNTPETPNTERQPTQSSSDTDRDNTKSPPVLKPR TTTVRATSGLQCSICGAWFTRRSNRREHEKRHDPSRKSVYQCELCGRTFGRKTDRKRH MESIHQGLRKFGCDGCGRRFTRHDTLSRHRTDGCSRKGPKLSI ACHE_60130A MASDSLGSSYISTRLTEKEAPDIPIIEDDEPLVDVVRKLSNYLS HAIPDVSYTFEQLRSSPHGHDIRLLIYSLADNSRNPLIIAALMILKWQFENTADTDWG VNESRGFACEYIAWQFLCHLTKDEEIEFLLWELPSPQRSSTSFSLEERDRTFRTEEQG NSHDIERAPLRRSSYISRFLGRKNEDVPSGREAGYVEDPCYERLSLFFGLNALEIATI AQAKKFLSQKVVQRIIDNIWKGEIVFWDLLSVHSRKRPHFFNEKTADPYSRLRVPVYR KAFEAGFFVSFLCLYYAVLVERKPTGIGVFEALMYIWITAFAYDELSGMTDAGVAFYQ MDFWKIWNLGIIGTGLAFVIARIVGLAKESDSITDISFDILSLEALFLVPRICSLVSL NSYFGSLIPVLKEMTKAFFRFIPVVIVLYLGFLTTFTMLARDRLTLQQMSWILVKVFF GSNVLGFDIAHDISPIFGYGLMLIFVSMTNLLLISSLVSLMSMSLEGVMSHAREEYLF QLSIYVLESSNSRRLTYFMPPMNLIPLLCIRPLRLFLSAESIRRVRILLLRATHLPFV ALIRAYEAIRRHRPLTTDTTTTTRRRSTNTRRCCYDHDPLIDRDEGPTVLGPDPGRAS RTKTKTGGQPEARGPAPEQTGRDVVELADVIDEVDRLRIQVDRVVALMAVRRRH ACHE_60131S MGQGYSLTTLSAGSAGIDVPELSDLVYEKSMGGGRFMKSIRARQ RNGLVFVKVIMKPYPSMKLEPYIKAINRERKLLADVPNSLSYQRILETGTGGYLVRQF IHSSLYDRISTRPFLEDIEKKWIAFQLLCALRDCHSLDVFHGDIKSENVLVTSWNWLY LSDFSSSFKPTFLPEDNPADFSFYFDTSGRRTCYLAPERFLEAGEEPGSRHVNWAMDI FSAGCVIAELFLEAPIFTLSQMYKYRKGEYSPEHSQLAKIEDREIRELILHMIQLDPE SRYSADEYLNFWKNKAFPEYFYSFLHQYMSLMTDPSSGRAQVDAESANRGESDDRIER VYLDFDKISYFLGESSKSPEDGSGRIDSRLTGNMFPVQLDLPHYGHPASKSEGLQTDD GVLIFLTLVVSNLRNTARASARIKACDILLAFAERLSDEAKLDRILPYVMILLNDRTD AVKVAAIRTLSQLLEMVHVVSPVNAYLFSEYIFPRLQPFVSGSSSNPSPMVRAAYASC IASLAQSSLRFLDMIQVLRSDARLPALIPAGSEPRWTEDATYHNLYDVARIDLLDYFE AHTKALFTDTDASVRRAFLGSVSSLCVFFGNYKTNEVILSHLNTYLNDRDWILKCAFF EAVIGVATYVGSTSLEQYILPLMVQSMTEPEEFVVERVIRSLAAMADVGLFQRSTTWD LLNITLRFLVHPSIWIREATVHFLVNSTRFLSIADKYSILTPMIRPFLKVNIVGFMEA EILDALKKPIPRNVYDMAFVWASKVERGVFWKSTSRESLFSLGSGDGYTARSQRHAGL SMNSQPKNEEDEQWLSRLRGLGMSSEDEFKLLALREYIWRVSMRQSKDSTAEPTLNDI VPLTQYGVTPQTVFFDKNQNLNPRRGSLSMPESARNGEQRPHTITDALLDASTTIDNV PNNRRKHLRSRSQAQRETATLTVPRHDNIRGESSLASSPMASSPGAPGSPPSSDTEQR GLGTRNSPTQDNSSLSPTDADPILKNLGGVQRKSSAMSLLNRKDQVKADAETSMSSAN AVGKVDLPSQRERQQSPLSLTHERKPLEFEPPQFHANHSYGRDDPTVLQLLDNVFVEN YPTDFFDLGPYVKEVDPRRPIKKASGQDANKVWKPEGGLVAIFGEHSAAVNRVAVAPD HAFFVTASDDGTVKIWDTTRLEKNLTPRSRQTHRHAPGAKVKTLTFIENTYTFLSGAT DGSIHAVKVDYHNVNDTVRYGKLQLVRDYQLPTAEDGSPEYAVWMEHFRAEAQSTLLI ATNTCRILALDMKTMLPIYSLHNPVHHGTPTTFCCDRKHNWLLVGTTHGILDLWDLRF RVRLKAWGLSGSGPIHRLQVHPTKGRGRWVCVSGSGSYGNEITIWDIEKIRCREVYRA DSPATGTTRNNGTHAHTVANTKDYEAWHVDGDRPEGMLSRFATTGPPSSGMEPSGPNS ASPAGICALAVGYDSPDDGKDNTHSTRCGFIVSAGCDRKIRFWDLARPEFSSIVSGLD PVSENNGFTGRPRYELSQPSPNMLITAEYLPSSNSSAGAGSGGIGTGGGSKSGRKGAS GRPPRSTVISLQQQQLLKSHLDFIQDVAVLRVPYGMVISADRAGMVYVFQ ACHE_60132A MEHADNADYGNSFGGGGFMPGEMSASPGGGGKGDLNNTTLRPIT IKQALDASQPYPEANYQIDGADASSITFVGQVRNISTQTTNVTYKIDDGTGEIEVKQW IDTSTAQDTMETDDGKGPGAPGKDQVELNGYARVFGRMRAFANKRFVSAHCVRPLGNI NELHVHLLEAAAVHLFFARGPPGGAGAGAGAGAGGDAAMGGMDDYAAQAKGLPAMSPV ARRVFNLLKTEFQSNEGLHMQVIATKLGLPMADVQQAIDELLSAGVIFSTVDEYTWAV LEYH ACHE_60133S MPHRAASPAESENEFDITSALFHHDSDSDNEQTVKAPKKQQKQA PTQNLDFLGAEINGDDDDEDDEAFIAAQQTSANRKGANLKGRTVKKGGGFQAMGLNAN LLKAITRKGFSVPTPIQRKTIPVIMDDQDVVGMARTGSGKTAAFVIPMIQKLRSHSTQ VGARGLILSPSRELALQTLKVVKELGRGTDLKSVLLVGGDSLEDQFSMMASNPDIVIA TPGRFLHLKVEMELDLSSIKYVVFDEADRLFEMGFAAQLTEILHGLPFTRQTLLFSAT LPKSLVEFARAGLQEPTLIRLDTESKVSPDLQSAFFSIKSADKEGALLYILHEVIKMP TGPTGVAQPFNEEGESNNFKKCKRERLNNAVNKESPTQHSTIVFAATKHHVDYLANLL KEAGFAVSYAYGSLDQTARKIQVQNFRMGVSNILVVTDVAARGIDIPILANVINYDFP SQPKIFVHRVGRTARAGKKGWSYSLVRDADAPYLLDLQLFLGRKLVLGRENAGKANFA EDVVVGALPRDGLSRSCEWVGKVLDEDDDISAQRGVAAKGEKLYVRTRNSASLESAKR AKEVVTSNDWSSIHVLFNDESSNLEAVREQMLARIGGYRPQETIFEANNRRNGKTAES DETIDTIKRIRTSRDNKKRRAETDTGASEFVDSSLKEGTTAGKTDQDASPENEDEDEA DGVPDNMSLASDSDLEVTFSSYSQQQQQPNDTSKPTAASFQNPEYFMSYTPTNANLAE DRGYGVHSGTNVNFAQASRSAMMDLGGDEGAKGIGEPRTQLRWDKRHKKYVARQNDED GSKGSRLVRGESGAKIAASFRSGRFDAWKKGKRLGRLPRVGEAEAPNLAAGTHMGGRR FRHNKDQAPKAADPLRDDYQKKKKKVDAAKERSMAKAGGAASNGKSEIKSTDDIRKAR KLQQKRKEKNARPSKKR ACHE_60134S MSGSVGGDDPLDISGHARRSGHPELPQPLITTTGVSGAMTASTQ EHTQPPLAYHDPSAPRWPPSFGPQGVSQPEVSYPPPVIPTTTSPGSASRNLTQKPTRR TKAHVASACVNCKKKHLGCDSARPCRRCVLSGKSSTCVDVTHKKRGRPPLKAEEASLR PYSTRFDQSASGDQASQTRRTLHRTTGSREIRPVTDLQMPAHPGSMGLPPQRWSTSMF TPQAVDPALTMPGNPGHRRFSSAGSIQPLGAAAPPPPGYVPMAGPISPVFGGSRMPPV MGRPPSSYANQPFQPQTTSSPTYQPVYGGSPYMSRQPMGESPLSRDPQESYLESPVRL PPIYPPMPSAGASPPAQSQGHRLSDPFPSNWSPRTREELPPPMVQHHPSIMDPVSPHT QTHHYHPAPTDFNFPHQQALPRPPESVTPAHRHSVHLPSSSTHAQARDEPQSGAEGES GDGRPTKRRKMALDDMVND ACHE_60135A MTVRDVEKQSSGPRAAEISPDLHNPSNHVSADKLARNLSARQVQ MIAIGGTIGTGLFLGTGKALATGGPASMLIAYAICGGIVFTTMLCLGEMAAFVPVAGS FCTFAGRFVDDALGFALTWNYWFNDAVSTASDVISLQLLLEFWTENFPGWAISLIFLV VVIALNILSVKVYGEVEYWLSLLKVVTIVIFIILGIAVNCGGNTDHRYIGDKFFYTGD APFVGGIGGFASVFVTASFAYGGTESIAITAGETKDPSKNLPRVVRNVFWRIILFYIV SIIIISLNVPYTYPGLANGKTATSPFTIIFTQAGSAVAGSFINAVLMTSAISAANHAL FAGSRLLYSLAVDGYAPRFFGPLNRFQVPWVAVLSTSVISGLCFGASYIGAGQLWSWL QNIVGVSNQLSWVCICISSLRFRSAIKHQNLEHLLPFKNWTYPYGPIIAAGLNIVLIL VQGWSCFSPRFKVVDFVSFYVEIPIMLGMFLVWKVFKRTKFVRRGVMDLVTDRYDLGQ GEGDGEEQDVQQGSTGVWRRFGGGERGGKIATQMKRVGMWLFF ACHE_60136A MAPGKLKKPQQKDKSLKRKRGQEELSSLVQRVEELDIKESFKTF SDLPLSEPTASGLAASHYKTLTDIQSRAITHALKGRDILGAAKTGSGKTLAFLVPVLE NLYRRKWTDHDGLGALILSPTRELAIQIFEVLRKVGRFHAFSAGLVIGGKSLREEQER LGRMNILVCTPGRMLQHLDQTALFDTFNLQMLVMDEADRILDMGFQRTVDAIIEHIPK ERQTMLFSATQTRKVSDLARLSLQDPEYVAVHEAAASATPSTLQQYYVVTPLPQKLDI LWSFIRSNLKTKTIVFMSAGKQVRFVYEAFRHLQPGVPLMHLHGRQKQGGRLDIMTKF AQAQEAVLFATDIAARGLDFPAVDWVIQLDCPEDSDTYIHRVGRTARYERDGRAVMFL EPSEEAGMLKRLEQKKVKVERINVKANKQQSIKDQLQNMCFKDPELKYLGQKAFIAYV KSIHLQKDKEVFKLKELPLEEFAGSMGLPGAPRIKFIKGDDTKDRKNAPRGLNNLSSD EDSKDEGGEKKQKKKDEQQVRTKYDRMFERRNQDVLAGHYSKLINDDGTAVGEKSATA DADEDDDFLSVKRRFEAGDKDLDVGSDNEEEGKSRDVNVVHVDGRDENALVIDSKRRE RLLQSKKKLLKFKGKGTKLVYDDEGNAHELYEMEDEKDFQARGDAKDQMAKFLAEEAE RTRQADVEDKELAKQKKREKKEKRKARERELEAEEMGVETGVQLPFVPMEDLGGYSGD DRDQDAQEEKEKRPSKKRVQFAESESEEEEPRPKKSKKSDKKAAPEKIETLEDLESLA SGLLG ACHE_60137S MPDEEQDALDALEKEASDFTKDAEIDRIRKAFQLDAYAVLDLQP GVPESDIKIQYRKKSLLIHPDKTKNPAAPDAFDRLKKAQTALLEEKSRTYLDECIADA RRLLIREHKYTLDSPELKTDEFKKEWRQKTVHVLLEEEARRRRQAKAKLQEEGRERRK EEEEIEERKRKRDSDKAWEDTREERISTWRDWQKGRKKGEDGEGKKKKKLKVLG ACHE_60138S MTAISNPSTDSNSNSNSTVLPNSNGTAHLNQPPQQPQPQPHLQS ENSIRDTPQNQELNQQPLSTVIASLHTRIHSFLSESHPPDSLLTAVQRQTRTSLDVFA TALSRYPLSQLSLSYNGGKDCLVLLVLFLASLHPHPPPEEDGLVSIPAIYALPPDPFP DVEEFVKSSAREYHLSIIKYTTDPPRTTLRSSFEDYLALNPAVKAIFVGTRRTDPHGA KLTHFDRTDRGWPDFVRIHPVIDWHYAEIWSFIRHLGFEYCSLYDQGYTSLGGTTDTY PNPRLCIDSPEGATRFRPAYELTEDLEERLGRN ACHE_60139A MQLPTQQQTASTDSVPASNGQPGQFPNENHAPYTPGYQQIPPVN NRDANGQTLPHLFAGLSLHNQRPGAKIGPMKGNVPMAVAPFDLSGPRTMYNGQFVYLP NGALANGPLFNGMPQVPSFAPTLPGHEQLGQFPYLSTGMYPSVNPGCSVVPGAIPGYP LPYLVNGEVQDQTGQKKTSWSSSEESKTSAPASSEAQPEYYANSTVPSVEGSNIPNYP YSIPQQLGPACLPLQMMKTPTGYALQDLEALTQQEPSIPRAVPAMWTNPSEMTLAKCL ENREGITNVYIRGFLPETTDEMLHDYASRFGKIDRCKAIVDLDSGLCKGFGFVQYFNF ESCENCIRGFFYLGYQASFAQKSRNSRLKDLEDKMSTNIYCTNLPIDWTEADLRNHFE PYRVVSEKISRDEKTGVSKEVGFARFETREIAEKVLGEFHNVVAGDGVKLLLRFADTK AQKMLKHQSNERRAYRAGEYSYSVEVVQGSTPSPSLHRLRQTASHISPNSQLSYTSPL GCTDKKSSCALVKNPASGTRFNPRSTRNSPNALEDTPVYRGRLPSVNGNGLSDNIPAG SGKSVLPSTPCSQSKSQSKSESCSPQKQHTRSGSASPSSSREEVIVCSPRSLI ACHE_60140A MSLSSGLSLPFRRLHASKPLCSVLRTQSPALLSRAFSTTLKLDA SWGFIGLGQMGYNMAKNLRAKIPAADTLVIRDVNEGVMERFVKEARETAKSAGVAEDA YKVEIAGCAREVAEKSTVTITSLPEPQHVKNVFHSILKPGDLPALEKERLFIDTSTID PVSTKEVANAIHTVSAGRFVDAPMSGGVVGARAGTLSFMFGACSTDGELVERVQSVLL LMGKKAWHLGGPGAGVSGKLANNYILGINNIAAAEAMNLGVRWGLDPKTLADMINSST GRCWPTEVNNPVPGVVETAAASRGYEGGFGISLMHKDLRLALTAAKESGTPLVLGDEA QRVYNDVEEAHRGKDFSIVYKWLQEKSQ ACHE_60141S MMDTSATSSIPRKNTNQQSSADTDSEAEDVFHDARFPAEEEAVS CKPLTRPTLIHARLLEESQAIKSEANKLFTSACYDQAISCYDRALASCPNYLDYEVAV LRSNISACYLKLGDWKAAVDSATACLHCLDRVIPPFAQQDTDKSKGKESAEPQEDAVV EISGDDEEAEKEELGRLRKIDEQKRDVARIRGKALMRRAKARSQQSNWANLQGAEEDY KTLAAMDNLPPDDKRVVQKALRELPARINQAREKEMSEMMGKLKDLGNGILKPFGLST DNFNFVQDPKTGSYSMNFQ ACHE_60142S MAAILKTAALGEIQGKDANGVTQYLGIKYATLKNRLADAEIVES RDGDILDATKDGPTAISPLFGCDLELSAIQHTLPKKELQQSEVDCLNLNIAVPAGTTA SSKLPVFVFIHGGGLMIGANSWPQFDYARFVRLSVEKKLPVVAVSINYRLGAFGFLTS DELRKAGYNANNGLRDQRVALEWVQKHIQDFGGDPDNVIVSGESAGAASVTYHLHSEK PLFKRAIVMSGSFFLIPALPYDVHEENYQQAITALGLTNATPEERIHVLLETPGQDLI GKLPPSVRFVPALDGNIVPSGVTHAQVGDKGGNMPRGKTWCDSLLVGDTQMDASIMAL LIPHTKQGCASKFTNAINTVLSSHPTIAQQILDKYNINPNQPDEEAFPAILNYLNDVL FFAATLTLARGWPGTAYVYYFNEGNPWDGPWKNRASHILDVAYLFQNFREFLTPEQKS VGTAFAEDTFKYCHGIAPWSAIKPGEATTGFTARTYGPSADNRIAEQVTEVYGEASQR RSILFNYDEVSLGDLVRVFVAFTS ACHE_60143S MVATWLTPGKGKKRPAMLYWRAHKNYILFVVAFAVFTDMFLYGM IVPVAPTALQNRVGLDADQQQQWTSILLALYGASLLATSPLTGYLADRIQSRQWPLLF GLVALAISTALLCIGTSLGLWIAGRILQGASTAVVWTAGLALLADTMDSQTLGQSMGY VGMAMTLGLMCGPLIGGVLYQKGGYYSVYGLAFGLIGVDILARVVMIERKDAIPWFKA EEVSLSEDQGQERVSAEKPPSNNIAPRSIAPTPEPEATTQAAAAPPPPPTPSARRFGR LRTLLNSSRLMVSIWTYLIVSLAVTSFDSVLPLFVEETFLWKQTAQGLIFIAISVPSF LDPVVGWIVDKWPLAGRFVCSGALFASVPILVCLRFVDENTIGDKVLLCALLALAGLC VACLMPPVMVEVSCVVNEKEAAAPNVFGEGGAMALAYGVLNSAWAAGSIIGPFFAGFI RDDAGWGTMAWALSILTGVTGVPVLMFLGGFIGKKRVKKASNEQEQEWEQEQEQA ACHE_60144A MDTTMEIDTARSPEPHHLSPITDPGSIPTLDGWIESLMTCKQLA EEDVRRLCDRAREVLQEESNVQPVKCPVTVCGDIHGQFHDLMELFRIGGPNPDTNYLF MGDYVDRGYYSVETVTLLVCLKIRYPQRITILRGNHESRQITQVYGFYDECLRKYGNA NVWKYFTDLFDYLPLTALIENQIFCLHGGLSPSIDTLDNIRSLDRIQEVPHEGPMCDL LWSDPDDRCGWGISPRGAGYTFGQDISEAFNHNNGLTLVARAHQLVMEGYNWSQDRNV VTIFSAPNYCYRCGNQAAIMEIDEHLKYTFLQFDPSPRAGEPMVSRRTPDYFL ACHE_60145A MAGNADSLSADNIVGIATSALRRDGGEQPTLKNPYEAVALVGHA CMIAVGFRLVGLGEEHTLESESSSLPTEWNVNDNYAFRYAHSQSSMQYLLKISRIGNN ALVYALALGDDRTTSFDIPVKDYISASSLPLASTADLTNALKEVFISTSRLDDLIGLF KISVIQKLAPGLYKEGYEDTTQSLRETQQERPPQYDPLRDDTQPQPARPYPFDDPLAA GPRRPTPAGDFAPPGFEDEFEIYRPPRGWPTGGGNLGGYGDRDLYPPGLGPNDPLRGG VGPGLGGGGGGGMHPTFDDLFGGRRNGQGYDPQAPPGSRYDPVGPGGPPFGSGRGPSG RGSGGGFGGFGGDII ACHE_60146A MAKTFTRDEVAQRNTDDAVWCIIDHRVYDLTDFLDAHPGGSVVL QQVAGKDATSDFYNLHRQEVLDKYKDTLCIGTVAGETPQIHTPEPGSLSEVPYAEPLW LRKQFKSPYYKESHRRLQRAVREFTDRYITPEAQEKEKDGTYISQGLIDRMAETNVLA MRLGPGKHLHGRTLLGGAVQPEEFDYLHDLVIAQELVRANARGFQDGNMAGMAISLTA VQQWLRNVPLRERITEEVLSGKKKMCLAITEAFAGSDVAGLKTTATKTPDGKHYIVNG TKKWITNGMFADYFVTGCRTEKGFSVLLIPRDDNVETKQIKTSYSTAAGTAYVQFDNV KVPADHLLGEEHKGFIVIMSNFNHERFMMVCGVVRMSMTVVEECLKWCNQRIVFGKKL VEQPVMRQKLARMISLCESNQAWLESIAYQMCNMTYAEQASNLGGPIGLLKSFATHSA QEIADHATNIFGGRGITQTGMGKVIEMFHRTYKFDAILGGTEEILADLGVRQAMKKFP KAML ACHE_60147S MRLLSILGVATLSLSASAALVEGEPDVNSTLVQQHLRDRQKLIT LEKTHRQDHLFRQNLSPTAREADQIVEAIRQYEIDNYWRIPAPPQDAEEEQFAGEMFP RARDRIANTTLWQVVRRMPKGSLLHAHLSAMLPYEKIVRTILDTEGMVISASQPIDSK EARFNASITFAHVNTTLPTNETSISDSHYVPDTQVPIKQAADNFPGGVEGFLDFIKEK TTVPPEESQRHELGVDEVWRRFQACFGPADTLIQYEPVVRAFYKQLFTDLVNDGINWV EIRSGGSSGKLVHDGAEDIDPDLDVWWDVLLDEVNKFKATEKGQNFWGVRVIWSDARG KDRAKITRSMKIALERKQKFPELFSGYDLVAQEDLGRPLSDLAPELVWFREQTEKLNL TIPYFFHAGETLGDGNSTDNNLFDAVLFNSRRIGHGFSLYKHPNLIRQVVEQDIMVEV CPISNEVLRLNTDILHHPLPAMIAHGIPTAISNDDPAILGQDVAGLSYDFYEAIQGFD NLGLAGLGALAQNSLRWSNFEDQSNEDWVRDVDSAEYGNGVKAEYIRNWNDQWEEFCA WIVKEFGSKYPTS ACHE_60148A MGLFSSATPKTSSWNPLSWGSSPPPSSGLSSFLPWGSSSSSSSS WASLAMGTKDQSWFKAVLGKFGFTGVLATILSFFLYVIYLKVVKKDTQGLIDFLKEKI AQYLFKVPGLNKLPFVKNLLPLGKGKGLIHIPKGTHSALGSIAGTAPGIASKLNPTNW KIFNRHKIAEEEDDQKYQAGEPYGDPNVMATSLVQDLKSMGLKTGVKDLRTLLEVAKS KGKPIDDRQMTMEKMIAIAASLPRTSKARKKLSGLIIDTLWQSLQHPPLSYFGNQYQY RTPDGSYNNPLQPDLGKAGSPYARTVPKLKHLHGVPPDPGLLFDLLMARSDESFKENP AGVSSVLFYHATIIIHDIFRTNRFDPNISDTSSYLDLAPLYGSSREDQLAIRTMKNGL LKPDTFAEKRLLGMPPGVNIMLIMYNRFHNYVADVLRKINEGGRFTVPPTKTKEDEEK ALAKQDEDLFQVARLVVNGLYVNISLHDYLRGLTNSHHSATDWTLDPRVEVERHFDPE GVPRGIGNQVSAEFNLLYRFHSVISRRDEKWMNEFLQSLFPDNNKPLDQLTPQEFIQG LFRYEQSIPDEPSKREFGGLKRDENGKFSDAELVNIMKESMEDPAGLFGARMVPKALR IIEITGILTSRKWQLASLNEMRNFFGLKRHDTFEDINPDPEIADLMRKLYDHPDMVEM YPGLFLEDAKPRMDPGCGGCPPYTVGRAVFSDAVTLVRSDRFLTVDYTASNLTCWGYQ EVQQDYDILGGSMFHKLFQRAFPGWFPYNSLHTTQPMFTRKMNEEISREIGTIDQYTL DDPSPPPNPTVVFRHSAVTKVLNDQANFHITWTKFLNDMIPGKTYNSYMLGGDQPANT AQRKLVQDIMFSPAEFMQLLSSTANSVSKELLEAETLNLGKDLNQVDIVRDVAIPLNA RLLADLFSLDLKTDENEKGSYDAATLYTHLLSVRTYGFNNNDPAIALNRRKAAREGAE SLTETTLNLVKGSPKSIVDAPKGIISKATGAVTGAVTGVASHIPIVGGLFKHGGKKSH ASTGSLRWYGQNVVREIIAAGKSPEEAAEISWLSAVAGVGAPVGLFADVLSFYLKEEN SKHWEDIQNLVTGSNTESADKKLRQYVLEAQRLTSTQRNLRICVGETTIDGQKFKPGD IVVCLFGPACKDPEVVPDPEAFKLDRPDNAYIHFSYGPHACLGREIALAFVVSLIRLC AGLKNLRPAPGDMGTLKSIDVGGEKCYLNDSWSFLTFDPTTWKVHYDGQGKGIHHAPQ IPITAGRDLNALSNALKKQQEDFVKGASKLLPNGNKASETPQNATNGISQANGGQAGA SVPVPDGAPTADPDQQNGQQLPQNDQQVPNGQQGQQNNGLLHKVVSDVAAVPKNAIGT AHDVTHDVVGNLPGGKQAQNITHGIADNLIPGGHGLLGGSHQDGEQQQQQHGGLLPNP LDAAKQLPLNPFGR ACHE_60149S MPHATDEGKPGEESGPRRIVLCFDGTGNQFHGNESDTNIVKIYQ MLERHTPGQYHYYQPGIGTYVKGQGSSSTRLNLIPKIKSAILTTVDCMVGSSFRSHVL DGYRFVMRFYRPGDHIYIFGFSRGAYTARFLAEMIQSIGLLSQGMDEMVQFAWDTFSN YQQAKGNDPPTEKDKQLIAYMEKFKITFSRPDVGIHFLGLFDCVNSVGQFEIPLYRTS YKVIASPAARYIRHAVSIHERRLKFKPALFIMDKDGPPVDLKEVWFAGNHSDIGGGYG LEPGQKHLLSDTPLNWMIQEVLNLEGSESKLSFQTLNVEDVSKAENAFPGKETPGTNA FLLRRKTNQPHDTLSFGHGTFFLMVLCWWILEFLPIFTRLELENGKWVPRRLPPNLGA PRDLPHDAVIHPSVKEMVKAGILDKESIPPRGGDNPNLPNVANLAYTWKKLRNTAASQ ASNLSKDSNGGSEKANGTAHGGLEPAKVLG ACHE_60150A MSGLSSSWGFGDAGREPGGRRKKVYGYLKAANELRQSYTSQLAT SINNVREYGDEFHDTPGAFPDVEIARSGHEEMVIFPSYARRLSGNKAADVRRRRGSRS STDTIDAYRGEEDEFEQSDPLDWEEYEVENAVVAVDVRGWLYSPHRGPMTRKNRLMVA LARRLSGVPAPGNPSTDSGYGSDKITSQGEDEIVNKEMQSMISKAESEADPAWKSGAR NGSESECPGRPPLRTATTSATGSAQMTRDELSVANAHLMERLRPFLANPMMDMPVTVF FFNDEQSQSRSVITDASGHFILRAALPFVPTHIRVLASENLSAAKEIKIIEPSGVSLI SDIDDTVKHSAIANGAKEMFRNTFVRELSDLTVRGVDQWYTQLAKLGVEIHYVSNAPW QLYPLLERFFKMVGLPPGSIHLKQYSGMLQGIFEPTAERKRASLERILKDFPERKFIL VGDSGEADLEVYTEIVLANPGRILGIFIRDVTTSDHALFFEKSVGYLEKGPSRSRSTG QLVRDQDSDTVTNRPSLPPRRPTGSSASINDARSIDNGDLIDLREEGDEDQVSQKLGT GRPPPTKPSKPQTLRTASTTSDVTDSRTQEAGRRKPVPPVPPRRSVPSVADNASRHAF NPDLARTQSSDVAVRSKPPAPPPPPPRRTNTGTSIPSSVSSKSSTPTPPVPQRQQQSY PVAAASAAYQYASDRIWLPSPSNVLRSAATPIANRTSSSSVNEQDSSYGSSGPSAPLP NKREELWRRRWERASEILQQKGVVLGSWRVGSDVQEVCIWLVKEAMKEMWSGNAKGGL KP ACHE_60151A MFCLSSHCQRSACSLALSRPHTTVRCHDAQAFSATSTSQSGFRH APSSWQMTRSVTVASSRKSLSAVPAPWPDLVSRTLVRRTRLDRLHSAARLSKLAGSAI PTPYSSVRLFSSRAACGAMKAEDPNGSAAGIMGAEDASVLDNGQNEVPPGMPVQDGSL DPESEEDVPLEPEELQDALTRPPPVNSNYLPLPWNGRLGYACLNTYLRYSNPPVFCSR TCRIASILENRHPLQDPSQPPHPTKNRPDREQPADVARGQAYVESLGLANARDLIKIL KWNDKYGIKFMRMSSEMFPFASHKEYGYKLEPFAAGVMAEIGQVAADLGHRVTVHPGQ FTQLGSPRKEVTENSFRDLEYHSEMLRLLKLPPQQDRDAVMILHMGGVFGDKQATLDR FRESYANLSQDIKNRLVLENDDVSWSVHDLLPICEELNIPLVLDYHHHNIIFDSDQLR EGTLDIMGLYDRIKATWMRKNITQKMHYSEPTAGAITGRQRRKHSPRVATLPPCDPTM DLMIEAKDKEQAVFELMRTFKLPGYELVNDIIPYIRTDENKQYKPPRRSPKKNGFVDL EALVPPEPNFPEEEVGMGGPERRVYWPPGMEEWLRPKRVIRTKAVQTGPKKRNNKKAA ETTTNEDGEAENGAAETATPDTGAPETPARKTTARVKRTASVKKTTTTRKRKASETPV STPPDSNDEATEPSDPPAPTASRRGRRSGRAKKVNYAEESESAG ACHE_60152S MKNFLLAAGILASSASAHMQMSEPFPIRSPLNKDNNGKKDYSYT NPLSTDGSDFPCKGYANDPFNATANYNPGGSYPLKLAGSATHKGGSCQISLSYDKGKS FHVIHSILGGCPIDKEYKFTVPSDAPSGEALLAWSWFNKVGNREMYMNCAQVNIGSEG GSQNREMIDAADDDAHNATTHSSDAQSFDSLPPIFIANVNGPGKCETTEGKDVNFPKP GPSVEGSVEGKGYKCEGDADFLTSDSDSGSSTTSSSDSSESSSSHNANAPMSSSILDY QHNGGVQNKHAVAFGDAASEPRFGPPAGAPAPQGHGSSGSQGHGAPAQGHGAVDPQGQ GSSGSQGHGAPAQGHGATGPQGQGSSGSQGHGAPAQGHGATGPQGQAAHGHGQGHGHG HSNGVKPQHAWGPPGGIICSPDGKSFSRCTANGPPIDMGPVAEGTICRDGKITWA ACHE_60153A MGFGGFVLRFFNLSIRVLQLLDGAVILGIFSYFLAIQTKHNVEI PQWMRAVEGLSGAATLYGLLGSIFTCCLGGVAFFAYLAMLLDVLFVGAMIAIAVLTRD GPQSCQGQVDTPLGSGDSNDDSAAGLGFGMACQLQKVVFAVSIIGIFFFLVSIGLQAL YRHNHKREKRFGPSPHNNYTYGTRRGRGFFWRRNKHNPDSNVNPDDALPGHPTPQDVE LGTNTEKSNGSGFFSRNKNSTAGANGYGNSAYTGNY ACHE_60154A MGAKSRPGLLQDCIGYLVLPRCAALGLEDSLVCFSRCDGWKGEG CLRIVTIVKGDVICAGSLLEEGFYQELCFGIRSGVDCFSILERWDWEGSHCPIPLDCS ENILAVSEVIQLCSPVLPFCLAYCAAQGSLCHF ACHE_60155S MAQRTLRRTVRQAKRQYWRTQLDNFTDSQDVFRAIKWNRTVGSF PIPPLKNGETVHTTPDAKAELLVKTLLQKAACADDIPFDNSDNPEATLPFPTITSGEA YQAIFQAKSSTPGQDEISNAVLKKAWPALGPHISALYKHCAATGWHPTPFRQALLIAL PKPGKKDYSSPRSYRLIALLSTLGKGLERLIARRLAWTAIKHKVLHPQQFGALPCRSA TDLAAALVHDIEESWARGLSASILTLDL ACHE_60156A MAKYMTAPSSHFYPIPDKVSLEAAALIEPLAVSWHAVNVSPFKP GHNVLIVGGGPIGIGILQVLKLQGAKNVMVSEMTESRKRFALEYGATHVLDPSQVDVA GKVREITNGIGADVIYDTAGVEIALNSAIRACRTHGSIVNIAVWQKRPAIHVNDLMYH EIKYEGATLYDEESFRDVIRALSYGQLQPEKMITGKIRLDEVVDKGFKALVGEEREKH CKILVDVQN ACHE_60157A MELEISGSTRLMSRFVEKERLRFDLLLLGFAGVVCLFLAVLRIY ADDADLHEYMSGPILIPKEPHNITKTKFPVTLGHEFAGTVEEVGDGVTNVAPGQRVVV RPTIYDGCCNSCKLGIEYCCENIGFIGLSGEHRSLFSRQHRG ACHE_60158A MEQDERIGLEERLKSALWLSIGKIVDEETIKLGVNATPQFIGAL TEMVWAQIETASQDLESFAKHAGRSTVNVSDVLLLARRNEGLESILRTFINKQREDNE NDA ACHE_60159S MADEPDFNDLVHDAINDFFSQIFGVVQAGFNRFTTNFYNSFAQV STTRWTKVIGSVVFYIIIRPYIERFFRWSSDRERKRREEKEKQQAEATGYSEGKKAKV SANSLRSGKPVAAENEGGRVLGEVENTDDEVDDDEEGEAVRFAKENGVPEWGKNVRRR QKKQPSHNLTEAQLLEMLDWSEEEGEKK ACHE_60160A MAYVVPIHRASSIRHALKLQFLRPEEDSLVVAKANRLEFHSLTP DGLALTASRVLYGRVTMLARLPAPANSPTDHLFVGTDQYNYFTLSWDSDKNQVRTERN YVDISDPSARESQTGNRCLIDPSGRFMTLEIYEGVIVVVPIVQLSTRKKGRSMAVESG PEAPRVGDLGPPTLSRIEELFVRSSAFLHVQSDKPRMALLYEDNQKKVRLKVRELHYT AGTASTATEAVFEEPEHFVQELDLGASHLIPVPAPLGGLLILGETSVNYVDVDNNETI PRPLDEATIFVAWEQVDSRRWLLADDYGRLFILTLILDSNNQFESLKLDHLGNTSRAS VLVYLGGGILFVGSHQGDSQVVRLERDSLEVIQTLSNIAPILDFTIMDLGNRTSESQT HEFSSGQARIVSGSGAFDDGTLRSVRSGVGMEDLGLLGDMDHITDLWGLQSGTTAGDF LDTLLVTFVDETRVFRFSHDGEVEELDNFLGLSLSENTILAANLSGGRILQVTERKIL IAEIESGMVTFEWTPPNGQIITAASSNDDTAVLVTGGRGLTVFNVRNDAHSITQKEYS ADTQISGVTVPSSPTGVCIVAFPQLAQVSVLQLKDLQELQTKSLGPAGEAFPRSVLVA DVHQPGVPILFISMADGAVVSFSFNVRDYSLTGMNRSILGSEQPIFKKIPRGNGLYNV FATCENPSLIYGSEGRIIYSAVNSEGASRVCHFHSEAYPEAIAVATANDLKIALVDKE RTTQIQTLPIGATVRRVAYSPHEKAFGIGTIERKLDQGVEIVKSRFVLADEILFRRLD AVDLKEEELVESVIRAEFAAGKDETGKDVVKDRFVVGTAYLDDEGDESIRGRILVFEV DNSRKLTQVAELPVKGACRALAVMGERVVAALVKTVVVYKVVNNNFGSMKLEKLASYR TSTAPVDVTVTGDLVAVSDLMKSVSIVQYKEGQNGLPGTMTEVSRHFQTVWGTGVAYI AEDTFLESDAEGNLIVLRRNVNGVTDDDKRRLEVTSEISLGEMVNRIRPVNIQQLASV TVTPRAFLGTVEGSIYLFAVINPEHQDFLMRLQVTVAAFVDSLGGLPFNKFRGFRSMV READEPFRFVDGELIERFLDCDAHVQKEIVSRVGGMDVDGVKGMIEALRRLH ACHE_60161A MAQLDILDVAVLVVLLVGSVAYFTKGTYWAVPKTSAATPATNGV AKPGKTRNIVEKMDETGKNCVIFYGSQTGTAEDYASRLAKEGSQRFGLKTMVADLEDY DYDQLDTFGEDKIAFFVLATYGEGEPTDNAADFYQFVTSDDVAFESGASADDKPLSSM KFVAFGLGNNTYEHYNAMVRHIDTALTKLGAQRIGAAGEGDDGAGTMEEDFLAWKEPM WAALSESMTLEERDAVYEPVFSVSEEDSASPDDENVYLGEPTQGHLEGQPKGPFSPHN PFIAPIVESRELFNVKDRNCLHMEISIAGSGLNYQTGDHIAVWPTNAGIEVDRFLQVF GVDDKRHTVINIKGLDVTAKVPIPTPTTYDAAVRYYLEICAPVSRQFIATLAAFAPDE EIKAEIVRLGSDKDYFHEKITDKCYNIAQALQSLTSKPFTAVPFSLIIEGINKLQPRY YSISSSSMVQKDKISITAVVESTQLPGASHIVKGVTTNYLLALKQKQNGDPSPDPHGL TYDITGPRNKYDGIHVPVHVRHSNFKLPSDPAKPIIMVGPGTGVAPFRGFIQERAALA AKGQNVGTTVLFFGCRKSDEDFLYNDEFKTYQNQLGDSLKIVTAFSREGAQKVYVQHR LREHATLVSDLLKQKANFYVCGDAANMAREVNLVLGQIIAEHRGFGPERGEEMVKHMR SSGSYQEDVWS ACHE_60162S MASHPFIKTGPVQQSNTPMPLIPDDSSPVSHHSLASPPDRFVSR STPASAVHSREASAATTRGRALDPSAVNTSSLQPKNNRRGQSHSKSPETSGPSRPNLG YDAGLERRPSNSSYGHHRQTSIVHGIQHSRNPSFAASSTSNSPLSPELIASLGRGGGI SSGAGAHDQELPSFGRLEQPDMQSTYQSPGANGSSHGLQGSSEDQNVPSIVNGSPASH VHRRMNSNGRTWQDRSHSRSHSRHYIELRTVGEYALHHLFNSVRYTSPFED ACHE_60163S MYVAVQALPRCLSDHIPFNSLINLLCTGTAHVQTNIAMSSAESL KAIARQSHAQQVTIGFARFIFNFDARYSTMSDEGMLGPGHIESTLRLYVELLGIWIEE IKQKTKGAGTDLGDKSSTGSRALQLDLSTVLAHVEEIESHGLFFLCSQSRRVRAFAIT VLRLITEFDNALGKENTRIIRILEADSQHILDVNDEQLTVAERSRVQKGKRKSALQNT LIELCSSEVSYDSTLWSKVFPNIVRISFETCPFAVTLGREIVCARLVQMHKTITALAE NPRPPYYGPVDVTQARTFGRNSMTAEILVEQWKLYLVMACTTLNSVGAQSQSQLANAQ HARKSSKGQQQSQDKISSARSLFAFVIPLLSAERDSIRSAIVAALGSINKNLYRTLLE SLQYAVTTCNEEAKMRIGVHYRSPSSPRRSRKTDRLRTEVTHVYKLTSHFLREPEVYN DDWIVNNLVTYTKDLRIFLSDSEVQNDWEFQRLRFHYCGLMEELFEAINRIGDSSRWI PFESRKSAFSLMEDWCGYSPNQSQISQREEHMRKFAHHEGEMRNTAAAMEIEKKNLRA AALSAMASLCAGPISITTETGVVLQFDVGRMLSWVGIIFNTISDKWHAIGRRALKNLI IHNKEHSYLLERSIEMCYVTERPKALESYFEVVTQVLIENTDYQFNFWRILGAVLVTL GNQKREIRMKSAKLLRILEERQQKSSRLQDFDISISDKTTAVYKLAQFETSRRLAKQH SDLAFTLFSEFALHFRNIRPDSQRNMVAAILPWVQTMELQVDPNGGPTAKSYMLLANL FEITIRSSTILPNEVQALWQALATGPHGGNVQLVLDFIINLCLERKEQNFVEYAKQVV VFLSGTLAGSKVIEFFLMQVIPKNMVQERKEITPAPPDTKSLPYVADLGAVLPVGNKQ AGLSLGQVALIFLVDLMVAPVTLALEDVVKLLHVVLILWDHYTVTVQEQAREMLVHLI HELVAAKIEDDAPVETRQAVEDFVESIRKSDAKVVWDYEDNSDKEDIDEASRVPLSMS TVTRQVVDFFSLAYEGVNDLWAKEALNWATSCPVRHLACRSFQIFRCISTSLDSRMLA DMLARLSNTIADEEADYQTFSMEILTTLKIIISSLSPADLLRYPQLFWTTCACLNTIH ETEFIESIGMLEKFMDNIDMSDPVVVTQLIEGQPPRWEGGFDGLQNLVYKGLKSSESL SRTLDILHRLSGLPNNQLIGDGSRLLFTILANLAHFLHQFDSEVDDPKTLARATMLAR VAESENCPRLAASLLGFANGQYKAEGDFLNHIISEIRSYYFPRQDVHGLIFLMGLLTN TTDWFRIKVMNVLCALIPDIDMRRGEVTCHGPDLISPLLRLLQTDLCPRALQVMDHIM TVSGNPMERHHLRMSMASSSSSRAIRKEYERIQSLYGIPEPTGWSIPMPATQSSITRH NVHAVFYTCAEVDLMEAQETAPTEVEFHADGYNDSFFPMRADTMKSIDTQMDGNMGDI VSKLDSLDDFFEETETINSSMTPASDTMLSGFSGSYVDTSENRYDQQTAPILRKSLAR TASSSSFHNGLAESRPPNLRIDSSNVTSTPPLAPTQASRPASHARSVTSPVNHLFSPT SNPQNLTPSIGFNESAFFSDDEPEESMSDMDDRLIINKPAISHPLGPPTRSVTDGSHS LESMIRSGMRRLTGGAVTNREKERQREMIRAQQRAMVQTIGSPRVPKVPAEYLPSNPT SPGH ACHE_60164A MAITANWRVPEFTTRKWVEEKGNNGIEAPLDQEVKFSKKKGSSD VRVTSGPLIIEFEHLLLRVKRSPQEVDIDIDDNDLEDWADYA ACHE_60165S MASPSFPRVRACLFDMDGLLLDTEDKYTLVTNTILQEYGKPLLP WNIKAQLQGRPQPEASRIFHDWAKLPITPEEYLKKQATLQAKLFPESAPLPGVETLLA RLASTKNSDNPVHLALATSSHSKNYQMKTEHLQELFDVFPASQKVLGDDTRIGKGRGK PLPDIYLLALETINTGLRVKGEEEVKPEECLVFEDAVPGVEAGRRAGMRVIWCPHPGL LEAYKGREEEVLAGLTGEHKEEEKTETEKEADVLKKGRLGDSGQVGTIGDGWAELVRS LVDFDYGKYGIQPVE ACHE_60166A MYDCNELFDDMDVKHQAPVANGEVNIYWENNTVESSQNGAHLAV DGGQSDGSEGSFDDTDYNGSPGATQVIQSVEDGIHSGVPFGEGLKRLEEEAAPHHHPI DYATSSFGGSSNSPRGLFTQTSANLPLYEGNQRFTTTRGHNGHIAIHPVYPHNSAFTA HPHGMGHAYSNERLSSSSDNTNFLIPDKSEITPDPRPSSHPINDEDSNSNGSRNESPA GSTGRRKKPIVIKTNYASATPPDMSARLAVSTSSESSADDGCETDEEDMPYLTFVDPV VPDFVPKMSDLLNVKYSNADQNTIFETAEAANRLTGGGNVPKDNTLPRTQAQRRAFVK AVFNAVKSTEHAEDNPGMIRPFAEGKYSDRRIEVLAWNILHTCVVRHTTGALLAPFEV KKKGTTELATFADRMAKVIECLTTQKTICKHLLDPYYLFQFVDDPVASQKRVLANKNL NKRKGDIMNAGKRIMSKSSIAGSPQSKIDDSFASETPSSFGLPHEMTPSSSQEVNDSE QTITPDGTINEIIMARNFNTASPAARRASLNLGPGGNITPHHMPRNTQQSSPSPAPKS GAAAAHIRRFHERRLSNLAAQRRAQNARTPSHSRNNSIDGSLTTSFYAPEQHHFTVGS TPGRLRSESTSTTASHKRRASEVDDIEEIASPKKRH ACHE_60167A MVEEVSDWKPICVASTQGNDNPRSPGSNGERPACENSDYSGSNY FKGAEWSPDGATLLTDSADHHIRTFILPPDLLDERASPHRLSPYSTLPSAEPTYATAI YPFYSLQDPSTTLFLSSIRDHPIKLVSALAPTTMATYSLIHPTTEAFIAPHTIIYPSA LGGTHFLTGSDSLICLFDVSRPGSDGPVSWMPTIPSKRKQIVGGGIGMKGIVSAMAVS PVGDGILAAGTFTRQIGLYDSNGSGQLLGTFSVAKAEANREIGGRGVTQLLWSPCGRY LYVSERKSDGVLIYDIRVTGQLLGWLRGRKAFTNQRMKIDVVPSGDSGSHEIWAGGTD GFMRVWRNPTHTVGSRDPDWEWKVHNDSVTSTVLHPMGTVAATCSGQRHYPDDATRDE SCSPSNVGIDNTLKVWSMPFLETLSDG ACHE_60168S MFSAKPSTPSTGLSVNTGSANSLFGNAQSTSTSTPGTTSTSGGL FGNLGSSTTPKPAGGLFGGNTQQQSSGSNMFSGLGGGQQQSSAPSGGLFGSTTATTSQ PQSGGLFGGTSGAGSNTTQTGTTGGGLFGNLGGSATQTQAKPPFGGGLGSSTTHGGGL FGGTATQQPQQQQQAQKPTLSLFGNQGATQQPMQQSTATGTVVPGVKVDLSNLLPTTK YESCADEIRKELERFDTFVLNQINMCNEVGDLIPTIANQGSTIPNDVEFVEGKLETMQ RALENDAADIDHLRSLVTRDAAEAQVAFRAIDTLKLPLQYQSTGGAGWWSVPDQKQSL RSTRKNTLALPDDVESDPATATSVNGVPVNLVDYFSQRSDEMGIVLDRYTRHMKEIED HLHGVEVTLNRQIHEFVASRSRDDSAVGASSSALNDLAAVLGDVETGILGVASRLGGV TEQVQETVLGPPTVGDGRLNV ACHE_60169S MRRGGEITGFDQVARPYLLRYTGAKELNSSEELTDALQNVILQH ADIRTFVRHYEVNVDVDVQGIIRKTGSQTQFVRFACSLSASIDPNQPYKLSSKESKSL NELPVVRA ACHE_60170S MAAAITSWVLNPIQSLTMSRRRTRELWCALSDDLQKSFPMECVA GKDNINTLKKKIWEEIREKIKNTIPHYSDLKLYSPVVQLNHEEEFDVKDGEFLHPRRM ITSNPLFPESKDPNVDIVVVSGGATPQKRKRSESQGVNIPRTLPIAEYQLICPRERTV SKLAATLDDMNIVHVRGTPASGKTRLSELLRDYYRKEGRKVSLIKEWEKLNHNNPWNS LVRLVEKWNDEAQDTPTTTSQSEQDLSWVLTSNTVILVDEAQTTYNDAALWNTIFKER LTPNVYKFRLCLFCSYGSPAAGPDPTFFTPVKFSDEQRISLTPQNQQDSPPIGLFYDQ EEFRDVISRLLTFHYEETFKFDEGALEYIFAVTNGHPGAVTSIVDVIYEAYRHDIKRG CIRTLTEDHVIWFLEDTATVFDKLRSKPVNRSFPDISRATNGISVILSKITEGSIPFD INDASIKFCYQKGWIHRVALDGGDVAVLPSRLHEKYVEYWIGKMSMPLPARFDSLPKL CKEVLGEFSITILRHSAEGKKISTASQPRPVEAQYQDEFHRGFVHLAGLGVPISSEWS RTKDGRVDFYIPEKKWAIELLRDHNRVDEHISRFKEGGKYHPWLKENMIKDWIIIDCA TSLPTKEFSEPRLWHAVFINDYSELRLYNHQKALIMSVHLHI ACHE_60171S MDQGQGPSLLEYARFHGMATPSSTVNPLEYIDQILENSFASKDE PSPASNGHTTTPWESIERELNSEKLNLSKNDARLLSTVIHMRAEHVEMNWDDCLSSSP KIEFLKVELPVLTTHHESDLTTLTSSVHSGRGNEALSLLQTCLPYSLPKSYTSFNIGH EVEENAKKERLNCTKESFLLIQNTRKCGDIGPAMDCLKAYSQAYQQAFRESGPPLTPI DFDELYSPSPSLKPQMLPSPASSNPTKRYESPLCLQKAGRLVSIPLEFGDEGGTRARG FENKESSNAQREEEVRSFQECSISVGHASSGFRELENTEDLFNPPDSTWRLAQAMVST GLDVELAPDRGSSARRHSTSFPSNLLSDPCFTYQETVNDRDIGVGHSVEVEQGTQDLA EGQILETPNAAETSHGGNTESTVIKRNWCESNTRANKQPTRANDSFNNAISIPRGPAL FTETCRQQAQELLNQPSNRQQKRKKARDDHKLKEKQHRDGGKGAAVRPPAQSQGADSS SPFTALGSLPAFMETRGIAPKRRVNAESPYLAIKSQQPIDRTEPQEPMVVVSDEYAEP VIQGEERAAIKANTPAFHHIPPFSRNNQEPPLLFLSTSLLKSHLRLTRTLENITDPPP TLIYRDNDNKTIINHDLQHEADIIISPSTGIILTTSQATTQLYLPGHKPTHPHLDGIK GINSPLRECIMVLAPRYERLYVLVSHAGGTAKKQLTADERTLASISSLTAFCNSISEY STIIPLIVAPSAPETMAQWILGLAYKHSFQLPETSAPRSIGFTPINPGVNKPRLDPAM IETETKSEICLRRAGLNPFAAQVVLLVLRREEEKLGLAYDQDTVLALSSFTEMSTESR RRLFAGLIGERVLQRVEAIMDDWQCDWALKFDAV ACHE_60172A MSVLRNVKSLVPLFDRVLVQRVKPETKTASGIFLPESSVKEQNV AKVLAVGPGAVDINGNKVPVSVAPGDHVLTPPFGGSPVKVGEEDYTLYRDSEILAKIN GE ACHE_60173A MEEEDDDFYDPTDVVPPTQAQNNTQNPPSNGHETGDAEEEEIEV EDDEDEFNIITEAPPDAPPPEIPHPRHANLRNDSQRPSSIDASVSKSVTPSATPKVES ATPVLVAARPAVPQKPGSAYPPVHASNIDVNVNPVHPVTGKPILLTDMDNDFPADDKP WRRPGSDISDYFNYGFDEFTWASYVLKQQELRREVGDQRKQLDDMQNFMSMGMPPMPG APPPGPGAGGAPPGMPPMPGMPEMSPDIMQGMLTGMMSQGLDPSSMDPMSFMQHAQAM MGPQSGAAGQPQAQGFGGQGGHQMGYGGYDQRGGFGGRGRGRRW ACHE_60174S MILAYSNPHIMPPTEQQSQSSAGRSFTSQTASAEELLKSQTVGL VHLSDFRKRRAEVLEQKEREAHDKSLGRVTSGNSRSATPSTSDVTDGASPSEAPPKKK KKKQLAKSKLSFADDENDDTGDDSVATPRESRSVSKTPIEELRRIAPNPNAPPPPKAM TKAALEAEAQTRDALRKEFLAMQEAVKNTEILIPFIFYDGTNIPAGSVRVKKGDPVWL FLDRCRKVGAELGVGGKGAWKGAAKGRKDNRREWARVSVDDLMLVKGEVIVPHHYELY YFIANRVPSFSKSGGLLFDYTNKPSPAPETDDPLSRPNDEQLEGADKDPALTKVVDRR WYERNKHIFPASLWHEYEPGEEFEEKMTSTRRDAQGNTFFF ACHE_60175S MDFYLRCNSLKCRTQLKERAVVTTCSHIFCLRCADKLGLSQSTS GGRNCPACQSTLLNPDDAVSTILNPTEDYKTSVLSGLDPNTIMECAGRALVFWAYQTT QEIFYQEFLGKTLTEKYTTLNTQMDKVIHNANSEISTLQARLSDMQTAQEQLKKKNQE LFEMYRDKSRKFTQITNLYNLLKSRAMKSQMQTAASDSVSQALNSLGSRNGVSTSASN RPMSMARDPQTPSSKQYGNYPVNQEGVEQLHRYQRSGTGSSKGRRDDIVTMPPPSRPM GTPRPAVANTPNVPAATPQHRTRLPGPGSVPGSVRPSTTLSILPQGNAMFERFHGGIP TSQSPTTSGYFPDPDHGPISRENLSFQVSGQGMVNHSSGNTLGTSGLRNSLFGSRRV ACHE_60176S MAAFVRVSGPPNGNFLIGYPGISATMPRIEGKVEIRPSVGITAP VNVSLVTISLHRRESIHPSADSVTKKRLAPPRKEINDVVGKEMLLFRCPAGREYEEVI AMDLPFVLFIPFGRGSADASRRVPAASLQLPSRTAETFYEMVVMVQQGHSDQRKYSFP VPLERYDTLSTFGMYNRPESAERVSDHLVTLGISLPRWSYGPLDPVSVYVKLSPNPSW MGKAKKVTINKITIGIEEEIIYNHEGDEPQRKVKTLTKKTEVIGVKLPPTGFLTNLGL VFPARDMRDSDGMLPRGKAAFPTYALTGFTTTASLYKIEYYLTVKAHLTSARDILIRQ PIVVCPLDHAGCKEEMESIEQAARDAVHVNPDNPMLPLPSIVRPGDPHALSQLGVAIV GNQKKPLID ACHE_60177S MPPTRRRTGNTSAANQSTLSFGSSARVTKPIAQTPTSQKAKTLE PAASVVPEEHKPEKPQPSNDVAEPQQIPVTPAEPSKPHTAELAVRGQAKVETKETPWG EEDKKALKVSEKDLVRYWKSEEEGRRAPRVHQENLGLHEKILRHFDLSSQYGPCIGIA RIKRWRRANMLNLNPPLEVLAVLLKHEDDVKQRAYMDELMS ACHE_60178S MRLTQALIALVVTGLANAQIPNVPSCSLQCFLSSLTNDGCSDLT DFACHCAKPQLPKEITPCVEKACSEADQSAVSSAVVQECSAAGHPISLPPVGGNVGRS EVTATASSASSSAVGDAPSSVIASSSAAVTGSSSSGVIPSASASSSYSGSASPPAFTG AASNMKCSLAGAAAVAAVAVYAV ACHE_60179A MPDNIKNNSPSTSAPDSISHGWETVNNGQTTTAAFSSPSESKAE SDSEGPTVEYIEDIVEYTGDGDDGKDAVISGPLAERRVSDAITTTPTAAAVAVASEAV TEDAETEAEDYDGDTDAYAALHFWKSEAASGYRGGAAGKRPGVEEGKYTQAGLTAEKV FEAMLKRIQEMMGIHEARWKEEYDLEQDKKEDEYWNKYMAGSSSPALEPDSPGVEDSG APARRQQYVQARQNRLNSVREGNSSASATTSTPSLPMPSPPRQTRQSSSATNAGITLP LSSPGRSKRETSTRDTSASKIGIGVSIPFPSPITFPARAKTSNSEAYGKALASFDALC QSFGDCVQPQERENMRAASNEASSSNDVNVNININININGNGKGSSNATNVNGDVNGT GTENDNDNDFPCDSTRTNEPDQEYDADGESSDPLALDAMIPHERANPSSPESEVDSGY EEGN ACHE_60180A MAGIQDTAIMPNIPPAATASLAAAHNLANNASNVTTTRSLLSSS RDLLSVPFRAIYRADTYAFSTLPSQVAKLVGLQSMAAQLMDDTTASGGAPGGETVARA AAETVVGESTAGAAAAAAAAGQESGFYIADFFHTLRRLSGFFSYLTSRWSLACFTVAL VLNRITIYASTRRHLHLQWDRRLALRIIPIVLFVSQIVSLLRALRCQTSPDYSLIRYG SPGKGMALDHAGGGGFLYSLSSKLLPWESDQGSCSAVNMGTPINASEIPYGSFALLWP VFLRLCLSHFVETLSCALQGRAVVTEAGMSIFEHSLAFAEAESIISQSIGLGIFGLHK HGRDDTSVSASAAAAEGGRASLHVLTRAQVLERMNVSPELLLIALISCCNSLTSNLLD VIGKQSRYRLVHTAFWGLCFMAAMVLGLLGNPLGHEAGFMKFPTVCIVGFVPHLLILF GILSCVVIYGLALIITAFSLPLDTPQPVSLRERLQLAHENMQGASQIRSIRFNRHEDF YTTLLRIGYTALTAASEAVFLNEGGGVVARKMTWLEEDRLAEIEASRGRSSAQKSWNS YDTAFKGMENVNFDLPERPLEWESGYGREKKVEPPKNGSRPVRSPADPGGVGAFRGAT RAYHVLSFFRAIFHLLVRWMAYGINALLDRLGIHARPQWLKARSRKKGSRTGHDKSVE PLDFWILTDDGELELPEDSEFDVENEMRKRERTNAADWGQPDERRLDERLYDWWKAGG SWGNQDQSPDYNPPETDDLDDTTSVMSMSTNASDSEWENESDGRRTPTQADPFPDSFS REGTPQPDALMDIRSLARLLDPRDRASREEARILAAHLSADRGGRIMTRRQFQRELER EKARILLSARLPQLASVQTSNDKRKPTPDEESEMLENLILSRRAESFTPNTEPNGQSW ETGASGLGPDGPPCVVCQTSPRSIIAWPCRCLCVCEECRVSLAMNNFGSCVTCRQEVA GFMRLWVP ACHE_60181A MTDAFPRALAGPSSKERRYDRQLRLWAASGQQALEEAQVLLVNS DGPWDNEGTGVSGVVGVETLKNLVLPGIGGFTIVDPATVTESDLGVNFFLEEESLGKS RAEETCRLLRELNPDVEGNFQTKPIAELLQDANFLGLYKLVIISGPLKRSTLNALSKR ARELGIPLLYTHSVGFYSTFSLQLPAEFPIVETHPDPETTQDLRLVNPWPELAEAGAQ ISNLDSMDDHQHGHVPYVLLLLHYIEKWKAAHNGSTPSNYKEKTEFREMVRASARMNN AEGGEENYDEAAAAVLKSLNPFSLRSTLREIFEMEECKNLKPESNDFWIIASAINEFY QKYQVLPLPGSLPDMKAQSADYVALQNIYKTKAKKDVEEVTGIVRRIESQLGSRPSPV PEKDIEVFCKNAAHIKVVRGRNIPQLDEGDQHLFKTVRNNLSVIEGLPETLMPIFIAS QILDAIVTDIQEGKQAAGSIDDEGLWRSQIDRFVGILRRDDPAAVDENTQQRIVDATK ELRRTEGGELHNISALAGGLVAQEALKVITRQYVPLDNTCIFDGVRSRTETYKL ACHE_60182S MSTYTAHGLFTVLRSLYFSFPLPSLHPLLNQHLRLPCAPAYCAQ IRSRIQPYTSASASTSTSKMTDQIEAAKRAAGKTAVNNHYPKDAKWVGIGSGSTIQYV IEAIKELNVDTSMTKYVPTGFQSKQLIVEAGLTPIEFDSIPEGTVLDIAFDGADEVDD DLNLIKGGGACLFQEKIVALQAKEFICVADSRKLQSRLLSNWKYIPIEVAPIAARRVL TKLKELGSINPMVRLSATPKEGPLKSDQDFFIIDAPFKPLLIKSDVEAGNNGSGKDGV WEVENLARAIKGIAGVLEVGIFFGSTGPQATAKGGIGGQKPVAAYFGMPDGTVTVRHA QQ ACHE_60183A MASNQFTVVSPPSDAISALKFSPDPESTQFVVSSWDKNVYLYDL RDENGSVGEGKLLQRFEHRAPVLDVCYGANDNEVYTAGLDWDVRRINLATSEQTVLSS HDAGVRNVVYSKEHSLVVSASWDSTLHVHRVDTSADIPPATVPLPSKPFSMSLTATKL VVAMASRSLHIYDLKALALLTEESGEVVNNKIEVEPWQRRESSLKFMTRCVACMPNDD GYASSSIEGRVAVEWFDPSPESQARKYAFKCHRQAAEDVDVVYPVNALAFHPVYGTFA SGGGDGVVALWDGIAKRRIRQYQKYPSSVAAVGFSGNGKYLAIAISPGFEDGSDDIPE GSVNIHVRELGETEAKGKGAK ACHE_60184S MPFAQLVIGPPGAGKSTYCNGMHQFLGAIGRKCSIVNLDPANDQ TSYPCALDVRDLVTLEEIMGEDKLGPNGGVLYALEELEQNFEFLEEGLKELGEDYVLF DCPGQVELFTHHASLRNIFFKLQKMGYRLIVIHLIDSYTLTLPSMYISALLLSLRAML QMDLPHLNVLTKIDNLSNYAPLPFNLDFYTEVQDLNYLLPHLEAESSRLSHEKFGPLN NAIIELIEEFGLVGFETLAVEDKKSMMSLLRQIDRAGGYAFGPAEGANDSIWQVAVRE GLGSMDVRDVQERWLDAKDEYDEKELAELGEEAARAREQSQSVPATDFGDDDDLEDFK GPLPDSGIKVVRK ACHE_60185A MVRIKQRYLLLDILYPDPSSWPNSIPDNADPETNNHLRIHSPTA DTLSPGLLAKLIREQVSELFGDWGVGRLGGSNAGGVSVKYLSPATSTAIIRCPRASYR LVWAAITHLSHVPEYTPGSGYAFGKRAGAMNRPCVFRVVRVSGTMRKAEEEAIRRGRR EVARVRGVKEGVVLGGLLGGDGAGDDVGVGVEDVDMDDDADDSE ACHE_60186A MPESAPLASTANGVQSANDNITRFSPPSRVLSPLSHTLFHNKTR CFVYGMQPKAVQGMLDFDFICKRSTPSVAGIIYTFGGQFVSKMYWGTSETLLPVYQDV SKAMAKHPDVDTVVNFASSRSVYSSTMELMNCPQIKSIAIIAEGVPERRAREILVTAK EKGITIIGPATVGGIKPGAYKIGNTGGMMDNIVASKLYRKGSVGYVSKSGGMSNELNN IVSQNTDGVYEGVAIGGDRYPGTTFIDHLLRLQNEPECKVLLLLGEVGGVEEYRVIEA VKNGTITKPIVAWAIGTCAGMFKTEVQFGHAGASANSDLETAIAKNKAMREAGIHVPD TFEDMPELLSKVYKDLVANGTIKPQPEPVVPKIPIDYSWAQELGLVRKPAAFISTISD DRGQELLYAGMPISDVFKEDIGIGGVMSLLWFRRRLPAYAGKFLEMVLMLTADHGPAV SGAMNTIITTRAGKDLISALVSGLLTIGSRFGGALDGAAEEFTKAFDKGMSPRDFVDT MRKENKLIPGIGHRVKSRNNPDLRVELVKEFVKKNFPSTKLLDYAIAVETVTTSKKDN LILNVDGCVAVCFVDLMRNCGAFSGEEVEDYMKMGVLNGLFVLGRSIGLIAHYLDQKR LRTGLYRHPWDDITYLLPSLQKGGAEGRVEVSI ACHE_60187S MSAKSILEADGKAILNYHLTRAPVIKPTPLPPSTTHNPPSRLAS IYFPDDLAVKDVLDQAEVTYPWLLTPGSKFVAKPDQLIKRRGKSGLLGLNKTWAEARE WIEARAAKEVQVETVTGVLRQFLVEPFVPHPQETEYYINIHSVREGDWILFTHEGGVD VGDVDAKAEKLLIPVNLKNYPSNEEIAKALLNKVPEGVHNVLVDFISRLYAVYVDCQF TYLEINPLVVIPNAEGTSAEVHFLDLAAKLDQTAEFECGTKWAIARSPANLGLASVTQ GDKVNIDAGPPLDFPAPFGREMSKEEKFISDMDAKTGASLKLTVLNPNGRVWTLVAGG GASVVYADAIASAGFVSELANYGEYSGAPTETQTFNYARTVFDLLLRAPTHPDGKVLF IGGGIANFTNVASTFKGVIRALREVATTFNEHKVQIWVRRAGPNYQEGLKNIKAVGEE LGLDMHVYGPEMHVSGIVPLALCGKKTDVKEFGA ACHE_60188S MAPPPVPPARPGEHQYWTDPILCAETRARLEHFRNLGWLPPNFK PKTLEGLAVIERYWRK ACHE_60189S MNFFDWMYKTSRKKLLQSYDEYWRRLCQYFGLFARRRVNGEVHE QMRRFLERVFPAERKIPRRTKKKNTLDVDVFCVTYRHHWVHSRYFRHGSMFIQFATIQ LWSSVTGTRPGVLLPQRTSVDKSTLGKRKRAQTFQSDLPKHVLLNDLPDTVCYRDIEL FYLKDPNSKRDVLCAIIEFCNLKGRPEGADGTKFFMHGDYQLAYCPINQIISYAFRDG AFLNTALTPELIWRLQVPKRSQSLPLRWKPEMLNTPLLRRVERTPYGYELHKSLPMTY NSSREALQELGRDARFEDDIGHYNYRRWTANEVNRTFTSQERKRVLGQSGDAVFEKHY QSEFIQRDLQHVVLLRPSQEGLLRLAGSMLRKRDILAPSNLTDAQRRAICRNPEILEL RREKRELMEEMRSQAGTVQNAKEPFPHLFQRHEAVKKELSQLRKTLSSNTRETVRKEY FHNAPVLEVDKQIKQLLGQSDVEDSDDDSSGGENWELPIPEYIFPERARLVENFYGPE AEDFDEDKLLARRIQVTKDMVALMQLCEPNRRGNRINWNINDIEESEMSEEPLTPEME TLDCPTDVCIICCGLSRRSASNPPPHKFPSRRKDSLRRHLIDSHLTCARDGISCTWAA CHSVPKFTEVTEFLAHAATVHKYDVQIKLQHLPKKSRIACSDTLSIDSSDASLKSDGH SSIETPASSIDFEMGNIDPRLLESHTAAVTKPLLRRQKRLEFQ ACHE_60190S MPPKSRAKIKNQVEQEGRVLLAISSLKKKEITNIREAARLYDVP RTTLQRQLNGSTNRAEKRANGLKLTKEEEESLVQWILSMDQCGAAPRPSHVQDMANIL LSNRGSSNIQPIGQNWVYKFIKRHDQLKTKFSRRYNYQCAKCEDPKLIKEWFDRVQLI IMQYGIAYEDIYNFDETGFAMGLIATAKVVTRSETVGRPTLLQPGNREWVTAIECVNA MGWALPPVTELAVSASRDDTELRQAHWITAHGEYARRNRDQIDIRRVGT ACHE_60191S MDFWEQVVKAHSVPNTEDEKAERVVGSVIAQEYHVMIQEGLEYS YVTNGLALILLRVPYEDPGTLYYHLCEPNEEVNSEDEQSFLQPATAIARWRNEADAQL PIWKSSFGSFDGTWSPVSEFESPQCTPNSKRTYPSPKSTTSEFLPPSSSSAESPTADG RRAPTRSRPGCSPSTTTYHDESSDPDSDFEAPGQKGQKRGLSEISSSPVQRTVRRAGA RHFSQSDGQHGRHDADFCTQRCLLGLQQGGQLDDDCPNVMLHKQGGDGRRHAINSTTF LQGVKKQLDRNIDRNCTPMGGCGASGAPFKVTYAKYGYTVVGKGTTSCRWPELLREAE VYRVLQQAQASAVPVFLGAIDLEKTYFLHGAGAIRHMLLMGWGGKPISSTENVPSCPE FNEEELNREISRSVKKIRSLGVLHEDLRPDNILWNAELRRALIIDFHWAKLDRRPKRK RMPSCGAETRPLKRLHTIC ACHE_60192S MGGAAVCLLTHDPNRRTEDVDLVIHVDQRQITADRLTTQLLTSF PSDFGPVNQFGHIIPAYRLRLPGGKEQLVELEVFDYQSWPQRPQYNLQTASRRTLTIN GYPVKTFSPEWILREKILSQYQRQGPKAQTDIRDVERLIIFAVPGTPELDFSHTEELK AALADLLKNWPGMQQALKQKINCPAIFNNWYAPLSSLSE ACHE_60193A MFVVRDEHHQTAIQKLKDSGFTQAPPNRRAAPEIMESLPDPQAV LDEINKGYERLDRYCTSFQFPPHLPFSGDQIFLIPNSFAHLPQDNLGMPSNLSSQRAQ PKQYEVYGNLFYPLEAALVESFVKGVIHDIEEVGYSSWQLLLNAWISMMRGYLEVNND ILDNCADERAVEWYSTHFGRIHEAQYGGWDLRVSKRLGSGKEMPVDMRGNPIS ACHE_60194A MNRQQSRPGPYKKKSAVIKAGLNESCSKIQPHYFNILRNHTNAV EQSHQKSYASGKYLTLAEAARNSAKLDKDDILQYHNFQQFNIHHSYRASTMEANYLRH MSRERRGHKRQRLSSTAESERQASSQNFEISHENSRGSSSQVADNESTTSSRNLRRVA STNILTIEQRRQELELRDLEAEVKRKEEEVRLKQLQNEQLELELIVMGRSLAI ACHE_60195S MADTDEAAAHLPASQDSAPQIETDLSDETQDFRFLNNLAFPSDS SQTSLPRRGEKDFEPNPTLYQADILDASRQAMHNALSHPRLHNSKHRVIAFYAPDGPA PPTNVTKEKDEKETTTNKDDEQNPKKAANADAGTGIGVPADSCVYVPNPKGQYFKSMG RADRWNRVWLLPEEALYLIERGSLDIRWPVSVTGPTAEEGEEDLGIPMSLQAAYACFT GRGGLSVERFSVYTGLRRLGYTVIRAPGWDDESDEVQDEESAPQQGLGIFGRFLHWLH SWNSSTGVTTTGPVVGLGIHRSYNDIYRKLAIIPYHDPITPQFPRRKTTPPFRVVFHV YKPSTAFRKSAPPTPDFRIAVVNSRTQTTMPTLSQLGTLLESTPLDPPRGEKMDRMLY MRLRHGYRNVILAVVDQGVVSFLRVADAAFGKEKIYAEKPPGPKKGGNRPRPKK ACHE_60196A MSSTARTQEYVTLVSGDGFEFVVPRSTACVSGTIRRMLDPSSNF SEAITGRCVLETLSGVVLEKVCEYFCYNQKNKEQANVPDMEIPPELCLELLMAADYLD T ACHE_60197S MITGATSGIGYAIADRFLQEGVEKIILVGRSKQRLADTAARLGE FLEGVETGNGSDEILATRAPEEDQQEYTGIGLSGDRRVSFFVGDVSESGPWVRELEEK MKDVDILVNAAGISTTNILARTDPDAISHTLRTNLEGTILISRALLRAAIRSRSKDRN STTEISSRCIINISSLLAHKGGTGAVSYAASKAGVLGLTRSLAVEAATSLRGVFIRSN AIVPGYIDTPMIANFSDAQKTSLKDEIPLGRFGDPQEVADAAVFLAQNEYANNCILNL DGGLSAI ACHE_60198S MNNRPVEQALNTLLPTHANDLPPELVSLASSLVAQSRSFSASLK PEEEIARPYACAEIACRRLGRALRLPPLLGHPPCPPRVYKKLYSFLDRSLGSSTAAVK HSASGSAPGTPTRAGSNPSTPTKDRTTLARTPSISAVPGTPRGLQNTPSKPTPLKRAV GNVDSSSHTRTPQRVEKKIRPNGLPGSTIVPDAPGWVMASIRTVCKTLSTPAPRTSTW SRPPISKTFPPHMFAGASSILYFISKTSSSDADADLDEEMLEFLEPVMTVRNLEKDED FKEMINALVVAIYFLVLARRRNPVDADGEQNGESKKLDKKTFSEMRQTALVSLGFPAN ERRHRADVDQWIALIMEQNWAHGQEWFENIPQAGELDGEEEYESDDVAAGEGDTAKRP KTGRGLLNASRKGLLPGLGTMMQDRVDWLSEDRQEDYLEWKADIMGRIEQVEGTGRVG A ACHE_60199A MARSSLSDLLKTASLDLAPYENLYKYFHAHPELSRQERSTSEKL AVHLAQLKVYELHTNIGGYGLAGVFRNGEGKTVLLRADMDALPVKELTGLPYASSLTM RDADGNERPVMHACGHDMHITCLLAAAETLVKMQDAWSGTLIVLFQPDEERGGGAQAM VDDGLYSKIPVPDYVLGQHVMRMRAGSVGSRPGAIMAAADSMKITVFGRGGHGSQPHQ TVDPVLLAAHIVIRLQSIVSREINPSDLAVLTVGSLQAGQAENIITDRAEIGVDFRSV KLEIREQIISAIKRIVEAECAASGSPKCPVFTPTRRFPPTLNDKDAVSQVAATFATHF EDFDDDVPRTNVSEDFSTLATCRGLPSCFWLLGGIDSEIWDKAEADSRTEEIPGNHSA LFAPVIQPTMRVGVDALCLAALTFLKKT ACHE_60200A MRRRTMSDDEADPELLALLRKSLGLGGGAANPRAAETKVLENAE YVYDNAIDVALNAGKTKDVAETIWRTMQKKEYSTHTWSEHELHPKTKDENTVDFIFTM DLLNFSFWSDERDERKRFAIEYRGRKWTGYWSLVAALQRALDEGIPITDPEYWVNEGV CTDDVIKRVFRSATEEQIPLLPERIQCLREAGRVLCKDFDGSFTNCIYSAHYSAASLV NLLTESFPCFRDETTFHGRRVRLYKRAQILVADLWACFNGESYGAFQDIEKVTMFADY RIPQILYQFGCLMYSPSLESHIRDLKPIPSGSNWEIELRGVSIWCVELIKREIEKRHP EVKSVKLHPPPSSEEANALEDARKCTTQKHSKYKKSVHEPQVSGINAILIDFFLYDTM KEVEKDGRETIPHHRTRSIWY ACHE_60201S MTRDTYLKRSVGTLARRIKESRVLLVGAGGIGCELLKNLLLSGF GEIHIIDLDTIDLSNLNRQFLFRFEHIKKPKALVAKEVAHKFQPRAKLEAYHANIKDS QFNVDWFGSFDVVFNALDNLDARRYVNRMCLAADVPLIESGTTGFNGQVQVIKKGQTE CYDCNSKEVPKSFPVCTIRSTPSQPIHCIVWAKSYLFPELFGRSEDETEELDSSEDAE NAEEIANLRREAQALKEIRQSMGSDEFPRKVFEKVFKEDIERLRGMEDMWKTRKPPES LDFDKLEAESSSIEAIISNNDQKVWSLAEDFVVFKDSLDRLSKRLKSLQETAVGDSNP ILDFDKDDVDTLDFVAATANLRAAIFGIDPKSKFDTKQMAGNIIPAIATTNAMTAGLC VLQAMKVLKDDYANAKMVFLERSGARAINSDSLKPPNPNCPVCSVAMGRITMDPKRAT LSNLVEDVLRSQLGYGEEFSISNELGTIYDPDLEDNLPKKLLDLGVKDESFLTVVDED DEPRVNLELIVKAPEESPLSNEEKPISLEKAVDIPRKPKAPTPAPPTFPETVSDTTGV KRKLEETEIGQEDLHVKRIHTNNAANGDDGTQPIVLDEADGGAILIDD ACHE_60202A MSVTLHTTHGDLKVELFCEAVPQTAQNFLALCATGAYNNTPFHR LIPGFMIQGGDISLGDAAGSSPETSARPVLPFEVPKSGTSIFHPSALNQEISLPALRH HTRGMLSMASRPVKDRTAPGFQGATGTTINGSQFFITLAPAPHLDGASTVFGKVLNLT AQDEGGDVLARLEGANVKIDKKGRVVQPKEEQEDENEALRINRVTIHANPFAG ACHE_60203A MENDMNTKFPTSRARAQSIVPDPADLSREIFCEPFKRRQDLIAQ LGVALKTEDISVPLMDFSPYL ACHE_60204S MQALVYNGTPYNVTIANVSRPTIQNHTDAVVRITTSAICGSDLH FYHGLMGGTPPYVVGHEAIGYVSEVGDAVSSLSVGDYVVIPDNAAAGHLEMVPEALDS FGGGTELGGLQAEYARVPFADDSLIPVPLTHETTNSSIECDYLTTGDIFATGWSAIDY SGFQPGDTVAVFGAGPVGLLAAYSALLRGASNVYSVDREPMRLERAASIGAIPINFNE SDPVQQIMKHEPNGVTRAVDCVGMEAVNARGESEEGIILRNMINVVAQNGGIGQIGVY MRQETSPAAPLGDSIPQNVPFAISDFFLKHLRYEAGIVDPKVLAPQLVELISAGRARP SFISTAEIRIDEVPRYYERFDRKEEIKVYIHFP ACHE_60205S MGEECVMDRSRRYSKCASCTRLRRPCRREFHTGSEWELLKQAEA KVASDLSNADDELEQLQSHLEEVQQKLKSTLARHARLRKQQKFLKERGFKMSEHDAEL LRIMDEKSSEQLDPPVVEVQQLAATSSNPDFNQMLEEIAQMPSSFWENVELPSGEIAS TSDDNPSSSR ACHE_60206S MILPITLSRHEKELTSYAMLDTGAEGKRFVDKEWAQDHGLKLLP LKRPIRLETFDGQEAESGPITHYVQMHMRINDHQEKRACFLVTQLAHYPVVLGLPWLK IHDPRIGFAEHTVLFDSKYCQEHCNVPTRPAKIRALHDIPRKTRPKHLPARPEGLEHQ DIAAISLSACSAYARKNYRMFTVTVKDIEAALNPTPDEEDPTTKLPPEFQDFADVFSP KEAERLPPHRPYDHDIKLQEGKVPPFGPLYPMSREELKALKEWIEENLKKGFIRPSSS PAASPVLFVKKPGGGLRFCVDYRALNAITVKDRYPLPLTKETLNNLKGMKYFTKIDII SAFNNLRIKKGLEYLTAFRTRLGLFESLVMPFGLTGAPASFQRFMNDTLRDYLDVFCT AYLDDILIYSKTREEHIRHVRLVLEKLRDAGLFAKLSKCEFAVPETKFLGIIVGRDGL RMDPDKVKTIVDWETPTCVTDVQAFIGFANFYRRFIKDFSKIITPLVNLTKKGIQFKW DTTCELSFNALKKAFTTAPVLRPFDWNKEVILETDASDYVSAGVLSQYDDNGVLHPVA FFSKKHSATECNYEIYDKELLAIIRCFEEWRPELEGTPSPIKVITDHRNLEYFMTTKL LNRRQARWSEFLSRFNFKIIYRPGKQGAKPDALTRRSEDLPKEGDERLLHQSQTVLKK ENLEPAPDNSPVTLNATTRARDHSAESSVENPPRIPAQTRRVRFADETNHDVPEPPQD IKNLLDNAYSVDETVLSILEALDKDATRHPQITLADCQRRGKYLFYRNRLYVPDNGEL KAELLRQCHDKPAIGHPGRSKTYELLSREYYWPGMYQYVEQWTQNCHTCRRIKPSREA RQGILRPLPVPERSWQDISMDFVTHLPPSRGYDAILVVVDRLTKMKHFIPCKGTCNAE EVARLYAYNVWKLHGLPQTIVSDRGPQFVAQFWKHLTRRLQITNLLSTAYHPETDGQT ERTNAVLEQYLRAYVSYLQDDWSEWLPLAEFAANSARSESTHVSPFFANYGFHPRMGF EPVLPTNRPARDAEEFACRMELITEFVRTAITSAQARQEEQANRKRQPARRYQVGQYV WLDSRNIRTLRPQKKLDWKNLGPFRIVEIVNPHAYKLDLPASMRMHPVFNVSLLRPAA GNPVPGQRQEPPPPVEVDGLEEWQVEDILDSRWERRGRGGPRLKYTVKWTGYDDPTEE PAAYLEHAQEVIANYHRRYPHKPGPGLNGARP ACHE_60207S MIKEHSSSGETAMLNQIWKPVPSLNEVEPISDEEEEEEEEEEEE EEEEEEEEEEEEEEGCEANDLSDDLNSSDDDHLKEELALTQITTQRKQARCKRPLNKT SEPQDDGDNGLPLPEMATEESTQARSGRIRKKPKLPDGFEIDKL ACHE_60208A MSGDTQTSNAAEPAFQKPGDLVERNDDTGVMQLESLCMNCHENG ITKLLLLRVPFFRDIILESFECEHCNFRNNSVKSAGQIQEQGSMYTLNVENDEDLRRQ VIRSDTSIFKLESLGIEMPKGDSQMTTVEGVIARIHDNLASEQPLRKAQAPELHDALV PIIEKLEKMINREAFPFVISLDDPTGNSWIAPNPLDKGAKYKRRDYPRTHEQNEELGI AGDAEAVAAETAEIDADDSEIVDGKVYSLPSECPGCAKSCFVNMKKVNIPYFKEVFLW GTVCEHCGYRTSDVKTGGEVPEKGKKITLRVENELDLSRDILKSDTCALHSDELEVTV EPGTLGGRFTTVEGLLTEIRDQLKGQIYDVDDTTQTGGDSMESSDKDKWARFFSRLDS AIEGKMKFVITLQDPLANSYVQDLCAPAADPQITIEEYTRSDEEEDDLGLKDMKTEGY EQDAMNEKKDEGNGEQKP ACHE_60209S MDTTCVASRPLTSVVEEGRWLGVILRYAQSILLETPQLPKTVRR ADNAIRAIPRPLGTIISCVVQYPQGQVFVSSPQHRSVLEGVLRSEQRTSTKTASFSRE GGDET ACHE_60210S MARDQPTVWPDLKSRGTSLLDIFNSNLPDPASGDAVRSTVIGKA LDILSRIHGAFTAEEEKPQVSHETEDVALEDAKRRRMLHALLDLISLEGIYPSLSTGV GIPLQQRVISVLPAGVIAKQSDAPSSGKPHDELLLDHIMLTLSDITLDTRPSIQPVVR GRILSDIISGSLDLAFNSKCISAERRGFYQKVSSRIIEETPSSVLLSTLSAFLQSVTA PWFKVKVSGYISRVPLRQEGVLQTILFVMSQFAPSLGREVQGQASNGPHFTVQAIMHV SRLLSSVPQDMDPVVYFSTIATQLLSLLDGDDQDLKKTASYVVSSGILGKRAYGAPGT IGHTIFVEPLFKALTAELDNSARRWMTTSGDASDDSSGQTLTDGSTLHLAIDRLKTLT LQHPNPGLVKRLVYPILVPLWGLTSFAVEQQQTAIHESVMALLQTYFGISVGVQPLKK LVDHLLWNGGAKWTYGLDSKGQISLKKRDPSHKDQFNVIQLMDSLQSRIEIFVRLLGS DPSSEERTGDIFLYVSETWLVNDSSAQRPLDQLQLPSEKDESEVIFQKLVSAKLAEKL LDNFKDTLSRRPIRVLELIKQIIDSEAGRVSTRTKTKDVSLSSLASIVNKDEEQPESS TEKDSTESLSTAFSLLSTVFASPEFAVSNETRPVLESIKTQLDLLTPHLLSSLTTPAT TSSMLLEIHLTSPEHIGAKQTLPNVSDLDTHRQALKHLNSHLPPVQAEGFSLLSNLIK KSSPVLDIPSTLTLLLSIITNETESVANEEFIYLNAIKLIGTLASRHPRTVVKTLVDR YMDKSETATLDQRLKIGESLLRTVQDLGEALTGETGKIIGEAMVSVAGRRGHKPQAQK ARREQLEKEQRQQERETRRREKENAMAPGWKVTSASIAEELGKHLQGHEDEDEDEDTE PPKQNAHAANILSAWAAGAQSDEEPDDLRVRASALSILASAVQTNLAGLGPAITFSAV DLALATLTLEPGDEFAILRRASVVLLLDILKALDTARETRGTALGFGFSLSEDPSQGA NDPDKGSGSTIGSLPPMLRTLHFVEGRETDSIVRGHIRVLIENLEAWTEKSLLWGIGA RETDAEENEPRFELGNRIAGLSVDPLADRSARPKIEEIE ACHE_60211A MLFSFLREFSHFFFVCVFESIEGPYLVIWLFCLVRAPQRYFVLQ LLPVGHLGPTLAFSSILESSKVRLPSQGVLVLEFRELRRMEAPKSNTPSVRVAFEGCG HGCLHEIYASVERAATLKGWDGVDLVVIGGDFQAVRNSNDLACMAVPKKFRQIGDFHE YYSGKRTAPYLTIFIGGNHEASNHLSELYYGGWVAPNIYYMGAANVLRFGPLRIAGLS GIYKGYDYTKPHFERLPYNEGEKRSIFHVRELDVRKLLQIRTQIDLGLSHDWPRGVEY SGDYERLFRIKKDFRYDSEQGLLGSLAAKYVLDRLRPAHWFSAHYHIKFTGSVQHGKY AIPLGHKAQQKSQHDLLQPQKLPYMFGMDGASVSRSLSDFIEAEHEPEGKEPKVEKKK QELEGIQSSSDHPGNEVTNTEAATSSSETSTLNPEARAFNPSSDEKPSDEVAHQVPEH VTIAATSTQGSGQQQNDDTTSRISAWKNFHNVAASQEAEENSRFLLEQSNSQAVRNIQ HNLTWRQVDIDHDGTRRRLGVEGSESADGPGSKKQKISHDASIVKNADEIDLDLSDSD QEGQAEITPSFETRAPAQISENTGKSEGVPEDIRNQLPASFARPSSASEPAQDMPVSE PLPEAITNTTTQFLALDKCTPRNQFLQLLELQPISEQDGVQSQRPYRLQYDKEWLAIL RVFANDLELGGNPDDTPCPDKGNAVYKPQIIEEEKWIEENVVKPGKLDVPENFTITAP VYDESVPITTEQMPLDYNNPQTAQICELIGIENKFYVSEEVSRARAAAGPRTDHSRTG GSGTSMRRGFGHGRGHGGGRGRNRRY ACHE_60212S MPVSEYLSQLDFLKDSASSLSSESPSTAAHLLAVHNHILHNDFK SLNMRQQELCCGACGTIRSPETSKTIQIKKKKSKRTGISTDGATIYKCLRCHRRTVKP VRKEPVRSKAPTKSTTTTVEASVSTTAPSTDKTVSEQSASEPASKTAENASSKKRAKA RKQGGLQALLASKQKSQSASSSLDLFDFLQ ACHE_60213S MSNDTMSVYSQDDEHDPQADELRETTLVTLEALISSCSQQMQPY LSNTITSALRFLKYDPNVADNEEDEEMGGTQDDGSDAELDLEDDDEFEDFEEEGGYSD VDDMSWKVRRCAAKLLYSVISTYGRGKALDDASLYQQIAPALIARFNKEREESVKLEV ISTMTALIRKTSEGAVIVTSSGFDSAGGSKNSRKRRRQDSDASGIAFDQTIGTPSAVD SPVINPSTPESGPQADLARSVPTIVQSVVKMWKQASVPLKQAIVILLKSLALVRYGGL ADHLQQIEGPIVDALKTSSFSGSSAAPSGTAVSAGTLQIETLGLIAAIAETHTSDSLL SFLLALIPGVIGAVDERNYKVSSEALGAVEQIVKALTPPRISTNAQNVAPQLEKLYDV VLVRITNTSADLEVRQRAIHVFGVLLARTAGEKGSIFLASDRRSHGLSILVDRLRNET TRLSAVRAVDDVAVLCSRETDVSASWVNEVTLELSAQLRKSDRALRGASLEALRSLTM NSKTRAHLDQKTMKELEGCLLPLISAEDFHLLTPSLIILAKLVPGNAKLLVDDGLVSA VCSIVVHSLVGTVLKALLLLVKVIGEEGAGAELMKKLLRDVGINGDTSVVGRAIGTLL VHGGSKLGVKMEDFLTELQTSQDAQRKCLALAILGEIGLRMGAECSLTPDLFITHFNS KSDKVRLAAATALGNAAAGNVKAYLPIIMGGLSKSSQQSYLLLHSVKELLLHPELVRP DVAPSAMKLWQALLVVSEEEDNRAIGAECVGRLALIDPVAYIPHFQEYLSNKDPTIRG VVISAFRYTLSDSSDTYSDVLRPLIVPLLVNMLSDRDLGNHRLALTTLNSAIHNKMNI ILPHLNELLPAVFGDTHVKPELIRQVQMGPFKHKVDDGLELRKSAYETLYASLDTAFS RAHVSEFYDRILAGIDDEQDIRTICNLMTSKLIPLAPEETQRYLDPLSERYTAVLSFK PKDNAVKQEIEKAQEASMGVLKITRDISKAFPNAEITGESHKWKTYMEWVRKTFTTQF KSLELEV ACHE_60214A MGNNNPPWLDSLTDDWDPIPGTPSPVPARSINHSRQSSTQDSPS RIPIPARHRSVEQSPADPKKKVTRPCHFIKREPPTPKRPRTPRTPAKRTPVTKNNSPR SRSSTKPARTPKSGTKKSPKPIPSSKSKPTSDSPKPNVPAARKHLHAIDTRSPLRSVS NVSSQSSQPSQQGTVQVRPKDNNKTRESTPEWRKRLVHGELPTGEQRDLFAPIGLESV FKPPTPGSEAARHNKVPMFKQSTDIWNFNEGSETNMHNPDMDNANEGRREDTGDSKGK DPALQDIKRDKASSPRASPEDALKASNSGGQQNRNANHSSLDNTQLRSVSGLEDLRNE GITPITFSRSNTLDGNGTSEIIKSALKQVTDKLEGLSLRAGDRPGSRASDSALLHPQS EVPAEALPPGNELLDVTSNSLPQDLSMGTLGAFARFRRTDFLGEGSLLKPRLTPSPLP SQRLSPQALWNSRIRSSPPFYFKTNPLTDPPTLPKPSSPHSENSATERSAEGKVEGMP SSGSPLKLFGNHDTFTNNKLLRRMSQFEETFGHPSDEDEPVSPSEEARRKGENRSLLS VKPDRSSSRRSRIRGTNNPKLNRFGDGGLDHFDFSDTSPYEPKLLYHEMPDSDYRPSS QRRFSISHRQYRRTSLDDHDHEHSPDHLSSADDDSLDYGELNHRSKIPSTEKNPKRRR TILKSGSPDFEDEGGRNLPGRTDNLSLLQKSLMQHGIDDSDENHLNQSSSQQRPRTPS NSQSSTRKRSSSHWHHPNDTHIGEESPSDMAVPRVQVTGVNDEVRRGSITTQDYLNEA TRVMDMIRSKGRTTGGLSSVEELDMEGEEDDDSYDDNDDDDDDSTREEFSRPPSREGV DLRKLREPNEPNPRVMSYLKKFQENDDPEFGVSTSVASLHLGDDQQPGSPSRDIPHDF DADRVERNQNDDQQEHKHSVGSVDEEGGHVTINTQMSSKSIPTGSSQGSYAKGVLSSD VVSHLIPEQVNGLTYDRFRQKWVKEKAKQAPEKPKGEDSEDDPFRDIPDLSVDELQEM MRMQSSSPTKTDDGRPAEEANDPQSPLSAKRSQTRDRAPSVIASTIESKASRFASTDL DSGTRATSWDTDQHRNGETTSEVEHEIKLHEGRLSKPPRRQRASNQQARAVTVNFSSP PVSRIAYSDDYTPTKYMSRELDQGAIQEQESPAGDTRPHQESQTPSNRSTGDSDNDDD ALSVMRRSTEGAVSTPLNGHQENSLVRFQEPGHDSSYSFHLSPLPDFTVHQIDKPLHL ELSYVANRTNPTSLRQVHGTFALATEDLVRHLTEVEPFEPYWEHVRRLILRDKGLITL HKLNDFCPRLEDLDVSENDIGQLSGIPATLRTLRIQRNCLTSLTAWGHLVNLQYLDVS NNELESLDGFGSLIHLREIKANDNKIRNIDGIRELNGLLSLKLSNNSLTSVDFEGTEL TRLNELDLSYNVLTSVQNLHLLPSISVLNLSFNRLTEVEFSTPMYNLRSLKLSNNNLS ALDTAALPILTLLYTDQNALSTISGLEHCHKLEILSAREQLVSERDSDKYFGVDLGQV RDIRKVFLSSNKLSTQSVSPSAPLLSLQLLDIASCKLETLPAEFSLNFPNLKVLNLNF NALTGITELAGMNCLSRLAVVGNRITRMRRLCQALSYIGRTSRNSACSLQKVDIRGNP LTVRFYPPPVTGSGKSMENKKLHGKEADRERGRPQAKTTLDLRAALADMSQNQSEGIP HPTLWGEIDGEVEDMDLDADVDKDRDVEINDPYTLPTADAEADTKYFSHLDESTRLRR RIVELMIYAGTGGSVKYLDGLELRPMLEQGSDMHHAWTKLEKLGVLRRKAITD ACHE_60215S MPFRRPTSPVSLEVCSPSADDIVSDGVLGSDDELEEDARNAQRQ RIEKLAEAYLQGAPLFILSASLKGPFDQGWVNPWKKKRKALNAAEDSSDPVVQETDPR PRKQFHHESHESSRRTETSVALSDAHSEGSLASSRHQKSRKPLQDKRSRNSASVQGTP KRTVPWTGDAQLNSELRNSSIARPTDDNWLKRDRRRIGFRNFDPPTSPTTTVSTRLSD TRNRRHQSLVPSPRPSSPKNTDTKEPASSQIERIQETRRSESIAESHLFSSTSQLPKF EFRRRKKRSKSSTMKQSEELSVLPETDKAQQPLGQESQQAPQQPISPTGSSKESAKRE NAYIDPSRRTFTSMAGSRAFDSHTTSRLGLLESRTTHGTIENLPSAQPVSPNPAMTDY APSLHYTAPPTSVSEDNHDAGPVPPLSTQAALIHAQKSFQDGLESQVEDQPKTSSKKR RSSRSSFPSSTTSQKITPFHHLNTPRLGSDNNPSRTAAAAVPSTQCIVDAVTPFTFST EKKRHRHAHIESLPRVTSSNKKQRTSSFSDRSPSRYPDWSLSPERDQLDHRNQSGRES PRDLQPRTNRNGNNHEPSQSTALPLTLSGTTPPTAQEGQGGLAGESFNLSQAIADAGS WLQESFDWNRDLRRSGNNPGASSEDAQRSALNLDTH ACHE_60216S MALTSWKAFNFFDVSSVRLSEESASVFGSDLSSLCTGSANLFLG STDGVVHIVSSSFKIAQSFKAHDAGSITHMKQIDETSLLVTIAEDLLNEPVLKVWALD KPEKKTGAPQCLSTVSVQNARRQFPISAFTALSDLSQVAVGFANGSVTIIRGDLIHDR GARQRIVFESEEPITGLATQDGLTTALYISTTNRILTLVISGRGQGQPARVLEDIGCG LGCMAMDKDSGDILIAREDAVYTYGPRGRGPSYAFESPKNSINIFKDYIALVCPPRAG STKPDSLGMSQTDELFSTTTFTLLDTDLKFISHSESLSSSVKRIFIGWGSLFLLTTDG KLYRYREKSLQQKLEILYQRNLYILAINLAQKTDVDPLQQNAIYRRYGDFLYQKGDYD TAMQQYLRAIDNTEPSQVIRKYLDTQRIHNLIEYLEELHDHEKATVDHTTLLLNCYAK LKDTEKLDSFIKAPGELKFDLETAIAMCRQGGYYEQAAYLATKYGENDMVVDILIEDS KKYAEAVEYIWRLEPQMAYHNLMKYARVLLANCPQKTTELFIVYYTGKYQPRTEVEAP AEPQVQPTSTLQSLAGFLPLGLINVGPSTTEQVSEAPSMAENQTTESTADYFIPKPRA AFSAFVDHPREFIIFLEALTSQPNLKEEDKVDLFTTLFEMYLDTAKRQKDTTEKAEWE NKAKKLIEGKDIPISTSNVLLLSDLTGFREGSTLVREQEGLRSDIFRSFTTAKDTQGV IKALKKYGPEEPRLYVDALTYFASSPKILEEAGGELDVVLKKIDDDGLMSPLQVIQTL SNNAVVTMGRLKKYLSDNIEREHKEISSNRRLISSYSTETETKKQEIEQLGTKPVVFQ ARRCMSCGGGLDLPTIHFLCKHSFHQRCLNKVDEDAECPVCAPQNSTIKAIRKRQVES ADQHDLFKGELQRSKDRFGVVSEFFGRGVMRPQSTME ACHE_60217S MAPNRVIQDSDDEDDPLSCEAQPPPPIVSPRRENRPANSSWEDD ASVVNDDNLQDGVADNQIQEEINHVGDIGVNFDDFLQSQSQDAQRRASISSSQRRREE RWIPGRIGNGIARGSGSIAFMMSEIDMAQRRLLDDETPHIGQQIPSTATVPFTESELG YIDQQHQQQSLQGVDYSTLGYPEEYPQVQVPVLHPEWYNSDRNQWVENALNVEAAHTN ISTFDRTQSNLSSNAYDSALQGFTNADAAMHETIQTEILNKTPIRSKSLQEPALDSPH DTEPFSSIRSPNVSRSKSENTAQQSAQRQSQENTADELSAHVAVEIPVIQKKKAPKTR KSQPQPEDDDDDELAAPSDSKSNKSKARETSRSRSKKQVDHLNESNGQVETNTPHPIT NEPKPLGDTPHTTNPDHDEINLIQLDGPKTQPQPPEPSQTEPNHFTEPPKPLRKEPKK KKLKRGKTTSVTLQKTYEPDVEGDVIWIDDRQHDHRPDSIPTPDSISTPAGDQETLAA VEIPITGGDEEQGNPNPQPALKKRGRKRKKTSEQPYASVEEESTEISGYAPGQRLSSL SVVVEKPANDNDKQTPNPFTVDKTQRSSGLVPGPVPEPEPEPEPEQTSNAPKTPKKLN SAPDIFNQEGQSGQNTLTKPTNNDNKGPSKHSPIAGTSKVPYRVGLSRRARIAPLLKV IRK ACHE_60218A MHLRDVLAFSTLALAASVSARSIPQPPKDNSPIVSATVDIGGQG SELRTENFENRCGPKYGKCGKGKCCSTAGYCGSSKAHCRSPDCQIDFGHCDALSSPEG PSTEKIRRPKVGTVPYGPNVIRSCVVPGTVALTFDDGPREYTEELLDLLEKYNAKATF FITGNNGGKGPIDDFDMPWSTLIRRMRLEGHQIASHTWSHQDLSKISHDQRKDQLLKN EMALRNILGSFPTYMRPPYSSCLPESGCLDDLGKLGYHVVLYDLDTSDYAHDSREAIQ VSKDIFNRALDPWKATDKSWLVIAHDVHEQTVHNLTEHMLRKIRDRGYHAVTVGQCLN DPEEYWYREDSHGPLHVDKQSKGSRKKDNKSKSSTFKAITLDGTCGVNVTCVGSAFGP CCSSAGYCGNSTAHCGTGCQPDFGRCFWPGSDKLLPNGTTIGIPAKPKDTAAGWLPID KDKDAAKKPVKSEAGSLLKTGTSVTTLALLTSAAVLLS ACHE_60219A MADRQATQQSLNALLSKLDDPDADLRYMSLNDLLGILTSPNSAY LPHDQHSSTKLADGLLKALDDQHGDVQNQALKCLGPLVVRLPFESLTPLLKKLTDLTA SQTIDTSVPNTALRVIVNALPRPQPGQPAAEDEHMAYSAISKVLIPRLTGPTPSPSAR RGSVVQGMLEKDPSKGFSSDAIDVSIQVVTCFGSLLSEAELTALQKSVMSIIDNDTAG TVVTKRALSAISAIVLHLSDHQLNEFVGELVESFQSPQLTTVHRRHLIATVGSIARSA PAKFGPHLPTLAPFVFSAVGEENLE ACHE_60221S MAFPAFRTFMTSQSARMLGATARRAGQQAGRRTYSTAKATEGKG SDLPWLLTSIGLGAPASYWLWQTGRPEKHDDHGHGNHAEHAEPAQEESQEQAEAAPAG EAAPQPDPEAEKPEPKEETKAESTEEKKPEESQPREQDSESKDEQPKEEAKSDDAQPE GEKPKEEAPKSEGEQQPKSGKESEKTSDEKQ ACHE_60220A MPRPRRKSSSLGVDIRGDTSAPAMSTMNLVSPVESEAGTFDKQI PQPRTSPEKPKSQSKRRKHRSLLRRSKDICLKHTWLLPLLILIVLLSLYLVNPTESNP MHSAIFLSYPQPPKTPGGPIMYGKGPKDIAFVSFYTIVLSFTREFLMQRMIRPLAVWC GIRGKGKTARFMEQVYTAIYFGIFGPFGLYVMYRSDIWYFNTTAMFEGFPHREHEPFF KAFYLLEASYWAQQAIVLMLQLEKPRKDFKELVGHHIITLALIGLSYRFHFTYLGIGV YITHDISDFFLATSKTLNYLDSIITAPYFACFVGVWIYCRHYLNLKILWAILTEFRTV GPFELNWETQQYKCWISQYITFALLASLQAVNLFWLFLILRILANYLFNSVKKDERSD DEDEEEEEEQEKTQATLVTGAEQPTLTARNVTQENQAPQVLLNGEPVQGAEDKKDS ACHE_60222S MPDYTQGHTSEMMAAHQIRSTSDSCGYFTPLLTSRPTAHILDVG CGPGSITTDLATHVPEGQVIGVDFAKEAIQKASSRPSLPANCTFQVANVDDRLPFPDN SFDVVHAHQVLIHLSNPVHALAEMRRVCRSGGFVASRDGDWDTFTIFPESPSLKQYRD IHGTIVRSSGADPNAGRRLRYWAVQAGFSDDRITYSASPVLFTGPEKVRYWSTLQAER YTKGTFKEQVLSKGLATEEDVAAFGPAWLEWGEQPGAVYHISCGEVVCWKD ACHE_60223S MVIGNIYVISAVAVVGGALFGFDISSMSAILGTNSYKCYFNQGP RGPPFNDDAECSGPESLRQGGITAAMPAGSWLGALISGFLSDILGRRYAIMIGCIIWL IGSAISCASQNMGMLIAGRIINGLSVGIESAQVPVYISELSPPSKRGRLVGSQQWAIT WGILIMYYISFGCSYIGGKNSWDYSTASFRIPWGVQMVPAVFLFVGMLFLPESPRWLA RKDRWEECHSVLTLVHGKGDANSPLVITEMQEIQDLCEFERQNKDVTYFELFKPTMLN RTMIGMFTQIWSQLTGMNVMMYYINYVFQMAGYSGDANLIASSIQYIINVVMTIPALL WMDRWGRRPTFLIGSAFMMVWMYANAGIMASYGTPVPHDQRNTPEQSMSLTGAPAKGL IACTYLFVASYAVSFGPASWAYPPELYPLRVRGKAVSLSTSANWAFNTALGLFVPVAF ENISWKTYIIFGVFCTTMLIHIFFMFPETAGKTLEETERIFTDPNGIPYIGTPAWKTT KSTAVVAALERGDVEAALERKQVAAQHDEVVETEKSEKKG ACHE_60224S MTVKEAHGIMAQLQELEFPYAFAKARQIALLKAGGIPTMSKLFA VTGQNNRRNAGKRAVDTEILLREAQSKPRDSDRYASAVARMNYLHDRYRRANKITDND LLHTLGDGLAEILNVVDREEWRKLTDVEKCALGIFHKNLGEDMGIPFEPLPSKTKGWT DGLHFAVELRDWTVHYEEEVAKPTATNDQYVRVYVDSALSSLPSFIRRTARQMLGADL DNTMRTSLCLESPGPIISLVLSLVRASRKLFLRYLALPRPSFLAVKLVHDTPNPATHL YNFERKSLQPWYVQPTFWSKWGPGAFLVRAFGGKLPGSRGDRYEPQGYNLMTIGPESQ KNKGIEEMVSDMEVIKARGVATCPFSHAKAGEL ACHE_60225S MAGFVTAFANPLNYVGGVSFNPDRDIPDLSGKVLLVTGGNAGLG KETILQLAKHHPSKVFMGARSESKAAEAIKSIKSSIPDEVEIIWLPLDLASIKSIRNA AEQFNAQSHRLDILVLNAGVMSLPPGETEIGHEIQLGTNHTGHFLLTKLLLPALLRTA EEPDSDVRVVSLSSVGHNLAPSFDTIMNQERLKKINTNARYGASKAANILFAAELSRR YPSIKSVSVHPGIILTDLYHSINQRSSVIALGSKTLRFFGSSVSEGAYNELWAAAGAK KEDLVNGGYYIPVGHLKPCNKYTQSEEMGKHLWEWTEAELAKGDSS ACHE_60226A MLSLNISSYSNPSGYQVSDLPKPQLDNSKDVIVKVHAASINPID VKRADGALKLAMKDSFPYKIGYDCAGIVTEVGRDVNRFKAGDAVYIRLPEVSRGSCSE FVKCAEEYIGLKPPSLSFEEAASIPLAAMTALQALRKYHGSLAGKTVFVPAGLSGTGL FACQLAKNVFHAGKVITTVSTAKIPKLKELLGENTVDQIIDYTKSEPRDVIEHGSVDF LFDTVGLAMQYLCLMRPGFSRIISVATLPSGNQLQDSSLMDLPHRPTIPIPFRLGLNM LDQVRKLRARRYGVEYSYMFLASTGKDLDELRRYVEEGRLRTVVGTVVEFRDIESVRN ACDVVYSGKGGLGKLVIRVDKSENLS ACHE_60227S MSSQVILPSETIIQRYGSSLAGKTMTGISSESIAGELAIQLSAA APRLLILSARAEEKVTPIAEKIKFTTPDVKIRFLAMDLGDMSSIRQAADTLRDVPKID HLICVAGVMVPPYGTTKDGFETQFGVNYLANFLLVKLLLPKVRPAGAESSVIIVASSA VRSGKVEFGDVGFTEGRTYDPLVAYAQSNAARVMFVKALAERLGDQGIRTFSIDPGAV QSGLQRHFSSEFQEMVARLSNSGGLVDLDGKPIELPPWTTKSEGAATVITGMIDPTIQ EHNGAFLHNNAVADEELHSHIMDQNNWAKLWELSEQMIHESFAV ACHE_60228A MASQFLTENHDDTHEGDERKERRRVQNRLNQRASRKRKKLSRPP AQQWTIYIDQTRRTVHFCHLGLQARQTILRNLRDHINQAMATYTLSSELLLPVTQWNI IRAMSTNAITMGLNTALLAEDILSPFNTSSPTTTNLPPSLQPTDLQKRIIHHPWIDLF AVSSIRDALLRNLHLYSEDELCHDLFGSSGDCSQPTGLLIWGEPWDSFAYEISDEMLR KWGWLWSGCTEALQSTNYWRLQRGEETLETITMRAISLPN ACHE_60229S MKRPTTAKALAMSFGVAAAQDYNAPPPDLSTLPSLSLFDTWRPH IHVLPPAGQIGDPCAHYVDPETGLFHVGYLHNGTGIASVQTDDLVHYYDVNENGNYSI VAGGPNDPLAVFDGSVIPDGIDGEPTLLYTAVSSLPIHWTLPYTRGSEAQALAVTYDG GKNFTKLDIPPVIPEPPAGLDVTAFRDPYVFQNGKLDQALSSPEGTWYTAISGGVQDV GPGIFLYRNLSPDFEQWEYLGEWFNVPANSTWGNGDWSKVYGYNWETVNAFSLDREGY NYDGDTFVHFGVEGSFAPIQESVTSFHAMLWASGGVSATEDGNAAFNPDMVGVLDWGL SAYAGAGKVVPSTSQASTESGAPDRFLTYIWLTGDVFGGVVGFPAEQQGWQNTLLLPR ELYIREIPNVVNNDLVQEIGSWRVAGDSGNCVELETLGIDIARETYAAITGAPSFEEP DRTLSEESVVPFEQSPESRFFSLEARLSFPASARDSALQSGFQILASEEESTTIYYQF SNESIIIDRYNTSAAAETTTGIDASPESGRLRLFDIDDSCARSAGNDDKDKAHHDHGH GGKPKHNKAPLPHGKEGKRQVGESSGEHIEALDLTITVDNSVLEVYANGRFALSTYVR PWYADSTGIRFFHNGEGEVSFSNIRVADGLYDAYPERAR ACHE_60230S MANIEADHVEEISDETRTTSQVKGGSIGKSENCEDATPVSEYTH IAHPEPSPFESWIPGGYSQSRMLRFKNPSTMYRVLNLFAGTAICFYGYDQGVMSMVNL NPDYQKLMGIFPLEGSSRNTAAEGGIVAVYYGGTMIGALMAGYLADRCGRIKAIIFGC LWIILGAALQASAYNITWMCFGRVLAGIGVGSIDCVIPVWSAEVSSHSARGAFLALEF VMNIGGLAMAYWIEYFASLNPNESMAWRTPLALQLVFILIIGAGINFFPESPRWLMKM GRYEEARDILQATRSGDIEMEAKQIMQAVQYELKVSSANQYLTMIFPRDEYTRALRWR VFLAVWLQIMQELVGIGVITVYAVDLFSTAGFDENLSKLLAGFNNISYMFSVFFAVIT LDRYGRRQTMVWGAVVMGLTLLVAGILDMYAQEAGPNQRKFGAGVAAMTFCYTATFGA TWLTTPWLYPTEIFPLTVRAKGGAWSVVGWSIGNGVVTMITPFLFQAIGYGTLLLLCG LNVFVIPFLLVLYPETAGRSLEQMDVFFDNADSWNVFAASKAIREKGIDDWEWTKKVS ARGTTEG ACHE_60231S MQNLSFVLEKAQSLRFEDRPIPQLRDDHDVQVRVKFTGICGSDV HYWNCGEIGHFKVQQPMVLGHESSGIVEQVGAKVTTLKVGDRVTMEPGEPCRRCDVCK AGTYNLCPAMAFAATPPHNGTLAKYYCLPEDLCYKLPDRLSLEQGALVEPLSVAVHLV RQANVSPGASVVVFGAGPVGLLCCAVSKAFGAAKVIAVDIQANRLEFARKFAATSSFL PDNVSVQDNAARLGVENELGNGADVVIDASGAEASIKTGIHILRPGGTYVQGGMGRDE VSFPITAVCTKQLNVKGGFRYATGDYKLAIELLATQKVKALDLVTDIVKFEDAQRAFQ QVKDGNSIKTLIAGVED ACHE_60232S MQIKTGYYIGIDVGTGSARACIIDHNGDIVGLASENIGLWQPEQ GYYEQSTSDIWRCICSVVRRALDEHDISPSLVHGIGFDATCSLCVFSTETDEPVSVTG PSFNTDRNVILWLDHRAVKETNIINTTGHRVLRYVGGKMSLEMEIPKILWLKNNMPEE TFAKCQFYDLVDALTHLATGGNARSFSSMVCKQGYLPKGVEGSVKGWQEDFLREIGLA DLAGQGFDRIGGVNGENGRHLNAGEFSGTLCEKAASELGLRPGVAIGSGVIDAYAGWI GTIGAKVDMKAEWGSNTSSDPTLDGKTEAFTRLAVVAGTSSCHIAMSPDPIFVPGVWG PYRDTIFPGCWMAEGGQSATGQLLKHVLETHPAFGQALASAESRKMNIFEFLNSRLTE LAAERALRCVADLARYFFFYGDLFGNRSPLADSNMTGSLVGLSSDTSIESLAVHYYGT LEFIALQTKQIVETMNNSGHKISSIFMSGSQCQNDILVNLIASACNMPVVVPRYIHAA VCHGAAMLGVKAASVNSEGMTVDLWEVIDAKSKPGEVSYPTEDEHERALLAAKYRVFL DQCQRQREYRAIVDTAINF ACHE_60233S MMACRRIGLLRYSVIGAQCHFFAGVYLMYTFRPLSAWNHFYQAS TFYRLRLRLIDGLDKSAYEAEQQGASAAQRLEQSLYWSCFKSEVEIRVELPLPQSAIA EYEYPALFPTPPTLSEEYPKQRDRTSDWTSSEPAARSPDGNPPRLSGMGVSNHITRLF NEEQSWYYYLTEVALRRIGNRVLNSFYRDDPSTWSNIKPLIPIALEFESQIDAWLANL PPAMQSYDNDQSLGNARQGSSMLDTQESISMELSWALSNRFLEIRLWLYQPFLFFAVH HPTGTASTATDTMPSPLSSEGFATVQGLVQSGLDCSMKILQARCLRHRHHGIWFDLRA LVTASLIFIALARSGNVNLPNIHPRGLRDHLNRTLEALTYWEDEAPDIKKARGVLDNL LREMS ACHE_60234A MEHFDVAVVGLGVLGSAAAYHAARMGKKVIAFEQFELGHVHGAS HDTSRIVRTANWEPEYVALARSAYRDWAELEKDTGQKLLTITGGLVFLPRNAPTPCGN LIKTLDAENVPYKLLNSQEVKRRWPQFNIPDTVDAVYTSDTGIVHASKSVAAMQYLAR ANGAVLKEYTPVDRVTPKASGAGITIQTTKGNFHAAKVILATDAWTNKLLAPLKVHIP LSVMQEQVTYFKPGNTSAFEPGCFPVWIWGGDPAFYGFPSYGEPTIKAGRDTSNNVMA PEQRTYVQSPQLLEQLSSFMKNFIPDKERHPLRTVTCQYSITPGRQLIISPLENNRDI VVGLGAAHAFKFAPAFGRALAELAINGRTKEDISKFGIPKADKSNSKL ACHE_60235S MMNSRPYAQSPKSPISVVDTTTDIVNGQGDDSPSDLLMHEPSLR DLGHLYLTWCHNQPLAMFNKDTFAESLPERDHELILALQALAFRFPPGSLTPQRCEQL GSMAKEARYIAINRVTDGQVGPPDRVLAVNGT ACHE_60236A MINWFQSKVTPKSQQDDGSQTIKSLPASWYRSSAMYELERRAIF SRRWLLVSHRARFAVPGDYVRITEAGFTFFLIKDRQGQIKAHHNVCRHRAYPLIENER GHLNIIACKYHGWSYGLDGNLAKAPKYQDAPAFDKSINGLFRIHVHVDNMGFIWVNLD SKHAPEVLWEQDFASVDLQPRLQHFNMDKYRFDHQWEMIGEYNWKTLADNYNECYHCP TGHPALNSLTDISKYWVETAGGHIQHYNVDKPDREGMGIYSTYYYPNASMTISPIFFY IMRVIPISANQTKMEYEFYRHEEATDEEFAEITSCFRQILSEDKDLCTGAQKNLNSGI FLNGELHPQAEKGPLFFQALTRDIVTSHHEQEEQQGAAIWPATPKQMTGEQTKADMDF CNSLQCAGDDVDRGLLAW ACHE_60237S MASPTALSHTQPILIIGAGVFGLSTALELSSRGYKNITVLDRYP PPVPDGSSVDISRIIRTEYADSVYSQMAQEAIHGWKTKFKDYYHHSGFVMLSETTQNP YIEKTLEITRAQGKSLDEFVDGNGLKEMYPDIRADYRTLRAYHNSEGGWADAEGSVRC LSHQCSQAGVSFITGKRGTVRSLRKTGSRVLGVTVLSGEFLLASQVILCTGAWSNLLL DLSHAASASGQPVGFIQLSPDEARSIQKIPVMINLSSGIFLFPPQPGTNILKVARHSY GFATSMRAMEDGRTVSSPKRDSNNAVRSFLPDEADKALRDGLRQLLPEFSDHPWSNRR LCWYTDTPEGDFVVDYHPMLEGLFIATGGAGHAFKFLPILGRYVADRFEHRVSDAIRQ KWRLRSAEGEGNVKTGDGSRAGPSLRTLTPEEQSKL ACHE_60238S METEKKSELTHDTPSLLEGEISHQASPPSNNVSLIQDAIEAIGM GRYQWQLMASCGFGFIADQMLLVSISLVMPQASKEFAPRYATLLPATQYAGLGVGAIA FGFLADLAGRRLTWQISIFGVSIFTAAGAASPTWAALNVFVVLAAFFGGGNLAIDLTV LAECLPRRWGFLLSSLACLWGLGNAITGLIAWPLVANFCCPQGATSVTCSKVDNMGWR YLYIIIGVLCLVMSILRSFALGMTESPKWLASQGKRDEAVAAVNTISRVNKSTYAMSS FQLHTHQAGSPKHLKRVPSMVANLFQGNKQARSMICLIIIWLLVGIAYPVYTVFLTYY LEAHGAQLGDGSLYQTYRDWSISSVVGIVGPILSAYLVQVPLLGRRRTITLMACGCSI CAGAFTTVKNEAQNLALSCMINFFLNAMYGVIYG ACHE_60239A MTETEEAPVLSFKERLAKFNQPEEPLVPRPRPQPASRPPPVHSK TVNNPPSNVNGSVADRSIGNQPAPQTPKLNIENNYGNGQNGVNGNGVKKAKPPPPPLP SRRPSTQQLQPQAPAPPDLPRRPSSLQRGVSRDSVTSDVSSLSAVSARTAPPLPSRRG TSPRPPPPPPRPQSQSNGTTNGNEGQKPPLPHRPASNASTLSNRSRSPAPTLPPRLPP RTPTREQEPAAQQQNGTGDVRPPTRRLPPPSRTIDARLLGFGGSKKSNGNTAIGHSTV QGHPSPNGIPPPVPRDSRPDLSKIEASKPRITASPSPAVNQTTTCLKCRDFSAPDEHA AQFPRQSLPTHDLSWLANALTAPFPSPTDKARAIFTWLHHNVEYDVYALYNNCVKPST PQSTLATGLAVCEGYAGLFAALATHAGLEVIVVGGHGKGTGYADPAPGSALPPYNPSG HAWNAVRIDNGHWKLIDACWGAGAVNGGGQPYIKVFSPSHFTKTNDEFGQSHYPSNRD HFFRDDGRSSISWEEYLLHVPKQPLIFTDAHKHNLDPSSFYPATNQISVNNTPSPLRF QFNLICPHWTFEHHSKAQPGLFLLQIHGIDGRKEERLPFNHVRGSTPGGGGDVWYVDI PDARMLGAPGQKLQIAVLTSFGDRTDARGVTVEEFKALNGRVGMAWAYVCQWDLM ACHE_60240A MTTAHQTLQDPLVINGIQQLFTWILLVATPNRSWLRFVNMSALT AWMFWVYSSENLLAGTEYDENVLYRTLQGCVPLPLVMRTAAALFPPKGPSSGLLDMAT ATRLTIDPRAVSTPWQVRGIPSFPSYYKSRPPARVPFMVRQTVITLWQCLCLDLVFSQ FRAWTSQRAFPASPRELGPWDLPPGPWATSGASMLVIALIGRLGFDISYRLVSVVYAG LGVPTENFPPLFGSIWDAYSLRNLWGNCWHQFMRLPFTATSNAITRHALRLPRSSMLG RCLTITIVFFLSGLMHFLGAQAANIPFSGAMHFFGLSGLGLLIEITVQGLWDYFALPR PQGIWCKAIGFIWVGLCFSLVAPWYSPEWEYLFSVGAESAWFLGAFTGNVGLPVAGVV VALSGIIVHKIFETSW ACHE_60241A MDAPYLEPNVAEPQKQPAEVLEDTATTVHHHSNNGYSSDSRAHY SSYTTPLYDADQQSRFNQSPTLSTYQPQLPPSSSRPSSGLSSGAERHGYSQQSQEVQK QSSQAASKNSVVIKVGMVGDAQIGKTSLMVKYVEGSWDEDYIQTLGVNFMEKTISIRN TEITFSIWDLGGQREFVNMLPLVCNDAVAILFMFDLTRKSTLNSIKEWYRQGRGFNKT AIPFLVGTKYDHFVNFPREDQEEISLQAKRFAKAMKASLIFSSTSHSINVQKIFKIVL SKAFDLKCTIPEIENVGEPLLLYKNV ACHE_60242S MSKRAADAVEEHPTSLKAGERPVADAPPDEIGEFEDEFEDEFES EDEILEAGVDGRPDAEREEEEKDGMEVDQEAFIPGRTKLGPDEILSPDPSTYDMLHSM STPWPCLSFDIVRDSLGDNRKTYPATVYAVTGTQADTGRAKDNELMVLKMSSLSKMEK DGENDSDSESDDDDMGEPILESKSIPLGSTANRIRAHQTPSSSPDKPPQTLTATMLEN AQVVIHDVTPQLTSFDVPGTILPPSASKPLSTLRMHKSEGYALDWSPLQPLGKLLTGD NDGLIYATTRTEGGGWVTDTRPFTAHTSSVEELQWSPNEKNVFASASSDGSVKVWDVR SKSRKPAVDVHVSNTDINVMSWSNQTFHLLATGADDGQWAVWDLRHWKPGTGSGSGGQ LRPSPVASFDFHREPITSIEWHPTDDSVVAVGSADNTVTLWDLAVELDDEESQRTAAP EVPSQLLFVHYMESVKELHWQAQMPGTVMATGSNGFGVFKTISV ACHE_60243S MLLDSMVQDPNTSPKMEDLASISEVPAVTSRDTTKKTESNTQTN ANIPPPKTDKPRPHVCTTCGRSFARLEHLKRHERSHTKEKPFECPDCARCFARRDLLL RHQQKLHMTSTPSSRPRNGRRESTGAAGVATGAASGANRVRKNSIASNPSNVRPRANT ISHIDQAALGIVGTTAPSPVAPHHPGHAYHPSLSSTSLGSPLDYRPFGTGQHHPPSHI NGLTKLETSGLPMDFAGGLRTAPVYGSFDANFSDMLMGHGSTINPAQLHFGGSPQGFD ESPSPFTQGAHHMQQPTDPMMDDEFSFDWMMNGVDPSLPMGNNGADSAVDESSPSAMS TGSQSGISEAMMDGPHRLSLSSSAWHNPFTHASAPAANPFAFEYPALNDLGIPPETVS PKSLMAQNNPFAETYATPPSMTSVGQPMLGGHSQSMFPSSMATSGESPNPFHLPFANS ALRNNRSSTSTDTFTDSTRQALLASMARPSALHQRKYSQPILPSRDISSRSMSGFNST GQLPSTSDMQRYISSYITYFHPHAPFLHIPTLNFQAPEYTNSLRTPSGHLNLSSTGVA GGGGCLILSMAAIGALYEFDTAASKDLFEAAKKMIQLYLEERRKADMSAALNRSHPAR ENSVHNTPLWLVQAMLLNVWYGHTCGDKTSADIASTHCAALVSLARAAELTHHLEPHQ LPQDHLTPDRNGGGESFRASSGPPKERKEWLDWKIVEERKRTLYTIFVLSSFVVSAYN HAPALTNSEIQLNLPCEEDVWSAESPQAWKKLGGAQAAKKHLPFSTALTSLLTASQRE QSGLLANSLADDAKPSTFGCLVLIYALHNYIWETRQRHLGRQWTTRETDAMQAHIEPA LRAWQSAWASNPVHSLERPNPYGAGPLSADSIPLLDLAYVRLFVNLGRCKEAFWQRDW NAISDELARGTAIFNHVDEITPDVLDPSITNSEGSDSMHQRRDSVADLGVGDLSISTT PTQETPMQTLAGVYRPGQSKREKLLRKAAFYAADSISMSNRLGNTYAEFTSRDLPIQC AMCLFDCSQVLAEWVTTVQERVGPYMGILGRDEVDTTQVPGILLLEDEDCKLIDKVKE ILSTVEAKMQINIQNSTTVSALTALQRLPSVVEGGYGSKVLIAASSLLDRAGVWPVTK LMARSLEAQALRLKERAEASSVMGSS ACHE_60244A MDLQSPSVLAQLPRPLHASTGKTHIGDVYSLADSKKRKRYEVVV AVDGEAVNIYNVQTPKLVTSYAVPPQSTFSCRPCSVRRKVPGRSTVKRQTFGAVNRPE QQIKSFVEETGGNESSAPEISSSSFTVTDSQSPTVFVGIVPTGSKADDENDPFDILSV HQDGRIRRLTSDLKTQRWNVQHSEIAKTASTHEVHSCFLVEFEDAKKALFKRRQDLAA LALSDLTSSGVDEPSVLLLVSHPTGSERVALKDVKVQMFSVPSSVSSGEALDESQRLR HLLTIDIPDVTDQETFDSSGLQWNFHSGSAGLNLSFERGFINFDLSQYTPTVTSQFIL DNERFSSVMRISPQSVIGAGQSLVALYDTQYQSVQRSIAVDNVPPTSGSAPTLFLGYF AKLGIAVATKGNTLLAFDLSTSQPPSGPSLKRPRDGLLIDAIGRGIGSSTAQWDAGSK KHRTEFMATLGLTSSEQVERWNKFTAELNKFTQGKDTTGFDRAVQDYFGTGDSKTLPS PGQFVHPETTLFLLSKIFSIKETETKDKLSASSSLRLTVDLWPKQTCDWLVRLGQLSL SNIEIALRRSFKPRILPSLPTGSFVQALIDADNSLRNFIQVIRGPILMNPDELAYALK HFLNMARSRSSVPAITDPTTETNDNNDNTPPLATLFLGLNTTIQKLHSHPAPATTSAL RSALARPELTSLIHHLRICLATGGFTSRFTEKPPVPILTDQTRPALSLTTITDTLIAA VDAIGPSGWIAAAGSLSLSADGNPTGEEQSLIADIKSEVSAALAGVQEATYMKGVLRE YLRFTETITTSKPSKQNNANKSTALLPADDATNPQNTEDDSSASLIRHEKINGADLLV YTAAGADGTGEDGYIDGDAGANRMLPLSLKAASADVGKTKVKQSTGEVKNRSHREMGY LRRKAVGKYSFERLIV ACHE_60245S MAMEQGEKRTIHPFFCKDFGVQAKAAPQSTSVADSVPSHDTSNG AVHHDTVNEDCEQPAGNSESSNIPTSPDITLEDDTNSNRRKRRRTDQHVVSSIANGTP LNPEEGNTTASNPVTKHDDATLVSTIKSQIPTTDNTDSPKPQPPTIENPTPTGPANDA TVQTEERRYPERQRTLRLNPNGKLLSSPTGKQPEEKEPKKKDKSKKGSRKPKKDGNKL VIIKYASHENAREKIGKIIDDIINARTRYQSQRVASKPASVPTPKQTPRATVNQPPKP THPFFSKNSTRKPDTPTQSHSENSTTLNPLAETPRRPLSLTREGSGVISAPSFRPRVS KFPEPIHPLWPPRDFVHVRGAGAGTNPYRDSLYSNADQRKAKGVSVRIHDKENILLSG PFGQSVKKPMLRALRLPTRFVASGNVLRKALTSQLSEHSSRHKNGDTPIKKDAHPGIS RLYSSLATFLTAFDRGQCDTCLWTQKYAPNSAEEVLQTGKEAHMLRDWLKFLIISAVD TGKPSKDTEKAKQKSEERRRAKKRQKKDKLDGFIVSSEDEASEMGELESEEDELAGDV TVFSSKRTVIRSGDLMAGSKGSERGRMTNAILLSGPAGSGKTASVHAVAKELGFEVFE INPGSRRNGRDVVERVGDMTRNHIVHKMHFADEGSSQLPEASTQGEEQEGGKQNKLLS FFKSRPADTKTKKETPSTPKEAEPEADAKRSQKQSLILLEEADILFEEDRQFWSGVMS LIQQSRRPIIITCNNEKLIPSEDISFHAILRYRPPPQDLAVDYLLLMAANEGHMLQRN SVSDLYRAAGSDLRKSIMDLNFWCQMAIGSKKSGLDWMMDRWPPGRDCDENGDTLRVL SLNTYQQYMGWFGRDMLLDGTMDSEVESQRESLDWWERSIQDSENMAFSSSHTLSPAK SKHEQLEQLQYESEYADMRSALDLLCTGCSVDTRLDAVDPSVPPIPERHKANYIEGHQ LLHADLKPEYSSLALAMGSTMEILIGKTFRPHNVDVESSQAAQVLANTNDIHAAHASA AQLTTAFEPMMRGDDQSSPSARLAPSFHSGPGALWEDLAPYVRAIVAFDLRLEQYRFK LSGFLSPSSGSKRKMRKTRASRAALEGGDKAHTRRERWFPPDTNPARILATGSKEWQD LLVHSGHFIVRAMPEPGKESSREASREPSREGSEPASESSGEGGI ACHE_60246S MSLFGSSPENSTPNSAQRSKASLFADDPLSSSGLGTTGAATSSL FADDNDTSSPWTTNTNKRASRTELVKTLLPDTDVPESYIDAYDRVLNVGDRVGTGIGL TSVREVLAGSGLSASEQARILNLVVSGELDSGSSNIGVGRGEFSVLLALVGLAQEGEE LTFDAVDDRRKNLPEPKSTYLNGLRPNQDSDGTRDQPEQERPTTPPQPSNPLQEPSSI QSRRSNRESLGAFEADPWAAPELHRDHGHSRIDNEQSILNGFGNNQSTPNSWSDARAF EEAYRQTISNGDRLNGSSPAPAPSSSESGWGGSLPRDTGFGGLGPPATSNPGEISNRR RSLGAGRITTSPVEETITVTLLPEKEGLFMFQHRNYEVKSARRGSTVVRRYSDFVWLL DCLQKRYPFRQLPLLPPKRISVNGTHLAADSNSFLEKRRRGLIRFTNALVRHPVLSQE QLVVMFLTVPTELSVWRKQATVSVQDEFTGRVLPPDLEDSLPPTLTETLDTARNGIKR SAEIYINLCMLLERLAKRNEGLAADHLRFSLTLQSLTEATKDTYILDSSDVPLLNDGI RSTAKHLSNSQTLLEDEARAWEDGVLEDLKQQRDCLVSMRELFDRRDRYARNNIPQLE RRIEVNERKLQDLRARPTGTVKPGEIERVEESIFKDKESIVQQHARGVFIRQCLREEL EHFQRSQYHISRLHQDWSQERVKYSELQADNWRSLSDQVDGMPDGV ACHE_60247S MAWDHLDIDKPHLAYMILGGFTGLFMLCSLFVKEKLYIGEATVA TICGIIFGPHAANLFNPLEWGNVDKITLECSRIVLVVQCFAVGVELPKAYMERHWKSV FLLLVPVMTWGWLITSLFIWWMVPPLNWLESLLCAACVTATDPVLASSVVGKGKFAKR VPKHLRDLLSAESGCNDGMAFPFIYLSFYILRYRPDSDKVALEWFCTTILYECVAGAI FGFLIGYFARHSIKFAERKGLIDRESFLVFYFVLAVFCAGSGSLLGMDDLLIGFAAGV GFSNDGWFTEKTEESHVSNVIDLLLNLAFFVYFGSVIPWEDYNAPDLGLTPWRLVVIA LLVIFFRRIPIMLVLKPVIPDVKTWREALFAGHFGPIGVGAVFACILARAELETDSTQ PLPASDLSRIGELEHPEWNAVIKLIWPITTFIIISSILVHGSSIAVFTLGKRINTMTI TLSYTQANEEGPSWMNRLPRVQSLAKGSMSFRKPEEMDESSSDQYPPGTLPPIGVPGN FLRRVKAEDKDESPGPKPTRRRRRRHRDSSVGGPISQSAIAPQRRPDQEEDKEEMEER DRIEREGSPPSRERERFGREPAMEVYLEGTKMIMEDEEGNVLRTEDVGHMSREDQQSH MEQERQKLEQDLSGKIAKSKEQQHAKTEGEDVQHAVGEKTGHPLQKARQTLGRWVGLG KDRAQGPEPSADEEEDEAIIEAKKKQKKAADEKKKEKGRSAHAYQFGNTIIVEDEDGE VIKKYSIPSATTDEPDAPKPVRRGLTRMGTWFGKEDQKPESSKNAQENKKAKEDEWLA DDGLRFTVAENDDVEDKGVNHKGRRMNKHEFIKQIQNLGPKARHDMVKESDAPGQVKD MAEDQLHAANRRESRKSQTFEAQAQDMKKAQEAAAQKKDDGESVSTSDLEDESSDADV PVPGDNVAASLARFTRGSSAQERRDQLGGEQPSSRPRTRRDSEDDGTERIPPAQLREA AGLSPSPRSKPTAADVDDTGETPAERRRRLAALGEINDDSDSDSVAVDEDDASDSEEN GERKIQFADGTKTGSESGNGGSTSNGESSRHRPTISWGGEKGREGQS ACHE_60248S MKSSDLVSRYTQTLHQKVYDYSRQGKPVLTLGGNHSIAIGTLTG VARAIRERVNGKGTAVVYVDAHADIKAFDMHEVRKMGIQKIMDMVLEYIGDNTPIHLS YDIDSLDPKWAPSTISRLTGGWIWLRGLTLRVAFMKMVVLLLWIWLRLIRALRRGLRG ACHE_60249S MLQASIDGLRRENERLRGLLGYVDASDGGRGAEKIATAATETGA GAGTRYDAGSSEEVSQTRTISMSDIHPRTGLGGNGAELSPGTPGAALSQSSKIMPPGL VGNQSYYSGTFESLQWTTSIPPSPSSMLCGISTDLANPNLNDYLPKTPGGSTFQLDWL QWLSTTPADIPSGDTVKGTDTTLSTAAFGLMRYNKRNSSIG ACHE_60250A MKNAQNANLANTSFLESRITSVPLPDSTVDCIISNCVINLVPAS DKPSVFREIFRLLKSGGRVAVSDILARKPLPESISGDMALYVGCVAGASLVREYEGWL AEAGFKDVLILDAKSNLNVYKALEPGSCCGSSGCSTSTKVDSSKIDFNEWAGSFQVYA IKP ACHE_60251A MPDIYTLVQSHYGEIAKRRTTSPTTQQTKEENIAKSFGYTADDL ASLPDKTNLGLSCGNPVGLANVKEGETILDLGSGAGIDVFLAAKKVGEKGRAIGIDMS RVHTSTPSPSHLAPCTS ACHE_60252S MVGLEAVNGAELFLQHVLSCDVVEKLAANEDSCQEETVEMTVNM DREQGVVVRVA ACHE_60253A MSVKLQDTIQEAVQEKIQDSLQDQSVRQETKELAHLVGERLTGG PTQIGYLALYLRQLQSNPLRTKMLTSGVLSALQEFLASWIAQDVSKKGHYFSHRVPKM SLYGMFVSAPLGHFLVGIMQKVFAGRTSLKAKILQIIFSNLLVAPIQNVVYLASMGVI AGARNIHQVRATVRAGIMPVMKVSWVTSPLALAFAQKFLPEHTWVPFFNLVGFVIGTY VNTHTKKKRLDALRKRHERHDRRNGSEYDGRRGPGSEYDRSDRDYR ACHE_60254S MAQRRYSNPVRYYSVPPTAIATEPNTLMTTVATSNHSPTLPTIT SPRPSPPRQPQRTFILNHPPLGEAERRCPHDDLTPIEGMSEMFHCDECWHKPELGWLW TCTQDVVGEDGLIDPKKCALLEQKAYMSVLSGAHDEDNVKIMIKQRLALLSREEFRSP QNMEQGYAAVGQSQDRRPKDEASTPERQKHVRQKSQRVRFAEENSYRSPSPSVYRDEE EDKSVEVHHNTVPEQQSVASDEDNHAEYVAWLNMITNMRNETKFGQLRPEVNTSQSPA NVGSNGWAQSIQGVHAQQQQSSDNNAQLQTNGSQPQAIDRSYITRLRREMHSLDYHEA IADMHKTSRVSPQQLRARHQPEVSRSKSFRSHFQRSHQGSHSRDDENQIAAPVPVRPA SHDYSRPHQSPDHHTGRHEQLGSHYRGVQSPPETFRGRALSRSNSHPARQPPGQNIRR VPFVLNIRDPEENQNSTVQVAARAIGYIPKERLSPEYHSPPPAAHEQPSYRTTKPLPP SPPPPPPPRRPRFLFPCGYKICSTCRGVRINEMLPATRYNPEEESARPSAVSEWTQQF DIQEQPMFFRPDFEDLSSGLMAKDGILIRDVQYFLARDNDPDIRGLIASHIVKLGEPS PAPADENLASRMECLAAESLSGGSDNSTDESVDAVGQKII ACHE_60255S MADNEKPPAEPTEQAEQQPDDSGKASPAPSQCQMQATLQATDRL VGRIDRLISTAAGEERAFAAISYFSHALYHFLGSAPGIAIQTRLGLLARLRNHSKTAL TTNPPTPPTQSSPSRLLALSSLFSETRYTLRLFGLVPLWTWGSQTLKSPPSDRLIYSL TLLQIIANVLYQALENIGYLASKNIVSKRFVDRWGGIDKFYLWSTRAWFGHIVLQFVV LWRQHVLRKAKAALMSQEKEEVLAGEVRAWKKSLVNNLFWAPLALHWSFEKGIGVPGS VTGAGGFMAGAWGFWDLWASTLG ACHE_60256A MEYKPWYPSDYAQALNNILTEDETTTLLNQPGASPRFVYDPLML PTVLKYFTDMPQTTPIARNMTQATLFGYQLYRFSDPGMPVIAPSPDPQAAVEGLLVFG LNEQQRNAIYELEAGLMKLVSVQVDIQQRVPGERHVCNVRAVEAGAFCWAHEGGSLEG LVPIEGAAWSLDEFLVGSFYEHIARSQCKGMVGVEGALSRSSGKRRSKFYTGQVEMLE CIEEDHGEWLL ACHE_60257S MTSEPDYIHDKKRVNLTDPSGAEHKEEDVSTAILKKKKKPNSLI VTDAVNDDNSVIALSNNTMDTLQLFRGDTVLVKGKMRKDTVLIVLADDDLDDGSARIN RVVRHNLRVKHGDMITVHPCPDIKYAKRIAVLPIADTVEGLTGSLFDVYLAPYFREAY RPVRQGDLFTVRGGMRQVEFKVVEVDPPEYGIVAQDTVIHCEGEPIQREDEEGNLNEV GYDDIGGCRKQMAQIRELVELPLRHPLLFKSIGIKPPRGILMYGPPGTGKTLMARAVA NETGAFFFLINGPEIMSKMAGESESNLRKAFEEAEKNSPAIIFIDEIDSIAPKREKTN GEVERRVVSQLLTLMDGMKARSNVVVMAATNRPNSIDPALRRFGRFDREVDIGIPDPT GRLEIMSIHTKNMKLGEDVDLETIAAETHGYVGSDLASLCSEAAMQQIREKMDLIDLD EDTIDAEVLDSLGVTMENFRYALGVSNPSALREVAVVEVPNVRWEDIGGLEEVKRELI ESVQYPVDHPEKFHKFGLSPSRGVLFYGPPGTGKTMLAKAVANECAANFISVKGPELL SMWFGESESNIRDIFDKARAAAPCVVFLDELDSIAKSRGGSVGDAGGASDRVVNQLLT EMDGMTSKKNVFVIGATNRPEQLDAALVRPGRLDTLVYVPLPDQASRESIIRAQLRKT PVAPDVDIDFIASKTHGFSGADIGFVTQRAVKLAIKQSIAIDIERTKQREAAGEDVNM DEVEEEDPVPELTRAHFEEAMKSARKSVSDVEIRRYEAFAQSLKNSGGSSFFRFPSAG EVGENDTFGEAGNDDSLYD ACHE_60258A MPSSPAPPNPNPSSSTTTTTSSDSLETDLLAHLASTSALDDIHT NLLCYLQRMGWTEKIRTLSLELLRAGRCERFDEVVDAVIASAECRAHPAFDLSGANGA GRNGNNQNGRSANGSGNSNGNGMVLSADAEAYLENVDVRIPAVVVEHGVRMVKEVLKD VVVFEDGSALDDTPDTGKGGHAGASGKRLDKEDSGDSSGKGKNGDASPVKKTGKKPNP GKNVK ACHE_60259S MNPATMSLQATVYHDSDADDEFTHPATDSEADHSDSEALSEEHT PTSFANKLSDDVRAPDTIITEWSAEECARFLAALGLRQYCDTFLENEIVGEALIALKH DELKEMGIASVGHRLTILKSVYETKVKQEVPLDPDHYIPLSADQSLNENATQEDIARL IQSIRLRDEKIVSVESDLRRVAEEYRRLREDLLPVFKMAKDRSQPLPPGGMDNHHESQ PLTSPGFSFERPGTGISRTFSKRVYTGGTTPKNNSPTHIPPSILEGRAYDNSALDPSS AATHMSTMNGQLSPGIPSPTSPGAHYSTLASRAYKPNGRSGHDHAEDTPVPPPSRSDR LNPTPVSSRDTPSRSESRAGHDPPSVEIFKSFRVSMDDPCHKVLPAALRKYNIQADWR QYALYIVYGDQERCLGLEERPLILFKQLEKEGRKPMFMLRKQLNPIDNGVFPGGSAPN SAGFEGKQAQINLPGGVL ACHE_60260S MNPSLPFTKVSKLGLNFPSRKIQKKTIVPLSAIPVNFNHQSIRG LESGIAIRRIKPSTLGQKRVANPQILRTHFLHVTSESAQCSTTYVMPNHSFHVLLLGP SLNR ACHE_60261A MPPYSGVQKQLITQFMAFTQAKDSVAAKFLKASRWNVQEAIDAY LDDPQAGSTGASAAISKVFDSYRDDPQENPDGIGIEGAMKFFGDIQVQLDEVACLGIA ELLKSPSMGEFTREGFVTGWRSTGCDNVKKMINHAQEIRNRIPTDPDLFRRVYRFTFP LCRLQGQRNLQFEIASEQWRLFFTPDNGGTTWNTKTTPWLDWWIEFLEERGKRPINKD LWEQLEVFMRKTKEDEEFSWWSADGAWPGAIDDFVSYVQAKRGKGSEMEVE ACHE_60262A MRQLTEDETKTLFSKLANYTGRSLNALVTSVDDPSSNDRYVFRL HGSRVYYMRLSLANLATSIPRANLLSLGICVGKFTKTGKFRIHVTALEVIAPHARYKV WIKQNGEMPFMYGGNVVKAHIGRWSEDCPEHQGVVVFNMDDTPLGFGVTARSTAETRK LDPTAITVFRQADIGEYLREEDTLFTT ACHE_60263S MPVGHSSKRRRLSPPGDEIVNPSRSYHDEEWDAEQDYELRPRKL GKKEKEQTRLPIKTDEGLQRVEEPEQGPEDSDSFLGTDDDEDEDGEGQWDGMSEDEEE EKEEAPKIPLKVQIVQAKEELAKLATLINEDPEEHIGSFKTMAEMVEKGSHVTIKKLA LAAQVAVYKDVIPGYRIRALGDEDNSTKLSKEVRKIRKFEQTLLNGYKNFVQTLQELT RPTKKDQEAVDPTLKSVAITCACNLLLAVPHFNFRNELLKIIVNRLAKRQIDADFDKC RETLEDVFHKDEDGIVSLEAVRLLSKMMKAKEYRIHDVVLDTFLHLRLLSEFSSKASR DRVDKPENDAPQGKKKHKKEFRTKRERKVEKERKVVEKDMKEADALVSHEAREKNQAE TLKLVFGNYFRILKLRIPSLMGPVLEGLAKYAHLINQDFFGDLLEALKDLIGHADRSE DEGPENEEQENPDEPPTKARDSYREALLCTVTAFALLSGQDASKAAATLHLDLSFFIK HLYRSLYTYSTNPDIEYNPTKSLRLPDPDSHEANAENDSHRRSKNKVNFQTPTVLLLR CLQSTLISRAHGNPPPIRLASFTKRLMTTSLQVPEKSAIATLALLNQVTKHNARRVSA LWHSEERKGDGVFNPFATDIEATNVFAGNVWEGELLRLHYCPQVRDAAVGIEKMVMQK ACHE_60264A MSPRSGNAWAVMKAREKKKQQQERQQQQPTDSAKASTSTSHKPP ILDYPSSITSRESSFSSSHDAKLDQVLASHHAQDQDQVFSSSFVSTTTEADSPREKGQ RVENETKHSRVAALRTKFSLRDIGEVRKGQGVSDLSRDERPYSGTTTLQNSFDEDVLM PEKPDPGVYLQSAPGSQMSLGKNLDLAAQLPTQDDESLERIRKQKAKQKNSTEDDATD QIDAMILEAAEAPAARKGQYLNSGQAEVVKTQTSAQSLRAERAEFTPEPSPGVHGGNV SRLELSSPLPPPSLCQLKPSNSQDTSKIIPNTTTHGGSAPSPPDPTYNNTITLDQQLH SHVQALHHHLNSVVNRLTKTFESSNNWTMDQILRNVEVLSDTARVMNARSAGLSETVA GVQRGVRELGERDEVLKGEVRGAEERLMGVLREEVGRLRVDLGLDAFPMPPTALGVPE MTGARQLRPKEQDMSGGGHNKGTDSLTEDGMIQVKPDAPLPSTPVRKKETNPPRSGSS ARKNRAQESSGSPEPRPTVDRTFSLDAVSENSQSTLQPLQKQKTEGSVPSEEGTKTPH RKGMFGFRRRRDTGDNQSSSFSSSKFLRTPRRNKDKNNDKDKPSKKVSSEGLKKAEPV SDSTIAPPSTPPVPKVPANLAQPQPQQQPSHLQPPTKAENMSPSAIHPALRNPRQQQI MREREQRLHQQQTRLQQLNYNYRTNQLPLSSTSAALIPQRSLRGSRSHQGFRSKVSAV PSSASASLFNDSGFGARRSPSLSMRMDSDMGYQWIPGLPPPGYMSTARYISPSGSCLP EPPRFGARGGLQGHGHGHGRGHGHGRPLGSREGSSSSVVPRRGRGASPMGSTRGSAEG ACHE_60265A MAAKKENVTIALHRSSDSIPLYLAGTFTNPQWQPVKLTVKRPPQ SEDWIYSRKMKLSPGQYQYRFCEGEGEDAVWFHDEGAKQVSGDNGIVNVLNVGDLAAE EEPMTNGEKSVSEPEVQRNGSNRPNGTEAEPVEETKPVENGHSEEVPEVPAEEVPTTN GTNGVHTEEAASEEPVTETVESTTNGTEAPAAEEPAQEPAVEPVQEPSTNGTIEAPTA EEPAAEEPAEKAIAEEKPATEAPAAELAIEEPAQEPIESATSVEAPTKEETPAVEEPA AATEAAAEPETAQEPAAAEVEEPVKEADDVKVETVEEPSTEAKEEPLQAPVAEEPVKE APVEETPAEEPAAKVEEPAAEEPTTETEAPAESVEETKEDEPTPAPEEKPVAEEPVEA EPTKEGSTEPVAEAPAAKEPVQERKPEEPVAKESAPAAEEAAEPVKEEAVAEEPAAEA PVEEKQDDPVVEAVKEEPVAEAPVEEKQEPVAEPVVEPATQEPAAEASVEEKQETAEP VAEVTAEAPAEEKQELVAEPAVEAVKEEPVTEAAEEPTPAAPVEETPKPTAEPATEAP AAEAVITKATPAEKVETAEEPVDETLSVDATKEEPEATPDAPVEEPTKEPETVQEPTV ETSTEEPTAEEPVPVEEPAKESAVEESVAEAPAAEEPKEIVAEEPAIEEPTVEAPAAE TTTEAPVAEEPAVETTTDEASKKEVPAPAAETIEAVPEPAAEKQEPEEPVEAPVQTTN EAPLESTTEPEQPIAEEPIAEEKVVEEPAKEEPAKEEPVAEPVVEQVTEVSAKEELVA TEPATETAEPVVDTPAPEEPVVESAHSEGSAVEAIKTEEAVPEPEPAVEETTETASKD SLQEPNGHPVEQRDTVPEAQEAGSSEPTQESTPAEEDANKDSSILTPETLGVGAAAAA TAGAAIAAGVAVAHKKSEAAAPEIKDSEAKAADSKQDAPAAQEPEPSARAAEPEPSSK PEVEAAAESTTESTTTEAANKAGDVSTGNTNRSVTTATAVSLNHGDSWLKSIVRSVFS NLFGALFSPFRSSGKTEQ ACHE_60266A MTTKECDATPAEASGPVIEDDKYPEGGLRAWLVVLGSWCAMIPS MGLLNSLGILHAWTAGHQLSNYTESSIGWIYGAYGFFLYIGSAQVGPIFDAYGPNYVI IPGSIGIVVAMVCLSFSKEYYQIFLSFSVLGGFSACLLFTPAIAAVGHWFNIRRGLAT GIACTAGGLGGVIFPIIILFAAPRIGFPWAMRIIALVSAVLCLAACFLIRTRLPLNKK AGGAVDLKALKDVHYASTTVAVFLVEFAVFIPITYIASYAVYIGMNDTLAYALIALLN LGAIPGRFLPGLLADKLGRFNVMICTALVCSILTLALWLKAGDNTAAIICYAVLFGFW SGAAISLTPVCISQVCKTEDLGKRNGTTFAISSIGTLTGIPIAGAIQERDHGSYRGLI IFGGVMYLAATVAFVVARGFCRNWVLWVKF ACHE_60267S MPSTLADVPAYKAYLDRVPAGTLSLPLIKEGENEETIIHVDELF CRVEDCIRGKKAFPGTNDLRYHVKHYHNVNVARPGTGRPKPEAVKAAVKFFKNIIEGP PSEPAPSPSESTSPEPTTPPGHTKPPFPLTKKGTVSCAAMQRWCKDNGHAVPCPSCAA KGLRAKDCCKNEGHCDNFSLFDPNSLPTDAE ACHE_60268A MPEECSMILHQKTRRLKCGINHPQGSGALLKLGCEVYFYLLLPI DQKTCPYYIFLSQGVHQHPPPPLTKTPARIIQCLEKIIFNTLTPDMTTSTFLKSPALQ QFCHDNNAFTLADVHQSLNNTDRVTAIIQRQKLLHFPEGQHYNGVAFEMQINPQIKEY IQAKYQDDSEFMLICALKEQLELLLTLKSFEVDMSYKRLKAAKLNEVVFTTYLPNHGK IITLVRVFTNQESPIGYYRLFKRVFQVIANVTGQSVCFWHIHQEGFQGIICDMDNKQT SGLGKYLYELDPSRTTEEHLRSTIVFCQVHFHRNIVKAVGNHPNQQGVRQRMAGLLTC KCMDDYYKLLDLLQAHETDNADNVFHWAQHKRDPVIAAGLNKHCSLIPSEHWDFIRNS TNTAEQTHNKSYAFGRQQLLLPAVKSAWILDKRDIQQYLGRETFSIFHANRTTNMETH YLRHMQRDFSRKRQHSFSSPTMDDDNIQLPSTSGIIPPSLRNEQSSPSVRQSSIRERS WSRQSSSRGRTPTRSSSSALRRVASANIEVQQAQLDIEKEKVEIERERLKLEQERVKL AREQAEVRQLELQNLERERELYKK ACHE_60269S MDYSRPYRSKRHPPCDQCRRKKLRCDRNAENTCQRCQQRNLPCS FDRYRPNEPSPSTVLPGSVPLPVQEPAPTPAPAPASVPPVFSDSPLFPTGIPIDLPFI TESTPGRFGQTIQTLDQLPGLSTQVIGASGESDPWLLRHCRFDDRGFLRFHQVHFRNA GGVPLEEKIPVHFLVTAEGLYNASKEATGFPKRDLIREELESLISLECGQRLVALFIK FVYPMLPVISRSQFGLSLAQPIPDQQVLRNTPVHLLAAIYASAQPFAKFDEYLCLVNA YTPSPTDQLWRMVLELLLQEIHTPHLSVMQAGLLYLHKPLKGKESALADSPFIWSFVG LLVGLASSLGLTLECRPMGLPVWEKRLRRRLWWVIYSEDKWRCLLMGRPPYIRHDEWD VTNLDDQDFDLDRLSDDQARQAGLAFQHFSRLSYIADEVQHALFSLRSAQRLSSNFSE SLHVARTLLRKLKDWYSALPAQLKSHTGHFTIDDPTPHSTSTSLHFAYILLEIFIFRA LLRPLVRSATPPPLFEEGTEPLPTMEMHDPESFNLVDDYISEIVEAEEIEPVPAIEMT RETDIGTVKAAENCAAIMLRLVMRMVCSDLSGFWYSWIRIGFATVSSFMLLLVVQAPS RDHAIRARRLVYMWRQALRSQSKGCDLMDLALVRLDGMHWTGLSRNFYLPRHVEEALN MD ACHE_60270A MTTMATTNSHKPPYSTSHTLKALDQRYNAQSYSTIATIMALRQT YFQDRFTFMRGEDMVPVLKRLGATDENFRYVKSISNITGLNLDYCTVTHGRYSRLRRT IQRLEWQPYTLPVQEDYCRHD ACHE_60271S MAMAMASGSLLLGLLAQQAAGQLLVPQSPPAGASEPVPKGVSSF SIEFSSAIDYLGNATHPNEYSRNLLSNLKNAVGAFPKIRIGGTTQDRTFYNPNQNEAM NLTYETPTDDQPIKVTYGPDFFSSYHAIPGLEFSHSLNLAYNGSDQSTQLSSAAAAAC KHMGSTLRLSELGNEPDFYSGYPSYTRPPNWTMAEYIREWNWKSGVVAKAMKEECPGI NVGFAAPSLIWSNWSGRAPWDPQDVFRKGLDTRFIHEISMHNYMDTDYEERYGLTDLS IQSLLMNHTGVVGSVAVHIDAAQKLSYLGLPYTLNEVNGMALQGGAWTWSMGSALWVV DFALWSATNVKHQTHQLSPRQPVCVDGAKPSTRPPYYGQMMVAHALGNSNSTRLTNIP LPSDTESAYAVYHDNALSRLVTLNLEAWYANSTTPRPSKEYTFRVPSRYTTANVLRFM GPGADARSNLTFGGVSYDFELGGGKPVTVDVMGLKERVSVNDGLVKVDVPASSGVVLI LG ACHE_60272S MRFEDGGSAVIRFPCLGASMFPEEKVQHEVAVMQYLAFHTSLPI PHVLHYGDEEESPCGLGPFIIMEHISNEGNFIDSLNIPGRSSQERPILDLNVSQELLE CVYGYMADIMLQVSRHSFTEIGCIAKANEEDEFDDTWVVKHRPLTLNMDKLVQLGGVS PDQLPTGAFKTASSYYQALANMHMIHLSSQRNDTIESADDCRQKYIARCLFRKITREY QLCKDDAGPFKLFCDDLRPGNVLAGVNHQLTGVIDWEFTYAAPTAFAYSPPFWLLLEL PELWEDGLDDWIEKYEQILPTFLKVLQDKEQAAIERGVLKESDRLSGHMSESWESGDF WVNYAARKSWAFDMVYWAKIDRRFFGMGDLEDRVYLLTPEERGEMERFVRMKMEAKEE GGLANWDDVKNALPRTSNDNVEASNSEN ACHE_60273S MAPERPRGPLVSFTSASLPNKTTLPGQTVHLEKLNPDHAITLFP LLGGNDPSITPLWDYMPDGPYPDLPTFQTAITTKSTSVDPFFYAIIDARKDSLTYTQP IGYITLMRMTPAHLTLEIGNVMFSAALQRTTGATEAIYLLLKHAFEDLGYRRVEWKCD ALNGPSRRAATRFGFTFEGTFRQHMIVKGRSRDTAWFSVLRDEWEAGIGGGLEGWLEE GNFGEDGEQKRSLREVRDEVARK ACHE_60274A MESQGLNAHSSSDAQSPWQQKVQVTAYGFDEAYPKVWLIFEALC LVALLGFFVWSCFIRQPRGDPKKPLPIKAVIAARMMNIITLFLGIFKATVQQSYVVVS MLNQIFYLIAVMLGFYIFWKLIQKLLERLAEEKPSKAFALVAITHWILLGMLSALSAA ECALYIAFITKGINVGEGYMKLAYEYNKVSAALSILCWIASMEVLGWIIFIFVSAAPD RRAGLAPLSVGSFFFFLINFILAILQIIYVLEQNMAPEYLDAARTIIEFFCTMGIYTG VLLCFRKWHHVHISPPQKILEAGGDEREVSEEDCNSSLRSYHSMVYSQQQEQLRYSFP QGAASAQQVHMYMPR ACHE_60275S MPPDGSSFTPLNLPSTFSLPQCMEYFSLTAGPNTNLWRKPPTGD IATAPIVFTSLRNSFVVAEVTVSADMEMEWDQGGLVIFTGATPESLSLSSPAGRRTAP AMRPRLEPARTCKWVKAGIEFCSGAVHASSVSATADGADWCLAPLDLPEGATTPALHS LRIKLERIGHSLWIWYQVPSVSPYAHTPGAASGTWKKLREVTWFFYGVEDKFVHVGVY ASRPNSSPNDTAWFGSGLGRSSDGLMVEFEDLEIF ACHE_60276S MVTDLLHGPRSPATTSLSIATQQLMTEAPDSELLAALEASPFAA FCVLANLGALVKGFTRCYYQMPPSASDPAAFHILTQSQNKQTHTAIRAILSIVKDQAY TSDSPQFHLWRTIELFISSLRISLCRPDQLLIGGIVDNSLIAGMAASTHLTQGNYVAT RRSIPVVPQHVSDKGILALLNDLSGALSCISGEDQEQVSYEAPWTTVASHGILLCIWG ALRRATTDIRHHLDTFNELPRTSESCMLILNSLMESKSLLEEGESLFISLVKSFCERR RPWGIGPSMLAVLGEVPNPSPVVRVD ACHE_60277S MFGPVLESYLKRITAGAYAPPLHRTPVFAAALADMKHASSIAAS HGTHLLTVELALGRLNSAREFAGEYLDSAAVYGTARVEVGLAFWSENSRQG ACHE_60278A MAAAITSWVLNPIQSLTMSRRRTRELWCALSDDLQKSFPMECVA GKDNINALKKKIWDRSPARFEDTIIDYSDLKLYSPVVQLNYEEEFDVKNGEFLHPRRM ITSNPLFPESKDPNVDIVVVVSGGATPQKRKCSESQNANIPRKLPITRHQLICPREHT VSKLAAILDDMNIVHVRGTPASGKTRLSELLRDYYRKEGRKAFLIKNWEELDSEDPWG SLIELVKKKNKELEGVSTTSFTVTSSQSEHDLSWVLTSNTVILVDEAQATYNDDALWN TIFKERLTPSVYKFKLCLFCSYGSPVTGPDQTFFTPVRFSDQQCISLTPQSQEDSPPI GLFYDKEEFKDVVSRLLTFHYEERFNFDEGALEYIFAVSSGHPGAVTSIVDVIYETYR HDIKRGHIRTLTEDHVIWFLEDTATVFKKLSVKPVNRSFPCISRATNGISNTLCKIVE EGSIPFDINDPSIKFCYQKGWIHRVALDGDDIAVLPSRLHEKYIEYSIGKMSITLPAR FDSLPKLCKEILREFSIRILRRSAEGKKISTASQPRPVEAQYQDEFYSGFTRVAGRGV SISSEWSRTKDGRVDFYIPEKKWAIELLRDHDKVDEHISRFKEGGKYHAWLKEKMIED WIIINCATSLPTKEFSEPKLWHAVFINDYSELKLYNHQKVLMMTVHLRN ACHE_60279A MTPLKILINGAGIAGNALAFWLCRLGHNVTVLERAPRLRDTGLQ IDLRGHGIEVLKRMGLEPAFKAKSVPERGLQVVDSSGRRRGYFPVNTSGKGPQNFSTE YEIMRGDLCRLFYDATQDRAKYFFGTSVKSFANMDDGVEVEFTNGLTDRFDLLVGADG QGSITRRMMLGSGPHDGFYPLRGTFTAYFTIPRPIQDGEDYIATLYQASGKRGIVTRR HNPHELQVYFVCIGNGEGIRDVRRGDVKKEKEILAEIFQGAGWETEELLRGMMDADDF YCERLGLVKLDSWTRGQVTLVGDAAYCPSALTGMGTTSGILGAYVLAGEIARHCGEPG KSALDDTKGLVAALEAYEQRLQPFMAQVQKGVLKDQDGPFDKLLSTTFGIAMIHHLAG LASFFQVNLGKWFMREEIRWDLPDYEGLHART ACHE_60280A MSAFSLTPENAALVHARENSEYCTTHIHATDHMDIPQPRRRRPA RSCIECRRRKIKCDRSNPCGQCVAAHSHCGYKTYSSERVIQHFQEPPSEPTGLRQEAT TVQRGSSFASNQENQADQSFGTEPGATENGPRNVTGSEGARLPSQTDPTLHDIAQRLQ RLEQGQGAHSLRDVAETGRDILADQSRLLGSYIVLNKTKVVRWSFRMATAKQLQSILA CYAEATGNGNGTSFQGADTRVLLTQVNDLLQECKLLARSTKLGRPSRQFSSADFDLLP LPPEHADLTVTRYFQTFELVHRVLHRPTFLAEYQRYWSHPESVTLDLRFKVLLVVAIG SSVSAPGDIDPGLRHRAQQWIYGAQMWLSGPLEKDRLSITALQVYCLNILARQIFSVG GDLVWMSMGSLIHTAMQMGLHRDPKHHPSMSVLEAEARRRLWATILEMAVQSSLDSAM PCRIALDEFDTEPPSNIDDEEVDGSTTTLESKSMSVYTMSSIQVYLLKSLPARRRIVQ MLNGLHSKLLYTEVLEVTSELMDAYRSCSRFMSNNKGLGVTSFHRNLLDHLVRRFIIP LHWFFAVEAPTNPLYAFSRTAMLDAATTIIHAEPDDGFSHLMVVGGGLFRESLRNAAN VISLELITHAETERLNGTLHRDSKYRAVIKQELNNLTALFSERLRQGETNVKSPMFLS MIMGQVEAIEGDISEEHAIAKSARDSLETCLVLLRDWASKVPSPVDTDTGDWPQLSDF DLDLGFEHFFQGSAFP ACHE_60281S MPHYRIGVDVGGTNTDAALLNVDDTEPRVYASTKTPTTPNITSG ITTAIEKVLAESRVENRDEVLSIAIGTTHFINAVVLADATRLSRVAVVRLCGPFTRMV PPFAEFTSKPREIIQGPVFYLVVGGAPHGCHGSCMSLTNPGLEIDGREIAPLDPKQIK ATVKSIQKAGIQTVAVVGVFSAVDYDGIHEESCRKQMLRLDPSLSVVCSHTIGGIGLL ERENASILNASILNLARKTITAFRNALAQMKLKCTLYLTQNDGTLTDVTSAANLPIKT FSSGPTNSVVGAAFLAKQHQAKSATQVLVMDIGGTTSDICALLPNGFPRPAPNFVDVG GVKTAFSMPEVLSIGLGGGSIVTVDGDTVLVGPESVGHEITRKALVFGGDVMTATDIV VACGKADIGDKSKVAHIPEEVITKARACIRKMLEQAIDKMKITEQPVTLLLVGGGSII QMDPLHGLAECIIPPHYGSANAVGAAIAKVIGEVDIIVMLASSEGSEDDAVEDAKQRA IAKAVVRGADPGDVKIAEVTKIPLSYVTGKATHLIVKAVGKLASRESAKQAADTMVEQ CINGELSEPLWQSRTGGIVVVKRLGAETGPVQEETRYDSVD ACHE_60282A MASSINSTSVPPGMLPHDPSVAACNVTRGLFDLDSNLSAFRITE PPCRFRFHGITYRIRVSAHVFSWLDDNNNETADSPKPRLLLLQRALCDTQPGCWETWR RFKGREWHEWVGLPYIIEVSKRATSQDVPQPVMEWEDAIRLNPEEHQAFTWATEDEVR SGKYKMFGNHKEAILEAFATVTQNRSV ACHE_60283A MDPVCRYRWIRHIREQPEERQQDIESSWSYFEEWTLTLIQDATT IEATTMTQLTRLHQAEDQDPREFHAHLDSLEQHFPRQDEKQRAFFFLSKLTTNLRRYI QGHVIHLPTNRDEIVSLATRFWNLSKPDRKRKAEGPPSDHIPSKRGRGGFRGSHRGQR GGLNRPSHNPPKDKDTDYSANARRNPIGRDGKLLRCYICDSEEHLSPDCRKQNTTAQS ATQQARPQNNSGNGRESK ACHE_60284A MIVDKIDVLGDPRVEQRSAYVNGKQYGYLYSQPESGENRGTIVL LHGFPDLSMGWRYQIPMLLEMGLRVIAPDCLGYGKTDAPRDLTQYSHRRCADDIKELV RQLGVHKIILAGHDWGAALAYRVALWHPELVTHLITLCVPFAAPTRRYTPLEDFVATI APHFAYQLQFRSRQLEDAIRTEGDMKKFLSALYGGRTDDGQVGFYVEEGVILQNLLRL RPSKLLSEEELDYYAHEFSRNGLAGPLNWYRTREINYKEELSILNQHITAPVLFIQAQ RDSALPAHLGAGMTRTIPHLTHQQINTSHWALWERPKEVNEIIAWWLEEIVFSEPRPR LFKL ACHE_60285A MAEGLASAVSIPDQNTISSPPAEPTLKRKSSITDPDAKRRRLSS HSHGPSNDADANSHEKENLESGADEQERRSSAGVVTTKTETVSEKPAPEKDEGREQKQ RGRGRRDEERKRGQRLFGALLGTLSQSSNSTAQRRRADIERRQQDKLKLQDEEYDELK KKRREERLVIRKKEQKLYEEEAMRTRHSNLLAMARFLKTRTEPVLYYKPWELRREDEG IIQDQIEDAEKTVAREVAEFEARYPPPQQTEEENPPETKPKPQAGQEVEEDKPADHPA PVAEVESERKNETREPQETTHEASDRVDAETNRDQSSEEDPHTRTNHEPQPDPSEADH PDDGGEVVEEDNEDTVIY ACHE_60286S MSRHHPDLVMCRKQPGISIGRLCDKCDGKCPVCDSYVRPTTLVR ICDECSFGNYQNKCIVCGGEGISDAFYCFECTRLEKDRDGCPKIINLGSSRTDLFYQK KSFRNQ ACHE_60287A MQSGISVTPELHDAFTTFTSDSSLFCLPITITSESLTPLPAVPS SSSFNASLSQLSTILKPQTPIYLLLRRDDSSLVALTYIPSNAPVRAKTLFASTRATLV RELGSEKFSTTIFATEEDEIVGENAWKERDAEKSGKTGGNGFSREDLMGDKERELELV RRAEEEARSGTLGRDIGIGGSVSVGGGIPSSMRVQMPADEDAKAALTGLQAGGLVQLV VDVKTETLKLAGSESGVDPNSVQSHISPSSPQYTYYHYPDSDAVIFIYTCPSGSKIKE RMLFASSRNNALAIAGEQGLKIAKKIEAFGPDEISGDRLREEVFPPQDEGPKRGFARP KRPGR ACHE_60288A MSAKNAQGGSGKKPTSAAVNLIAGGGAGMMEALVCHPLDTIKVR MQLSRRAKAPGAKPRGFVATGVDIVKKETALGLYKGLGAVLGGIIPKMAIRFTSYESY KQLLADKETGHVTSKSTFLAGLAAGVTEAVAVVNPMEVVKIRLQAQHHSLADPLNAPK YRSAPHALFTVIKEEGFSVLYRGVSLTALRQGTNQAANFTAYSELKALLQRVQPEYSN TQLPSYQTTMIGLLSGAVGPFSNAPIDTIKTRLQRTPGTPGQSAVTRILVIAKDMFKT EGASAFYKGITPRVMRVAPGQAVTFTVYEYLKEKLEKSNWSLVRNTYEE ACHE_60289A MADDATHLSASQSQLSSSSSSASSLSPSKLCSKTFKKASQLYLT RRLHESLTALEPVLTPSQIPDDSYANGDASTPPIAAAPSTWRIKVWNLYITLLSAIVD LGPEEGRKLFGQKEWKAVSSQVRDGGIWETVVQTGYRGLEGSVDAEVVYNLATLLLNH SPSQALNQQRLETYLSSYGQPNLDVEGHLRNGSEHRIIRPSGGTDTPKDLNARVRIIE LFTLHILPRNEEWEYAAEFINLSEVLDEENKEVFLQTLDNLKEEKEQGELRAAALQRE KDAELERQMRETERRRAEEERVGQNGHKQTPSEVDYGVEKKNTNRASKGGKGTRSTDR QSTSKTGSSSGRTAFSPPSGSKNLKKPEKSESRAPSRAIGNVLRNLVQYISRMVSGNP LSLARLVLFVLGIVMALSRQDVRERIRRVTGAGWQKVKGTIGMGVKVSYI ACHE_60290S MDVTVQNFPYHLPRILDDLANCCFVSLDFEFSGISYGITSQGTA QGPQSLQDRYSETKSAADKFRILQIGLTICHEDVTTGLYTLKPYNMYLSPAIDHRLEV ERNITFQSSAADFLLENNFSMDAYFKNGVKYLSRDEEKEATAKATERRDRPVVRRLKI DVKEQDQESLEFLEAVRKLVDDWLALGNGRDSYLNIPPPTRMNDPKPRGFFPTTLNRF QKRLVHQLIETEYPSLVTVGKPAFIQILEFDEAREKAVREQRMRYQEERMMKQKGFRW IAEALAGSDLSSLDPGCFMGVMANSPARAGLSLKEFANRLKQRLRTHRPVIVGHNLFT DLIYFYRCFFGPLPERVEDFQVAAHEMFPVLMDTKYMATHDCGSINPISSLAEINDSL LKTSTPRMTVHPQHSKYHSRKIDHEAGYDSLLTAQIFIKLSAQLRHGGTSKYLGTALL TQPAESTESTNLRTRFDLLQCEETTNTFSSSIPAATTPQTRKMVDNGELIPRFDAEFW KAYGNRLRVFGTEERMCIIG ACHE_60291S MSSGSNGARRIALALRPSVVDSRVCRSCQQTLVRRDYTSAAATP LESTSSTINASPVHPVVSASHIINAGVLLSRPPQITRDLTPFEKSYFFYQKRLNERLA LPFTKYFYFKPGTPADEDWKRRVRERQTPARDIGKYNAYSKDAWNDELLVGSKESEPE HMVEALVKDAEETANATSQDTSKKEEIPRPFPRVTEADTKGDQKSLNRLLQRTLYLLV QHKDGYWKLPSSPVETGEDLRMAAERTLAQSAGVNMNTWMVGYHPVGHHVYNARQPKP DQTGRTILGEKTFFMKGRIMTGQADLNGNVQNLKDFKWVSKDEIPKFVLREYWSNIRD MLAER ACHE_60292A MVCSKCQKKLKSTELATPGVKRKSEMYYGSPATSGGSKSTLGST GVSKNKLLSSKAKNPYAAYASSCESCKTKTEQGKKFCQRCAYQKNACPMCGKSLAAKS SKEQPIVQGQKFNLK ACHE_60293A MTLLMLSNELVLEIAGYLKYESDINVLMQVSGRLYYVLSPYLYR HNARYSEGSALVWAIQNNVAPAAQKAIEGGALGSLPKWYGPIYSETAISSGYNAFVKA LESDFAEPEKWIQPILGIDAQGNEDSKYQYQLGKKNPLFLALLQGHDAVAQVMINYLK TPQTQRDGDRGGIQNVSGDTALEKLRLLGITLSKNEIHQLLRGASGRGDLATVKFITK WYSKAIDEASRTSDGQRPPLTMAALSGQVEVLRYLLSFGVDPNLGCASTKETPLYFAA FFGNAEIVQILLDYGACDFRESLAEEGDHSLRILGAAGERGHAEAAKLLLEHSNYKSK VPSSFWNYSSILITASTCGFTDMLQFVLDNDPEQYRKTQFGKRQFTCPYYQGSCLFRA VERSHKDIIALLLEYGADPYGTVQQVPFLEAITRGNMDIVELFLEKGIKINHRDKYEF SGRPLYYENTCTLGMAALCHAVRFPAIFRLILDKGVRINARSLVALVLRTEIARSGTK EITNILRGRGYLVETPEECQLYRCNMPIHETSQDGESRLLRIIALTRPFSPYVVQVLQ DALTKGDSVTVNYLLERGCHPSLEHIEQDKRATSLELAAQVKDSKAAGATLDVLLHHG ADMDALRTIHQPRWIYAYVREDDERHLTSARLLVERGANTDPKTSKWIQNSLTKSVNS DHSHVRTLRYLLQTICASGISLTELSIALAQLEDINKRTLRMDWRITRILENAYWRMK YPVPSP ACHE_60294A MKKNMAATREYDIVLLGPTGYTGKLCAEHIVQSLPTNLKWALAG RNLSKVEGVAKELKELNPDRVDPAIIAVQLNREELQPLAQKTRLVINCVGPYHLYSTP VVEACASNGTHYVDATGETPWVKSIIEKYHETAKSNGAIVIPSVGIESAPADILTWSA VKTVREKLSCQPREVVSAIEEMKTSGASGGTLNTILTIVESLPASDLMKIADPYTLAA SKPPRKIPSEPLLSRMLGIRSVRDLGTLTTCPSGTADVSIIHRSSTLIPEFYGPSFFS RQFLRVRNAFVAAAVHLAFVAGIALILLSPVRKLLKRYIYAPGNGPTKEDSVNDRVEY RAIATADQDVPSPQRVFGKLTYDGSMYFFTGLLMAEAAMTILDNEEKVKKVSRGGIVT PATLGQEFVDRLEKVGCRIETQVFDN ACHE_60295S MTKRTKKVGITGKYGTRYGASLRKQVKKMEIQQHARYVCTFCGK NTVKRKAVGIWECKGCNKTTAGGAYTVSTPAAAATRSTIRRLREIAEV ACHE_60296S MVTATASSTGRSRCPPRLFCVRLDSRSTFKKPGCFLPTPFSLLF SRPYFCPSLPPSPFILSSPRCSSCLLSPPLQTTSARIFLSMSDTCIVCLGDLGESASS DPRTITPEPAPRLDLEGKDNSNDVIEADNLSDGNEDPGQIAQLLPCGHILHNNCLKPW VERANSCPICRRSFNVVQLSACPGGPIQSSYAVEDRVQVPDIDPSMIVDYVDDDVADF QPCPICGDSDNEELLLLCDCCDVPSHTYCVGLDAVPSTPWFCNQCEEQGAVGVFADSS VISPRGQDRRGRRTRAQLRRLQTRNHMNSLHWARVWQSVWDHLNLDLDFPFDDDRAAQ RALQQQRREEANQREFRAWQRRFEVAERQGASHRFRDTAALLDIDAPRPSRPRAPREP TPEPESLEEMRAWNAFERAREIENDPSAARKRKEPTLSPSPEPTEPERKLKRPRTRRP QELAALASQNGESSRTASAQATARINAEPSNEPSFLQSLLKEVEEASTPGGTTISYGP SGQITKVDHATSGPSSPSLSPAPSNQSSPCLSTASPPPYTRSRPMSPLQLSSPAGPSS PPFSPDVSPSTSRNNDLPEPTPPRTDAGRSRSRIPRAAQELLKARSLSNSPTRPGPSM GVKSDIQRLVGKALKPYYRRDYVSKDEYTDINRNISRKLYERAGESETLDDDAKADFA TVAKEEVQRAIATLRKQKQKDESSVDGSS ACHE_60297S MPSSEEVPKTSESAQTAQQHDGDQDPEKDAMKDDEKPKDEPASD PNLVGWDGPDDPENPHNMPLWRKWLLTMTMSSMTMWMTFASSVFSTATAVTAEEFNVS TEVMTLATSLVVFGFAVGPLVWSPLSELYGRRLPLFLGYTIFAIFQVPVAVAQNLETI LVCRFFVGVFGCSPVAVVGGALADFWNPVDRAVAIAMFSAATFVGPALGPIVGGFITQ SYLGWRWTAWITLIASAAFGVFGFFVIPETYAPVLHQRRAARLRQETGNWALHAVLDE NRPTMKDIVWKYLLRPVQMLFLEPILMLITIYLAVIYGILYLFFEAFPVSFQEVRGWT NEGVAGLPFIGILVGVLFGVAFLIWQTKTRFARKLEKHGKVIPEERLVPMMVASVLLP AGLFWFGWTSNPHVSWVPQVIASVPIGMGILVIFMQGLNYIIDVYLMFANSAIAANTL IRSTLGGAFPLFAVQMYHNLGVNWASSLLGFITAAMIPIPIFFFFYGARIRAMSKYTP KL ACHE_60298S MPARTRQGPSAATTTATTTTEEQVVGQPEAEETPEEQPRTSSGG LRKLKFNEPITWRVGRAAIPIADLLQRLQTLAQELRKMEQEEVETESLTKVAQELATP QLLGHKDKGVRAWAGCCIVDVLRLCAPDAPFTGNQLKDIFTCIVSSIIPALADPSHPY NAQHIYVLNSLAEVKSVVLMTDLDRPDSLIVPLFTTCFDIVSGSSKASTGEEIAKNVE FDMTRLLVTVIDESPVLAPEVVDVIVAQFLRVDPRALENPSRKGKKQDTPIDAKQGTL LLKDYPSAYNMSKAICQACPDRMTSHISQYFNNVIIDASAGAGANGTKSSRRPNLDDS DEEGEDIKELSKAHRLIRELWRACPEVLQNVVPQLEAELSAESVSLRLLATQTIGDLT AGIGVAGPPPPPPMDPAAYPQVTLMGYAQLTPQTSVLLTPFSPKPFSQTHSSSYESFL SRRLDKNASVRGAWATVVGRILLTSAGGSGLSEGEEQTLINNLATMLRDADEKVRVAA VDAVGIFGLSHVVYKLGLNGGFSSDNSILSILAERVKDRKPQVREHAMKAIARMWAVA AGEIEQNNEQVVSLLNDGPSKIFDAYYTNDPDIHVTIDKVLFEILIPLHYPPIKSKFS RTTSTQSQKQRESQSQASEGDGETDTDKIRARRILTLLAGLNDKAKKVFFAMQARQMS MRTLMTMYLQACEAYNGGVIEKDEAKVKAQLSRMVDALAKAFPDSSRASADLWKFAKV HDRRNYQLFRFAMAAHTDYRTVIKAIKELIRRVQNSNNAALLETLTPLLYRSSSLIFN RSHIPAIMSLSRTDENGLANPAQEMLREISSRNPAVLEAQVQEMCKDLESQAPTEKSS NDAGTEEILKACSGFAKKLPDKLPKDRKFLQALANYALYSSSPRAAKHAVTILIATAE KKEMYVKDLLQKCVSDWTYGSDRFLTKLATLSKLNHLAPREADEEKDAIVSIAIDQIL LSNRSPEPDSGYKWPNTVDEETTAKEWALKIIVNRLRAKRSTDDEDAFRAQAEPVYTT LNKLVANEGELSKKKDTPATQKPRLRLAAAKSLLKLCASHSLCDHLLAPQDFNSISLI AQDRIPFVRSGFIEQLKKKLIQNSYLSHRWYIVPCLLAFEPDVNLKDSTLTWLRSRAA YFSQQVQASGKRNEQQMVMESIFSRLLSLLAYHPDYPPADLDESTKLADLTDFARYIL FYLSAVGNERNLSLIFHVAQRVKQTRDGITKSDEITTRLHTLSDLAQATIRRFADIYS QQHKFGGASGGTNILQTYPGKMGVSSSLFAPMSSHREAQEVAEKNFLPDDFDDVLDRF VKSVMKPRGGIQGQAKKRKPEHNDANGDSTTKRRKSDTQKPARSARRTSGTTPSKAPK RKKSDEDGWSSDDGGKTRKETSARRRSSRGTATKGVSYADRDSDEDDQEMDDWEGQED GGDSDEDENEQGGSDAEGQNGEEKEKASKNGDDNDGDKQVEDADAAEEEASPEPAPAP AKSSKGRGKAAATKKGSATSLPTRRSSRR ACHE_60299S MVHKPTVLFLCIHNAGRSQITAAYLTHLTNDNTIQVHSTGSAPV PSINPIVMQAIMKKESTSRVRDPKLLTHETVEECDVVTMGCGDACPYFSGKGYVDWRI NYPAGQGIEGVRRVRGEIQGRVEGLIEKLRALGEVE ACHE_60300S MRSHQNRILHKLLHTIHQSVPILTSEKPLNPDLPLRRKMRVRLR NRRLIRNSEHAHPPAKKA ACHE_60301A MPSPDMEHSLHDLPADSFQNDVDADMEQYEDTAPGPSVEQETNG PETIAEGKQNAKAVLAASGVPVPESSHDASTPNSQSNGANGLTKKRSRDGSALPVRVR ETPLEKIQLENYVNREFEHSAAAAWHNPTRELLQQKRAERDYYLAVRRETQMNPAAVY GVGYEGFGNVRTDSRHPQLLYPGNRRRPGNRKTRELRIPRKDMKVQNEQTEDLVPIRL DIEWEKIRIRDTFTWNLHDRVVSPDLFAEKMVEDLGVPMETCLPLVRMVSQSIQEQLT DYYPQLYIQEDPIDPHLPYSAYKNDEMRILIKLNITIGQHTLIDQFEWDMNDPLNSPE EFAEHMTKDLSLSGEFTTAIAHSIREQSQLFTKSLYIVSHPFDGRPIEDPDLKSSFLP TPVHSPFRPFQAAKEFTPYLYELNEAELERTEVSISREQRRQKRSVNRRGGPALPDLK DRQRTIRTLVVSSVIPNSAPSLEESNLFKRSGSARHRRAMGQRDLGDESEESDSDDSS IGSPAIGPNLAQGTARTRGMRGAATAAHAALRANLNPSATPEPHYEPRASARRQNYRE ESVEEQDSLVVKLRIHPAKFQQFLTRGPQSLPSNAPTSTPGHLRSQHGTPQVQTPTPG STTLPSQQPPRSASGSQTPAPPQQLGAIDAIHPPQPGVPGPPPPTWLATGLAQLQRSY PNDSFEGVMRYTAVDTETMLPVANSANTPTHRLKYQYLPRIRCHDCPGKLYTPGPGMT VENFEVHLRNRQHKERVEERLAKGGAPAPAPAAS ACHE_60302S MKISIITSLQLFLAAIAVAAPLTADSSGSSDVTNVKRQGLFHAG GEASGKASGGLGIGS ACHE_60303S MRVSIVVSLLAAVAIAVPFDAKRVADLTTNIDSNSKTLITKRQG LNLGSLPAGSPLLMEVVDPLN ACHE_60304S MRLSSIASIMALTVVTIAAPLEANHNSNAVNLASDSLNRNAAEE AAPMAKREGGKEGEGDEDKGVHGVLSLVPTLLKGIKFNEPAHS ACHE_60305S MKFSIVSLSIFAAVAVALPQATPSSPTPSSQSTIAASSSAFPQI SNSAVEPAGIPGLGGVLNNVPVIGPIINNV ACHE_60306A MQILTQLPLRILTHNIRYATTSLFEGERPWTERKQPLLNELLYN TRNLDAFICLQEVLHSQLIDILSGLNHGSQHQEPGREQWAYVGVGRDDGETAGEYSPI LYRPAVWQLRHWETVWLSRTPAVPSKGWDASSIRIVTIGIFTHRVSQRTILALNTHLD DQGSRSRFQAAHMILQKIREYKESKWGNLISGVFLTGDLNSEEHQEAYQVLTAADSPV IDTAKLVNVKEHYGDRVTWTGFGHEPELPSRLDYIFLDGGCSPWKVQGYAVLPNRFED GIYNSDHRAVVTDAVLS ACHE_60307S MAENTQATQAPEVDYTLNNPDTLTKYKTAAAISQKVLEAVAGWC KEGEKIVELCQKGDQLLEEEISKVYKGKKISKGIGHPTTVSPSSYVTPYTPLVSEKEE AEVTLKAGEIAKIQLGAQIDGFGTIVCDMVIVGAGDVVTGREADLIHATYYANELLLR LMVPPGLLASGTEEEKKKAAAEKAPTQAQISTLLEKVAKTYDCNVVENTTSWIFDRNE IEGEKKIILSPANGVRGDGVPAVGEVWGVEVGLSLGSGKVKDLPLRATLHRRTTTTYI LKRPSSRQTLSEVVKKFGQFPFSLRQLDDEKAAKVGVVECVRNGVLRQYEPAGDSDNA PVSRLLTTLAITKNGITRLAAPNAPDLSKYQTDKKIEDEEILKILERPLARSTGSKTN KNKKKKAAAKKADSAQEE ACHE_60308S MARKLRSSSRPSTPVESPAPATVRPSSAAESSRPRKLRRTGHDT RVASDPPQGSQSHPEQFTAEIESNSSAPQTGWTEPPVRPPVPSYMDSPWSAMSSDTNP VLSTMRPLGSMPTAADLRKVGLEPSKPATPRLPLKEATQMMQNGIHKEEQNAGQNPQQ NSDAGDKKSKSPDVPAEEPTPAAPAQPKEKAQKKDHLATFSALPVPSSTEFDVERIKD ALEDALRQGVQTGNKPVVRALLNVWENTSKDSSTLSILDGMCRENPTRRETSAFKTVI RAAWDESQHDDDSDGSDGQPPAMTRTRSASSVSSLSSAKSLDAETFAPVMTSATSNTR SRTKGKQSKLTALKPKPKTPFPPARRSAFPSNTEASTQRKRAMEFNPEFTEEAVREKR ERLQPKLPELNVPESKVRSSLALSNFASPGLSRGYGSRSNGIPVNGRGQSGSRETSEA PDNQRIAASDDEYSENNDFCHNCNRSGQLLCCDGCVKSFHFSCLEPPLDPANPPEGEW YCPKCSIARPMRALLGTLDRSVEQQDFKLPFEIRDYFAGVTTNEKQGGRYEPAGPPFP RIAPRNVRGSRLANYSDPNLRRLYERLPNSSRETPIFCMVCGKTSLHDRPIIQCDYCP CSFHLDCTDPITSNPPVQTSAGREHPEQGHRYWMCPNHVYHDLAYLVYDEEGYEHRRR IRSIKRPRLVDIEILPDEEDEEAHLRELTHQGEKYRVSENGVKLSFIEKVKRDRFEME AKKAAAEKYFAYAKERLDNLTADARFFYEAQRQPRPPAPVEDTVAPRTTDDRDAAMSL LALTHGNAGSVESVQTELDSLQSLQKSIEDRLKFLRDFQQEA ACHE_60309A MKLQALSFSAFVAAATAQSVIESSSFGQNPRISPYRDSIPGWQV GGDGHVPQIMSDKIILTPPYPGIVRGSAWAQSPIAQPEWTAEFQFRATGPERGGGNLQ LWYTKDGQSRVGTSSIYTVGQFDGFALVLDTHGGRGGSIRGFLNDGTTDYNRHRSVDS LAFGHCDYPYRNLGRPSVVRLKHTNSIFEVTVDDKLCFSTDKVSLPVGNNFGMSAATP ENPDSFEIFKFVVQSIQSGTNPPPPPPPQQQQQQRQQPIVVQQRDTTTSPNLQDPSIN QQFADLGNRIQQINHATNTIIRDIGTQDSKADTRNADILRKLDQVIAGLDARMQRLET SMQTIQRDLEGKDYRDRFAQLQETLKSSHLSLSENLQTHFLDVITASTPRMGFFIVLI ISFQVFLAAAYIIYKRRRANAPKKFL ACHE_60310A MAGRPENPTLTPSQVNDEDVRKSVPIRKKPTQEKGIKIYPFTID KLCEENARYWFHVMENQLKAQFSWEAIEYYHEVGRKEFSTILREDVEWFKINLKADMI IEQGLQPVTILDIKDLDNAGLKWDRLKEIFLKSSNAKKAMKLMKMANWTWDSTRMNEK EAYREIKQLGKEFVDMNGGNKITIEELVVLWYLRGLGDKYATLRDTVMSSNVTLDEDY ILNRIDDMMHMKSGSTEKGSRVSNHGNKKKKGSKCYVCGRAGHFARECQSKHEDSESD IEWDQQKPKGRREGRQEHRRGGRQESRREGRQGKSSKQKGRLAGEQDDDSSQEELCEF SSYAAERSELGRFTSEKGSQANGSCPSVWCFDSGATSMSTGNRDIFEKLDMKSRGTLT IASGVQMPILGRGTVKFNLPNGSATVRLSNVIYVPGLTENLLSLEALHVAGFESRGSI RGYTLLKDGKIVARGRRIGKSTYLDTVSYTNALYVKPEQARKCVELNAKPDERTILQL LSRRAVRADDETEQRREIIHQRLGHPGRKRFNWCVETMDMDELKVRKRDKLLDDDCEI CVKAKQVKSQSHLPVPRARRPLQRVYMDYWGPYVGGVGEERYYLSLIDDCTRYSWVFI KKDRTSSSVQNTLELWLRQAERETGKMLLVIRTDNAKEFLALEPWAQLKGIQLEFTEP YTPPQNGVAERFNRFILEVTRALLFNSGISKRYWKYAVVTANYLRNRTTGAKGSGGKT PYELWHGYEPDLTHLRIWGCRVLYHQRSNDKLESRVMEGTFLLYGKSDKQYAVLPKGA DEIRLVTNPKFREREPGYLTMDKDSSAFEAPMMEPATNVNDAPRPTPMAIDVESQQRD AAPLGGKEASDQQGVANGQSRETNESTPEVDGSPLKSASKVDNAGNEADTQWEEQREV DAPLGEGHQKKVLLEGEKRQENLPQSDTGAIDEHQVERRHSGRTRQPSSTLMESRQTE KIYGRKRKAEGEDTGNSDRPAQRLRAHLARLAVATELLIGDREYEATEGARAAREKAG IRIPKSYNEAVNDPIYGSKWKEAIHKELSTLIGFGTWELKPRKEAEGTISSTRWVFDV KLGLDGRIDRFKARLVVRGNEQSDDDFDETFAPVFRLDSLRILVAIAALFGLEAHVLD AINAFAGSDLDKPNCMEIPEGLQDFDPEATRGLVLELKKSLYGLRQSANLWHRKISNF LKNIGFKSITADPSIFLNSRGLIIAVYVDDIVIFGKDVRDINTVKQKLKEFHPMTDSG LVRKLLGIRFTWGRDRSIRLDQEPYAQQILEEFGMADCKPASTPIGPSVKLETPDSSL LGRTEHKLFRRLIGRLIFLVIATRPDIAFAVNQLSQYLAEPREVHLAAAKHVLRYVKS TIGYGLTFGAKGSQGLYAYADSAYANSAKNRSTTGFVFSINGTPISWISRKQSVTAQS STEAEYMAVSEAAKQAIWIRHFLYAIGKGSIFCNVPTTIYEDNQGAIKIADNPVDHPK TKHIAVRYHAIRDHIGNGEIQLAYLPTDKMIADGLTKAANHVSQGRLVEDLGLA ACHE_60311S MHTASMAELYPSLAQCAIVATAFKILLFPAYKSTDFEVHRNWLA ITHSLPVKEWYYEKTSEWTLDYPPFFAAFEWLLSQAARYADPAMLVVSNLNYDSWQTV YFQRATVILTELALVYALNKFVKSVPQPNTHLAHIASLSILLSPGLLIIDHIHFQYNG FLYGILILSIILARKQSTLLYSGITFAILLCLKHIYLYLALAWFVYLLRAYCLDPKSV LRPRFGNTFKLGLCVLGVLGLAFGPFAQWNQLLQLKDRLFPFSRGLCHAYWAPNIWAM YSFTDRALIPLAPRLGLPVNEAALTSVTRGLVGDTSFAILPEVTKERTFALTFIFQVL PLIKLWFNPNWDTFVGAVTLCGYASFLFGWHVHEKAVLLIIIPFSLIALKDRRYFSAF RPLAVAGHVSLFPLLFSAAEFPIKTVYTIFWLVLFLFVFDRVAPVPERPRIFIFDRLS LLYLTVAIPLIVYCSLLHQLIFGLGRYEFLPLMFMSSYSALGVVGSWVGFMVVYFAA ACHE_60312S MVLFKRKPVQYLPRPVIEDDSSEVWVIPETNEVFTDYEPYLQRM DFYKQRRFICEITGHSGLTFFEALRSEMDESREVNSAFPEALKEPILRRIQFSTVSRV DNLVDEIYEEFKQDFYPGEPVLILLTDNTRLHGIIRDKANFAEQLNPDGTVKTPAYAR YLVKVSDSANEEALLDQEHITRDRKTFTKQMLRAFIKNNVTRESWSGAPWLVKQSIAD DYRIPTEVPKHLQYGAKVAEKKAMKKADQDGFFGFFASQQLPELKPAVKGPKAKPSPQ ELARSREAQFLEYQRSLNGNPTFVVNNNGSNGVTSIVDTPVVNTNAPTTPTPAASTPT RPPKSQESDKKAQSAAPVVVKNETPKQPSPPPIKYPIEDLDIAPARENQKQRPALSFL AANESDDADDEDILHDGIRSDSVGLLLETWNTLNVYCEVFQLDSFTFDDFLQAMRFSS DDVDCQLFVEMHCAVLKKLVNSEKDQNGAVQISLPDLPVEESEESDEEENEEEESPEP EPVVTRMTTRSSLAKAEADNLKSQADDSRSSSEEAQIHRAADMLKEYGWIDRLRKRDF RNGGWEMVMIGLLHQLSSRPRVEKVCNDILKHLAPLDAEPTQETAQRQYATLDINLRV QALEIICMLSLETKAIRNYLEECSNQMTEFRKEKIEYQRARKAALEELRRLHQERKTL QPEPEKSPSPVPELEEGQEDSKMTGVDGDSEAMDTDDEDGKKGPSLRGGTDRANERKR KQDEERERKEQLAKQPKGSKQYQKVLKKIDEQKATIEKLEKKIEVVDNDLREADCPRT RCLGKDRFCNRYWWFERNAMPYGGMPDSSTAEAQYANGRLWVQGPDEMERVGFIDVPE DIRKQYQKEFQMTPAERKKAEEGETSVSSACEWGYYDDPEAVDKLIDWLDSRGNRELK LRKELVSQRDIIAKYMQHRHEYLGQTAERAESEDTAIKRVSTRKKTYVGDRKHRCLTW QNTTALSENGHLHADVPRPTKRAKRATDDPKELKATNRQGKPLTRQGTRYNF ACHE_60313S MSAAFPGHERADSSAVRNPAIPQMEHATQSKSDRRPIAGNKGTV VATAQANAADDEFPGTSGASLGSRQDTRAEAKEVNRRSLDYVLRSGCAGGMAGCAAKT VVAPLDRVKILFQASNPQYAKYTGSWFGLSSAMRDIYRFEGLQGLFKGHSATLLRIFP YAAIKFLAYEQIRAVVITSHEQETPFRRLISGSMAGITSVFFTYPLELIRVRLAFETK KSSRSSLSDTFRQIYNERISPPSTPGKPNVTVSSAPVTATAQTMSSTVNKVVPSSGLA NFYRGFSPTMLGMLPYAGMSFLTHDTVGDWLRLPGIARYTTIPGSEGKTKKGTRRPQL TAAAELLSGALAGLVSQTSSYPLEVIRRRMQVGGAVGDGHRLGIAETIRKIWMESGSR GFFVGLTIGYIKVVPLAATSFFAYERFKWWLGI ACHE_60314S MTTRKRNEFLDPISDEDEGSEAGYDSEAAEETKGRGMKRRRTEP SRGFLDTGSEDGEDEEGVSDGGVSDDEDEKVSRARGKGKGKTKAADRKKSADEDEDDD DNDNNEDEEQRESEREEAQYLDTTTSDRPPKDKPLKPRPLDKTKPPKKNKTGVIYFSS LPPYLRPFALKHMLESRSFTGITKVFLNPAVPSASAPRRRSNKRKTYSDGWVEFASKK TAKLCAETLNATIVGGKKGGWYHDDVWNMKYLRGFKWADLMEQVQREKSEREAKRRVE DARARKEEKVFVEGVERGRVFDGMARKNEEKKRRMPEADVPEEKGQGNGEGEKKGNVR RLFKQNEVKTPRDRIKDDGAAALGEDTKRVLGKIF ACHE_60315A MSMDLDAPMPIAGNQQPVAATILCCNCGAPIDGTSSAGALCQDC VKLTVDVSQGIQREAVLHICKDCERWLQPPTSWVSAAPESKELLALCLRKLRGLNRVR IIDAGFIWTEPHSRRIKVKITIQQEAFQGTIIQQTFEVEYVVATQQCPECAKSYTHNT WQASVQVRQKVPHKRTFLYLEQLILKNNAHQNTVNIKEAKDGLDFYYAQRNHAEKMVE FLSSVAPCKTKKSQQLISMDVHTSNKSYKFTFSIELVPICKDDLVALPIKLAKQMGNI SPLTLCHRVGTAVNLMDPSTLQTAEVPTGTYWRSPFNTLADVTELVEFIIMDIEPVGR SNGRYHLAEATVARASDLGSNDQTYFCRTHLGGIFHVGDSALGYHLTGTNFNDPNFEA IENSSQYSSTIPDVILVKKHYARKKNKKTRSWRLKRMAREYEEEALAQSNQPTNRKQE QEREKLEADFEMFLRDVEEDQELRGTLDLYKNRKNPRPNDGDGMDEDSESDDEAPKIN MDELLDDFDELNMDDHE ACHE_60316S MNRALMRGPLCQACRHDIVRSFSSFCGISTPRHSLPSSRYTHVN ARAFSSVPHLRSDISPNPLESHPPAPSDKNVQVDIETPAPPQHIPWYLQEETPIAESQ SVSSRDHLPELPEDPPAILPILLEYTFKDLGLDNLKLFDLRHLETPPALGANVIMIIG TARSVKHLNVAADRLCRWLRSTYKLKPYADGLLGRNELKIKLRRKARRARIASQSGAI VDEKDDGITTGWICVNAGVVEEAPAAEQEDFQGFEGFGAINAGTRVVVQMFTEEKRAE VDLDGLWQGNLDRARRQKQRELEGANASGAENGATQQS ACHE_60317S MEYLPSLQQEFDELKPSLFELLAEQQLSDLLPPSIRYILAVATH RHPRYLLRILNSYDEVYALVSLIVERYYLRTFGGSFTENFYSLKRERVLLTKNGEIPR AQMGAAAPVRETLKLRTSDVWKNLLIMVGIPYLKRKLDEGYDIHAAPQASLVMSGGPR YNPSDELPHNPTLRQRLFFYYKWFLRNVYPSVNAAYYFSVLAFNLAYLFDNTKYSSPF LWLIGTRIRRLGPADHRAIAKTLESNPGSGGARSRPGSGLLGLLSPQNLYPQLLASLR YFLPASIFALKFLEWWHASDFTRQLARKATDVIDLPAPVVEGMITPFDKKKIIEQRKK AEEEKEKAATGGKDIKPALKSPRPRQPPISATSYLPIFTVPLPTADSENAGTCPICLS ELANPTACQTGYVFCYVCVFHWLNGEHQRQIDFMNKESSGAAWDDEDAEDEKAQEDTE TSKGQDGRHGQWESGKGRCPITGRRVLSGTEGLRRVLI ACHE_60318A MAISLTLVLALALSWGADATGGHHGNTAILRRDCPDYTSYSAAR HPPYSDGPLNLPFQRPAQECRTFTSPAVEQAIENVTSRIVDKDLAQLFRNAFPNTLDT TIRWHTNGNGSTTNSSSSAKRKREDSQWRGPQTFVVTGDINAEWLRDSTNQLSGYQAL AKQDPNLHSLILGAINTQAEFVIQSPYCNAFQPPPPSGLSPVSSSQKDTVHPEYDPSV VFECKYELDSLASFLALGTEFYENTGSTEFLTDRWYKALDTLLSVLDVQSQPTFNEQN QYVANQYTFQRQTNVGTETLNLEGVGNPLNGGTGLIRSAFRPSDDATIFGFFIPPNAM MAVQLQKTATVIEAAGGHADTVSELQQRGKKLQQAVWDHGVVHHSEYGDVFAFEVDGY GSQLLMDDANIPSLLSLPLLNFVDQNDTTYKNTRKMILEKNGNPYYLIGSAFKGVGGP HVGLENTWPMSLLVQAMTTDSDSEITECINLVRNASLLGLVHESINVNDIKKYTRSWF AWANSVFAQAILKVAAEKPYLIFGDGAEPYVL ACHE_60319A MAVDSKKYLAENVLNERRTVTYRSLSCALKVHSHVAKRMLYDFH HNENSKKPNSVNATYVITGVQHPPEPPATNGDAHESDGDDIMQSSPYISSSIPNQDAS ADAATTSSIILVREEDLEDAKSTFRSISSVHIYSIQPTVLQDLNVLTDVSREMLAAYA HEDPLESGKQWGMIQNQNVKRRTGARPPPPPAASTKAPSNTTVPAKRPLQDDPPKPEP SKPTDTESKQPPQRGSSQPPSKPTAKPASLKKEKSNLFSSFAKAKPKQKKEEATPVAS GAESAAASGAEDVNLGDASEEEPEELFPDSGKPASTSNRESRKEREERLKKMMEDDDE EQGNDADEEMPDVAEPEEEPEEPAPIDEPPPPPKQQELKEEVTVQGGRRRGKRQVMMK KTVKDEEGYLVTREEPSWESFSEDEPAALPPKKKSAVNAPKGKPAKPGQGSIMSFFGK K ACHE_60321S MDTPFDIPDSTDWLDTPLSLLAPLESSLRCQVCKDFFDNPVITS CSHTFCSLCIRRCLSTEGKCPVCRSSDQETKLRRNWIVQELLEAFQNARPSILDLARK ARDGVLDRVEVSDEPSSKKRKIEQSQVEGEGVSEVGAEGIVARSQSRQVDNHPQPAMV DLVEDSQDEDFAPDDGLVACPICNRRMKNEAVFPHLETDACGKDPAPPKKVSYGSLQP MSPVARRKTRTIAANANNKPERLPAINYSILKEGVLRKKLKDLGIPNWGPRQLLQRRH TEWMNLWNANCDSKSPKSKWVLLRELDSWERTQGGSANPPSHDSNNTIMEKNFDKAAW STSHDNDFKRLIENARKKNDAVRGTISQGPIDWNESETAPIPERPAEEPAVLGEAPES GESQIAPPNLNGVENQSQPTQNGHVNLPMGLP ACHE_60320A MSELLNYILTHEDAFRKNRLPSLYSDFTLQKTTNPDGYAVNVGA WEQALIKAARQGYISRDTVAGQVKSSHLVLKANESLLRDLGIPELGQPVALSTVIDEA IVKRTMVPLQAYRTSGASLRRKGWIDPGVLNPWNVMSWGMKQLRGIVVGSDSSDSAAP LRGQELVLVENLQEAARRVVKQATAQASSKMDLVNSKEGFMNEYAGILDAGVDLSETD FDVLLLYLSRDSGSIAYDGKTIKFRPTPDTPTEITQQDTTIASIKTLMWTMIKQADNL EVKIAELTATAKTALNNKNRISALSAVRSKKLAEHNLKRRFDTLAQLEEVYAKIEQAT DQVEYIRVMEASTGVLRGLNAQVGDATKMDEVVDELREEMSKVDEVGNIMSEAGPEID ETEIDDELEALEHKEQEAKEEKEAEETHKKLAELDNLGQTTKEAARAERDLDSTLTER LSQMSVEHDPGAAH ACHE_60322S MPKTRHLLKEDITYSFAKSQEINILHQLDYYDKRDQFFAHLESR RAWMKRVVAQHLGLKSTKMCHVADIEDWYCGSFNVSIPVTISGWKDRQQLGNDVLIRF PLPYRVGEEFRPGNGDEKIQCEAGAYAWLEDNCPDVPIPELYGFAMSTGETFTRIENL PFISRWFQFLRRRLLAWLGLPVPTSYARSLSRRHSSLDGVIGAGYVLIEKIEESQGTM LSKTWFKKNNDIELRTNFFQDLSRILLSISRIPLPRIGSFVINSDGYLCLKNRPVSVE IQGLENERIPTDMPRDFTYSTVESYLYDLLRIHDNRLKNQPNAVLNVPDAGYQMSALA GMRTTLPLFFRREFCRGPFVFSLTDLHQSNIFVDDNWHITCLVDLEWACSRPIEMVEP PYWLTNKAVDSMDPEEYDSHRTELMAVLAAEESKMPKDRTMPRLSRVMEDAWSRGTFW YTLALTSPTGLFKLFYKHIQQPWFSKHKPEEIGEIMPFFWAKNAGKFVAKKLSDKEKY DHELRLAFGVKETS ACHE_60323A MTSPGDAPPVKPPPSFSPGVPRVASKARYPDKRRDSSPAIESAS DLAGARIDTSPTPPLSATAADLPIRAASPHARSVRSITPQLTRSSLGSPLEGRFEGSE DVRSLIARSFSPVVGVYASADTDELVRQKGFKGGFWELIRPFGEDVHGKVVIRDSIGA GHGWEDYGVHFVDLGGVVHNRSPGQDSSPLAQVEEVLERQLNSEDDPLGGPVQPKDVL GMPSTSSIYKFFLHQLLSASPVSSHETFRHPVACVIAISSRNTAPLESLRQLYADTSN GDKKMPPWIHSEFLRYYVLVHDEDRDDITESTKLYDQMKRHFGLHCHLLRLRSNQCVV TDDDSVRVPECEWLSPSEHLRGVGQPELLVDLDADGVPYLFDSDVMAIKTFVRELVAQ SVVPFMENRVAVWNDQVASRRRGLSGRFMSLSRRWTGFGAGSRSNFAGSGSGGVSGNY DAVHGFYKPDAPEAILRKLADFAFMLRDWKLSASTYELLRSDYGNDKAWRYHAGAHEM CAVSILLNPLAMSGKTKLETIDQMLETACYSYLTRCSDATNALRCLTLAIELLKSRGG SATESATRWAMRAMDIGLVGSIGQILFSERVSACYASKVGLGSGVWKQGSRRRKAGMW SVLAADLWLKNGKPALASASLEEAERLYADVLDTDGIFPMPEMQTFIDNLRHATRVQY LGARGLDASDNTDASTAPLETQETEETSEKLDKRSNRRSLMGIPSSTDPGSLNPPPVT RDNETPSHDDFERA ACHE_60324S MIFTFYRWLQSMLRPQTARFLVECRQCCVLSALSHLPAPPRRCL LRTNTTPIPVRHYQPAAYQPMSRRKVETSPSAQIHKRRRISYNTNDTMTQDAQALPTV QLTPIENTLKELLLDVAQYIREQEIAKGGIEDPPQTELRFTGGWVRDKLLGVDSHDID VGISTMTGYHFGMALKEYLDIPENLDKYKRNHPNGELSEAIVSLHKIEANPEKSKHLE TVTTKIFGLEIDLVNLRKETYSEDSRNPQMEFGTAQEDAMRRDATINALFYNLNESKV EDLTGSGWTDMQNKTIRTPLEPFQTFKDDPLRVLRLIRFASRLGYRIHEETEQAMQNS EISEALKLKISKERVGTELEKMLKGPDPRGALHLIDRIGLYSTIFANHQDDVHAETSS WALAYDAFQKLLHPGTEGSDTVERVRNILIRGESETYYAWVITAFAPWSSVPTRVASG KKAKPVPPRASEVARDSLRSDNKTINFLRDSSNNWKSIIGVKSSLLEERLGGTAAEIR QQVGLHIRSWGKEWRLQFVLSILKEVMQGRDFSKGKISHPSSFYSLEGDSFTLTRSSV TQEYDAFLEYMVKQDLVEVCEMKPIANGDEIMKHMKVSKGPWMSKVMDAAIKWQLLHP EVTEKEKILEALSNQREELGIPPPN ACHE_60325S MEGLRKAARESLQQQPDSRDLPAITLPDNITLPDSLDSLWQDLS SRRPKGDAETSEDLLVAQGFLDQWGSTSLSDRDEVVLNYLYVWSSRAALPGPAFGDIS DPEGISEEKKRIIKASRVKSTIAISVISSLATLLPIEKAADKPDIVIALASFTSDNDP WTTNTGFTKAREALQSFTAISRAEGGLSVWSIVEQILKERIKPLFAKMRNPAITDAGR KNFHPVPLTRFDTSILDPESKPWKVHDPYATTVFEWVIAQYHSSDKEYLERHFPLLIP PTLSLIDDENLAYKSRGCNILLQLLKPIKESESDILRRTNLSSVFEDAIKQCFFSLPT ITPENDSIRLLEVAYPALLSVLKTAYQLPTVPMDRKADDRNTYITGVIRILRDHIIPS FHHISSTNTISAASASFPYPRLSTLLVNQLRNVLPELGIHTTKYLQEIIPVLYSTLSN PFGPAHVPLLLASVAAMRAVISNAHPRLWRWRGEILAGVCACWVYALEEEGEIAERAE KRRGLESDKSAGEALGMLKKELKGVVYLLKLVLENPVDAGGDEGQLDAKQRTGNEVKE LVDADDVLMELLLGDIDPEDGSFFGC ACHE_60326A MESFDEVSRARDPQEECVAVNNDPSRTPSVTDGNAPKSISGPSI ATSDTSVLSSMANKAPTEKEGSAHPEDPQQEIFKPSPDPSPYAPSTYDSSTGIQMSED EGAGGEDEEPHIATSFQRDSTPTSAQRRLSVFYDTGSENINRMHKFSLYETASRFYMV GMDLSDTRFRILKIDRTSETGDLSVAEDDIVYNKREMSQLLDAIDDGNKSSGGLKLKC SAWALLGFIRFTGAYYMLLVTKRSQVAMLGGHYIYQVDGTELISLTTSNLSKIKPEKN HEEARYIAILNNLDLTRSFYFSYSYDITRTLQHNICRERKANQDGRPGSYPQDYNTMF IWNNHLLDPALSNLKRPWEWCLPIIHGYVDQAKLSVYGRHVYITIIARRSRFFAGARF LKRGANDVGYVANDVETEQIVSEQAATSFHSPGPGLYTNPLYTSYVQHRGSIPLYWTQ ENSGVSPKPDIELNLVDPFYSAASLHFDNLFDRYGAPIYVLNLIKARERTPRESKLLK EYTNAINYLNQFLPKDKKIIYKPFDMSRASKSRDQDVIATLEDIAGDIVPKTGIFKNG YDVESGLQLQNGIARTNCIDCLDRTNAAQFVVGKRALGHQLHALGIIEGTTVEYDTHA VNLFTTMWHDHGDTIAIQYGGSHLVNTMATYRKINQWSSHSRDMVESFKRYYNNSFLD AQRQEAYNLFLGNYIFSQGQPMLWDLSTDYYLHHADPRSWSNRKRPNYICWYTPENLK QKELPPAPQRPKEPLSCYDDYWLEYYRPLAISSFPKIFSYRLNTNKHRCPPLRPGLSP FDVWIPHEQVNRERHPQRGVKIQEPSDDSMQTNTSESQKNWQYQQPSAEKCPPAGGIM KEPRPATKTSPSYESQLQPQLPSTPSKAQIAQWTLGQLVTDSLNPSVKPAEAEEYARY INHPLKVPLVVTSEDELIAREKGGSSLDLLEYANTCNVEEGTLEANAEQNVEDYLEFL DVSESGISVAKEDYEKKRYKRYRQWLRGKSLFKQRVDL ACHE_60327S MYRPGPKEKIPCTYDDCKFLFASVEEMKKHKEMEPLHDYCAKCD LDFEDEERHLIHKLQAENKHIVCPICGIDFRSEGGRDAHIRQNHRAEQHLVCHGCKVI FKSAAGLMKHIEDNECSVISQTRLLQEQSKKFMIKEALRGGEGPPMPVIPNSADLDDI DGGVKLNFLDLGNREAISFQPKPGSDDPTASVDAMLALKHWPALGDSRGQATFGMPMS DLMAFSDAGDNEESLSNVGASPARGKGSFGAGTPEAGQTLRLLDDKWDATKFFNSFIG KYICICSSSFPTMKEFEEHVLMKSKAKRNVQCPGCLKIFKSAAALVAHCESPSVRCSI NEQDVYGQFMDEVSGGLIQAVGYNDDGTIRYEAGRLDTNNTRQVEW ACHE_60328A MGLFNWFKSSKQEDTTRQPTWDPNTMVMSQPSRPSAPTAERVVT QKPNSQKDMQMNLRGGAGAGDICCGVCTGLLCFECCEDCC ACHE_60329S MVEDIQSRREADYQRFKNYAALTFLVTSPILIALPPRKLDHLTV LLTGAFCISANHLTYERTGRSIMERLESRLSRPSAIISDLPSERAQEIQARVRASREK QLREGGLNEEEIERLRARQTQEKGIAERVWMGGEEEGWKQRRLREEAEALAEGKGYGD LIKEHVSDVWRWGKKDNDSKE ACHE_60330A MSFTGNKGFGQFCGSPAYGFQKTQFNRNGAGIKPNGHGPTNNKG GRKKGNNRRGKQYPAGCNNDSNKGQQGRRTPRSQNQQQAGNWTGNQFQVYDQPQNQNQ NPNGSRRTANFPARGGRKNNRRFNPIPVNNKGFPTGPSGRHAKASPWDGDIIMHDAPR IKKPGCRSIQDVAMVDASTVVMQQPVWEEDRDVAMQDAPPLPEEQPVYQYQFDLGRHF LLAFEQLALEKQQERGLLAQGLLEMQSLAASMLHLAPPMQPQS ACHE_60331A MNPSVEELNECENAGIPAQGTKAENGQLSWPQICFQHEFVLSSH LEMLQSAKSLIQSDDNILRTISSMLDKTNKLMSQFRIVKEQMKANGKQSAPQVDDANS TTTSGSNPPVRKRRARSGDSNENGVESSRLQDSHSRKRKRVEIPGIDEDLRNTTPVSA ETEDISEEVQRRLEIKEEQRKRRNAKPEKRKRDKDSLLSNDGSSSLGDTARPKKKRRE EQTG ACHE_60332S MLKKGKYVNYYPNIEQRRLSNIGCGQDDLAGISAWVGTTLERDI EAAMLNTELTLD ACHE_60333A MPEAVKRVLGDATNNPRSPLNTPAAIKKRKLEAEATVKVNSSSQ NGQRKAFGSSQPQKSQFEEEVLEKLTQDISGLKDSNSEKDQQWERPPLGEFDPAKENI CFQQIDAEEGTIMGGKTAIRLFGVTEVGQSVLIHVTGFQHYLYIAAPVNFTKQDCEPY RAFLETKTGLFQHAIQSVQITMRENIYGFQGNQKSYYLKITVTEPKYITKVRSALENG GPSMNYKGLWSSSDSGILTFDNIQYLLRFMIDTDIAGMAWVEAKAGKYHLLPSNEKLS TCQIEASVDYRDLISHPPNGEWAKMAPLRVLSFDIECAGRKGIFPEPNHDPVIQIANV VTRYGESKPFIRNVFVLDTCSLIVNTQVLEFDKEEKMLMAWRDFVDKVDPDVIIGYNI ANFDFPYLLDRAKHLKCAGFPYWTRLRGVQSQAKETNFSSKQMGNRDTKSTNTNGRIQ LDLLQLVQRDYHLRSYTLNSVSYEFLGEQKEDVHHTMITELFNGTPDSRRRLAVYCLK DAYLPQRLMDKLMCLVNYTEMARVTGVPFNFLLSRGQQVKFISQLFRKALEQQLVIPN AKSTDEQDYEGATVIEPVRGYYGVPIATLDFASLYPSIIQAHNLCYTTLLNKASVEKL GLQKDEDYIVTPNGDMFCTTKVRKGLLSQILEELLTARKRAKKELGVETDPFRKAVLN GRQLALKVSANSVYGLTGATVGKLPCLPIASSTTSYGRQMIEKTKQEVEAKYTIANGY SHDAKVIYGDTDSVMVKFGVTELEDAMKLGQEASEYVSSKFIKPIKLEFEKVYFPYLL INKKRYAGLYWTNPKKFDKMDTKGIETVRRDNCLLVQNVIETVLNKILIDRNLDAAQE YVKDTISDLLQNKVDMSKLVITKALSKSDYTAKQAHVELAERMRKRDAGSAPTLGDRV AYVIVKGAGGSKNYEKSEDPIFVLENNIPIDTKYYLDNQLANPLGRIFEPILGEKKAN QLLTGEHTRSISVAAPTLGGLMKFAKRTQTCMGCKKPLSGKEEMAGAVCEHCRPRLGE LYTKTLTKVSDLEVRFGRLWTQCQRCQGSLHCEVICSSRDCPIFYMRMKAKKDVEDSQ KELARFDFDPGAW ACHE_60334S MSMFRSAAELSSSDSQSSSDEGERDVVASSSYHAGGATQPSARP IKTPPLISRSSSYRRHEVPEAKDIPDVDTGSHANMMTAALLEFYCLSRATDILNAQEG SHGSYTRESPEVQYLGKRMYAFKSQFLSSHGVLASGVDKEDLGTTRQYYRDNLDLLGV SALEGLDLNDRHVQQSPISTTKDLALASRSSSMRFLTESPHGGHAHHMDQRREILSLK QFSGGRNVTPLEDIRLDVTKLPHRTLPFTGSSPVSFPLFGSQPSSPVTAMSRYATEFS EIGILGRGSYGEVYHVQNHIDGQNYAVKKIPLSQRRLEQLQFGGNQLETIMKEIRTLA RLEHTNVVRYYGAWVEKANFSQRISNRPTPFVSNNGQTAFDQEQTQSYLPSRDQTDNQ SYGVVFEHSESSMVETHSDTMSQRSQSTTTSRHSKRSSTQFDEEIEPIAREFSVPSQG QLSTFGGNDSDIFSDGLSHDASKMQVQRRARDGTNDPAVVLHIQMSLHPTSLGSYLNP QPSDKGTRRHCYHLVPSLRLMLNIISGVEYIHSKGIVHRDLKPANIFLSSPENSNLEI CGTCQKQPELDCRYCHPRIGDFGLVADISHIDDCSRRGSVPSQNMNRVVGTEFYRPPN NSNGLGISESDESITDEDYYTIDERLDVYALGVILFELLYRLGTKMERQMVLSELTRG RSSSASIDIATQRTVFPSDFAEKIDMGQVPLEEGMSVAESVMTCIRGMLEPHSKQRWR CSHVKKHLEGILCTLEKAS ACHE_60335S MKPPAAPYSSPLLPQLPLQNPYYNPLHHNLRASVRSYIETSIAP YAQEWEEAGQVPESVRRRHCELGFSIVHPLTTPEDAGGLSLPGTVPFDKWDTWCSLIL TEELTRTGFVGVVWGLGGGNAIGGPPVARFGTVEQRRRWLPGIAKGEVRFCLGITEPD AGSDVANIQTTAKREGNYYIVNGAKKWITNGIWADYCTAAVRTGGPGKGGISLLVIPL KAPGVTRQRMHNSGVNASGSTFIELDDVRVPVDHLIGEENKGFPLIMSNFNPERLSLA CASLQLARVCAEDAFNYAIQRETFGSPLIRKQAIQSKIFKFGLMIEPAYAFMEQLAHI LELTKDQPVDNVRIGGMTALLKVMATRALEKSVREAQQILGGAGYNRAGKGARVEQIS RDMRVHVVGGGSEEIMMGLALQEELKALKTRWKALESRKSKM ACHE_60336A MSLATLDNSRQTSQHPSLPTASITLFNAKASKKLTFEQIGHHLN RSEVAAAAIFYGQAKASSEDIHNLSSLLEIPKPLLEEQLGGFPDRGRSVEMPPREPLI YRLYEIVQNYGYAYKAVLNEKFGDGIMSAVSFSTKVEKETDEGGNDWAVITLRGKWLP FSRF ACHE_60337S MKAEISSQTDISSKDFFETQSANSRSQMTSLKTRENKLNSKVCL LEPLQEQVYNTRAPVFQARGARSVFTEGGRVSTDVGLVTLEEEINSFSSALEWKQRFQ EIYGIEYDGIASEKIPGRVVETLNFRADFQLLPRYRDTSSVTTTLCDTMVMMRWSADG RALIT ACHE_60338S MANIPTRNGTPGTSQQSQDLRVSSSGSTTAVRNEFDIEIEETYP VENSSIVSEKGPGTNDARGHQDKNAKDVFSSQNAPSTVDSVAERTQFQTNDSDGREIV HHGPAVEVDEVTQEQSHQQVFPAMETASYSNLEQVGTEQGYPDPPVTTSLGLPEDHIE ALGTSRQSSARMTPVCGNSYVQTSQSPSVGVLDNTLNVGGES ACHE_60339A MACKALYGKVSSVFWRASLQSIRTDLSCANLGKLETISRDAQLR HYVKHMAFAGFDECLENLGEGYKWGSHRHQSGHLVNLQEHPAVKRLTDILCQLANCKS FEIYAVLTKVRDQSDNDNFRPTDSITTLLDVVARAHLPVTALVVNFMDISNYAPDPQR LQVSDKEQFIAIGQHLEELKLVYELDDDIVRDWTFNILLHTPNLRMLHLKGLGLSGGT ELIHRLASAGPPWLQLQELHLKCIPASIEDLMVLLQRCRHSLQVLKIDIMRMYANVGD VKQMLRTLSDSFPALVSVSFDSFTLGVIRRDYFIHFPVVAENPFVDELQGTKFEFATN NTRGWQRVFRVAYSGPRMDVALDILARTVDGAPASIS ACHE_60340A MQPITFLRLCLGLLLSIFFLASLVQSSPLPQDISSSSGALEIRG KTRDLFKGVFSKKPKKGQCTNTQKELQSNSQTSSSNLENLWKTVSENKRAATGHVQKH TLDPNTAQRFATTEFFGCTIVTVMDGRNVFLGHFAEENHCGKLLTDPATVEDVIIFKM EDYFREGSWSSESMAYILYKPQGGRGQTATGLEMLKAEMVRGGLREDNIQLKPYAAVG GAMADTSGPKGKVLVDWTKKQGGGNHLAYYAESDTPVYQQDFDAQGNPCAGAAEEECE T ACHE_60341S MSQREDPLAYGHYYPQDGSRSGAGESARGLVGDTFKKLKATYKS HQGSPAQLQQTGNNQQQSYNPGDYGQQYPSTNQGQQPPNAPYQNPQSQGKPPKQDKVS GLLGKLQGTVADFGSDFAQRLGTALDPQAYAEYGQQKPDTDYRFGSFAPARQGNDVKW YVDGCSYFYAVSKALENARESIWILDWWLSPELYLRRPPAKNEQYRLDRMLQAAAQRG VRVNIIVYKEVTQALTLSSSHTKHHLENLHPNIAVFRHPDHLPDAQELTASITSSLQN FSLDAASLARMPGEAIKGIYGMNDDVILYWAHHEKLCLIDGRVAFMGGLDLCFGRWDT NQHSISDVHPADLNEIVFPGQDYNNARVLDFQDVSHWEQNQLDRKSASRMGWSDVALS LHGQVVEDLRRHFVERWNFIYDAKYEVRKEQRYSRLALYGRPSSAVSQGSQSQQPGVG QQQPQSSQPQQPQQPQQQAQPQWQQAQAQQQQPSPQHPHWQQSPQRPQPQPQSQAQSQ WQQPAQSQPQWQQQAQQNSQHQSPQLPELQASPDMKQQAQPQTQWQPQQPQLPHEKPQ WQQQHTEYKPYRPDGASNTPPPQNSGSPAPSHQSPPPSYQAYEPQSTSQQYTYTGQSF PPPPPGPPPNKPNQPQVQQSQQSQKQGQQGQAPYFPPPPTQEGSQYQTRCVEDHEGTR GVGDLDRGLALPRRFKKDDLMQYSNNLRGQLAGQIHQYQDRLTTFGRPQAQARGNMSC QIVRSCSKWSHGSDTEHSIADAYAAIIRNSEHCVYIENQFFITATGDSQKPVKNKIGA AIVERILRAARAGQKYKIIVVIPCVPCFAGDLSDESTLGTRAIMEFQYNSINRGGNSI MELIAKEGYNPMEYIRFYNLRNYDRINLSGQLAIAEQRSGVNYEEARKEQDVRTGGPG GYGPSAPRSAFDPSAPFQQYQNAAQQVPGKPGQWDSVSSCYMLNGEDIRKVPWDGPAE AEIDAFVTEELYVHSKVMIADDRIVICGSANINDRSQLGDHDSEIAVIIEDYTPIPST MNGKPWTASRFAASLRRQLFRKHLGLLPPQDPQCPDANYEPIGVPNNVDFDSPESQLV ADPLADPLYSVWNTRARTNTEVFRKVFHAVPDDTVRDWATYKEFYGYYFHKADKQAYG EAKDAAPARYRYGHVVRDNFPPGPEGAKQVKELLSQVKGTLVEMPLMFLIQEDVAKEG LTLNEVTEPIYT ACHE_60342A MTDTEDPLIAALPPATDYLTYLTILEYQLTPARLPTLHKLLQDE TLTTNIGWDLVQLLLPMLPQSSECLQDIARLGNPREVILRVSDALMKLQPDDEDEEED IGDTGEGSSVQETQEMDGSLPRHILKFNCLMAMLSVLHRRIQTKSPSRFIATSLQAAL EAYTLMPTNETTIALLEFFRDVAPSKRPAPPPRAASESSILRVSEASAPDPEAEAQST APSSNDETILVRKFLQFGLIELLKSYLLSFSSPMDSGMSWAIRLQEKLRPNLCIPGRE SQTDVYTSTKHLKERDLIMAKITALSRDFGLDDKQLLAIVSQPSESHPPPLDFDEPPK NPEDIPLERHGSLLLLAARAAVAELFSSGQVTPIAVFPDLAQLFSNFVGGFKTPDEVA FGQPQPLLDSLLTLTVFSMENAIGEPSSETEFNNFVLELTACTARQNYSTLRQIPSTI IHSHPSEVTRFKLIRKVLEDDTLLTAKDSAIGWLKDEILATTEQTPESSIFQDSHYFS VLFPLLFNSTHLFLDVSSEIVASWIKFSQTLTPSIHAALGLYYVIVSSPKLRERLQLE KTYLSFRNRFLEPLKSLCHAFEADLMDHGGEGRIQSAVGEDMVEVGMARSVGVVSHTL EQVEDTVGDAFVLSDKELQEPNADDIARVDAIQKETAP ACHE_60343S MATTEMQSFYTPSTSTHISSHAPAAVDELINKYSSTMSTTTNTS STSQPRRGVLGRAIDRVHLEYYRYEVTFGIYVLTPGEKLAANTFVMVFMTLLLWALLL YFPALLYNKLSRLVWLLTGHSGEEMGAVLGILETRAGATSPAFSSPTS ACHE_60344S MAVNDYYSSNYYGNPPRYDDLAADHDSFRRNAATPASHASHVSP FETSDSDLRHPEHSHQTLASDPSAYPAAARTNEHDYYSENIPLNEHEQGHYANGPPPE WMRQPTHYPPSPEMMEVPIDPERGRKKKGFFKKKLPWVTYLLTIIQIVVFIVELVKNA QFTGSPIETKPSFNPMIGPSPYVQIYMGARYAPCMKNIDGVQNSDTTIAWNCPNSTVA DSMDSSNQCSLSQMCGFNGVPNPVPGGSLDDKPEPDQWYRFIIPIFLHGGFIHIGFNM IVQLTMGVDMERTIGWWRYGLVYLASGIFGFVLGGNYAGQANVSTGCSGALFGILALF ILDLLYNWKDRPSPFVELVIMILGVGISFVLGLLPGLDNFSHIGGFIMGLALGLCVMR SPNALRERIGLARNPYVAMSGGAGAGPDDNKATTPGPSFVDYLKGRRGLNDQHSSMNP INFFKGRKPLWWAWWLVRAGALVAVLIGFILLIVNFYKYPKSNCDWCYRLSCLPVKNW CEQDQLNFKTTTTSN ACHE_60345S MATLAGSPPSSPSLPKRRPKAWALRCERYCCAALSSFPLAFVYS LTTWAVYVEASIGFRPSSNRWIGAPTSLLGILLYICLNASYSVAVFTNPGSTLTNNSR GRHEYSALPVTELPEYTAYTVSSTGSSRFCKKCQTPKPDRAHHCSTCKRCVLKMDHHC PWLATCVGLYNYKAFLLFLIYTSLFCWVDFAVAVAWTWSEVFGDTQNMEAILPVNVIL LAILGGVIGLVLTGFTGWHISLAVRGMTTIECLEKTRYVSPLRKALDRHRYEHVLGAS PNHHSHESGLGPEGLGHRLQDYGHQILDAHANAIPGVTRPEEGEEQTPDPSIYSGSTG VPMDNLSPAQQALTRSYADLERQRENDRYQEYLNEEDSEKVPRAFDLGWRRNLLHLFG DRPLLWPVPVCNTTGDGWHWEPSPKFLEARDRIRQQREQDQVEQQKYQRDLYLRNMNN SQGWLGRSGTMPEEPERPATGVSMKTLAPMSPRPRPGDSDYEDDVEEEDHHLLNRDSN NVPGGGGARRPKTAPKRYRQSNSASDEWRDWD ACHE_60346S MSSSTRRLLKETTDLQKNPSPHFTAAPISDSSLHDWHFTLTGPP APSPFSTGIYHGRITFPPTYPLRPPSFRFLTPSGRFEVNREICLSISGHHEESWQPAW GVRTALLAIRSYMDIDAKGQVGGLEMSEQGRRELAGESRAWRCGVEGCNGGKTNEEVL REWREGVCRERGVVDEESSQGGGGEGEKQEKQEDETRESDAAQSTSAPVAEPAPAPEQ ETETAPEEPREPSRGSSQPQPQPQRQSIPSSAPQHQPHPQPRPQTQLQFQPQPVATTA TATTATAAQDSPWLDRAIIGVLIALIVTIMRRVFRDEDGDV ACHE_60347A MARSLQELIDYLLAEIALCGDQGASLPDILNFTTAFYAKAAQDA SQKTHTVDRRFQAKVWSWLTRHPEVSVGKNREGNHLTFDDAERLSQNADADGPMGDAP RVFVTEERTWLAVAGHEPDETKILPTEFALLSIIAASKSSGVVQTELVRLSGQDKRSV PKRTDRLQAKGYIQKRPFQFRSIRTSLCILPQFSKPEYMAEAPTNRGAEATDRVIDFD VFTDRLFEILKQHGIIAREDLKKILGFSDRWRWKVLSRALRKFERIGVLKRVKAMSQY KDTMNNSYPCVKLLREPSEKDLELFHLFSRNLMGQKEDDADEPDEDLEEEAGRNQTSE GTLGMIKREDNVEESGRALPIWTPDRNLHHMIFNVIDAAGANGINNFGIVRICFGGFF RRPLENALGRLVECWQLSQPPHLRHLAIVRDTVLHRTQAMYVYYSATTYRNLVEAGEA AWEAVEYSSKNANGRIPPVDVVPKLDEYGFPLAGPSKELVKKGNASLLECMAIGKPQD YNRSFSDPVAVQLEDGSYAFQIGTQKRANPPPERDSQPSAPRGRPKKEPKQEIQEDIN MDTVTADNGNTEAEVVQAKRPKKPRVKADRFKGMSEKEKLEALGMDETWTEYNILLME RPNPGVYATPRGRRRPVGKARGRPRTSQLVVFRSPRLVSFPWFMEETEAEAEAMEGIA ETGEDAAPETPSRLPGGETPIATPVTNGPAIEDTATPITISRGIKRAFHEGQPVDGEP SPVAATRRGRPPKQRRKNDEDNTDMQDAPATSQDQHGPRNRDIDTAEHPVKRKRLPSL AEPERAAVEMQEPSRSAENKASRRQPKKPRHENEPALQNGTNSAVPISKTPQPLSQLG PRSGGEEGFEERQTLANEAQIVGAMVVNHDGPSNVDQPHAAADTTTEPRKKRNKKGRT DIGGSVAVLRKQVLTEIVDKAGGAYPMGSELWYPFATAWMKTKYREKPDMRTLRTSVK HLVDAGKLRQLTFSGRDDKGVMITKSIITKPELPPSHPTVRDMQQKMLSAGTRFYFPP NSDIHPDIIKGSNGLIKREHRPITQIPVETGLTVQLQQKPNYVLAKERKIQRQFWEMF ENEFVETNEPRAGRLMTIRRPGHGSSANNMTSIARPRSMAVGEGILRRAGEIKRLRTA VSCLPPYAMFMNATQTFHAASGTFGTEPGVAARRPVLRKKPPSLSIPLYTMFMNPRQV FNATTGTFGTHAGAAPRRGRRMYDPPDSLDALFAQREPPALETAEITDPVDQFFRESN DLLRWELENEDFFNEKRDRLEYFNRTVPGSFDSEPIRRNIRFDIDRRTERAIPREREP VATRRATKQVTKPVTRQPTRQPTRQATRAPPERRLEKLTDLSTPGSHKASPKQPIRRN RIVHTLPPEFVQRMIASIVAVRVLAGGLEAKNVDWPLVAKCFPKHEGQFIHERGKSIL SRNRLQVAKMQSDFQERFIEAYATDKVPRIDYDNLDGYDWEGVVDWAQAQLDIPSTEK LPNLPATKAQFDSVFELREEPPPALEEVYHGINSVTLNRKRTLIAGVPFAEPLRPKHK YKHTTTRNQRKANLDRLEIAKTWIRANIVTPQESYNATEARKSLERFGEPLIQNAVQA LMTERVIMMGNGGRITPGRNYDITEVFVTTLRRRAIESSQLRRAAEFKSTILDPAFRE QGSLEVNYTADDGDILALINLAAHRKIILKPRDPPREKFGLTDGGYLTRLIDKQKMRF VVQITPAKDNYIFGNPIEPQLSSTPPPTLPQTPSDKIPKIPVWLDIHGNFFKLLWDLV AGAVVGCVATRPGISAAGVASMMKPTVGAWEVQVMLEWLERVGIVTRPNRKGGSDVYR GEKHGQPGWVVDEWWWMVTGS ACHE_60348S MEEGTASSIPSEPPPLPYSLRDRKKSITIFWTIFIIDTLGQPLA LYWALWYATDLSHNVVFSIVTASLGGVSVFEYFYRLYNLFRKNSRARPLNARKSWLDF FQINFTIVWLILAVELIVGTVPEEPYVRLVAMVLPTVMFYFGGVHLSLDVLRACGYKA PFRISSTPKGSVMPTALYVLIEDVVAVDGGGGQVYRYALRTRYLSSPYFRRMLFEMNC FWGGGSVIFAAAITAIIFTTPRPIAYTLGWTLPFVWAAVWTCITIPWVQSDLRREKEA WREGRVQGGVPFTDDIAAPTARTRFRSVQENLPHLLPWVREKQSNPSSPSEGESPPPP ATQ ACHE_60349A MLPGKDLKSDNFLIASEDPATLENYIRDQEANPPNEMSAGRPIF ESRPDFGPLKKGVRQVKISDFSAAVFGNGPVSHRNDIQP ACHE_60350A MMVALFNPTTILVGFLLLYLSSFFIFAIVRIATGISIQRIGYFS LRRIAYAPSDGVQIEIRGLGLSLHPPSFAQPTWISIRITDLKVTLDLSALAKGKGRNK GAEHHSDGSETPSLSEDRSEQEANGATSHASRSKTWKTLTEIKEKVKRLHRQIHWLAL VDVVAVNTTLRIQDVGQVQVGSVSLAVDTRRKMVDRGKVFRRKKDNSLQRRPAEWIMN INNILLSVDGGEPTELLDHVGFNIHGHLYKGLDGLRDTSVAAKIGRLHIPFDDLTSFM GRIQQFKRSTKGSVNDSDIGNEMSFADFVGELDKPGSRDDAIVQTVADSKELVSSLLR GIQEIQVALSFFRLSRSIEALSTDQNPMYLNIVSHEIGVDLHRMDQKSPAHRMYFQRT DVAHQALLAAISLSVSLDDSSGETDNILYIPMATTTIKTTLPSKTVSSSDNFHPDERN TNILFANLVITSPSLDLEPQHVSRLLGLVQGQPSPSRGKKRDNHHLISRLLPKASIKL SVHEPVVRFVLPAGTDSEAASDDDYNLLISSISSISLDIESSHSSEGGIHYALASIYR VASHQLYYQTPSGVKHNLLTTENMEFKVHLSASPEVCVIASGSLNTCSAHMVNAEVNR GIRQVIEQFSAVLRPKKRMPTLAEERKPSILRRMPPWLLRFQFEATGFSLEIAGVDED VSDVSRGVSLQLQSWTADYKAQKTEPNVVSIARRRTPSHSTIGDESPFRFPPTSPPRQ MQKGAADGRRLAIHVRGFEGFVIESDDYLEPEAFFSLPRFEVSLSTLSDRLGPIFHIN SMIKGVYLQYSLYRLYCLGIAMSVLQDAFMQGPSNKPDKEPPSDIKNAASPMPPPRTP GPRNELTSIDVRATVVQVKATLPSDPKMMIQIYGVTAGSHRLSAPFLRAQLVRLHAEA PKLHGIWARIGSMNNVRIDLRKIKLKQGANLVEERSIDMWADFIRLGVPHHMVMHRIF DNIINTTKAIKQLRPRFTGHPAELETDREPEEPKKVPRVSLRSKALLFELEDDAFEWK LGCIYRTGLLEQRQRLAREEAFDLKVQKIKESNQQRGSSRLRAKSSHRTLRSERTINE GKRRSKSADGHDSSNGKGRKFRYDTEGSTCLSSESKISAEKAWYRLQEHNSTNWKKKI DAALRFQDISVREVRSLFSGADEPPEDAKDTEPILAIPNRPGLMSALISDVNLVIDKP SFPFDDYPKFLHAIGKGMPMSMQYALLIPMSIQLDMGEARVTLRDYPLDLIHIPALRP GQPPRMPSWSLRTDFVIAEEFRDQKSARQVRLELVPSSPLPGGSMAPPVEIDVWRSVS PVKTYSNPTIEINTNLPTSISWGMSYQPVIQDMMKIIEGFTKPEIDPSDRVGFWDKIR LSFHSRLRVVWKEDGDVHLRLKGSRDPYVVTGFGAGFVMCWRRDVKWNIHTSDDPKEF MSVTSGEYVLAIPDYSHEARYAFEASAQDLESISASSDLKNAAHFKKVVMKLSGDVRW GAGLVFERIVDGDKRSSEFRPHYDVVLRNPKFVDPAVEPNYDAYSGFRSDYIHLNISV LAPESRDWSVDGVQPSASYNTVHLTPRFFTHFFSWWSLFSGVMSLPVRQGPLWPGITK AAKKFNRHLATVKYQLLFAPLFVAHIYKHKDREDYGEDAVVSTGLKVRLDSFKLDIHQ RREQTHTQATTGPSNETKATAMRINQAQLDLLAADFRAVSARIEGTNAADIEQNRDDI ISSFQQPVAAVDLSRFMIPDHNLDWIDMDDFVELDWILPQESNPRTQILPLAFTPRFT YFRQTDHGEIAPGEDGYSQFGNEQTHECVMSETNDPRRVQMELIRDRLATVESQIRNY DRAIGEHELRMARDVDNNPDLKSQHELYLRQAESLARRRNFLSGGLSRLEKHLAKEEA QKKPENLASGDSSRSGTDTESTTESQESYLGGLYASPHDEFASDFNNRFVIHNVQLKW NNSLRNIILRYGHQVSQRRGFVYYMSRRAVKFILDIVAEQSKHNPGHPKSSKPPSKSE DEPEAEDGDDESVEERIEQLLSDAKRYVYADDQADPPDAAKRKGSRDDSTANIAPEFT PQNSYHLRLIAPQIQLQSEKNQKSVLLVAAKGMQLKVVSIMDKERVSDDVSGLVQRRF SLEMDGAQFFVATQKKLMAHLQFYAGNKYGNPPGSAWPPWLTLEAMFDFELNPFGFSR IVQKTSASLRYDKYNNLRLKYNDEVAKGDSSMHNDRTANEARMDQIFVDFPHFRAICD SAEYYSMYIIVLDLLLYSEPLEKVRNERLEKIMLTSDFSDLRGAPEMVFKLQSRIQQL EEIKEHFEIHAKHLDKKGWDDRLSLEDDLAKCEDELFFLMKAITSSQRRAEPTMSEVN GILRWNISASEVVWHLMKDQSEPLIEIQLRNAEYDRTDNSDGSNHNEVAIERLYGLNL LSDAIYPQIIVPYLDQARKLDGPEHCMLRVKWHMLEAVAGIPVLDDFEVSLFPLKVQL EHELGQKVFEYIFPNVGSSAFDNGGFSPMMIKNMQPIDDSDDDDESPQPPPTSYTQGE DYQQGTRGPGDIELRLQATLSLSDDNKAPSVRSNQRKGLGITPLTRDSSKLGADMTRQ TTRPSTTGGLTKKRSADSMRILSKNGEKPQTSSGDIEEKSKKFTLLRDKNGKSKEGTD DLSQMMSRASNYMTLAHIKVHDVVLCLSYKGKGDHNLEDLHDFVFRLPVLEYHNKTWS NLDLALRLKKDVIKALISHAPAILGNKFSYNRPSKQQQKRLREREQANSNQVLQNSDS VANPPASDKPHSLASLDSNSEYSDSPSRRSFQSGASPLQRSNSIGSSMKSGYTQDRAP SDSRSINEADVDSRWEQSRRFINPPSRPMTSGGVEMTVRARNWDGQDESHKTTFKSLG RKLMPSRK ACHE_60351A MVDLKDFKPSTFPRLPHDGYKVSTTERIHLTIASHKDDGGVINA VLILAVAWGTVLASYTDSDDVLFGLAQSQSAVRPCPLRLQREEPVTAALNQAIEITNE SNRKLDGFQNVLIIQNDGGRSSDESEIPFPLAIICHIRKEETQIQAIFDSKLLIRETV EIMLFQLRHVFIRIIQNPQTPLAELQGTGPEGLEKILHWNTATAPQRNEILCHRLIEQ RCEEQPSAPAVCAWDGMLTYAELGVQASRLASRLVKAGIGPNRFVGLLMEKSMWTTVG ILAVMKAGGALTLMDASQPVQRLALMCRKAQPRVLLVSPKHNAVAEELEVPVLMVPGN QEQDEIPFTTANSQIKTVRPYNNLYAGFTSGSTGEPKGFVMDHTAFCSGLDAYCEQSG LTSQSRVFQFASHAFIISLTDQIAPLTQGACICLPSEDQLQNDLAGAIRDLNANWAKL TPSVLRLLEPADIPGLQTLITVGEPMGAAEVTKWQRSGISLLSLYGLSENSKGGMFTS RDDSSCDSCKFNRPFCATPWIVSRHDPNILMPVGAEGEMLFEGPCVSKGYIDNEEQNR MTFVEDPAWLKQIRSDGRGRFLRTGDLVRYNPKNGTLNLLGRKGTRIKIRGQRVELSE IEYHLHTQFDNTKEPAVVEVVVPSDDSQRDPILVAFVPVEKPGPSLQEHSRLENLFVR PSKQFRQRAQTTLLNLSKVLPSFMVPSAIVATTALPRTPTGKLHRRMLREKASKLCRR DLLAYTSNETAHQDPITKQETILQQVCTEVLGLPLDAVGMQANFLDLGGNSILARQLV TQVRKSDLHITVADVLRQPSLSKLALCDKSSSDSAALALDDVATDPFADLRTDLLSNL PASWDAEDIEDVLPTHEEQEYMAAWPELDYHLFELTGPVDADRLHRACQALVTNHTIL RSVFIPFRDTMLQVVLRHVNASFSAYASMDQDALSCALAYREADLQQPHRADKPKLEF KLIQDAPEHFILHFRILHAQYDAVCLPRMLSDLWTAYDGRDIDVVSDYSDYARECSRQ RKPAAYHFWQDLLKDSKITPAPVGEVPVPDEQRIAFEVDMPLVTPPSGITMATVIKAA WAIVLKEFTETTDDLVFGQFVNTRNLSLPGIDDIVGPCFNVIPVRVPRTMLSRSIYDL LQTIQSQHAECIDFETIGWRDMFQRPGDPTADLPGSVVKFQNFNPEPERHIGQLHCRK LPHMYFKIPPFKTVYLIVYPRPTKIILALKSSNAMLRKEESEKVLDRLRQVMQEICST ACHE_60352A MKLDVAPSLFAPLRTIRLHDLCRGFPEEKEKLYAAATEDGIFYL DFSEEQNEFDLANLTEGIYSLSRSLFDLDLEEKLQYDVDKIGELKLNGYKPISRNIGG IKGQRDGFESYAIPRNGILAFNPFPHPPLLDTNLSLLRNFTLTCLEIAQYIFGSLSDS LDLPDSHSFDTFHRPDAPAPDILRLLKYAPSSDGDSEFRVPQTPHTDLGSLTMLFADS PGLQIKPDGCDEWLYIMPKQNHAVVNLGDAMSLWTGGLFRSVLHRVASLPGRGMNERY SFAFLMRPENQAPMVPLLGPSLPVAGEEGILTSENWIKTKFGILRGETANDRILTGRR DANI ACHE_60353S MLEGRLQLDPSRTQPLTSTLFTVHGFVGLVSAPIIAHFADKTQD RKIPLLIALAGCFAGTLMVALARSLVILFIGRVFQSISGSAAWVVGFAVMSDAVSMRD MGKTMGIAMSFVTAGIVGGPMVGGTMFQLFGYWPAWSVPLTVLVLDIIARLIMIEPRS LSPPSFSGKPDSASEETTGLLSGDSPGNPQNSNQYVDDYMPAKPSSAPLGFYRVVLRE PRVWTGLASLLMTSSLMSSFNTTLPAHLRDAFGWGSLPIGMMFLCLQAPGMVLGGPFG IIRDRCGLRIPNAIGWFLTAPLLCLMGITGNPRFPWVGDASHGKTLFTCCMIGLGTVL ILVRGAGAIQLTCVVRELQAKDPFIFGSQGGSSRVFSTSEVVYSLGMTIGPLISGLLY EKVGFCLMNIVFAIACVAMASLSFTWLDGRPEPSVSES ACHE_60354A MRKPEISRHLQQICLPQQTKEYLLLSADTDTSTHEENRQRYVST LKSWSSSWPNGSLDSASPHPVLVMKQHLDQLSQLHEALSLAIEDIIERWWTDDGARFP ERMPLEAEEEDLLRWLHQNRLLRSYKECQGSWRPDFLLEHDADSGIENFRICEINARF CWNGYMHAAFGQEAYSVFDLKQRGLINAADPGTILRGLLGQFDSRHPLHIVKGNERGI DVYMFVEFCQRLGIKTRLITPDSLRLIPHQEGHDGYKLFCLTPDNPPCRGEKVEEIHQ LGLELQQRELRTLSPEMKRQISLRGFNDMRAILLTHDKRMLGIILQELNSLVTRNILS AEQAARLEKGIAPTILPGSPELDDLIDCSRASEQLKDEYILKPVRSGKGDGIQFGDQL SHADWQATLEELRDAQLKPGLTLYVVQRKIYQPLYDVMLGSGQSMSCHKVGTYHAVNG RFVGLGTWRCSPGRLCAVCDGASWITSVVREE ACHE_60355A MQRLVRSLYTSSSWAARSVNIPIRDQRISDIVPLINVSYPECAS QAEHLSIIHKALKDKGVLQLHLGFADDNSHFLQTLLSNLNEHHNHGLPITHSAERGWF WDVRPTPESFQSHGHQARSETMQEFDWHTDCNYEESPPRYFALQVLQPDRYGGGTLSV LKVDRLLRLLSPSAQEGLSSHDYRITVPPEFIKEVGQKYIRGNLLAVYPGCSQLRFRD DITEPLTDNAARSLEEFKDVLSGNRVEEQTLNLTPQSLPRGSIIMMDNRRWLHARNEV KDPLRHLRRVRWDATPFGPAGLSCT ACHE_60356S MMRRVLDAASRGNRLKAILVSSCIACLLWLSIYHHPTQLLKKSQ IAHRSSRAQPPWDEPFALEEFLLTVPDPAKTREWSIYYTSGPHYLSLGLPQSLWTQNK WQEFGVDAYIKEYPVDNPGYPFTVPKHSRLALLDRDAGGHVLYEASLVENSTDVNLLS GEAVIVPPFLSFSPSCNLNASVVYANFGTEKDFEDLSRININVTGRLALIKAGKAQGA LLEDIFLKTGLAGYITYPDPQQDGEFTEDNGYMPYPDGPARAPASVFRQSGPHTHPNE SSTDPIKILPISYADAIPILRALNGHGPRADELGDSWKGGRLGYRGVEYNVGPTPSNI TLNMHIEMEESQVIAYDVVGTIKGEIEDVVVIGNHRDAWGAGAADPNSGSAILNELVR GFGLAVQLGWRPLRTLVFISWDGHEMGFWGSMPWVQENLPWLCNRTVAYVEAPNAVSG RHYFTKASPLLGSLFREVTAQIPSPNQTIPGQSVLDLWGGSLGPQGGGDAAMFVRQCI TTGDFGFEQGEHDPVFHWHSSFDTVAWMDQFGDPGFHYHMAAARIWALLTARLVDSPV LPFNVTEYAVTLRQYIDLIEEQMDETFGSDLSFETLYDALSRLHPVAVKFDTLAAELR ESGSTAFDHEVKIVNGKYRMFERQFYREEGNGVVQSNKNIVFQDSSYKLNKPGFAGLA NSVESGDLDGTEEWLGIIQSKIEVAIDLLEG ACHE_60357A MSGKQNQNPNLEDALLSSLKVGAVSGSAGLVYGGAAGVIRSPHP VIHSLSCGIHWFACGTTFWWLRSNILNMHYEDKATAKHRSYVSALSGGIAGGGVTKLM GGRLLPGTIVFSLLGYVGQSAYNAIDTWQMEQANVSSKPFLQRLADSKWVPLKSLSDD DYRGILNEKLLSIEAEVALLDEKIQELEKAKSVGPESVSKLRGA ACHE_60358S MGPRPTPSASATGFNGYPAERPFATREPLPLPTQPPYTAHIGNL SFDATAADVEDLFAGCDVTNVRIVEDKMTKSPKGFGYVEFDNVDGLKKALDLSGATLQ GRSIRVSIAEPPKERDVKEFDWTRKGPLPGPEPTQRRVPDRSTFGRALDNLSDAGSDR PPRRNFESDGKPRDFGNWERKGPLSPVGGAPREGGRRSSPAWGEGRSQEGSRPPRREL QERTPTAAELDNSWRSRMRPDQPSNPPSPAAAPAAPAAPATRPKLNLQKRTVTQEVLS PAASTESKASPFGGAKPIDTATREKQVEERRQISLRQKKEADDKAKAEKAEKQKAAKE QAKAEKPDSNGKDGAEVPQGGKNFDILRRAGEDESGMAADQDPAEEAAKAAAASQEAP AAQSNGNWRSGPAEAEAAGDDEGWSTVSTQKRNNRRGGRALA ACHE_60359S MDSCGNDLARPWKLEVRSSKKFVIWVVSVGVFTDVFIYGMVVPI LPVVLEARIGIPDEQLQAWMSILLAAFGCAIFIGSPISGYFADKGTSRKSPFIIGLLS LAGSTVMFWFARTIVSLVIARVLQGLSAAVVWTVGLALVVDTVGKEQVGGAMGWVSMG MTVGTVFGPFMGGILLSWAGYHAVFAVAIALVGIDILLRLVMIEQRSARKWIQPQIVA ETDALIGAASHYESFEDDASSPSASCEDHIRDDGEPVDAKSSPLPGIVRLVSSGNMLL VFAATVVNASLYASFDSVLPLHIMRTFDWGPQGVGLCFLPLFTPSFLSAVIGDTVDRY GARTIAVLGFLISFPIFVLLRMITTNSTHDKVLLLIALFFAGLAHVLQMVSLMVAVHY IVESIESEQPGLFGHQGGTAQAYGLYNVAWSGGQVLGPLLAGFLVENNGWQTMVIVFG AMSGVTAMVFLGAYG ACHE_60360S MSTTTTTTTTTALNTTLIGVPTDITASEKYCASCGHEGVLSQGE MAAEEAQRRIKELEAQVQFLKLQNTGSVEKLAEYDEEVRRLRSQTSAYTPRTSRSSRS SDDMDKSLSPTQLPASNGPVQPQPQQLPQQQSRFTALTSFFPYGRRPSATPTPPPPPA SAPPQTQSHVIPPHPGPDPEETLQLQNALNREQNLRKAAENQLSQANTELEDLTAQLF SQANEMVAQERKARARLEERVAVLERRDVEKRTRLDRLEKAMQRVERLRAMVG ACHE_60361S MQHDFKIGMAIVGSGNFAREEHLSSIIDSSDWGCILKAVYSRSL QSAEKLAEDIPATVEKIKRGFPVPDVDIYSDDSGPGKGYTDLLARDDIMAVTIALPIT IQPEYIRLAIQAGKHVFSEKPIAKDVATAIELIRWYRSQTGPDRKILWAVGENWRWMD KYKETSTVIANQGCPKSFRVKVHSMIKPNSKYHKTEWRRNSEYQGGFLLDGGVHVVAA LRLMLKDSAYNNPLATVSAQCSLQQPHLAPINTIEATIEAKNGTQGTLSLSYGSESND QIYDFIYSSGSITLDGDTLTDRGEIAFTGRGVTSEMRAFACSILDRSGVVHQRMSPEE ALADLEVMEKMIQSSEHGGEKMALKYQVWH ACHE_60362S MVLAKKHVPIVKKRTKTFWRHQSDRFKCVPSSWRKPKGIDNRVR RRFKSNIPMPSIGYGSNKKTKHMMPSGHKAFLVHNPRDVELLLMHNRTYAAEIAHAVS SRKRVDILAKAKALGVKVTNPKGRVTTEA ACHE_60363A MVTSLIADWRLWSLNDKHDEYELQSPKISSWNEWKDFNLDTIMN EYRDLLLFIKILYDPMPTPPRPIRNEELFKTSSSASWTDEFDVLSACASWLWSNKTCW ETVPSSCSMKELAPRSSTSSIQTLRILSLISPKLPGRTVPGELKPSWKWSLELQHGNI KQRTEFLKTRHGFLLTDAALVAIRRVNDLAEVDDAHLQLSKTIPWTAYGNEKEPQLAM LLGLWYLVDGRQVPHPAITNATKTLQTQPLHLWEQRLRTSEGTLCAQDIVPDFLDVIK SIDPNDCNEQWALHPKRFREDGLPLNAVLIEYIPNIQELNLGTYTDEQAKAFYEILQE THEAL ACHE_60364A MSLTPVDTTLKAPPADPVQEPPKVAITPCDPWPAPYYYEGGLRR VRPYHYTYNTYCKERWRGRTLVEIFTSEFRDRRPEYYREALEKGSVTVNGQVAGPDTV IKNGQVISHTLHRHEPPVTANEIGIIHESDDMIVIDKPAGVPVHSAGRYHYNTVVEIL RAQRSPHFLPRPCNRLDRLTSGVMFIGKHPKGAEVMADKLKQRTVQKEYVARVKGKFP DGVVICDQPVMQVSPKLGLNRVRATGKEAKTKFRRLAYYPPQPVQTVVDEANGERAAT PPPALSNEDEGYSIVHCLPLTGRTHQIRVHLQFLGYPITNDPIYSNRRVFGPNLGKNE SSADRDGEIIDRLSTMGKTELPDTVHSYRTHLTAAPDVPPGTDPKLVNEIMTREHEEA SIRYLRRKGEMLSGKTCEICGTELYSDPGVHELGIFLHAVAYADLEGDWKYRSKMPSW ALPPQGCEGPQEVPDWVFGPESEEVVYGHGVVPESLDDEPKGQGGKDGVVKGKQGVPS LIRGVGMVDVEKDGLPET ACHE_60365S MAPKELAAKKARSRADYAFHQEYRTRWFDNDMYAHLNNTVYTML FDSIINTYLIGHCGMDPFTVNNKDDSSGPAMKQIAIIATSYCDYFASVAFPDVLELGL RVERLGKSSVTYEVGVFRKGEEDVKVVGGYTHVFVERETMRPAAEGMEGTVRKGLEAL VKEPKAKL ACHE_60366A MSPNLFLCLRTLFCPAYWFQRGERISGSIHREEHWESPVPGIYK YIPGRGWHLIYRDGNKYDEKMPVPLIYCRILHRYIFEDEMEERCRWHHFSLEEGAAPV RRMFFRLDDGYTWVAGWDAKGRFLPGPYVKWSFDTETNAMRRVYEPESSNVSRCSSIV PSKLN ACHE_60367A MTCATKTSCEGCSCSNINTPINIEDCESELLALRQRTRDLEKTL ASVTKQASVTPTKRLRSAQWFDNDDNPGMTALYIERYLNYGMTRDELMSSNKPVIGIA QSGSDLSPCNRYHLELAKRVREGIRSAGGIAMEFPTHPIQESTRRPTACLDRNLMYLG LVELLYGYPLDGVVLLTGCDKTTPAALMAAGTVNIPAICLNVGPMMNGYVKSELAGSG MVLWKGREMYAAGEINKEEFIDYVSRGAPSVGHCNTMGTASTMNALAEALGMALPESA AIPAPYRERAQCAYETGLRIVEMVHADRKPSDIMTRQAFENTIVVNTAIGGSTNAPIH INAIAKHIGIDISLDDWDRLGFHIPLLLNMQPAGELLGEEYYRAGGLPAIMAELLDAG KLHADVLTCNGRTVTDNVRGRHSWDRRMIRAYKDPLVADAGFVHLQGNLFDSAIMKTC VISQEFREKFLENPNDPNAFEGTVVVFDGPEDYHHRLEDPSTPINEYSILVMRGAGPL GYPGAAEVVNMHPPGRLLRQGVHSLPCIGDGRQSGTSGSPSILNASPEAAAGGNLALL RDGDRLRVDLNQRRVDILSVSEYELRQRREELAIRGGYDNPQSRTPWQEMFRKETAQL NEGMVLREAVKYQRLAQGEEPRHNH ACHE_60368S MKGRKRKSSTMQLNARNRLSKKKGASEIKYGVLAVGQSPDTTRR TMVEPRQGDQIEGFTLQIQESSQSQSDKNQAEKRNPKPQQPEMPRFPHMIFPTPEFTR ITGRIGFGPETNKPGL ACHE_60369A MDKKITCYLDCVSPYSYHAFSYLQKNAAALADLGVEIEFVPIFL GGINVGSGNKPPWTLPAKAEYAKYDGKRAQEYFGNQFEVPPWFPILSLLPQRALTYIK KSTSKSDYEAAYLACFETMWKRQLDISKPEHLTTALRRVFPEQKVQEILTGASNPEIK ADLTAVTEKVVKEQGAFGCPWFWVRNAQGQEEPFFGSDRFHYMWRYLGLPFEDVNLKS RI ACHE_60370A MAQPVIPPHPADFDPLELDILKRREAFSLPPRDVCDTLVKIFFE WIAPILPVVNRQEFMRKYHSPNGIGPPILLLQAIFMVAARFTTNQQSSDGRSISTPRV FHKKTKALYNAGYEKDPVTILQAVILLGMYWDGPDDLTECGIFYWSRLGTALAQAYGM NDKERYTDLNHSERSLRKRIWWTLYTRDRSVAAAFGRPLHIDLSDFTVDPLTESDFIE RDERLQIEYPCDMAQARFFIEYVKLCQLMDLGLCLKLSARSTQDNRRAEAAQCELGLS EWLASCPLELQWRQSRHTFLSGVLFSTFYTIVCQLQLLQEPGSSNNAQKSSFHAASTV ISILETLYSHGQLQYAPSFIICHAVVSFVTLQHQMEASMPSLLHAIRLKLDANLEMLE VLSQTWPIARMMLELFQATATSAHFDRLLIAAVDDCRRRSLGEINDTSNGPRSFQRSR LRQVVLPQSRVVLQLLARTSQNRMTAISRPMPDDILSDTNVENESYELRSGDIDLNES PDAYSSALETDPSAILQNLQEVIRIGRSNLYNTDYSF ACHE_60371A MAEEIDLYEVLSITRDASKEDVRKAYRKSALASHPDKVPEAERE EAEVKFKAVQEAYDILYDDDKRHLYDTHGMSAFNGSGEPGMGAGPDLDDILEQMFGMG GMGGMGGMPGMGGMGGMPGGARQKPRRTPNEEQKYEVSLEDLYKGKTVRFASTKNVLC SLCHGKGGKERATAKKCGTCDGHGFREVLQQMGGFLTQSSVPCTTCSGEGSYFSPKDK CKKCKGKKTTEEKKMLEIWIPRGAREGDKIILEGEADQKPDQEPGDIIFHIVESEHPT FQRAGADLTTTIDVTLAEALTGFSRVVVKHLDGRGIEISHPKTPGTVLSPGQVLKVPG EGMPIKRSDSRGDLYLVVNVQFPDGKWSPSPAVLEKLREMLPKPGPRIEANPVDDVDY DPKGNMEQFGSNDPQGGSAWEDDEDDEPTTAQCATQ ACHE_60372S MRRPSPGLFVRHSWVCTGCRARASYSSAANLSKSKNLPQTPART RFAPSPTGYLHLGSLRTALFNYLLAKRTGGQFLLRIEDTDQKRTVPDAEQRLYEDLQW AGLNWDEGPTVGGPYGPYRQSERTAIYRTRAHDLVSNGHAYRCFCTSERLDSLARHRN AAGLSAGYDRQCADISPAESEERAHKGETHVVRLRANDYPMFNDLVYGKTGQNRPKSK LNMIERVWDDPILVKSDGHPTYHLANVVDDHLMDITHVIRGTEWMPSTPMHVALYNAF NWTPPSFGHVPLLVDKAGQKLSKRNADIDLSSFKDKQGLFPETLVNFAALLGWSHTEK SDIFNLEELEQIFNLKITRGNTTVAFEKLWFLQKAHAQRAAASNNLAFQDMITRLSNL VQETIPTAQLKPILQSRNIPDYIIPILHADAKSYTTAPEFLQRNATFFTTTLTRQPYI PTPANEKEPIPMNALHTAAAALSLVPDSHWTVDTHRANISSYDGCNAVPAPPNKEQPQ PKDAEKARVAADKRFKKELYHYLRWALSGGAPGPGIPETMAILGRQESVKRLDEGRKL TMKLAEGEGVEKGEGSDGNNQDKAWMGSLAPR ACHE_60373A MTVDTSYLTTQVNNIVSQLHGVFDDIGVPGHERDSREAELFNAL SDTLNNHLKLVDNEKAEMEEEAYSLITAMQQMERSLADERANGQFHLDRDELQVTYPL NRCLVSLREKHNAISKLHAERFEQVKKLVEALESYASHLEPSFVSIELPPTAPGSSVP PSFDLSPTYVTALDNEFTRVYEEYHRRLEFVQTSCEEIIKLWAELGTPQAQTDSNILK FYRDSPEQLGLHESDLSSLTGKREKLLDEKRSRERRLNELRTAVDSLWDRFGVEQADR KAFLNANRGCGLRTINEFEEELDRLNELKSQNLHLFIEDARCRLQELWDSLYFSEEEM LDFTPAFSDVSSDALLEAHEAEISRLEALKQQRAPILQLIDKHRSLLQERDALQASSQ DASRLMARGNKGERRDPGKLLREEKMRKRIAKELPKVEADLRKELEMFEGEYGRPFLV HGESYLDELAPAPAKQPPPRSKTPSGPPPSASRASARPQPPSRPASSMANQPMSRPGS SMRGPPPPRSATKTPTGGQPKYNTIGAVRGAGAKSPSKIPARVPLSNMPQGNNTPRVG PGNYSSSTLNSKFASTRMPPPRMRALTAGNRDDGGSLFEPPRCNSALSNSLVRPVSPD DYDPNQHSFISSSSLSQSQRSTGFSHSTHSTHSSLSLNSSMQGYPRPNPYLSHAPPPP APRQVSNSSTVITGNSGGSENWETFDDDGSESEPDASEVYYAKLRAAHGKRFAPEELA SKKAKGIRSVSPDEPVAAYDSHMLRADDEWTDDMEPY ACHE_60374S MDTAQKSWELENTIALFDPTRDALYQYDEETHKSLNASRPWAKD PHYFKHIRISAVALLKMTMHARSGGSLEVMGLMQGYILPNTFVVTDAFRLPVEGTETR VNAQDEANEYMVGYLQSCRDAGRMENAVGWYHSHPGYGCWLSGIDVMTQDMQQLSGPF VAVVIDPERTISAGKVDIGAFRTFPKDYTPPKMGDEDDEYQAIPLNKVEDFGAHAAQY YGLEVSHFKSTLDTELLSLLWNKYWVATLSQSPLFTTRDYGSKQMMDLSQKAKRAARG IENSGSRGGVSSSQDQQLDKVVRDGQRIVSEEVKGLLAAEVKMKLFQGVEEKPTKTET ACHE_60375A MKYTNARGFSPSPEPPPALFVRAMYDYDADDHTSLSFRRGDIIQ VLNQLETGWWDGVINGKVRGWFPSNYCSVENEMSELDDENSHAHEEADMSATESGMEE ADEDQDEDDADSTGNPRDSQPILPIEGVGGASSEQEEAAFWIPQATPDGRLFYFNTLT GYSTMELPFENPMVTDESGPHDRTNFSVPDQSRPPPEMMARGFERDEDDYDGSASEAE GESLLLASHDSMSRRRRSFIDGVSPATSMDSLHPPSAAKSINGASRNSPQKGYGIATS TNNYSGLDQFPRLSISVDARPRFADDGYSHLTWPLLVDNMRHAIDAYRQALLNGDRSE YVRRAEDISDHLRMLLAAGSDTTDNHSGNPSIISTNKALYPHFRDMMSKFSKLVLSSH IAAADWPGPDSVNKCLQEADGVLHGVYGYVDVARQQRGDSINRIVPGFMMGTGSGGFW QNNGVSVSESGPTSFLDQDGSDARVEPSVTLDAAHLDHIDILRRSFVGSIRRLEERLT LSQRKVVTATEQQSIGESVAAAAIKVVEQFRPWLSAVESCNLAPLGSSYQSNPQLVDF SLQKQRVYDAIADFVLGCQAVSAPLGDEWAELRGDSLEDRLTAVRSISRQLENFVSQI GFSLSLLLEQVPEPTSTLRSDSRLGGGNEPFMGNGVRSRANSQANVHQSAFPIDDGSE KVRRNRDKAQRFFGQAPPAAIPREPIREPASAPEETPWFLKIDHEGEVFYDTKNDVPT LKCGTLAGLVEHLTRHDKLDASFNNTFLLTYRSFTSASELFEMMVQRFNIQPPFGLND EEMQLWVDRKQKPIRFRVVNIMKSWFEHFWTEPNDDFNMDILRRVHAFTKDSITTTKT PGSPQLMSVIEQRLRGQDTTAKRLVPTQATAAPTPIIPKNMKKLKFLDIDPTEFARQL TIIESRLYSKIRPTECLNKTWQKKIATNEAEPAANVKSLILHSNQLTNWVAEMILTQT DVKKRVVVIKHFITVADKCRQFNNFSTLTSIISALGTAPIHRLGRTWSQVSGRTSAVL EQMRRLMASTKNFGEYRETLHLANPPCIPFFGVYLTDLTFIEDGIPSLTPSELINFNK RAKTAEVIRDIQQYQNIPYLLTAVPELQDYILSNLQAAGDVHDMYDRSLELEPRERED EKIARLLSESGFL ACHE_60376S MAPLSEPTLANHLLKRDLTVNHTQAVTLGVMAAYVVAIALLWNL PYVRWSLWPFKMLVIAFHEFGHAITACCTGGRVKSISLDPHEGGVTHMQGGMSAVTLP AGYLGSSIIGALLIFAGFDIVASKVASIVLGVCFLLTLWWARRDWLTIVTVLLAVGLL VACWFIAHGEALRWVVLFIGVMSALYSVWDICDDLIIRKVNTSDASVFAERYGGSSRC WGIIWSFISLAFMAVGIIAGIAAFPQSFSEQQSASEKFLPTR ACHE_60377A MTRERMRELIGEDWKKGFFIERVEFEGIRAVHFVIYGILGRGVS SSSRLDGFGKGFVDYVRDKVVGVPVGLV ACHE_60378A MSQSSTNNTRPVRVANCSGYHGDPAEEMYRQATLGDVDFITGDY LAEVNLANNAQAWRDGTHPGYEETAWEGLQQTIEVIAQKHIRVIINGGALNPKGLAWK TRLLVNEKNLDLRVAYLSGDDLYPLVGPNMPSTKEELQHLDSSNSSAVPSELTYAFLN NPDAKPVPMVSAHAYLGARGIVDGLRRGADIIICGRVADASPVIAAAWFWHNWSETDY DQLAGSLIAGHLIECSAYVTGGNFAGFDSYSLDDLVVPGFPIAEIAADGTCVVTKHSN TQGMVNVDTVRCQFLYELQGNTYLNSDVSAYIGDIVVEDAGKDRVHVSGIRGSPPPST TKLAVFYHGGYEAQILLNATGYATAKKWDLLEKQIRHFLTENVKNNLETLEFQRIGVP AQNPASQAASTTYLRIFITSRSETSVLSVSKVMRDIALKHFSGML ACHE_60379S MRREMHETVPGDEIYGPGTFIDKTPLPVPEDARRVFELLALGTP GFTKDKAAWNTVQFEGRPDPMIPGPIKAPVVAAALHGMAGLVANELLELRDGKPVTEN NVKVDTDHAGIWLGSVFTTYINGSDLMALGASKKMDNLFEQDFEHGFGKGISSRATAI YQTKDPRVWYQLHGSLDANTALRTMGINPDVTFDTPQGYYDYIQGHVRQWSPDELEMH NVRHGLCGSICYSPEGWRKTEFGKRLAEHPLVNVSQETYTSPTPPVPLSLPSADRRPL AGVKVLEMVRIIAGPQCGCMLASYGADVIRVNCSRLADLNVLQLTLNAGKRTIDLDIA NSSDMSRLFELVSEADIFLQGFRPGTLDRKGLGLKNMLEIAAKRNKGMIYIDENCYGP NGPFHERPGWQQIGDAASGSSYVMGRSQGYKEGKSVLPPLPISDMITGLVGALAGMIA LRERAVKGGSYKVTSSLVAADTIALEKEVGLYPPEVVKETNERCGFKEITPDQYVSEV LVNVVLGWKKGLPGYLDEDSSLMTTFEEGPWGRQTLLKPVVRLGVEEATPKWTSPPVP HCYHDRGISWL ACHE_60380A MLEEGDSSPDGDGIDQASSVVESNDGKMRFFGASSGFCAPFSAR EADEQESKVWTAAWRTATQQNASRWQLTSWIPRTLQDGFDQRIFQPLPSKQTAVQLVE EFFATFNQATPLFDESSVKNLLERQFSWNPDDSPSWWVSLNIVLAFSYRERAHASPDA SNNWQLCFGHVRNAMNAVVELFMRNTDLLAVQGILGLALFFQGTPNSQALFMLAAAAM RLSHSMGLHRNNTLRLTASQIEQRRRTYWIAFILDADISLRVGRPPIQDLEDYDTPLP AEVPHDRKGIICLEGIEMNFFLLLAQFALVQRRLYRSLYTVAVHRQFRVNIIGEVKAC ERALQEWKDSIPLPMQPQRAFVVVAPDYFLQHLLRLHFAYHFCYANLHQVCMLRGQTE ISTSRGAGEGINSTIVQSLESARSAVELLSYICLLGSTYEWNVLYFPATASVALALHI MVYRNHQHAQADLSAVRETVDFLSDVSSREPGTYVDYILGVCSDLQSAAARALTRGQT GHSQETSVDDSNGRDKRKDISSHVDITASTAGFINDGPASNLANGAFENADFGALDDL AVNWQWSMSPFWNWQDSN ACHE_60381A MQLSTLLTAATLACAAIAHPGPHARIPRAEVARRADLSSRCSDK VARFNEKRWKRNLAKRSGSGNSTFQITTEAPHYQTIQNETCVLAPEVTEGPYWWPESQ TLRQDMTEDQPGVPLWLDVGVLDMATCEPLEGVLVDFWHCNATGSYSSFTGLSPNTPF ETLLKQLNVSDYAMGSTDLHTDDTTFLRGMWPTDKNGMMEMKTVFPGFYVERAIHIHV QVHTDWSLRGNGTMAYGDTISTGQLYFDEALERKIMALEPYVSHTEINRTTNAVDSVF SQGLDGGYNPVVSVEPVDGEDVTKGMIGYITIGVDTTAVESFKKR ACHE_60382S MAPNAAPASNSPAKKTSAPEKKYKCQFCNRAFSRSEHRSRHERS HTKERPFKCMKCRSTFVRRDLLLRHDRTVHAKDGGVPLVAEGRRRGGNSNAGVQKSSP APAAPSKANITIDPTTLEQLEASSDGMVDLETAAMLMTDFQHKAAAAATGQTTTPTAA TAGVSNNNNNNSTAAAQDRAESDRSFSPGRGSILDNPVSYLSGNATLPQMPWDSLDVK HPTSSMASSYATTDAIADSHQPLPSMIDRPMSHMSGDMLGPSLMNTLPVSGNSTPNAL SPFPSMTGPISPVNYRKSPGPSQMLTLPKAPQVANDIERNMLVERIRNADSLGALPSA FQLPTTAALNKYLSTYFNLYHAHLPFLHQESFKPTSTSPPLLLAVLSIGALYAFEREE AFMMHVGSKMLVTQFLQHKDNFDSRKCPLWAMQSTLLNMVFESWSGDPKGLEWTCSIK SLLANMVSGNRYQLKVRCDAREGVPPTRDEWIDDESCRRTYYAVYIFFGMLTLTYNHT PAMSFDEFDTMELPSSESLWNWEGGDGEAWRRNLAASPSLTVREAHDCLFQGEQTRYS AFATRVLINALFLQVWNHKRSFEALQDVVTEFKLRLALETWESSLDVCEPETVVVPLS TPHNCHPLIFNSMAVYRNTRARLEVDLKSIQEAMRYHSSYEVAAAMTVARDKVKRGQE MNKVIQSCFECIEIAAVQGINWVAKTSATNWSVEHPLCGLDLMVILSLWLYRLEHDEE PATEEELALYNKVRNLFDNEAVDSFGKLSSTVARVWGNILDGVVVWGITKLMGESFKL HSQALVGYEDSLRIAKDQPIHPMPAKTLASVGTAY ACHE_60383A MTSHDHDRDHDHKEESEIPLSESTAPPPIEPEPHQRTSQPPEPE LELQPKTKETVQPLSKHPSTHLYTLSYLVFFAIFGTLARLGLQSLTFYTGAPIVTGVL WANASGSLLMGFFLEDKNLFREEWGENRETITEHERLKAHKATKKTIPLYIGLTTGFC GCFTSFSSFIRDVFLALANDLPDPSRDSFSGPVSRNGGYSFMALVAILLITVSLSLAA LVAGAHLALTCDRLMPVLPFRFTRRILDPVIVVLGWGCWLGAVFMAIWPPDRHSPGTE TWRGAAIFAIVFAPLGCLLRLYLSLFLNAKLPSFPLGTFAANILGTGILGMCYDLQHV GGISASVVVSCQVLQGVMDGFCGSLTTISTWVAELNGLSKRRHAYFYGTVSVGVGLGL LIVIMGSLLWTRGFDTPMC ACHE_60384S MTIHISSHPCLQTKLSQLRSHTTTTRETRELVTEISTILGVEAL ASLSAVPGKKVSLLDLISMKMLIEQDKTPLGIEYETREIPTNLAIVPILRSGLGMTDA LTSLLPTPVPIYHLGLFRERSTLQPVEYYNNLPYHRHTSTTTPTPTATNIAAAETAIL LDPVIATGATAEAAIHLLREWGVKQVIMISVLASEEGVKRVQESWDGGVEVWVAGVDV KCDERGMIVPGLGDIGDRLFVAVGK ACHE_60385A MKPSTVLLCLAPTAALATQQPSAVQPSPSVPNITPPAPLPELQQ HQYQYQQSPESVQEAGVDIAAAQPTDAFDLGETTTTKSLQARSEGTLGQSPWIGMAIG LTCTALAAVMLG ACHE_60386A MPPKAAPRRGAASASSSSRPQTGNANPSAPNASRPTPAGRTTAG AAQTRAPVQRLQTLNKRTPTGSIAPAARSSATPGPSGVPGEPAKPTLKYKPRAVGRRS KEEREAIEKLEAERHRERLKEAAAIQRGRGGSRGGPFGRGRGGGVGGAGVGGPLGAGT GGGGRRGRGGRFGGDGGRGDSRASSMGHRSRTRSVIDMGSAAASRDVSSDESDSGILV DIDQINLDSDEEGFVADDEPKKGKGKMTMADADVDERGLRPIRVERHEHAERVVSVNM ESSSSKSAELREQAQAKAKDDDALFVPQEDQVEEVRVKEEPTDDGDQVMKDVPTAAAD DTATDADDEFLPAQKVKVRRNLSKEPTSKEATPAPAPAPAPTKDAKSLLRTKEDIEEY ERHEQDLVAIKDLLITEPKPLKEKEPQPGEPTTVEGEGDKPETAAEEKEGEEEKPEEE EIDDKLSGQLFLMQFPPMTPNLVIPSATTDGEGEARTEPTTTTNPEPQPTEPTVKHED TNDPEIITDDTTQPRKLATANDQQLPAGRVGKLNVHASGKVTLDWGGISFELDKATDV DFLQEALILSTPPTQAGMTAEDIKASEEEEKRVWAMGQLSGKFTVTPDWAKIL ACHE_60387A MQTAQYGRPQESTSYVAASAEQIQTTPVPKNVAFELLLDEESKV RARIPMRVQIYPHDTTDSIVTTVKNFYGIYDKQASGVSFEDENGITLIARYENLRNNM TVYVRVIPAQAYGEGYSERFYGSYPIEARKRPSLGEPFQMPAMPSTHVDHAQPPSRPT SRLARKRSTSPSSRSRRSASQNKHSKAGAKSRNPTNGVQDDYDDDNTDSEDGYGSANG TKKEIFASSDISMENILHDGRRKRPKFDSSELPLFAPPQVPLTTSTSSISPQRQSIGQ EGAGSPFARPTQRPYTYPPLPSPQSYGHNEQAYSSRNAYATPMVQEHGHRLRDRTTTQ SSGQFSNGRTNGPGILPTPDPTIASCISDEDVAMQLIRLGDASNFSHGRTSTSTLDDA FSGAADAASSTGATSDGEDFSEDDDELPARSRQRLDSSPMLPPGTTKRTHKRLDDILP SFDSSDASWDEDDYRNDDPADSVIKSEVDDDVPKAKKAKTRAISTTSNNNSTKSRGSK SATARPKSTKASSTGNPRKKSSSTTNGIHKANIAPITTTVTASPGMVSPAPTRKTSMS SVNFQHQLAADEEDLSTKPRCQRCRKSKKGCDRQRPCGRCKDAGIGIEGCISEDEGNG RKGRYGRHMGVPVKKSIDFSDTSETHTAVAAVSASMADKNKKRKR ACHE_60388S MDAKPQRIRVRGDENAPMAFTANKTVHQRNKSATALAGTFQDGA PKNNGPRRAAFGDVSNTANPVHGSRDGVALAGKKQITKGAAKPTVKIPERKSNVLAQP PQRPMSVSNLKGVFNQSKAQQKSLEPAGKQTTLPQQTANTRKMLNKRGTAIFKDSAQS MNETKDEVTASKETKPDASNVNTEDVSRPSTALSLDDKEEEDNTAQEPPENLHQHYDT DDCAVMKSDAINAEVETSSTADENDCKAQELVESKGHDSYAVVHSHPHSVPPTENEQE QEEYWNDEEDENEEDDGYVTARSYRSRGDNTTGGITAVLFPKYNQQVRRELALAKEIV DATRPEEDVEDEFWDTSMVAEYSDEIFDFMKEQELKMLPNAHYMDYQVEIQWSMRSIL MDWLVQVHHRFGLLPETLYLCVNYVDRFLSNKIISLDRIQLVGATALFIAAKYEEINC PSVWEVVFMVEQRYSAERILEAERLMLYVLRFELGWPGPMSFLRRISKADDYDLETRT MAKYFLELTIMDERFVSSPPSFLAAGAHCLARLMLGKGDWSAAHIHYSGYTYSQLLPL VSVLLECCENPGGHHAFIFEKYADKRYKRASLFVQAEMQYFRLPQVSRDSSVHKRNRF FGAAHY ACHE_60389A MPPKKKGDARGGPKPGTKQAKATAERTAESTKAQPPAQEQKKPS VKEVIGGASWTGKLPVNMLSEHCQKQKWEKPEYTMYKNRDGLFSSSVILKKVDPKTRE TITLPPMELPRSHKPLAAQPTALEARHFAATYALYRVCNMRNLQMMMPPNYRKLWKED FSDLRIADIKEGKSWMYEADPFLAKQERESAAADMEKERKDRVTAQTKEKGGTVQLGF GPSSGQAQSKKFWSNAPKVDMGAKVRREIETLLRQHTIWNPYNVQIPENERKTIVEDF AQLGFRRSHVEEAAAACKDREEVLEWLLIYVPEDDLPQWCLPEGYSAGVSLASDDLAR EGKIKRLASAGYPADLCSYTLDSKKGDELATAECLQNTLVHGTSRPSEPASEDEDSWA EEQDTLEAIFGERHVRSSPQVCDIKSETPGLEGSVSFRFQRPSGHYPSVPPIISILAK GIPSYIRLSAIRQAIKYAEEVFVGEPMIFNIMDWLETQLPEIIENPGKLRDISTVTAS PSTAERTSELPLRQSRKSRKGVDWQPGTPQSILIREAWESKRSTKAQLEMLQKRQTLP AWNTQDAIIHAVNTHRVTIISGETGSGKSTQSVQFLLDDMIKRDLGAAVNIICTQPRR ISALGLADRVSDERCGTVGDEVGYVIRGESKMKPGATKITFVTTGVLLRRIQTGSGPD GNVASSLADITHVVVDEVHERSLDTDFLLALLRDVLRYRKDIKVILMSATLDADIFIN YFGGHQNVGLVNIPGRTFPVEDYYMDDVVRDTGFSTELTERDFDEDSAVGITNDNSLG KVLRKVGMGINYELISATVQYIDRQLGDNPGGILIFLPGTLEIDRCLAAVGRLPGVHP LPLHASLLPAEQRRVFLTPPKGKRKVIAATNVAETSITIEDIVAVIDTGRVKETSFDP KDNMVRLQEVWASQAACKQRRGRAGRVRAGTCYKLYTRKAEANMAQRPEPEIRRVPLE QLCLSVKAMKGIDDVANFLANTITPPESIAVEGALGFLHRVGALDHDRLTALGRYLSL IPADLRCAKLMVYGSIFGCIDACVTIASILTVKSPFVSPREKREEANAARASFSRGDG DLLTDFAAYQQWSERVKTNGYWQTQSWCSVNFLSHQTLRDISSNRAQFFTSLKDSGIL PVNYSENSSNETSSRLNRNSKNANLLRALIAGSFHPQVAQISFPDKKFASSVTGTVEL DPDARTIKYFNQENGRVFIHPSSILFSAQGYTGAAAYISYFTKMATSKVFIRDLTPFN VYSLLLFCGSITLDTTGRGLIVDGWLRLRGWARIGVLVSRLRMVLDDMLAARIDNPNS GSTSTTTTSSDRPDEKVIEIVKRLAEFNGLDQ ACHE_60390S MMSKSPGPEMGKSARTADVTMSRPQKAEKRDAFTELLSPKNKQQ KSKTPHTWYDYMQSEKRAPGGPRDALGAYIKDPESFSSNVVIYHNENFVAIHDRYPKS TLHLLLLPRDLSKTRLHPFDAFDNPEFLEKVRMEVKKIRALAATELRRMYGKESAQEK RRYDALDADPPPDELPSGRDWEKEIMCGIHSGPSMNHLHIHVMSVDRYSERMKSKKHY NSFSTPFFVDIADFPLPKNDPRRHFRKLGYLQSDYICWRCGRNFGNKFAELKGHLEKE FNEWKRQ ACHE_60391A MARFGFVSLALLSLQALIGTSFAAEAAENAGEEVEAPKLAVSAQ ASFPDAEIFGVKLVNGHPTRALISVTNEESSPVTLNFIGGSLSTPGEEQSAIIRNLTT TRYGVEIPAGQKEELSYSFVNEMHPQDLRLTLASIVSDAEGRFFTINAYNGTVSVVEP ETSIFDPHILFLYFVLLTCFAGVVYFFYTVWIAPYFPQKRKSGKTDFSKKSSGASKKE ETSPVETAGSPVSSATTYNADWIPAHHINRPEARKVKGTARSVKNRV ACHE_60392S MEVSAYFERYQKIVSIEQRKNNLIEELLQRVTDLEDAFSREKLD HEREMRFNRDIQIHEIELMDKLSRVKTIMDREPFVVVLLDGDGMIFKDEFLQQGGQGG RNAASQLSSALQTYVDDNFPGISAPKIMTKIYANVKGLSDICTRGQIINEQSVLDDFV RGFNGSMPLLDLVDIGKGKPYDKIREMLDLYIYNCHCHQILLGCSPEVGYSEKLEDIL ADRDLIGRVSLIEGITFDKDLESLKPSYRVANFPDIFRTAKITSALAPYKAAVTAKPR PLFTPSPIQHAVPLSRTSTNTTLASNNTPIILPTVSTTSASTPTTDEFQVVRPKASNS LRPKTVERNRYGQRVDRLDFKSIPREDLNRLKKLKLCNFYYLQGECPNENCHHDHTRK LSKNDYFILSAIARMTPCRFGLECDDAECMYGHRCPQSEPGKKDCYWGSSCRFEPETH GIDTTIVKLTKV ACHE_60393A MVPPGYVDITTALYSRGSVNVIGVVVDVFGDVFKTGGSSVCITF TLKDINLDNGHSWDGLKIKYFNDDRASLPPIKERDVVLLQGLRVRTFNGKTIAVASQH ETVPWAIYRQESDARTTASPISGPKPFEPSNLEKKYALALLKRASSVDATGHLRAVEA YRSSQVVQASVSNPKPGGKQFALIKDIVARTYVILVCEVLSISDNDSEKVIIDVTDYT PNDGLSDGGADDGDGREGDSFNYLTRPKRKRNGPLGRMTLRITLWDPHAAFARVNIRP NHFVYLNNVHVKRGRIYDNLEASMHTDRHYPDKICITKVSPSSDERARALLDRRKEYW AKYYSKEDVQEENSEKSAGSKKAKQNKGQKKQEKKKEEGQKPLEISRKIRLNEHIKAM NVSVKCKRLEDILTNKSHEYKTPEGIEYRLPFQNICYRSDIRVVDFFPPNLEDFAVPE EPDNGKLVASDGEELDISSDSRSFIRWEWRFCLLVENSTPPGPGVPNERIRLYVSGPD AECLLGLDPVDLRRKKSSLRELRERLFILWGDLEERKSQAAAEGKTDPQSWGPVSSVP FTCCIKEYGVRCSHGDGEDADHSQLGCKFEGCFGWERRFGMFQTTIHS ACHE_60394S MAERYIPEHRRTQFKARNQFRPEELRRRREEQQVEIRKQKREEN LSKRRGIQTRDGGIGVGGGVAATESDDEASAIESELNVELPEMVKGVFSNQIESQIAC TTKFRKLLSKERNPPIERVIETGVVSRFVEFLRSAHTLVQFEAAWALTNIASGSAQQT QVVIEAGAVPIFVELLSSPEPDVREQAVWALGNIAGDSPQCRDFVLGAGALRPLLTLI SDGRKLSMLRNATWTLSNFCRGKTPQPDWNTIAPALPVLAKLIYMLDDEVLIDACWAI SYLSDGANDKIQAVIEAGIPRRLVELLMHASTSVQTPALRSVGNIVTGDDVQTQVIIN CGSLPALLSLLSSTKDGIRKEACWTISNVTAGNSSQIQAVIDAGIIPPLINLLANGDF KTRKEACWAISNATSGGLQKPDQIRYLVSQGCIKPLCDLLACPDNKIIQVALDGLENI LKVGEMDKETAQTGDARVNRYALFIEEAGGMEKIHDCQNNANEEIYMKAYNIIEKYFS DEEEAGGDIDEIAPQQTQAGFTLGTAQQQPGGFNFANGGDSMDM ACHE_60395A MENESRLSSSQADMTQDPPSTQATDRRKSGRATRKPELLSQSYN DGTGSKRKRDTAGEDDEDAGEEEDQEIDDASESDSNEEDADEEELRERKRAARKKSTK KASSTPKTKAKPRTTKKVKVAGNGIGGQLALRPAAINGKRTVRKPKVRPSLAAGEHGL YAEVFGKANSSDTVAANWLSRYQNDQSTAMRDMVNFMLRCTGTDLEIDTSEVEDVDNA PTRIDDLQTQYHQLGISEYPLISKAKKFRAFQPVLEEFFGALIQTLHHSSVLYNDENL FENIQIWISALSTSSCRPFRHTSTVISLAIMNTLCDVAREIMTSVSSSRKQVESEKKK KSVNQGRVDAMQTSVEEGEKKLEMVDEFLKDGVNIVFVHRYRDIDPRIRCECMSALGQ WIRNYREYFFEGQFLRYLGWILSDPVAQTRAVVVEQLRGLYENKDNIAGLRSFTERFR QRMVEMAAQDADVVVRASAVELLDLIRDAGLIEPGDVDVVGRLVFDAEPRVRKAAGRF FVANVQDVFDSTTEELGDEINELFGDEDEDDFASPKRSWIKFKCLVDMLQSYDSQEND RKSDRSVATSRDALSGTSIDSRFVLATEAIYPHLEELAQWQSLAGYLLYDHSQIAEAT DDDATGTVRSLYKMEEGQEVTLLDVLCCAVKLRVLDVSKSDVDKRGRKVKALTEKIPE LQEEIAHSLAQIIPQLLNKFGSVPEAASAVLRLEHLVDLDKIQDLQKDATAYTTLLND INKQFLTHSDQDVLTEASVAFLHAKSSDDMKEALESKVQELWDDIIDALSTLSQNEEV QDESSLPSDALTELINTVTRVSNLASVTDCTQVLETAPSSRSKSKKKTTLEAPFNTLI HLAKRGLRGQEEDEDAAKAETELVINSIRTLLFFFMWKVQSLTTALNAGKVSFTTSYF EALAKSREVFVEILVSIMQKRTSLDDIRFTATTTLLDLQTLFSTLRHAGQTAENNEDT LTQTQGLVHEINTETQKLIAKIHGITERIYAKKIRKPLEPAEDDLPTSDEDVEKEPSD DEENESNADEDEESANIERLRSTILAEQRLCELTGKIVLAIIGRVIDTSGPQQGQLKK KLVQHKSRLGPNYREVLSFLDERRARGGAGSRSAKSKKTAGTKNGNAGSKAQKSAKRI EDDDEDEEDSAAERNEVEEDEDEDLRAKGLVEDDNADQENGDEDDNNTVAPDPDEDDV MGD ACHE_60396S MPIKSPFETDVAKAGHGEVILDLLPPSIPTLTTLTYKYPLKLLA RTPGFVPRTSAQTCPSRPVHLYLLTYGGGLLPGDHIDVSIILKQRTRMVVSTPQGSTK IFKTEPTASVKGQRGTPAHIATDMSRQVLDVRIDNEAAICYLPDPAVPFKDSRYEQVQ TFTVDGTAPDSKRGSLCVLDWVTEGRSSRGETWDFHFWKGKNEVWSDDGSGSKKLLLR DSIILDDECDDAEQDADDSHPNLIRERTHPHGIVGTLILYGPVYENLASFILHRFTTQ PRIGARNWSTSVSPAKSATESYSSTTKTNVTWTAARVRAGFTLVKFGAADFEAAKSWL GDLLREEGSVVREFGEEALICL ACHE_60397A MADSPVGDEPKKSDLRWSGRQSTPWVRIRGIFASFSSRAKRPRS DTDDALPLLSSSESSEQFIERPRRRVGKSRILRCLLYLLVGIFVMLGIIQFVSILFGL SYSFFPDEFDRGATNWLNPDHNPNTDAPGSHYPTDISRDIIPVGCHSHNDYWRRVPLF SALQAGCIGVEADVWFIDQNDHQDLYVGHTTSSLTPERTLRSMYVDPLVKILDRQNPI TGFHESVDQPRNGVFDTDPGQTLILLVDFKTDGVLTWPSVVDGLEPLRERGYLTYFNG EEVVNGPVTVVGTGNAPFDLVTANSTYRDIFFDAPLDFLTEGSPHKDRKAKRQAVQDG SNLGQGLSGMPADITPDVFNYTNSFYASTSFKDTIGFPRPFHLTAQQMDSIRAQIQGA HRHGVRVRYWGLPSWPRELRNHIWRVLVHEGVDILNVDDLQGATKKEWTPNVGDWW ACHE_60398S MPHKHKRRQNDKDLYDLPPSLVAKPLPARDPNAKSKATGRKKKE EQENLKRKYETKQKAGQDDTPRAFRQLMQLQERAKQKQQPATKTDGAEGGKKKRKRGI EDRQDESTPKKNAGAAGAATDAIASATAAKSESQTGPKILPGEKLSDFSARVDREMPL SEMKRSTKATPGDLPKIREQRLTKHDKRLRRLQAQWREDDVKIREREQAEREEREAEM EEQLELWKQWETEAGKAKAKKKAEAQKKKKKNKGNAAAADDSDDDYDGADPWAKLNNP ERINRQMNPQDVAQAPPQLTKPREIFKVRGGAKVNVANVPTAMGSLRRREELADERKN IVEQYRRLMAEKRQ ACHE_60399A MAPIKRKGNVAEEATTQKRARVGADKKDNKKQKTDALDDTKSKS EATKPTDLSVLRDDEPAFPRGGSSILTPLERKQIQIQAQKDVLFEQTGSKKSHNVEDD DDEGNDDIDMGDADDTAAKKPRKQRKTKAAKKKADKEASKKVVRVEGLNFKRIVPGSM VLGQVSSINAHDIGLSLPNNLTGYVPLTAVSRGLEQKIEKVLNEEDNDDDSDDDSDEG SLNLKNYFYLGQYLRAHVVSVGSNPTDPSSRNRKRIELAVDPRQANSGLSKSDLVENT AVQASVVSVEDHGLVMDLGIEGSDVKGFMSSKEIDRKLEYSSIKEGAVFLCMVTGQNA SGNVFKLSANLRNTGSITKSHYLSTAPTINSFLPGTAAEILLMDVTPTGLVGKIMGML DATVDLVQSGGNSLKEDLTKKYRTGAKVKGRLVCTFPASEPFKVGFSLLDHVIKFSSD DQGPGSSEEAPTISAIVPEATVVKVDPGLGVYVKIGSTKHTGFIHISRLSDGQTESVS ADKGPFKLGTTHEARVVGYNTLDNLYLLSCERKVIDQPFIRLEDVTIGAVVKGKVEKL LIGPNGIDGLIVSLADGITGLVPSMHLADAALQFPEKKFREGMTISARILSVNLEKRQ IRLTLKKSLLNSESAIWKDYKDIVPGAQSPGTIVNIQPHGAVVQFYGSVRAFLPVSEM SEAYIKDPSQHFRLGQVLSVHALSVDVSLGRLTVSCKDPSTFTETYKKAFENIRPGLL VTGTVFEKSGDDVLLKLDEFGLVARLDLHHVSDGSTSKQSSLLSKIRVGQKLNELLVL DIQRAHRLIRVTSKASLKKAAKQGSLRDDFEDLEEGTEVTGFIRNITFDGIFVEMLGG LVGLVPKRLVSEENVTKPEFGMAVSETVTATVHSIDTDFRRFILSMRPDEATSAGPKN QTPKKAKEPRASDDNVAVVNAVDESLQTMSDFTFGRIVKCKVQSVKATQVNVQLAENI QGRIDVSEVFDKLDDIKDRKQPLRHVRQKEIISARILGVHDARNHKFLPVSHRTGKYP VYELSIKPSFLQAANPQPLNLEQIQVGSSWFGFINNIADDSLWINLSPNVRGRMRLMD ASDDLSLLADIDKHFPIGSAIEAHVIAVDTEKGRLDLSARKRSDKLSLDDLSVGMVVP GRVTKTTEKQVLMQLSDTVVGAVNLVDMADDYEKANPTVYRKNEVLRACVVGVDKANK RVSLSLRPSRVLSSSLPVQDRELASMKQLKVNDVVRGFIRRVADSGLFVALSHDVTAY VRISDLSDSYLKEWKEAFQVDQLVKGRVTLVDPEQNKLQISLKDSVLDPNYKAPVTLR DLKPGQIVTGKVRKVEEFGAFIDVDNSARISGLCHRSEMAEKRVEDARTLFEEGDVVK AKILKIDRAQEKISFGLKASYFKDAEDSESSDEEEDGSEDGVSLDGLGGAEVEGSDDE DEDEDDDVSMGGVDLEDGSEGSGSEESEDDEEMEDAPSKKTGGLGDGGFDWSGNVNND EDEAAASDSEGEDDSSRKKKKSRKPEIQVDRTGELDANGPQSVADYERLLLGEPDSSL LWLKYMAFQLELGEIEKAREIAERALRTITIGQDTEKLNIWVALLNLENTYGDDETLD DVFKRACQYNDTQEVYERMTSIYIQSGKIEKAEDLFQTALKKKISPTPKFFVNYASFL FDSMAAPDRGRALLPRALQSLPSHTHIETTSKFGQLEFRSQNGDIERGRTVFEGLLSS FLKKIDLWNILLDLEIKNGDAEQVRRLFERVLGIQKGAVAAGSGKKLRPKQAKFFFKK WLDFEEKGGNEKTIEEIKAKAADYVKSLQQE ACHE_60400S MYTGHDLPQVPQSEPVFPPSSQEPPSSPPSIASDVGIRRKPKRP PPVTPRSFRKFFTPRSILNSGSNGGVKTNRQALKTLSSPAINRLGPAFARASKTTASR SIPNEPAPAPSGLTRTPSTKRKLSFSSIGSPLQSSPLRKVRISAPLQEEEIGVSVKEL EVSGEVQDDETTSIVEDEQPPKPIAPISRSQALQTSGGLYMRSVLGPMANRVTMRANA GAGWQDLTSNFYSRPDDIHACANYAGDRLALPLCTASCNTNSLVAVGYEEGGVRLLDS AKDDKDGFSNAYLTFRPHMNALMDLEFSSDDMLLATASGDQTALVIDMATQKPIYCLS NHISSVKRVQFQPASNNKVLATCSRDGNVNIWDLRCKGFERPSLQVQCSLDSDSEYHA APTSRMIYPQVLNTIYGAHSGMSQGSASDKSELQLGRSDITVTSLAFLPPGRENLFVT ASEANASVRLWDIRTAHSNRRGRGVLPVSTTQEPDSHVKYRRFGLTSMTFNGDGSRLY TLCRDGTVYAHSTSHLIVGHAPELSLNNTRPRRSGGSDKEGLGPLYGFRHPRLQVASF YLKVGVRKAMGDKPEMLAVGSSDNCAVLFPTDERFLHSSSIQNADTEDCDSFPPTPRF STPRSALRRSFSGTGPYAKLEDTVPIYQSGTPLVEGHKKEVSGVSWTADGELVTVSDD CSARCWREGSDARDLRMGGETEGRRWHCGWADTKDSYDDEDDE ACHE_60401A MAGIFEAPRNADTLFLGGQKITGADVREQNVLATQAIANVVKSS FGPSGLDKMMVDDIGDVTVTNDGATILSLLDIEHPAGKILVDLAQQQDKEVGDGTTSV VLIAAELLRRANELMKNRIHPTTIINGYRLALREAVKYMNENITAKVETLGRDSLISI AKTSMSSKIIGADSGFFADMVVDAMLLVKSTNQRNEIKYPVKAVNLLKAHGKSVTESV LVNGYALNCTVASQAMTTRVTDAKIACLDMNLQKERMKLGVQITVDDPDQLEKIRERE SGIVLERVEMILKSGANVVLTTKGIDDMVLKLFVERGAMAVRRCKKEDLRRIAKATGA TLVSTLSDLNGDEKFEAANLGHAEEVVQERVSDDECILVKGTKVHTAASIILRGPNDF SLDEMERSVHDSLCAVKRTLESGSIVPGGGAVETALHIYLEEFAVTVGSREQLAIGEF AQSLLVIPKTLAVNAAKDSSELVAQLRVRHALSQRVQEGDANEEEKAIAKKKTYKNYG LDLMKGRVHDSLKAGVLEPSMGKIKQLKSAVEACIAIMRIDTMIKLDPEPQQDDGHGH H ACHE_60402S MTSETPADNPVATNPTLPDRTADNAAPQGEVSKNAAKKAAKLAK QASDKAEKNVNKGIGKSEAKKPTSKVQGKKSDGPALIGIDVTKEEDFPGWYQQVLTKG DMLDYYNVSGCFVLKPASYSIWEEIQNWFNVHIKKIGVKNCSFPLFVSEDVLEKEKDH IEGFAAEVAWVTHAGSTPLERKIAIRPTSETVMYPYYAKWIRSHRDLPLKLNQWNSVV RWEFKHPQPFLRTREFLWQEGHTAHLTQEGADEEVLYILDLYARIYEELLAVPVVKGK KTEKEKFAGGAYTTTVEGYIPSTGRGIQGGTSHGLGQNFSKMFNITVEDPSAKGDEKK PPLHVWQNSWGLSTRTLGVMVMIHSDNRGLVLPPRVAETQTVVVPVGITAKTTEEERQ KLYSEIDGLVAVLTAAGIRAVSDMREGYSPGWKFNEWELRGVPLRIEFGPGESKGHFV TAARRDVPGKDGKSSIPIPELSTAVPALLETIQKDLFNRADNEFRTHRKSITNWDEFV PALNDKNVCLIPHCLTEECEDQIKDLSARKHEEDSGVAQDAKAPSMGAKSLCIPFDQP EGIEPGVTKCTNPHCNRTAEKWCLFGRSY ACHE_60403A MVVFRKLFLAEKYPLQAHNQGAATCDVGLSTTDSHSELHDTQTR PLSSHTKSVKPSDSQLEVDRHFERIEKQLQELQGNLQKGPLSPKRPTSPKSQVPSRAP SQRTTQSPRHVDLLEAVFASSQRQQAQTPNQTSPLSPYNEDVAERNMTRFLQQRKPRK RSIYSRFVSALSQDDVADKNAGKHKDDFRPRSRATSIRFRNVSSGSGRTTKGHKRASS GRSDIGPKENKTASPAAQEASQPVTSARETQARDRPRLRTQRSAPNLSTDQPGSPPKG PAPSPAGSLIVPPAYKQGDSWSNTPIPDSPTLPTPGRSVKEPSPDRGTPSTADRRPST TSRNYSLPARPTPSRKNTLKLSIDTELATRGRQGKVSHRAIQPPTPSSNDMKCNPSIA EIMNSPLPSATPTSMSPLPSANPKVAEIMDMFRQAYTSTKAISPHPTFETLQDAIVRE INSHEAFQRVPVPEQGPAFTPSPNQQSFDSVTKPPKTAAPGKDGQFSKLIKPTSFMKH RRNSDARRSISTSVPSKVLGRVSTSGSRRRHTDAPPPSPSLLDTPPLPQGTRNEMPPV YTDIPLRSQTASPGASNKKRSVSISKSLAPSQSVNTLSSHGSSSNTAPSVYCLRAQTS ASSGDGCNSYFSDDGDDDVLHLPSPGFEPRQQNYDAASGNAYRPMNWQTSSTRNVISP CSSNSGYWAREMRSVETC ACHE_60404A MSEYTQERLRSLLYRTQKKKGPLMPPPVYPVTIDDNLVFLWRAI QHSNYTKIDFNLLGNDFELDREEALECFRELRRAIEDAVYNNYMEKSNEKRESAGLTN ILGLAVRRRREAAKAEAAAAAAEGEYDDDEEYYEEYAENEASHAASAMGDFSAAGSSR AGASETRTSNICSSSAASLPVGTGTSKASPSNANTPKAGPSRVSTPVTDNPKTGTSDA GTSKTGPTHTSHQSSGSSKQVSGQPASGPGPLNPGKSNTGPFNAGRPNTIPSSAAVLQ FSARPSNGSHLNAGRPNVSPPSAPPSNVGPSNANISISRAAPFNSALSNISLNAGHQS TSHTNASKAVNNSIMNSEAGQASESVKLAPIRLDQTVNLPLPRLTNPASLTYSAGSSV TQRPNVGPLSTLPPSAIPAPGTLSAPQIARSPTVPAMRYFPPSAARVAAATSLTSMMV PQAPQPSNQTPSQTEDGPVVLDITSLRCFFGVVDQGVGPTSLNDKLFSRPDFFFPIRT PTFPPDASRVNESQVRAPRPSLPSLSSLYPVPSTLAGRASSPATINKGKKRKRASVSR SDVEEDKSGKDKDPAQ ACHE_60405A MDRRRVNGPPSGTRPAVFASSIKSASATATERPKRQRQSNELRK IFLKTGIIPTASGSSYVEFEPSASLAAARSSPQSLIPPSSSLKLACTVHGPKPLPRSA TFSPNIVLTTHVKYAPFAARKRKGHIRDTSERDLGVHLETALRGVIIAERWPKSGLDI TITVLEAEDDRWWGDAADSHDASWGLMNVLAGCITAASAAIADARIDCLDLVAGGVAA LVCDESTKDEEEPKLKLDTDPAEHRSIQSACVVAYMPGRDEITELWLKGDSSKASLGD GDQRAGHEALIDGAVDAARGAHTVLAEAVKESAERFIRLAMG ACHE_60406A MDRGLSTGTHQEHDGLRERNVTSQTNPAATTEALTATGDAEPKG KTGKAFGRTPGGTVFTVPETHDMVSQLLSPSEPKNLSDLVVLAILGAHILLLWQLPAG ARVPVFAAVYLFWRACYNVGIGWLLHNQSHHNTLVRWAEKTKVFVNPATGKNPHPQVY DLIKRELETKIRADYSFDKAPIEYNTWLVFRRLVDLILMCDFTSYCLFAIACSHHPVS ESILMSTLRWSAGIVLVLFNLWVKLDAHRVVKDYAWYWGDFFYLLEGELTFDGVFEMA PHPMYSVGYAGFYGISLMAASYKVLFISIIAHAAQFAFLVLVENPHIDRTYNPPPPRK RATEQDSVSAVASHRSDSPIAPTSVDESVPQAPLDPSAPPESVHNLLGPHNLDLHRNT DASVMLVQFLVFALAVLTPSTPGYQFLFVANAAVWRIWFSVGIGYLLNRQSNSKGWTR HFVKYGESPQEAWSQWKGTYHLSMIMCYASFIAAVWKLYTFPADWGYGLVLLRHVVGA GLVALQVWTNVSIYESLGEFGWFYGDFFFNASSKLTYDGIYRFLNNPERVLGLAGVWG AVLITNSAAITFLALLSHILSLAFIQFVERPHMQKLYGQSLRRDAGLVKSLKRSLPPS LQQLHGSVDKIFDESFEFVEELLESARPKLAAGVNTFVKDTTALFQKYPARVTITRID ADLAGYDLHDYSLEIESTNSSSIDEPDQPSGREGANARMPLDRRGDLKNLVFEYGAPV KIRWTAPLKHNKKDWIGLYRVTDNTSREVTRVSSQGRWVAINQGHYDNSTCEKGIVTS DEVHHENGREYATGEVVFSGDKLFWTQGVFEFRYHHNGKHNVMAISRPFEIRIRRFNE EDMLLQNPALAETSVESALLPIVRNCFDRDPEIAPESVDESFGSLVERDGKFAKRVVF AVHQTFGIELAPEVVQADGNVRNLAWRVCNAKRVLAPYSMSRNGATTPTEEKI ACHE_60407S MAPSFEEPTAEYITAPSKMAPNLVAPEPEHCPGPESEKAGQGDA CAGCPNQSICASAPKGPDPDIPIITERLSQIRHKILVLSGKGGVGKSTFTSLLAHAFA ANPDSTVGIMDTDICGPSVPKMMGVEAETIHVSNAGWSPVWVTDNLGAMSVQFMLPNR DDAVIWRGPKKNGLIKQFLKDVDWGELDYLIVDTPPGTSDEHLSVNSLLKESGVDGAV VVTTPQEVSLLDVRKEIDFCRKAGIRVLGLVENMSGFVCPKCTHESQIYRATTGGGRR LAKKMGIPFLGAVPLDPRVGMACDFGESFVDNFPDSPASQAIKQVVRSVGQLIGEDPD QVLPADMVE ACHE_60408S MQLLQSFVFALLFSCEARSAPTPTTTRSQLQGRSFKIERVRQGA SVLDGATALQRSYRKYGIAAVDLGIDDLLDIKPLSSGNSQTNTDDDQTGEVSAVSVQG DAQFVSPVTIGGQTIVMNFDTGSADFWVMNTQLPSDQTKGHTIYDPSKSSTYKEMKDY TFNITYGDSSYAYGGVGQDTVDIGGATATGQAVGLPTEVSSYFVGDTCSNGLVGLGFS VLNMVQPEPQKTFFDNVADNLDEPLFTALLKSDGQGEYEFGTIDPNKYSGQLINVTVD PSAGFWQFDSKFFSVGNGPLEQVSTAPTSIVDSGTSLMLMSPEVAAAYYAQVDRAVYA NSAGGWIYPCSSELPNFSVAVGNSYMATVPGSLINFAGVGQNSTTGEDLCYGGIQSNQ DTSLQILGDVFMKSMFVVFDKRGPSISFASPVWE ACHE_60409S MAINSAPETSLLSLLYRSYPAAISPDATEPDLLHASPKIFPQTE FSTSEHADIKQWLATISGLQSALTSDDKAAVSQILSQLNGHLASRTTLLGTKPSVADI SAYALLGPVVEKWTPEERTGEKGYHHIVRHVDFVQNSRLFGLQIPDEEKVGVDLDDVR FFPKPVDPKEEKERKKKEKAAAQNPAAAGESKTVVVGQGKSEQAKGEEATGGKKEKKD KKEKKEKQPKQPKAAPAPAAPPSPSIIDLRIGHILRAVNHPNADSLYVSTIDCGDAPG TENTSLDEATGKTVRTVCSGLNGLVPLEEMQNRKIVAVCNLKPVTMRGIKSCAMVLAA SPRVAEGEDSHAGPVELVSPPQDAPAGERVTFDGWSEGEPEKVLNPKKKVWETFQPGF TTTEDLEVAFDSSAVPAVQGQEGKPALGKLLTASGGLCKAKTLKNAAVR ACHE_60410S MDRHKALMSGYPAYPRGQSSYRFQPRPQTALRRRRQLINRLILL GGVSLFISILIFPSWRAAILPTLTVGLLSSGEDLQLQTVRHYDLSAVQGSGSGWEHGE RVLLCTPLRDATAHLPMFFSHLRNLTYPHNLVDLAFLVSDSKDGTLGMLSEMLEEMQT DPDPGMTFGEISVIQKDFGQQVTQDFESRHGFAAQAGRRKRMGQARNWLLTATLRPTH SWVYWRDADVETAPATIIEDLMRHDKDVIVPNVWRPLPDWLGGEQPYDLNSWQESETA LALAESLDEDAVIVEGYAEYATWRPHLAYLRDPFGDSEMEMDLDGIGGVSILAKAKVF RAGVHFPAFSFERHAETEAFGKMARRMGFSVVGLPHYTIWHLYEPSVDDLKHMEELAH EQEQREKEEKEEARQNERVNSLFQDPKSQWEVDGAVIHDAVMKEQKQDPKNVEAQKGS GEGPAASPVKESSGAERQ ACHE_60411S MSTQAARSIPFTHTTPQQGFRLLELSPELMELISSNEAPTLELK SLPPSQSQTESQSEPDEARDYVHLCTPNKTYQVRQVQSSNSLHILRPSTNAAIEENKN EVDNNGDDDMDMELAMNTETVTSIAKCGSTLELYMPKEGFSAIPFLVKKLRFYSGGQD RADVDVDMVDGDEGECARITMNALFADIPVSKAQCEQGWIELCAFVLRSNVNTNTPSA NCWRPSAKVKVDVWKRVVEGAVLQGINLEKQFLLNDLWKSVLDDNGEEPFPAGLFEAV VRRVCEDSTASGEVMQWTNIEKPRCIQWIGETYLEAKAPTATSALGRSEFLNAWKDHL PESWRSEVTFSKLPEGSYKFPDPTSICYVKEGDRQKVKKNLSTDASAATTAKKSRNWH ELFRNQRRR ACHE_60412A MVFAADVSYPPNPIPSNIITNGTDSPTITDGTTSTAADANTITN TTPLPPGDSPSLILAPATPTERITVLKLNSAVWKGPLEVSAYIAREDHLKQQQLTGNR LTCWILVDRTQEPDHRTIFASCESFKKSAVVAYGGKVEETATHGVGSVYCRPEFRGMG YAKRMMEELAIELETWQIDKVKDGREKALFTVLWSDIGKEFYKQFGWEPFDSAHIELV PINEREFKRELPGVDLPWIRDLTAEEINGAMCSKEVVKKEREFLRAASLKSPGAKVAV NPDFEHFTWHWAREEFYAERLCPEKAAPVIKGVGHDGAGVYCAWNRNFGETPEENTLF ILRWVYDEPTTPAQTEATIEAMAAVLRRAQREAHEWGMARVEFWNPAPLMQKAVSLLD PTAEVIHREKSSIASLRWIGGEQGLGDDVEWFWNEKYAWC ACHE_60413A MAMPIASESTTINSVTTTMTTFSTPVTAPRSMPNNQSALAASFT NFLTVSIHQILFLRSVYPRATFLPVRAYNYPVRQSRHPKVCDYINEASIAVGTEILKG TITAVSIIISSLRTNQPLERYAFDLSGFPRVPTGEVNTTFEDREEDSSKPSTPVSDRG APSPPTPDLEAQFRACLARLASACARLTPLPRDDEFSFTVCIEVREDALPPAGTTKEE QTWIVAEPGKVHLRSCTAPYSVSKLRNGEPQQPPPRVSNGRAKTVPVRRVEAGELRLE LWVEEARQKFNEPVDSEHPP ACHE_60414S MEQELLPLLADTQSPVADTRRAAELHLLRLYPNESFPLSLTAIA SHDAVPVHLRQSALSVLRTFIISAWSPHLDEFRGQVLINDANKTQIRRILLELSTTAD IPERKIKSSASYAVSKIASADFPDQWPELLPSLLQILNNPASSAGAVHGALKVLHDLV DTGFNEEQFFNVARDLVSTLFHVATSESRKPILRALAVSVFRSCFDTLEMVMEQHKAA VKEFMDEVLGGWSPFFTSTLKAPLPQAPTEQEEPKETAVASEWRGAIALKLQVVKTLM KIRMVFPGLLTAQSPVYFTTIWTELSTIQKTYSEFYILDERQGRLEDVDGLPYTLDFL VLEELDLLQALLKAPPVKAELQQQLQNAGQAATTSTWLPEILKLASSYAQITSEEEGL WDIDVNLFLSEETSVTANYTPRTCSGDLVIKLGEWLKNISVEGLLAYINVLFADNSST WKAREAALFIANQLLRDFSEVDHQIAPELANGFNNFVQFSLQQEQDFLRARGYIIAGI LARVAGEAFHPSAASYLEATLKAIVDDPSEVVKVACLRTLQDLVSALPSNVSVPFQIP IITAVSEFVSAHDLRELTDSDDLKVTLAETLRDTIMVNTNVVLSSTAIDVLFNVASNG ATNFQLTMTVTEAFEDIVDDISEQGPEVFVHLCEKVLPSITGAIDVGNLTQENALTNF ATDLLRALSERALEPLPTGFVETVMPKLTRLLLDSADGELIRPATEAVRHIMAHDFNQ FVLWRDPQSGKEAVEVALVIIDRLLGPSVDDNAATEVGQLAAELVERAGSERLGPYLP QLLRAVAQRLATAEQAQFIQSLILVFARLTLISAREVVDFLAQVDIGGQSGLPVVISK WLENSVNFAGYDEIKQNIIALAQLYNLEDPRLSQVQVKGDLIIQDTGRIKTRSQARKN PDRYTTVTAPLKIVKVLVEELAAASGNKEIDAATAAALEEEGSDDDDEWEDMPSNVLD LGLGVTKQELMSFGQGGTEGVFGVRKRDDETQGFLAEFFRQASTKAGFQELFAALAPD EQEKLRSLG ACHE_60415S MSQPNPNERIQVDTSDEDSVCDADSIVDSTLSITSSVRDYYYEN GRRYHAYRQGLYPLPNDEEEQDRLTFIHHLFRLLIGGDLYRAPITRYGRPERILDIGT GTGVWALEMAEDFPDAEIVGTDLSPIQPNFAPPNCRFFVDDAESDWAFTQDEAFDYIH VRALCGGIADWKRFLQQAYNHLKPGGWVEVQEYEAWVWSDDGTHEHAVKLQEWQKLLD SSSLMFGRHMNIAPALAGWMRESGYANVTDDIYKCPVGSWPKNPRLKEIGRVGKVTLY DAIEPYTLALWTRVLGYSSQEAQEWVDQVRAELLNTSLHTHVMFHYVYAQRPVDG ACHE_60416A MTNKYLSSLQRDGYVLIPSLLDPTELTNLRNTASRAASLARTGS WPHFRTVPKQFPPWPKDPPPAEEGGIWGVQHLLHPDIEGRNEFAGVYFSEKVLGVVEK LLLPDGDKGEGEALVMELFNMLVAPETRDFELRWHRDDIPETASAEEEERLLQAKSPQ GRQSHAQYNLALCPDASLVVVPGSHRRVRTEIERNAGPYEPSLPGQKVVELQPGDAVF YDSNILHRGVYKGKPAEGAETRLTLHGSVGLKASGDQKVRATAVLQHGVGAWVHREDA AFEVGERAERMRANLVAMGTGEGVGYSLQG ACHE_60417S MANSALNALLILGLLLVVLPAQVHGFGAGNIASISAVEGKNWRH GDIEDVLKTVAFIKGHEWTSIMIKRVYFGNWLRDYSQAMDVGTLKSLPADTIRILVWI LSFMSFRFATGEFEVTSDRLGVYRPEEHIDNPKDYADNMDARQYDKRLRPPVRQVELD IDPQTGMKNYIANERGDWDTSAAYVKHSLSRSIHYGRIYTSSGRKGNEEDLAEALRCM GQALHTLEDFGAHTNYCELALREMGFHNVFPHTGVRTEINLHGRRVFPLVTGTFGMVD FFHSVLGEATDHFTQSEVNEMDIALGDAQANSSGGSLGAFTGLLGKIPGTRDLVSEAE NLKRESEAQETSNRSRGSRSGYATRDLGETSRSEPTQQSLSGGGSSVLSDFDPNKTIA QIYPILAFRDKVVRKLTSIIEKIPGLEAAVEKISDTLTIFVMSLLAPFIRPLINAASN SLQTGSAGVIDASGKHQYEPWTDPTCTDPTHSLLSKDHFSNILNEPAGHVAAAIVKYV APRVLYAWEHPNVPEQEVIHDCLRVFHHPAIRDMRNDAHRTMFEAVESWVHARKDRGA SLNDVLSSEGVKSGKNNGGQVGHSHGSGGFPVMGGQNTGVHQQQHQYSAPHHQQQSQQ HQSSGGGGGGMPWDSISKLPIPGISNLNKFSNFIPGGLTKEATNEPSYSGSSSSHHNP SHTSHGQSSSYYGSGGGHRSTHSHNSGHGGYDGHSSHQHSGHSHSRHSGHGHEYSHSH SHHQPSHGHSQGYYQSSHDPYSQRQHHSHSHHHGSGYGGGYGGYGY ACHE_60418A MNLEELLLVESSCTDEIVDLRALDYVSGYDTHLMCPICHCALIR PVRLQCDHVFCQKCLSSAITSSSSTDDFTCPTCRTPTQTVYTDVPRLLINMCDDLRVK CPFSEEGCMEVMARGHVESHVDKYCGYKLLDCPSETCDKKTRKKDLDSDGKCVHELLQ CSHCEEEVMEQDYEDHLNKICLRFETMCPHCQVMTLQKDLGDHIDICPDAIHSCTASK YGCPVKIKRADIAMHEQACPLIAMGPYFEAQNSRLNSLELTMRHLQQRNEIFEDGFAN IRSTLVDASRVPPGQNSRDLPAEGQPGSSESQEMSNDGSSQSNNPTTYLLSLHESLRE EVGQLSHAITDLDARASMAIMNECLRIKEDMAHTNAAVNSIRMQVQWLMNPRLHQGQR TSNVRTNDTNDSGTGQLGSSTASTTSASPISGPSNTSRPGLRPRRLSDSAREGTKL ACHE_60419S MTNPSQLFLLADHIKLSLLERQRAISLSLEPNSQDGEISRSLES LREGIEASEAEHRRLEEVGDEDAADWKDQLDQLRIQFRDLSVQFHGEGSAGTTLNSPN DPTLASDFARANNTSPDLKQPVPQHPPSKNVRFMAAAADEDEEPDRRNLFQPYRDSPS PPGVDQSGMDNQQIHAYHSQVLRDQDDQLDRLGESIGRQHQLSIQIGDELDGHIALLD EVDEGVDRHQTRLDRAKKGLDKVRRSAGDNWSLMTIVGLIIILIILIVILK ACHE_60420A MSFLASARATLGPRLTFRPVPASLSALHSSPARLSLKESDTNRD NLNNIYESEKEHQIKDLKEGKAHWKQELASNSEASVKADREETQTDAENMEKLQQRTK DSPRSAHGP ACHE_60421S MLSRIPPKLPIARSLRLPSIQARSVTTVPFRLPAARNEPNFDYI KGSPERAQLEKSLKTLKSQLPLESPFFVNGKAQSASNTIGQPIPSEHATTFTNYPLAT PEQVNASIESALGAKKEWENTPFVDRVAIFQRAAELVTGKYRYDLIAATMLGQGKNIW QGEIDAAAELADFFRLNCNLAAELLEKQPDRGSPGMWTRVDYRPLEGFVYAISPFNFT AIGGNLVSAPALMGNVVVWKPSPSNVYASTLVYRILLEAGLPPNVIQFVTGDAEQITQ TVLSHREFAGLNFTGSSDVFRSLYSQVGQNIGKKVYRDYPRLVGETSGKNFHLVHPTA DVDSAVYHTIRGAFEYQGQKCSATSRLYLPESRAQEFLSKLKEQTKTITIGSPDQDLD AFMGPVIHRGSFEKIKGIIDASNKDSSLELITGGTYDDSKGFYVHPTVYRANDIDHAL FNTEIFGPVLTVYVYPDNEWSSILQKIDKSGGGFALTGAVFAADRKAVREAEDTLRYS AGNFYINCKTTAALIGQQTFGGSRSSGTNDKAGSPNALLRFTSPRTIKEEFFLLDGYK YPSNQ ACHE_60422S MPISKSAPIAIIGAGVFGLSTALQLASDGYTNITVFEKDDQIPP RSSAGYDLNKIVRAEYEDPWYTELTIKAIEAWKTPLYAPHYHQTGFLHLVSGSAPQKA VDTLERFLATVRDHPKYKGQIQDVKTRDDIRNIAWQYDGQFPGWKGYFNRQAGYAHAS NALLAVYKAAAANGVKFILGKNRGWITELIHDPNTKQVTALRTHSGTTIPTTLTIVAT GAAAATLIPSAAQQIVAKSWSLAHIHLTDDETSALRNIPVTYARDLGFFFEPDPVTNW LKICPMGGGYVNTDPVSGRSLPPKTLAESDVLPVEDEGRIRQLLREALPGLEGRELVG KKLCWFADTNDSEFVIDYVPGMEGSVVMLSGDSGHLFKMLPIAGEWVKRLVEEGEQEV ARWRWKEGKGQDDGKGEVSWRLGATREFADINKKTSKL ACHE_60423A MKLRSRTGCLACKSRKRRCDEERPACRNCLVRGVVCPYLTESSQ SISLRFKISRCDVHWKSRRGDLPWHFLSVSSRDMSLFSTEHALSPKDGSDIDDVSQSF IGAIRPELSISPFGGCGSLEKQLFQYYLEVICKVRVFQDDQSNHFRSLVIPFCYGQRP LYYAVLSLSANDRRAETCFDYEKVSLSYKSRALRLLRESLTDMHYANEALMTCVILCS LEIASGCRPDWVQHAQGAFAIIDSFANLLDPQILFFVYSYFRFRAVFFLTTSSREQAD DSSRNMSALQCPPEIGLDVDLGSDIRDKIQPHMGCSLSLLDIIAKTTHLVQRKRQLRQ SGRSSLPCEEDLRCQAMSIRSQLDSLTEENPSASDYLATCAECFRMAADLFLQLACDI PPCQPALQEQLDRLLNRIGQVIHEGQERQLFPMWPLFLAGCLSTTDEDRLRVLDYFSV LLHQWPISNIPMVREATKTIWKSRDLNVEEEQSKNGFDWQIIIEQMNWKLALS ACHE_60424S MLRNKIAAAFTAIKNHVSRFQVRRGSTASPLIPGAGLPHKTSKP SAIDQKTKPPAHSILPTNVLLRSLLVTTISSNWILRVPSLSILLLLAKAKGPLFNVDR NPFIHGFLKRTLYDHFCAGEVEGQVRDTIRQLKDTGLRGVILTYAKETTRDDRLAKQK EENNERMEALESDKDEMIQAWTDGVLRTIDMIGEGDYLALKLTGAGPQVTHALTSNTP PPPQMLEALDEICRRIVQKNARILMDAEQRAFLDGIYSWTLDLMRKYNRDGRAVVYNT YQAYLKATPDVIAAHMQAAGSEGFILGLKLVRGAYMGSDPRHLIHDTKEETDTAYDTI VQSVLSRRFNGFGGENEKVFPPTDLFLASHNYKSVMAAHNLHQTRISAQLPTVQVEYG QLMGMADGVSYGLLQVKGHNNMSPGVYKCLTWGSLGECLQYLVRRAMENQDAVARTDS EHQALKAEVKRRFLGVLCG ACHE_60425A MGAPTFNPRLLDACAAGHIDGPSANPICISAAQFQATAGNARLD RTNTSAPGPWTTCDFSRLVRYTQPHYPGPELSRLKHQTRADGRPDISRLGALLAHILA PINRARGRGDSTDGVFLDFTLGARSRVSSPLSNSFIGSPLFLTHI ACHE_60426A MFNMSTFFYSQQHQHPHVAHMQNNHHGGRSRRGPKMAAQNTQRQ FRGVKSMRELAEAPAVSAFRARFEAGRSFDLDDDLEFCPGLLTEDDLHSIHSSASDRS SMSSGSPDTSPLQHQIQPVQQQVTPSISLSPASANSYVHSGVTGNPAQMNFQQPSSAR TRKVIPIVNPATGMTLTSPPTSISPSMMHNQRRW ACHE_60427A MAANYWASTQRRHWLFTREKLAEVRDKQREKDMVAHTQFPLPDQ RMLNIYFSQQLTKLGKKMSTRQQALATAQIYIKRYYTKNEIRHTNPYLVLATAFYLAC KMEECPQHIRLVVGEARSLWPDFIAPDVSKVGECEFSLISEMSSQMIVHHPYRTLTEL QRELALTSDEVALAWSVINDHYLTDLPLLHAPHVIAVMAIIVAVVFKPSQAGFHGSAA PALAGAMREGGMNMLTALGDKSGSGPPPRIQKLIGWLSESEVDIPAVVECTQELVSLY ELWEQYSEKNCKELLARMVKTQHLDK ACHE_60428S MTPNESKNDRDQRIAKLWETLGARKEGHIDLNGLKRGLKKIDHP LKNADDMLRSILVAVDTNGDGHIDFSEFRAFVDHTESGLWRLFQSIDRNNNGEIDKAD LKVAFSKSGVTVSSAKLNEFFTDVDKNKDGVITYEEWRDFLLFMPSRSSPDLRAILSY YTATGNLNPEGDVNINDLQGLGYFVAGGIAGVVSRTATAPLDRLKVYLIAQTGVKSTA VRAAKEGAPLQAAGSASRTLVDAMKELWRAGGIRSLFAGNGLNVAKVMPESAIKFGAY ESAKRAFARLEGHNDPKKLMPVSQFLSGGCGGMVAQCFVYPLDTLKFRMQCETVEGGL KGNRLIAATAKKVIKTNGILGFFRGLPLGLVGMFPYAAIDLTTFEYLKRALIGRKARL NHCHEDDVPLNNFTTGAIGAFSGAFGASVVYPLNVLRTRLQAQGTILHPATYNSIGDV ARKTLQTEGPRGLYKGITPNLLKVVPAVSISYVVYENSKRFLGLK ACHE_60429A MFSALLRTQQVSRHITHPRVVSAGFLALYPTRSITPQFTSATRN MATGSSGKITDWVNPNDKSGEFKRQQSVFRNWISRESGAEFPPEKGRYHLYVSYACPW AHRTLITRKLKGLEDFISYTSVHWHLGEKGWRFVTADEKLPGANTTPDPLHADVSHLR DIYFAQDPEYAGRFTVPVLYDKKAKKIVSNESSEIIRMLYYEFDDLLPTQYKSIDLYP PSLRPEIESTNEWTYNDVNNGVYKSGFATTQEAYERAVTTLFSSLDKIESHLSSSVTP ERPFYFGSNITEADIRLFTTIIRFDPVYVQHFKCNIRDIRSGYPAIHRWVRGLYWDVP AFRETTDFEHIKFHYTKSHKQINQFSITPVGPVPDILPKDEEVRAVAARK ACHE_60430A MTDAKMQVDNPQETVEAIKHGEIDESLYSRQLYVLGHEAMKRMG SSNVLIVGLKGLGVEIAKNIALAGVKSLTLYDPAPVAISDLSSQFFLQPQDVGKPRAE ATAPRVAELNSYVPVTVHGGGKLSDNLEQLKRYQAVVLTLTPLKEQLAIADFCHKNGI YLTITDTFGLFGYLFNDFGKNFTVGDPTGEEPVSGIVADIAEDGLVSALDESRHGLED GDFVTFTEVKGLEGLNSSNPRKVTVKGPYTFSIGDVSGLGSYQGGGIFTQVKMPRFVD FESLDQQIKKPELMVSDFAKFDRPQQLHIGIQALHKFAENHDGQLPRPHNESDAQEVI KIANDLASSQEEKVELDDKVIKELAYQARGDLNPLAALFGGLVAQEILKAVSGKFNPV SQWLYFDSLESLPASITRSEESCKPLGTRYDGQIAVFGKEFQEKIANTKQFLVGAGAI GCETLKNWAMQGLGTGPNGKVFVTDMDQIEKSNLNRQFLFRTKDVGRLKSECASAAVQ AMNPELEGKIVTLRDRVGPDTEHIFNEEFWEGLDGITNALDNVDARTYVDRRCVFFRK PLLESGTLGTKGNTQVVLPRITESYSSSQDPPEKTFPMCTLKSFPNRIEHTIAWARDL FQTYFVGPPEAVNLYLSQPNYIEQTLKQAGNEKQTLENLRDFLVTDKPLTFDDCIMWA RHQFEAQYNNAIQQLLYNFPKDSKTSTGQPFWSGPKRAPTPLKFDSTNPTHFGFVVAA ANLHAFNYGIKNPGADKGYYRKIVDNMIIPEFTPSSSVKIQADENEPDPNAQPAGPSD DNDEIQKLVSSLPSPKSLAGFRLTPVEFEKDDDTNHHIDFITAASNLRADNYEIPQAD RHKTKFIAGKIIPAIATATALVTGLVSLENYKIIDGKDDIEQYKNGFVNIALPFLGFS EPIGSPKGKYMGKQGEVSIDQIWDRFEVDDIPLQDFLKYFSDLGLEVSMVSSGVSLLY ASFYPPAKLKDRLPLPMSKLVETISKKPVPEHQKNIIFEVTAEDQNEEDVEIPYVMVK LRK ACHE_60431S MLHEILLSLSGQPSPLFALQGEEDGVIQDAFPLLAPPEKALLTS LARLSRLHVRLRNHTSVISSSHPSVICRAVSTAIVTEHLAEFQKKILEVEKAILVEDS GYVGGYGIVPLSTIVGEFAPWTRRLEWLWEVARFVYPEHKKSYRGCTGAALIDHLRAE SQTGYADLEEMALQLVTAAERAWMRQLSMWLLHGTLPTFGKDDFFIQEDDTNTNDGDD SGVTQFAMHADRLPKFISASTASSILFIGKSLNHIRAKRKASSTGRSTSLLTSPVTLH AEHIESLAALESPMSSSKLSNAVNAIRLALSQSTLSKLLPLPKILEMLSVLHDFMLLQ RGEFVTALVALADARTSERHRRGKVLGGLVGLKPGDLANTLAKAWTELYALQNAEDSG DDKLDLARDLLHLSIDDQKKGGHSRDTAGYGLADEISNISFSDLLFPIPTFLTIQVRP PMDLFLSTTDISVYSKIHSYLLGIRRAQIRLSDLWKHTSIRRCHPSPWGPPRSSTRAG QNRLKRGRDRDNARIVQMRPIWATSSAALYVLSEIGSFFQGEVINCSWQHFREWIEGG PSHVGSRASSRPGTASSSKQKEITSFTPAHLAAVDDLSQSTHNATPAHDPETLTVAHR RHLSSLVQSLFLTDTPFTSALRSLLTRVDRFIALVVRLESIQRNMDLETDEGVVDERA DYASEEREVLRELNGTQKEVKAGIQNVVARLRDIDDSRSGEGRRMFDLARNPNQNWSS ALNNNGIGGTELPNANHYVPRKAAGVDQLLMKLDFGNVNDDGA ACHE_60432S MAEALLEAGAKVYCLDRLESPDPEFMAAKEHGEREFGGLLEYRR LDVRNDAEVNNVFSEIAGNNQRLDGLIAAAGINYLQSALEHSQTALNEVMQINYNGVF NSATAAARQMFNYQQKGSILLIASMSGLIANKGMTSPVYNSSKAAVIQLARSLAMEWG RHGIRVNSLCPGHIVTPMVEQVFQQNPAARAVWEAENMLGRLATPEEFRGAALFTLSD ASSFMTGSTMLIDGGHTAW ACHE_60433S MFQRLRDAIDSRIAEEQARQKSSQEALSRSNSARLPQGPGRRPA RPRRNTATPVRGPDPKEFEADFAIGDDDTPPRSGTPQPEPATGGDGAADENVGEKQAS TVESGGKEAAAGDKGTGQNQAPSELPPEVRAKLRRLDKMETRYHDLLKAYRTAHSRVL SIEPFEAALRENTPLTSISEPKAFTEYLNQISLKGDMVTEELKRITTERDDFKKKLEE AQRSKNENAPSKGGETGDSAKDADTTKEGETEEFFSFDNELPRIESELKEKQEEVETL KSQTENLKRDLSIARESTEGMVQNLETATRELGELREAKDKQDTEVEELKKSKKTEID DLKAKLTTSETTMVKANAEVEKLKTGLTQKTEELEKLRTQASTSEGNDDQSKQLSSKL EESETEKQDREKRLGVLQGLVDKLQSQLKETEASVSSLKTDVSEKTEELNKLQSLVTF LDGNLMDNAEWQLTKDKITKGQQPDFEELRKSLAPSKEPETALEAELETQAAQTAASA PNTGGGKKKNNKKKKKGGKAGEETKPAPVAAAPVPEGTKPSKPESDETSKKLGELEAR IESLTRELGEKEAAIDRLSNKLKGEEDLKEEIESLRDDLLNIGQEHVESKDKIKELSA EKTALEETISKLEKELAEVRTSTASQSADSEKAHKGLKEEFEILKSRAATLETDLSAA QQLAATRFKDMTDLRETLQKLQPELRDLRSESSELRFTQDTLSRKSADLKSLESKHDG LRADLKNLKSTISDRDSEIKTLNQKIRQETDSRLKAEEGLSVAQSDLRYSESKKQEAF ETREKMSAELSKAQDELKSARTKLRDVEGQAAQLGKELNSLKEEIELKTAQHASAQSL MNSMRDQGAEMGMQMKEARERCESLEEELADAHRLLSERTREGETMRRLLNDIESRAE GKVRDFKERMEAAIEERDRAEEDASTQGRRRARELEDLKSKVREAEKALRTAENDKED LEHSQKDWRRRRDELEGQWERSTSELNEMRQAMTRLRDSLDESEKQVRDLEKEKAELR RSVEETNSRLEKLRKSNRALVDEARLGQGPRSSRSSMDSGSRRPSATPVSSSDRSPSV RRTETPTGPNIDYIYLKNVLLQFLEQRDKNYQKQLIPVLAMLLHFDRTDEQRWMSAIA SR ACHE_60434S MASASADHAVHHNNDVDYVIRYRFGDADTSEAGKQLELLLRTLV EIGLQTEVRQGDESTLLVFVRATKKSVKRGVYHSRVRDWLHGVRNTEPESASTAEAQT EAERLRVIYHMITVPKEEGGAGISPGHGEWKHIDAIFSLHDEQTNNRCMREWSRKTFL SPEDLDQIRGQLGESVALYFAFLQSYFRFLVFPALFGFSCWLLLGSFSIIYTVINSLW CIVFIEYWKRQEVDLSCRWQTKGVSVVRTKRREFMAEKEVRDEGTGEVRGVFPAQKRM CRQLLQIPFALLSAVALGVIIATCFAIEIFISEVYNGPLKTYLVFIPTILLSSLVPTM SAVLTAVATKLNDYENYETKDTYDIALTQKIFVINFITSYLPVFLTAFVYVPFASLIV PYLDIFQVTVRPFVSKEHATTARTANFSIDPDRLRKQVIYFTVTAQIVGFAMETIVPY LKQNLLRKYKEYQKKQGKIDATGSDSESENDQSVSFDDPVDEVKFLTRARNEAELSDY DVTDDLREMCIQFGYLALFSPVWPLVPVSFLANNWVELRSDFFKICMECRRPLPLRAD TIGPWLESLGFLSWVGSITSSALIYMFSNGHPGPNGEPSVIKGWALLLTIFFSEHLYL IVRYAVRAAMDKLELPNSRQDRTNHYLMRKRYLESTLRTDVVGDEPDDEIDIRGSELD EISVKTLEDDARKSSLHETDPADRFWRRQKGWLESARVGQSIIQAQVGKEGKKQQ ACHE_60435S MEANYLRHMSRERRGHKRQRLSSTAESERQASSQNFEISHENSR GSSSQVADNE ACHE_60436S MQPALAPAPHPSMQTSAQDHADQVLHDQLLAAQQHLSHPQARPP QPPPAQPPHMQPNASPRDQNNIDPAISGASMLAGPPQTPPQQDPSGPESPKSYGKRPL STSKRAAQNRAAQRAFRQRKETYIRKLEDQVKDFENMSEGIKTLQTENYQLREYIINL QSRLLDTQGEVPELPGNIDLSQPRTEMQVPPGVSNQNPAGPTPPGNPQQQPQPHQNAA ASNDDMNSLNRIAVAGLGMRKHPNEDPNYMANTQFQNKRLRGDEGQHDSDVTKTEPTH GLPVVS ACHE_60437S MSTASFQQFNHAPAPPSGLDILAESSEYAFGKSPRNPSLSGNNE HNANGASSSGDLSRKDSLVEGVSRKRPSMESASGPVRRRISRACDQCNQLRTKCDGKN PCAHCVEFGLTCEYARARKKRGKASKKDLAVAAAAATAGAANPPSDRDMDTDRVNNGV VAREQNSQDGFSSRETTTTFDAARNASMPAQSHLPTSEIPGMVGIQNSNQVQQGTQAQ AQQSHLGASVDGIQGTLNDSNRPMSVSDLRPLQMLHPSNTNPRSPSTSMLPPNGFGYT ETAYPLMNSQEPNQSSLNHFRLAGSAENPSAPFMGLSPSPGWLPLPPPSPATFPSFSI HPFPSSLRYPVLQPVLPHIASIIPQSLACDLMDVYFTSSSSSHISPQSPYVVGYVFRK QSFLHPTKPRVCSPALLASMLWVAAQTSDAAFLTSPPSARGRICQKLLELTVGLLRPL IHGPAAGETSPNYAANMVINGVALGGFGVSMDQLGAQSSATGAVDDVATYVHLATVVS ASEYKAASMRWWTAAWALARELRLGRELPPNDLNSRQDGEGQDGRDMAENAQQQPPLV TPMGNGVDVTEEEREERRRIWWLLYATDRHLALCYNRPLTLLDTECARLLQPMNDDLW QAGDFTNAAYRTPGPPLECTGHSMFGYFLPLMTILGEIVDLQHARNHPRFGPTFRNSN ECNAQMLEITRQLDGYNRSLKEFEARYTSNLVLGTESEPTAVDGTHLDHVSPSGRSSS SAVGTRVSESIVHTKTVVAYGTHIMHVLHIMLTGKWDPINLLDDNDLWISSDSFISAL GHAVSGAEAVADILEYDPDLSFMPFFFGIYLLQGSFLLLLTADKLQGDASPSVVRACE IIVRAHEACVVTLNTEYQRNFRKVMRSALAQVRGRVPEDFGEQQQRRRDVLSLYRWSG DGGGLAL ACHE_60438S MARLNDTISRPRANRNETNDDGTNRDEANNNTDQENRRSSVFID GDENEERHLVTLNKFLQYAAEKPEWLCVDEREAQLAEEEPHGKVKDREIALLHCEMVE MKSQLKRQTDSEGLDGLHQEMELVKHQLAEVKAERDAFGSQIA ACHE_60439S MAAANVSPNRIEAFPITAGAIPNVTAPSSPTIRFLGLNSTIASV DLGVKAPLLLSALFLISIHPPARCYRSQRNIPPPRHPEDQRHAQDHLKPEVHH ACHE_60440S MRFAHGPRHSQLSSKIGTSGLKLEDANALGTRVPVSGDATVILT GWCAVILSGGHISAARHRVRRVPGVRRLSAFLFVAPDLDVPLKPLEGVLPVRKFSDMV KRGDMDADTFKQVMGKR ACHE_60441S MSEKVILCTGANQGLGFAILQVAGLRHPTNTYILCSRDLNAGQQ AVQKLKDLGVTAKIDLVELDVTNDQQITAVINHVTNTYGRLDVLINNAGIIRLPSKDD LSAARNTYNEILNVNITSVALITTAFTPLLYKSSAPKVINISSGLGSIQNSLTKKMGR SPPYGASKIGMNGLTVHMQVAENDRVEADTDGTSGKPRIRYYACAPGALKTAFTNYWP NGRSPEDGAEVAVHLLADDTNKYDGGSYWEFVDNEMKIVPW ACHE_60442S MAPHSQPVGSDDKENLTDASSDLTEIFSDNSSDSDEDEDEDESN DDISNDEGQLPPEHFLAQAESLNISQLQQKRYSDTTQERLDETYMYWNR ACHE_60443S MLEPRNSTAVIEEVTDALQNVILQHADIRTFVQHYEVDVDLDVQ GIIRKTGSQTPLVRFACSLSASIDLN ACHE_60444A MTRFFRIPVEILYSIIGLLSPSDLSCLSRTCKQIHQLATPRLWR SYRKYNQQPYNPFLRTILINPGLANHIEELRVADVTQDDPREISEEDIQLFQSAVSKL PLPAEFKDRLKAGIREGYSDPMLAVILCKLPNLKNLFLDRPESCELICELLDHADSCE FSSLENIRRFSIETEDYAFPVGPCHEYGGVLNMTHEVQIVHLNDDSMSPSRLRDGSSA VEHLHILESGMGMDAMRVFTQGCRTLRTFNYTFGKVRDLEEHFRPQEAVKELQRHIDT LEELTMLYNDDHVKLPLYDLTAREWYMGTELRQFTKLKKLRSGMHSLLGLLHPQSDAM EAYPTNPQADKERPELVDVLPTSIEHLTILYADARIIPHLQKVGDVREKQFPNLEKVI VGFCSESTEKDVQLEIPGLELAVLYQTQEEREAYVNGRERYSWVGSPVFRD ACHE_60445A MLRYWEEHQGALNRQSRQPARQTFIQQTKSSLERLAEMQQQMHE RMLEARMYDQMDALEEKQERREERNERRRMEQERREHELAHARLMYMPPHYAAMPYSH GQSPRPMMPISGQYPAAQYPRAPITPQAASRASQKRRSSPIDETTDEYELLESFFYWK NVNTPNPRQKEKWNQVKEIVFQNDWTIQDLKDMEDDASPMYQRAIKAGISDGFTRLIQ RELQAFKRDVRRQKEAHEEELQAIATLGQLGHQTDIEGSEFMRYT ACHE_60446A MPPKRASRTPQPAPQRVYDLRKTHIPRISPPPEEGYQGRKNTNG VYVPRAAATKAAAIRRRAAAARAARANEEADASPGLPQTRLRTLNRRSTTPQARRSAT PGKRVQFALQNAQPKPSSAAKSNASSQKEALHRRAASTSTEPETTEADEASEASYDEE EVYEEGVNEDHDENGPEDEESGQMDDEGSADEDDNLPSIDDRDVDTEEEASARALYDA KEKYRELIGRRVLDEARRRYPEGVQRQPREVETSDLEAALEDAMRAADYPVGIILNIR INKKPYVKKSLPDSQRRSFNMEDVEKAFLSAIAPTVGEEEYQIMARRVTVKHSSGRGG TTHHDFDDFDTANGSHILSIIDKHHSRHRTGMIEAHFDINVQCDAILPTPKRSRQPEP PSSDIPSSPLPSLQKSAKIDQVACKSSIVLGLIPFVLLATFNAS ACHE_60447S MPNLSTGMLPGDRALSNNECLARDEDQAHFAEDSDKLVAGFKQT IAAPVGELQTRATGTGNSDCDPIEATLNVRGEDALTFDADCWAMLCGGKDPIMQKIAA KLSDKNRYVGTGSAASKQPFKNPLSHGIKALPPTTIWGLNQDWNSAEEFPFASTVGGG QGAYLFPVNKKSQDEQATALSTFYSSNRIKGFNPADKGKAGAEDGTWFTIKKFAGAQL GPYCKAYNDDDISVCHKNTADPRWGYDPGEYAYVYDHASGTFKYKGK ACHE_60448S MNTISRPVHLLSRSRPLRPRPLSPIIPKRFVGIPPAFLLDDYIP RYQLLTSVDAAKKRSRAYAHLRECNLCPRKCGVNRYETTGVCLIGAETAKVNVIAPHR GEEPCIQGYHGSGSVFFSGCNLRCVFCQNHDIAHQRKGFDLTPEELAEWYMKLQMIGN VHNINLVTPEHVVPQVALSILAARDMGLKVPIIYNTSSFDSLESLELLDGLVDIYLPD FKVWKNSTSKRLLKTDDYTATAMESIKAMHDQVGDLSFTSDGIAKKGVLLRHLVMPGK EDEGREIMRWLAENVSKDLYVHIMEQYHPDAHVGKKKRLAKNTQGGEREEVRYAEINR AIKDEELGSVRDAAVAAGLWRFCEVDETSSAFHL ACHE_60449S MKRYRLFDLGHPTRHSWSLQRMLWTSTMCFWGIVMFTMWFGMNS DRDNVHPLITQMIPAGHCTCQTSTTFQCADCLTCLASSAPSPAENEHQQHQQQSALSR WRFEYGRDDRNMGLGREQCHSAFPGLFQDVVRAGDYWRAQGGITKEDLDAVSLEPGMA RAIIQHGELYIVAARAKNDDHRRKILAALSSMYRALTASPERATETIEFIFSVEDRVD DVYGGSHPIWVLARKASEQSAWLMPDFGFWAWEHWRQHIGPYSQAVDRVRDMESQLGF EDKEKKLVWRGKLSFAPKLRRALLDTARNETWSDVKALDWSSKENCMSLEDHCRYKFI AHVEGRSYSASLKYRQACRSVVLAHKLQFIQHYHYLLVSSGPQQNYVEVERDFSDLPS KMNQLLQNPRAAERIADNNVRTFRERYLTPAAEACYWRALWDEYKQVSNATDSLSRMG KPAVERGLRFESFSLLESSEMMNYYHVHQL ACHE_60450S MKPILAIPAISLLVYRAWSRKSLTPLGLIAAALTAVAHAVHPWS APFALLVVFYIGGTKATKVKHDIKARKTLSATGSGGGDGQRNHIQVLANSIVASVLIL WHTWILKSSGDDGFSLGRMTPIADVVAVGIVAYGPIPYLWIIYVLTLSTHSNYAAVAA DTYSSELGILSKSPPRLITSLSLRVVPPGTNGGVTAKGLQAGALGAFTVAVTSAVLLP FRLPGSDELYVKERLLWVLAVTGWGALGSLLDSVLGGLLQASVVDKRTGKVVEGTGGR KVLIHPSSSLPGGTSEASSGLQGSSENLRLRQTETLANTATLRGSRATQSSVGESAGR AHDEEHESRRVESGRDWLDNNGVNMLMAVLMSLGGMGVASLLWI ACHE_60451S MSPSLRLPTDVPIVDIRQSNVSFSLANDIHKSINPPEGTPRSMP TMLLYDAEGLKLFERITYLDEYYLTNAEIEVLTNNARRLVERIPSDAQLLELGSGNLR KIEILLKEFERAGKHVDYFALDLSLSELQRTFAEVSTEEYEHVGLHGLHGTYDDAITW LSAPENRTRPTVVMSMGSSIGNFSRIEAAEFLRRFAKLMTPSDVMIIGLDGCKDPNKV YRAYNDSEGITRRFYENGLAHANDVLGYEAFKPSEWEIVTHFDAVTGRHQAFYSPTQD VTINGTLLPKGEKLVFEEATKYGPEERDQLWRAAGLIQGAELANRSDDYHIHVLSSAA LDLPTHPSQYAAHTIPSLSDYQSLWTAWDTVTKSMIPREDLLSKPIKLRNALIFYLGH IPTFSDIHLTRALRSKPTEPKSYQVIFERGIDPDVEDPEQCHSHSEIPDEWPPLGEIL DYQERVRSRVKSILEREDLAHNRCLAEALWIGFEHEIMHLETFLYMLLQSERTLPPPA AGQPDFEKIFLDARNEAKPNKWFTIPEQTLPIGLDDPDESTVPRASFGWDNEKPSRIV TVHAFEAQGRPVTNGEYAKYLQANKLREVPASWTLTHGNENYPIPEAVNGSSVAATED LMSNFAVRTVYGSVPLELAQDWPVIASYDELSQYASWTGCRIPTFEEARSIYSYAARL KKERQHSMANGYSNGANGVVNGNGYTKSSQPVYIDLDGCNVGFKHWHPTPVVQNGEKL AGQSELGGVWEWTSTPLAPHDGFKSMEIYPGYTSDFFDGKHNVIQGGSWATHPRVAGR TTFVNWYQHNYPYAWVGARLVRDI ACHE_60452S MSTITTISPSTNQPVVTRTGVTADDLKRVADDAQEAFRSFSQST TLAQRQEFVTRAMDILEKKKDVLARELTEQMGRPIGFSPVEIATAIKRSHFLNRVSNS VLNEVVPGEEEAGFRRFIKRQPIGVAFIIFAWNYPWLILVNSLIPAILAGNAVILKPS PQTPTIVEQIKSAFEEAGLPKNVIQFVHCGEPSLLEGLIQSPKVDHICFTGSVAGGLA VQKAAVDRIVNVGLELGGKDPAYVRDDVDIPWAAEQIVDGAVFNSGQSCCAIERVYVH KNIYEPFVEEVKKVLSNYVVGDPFDAKTHVGPVISKRAKETILAHVADAVAKGAKDET PANATFENTPAEGNYVKPTLLTGVNHGMDVMTQETFGPVIPVMKVEGDDDAIRYMNDS EFGLTASIWSRDIEGAEKLVDRVEAGTVYINRSDYPSPDLAWTGWKNSGRGVTLSRFG FEQFVKLKSHHIKAHP ACHE_60454S MECFRQIGRCLKSPFQRKQVPKGLEIGPPTNFRKEKTPVFFSDD DTLTSHSLGSTMDNDSVMNTIDLPSAREKFRHHVRRMSGRLSRSNDDL ACHE_60453A MPRTLPWLTGDNKAGGTGGSKREIKPQPDFDSNLDRTPKATQKA SKNEDIFRSSSPPVPPTRQCPSEEYLIEGFDNDDIYMMVEDEFYAVAQSFTKHLHFAE YVRQAKEAKSQNGSRIQDLARPTDGVTPISEETKKKKEAENLAERQEKGLGVLDNNEG SREGKEDDDDVFQDDSWAGTSLYDLMMSPRKSRALVGAQRIKSTTRAAAGFVQSSQNS SAGNRMTAPGSAPTSLGREQERQGSIEETASEDDDLDVQPTTTFKRRIEDIETPKTEK SDESITPTPRRSMSHAPNNPIKKPKPEPETKPTPTPSINTAGNKKRPSPSPSPSVPKP RRRMLFDDFDRLPEPTFNISMQEQKRKSSSSIEKSANGRDESKKKSRLNEVPMFVL ACHE_60455A MSSQAYYELYRGSSIGLSLTDTLDDLINEGRIEPQLAMKILSTF DRVITEVLADKVQVKLSFKGHLDTYRFCDEVWTFLIKDVNFKLDNQSTVFADKVKIVS CNSKRPGEV ACHE_60456S MLANMRPNAPLLSLVRGVPTWTLKNTARTLSSTTQRLSDNIKKD QNELLNKHMSESSASDSANTQSQRQQQQQQPTQAKSVGQSDEELREKLERMSGGGGAS GIEYEDGKPSTMKRSVRNNMFRYI ACHE_60457S MSQLHIPPSNSTVQVSIIDTTFDSNLPTAHFMGPTITGFENFQL VAYAFLVTHKDHTTGKERRIVFDLGCPKDPDNDFPPSTAQLIKGLGGYVKASKDVSET LTEHGVGLGSIEAVIWSHAHFDHVGHPSLFPKSTSLLVGPGVKTSYFPGYPANKASPV LACEFEGREVRELDFSTSDLEIGTLKAIDYFGDRSFYLISAPGHAVGHVNALARTTSD SFIHFAGDSFHHASELRPHGGHGASLPLSMKIPGFGCPCSGSTFHAIHPLNHSRIPEH YQRYYDQVPNDPNKVPFHTLSETESGETMAVDLAVARDTIKAVQSFDSDPRVFVIAAH DMSLYGVLEYFPESANDWRERGWKEEGYWLFLRDFGRAVEVAGRD ACHE_60458A MPGRIRTSMIHISYVFVSHFKRVRSVTIEQVTWTGPNDPEDPKN WSYRKKWGATITVSCFTFISPVSSSMVAPALSTIAAEFNVDDEIVSQLMLSIFILAYA IGPLIMGPLSEIYGRVIVLQLANLFYLVFNIACGVSQTKVQMIVCRFFSGLGGSAPLA VGAGLLSDCFRAEERGKGIAIYSLAPLLGPAVGPIIGGFITENTTWRWVFYATSIADG VIQVAGLYFLRESYGPKILHERAKRLRKETGDNAYQTESERQNKNLPQLLRVSLVRPF RMLLTQPIVQIIALYMAYVYGIMYLVLSTFPSLWTSPDYYNESIGIGGLNYISLGLGF LLGSQFCARANDRIYRRLKARNNNTGKPEFRVPLLYIGSFLIPTGLFIYGWTAQKHTH WIAPNIGACLFSIGNIVSFQCMQTFMVDSYTRYAASALGAAAFLRSTCGFAFPLFAPY MYQALEYGWGNSVLAFVAVGLGVPAPVLLWFYGEKLRVLSPYAAG ACHE_60459A MMASIPPIRTDIDNDEAHEHLHDEDPIEDDEYEEGSEIDPAERE LLAHHRMVDEFLSPLSLDEAVLYQLARRFSTVYRHLASTSRQQFLPTPVTRLPNGNET GRYLAIDVGGSNLRVAFIELLGEAADADIRSTDASERSRDTIRKAQRQRVRRTLERAW PIQEHLKLDKAEDLFSWIGDCIAEVVAESLSSDTMKGDVPQELDMGITFSFPIMQESL AEATLMPMGKGFAITSDLNLRKILLNGYEKHTRRLDDDDEPSSKRRKLFSLPRLKIAA ITNDTVATLASLAYAVKSLPNSRVAMGIIVGTGCNATIPMKLSALHESKAKHVRSTSP EAEETIVNTELTISGAASPLKELDIITKWDTDLDRECARPGFQPFEYMTGGRYIGELI RLILYDYITNLAGVSEGDLPATLLQAYALTTTYISNNVARSRSDPDLADELNQSMPPP ENSQWAWDATTAGVFRKIARTVQRRSAGLIAAAVVGLLACAREIELKVDSKENSPQNS QAATPEYNGNTHLPSEVEEGSKVQGPIVPVLTPTPADWQSGPEELVVAYTGGIIQNYP NFKESCQQYIDRLIMRTGPQKSGKSVFLREASDGGVIGAGVLAGMVVDS ACHE_60460S MEKLAYDVPTTDTAVSFQTRRRHRVIAGLGRSLATLLLCFCAYV WINETFPESGSENDFFHSASTGLESQPKLSDSQKVPLEAHVMSKCPDARDCLRQLVVP AMEQVSDLVDFELNFIASVSNQSSAIECKHGPEECIGDILILCAANLPFPPDAGEITP DTPRTPTIRSLGFATCLISEYSRIPEREFVEQCALEHGIDFHSLNECASQQEDDPGDG QHPPLSGIALLRKSALHSAELGVTTSCTVRVDDSVWCVRDDGSWKDCGKGEENSKVSS LAEEVKKRYEGKN ACHE_60461S MPASPPNPNCNPPLQTLVDSLVQKYQGASPSPVLLDLLHKPDQY ALLVNALYRQISLIKRRSQALEDCQITIYDKALLELSMEGCYPIQTGVVELYLAEFLG LDETEDVKDALGKHVALQNVLSTRESESNPYTTRSKREPTSPRIKHEEPTKSETHSSP ITTSSSSSSKTSPQLNERVTRMLAVYHRAKEDYQRIKRRDNVEPLHAVRFLRDTAENT ILYLRANGFFDHELIPELESMFDYARDKAAQLSGGRKRHFDDDRERQRERDRVWNRRG ESGEKRSRSGRVIDSYRPGYLSK ACHE_60462S MTMAVQPAEFTSASDSATPSSSARYTPVSDRTDDGPSYSHSRRP EKGSLRVQTDFGSADNTDISEIFSDGDESYNGYNSGPEIRENKPPRTGSSLEYSAAEE SEVVKKFDRKLVPFLALLYLLSFLDRSNIGNAKIAGLTNDLDIPSWKYEWLLTAFYIT YVLFEWMTLMYRLVPPHIYVSLCVCGWGLVASFQSLATSFWGMLILRILLGATEAAFG PGVPFYLSLFYKREELAFRIALFISAAPLATSFASTLAWVIVKLSHDGPIAPWRTLFL VEGFPSVVVAVFAWALIPDSPEKAHFLASRERMVAELRLQRNRKTGAYQDSQKGKFNW QEVKKTLADPKAYITAFMFFSCNIAFSSMPVFLPTIIQDMGYSSLASQALSAPPFLVA FVVVLVTTYFSDRNRTRSPYIITHALLSFTSYLAIAATGHFHTHLSTKTHVVIRYLCV YPATSGFFSAISLILAWTMDNRVANEGKGTSVAILNIIGQCGPLLGTRLYPESDGPWY IRGMAVCSFFMLLVAVLAFALRTILRRANRAGSGDGMDIEMETHGEEREILMGDHGVD HVQEEKFTYLL ACHE_60463S MSSIERHDPEAHDPEKHPEQSAIREGRNIALGKVEAFNKVLNQS GQPGKVLRGIIVVSIGLTMFTYALGQGITPQFDVMATSVVRPTRANWSSQYGESDHQR SIQAIYRQDCGYHISADSIFGGSVGV ACHE_60464A MSANAYSTANELYQRPEYHEAFVTVELGLQETGKRTGNAEHRKS SRSYLLLLPLA ACHE_60465S MGYMREECPPATSKKTLKEIYGSIQILRSGYDWNDPTRKGAIEA YKRTNGIIFITATVLAALPVLFSLCMPSYYLGKQQNAVTHTALDDQ ACHE_60466A MLGTIGDVIATSGKAISGKRIMDWALIRLVEKSSSSLCVQQDVR RPRSPIPITLWRHRGSRTARVPDCSIRLPGKGWYAKLGRTTGVTSGLCNKALTYYNWK EKSTIQYGYRGKVYTRPIY ACHE_60467S MADGGGLDNLPQLLPSSSVQEPPNQLTFSSAFSRAARAPAITAS LSGVTGIAASHLPPPPLSSPPTPSTMAGWIGWLFTFFFQVVPSILYWIITFCTITLPT WLFTLFSMSLTFTMNFTTLLLILLVLVSTISWFIRYRFLNMYSRLPPEPQRKEPQLDL FPDVHEGDSKPGLANYLDEFLSAIKVFGYLERPVFHELTRTMQTRKLVAGETLMLEEE KGFCLVVDGLVQIFVKSIRDRKPGIDEDVHHMDNESSDEEGHNIGGKQGYQLLTEVKN GASMSSLFSILSLFTEDVQLRASESTRSSTPSNVPMSAGASREPDSFPASPHGSIPLD SPLAMSRGRSDSTPHLNGSGELPTVPPLNLDESAQDSPRGPRRLPGKKRRKSVHPDIV ARAMVDTTIAIIPASAFRRLTRVYPRATAQIIQVILTRLQRVTFATAHSYLGLSSEVL GIEQHMAKFTNYDLPNEMRGTALDRLKDKFIKERDRLGPEEITKGIALHNPSLGRRRI STTSLRKEATLHAKIASSRRPASVIGQENLNMTSERDTGVSPGDLLSTIQLSRFGPRY EHLAPRLQTPLAEREQSPFRPPHLHRKESSIDEDVLFRDAILDCIMKGIGLSGSTRDA FRKSSHSGDASPRLLSYDSRRQKAVFSNAFGFIDPYEGAGEGETESMMSMSVTSAGGT SPIVNLKEELRSDIEIVYFPQGSVLVEQGERHPGLYYVVDGFLDVGIPVSDKGEDLVG TSRPTFMSRSSEEPFPTLKRTTTGTTRSFAVTGAAEGNKRKKQSRKSLYMIKPGGIQG YVGAVASYRSYTDVVAKTDVYVGFLPRSSLERIAERHPIAQMTLAKRLTSLLPPLLLH IDFALEWVQVNAGQVIYHQGDESDAIYLVLNGRLRSVLEGADGKMTVVGEYGQGESVG ELEVMTESTRPATLHAIRATELAKFPRSLFNSLAQEHPGITIQVSKLIAQRMRDLVEH PVSEKGMEQSNAGSVKTATSTINLRTVCILPVTAGVPVVEFGHRLLHALHQIGVTNGV TSLNQAAILNHLGRHAFTKMGKLKLSQYLADLEEKYGMVLYIADTNVNAPWTQTCITQ ADCILLVGLAEASPNIGEYERFLLGMKTTARKELVLLHAERYCPPGLTRRWLKNRVWV NGGHHHIQMAFRLTTEPSHPQSNKHLGTVLKQRVQVIQAEIQKYTSRRIRQTPLYSAH TPFKGDFHRLARRLCGRSVGIVLGGGGARGIAHIGVIKALEEAGIPIDIIGGTSIGSF IGALYARDADVVPMYGRAKKFAGRMGSMWRFALDLTYPTVSYTTGHEFNRGIFKTFGD SQIEDFWLEFYCNTTNLSRSRAEFHSSGYVWRYIRASMTLAGLIPPICDEGSMLVDGG YIDNLTVSHMKGLGADVIFAVDVGSIDDNTPQAYGDSLSGFWSVVNRWNPFSSIPNPP TLSEIQARLAYVSSIENLERAKTMPGCLYMRPPIDPYGTLEFGKFDEIYQLGYNFGKQ YLERLRNEGSLPIPEETEEKKKLQRTMAPRRASI ACHE_60468A MGSILNYNDTSIPALTSLERIGPKGYLRYVFPFQLVEDYNIDEV ATVLRTGYDALKDRIPVVACEAIPDVNLKQAGVLKLQRLHGSDIEDIVVKDLRNSDAF PQHYSELKKKGFPVAAFDADILCRRSVWPSPGERLPTSLVQANFIRGGLVLTWCILHM VGDGTSFYTWTKVWADECRRALQESIEPVHLDEAIWCDRSRMMIPSGRNPGSLKTHPE YTLLPFTPAGAPPKMLSPHHRGQVFYFSPVALKELKAEASPARAIVPSDQSWISTNDA LSALLWRTVMAVQSPLATLEGDPVSVFNIAINGRKCTDPPVHPETQGCFLEYIAVSLP IREILGSCNLADLAILIRKAVLRADNQYTDDVMSLVEQLEDVDRLVPTAFLDVPGFNC ILSSWVGFELYGLEWGSLLGRIKAVRTPSVGAINGLQIVLPLLPDGGVEILMGVEEGC LGRLLEEPLWMKYAVAR ACHE_60469S MTICFGFFITISYPLTIWFTMANCCRPVSYFWNKFSGAKGTYIN ANQLFLALGIINILSDFIVLLIPFPRIVAPQMSIRKKMAICGIMAVGVFACIASIVRI YYLSLFMNAADVNWLMGPVLLVHDRAVHCNRLRLSTTTSASCAASLPIRFN ACHE_60470A MVAISKTILRPFQLITRVLQWCSAVIVMGITSYFIHKGPRGQHI IYQEVISTMSVVFFLPAFLSPFTPKVVSGFVFFIDVIFSYLWLTAFIFAAQDYNWLKC TFFSPPGLACSRKYANEAFIFLAFIFTFFGMLLEILSLWSQREGTPHREKDGHAAPAP AQATSTV ACHE_60471A MTITSVQTVLPNRLTIDPSERKAAKPPPQVYNAKDHPFKGYHPP QPEGYRQSKANPDSSAIVIDNGSNLIKAGWSFDKSPRLVFPPVMARYRDRKLNRACQF IGYDSYVDATTRGQLRNAFDPGTSVVGNWDVMEGLLDYTFIKLGVDGASGGVDRPIVM TEPIANLSYPRRMMNEILFECYSAPSVAYGIDSLFSYRYNNGRNGLIVDSSHTATHVI PVLDSKPLLSNCSRLNWGGLNASEYLLKLMRLKYPTFPARMTESQMQDLVHNHCYVSK NYDQELSGYLDWTGLEDRDHVVQYPFTEHVVPEKSEEELARIAERKKESGRRLQEQAA KMRLEKLMKKEQELEYYKDLQQGLASESKKEIRRILEAEDLKDEAHLDRLIRDLERSI RRSRNKDLGIEENEETAEEMSFPLLDVPDEELDEAGLKEKRHQRLMKSNVEARQRAKA EKEAEKARRQEEERLDREKRENDFENWIGERRQARQNVLQKMKERDRMKADLGNRKSL ASQMRMKTLANLAAEGPRKRRRGGDEDDFGANDEDWGVYRTVATGDQSDEEEEEDLGG ALTNLENELLEYDPDFTENHTLAAQSDWTKSMVHVFLRGPWPFDPESQREAHQLHLNV ERIRVPEVVFKPSIAGIDQAGLVEVAADIVNQRFSTGEDRQRLLRDVFLTGGNTVFQN FDERFRQDFRELLADDTELVVRRAGDAVLDAWRGAAQWASQGQLGRVSISRQEYLEKG SDYLKEHELGNMMS ACHE_60472A MESPHEHQQTLILSRIINNIEKLNESVTVMNKSLQEVNIQNMNV ELVAQMFKNYQSNVLFHLEATENLKEPS ACHE_60473S MPNPTPAETEAHQKLLDTLDIAQIPRPFRSSTWRPSQRRNKNVK QLLSENSRKEATASVLATQANSGATTPLLPTTGTETPAEGAQGGENIAQAAQNLSTLV LERNARMASSGSGPSVTWTNIESAPSLHPAQQKRYCDITGLPAAYTDPKTRLRYHDKE VFGVIRSLAQGVPESYLEARGAQVVLK ACHE_60474S MPTMWLSENQKVGAVFCSAGGLFLFGGVLMFFDRALLAMGNILF LIGLSLIIGLEKTLAFFTRRQKIKGTIAFASGILLIFLRWPLTGFLVELYGLFILFGD FLITIGQFAGNIPVVGPYIRRGLEILAGGTRSNAELPV ACHE_60475A MGAHSAIWQGYVDSSLMGSGQFDKAAILSHDCSGVEASSPGFTI SPQEISALAAGFGDPSSVQASGFTVGGDKFFAIRADDRSLYGKKGKEGIVVVKAVSCV IVAHHGEAVQTPNAATVVENLVDYINNPR ACHE_60476A MKIFSFFAMAAITLAQTTLFPIFNAAPTTLPITGAEGSIVSADS SATTIAIQCVKGNDLCQLNQAVTVTEGLSTHSMSAVFSTHTLALDGVLTMIEDCTITS STVGASCTVSLTLELTSPPATSSGSMTASASVSTTSRNWETSCGLHGIYYKPLTVTAG MEKLNVPTSQPTDAGAGAGGEDNLGIGRALAAAVAAAAMYV ACHE_60477A EYNILGEVGSVVLGVQSVFSNYTAPFLGHTMRQRIELAKIGQYC GISLSGRARNLPMPGLIGKISATDVTVLQESQKINACSK ACHE_60478S MLGRPHRHHAPDVSSPVLHYTNAVQRNDLQTLAATTMGDQNASD PRTISLLGLQRPRTSEGRDRRPNLRIAIPSMDDLPLPPVIHDGGYESDGSNLIGIALG SPRLVHRRNGAQAEQQHHQSEKRRYHPALEKPKRPLQRKPSKWRKIGGLFKHKNAAPN QVRNHKHKPPGCGGEPDSGVEDKGNCFGGPTGGKIKSCLEAKRKFKAENASSHASANA KSAAKGDDGSKGDDSAPMLEVEIPDAQMERYSVMFGGLFGQGKARRSKTMDEVIASSR INGSPDTQRPRRTTSPTRSRTPSFSLFPTTQVSKASKVLGTSNIPRGPSPLARSQTSP AGQEQESLLNAHSPLPSPMSFKSRASEVSSNIASNGPEKRVSINIGKDTVFKDTNPFS DNDNDHNQKPQKQFSINTDLEPHSPNTHNPSTASSPVLSPLDATRDKINSILSPASTP KDDNENNNENTNKIDLKAESQDPEPENDIENIPKIEISVARSVSVSKSKKKQILVPIG PRNDRLTPDGLGVSERKGKTPQIMDGYFGHRPGTSQDVRIESVLSA ACHE_60479A MDDVGSSESQWLVQLAAMREAIADLKLPKDPAPEQLTYGSDLEL DLDDDYSSPGTVDDIWDVISSDDETSDDLDDINGVPLPSTTGYDQIWLEEKCYDLAAR QGLDPADIAQQITAVLASDSGDDELQMSLAEIVGFDDLELVIDLIAHRGEILASNGKG SQAQTDGLAAGKLQTKAEREQALRQQDYEHKNAALLPAQTRSEPKYPHVFKQHDSRNT LALGGKRYGLPLGSEQSEEKNYTEISVPATKVGTLGVNRKLVQIASLDGLCQGTFKGY KTLNRMQSLLYDVAYKTNENMLICAPTGAGKTDAAMLTILSAIGKNTVPNPAEEPTAT EFAVQVDDFKIVYVAPMKALAAEVTEKLGKRLAWLGIKARELTGDMQLSKREIMETQI IVTTPEKWDVVTRKSTGDTELVQKVRLLIIDEVHMLHDERGAVIESLVARTQRQVEST QSLIRIVGLSATLPNYIDVADFLKVNKMAGLFYFDQSFRPVPLEQHFVGVKGKPGSKQ SRENLDVVSFEKVRAMLEQGHQVMVFVHSRKDTVLTARMLRQLAIEDGCENLFSCHEH EDYSTALRDMKHARAKELRDLFASGFGTHHAGMSRSDRNLVERLFGEGLMKVLCCTAT LAWGVNLPAAAVVIKGTQLYNPQEGKFVDLGILDVLQIFGRAGRPQFQDTGIGFICTT HDKLHHYLSAVTSQQPIESRFSSRLVDNLNAEISLGTVTSVAEAVQWLGYSYLFVRMK REPRNYGIEYEELLDDPMLVQRRRQLIIQAAHVLQKSQMIIFNEKTEELRAKDVGRIA SQYYVLQTSIEIFNELMRAQAGEADVLRMISMSGEFDNIQSRDNEAKELIKLRDESIA TEVAEGVDTPQAKTNILLQSYISRARVEDFALVSDTGYVAQNAARICRALFMIALNRR WGYQCQVLLSLCKSIEKQVWPFNHPFHQFDLPQPILKNLDERLPSSSIESMREMETAE LGQLVHNTRMGKTLSKLVDNFPTLSVEAEIAPLNRDVLRIRLCLYPEFMWNDRHHGAS ESYWIWVENSETSEIYHHEYFILSRKKLHDDHELNFTIPLSDPLPSQIYVRAISDRWL GAETVTPISFQHLIRPDTESVYTDLLNLQPLPISALKNPILEELYGQRFQYFNPMQTQ IFHVLYHTAANVLLGSPTGSGKTIAAELAMWWAFRERPGSKVVYIAPMKALVRERVQD WKSRLTGPMGLKLVELTGDNTPDTRTIRDADIIITTPEKWDGISRSWQTRDYVRKVSL VIIDEIHLLGGDRGPILEIIVSRMNYIASQSKGSVRLMGMSTACANASDLGNWLGVKE GLFNFRHSVRPVPLEIFIDGFPHQRGFCPLMQSMNRPTFLAIKNHSPEKPVIVFVASR RQTRLTAKDLINYVGMEDDPRRWVRMSEDDLELNLARVKDDILREALSFGIGLHHAGL VESDRQLSEELFANNKIQVLVATSTLAWGVNLPAHLVVVKGTQYFDAKIEGYKDMDLT DVLQMLGRAGRPQFDSSGIARIFTQDSKKAFYKHFLHTGFPVESTLHKVLDNHLGAEV SAGTITTKQDALDYLTWTFFFRRLHKNPSYYGLEISAEEHNTMAAQTIAQDFMIDLVD KSLGDLAESSCVVFDSNTGEVDATPFGKIMSYYYLSHKTVRYLMTHAKPNPTFQDVLS WMCSATEFDDLPVRHNEDLINAELARNLPLTVESMGDLPMWDPHVKAFLLLQAYMSRI DLPISDYVGDQTSVLDQGIRIIQASIDTMAELGYLPACRMLIALLQCIKSARWPEDNP LSILPGIDVGEKPHRAIPKSLVALSSLPAGAISSLTKETRLPVAQFSKAASFLPQLSL SISKVSSTGITVSLNRRKRHPDSEFRIYAPKFPKPQTEGYFLIISTATPDGKDGELLA LKRVSWPPQNGFGSRSGNGQGGSNNNSNRDKNNNGPYVRSSVKFPEQLLEEYMASGKG VNVRVISDSYLGMEWSLDNVEVKLDSGKGKQIVEEPSVPEKA ACHE_60480S MKIFYIGVLRNDSKPALELCGERDLSSFSRFTRDSYNEFMMLFC KTVAERTNPGQRLDIEEKSYTFHAYGRTEGVAGIIISDGDYPALVAHQLLSKVVDEFL AKYPRTSFSDPSARENSCPLPQLKDYIVKFQDPGQADSIMKIQQELDETKIVLHKTIE GVLERGEKIDSLVQKSDGLSAQSKMFYTQAKKQNSCCTIM ACHE_60481S MAVPIVIYLLIALISWHMLSQFASRRLPPGPRPLPLIGNIHQLW SPVPWKVIQAWHKQYGPLITIKVGPIPIICIGSYDVVRDLYERRGRIYSSRPRMTFLN ECMFEGHFPAAQPDGPNLRTLHRLQHAVLNKNATDSYRCVQDLESKQVLHELVFRPEG FKTHFERYASSITYLLLFGKRIVHPDAQEKQELDRFLRLFVEANALSDSLLDIFPFLN HLPEPLASWKAKGKQINQEIKRIMNTSLQQRQGWNWVEHIIHRQEASNLSPEALQCLL FELYLASSITTHILLQLLVKACTLHPEAMRRMQIEIDSVVGDQRLPNFDDEQNLPYLK GFINEVLRYYPLVTMGVPRTTTEYDEYMGYRIPKGSIIVANNWGLDRDEDRFHCPDEF RPERWIERPGSRVAVFGFGRRACSGERLGRASLFIVLARCVWGYDIVPGPEFNEGQKQ ESGNSVISIPMVNAEFRLRSPERREIIQEEQLLAEKDEGKIMADLETSVSRRSA ACHE_60482S MQSILKPVIGPKKEMHDLGGRVALITGGALGIGYQVARTFVLNG ARVIMVNRKEDQGQDAIDKIKQEAGPTAQIEWMECDMGNFKQIKDTFTSLREREVRLD LLILSAGINVNQYGETHDKIDRHFQVNWLGQFYVCNLLYPLLRKTSKLPETPAPRIVW ETSEQHRMAPSVVHFGSMEEINDPEVGNLELYGRTKLAIILGVKYGFLDRVIKPNNDD IYVLSVHPGAVNTSMQQQWKDAYPGLFGKLLTTMMLAIGRDPEQGAFSALWAATSPEI EEKGWNGYYFSDSCQPGKESKQASDPKLGSALWDLTQRTIKDKVGDDALADWNAA ACHE_60483S MAPPTFAGMSGKPLSLTVSTIATMGFLLFGYDQGVMSGIISDPA FNGVFTATKDDSVMQALVTAVYELGCLAGAIWALIFGDKMGRRWMIFSGAIVMIIGVI IQVTSFVGHIPLLQFFIGRVITGIGNGMNTSTIPTYQAECSKTTNRGLLICIEGGVIA IGTMIAYWIDFGAHYGPSDLVWRFPIAFQIFFGIIIIVGMWYLPDSPRYLISCGRVEE GEKVLAALGGREIHDHETQLQKQLVLESLEASGAGEETKFSDLITGGRSQHFRRMLIG SSSQIFQQLSGCNAVIYYLPVLLENSLGEDHDFALLIGGVNMIVYAIFATFSWFFIEK IGRRNLFLYGSWI ACHE_60484S MTITFACLIPSGDGAKKGAVFGLFLYMACFGATWLPLPWLYPAE LSPIKTRAKANAVSTCSNWLFNFTVVMITPVMVDRIQWGTYLFFAALNASFIPVIYFF YPETANRSLEEIDLIFAKGFVENMSYVKAAKELPKLSDEEVEAMNNEFGIGSGDSCGT GGSTDEVATEKGAAQHQQEA ACHE_60485S MSSSLEAKIVVLGSQGVGKTSLVHRYVQNTFSPTTTTPTLGASF ITQRILDRTSDTIVRLQIWDTAGQERFRSISRLYYRGAHACLLCYDITDEKSFEEMAG WLKELKDNLAFGEGDGGEGIVIHVVGTKSDIVTLDPSRRRVPFERTIAYVAEQLYPTQ ASTPPPTATATATPTTSTTSFMHHRSSSTLFLQSADSKRSSGFWGQDIGWDCCHEISA KDGEGIEEVFRVIARKLVEQRNRREVVRSSGALAMSFGGSGGGGVRLRNRGGRGRQGV EDVPAVVAAEGSASSRKLGVDGNGGFRLGPAGLGGAGGDAKRRSWLGISSSSVALEAY GEEQGEGSKRKGRCC ACHE_60486S MTLTYRVASAALLILAIILIKRHLDHVQDDSRVQPFWQISSPAP ASADSLANEFVPETPVIPDNNPAKEDAPAAPESYHDRARPGVGGLRTKPGDRKVLSKK PEYETTPIIVPNDRTIVMAKMSWEDTSWVSNDLNEWRNVIYTVDDPFASRHTPINKGR ESLAYLQYIVEHYHDLPATIIFLHSHKDGWPQAWHTDTLSYSNVESVRSLQIDFIQKN GFANLRCQESPGCPDEIQPFRNPPRPGKTAEKFYAQAWKELHNNTDVPEVVAAPCCSQ FAVSRDQVLQRPKSDYERYYNWVLTTDLPDDLSARVMEYSWHIIFGQKAVYCPDTFQC YQDVYGSAYFW ACHE_60487S MADSVIARKDAITTTPGSSDYLKIGFFQTAVLRALDYHETTESA CADTQTDWEGYLVSSSEGLLPYLTNATRDTGYPLDRFATGDGLTHLYDKSQNTTIKNA LHALRESANLQPRNQYDGYWFYTYPNWSYLDGTYSLLPFLVEYTQRFDPGNATAVAGD VMHQLELLWAYCQDQGTTGSGLLVHGYDSTKKASWANPVTGGSPYVWSRALGWYFMAL VDVFDIVRSHDIFPSELESYIRQRYTELAKAVLSAADKDNGCWWQVVTLPHAKGNYIE TSGSGMLVYGLLKGSRLGLLSPEEEYTGMAEKCYNHMLREYVVDEGNGLLGFNGTVGV CSLNSSATYEYYVNEPVAYNSLHGIAAFTLASLEHEMRYNQVL ACHE_60489S MPSYHEALGLLITEAQHTPPTTERVPLCDALNRVSNCTYNSPSS NPKHDTSAMDGYALNSAATKTASPSTPIIFHVAGTTAAGDLPMVISGEPDSDASVYPC VEIMTGARFPEAIEGDHRPFDACVKLEDVEVLVGKGERPSGNRYIQVVKPVDASQNRR VAGTDFVEGDAVVRAGERIRPRHVMALAAVGVREVEVTRRVRVGVFSTGNELSADARS RVHDVNGPYVTTCLRDWGVDVDFLGVLDDGAEKMVSALESHLRDNKYDIIISTGGVST GRFDLIPAALEDLVARVIFHKIPIRPGHPALFAKIPVPDADGPETAFFGMPGNPVASA ACLRFLVLPYIERLQGNSPESAIKATIRRDHEEPQTNGTTKYDTNRFGTLVSKCPSDK DVFRPGIFRRQPTSESDVMLINDHSPGKIKPFLESNCWIHIPQGKSELHEGDTVDVFL NE ACHE_60488A MATLKPLLLAGGRSSRMGTRKELLCIEDNEPMYKRLTSVLRDAC PESDTVYISLRDRSAAQALCDFGDVPCPHGDMLELDVRGSTISVQVIHDNDHGSPQDE NADIGPAAGLLSAHRHDPSATWLVVACDYPFLTTAALQSLRQESKSSRAPITCFTNAE GFNEPLLAIWTTDALHTLHQNVEQGIFGPSAVVKRLRGRTLRPEHEQWLFNTNNWEEW QQAMDMKRMH ACHE_60490S MSFYPPCFIRPSRSKTWSKIIQRCNIQPPRPVMAGPSRLMGNTT AWRVLHNKRTGYLQPIHTAGRLEDKNPGSGRIYDLSLQLSQRPMNGNALMQYTLYNSN GAAALVSKLTKLGITDMYGVSTRDLRVFDLPSIGFPYILVRESTIVIHLFDLRLLVQH DQVLLFHIAESPNPGHDNGNSNGPRGITDGDDHSVSRVFSHNLEGKLRGGHGLGLALI QPYELRVVEAALASVTSVLEAEYMLIEQQLSNALKKSDLDTLDKEENVIHSKLRIILD LTRKLASIEKRARQVRDVVQEVLNEDEDMANMYLTDKKAGKPHALEDHQDVEYLFEAY FKASDAVVQEAASLMDNIHRTEETIQSTLSVRRNQIMVLEAKIEILMLALAWATLVAG WYGMNVINYSEETANAFSVIVSLSITGVLSASWYGMRKLRRINKLRL ACHE_60491A MVLARRTNTNGQPGAMSTPLTRLRNGSRARVYFAGKDVLQMEAT YSLNHVNDFCSNGCGMDIGVKNGKVVGVRGRTTDRVNKGRLGPKGLNGWITVGHPDRL KYPLIRRNGKLERATWNEAMSLIVEKAKEVRSRLTNHGIGFYTTGQLFIEEYYVLAMI GKAGLNTLHMDGNTRLCTATAAASMRESFGCDGQPGSYADVDYTECLFLFGHNVANTQ TVLWSRMLDRLDGPRPPKVIVVDPRRSETAKRADVHLTPRSGTNVALLNGIQHLLFKH GWVNEGYVSKYVVGIDELRKTVETYTPEHVQEITGVPPDQLMEAARIIGTTRSLLSTA LQGVYQSNQATASACQINNINLLRGLIGRPGSGILQMNGQPTAQNNRETGCDGEYPGL RNFQNPNHVQDIADIWNIDYIKMPHWNQPTHIENMLTYIAGGSIEMFWISGTNPLVSL PNLPKARELLTKPNLFVVCQDIFMTETAAIADVVLPAAQWGEKTGVFTNADRTMHISL KAVEPPGEARADMDIFLDFAKRMDFKNKYGGPLVPFNGPEEIFEAWKRMSFGRPCDCS GISYEKLQGGSGIQWPCTEAYPFGKERLFQDGVFFTDLEYCESFGHDLETGAPLTKEQ YKTLNPAGRAILKRAHYRPSLEETNEEYPLNLSTGRNALHFHTRTKTGRSKRLQEADP EPWVQVSTEDAEALELSEGEMVVVKSRRGEVELPVRVGNISQGHIFIPFHFGYWDAKD DRSRAANELTIEQWDPVSKQPAFKSGAVRIEKTVQKEGQEKLHAKEQQTAVVHSVEMK KKQTTSIPQQPQTDQDSPPRVRRLELWLGATFEAMKMLIDFYDHLIPRLIHDFDVQSG LLVMHRIATETCKRFKPIVEKYHESKQYGRSVSQRLLDTIIPKDINKSDPYEALAALQ SLQLFLTYIDDHLVALLPASQALWDKDFLGAVQFGQDSIMRQKAWASNHIKTKSPQTL LVPMTIPEDLNSPDSSLAGTLKC ACHE_60492S MSKLTGAKVAEHNSKDSCWVIVHGKAYDVTEFLPEHPGGQKIIL KYAGKDATEEFDPIHPPDTLDKYLDSSKHLGEVDMTTVEQEEKAHDPEETARQERIQR MPPLAACYNLFDFETVARSVMKKTAWGYYSSGADDEITMRENHSAYHKVWFRPRILVD VENVDMSTTMLGSKTSVPFYVTATALGKLGNPEGEVVLTRAAHDHGAIQMIPTLASCS FDEIVDARKGEQIQWLQLYVNKDRNITKRIVQHAEARGCKGLFITVDAPQLGRREKDM RSKFSDVGSNVQSQGGDTVDRSQGAARAISSFIDPALSWKDIPWFKSITKMPIILKGV QCVEDVLRAVEAGCDGVVLSNHGGRQLDTSRSGIEVLAEVMPALRARNWENRIEIFVD GGVRRATDILKALCLGAKGVGIGRPFLYAMSAYGQAGVDRAMQLLKDEMEMNMRLIGA SSIADLNPSLIDVRGLTGGHHAPVPADSLSMGAYDPLQAPRFSEKAKL ACHE_60493S MPKTILIIGATGKQGGGVINSLLTQDADVEILAVTRNTSSGSAQ KLQQKDPSKIKLVGGNLDEPEGIFANVKKVTSQPVWGVFSVQSPNPGSSDQTAEENQG KALVDAALKNSVKLFVYTSVDRGGDEVSFDNPTPIPHFISKHNIEHYLVEQARGTNMS WTILRPVAFMDNFTPDFFGKVFTTAWKVAVKDKPLQLISVEDIGFFGAQAFINPEIYK GKCLSLAGDELTFGEMGRVFKAKTGNEVPLTFEFVARILLWLMPDFGEMFRWFYDRGY GADIKALRNLHPEMRNFGTWLEIESGFETK ACHE_60494A MAIMLVQSITLLRRKWYETFLVIHIVFAILVVYALFRHTSFDGT KWNGYLWPMVAIWGFDRTVRLVRIAYCNLNVRTGKYFASTTSSTVKYCKDSDLAKIEM YPAQTTLVPRPGQFYYIYQPMSLKGWENHPFTLGAYNADCRMKNEGSQQRSKLIFYIR PYDGWTRRLRDQCCKTDGEVHPTLLLEGPYGHAAPVHTFDTVLMIVGGTGIASAVPYI IDHVSRASKPQTRTTRIRLIWSARQRGMYYQVFCDELAQILENPDISTTFFCTTDMST SGRAFQGSVSEKIPKTGAVDTTKPLSTGIEFLPGRPHIRGTVMAEARAAQDSSTRLAV LACGPSPMADECRESVYEVMKGEYQDIEYYEEAFEW ACHE_60495A MPPTAWTNTASTPSTPPSPNTPLPEAQQSPPAMALSSDDGSSGG KSHGLTDKKRSPRVKRSHHGGSSGTSTGPCNSTVEVTSMYASAKARCSETGLKATVPH WQSLRAENSLKLMDLAGVEANLTDLYIGNLPEIDLDVNSTETTETIQKPVLSSEAYYK RAWKSYVDGPR ACHE_60496A MVGSMDTSLFDTLLDTLNYNVTGWLVYDSTNDNAEAKTVSDFDK VVYNDYGLVLADGIKRYGDADITITLNLTMDNLGDGANYAFFNGKSYVMPKVPVLYSA LTTGSAANDAKIYGTDTNAFVLSKGDVVDIVLNNDDTAKHPFHLHGHNFQVLWRSSES EGYFNHSNVTFASVPMRRDTLVVNPMGNFVIRFVADNPGIWFFHCHIEWHMDAGLAAV MVEAPFYLQEHMTIPQNHYDVCNASGTLTAGNAAGNMVDFYDLAGENKEVAPLPAGFT PRGIVALAFSCVAAVLGLASIVWYGIAPVTAGRVAI ACHE_60497S MASLVTKGLVSAVLGAAVAQAQLWDKVIQTNYGPVQGFKYFNES TLEKFFDISESNVTAFLGVPFAADTGYQNRWKPPQPREPWNETLKATSFGPACPSGYS SYISEDCLSLNLWTNAGSADARLPVMVWNQGSDESSDNAWWYGGGMALKDVILITFNR RDDAFGYLAHPELNEEGYQATGHRTSGNYGVLDQLEVLKWVQKNIAKFGGDPDRVVVA GQSFGSSQVYHAVNSPLFKGYFHGGISESGIRYPYDPLLAGLATSYVNMSAAIAHGTN YTTFHNVSSIAELRTLSMEDLLNGSQDRVNGTWIDWVTALSAQYPLIFKPVLDDYVLP SKYIDTLHDGPANDVPVITGNTKDESGAMTTTDYSVSEYNEYCSLKYGNLSSTYFKLY PSNGTNATADRSWNAAARDASLVGSWAYATEWYKSASSPFYTYYWTHAPPGQSQGAFH QSEIMYALNALYANSDRYPFTDADYKIQEKMSAYWANFAKTLDPNKGDSYTGRGELPK WTPNDKKGTKVVMELGNAFEDVNIAKEEQVEFLMEWFHQQVPY ACHE_60498S MPRSDEAEWWTNAVYETVQLIPAGTVTTYGHIALYLGEPKRARQ VGIALKHLPAEESGEHFHAGNVPWQRVINSKGMISHREPGGAERQADVLWGEGVEVDT DAMGEFYVDLARYGWFPDPEDASEEEEG ACHE_60499A MDGVDITKAVLNKGKQMATVAASAANGNGGKKRRKGTDLKPIVT NDPNSPAVEQPTSAEAALADTASRSSSSSSEEEEVETTAEEEDSEDYVKGGYHPVSVG ETYNNGRYVIIRKLGWGHFSTVWLSRDNISGKHVALKVVRSAAHYTETAVDEIKLLSR IVKANPSHPGRKHVVSLLDSFEHKGPNGTHVCMVFEVLGENLLGLIKRWNHRGIPMPL VKQITKQVLLGLDYMHRECGIIHTDLKPENVLIEIGDVEQIVQTYVKEEAKKEQKEKE DNRNGRRRRRTLITGSQPLPSPLNTNFSEFKHSSQHSQSSLSAMIEESPDPSADSAKD APINEDEKQKQREKTTDLLEREVSGISLEKTPSKSSNKEPEGEMIISVKIADLGNACW VGHHFTNDIQTRQYRSPEVILGSKWGASTDVWSMACMVFELITGDYLFDPQSGTKYGK DDDHIAQIIELLGSFPKSMCLSGKWSQEIFNRKGELRNIHRLRHWALPDVLREKYQFS GEESMRISDFLLPMLELPPEQRANAGGMASHEWMNETPGMDGVTLDIRPGSRGEGIEG WASEVKRR ACHE_60500S MSSPFHRRPLPDYFFASPLTALLYPVHQALLRLRGPPRLPPPGV RPIRVVCISDTHSQQYPDIPDGDLLIHAGDLCNDGSIKEIQEAVDWLQQLPHPQKVVV CGNHDSYFDVRSRRDEDRDHPSSSSFAAVSSSTASLHSLEDLDSHRIDWGDIHYLQHT SVTLSFPPQPSSPTSAISLSSTSTTSTSNNAVRTLTLYGAPQIPAIVPFGPEHAFTYS PHHDAWSGTVPPETDILITHTPPQGHLDLSPVFSTGCPYLLSEAWRVRPSLHVFGHLH EAYGNEPVYWDEAQQAWERLCATRRVRARDGRLVSVFCGLFRDLLDPTAWVDAARVVV YGVLGIVWAKVWGGENRGCGWFVNAACMYRNSGRLGNHPFVVDL ACHE_60501S MPSSLADYLAKNYLTADPVPERPKKKRKKTKQPTEDASTGLIIA DDDPPDLRSTNTGIDDDEEGPAMVTGTRSAEFRRAKKSGWKTIGGPTEDKDTADAILA SAAAERDAARKEAGDDEDEPMIEGQMEEDDGGMKMESGARAGLQTAEQTAAMVAEQER RKKLEAAKYKDRPQEAQETIYRDASGRIINVAMKRAEARRAEQEKREKEEKAKEDLMG DVQRQEREERKQQLQEVRSMPVARTVEDESLNDELKARQRWDDPAAAFLTNTGGGGTS TTGKPLYKGSFQPNRYGIRPGHRWDGVDRGNGFEKEWFASRNKKGRIESLEYQWQMDE ACHE_60502S MASLSITGDFRRPGVEVKRYHDKKAKWAYGGRSARHLPRPTSFP TIERYIDLTARPALPWSHYAGMNRALKRDNQHNLNKIANGAKVAMRWDTREVLDDDGQ SSDSSADESITTNAIPGHVDTTALDDDGAPVPYEVSGQTILCDAVDKALEKFETKETE KLVREYEVVSHDGEMGMGYPVEDEGFELVDYVHVHA ACHE_60503S MMDKRSGESPMDFEWQTRAPGDVTSPFYQLSMQHDNQRKRPHST FDSPEKKSMPALREPNSQPFLFSQPPGTPKSVFNQPAFMTPRKFDLDFSSGAENMSSP ENADNEDTPEPTPAKNGAHRNSLFSMYGRFAPAPSPGRGEIPRVSHYSNALARRVQKR RRRDKALDMRLRRDSDDEDDDRPSSSESNQQNQVQHAGQSNQQESSVSRMSSFSDFFA LLEAHPYVPSILSWWAQLVVNLSLFSLAVYVVFGFVSAIRAEFEQAAEEVSDGILAEM ATCAKSYVDNRCAGNDRLPALETVCENWERCMNRDPAKVGRAKVSAHTMAVIINSFID PISWKAILFFLATISTVTVVSNWSFRSFRNRLNQHEYPHPHPPSFSRQGSAQYAPISS SQGQYQNGSGYGYLDHQEQKLAPAPNLDEKQNGSMLLEHPRMNYVTGRNRDRESQLRS PSPVKRRNLL ACHE_60504S MAPEQRRLIIVSNRLPVSVKRVDGGYKSSLSSGGLVTSLSGLTK STQFSWFGWPGIEVNDPKDREEVSKSLAEHHAVGIFLDNALANAHYNNFSNSILWPIL HYQSGMTFDDAPWHAYQKVNELFADAVAKEARKGDLIWVHDYHLMLLPKLLRERLVKQ GKNCAIGFSLHTPFPASDFWRALPVRNAMIEGLLSSDLIGFHTDEYKQNFIGTCVNLL GAQTGVPNRIQYKDRLVEAGTFIVGIDPQKFDDTLSKPEVQKRIKELEERYKDVHVII GVDRLDYIKGLTHKLKGYDRFLDDHPELKDKVLLIQVAVPSREDVKEYQDLETEISTI AGKINGKHATPDGSPLLYMHRSIPFDELTAMYSVADVCLLTSSRDGMNLVSFEYVACQ EKRHGVLVLSEFAGASVFMKGGSVNLHPANTAELAQAIHKAVTMDGKERKERYEKLSE FINTNTSAEWGKKFIENLSKRL ACHE_60505S MEIPKYQTAACIDHPRPGAQLEIRHDVPVPEPGSGEVLIKMEWT GFCHSDLHNLTGELLMTTNVPGHEGIGRVVKVGPDTAPEMAGKQVGVKWLYSTCRKCP TCQVQYTNCAKQSNSGRNVPGTFQQYVVSPADFVSIIREDLKPEAAAPLLCAGLTMYG ALNKLEKLCKAGDWVVIMGAGGGLGHLGVQIGKELGYRIIAVDSESKREICMRSGATA FIDFKDNAESRITSLTDNTGAHAVIVVVGLEKAYEQSVQFLRPAGTLVCVGLPRPDYH IPLSPLDCVNRGYHIVGSAVGTEDEMQALLKMAAEGKVSTEYEIFEFRQINEVAAKLQ RFEVEGRAVLRIP ACHE_60506S MQLIKSFTLTTLLFIQTSLAAPQGLKRVCDYTCGSNCYSSSDVS AAKNSGYNYVEQGGHAGSSSYPHVYNNYEDFDFPVDPTYYEFPILSSGSTYSGGSPGA DRVIFNEDGQLAGLITHSGASGNNFVACQ ACHE_60507A MPTVYLPSASKKTATQTVSSLSNETDRSSDRDVPALGEQGSGRR FWFQKGKKYDPDAIATQPSVYDNPDTAKEYRPRDDWENLHRFDPSARWTWGEEHKLIR KIDLRIMVFTCIMFMALELDRSNLQQALTDNFLDDLGLTTNDYNLGNTVFKLSFLCAE LPSQLVSKWMGPDRWIPLQMTLWSGVSMAQYGLNGRSSYLACRSLLAIIQGGFIPDVI LYLSYFYKSHELSIRLSFFWTALHLADILSSFLAFGLLHLRGVNGQSGWRWLFLIEGL ITLVVGLSAFILMPPGPCQTASWARGKKGWFTPREETIMINRVIRDDPSKGTMHNREP ITPKLLWKSLCDFDLWPLYALGLTFQIPQQTPQQYLTLTLKGMGFGTFVTNLLTIPSQ VAQIFTMLALTYVSELLGELTLTAMFPQFWALPFVVYLYAVDINYINKWVAWVIMTLF LSLPYAHPIQVAWNSRNSNTVRSRTVSAAMYNMCVQASGIIASNIYREDDSPRYKRGN RVLVGLVVMNIFIYLLTKVYYVWRNASRDKKWDALSEDEKMNYLATTKDEGNKRLDFR FAH ACHE_60508S MAPAIVDNPPTPVVPIVKDAAPAVKSPQRESLKLSGALDSFDSF DVTTVIGREFPTANLKEWLHAPNSDELLRDLAITISQRGVVFFRKQDDLDDDLQKELL QRLGLLSGKPSTSGLHVHPIHNSSREHAAKDDEISVITSKDNGKLYRDRYSKIGQSGR KQWHSDITFEPIPSDYTVLRLTQLPKTGGDTLWASGYEVYDRISKPYRKFLDGLTATY AQPEFNKVAKENDFEIFSGPRGAPENVGEVLEAIHPVIRTNPVTGWKSVFAVGHHVAK INDLSDEESDHLLRWFVTLIVENHDLQARLRWQNPNDLAIWDNRSVYHAATFDYDGLG PRTGHRVVGLGEKPYFDPKSTSRREALEQQEA ACHE_60509A MASASSVTHHPASEAPYDESKGNHAQGADENEPEPLTRAETSQS NIGPPKSVWHEIIFVTIVCMAQFMTQAALGMGIVPAHIIGASFGTTDPGELSWFPAAY SLTVGTFILVSGRMGDMWGHRLMFVAGFLWFALWSLLAGFSVYSNRIFFDCCRAFQGI GPAMLLPNAVAIFGRTYPPGLRKAMAFSLFGATAPGGYIVGAAFSSIFAQLVWWPWGY WVLGIVCVAFAALGYIIIPYLPHSKSEDDHLPVMVQLDVLGATAGVIGLVLINFAWNQ APLVGWSHPYVYVLLIIGFLFLFAFAIVERSAKCPLLPRSIFTGDLAWVLSCIAAGWS SMGIIVYYFYQFMLVIKGDTPLLATAKFSGAAASGAIASITTGILLGYVPPSVIMFCS MSAYTIGLCLVATLPVNQIYWGQAFFASLITPWGMDMSFPSGTLLLSDAMPRKHQGLA ASLVSTTVNYSISIGLGFAGTVESHVNDGGTNTLKGYRGALYMGVGLAAGGLVISSCY VLVNWLKHRKEKGEKENEKDASP ACHE_60510S MRLQTTLLGPIAWAIASNANPALNHRNDIAPQPEPIVITELPLP PVAPNTKPGSCTHRTGCLDRTSSVQSGTFLPDGKHVVASSNFTGAPASPNPASIYTGV QLILVKTDNTTFPNGDPWKCITCGVPRNHTLGTSYPQAFKDGKRILIETGIVSCGEEF LSSEKCTPEKVHIYPIRWDNKADGSGPGGTIRELRIHPDNVHIGFNSFGISSGKIDEY GYFGRLRFNPSPNIGTPRAPRYDIVNVTVLFDKHASLPFSVNGSNLIFEPNIITVGEF RGFTGSGKEVTYVGSPVESCNIDLFAADLTTGKVRRLTSHPEYADPIDVSPDDKWQVI LDTRGTGRQMFLAGLRGIPPVTDMASVTITASTRNNGARRFFEPWLLDHNGDRGGYFG QKINAAGDGSPGSINDPNWNAGADPRWSPDGTRIVYFQNLVQAPACGGRNPLPCPNST EQGGRTSRVMVAHLTSREPIEQKDVETVSDEVPWGTPYTPGDKTPERSYPGAGNYTLT GKKSGSASVSIAYGHNNPSIMTVGATYHNYSDDGWSFISGSEKVKLTPVSVTLNRVDW FSDIVSTGKQKGTKKTGPGDFHLEIDTMTNIFNANGTLTTTIDGEVFKQPANGT ACHE_60511A MTNDVDLEKQNTITSQNNNGSPNLSHQETASSTHDYGRYGPLAQ VNTASTRVPAFGGELQPGLYKSPTDRKVANPAPLGLCGFALTTFLLGLIQMQVKDIML PNIVVGPALAYGGLVQLCAGMWEMGIGNTFGATVLSSYGGFWISIAITFIPGGFEIMP TLEKAGGGTTAMFYDSFALFLWVSPSPPSTPSKTAPNKCMQGWFIFTTIITFLTIRST LAFFSLFLFVDLAILLLAVAYMYRDPAGMPHAQLQKAGGFFALLGAFLAWYNAFAGLA DNTNSFFVVPVVHFPWSEKGRQGRKSE ACHE_60512A MDSFNPWDSQGPPFDISNNFVEPSAEISPEIKEPDMLIPLTRAK GSGLTTLNIEYIDDLPEYPTTHIHGYTYVIASKGRTQVEMEHLPDTIQYAKRQPFGRK RPADLHCPVDHNTSVDEGTWQEIQKSQKDVQILESDIRKRNAYSYYRSKASFFKKGHA CIDQLPTCKAVFKRYNQMDVHGEYAPFIGCINGSYGGLTKHHMGQIQGHTAIDLQFLE DLFNKEILPATEECGVFEPLSSRRKYCDRDHPQGSGRLKHTPCDVIFNALVPTNIEQC PYIIFTSHGVHKHPPPPPSKAPERILGGVKRIIEQIRDPNLTTAQFLRNPQLEEFCRQ YNASTLAEIHSSFCNKDRIAAIIQKQRLISYPNGQDINGLIFLQNTDQHLKDYIQEYY HDPQGIMVLCAFREQIQLLSRLSSFEIDMSYKRIRSKDINEVLFATFLPDQCKIITLL RVFTSTDSTEGYYLLFKRVFDLVQRVSSQPVLFDSIHGSGIHGIIVDMDSKQYTGLGQ YLSEIDPQHQDIIWHLQRIIVFCRVHFQRSILKAIGTNNQGSPLWSRMMSLLDCRSED DYDRLLDLLITYENANVQNWAVQKKGKVIKAGLNKACSKIQPHYFDVLRNHTNAVEQS HQKSYASGKYLTLVQAVKNSAKLDRDDIVQYNNFQDFNIHHSYRTSNMEANYLRHMSR ERSRKRRRSALSISSEIESGSSASPLLPGNTRSRSQTSSRNGDNESMRSSDLRRTIST NVLNLEQRRQVIELENLEIELQQKKANLKKQEEDIRLQQLQNEKLELDLMERRMRIQE HDST ACHE_60513A MFSDFKQISPMLMLMETQTAAANTFQLCFPLEEHPEYSQQAAAY GRDEMDKAGPNVVLEIYLSLPKSATLRTGPRRGVACWYLALLKRRPRRSRRLNRQ ACHE_60514S MSYYEQPPYYGGTPQYERPPYDRPPSGSPYERPPYDRPRYEGPP YGGPPPSGSPYERPPYDQGPPPSDRPPYGGPPSGSPYDRPPSGSPYDRPPQGSPYGGP PPGPGGPPLSARPPPNPPPPLPLGWSQQWEPSVRRAFFVEEPTGRTQWEPPLGDSEFA SRSGPPPESDSYYRAGPPPPPMGYGGPPPGGPEGYASPPPQGDYYSQEDEKAKKKSDR KKYLLGGAAGLALGAAGGAFIAHEMGEDSSSDEEKEREHEREEREEREEAYSDRGDDY PPPDDW ACHE_60515A MHRIHSWAKAHAFDGSLPSQEPSKEAAEEPPDIQPSAPSQDAQV TPPAETPAAESGSSTENKTDEQKPGLLVRAKNGSIRFCKHTKTALFHSWVNVLLVFVP IGIAVEAVGLDPAIIFAMNAVAIIPLAGLLSHATECVASRLGDTIGALINVTFGNAVE LIIFIIALVKNEIRIVQASLLGSILANLLLILGMAFLLGGLRFQEQIYNSTVTQMSAC LLSLSVMSLLLPTAFHASWSNSAVADRYTLKVSRGTSVVLLLVYILYIIFQLKSHSYL YASIPQQIIDEESHPGVLAELMNSSDSSSSSSSDSDDTTTSWTTAKRIKKAMKSRRHR KSSVSSKGTATRQSIRRKLTEMPRPATANNSNSVLGTDDTASCAVEFGDDVRYDADDD ARRLEMPRSRDFGQQPSGVDMKPAKEARKARKEQKRRHKSEVMAEKQDALVDSAPMPK RPSLQSHLSEPNVNREDLDSAADIPKRRSPFRPVMPSLLSNTVFSSGQPQPTAAQPAP NAHGLRRANSLPGRINRPPPVGNAVQYARGAARFPNPNDEDPAVVKTAPPTVQPEMSR TAAVVMLIISTALVAVCAEFLVDAIPMMIESSNVSEAFIGLIILPIVGNAAEHVTAVS VATKNKMDLSIGVSVGSSIQIAIFVTPLVVILGWCMDKDMSLYFTLFETICLFVTAFV VNFLVLDGRSNYLEGALLIAAYVIIAVATFFYPDSAQSSDFAGPGQ ACHE_60516S MRLRVLEMGIIVMLVLCRRCGLLLWGANTLRAWKPMELQDPMVA FSIFSCVTITRGGAFITVDEGLSFITRLLKGFMLGFAIATGVSLFILPITSRGHVFQD IKSYVSQIETVLQAQISFVKRSSTSGVWTGDQGLLERTRSAQSGRSGNGGNESNNVSS LESKKQQLAASMGKLNALHGKLQSDLFYSKDEFAWGKLSAGDLNRIGDLLRNVLLPLS GMAMLPDILDMTVNGEGIRNNSMGSLADPEEDNLKRSEIKKVVETLEERLGSCTDLMR SGLQYVLHTIGLVKHKNLEKQLKARNNGSGAHDEESKGDIVGPLQQDFTAHFEEALYR YDAQRKELPEALASLEAFSSSEKRDGASTKSDSEMLADPDVRQEFFLIVYMDHLQHDL LHATLDLVKFADRKVADGIMKRSRFIFPKQGSIWNWLTSTWTKPSSDNSTHRRSTAGT ISTYQNTTTQPFPDPETLTPSNIFEKTSTILRQISRLIRSEKSIFGFRVAAAAFCVGI VAYLHKTQEFFIRQRGIWAMIVIVIGMSPTSGQTMFGFVARIAATVVSLALSLIVWYI VDGKTPGVIVFLYLANVFEYYFYLKTPQYFGAAMIAIVTLNVIVGYELQVRKLGLETA TSNGQPYYPIYLFGPYKLATVAIGCGISFFWVVFPYPITAKSRLRKMLGRGLFVLAEF YSAMHATVEVWLGELDSPTGNSTVAIQGGHRSHEKLAKNRQKLFKEEMTLLNALRAHS HFTNFEPPIGGKFPKQTYDALISETQRMLTAMALMAYTTQNLSSPGPDPHTQHQYQSQ ERNPRDNTWSTHLAHIAQTSPTFNSHTPTSLLCHLSASLSNAQPLPPFLAAGDSFPLA REMQKVDGKLLSIRYIEDPGFSAFVALEVLRSVVGVSLRELLSNVRMLVGEISFNFHV EDEGDEEVRLMSASGRD ACHE_60517A MTGYRFPVDLSQFKNLKLDPKSSKLSAEQKQDLQHNIDVFRDAI VAFTATGSARGLAGHTGGPFDTAPEVCILLAFINANPGGYIDALFDEAGHRVATQYLL AALDGKIEPNHLLNYRDADSKLPGHPELGLTPGVKFSSGRLGHMWGMVNGIAMANKEK NVILLGSDGSQQEGNDAEAARIAVANDLNVKLFIDNNDVTIAGHPSQYLKGYEIGRTL EGHGLKVLRAEGENLDSLYAGVSEVINYKGPAALIVDRKMAAGIEGIEGKINAHDVIP VDIARKYLTNRGYKPEDLQFYDLIKASSNTHQYLGSTKDKGANRVIFGEAVNSVLDGL SKEEAARRVMVIDSDLEGSTGLKGIHGAHPEVFVPSGVMERGNFSAAAGFGFGSDGTR QGVFSTFSAFLEMCVSEITMARLNGCSVLSHFSHSGVDEIADNTCHFGLNHFFADNGL MDAESTALYFPADGEQMKAIVRKVFFNKGLRFIFSTRSKVPYILKAGSEEKLFGDGYE FTPGKEEFIRHGSAGYVVSYGDMLYRSLDAVERLRAEGLDVGLVNKPTLNIVDEDAIK VYGSSPFLVVVESIAQKTGLGSRLGTHLLERKLTPKYRTLGAVKEGSGGLYQQVNAQG LGPDDIVKAIRDTAGK ACHE_60518S MKMHLLILGASGAIGSKFCDMAIDQGHRLTLLVRNPSRLPDTIV HNESINVIEGTLDNEAAIDQASRCGGDAFISFAGPSPGTTGTPLTNGYKTLIPRLLRQ NITRILVLSTPSYRSEPDTDSFKWKVGIWTIRTFCPGAYQEVTGIGEFVSSLRIADGV RWTVFRVGGLTNGAEEPVKSTYVGSGEDGFWISRASAARWVLEEVIENKWVGRTPYIC N ACHE_60519S MPPRRSHTKSRHGCDRCRRRRIKCDEGGPPCTNCVARNAECQYS TGRLFQLSQYDAQSLPNPVPQGETCRSAMGHGVNDSETRLNDEPPPSFSLSPNRMREL ELMHQWCMKTCHSFSSDLSNVFGTYVVQQALHHPFLMDALLALSSLHIANDLASANTN NIEISTNDGPNPASTEDYIIDALHYQNQAAPAFRAALEHIYPSNCNALFACSAIMMAC AVISSFSQPGENTGMGTLTALLPFIKGVHVVIDRSRDWLASGPFGLVMQCHLDDDWLS FQPEDVELLPSRIREIHRLCSRSQTSDVCTRAVVMLAKCYVKADAMALPWLVVVGDEF ARLVQEGRPMALLICMCWGVLLNRLEGIWWAKASGRKIVAQLVPRLVGAEEWGDIVNW AKEETSIEAGT ACHE_60520A MPPLGTIHTNPNNPRVSKIQAAANLNNLILTFAPFELGKTNHTP EFLSKFPLGKIPAFTSADGSVNIVESDAIAQYVAASGPAAEQLLGSNPIQRAQIRQWI SFSANEMEASLIPLVLWRIGLAGFDSAKEETAFKGLERALGCLEKSLEGKEWLVDGEE VSLGDLSVASALVPGFLVVIDEEMRGRFPGVVGWYERVIGLEGVREAFGEKVFVEKRQ GPPA ACHE_60521A MALLLTSLLAGLVSAIPHPSSSAVATPSASLAPAAHCTARPNGQ LPSQTPVDFHFSGNVRTYYIAAEETEWDYAPSGWDNWLGVPIKASIRAKIADYLSTPL ITKWKKAVYRGYTDASFSEKTVQPPWQGIQGPTIRAEVGDMVEILFLNNLATHYASMH SMGLAYSKENEGSIYELTNETYTGDGVPPGACVLYKWVVPESAAPNDNEPATMHAYHS YVSMQEDMNAGLIGPQMTYQRGKMNETRRRYREFPVLLEGTDESVSFFAAENAKRAGQ NVTVDYEKHFSELRQYGNESTWKPQMTNLLSSTQYDDAPTFYSLNGMILNNLPTFEMC LNDEVIWYVYGQGEDPHSFHMHGNGFVYNGNRMSAISAIPGTMSTLYMNATAPGTWQV ICHSNEHQSKGMVAYYSIKDSECPPFTL ACHE_60522S MSDREGSAGSLLTYRSQPSSEGSPRGGDDNIPREQGMPKQELRQ DETQRQRHPEPEQGEPSSRPTPPSPKPEQQRTRTPSPQFQESYSPIQRSPRGQRVRFK SNAGFQQNPPRSPSTEDERTEAEKTKRNEQPHRPSTPFEHGSTDIADIPLSQRTTEAE EPQQKESVEKKAEHEIESEAEEAEQSFKDRAKATYDAMKRKTLKFLAMLGREEHGGDD LSPGVYHADWGGGGDVPVSDVTDDKHKSHEEKKKQHANVNSEAHRLVRELNQDQSAHR RNARGKPYPHQGSEIQDTGSGIESGLRYRSGGGGVLSQLMKLNNPQQDGGRPAGRSSS EASTPVASGTTTPRKDRPKWYKKQQNLSTTTLGSQARSGASTPVGSESLSAASKRQSK QPDHKRLEDEIRVTIHIAEIIARQRYIMQLCRALMKFGAPTHRLEEYMQMTAKVLEVD SQYLYLPGCMIMSFDDPSTRTTEVKLVRVAQGIDLGQLANTHAVYKNVVHDIYGVEEA IQELEEIMKKKPRFNKYIVVFVYGLATASVGPFAFQARPIDMPIIFFNGCLLAVMQHV IAPRSVLYSNVFEVTGSVLTSFLARAFGSIRHNSEFLFCFSAISQSSIALILPGFLVL CSSLELQSHQIAAGSIRMVYAIIYSLFLGYGITVGTTIYGLIDPAATSETQCSKTGAF KNPYVQRFPFVALMVVWMVIINQGRFKQIPPMLVIAVAGYVTNYFCTETLGSNSQVAN TVGAFTIGVCGNLYSRLWHGHAATAILPGIFVLVPSGLAATGSLISGVRSADQIRSSV GQKGGGGTGGSGDTSVTNLGFGMIQVAIGITIGLFLAALIVYPYGKRRSGLFSF ACHE_60523A MASELSSLEAPPVIPKFIRAESDLTWHSSLSFPTPTDYFSSHVP PDHHVSLVRSHKKKYIKRNKPYRLVRQQQIKNSLLAGVGYLELANAGDFAANVWNQIP VPKHAMILMAIGGPIALSMTFFAVRDFYLSYQNVRLLRAEREDLVALRERLSTSPEEK DGELIQVLDSRLGVGIRELGTEMVDRIVMDVLMGLGALMVGVGTIMAIFGANPRVFMA SNLLSGYVGNSMAAVFGLFNAIWSGVLVWRFQLHDRACQRCDDTSSYTLTLQQKQRLR KRFRRFQWHAIMNAVNGLVAGAASMVTATRWWGYVVLIPCIISLILCNYYWRYKLGYD RPIISSSSSTLKIDHVITGTLPPLLADLSYVSAVHDALAQSSPTTLPRTVVNLDSLES SVSFIAQNHMFESFCEWLLQEDQSVIAMLVGDAPSVDPQGQITLSPKHFVQGYGSSNE PDAELAELLLERARGFLKAVGRKVFSYRERYLLELLGYAVWREQTGSRS ACHE_60524A MKIAAFTLLAVLSTAFALTPEPPRHDPLGESPEVGPVVLGPGPV IPGDTEAFERD ACHE_60525A MTMMRMPRLATVISEDSRDDADFKFSSSEDEIDAARRQFVTEEH QLLARPQQLTDPWNLIPAEDERRARACSQSPALLVPKISRPVDGREAPHPRRQLTPQC PIAEFQMSKLVSSSHT ACHE_60526S MAPSEIVNEAPLAAPHGHTLKKKAHRTLIKPLLPNPSLQATADQ TLKQVEAPVFAPQEGEVLLQIKATGICGSDIHFWKTGRIGSLVFEGDCILGHEAAGVV LGCGSGVTNIKPGDRVAVEPGVPCGNCFLCRDGRYNLCDYVQFAGVYPYDGTMQRYKI HPAKWVHKLPDNVSYAEGAMLEPLSVAMHGIRTAELTLGRGVVVCGAGPIGLITLAAA RASGAHPVVVTDLEPRRLAFAKEFVPSCITYQVDPSLDAQGNAARIRDLFGEEEYYAP ETVLECTGVESSVCTAAYTARRGGSVVVIGVGKAIMNNLPFMHMSLAEINLKFINRYH DTWAPGIACLSGGILDLRKLVSHVFPLERAVDALHFCSDTRNGSIKVLVVDETEATL ACHE_60527S MHTVAAEELPAPSPAPAPMTDGKHNKFHFVNENPRMGSDAPADS PPSIAIMSADSSVDDMLPVRNGIGVTTKAQRGLDLDGNDGNDDISRGTPTSAAPWSDD NQESERHLQPAAVGLRSGDSPFSDQDEFAIHGLLTLGTSDIRHEVSTTISPPGIHSST TLTTSFRHPLGIRNRAASGTNLSNPNGRNTTPASRAFSPTLADTDIVPTDSGLELLRH YRYNVAPWLDICDLSQPFGTTVPQMAMGSDCIHSAIMRLSVACVIRRAKDVPTIPANN AANQPCLYSTSTEEALYLVLEEVRSFVTNVSETWKHTDRGDLTLFHSLAKHAFDGNIN STIYWMFLRLDLGIALASSTPITVPLPMEAIPCLSVLSRSENTYDRVCNYTHTILWLC GKALALYHLDTIPQPSSASHDPVKDWLQTFEEVAHWYQLQPQEFRPVVEIDKDDANFN PDGEFPMLLFTNGAASLCNQIYHTAMLILLQCKPRTILLGKLHSLALSPLWHAQRICG IAINNDRRECWDPCLLASFLVAARHMTHEYQQREILQAYYRIHNITGWDIGEYLTQLR EYWTFLEGN ACHE_60528A MNASDEQELLATEHAGIPLETPRKRRRMTNPHRSLPSNDDRPPW LDLQSQPPFLPPADPLHSPAAGGWHADGFSPDPGFLASQEELRCILFSLAHSAIPTGA TSPDALNLDRAGDTASSSSQPHRVYRESLRSCRRIEYLKNYVAEVAPWLDMFDSQCTF RHQLPALAQTFPALSYAILAISARQMERKQGIWDLVDSLELYQEAITLLSPVLQVRDP RIVAACVLLCCLEMMSARAQDWRRHLEGCAALFDAFRIHGFSNGLLRAVFWCYARMDL CGALISDGTQTTLLDPSKWLPSGKQYEDAYQLFHNDQSPDMHANYAVYLSVKVTELIS DRTKFVELGEKNGCTPEQFSSRWITLWSDLQKWLAERPAELLPIQIVDHKPFPHILFI HWAAISSNQLYHTACILLLETMPKSIHLPRTSNLSLLWHARHIVGISLVNSHHGCLNN AIQPLWIAGRLFSHSSEHERIIQLIQDIEAETGWGTCWRIRDLELAWGYSPSRKPDMG GPFPNVAEGETNAV ACHE_60529S MPKFNVFGTGVSLQVAIWIACSMAFMLFGYDQGVFSGIIENDNF LRTMGHPNDSLTGIIVSIYNLGCFAGCVVNFMTGDILGRRRSMWFAMTWVIIGATLQC SAYTVPHMMVGRFVTGIGTGIETSTVPMYQAELSEASKRGKLLCSEPMLVAVGIVISY FFDYGMSFVGGPIAWRLPVACQMIFAFIVIALVFGLPESPRFYYNVGRHEEALQILSD VHGLPKDDPQIQAEQAEIFEAMSMESMNGEFKWRHIFKRDKMSTGYRILLAYGMQFMN QMGGINLVVYYIPTVLNSNVGLSKNLS ACHE_60530S MFAVGSAVPTVFADRVGRRRPMIWGVLGMGLCMMMVAILLSFKG QPNEHSTASAAVAFFFLYMLIDGASVNCIPWCYVPEILPLHARSKGTAIGVSSNWIWN FFVVMITPVIINRLQWKAYLIFMCTNFVFAPLVYFFCPETAKMTLEEIDFLFTNPDKG PVELSKELAKERKKHSHVSIVADTGLTKHMSVLGEAGQDKIDTKEEHIENN ACHE_60531A MARSMNLTLVTFVQTTRIFPDQNHQPIEARITQAVPGLAPEPGQ ARYWKGPSEVSPSECIALEPRQQQGQREEDVRVFGGDAAT ACHE_60532A MKFAGIAAMAAVVTAVTGAAIPNVNAALAEVHQVAGDVKGLLSG SGSQTDVAKLVSQLENVEATLKKLTTEKRSLVDLGVDAKANVANAVKADADVDASVLK RGLVDADVDAKANVANAIKADADVGAHVLKRSLIDLGVDADANILDQIKAAVGADVKV LKRGLIDADVAAKANVANAVKADADVDAHVLKRSLIEVGADVDANILDQIKAAVGVDA SVLKRSLIDLDADVDANILDQIKAAVGVDAEVLKRDLVDLGADAKANVLNIVNTNVDA DASLFKRSLVDIGADVDANILDQIKAAVGVDAEVLKRGLVDLGADAQANVANVVNTDV DLGAHVLKRSIIDLGVDADANILDAIKATVGVDAKVL ACHE_60533A MTSAPRWTISPPSASSPSSSSILPTTQDQKFLHPPDSFPAQSEK PMPFFSGKRDSYEDDNLGIDWVVHYVFDDVELTQAIREFQELIHDLNQAGLCTQVRHG HGNSLLVCIKVPRDLLGNMIHKSRIKDFLHCVINEIPYGDENTIADAETPAEELRSVY HAVSWQKELGGAGITPKFGKWKNVASAFPLHDQPANGELLRKWSRTTTLTAEDLDAIR ALFGEKVAFYFAFIHCYSCFLVFPAAWGVFCWYYFGPYSMTFAIVNCLWCIVFVEFWK IRELDLSMRWNVKDVGTLKVNRIQYVWDKEVKDPITGQVNKVFSSRKQFLRQLLLIPF ASSAAIALGSLIVITFAMEVFISEVYHGSLKMYLEFLPTVLFSLTLPAITNFLTHIAS RLTEYENYRTQDQYDLAQTQKTFVMNFITDFLPTILTAFVYVPFGPKIVPYLDVLRMT GLKQGAGADISVDTSRLEQEVISLSMTAQVVNFGEEIVLPYVKRIAMQKWREYKLKRS EMNRHRSHSTMTDMLLIDPPDEHAVLNRIRNESEADEYNVHDDILEMCVQFGYLALFG VAWPLVPLGFLLNNWLELRGDFFKLSLECQRPPPIRADSIGPSLQGLEFLTWLGTLST AAIVYLYRNGMQNVRFSYLLLTILIAEQTYLAAHFAVRVGLEKLWSSTLRLQAANRFR VRKGYLEAFNAASDRSSSSSSSPSRSTRIKPKVRFNERVNVYSSTTDDGEGSSGESVC TEETDHGILYSSEREAQFWSWPQRETADAGVRLIKALSTVRPEELDLKREKAKGS ACHE_60534S MSRFTLSSHTQPVASASTWEDILTPLNNLLERPPESAWWLDVRD PTEEDVNDVAQGLSIHPLTVEDIVIREPREKVEVFRNYYLISFQTLVSFSEKEDDVYT EWSKTPSSAVFYILVFTNGTVTFSPSGCGHVRRVRDRVRRLYDPSILSSDWICYALID DIVDSFAPYMQSASRESEAIEDQVFIARVDDAKSLIPRVDILRKKITHLIRCLNGKLD VLNGFVKRCQAKDKHPVFPDGDLILYLGDVQDHLITTMSNLSHFDEIVGRSQANCLAQ LSATNLRLSLNINEVMSKVTVLATIFVPLHLVTGLFGMNVEVPGQEDKGLAWFFGIVG VFVAFMVLACGVAWRYKLL ACHE_60535A MNATPNLAPHSYEVDVYQEGLRDKRPAITFNAFEWEKLAKERLS AESFGYVWGSAGSRETDDNNRAAFKKWGIVPSRLVKSDFPSLKTTLFGDEYNYPMAIA PVGVQQIFHREGEIATASAAQQENVTYILSTASATSIEDVAKANGSGPRWFQLYWPLN DQSDVTLSLLRRAKAANYKVLVVTLDTYILGWRPSDLGNAYNPFLRKDNIGTEIGFSD PVFRRKFQEKHDKTIEEDMSKAAAEWAHTIFPGTSHGWEDLGFLRENWDGPIVLKGIQ TVEDAKLAVEYGMQGIVVSNHGGRQQDGGVGSLAMLPEIVHAVGKDLEVLFDSGIRCG ADAVKALALGAKMVLLGRPYVYGLAIGGEEGVRHVLRSVLGDLELNLHLSGIKSVSPE HLNSSVLRRVA ACHE_60536S MTKPPGHDKPPENLKAALTEFIEILGQDNVMTDHEIMKSYAGSD WSSYVQKENEVHAIVLTPGSTEDVSRIMKVCHKRVMPVTAYAGGTSLEGHFAATKGGV SIDFQRMNQIVALHKDDLDVVVQPGVEWEALNEELAQTGLFFPPDPAARMGAFLGGSS RGWHGHGNEAATSQIQRRI ACHE_60537A MEGSANSKEIAPASAGWTVDYIMDVDLKSKDGTDIPLYNKNLRY CDGDSTQVALCQQVGDKSERFVAENEDYWLFHIHSNHADFEIAIGGETPVLVNSKPNW KKCSDEDTDVIWKSCKWEDPSSTMHDLWFKSWVAFEDEAKGQFDRFVAVRCPKMDNPA ITIKGSGHFACLHWVGLFLKTTTNDTNKFITVRTTNRLLPEYGERNRTAGYDWFSEDG PADVTNRTLTLFPKQLYGTNGNDPGLYNRFVTIDPDNLVGQKHFELVRSMVEQKSALS AYKYTWHASGRTLIKTSQDDQPDIFPVSKINYVTGIKVTPKTVNGWAVLLTIFTSVTK ITVGLFTANFASIVGGIFDLTDVFDEKKMRENADLKGFVTAAAEAVDDYNKKFPGDKK EKPNTSDVPAIKLEIHNKTVLNMHFGTSHNGTKTNA ACHE_60538S MATEAVFNSAKDAWHDATEKAVTTKENAKERYNEEKEYGTTTES FGEWIKTNTLYSSLLTRSISPNKTHSSWLLKDMTPKEQMTGCQSIIRYGPTSILAREN KRDHIPSLSPTRKWSSLSRLCWHWKQRERRPLSPEPLGKRIGSHQ ACHE_60539A MSSLAQVLPVVAAIAVGSTNAAMGPAFSTGPVSDDSFIREAIST LVLPKAPRGSSGDASLWVGIGTSNGDLVQSIADNWQSDDWNIYAYTLLSTGANTQTPV QGDSSTASAGDRVTMHYKFDDNSGNYTQTVLVNGKTVSTLSTSDGKAQGWGSSVECAE DNCGTVGAHSWTDTKIILDTADPDYINTVAKGEGVTGDMTTSDGGKTWTVTTIKIPQF SFGQSS ACHE_60540A MLRSQEGRGAVGRTIFGVLSGSPEHKTFVLSRKWSSQDHVLAVD YSDIAQLVSILEANDIDTIICAFEVNDSSLSSSQLNLIEAATKSKSVKLLPQLKDHFE AIEILRESSLQWTVFVNSIFLDYFSPFEMVSYLKPNVFVVDLANNVAGIPGDGNIPVT FINTFDLAKYVLVILSLDEWPEESQAIGEELTWNDFALAERVKGLQNISPSYHLRLRA NSSQERISMYTTPVLIN ACHE_60541A MQLILAAFLLPLSLALPVPSPKEAPAAEESIIQPNSSPGVCANL SSPVCGKIKDGVYTIFDNACFLRKADCDGLSLIEVPLEKRFDTQVTEDDNYVPKEDEQ DSDEDNDDALETADHPYRPPKGHPGRKKGHRKGNKKDNSKGKKKGKKIFGEI ACHE_60542A MVNLYREFDPSVSDVILVDEEVTTLQLTPPLIVPHVPVDSSSKA VIAGVVAENSDKELPFKVQAHDFFTSQTVRGAHAYYLHSILHDWSDEEGIMNLQSLVP ALKKGYSPVLLNEIVVSEEKPTLAATSMDMMMLAHFAVRERTEANWREILKKVESKVV NIYSYPGVTESVIEAQLA ACHE_60543A MKFLLAAITFLLPLSFALPTSQEGGYGCPTVCTMEYNPVCGVDA EGNTKKFSNSCTFNVQNCQNPEQIYTQVELSRCN ACHE_60544A MSPGLIAMYIFSHPVIVRWIEKYHQRSLDMHPHQAKHGVLHSAF GNSGVLWSIWEFMYAGYIALACFHLQSLVGRGMVLELLPLMISFSDLSGDEKCTSSKS ACHE_60545S MNLALQASTILLLPLALALPTPEVPEAVEEPSVPVPVTEEASIS APADACQQLCPPEWSPICAKDSDNHIVIFSNQCLFNNANCDKHRYILGRMEECSEAGE NTPIPPMFPSAFKG ACHE_60546S MVPAWGCGIIIDSRIPSLCSGTLLFGYWPTASAPVDLKLAGTGL DGHRAETSEHREKIMSAHSDYQVKGHYELPDDDAAVRFTEEQLGQMAWFSLFGAIWQT GYLLNRYTFTSSPEIHLPIGPMG ACHE_60547S MSSNTGPLAFLQVTQVRDVIQDIASPHAHILSEALIFGVVIVDF GGSNAALGKFVDLIQKKEKLQGAGSVVIYVGQEEKVTSNERKPPSEDLQKAFPIVSNI ADIRDAVIAKIGRQAYYDDLQANWGEWISDRELTSQI ACHE_60548S MQLNTLTALLLLSSTSVLADSIGDSINNIVDGAKGAASTAVDGG RNIASAAVTGAEGAAADVTSEGGNIASQVTGAAGSYLNSASDAAASVTSSLGSEASSA TATATSTSGSASASETGSSSGSASASSSFITTTLTNSQGEATATSTSASAADQTTSSS EGAAPQMTGFMGVAGVAGVLGVMAAL ACHE_60549A MESKMPTVTDTQAAVAANTVADDASLASSGDMELLAKMGYKQEL KRQYSTVQIFAVAFSIMSLVPSIASTISFSLPAGPAGMVWGWLTASIFILCVGLALSD LASAMPTAGGLYWWTHYFAGNKWKHPLSFMVGYSNTLGLIGGICSVDYTLSTMILACV SIARDGNWSASNGVIYGAYVGLIVVHGICTVYFGRIMPKIQNFCIFMNVALIVATVIA LPVGKVTGGESLNEGSWVFGHVENQTTWPTGWCFILAFLAPIWSIGFFDSCIHMSEEA LHAAKAVPIGIMLSSGSACVLGFLILAVIAACMNPDVSATINSVYGQPMAQIYYDALG KKGALGFMSVLIVAQFLIGLSLITAASRQVWAFSRDGALPFSNVWRHVSRRIRYQPVN AIIGLIVVSIIFGLLCLINSVAANALFSLFVASNYVAWGVPIMCRLVWGKGRFQPGEF YMGFLSKPIAIVAVVWLLFGLVLSMFPSDGPNPSPDSMNYTIVLNGFVWLASATYYIL FARKWYTGPKMTVEAHGGSPGSASGEDNDTKA ACHE_60550S MDLDCGIIDVDEFARSNEPAIPIPLTNAKGRSLETIKIEYIDDL PEYPMSDLNGYTYVVASRGRSQVEMEQLVHDIQYAKRQLYKQKRPIYCPFFDCSVKKW TWQCSGIYACEFLNPFLQSYHHTSVDEHVWQEIQKSQREIQLLESDVSKRNAYSYYRS KMAFFKKGLACIDQLPTCKPVFKRHTQMNIHGDHAPYIGCTNETYDILTKHHRGAIQG HTVINLEFLEDLFNKEIMPATEECGVFESLTSRRKYCGRDHPQGSGRLIHSTCDVTFN ALIPVDIEQCPYILFTSHGVHKHPPPPPSKAPERILQGVKRIVEQLHDPSLTTAQFLR NPQLEAFCRQYDASTLAEIHSSFCNKDRVSAIIQKQRLLSYPGGQDINGLIFLEKTNE YMSDYIQEKYHDSQGTMVLCGFKQQIELLSRLLSLEVDMSFKHIRAKTMNEVLFATFL PDQCKVITLLRVFTNEDSTAGYYRLFKRAFSLVKKVTGKDVKFDPIHGCGIHGIILDM DTKQYTGLGQYLSEIDPKHRDIIWHLQHMVVFCRVHYQRSILNAIGTRSQGSPLWSRM MSLLDCKSEDDYDTLLDLFIKYEDANVRSWAIHKKGAVIKAGLNKACSHIQSHFFDEL RNHTNAVEQSHQKSYASGKYLTLVEAVKNSAKLDKEDILQYDNFKNFNIHHSYRTSNM EANYLCHMSRESNRKRRRSSSNNVSDSSSGSQQQQSTQQASKSRSPSTYTGDNESIKS DHLRRIASANASSLEHRRQELELRQLEADIRQKEADIEKQKEEIHLKRLENERIELDL MERRMRIQEHQQENC ACHE_60551A MSYALMEWYKQLFSAPASASSPVVVEDGSDEATEDLPDPPEDDE DNDDDASDEPSSDEALPPLPMKKDGTVSTMWPLVSRFSTAYGVPRFTSQTCARR ACHE_60552S MADAVFDPMSNLTLLRCNKAVNRVNPRKFPAGKARIETAGNICF CFLMTSVSFILIAFSIRELAEGSDSPTGEFHLPSTIAVIVAFATKAALFIYSFALRNQ VSQIRILWEDHRNDLIINGFGILTSVVEVNCAGGLIPWAR ACHE_60553S MQQLITYISMTHSPMITAIDTVRAYTSGPRLLVEVDIVMDPHES LRATHDVAELQMKLESLPDVERAYVHVDYETTHKPEHFLKKESWCICTAISIYW ACHE_60554S MAPDKKNIQGHIWPGLPKNNETYFSFKIPDGSEDEFRQALKSLV GDDQITTAQQALDDRSKIAEHKQNGDAGCLEVVGVNIAFCPRGLHKIGKTGNTADGPA CGGDEFNWGMYKERGAFGQDDLSQWMQEFHNPGDPWVEHPPDVRLHDGLLIVSGDSEK TVSDKLDEILNRFGSSLEETLRIDGKVRPGDNRGREHFGFKDNISQPALEGLDTPPAG KAPRMVPPHYIFVDPSKDSKSPQWAADGSFLVFRKMQQLVPEFDRFLAEKGPECSPNL PPEHAAGLLGARLVGRWKNGAPVDIAPDHDDPSLPVNQLNSFDYSTNSNDPRVRCPFA AHTRKVRPRSDDKNKDTHAILRRGIAYGDEVTDEERSMQKTDMGNGDRGILFVGYQSD LFQGFRTLQSKWANGQHFPTAKQRITGPGGPGLDPIIGQNAKTNVPRDISLANGMGYA PRLPLEQWVIPRGGDYFFSPSIGELGNVA ACHE_60555A MSAAPRPPFLPGSLEEFTEHAATHHSEWFQYCRLAYEYIEEAEA AITEARGQADQTSLKLQASEMEVSRLKEELSALHLKQEKNQA ACHE_60556S MSLTPEQTEIIKATVPVVKEHGNTITTVFYKNMLEAHPELNAIF NTTNQVNGHQPRALAGALFAYASNIDNLGALGPAVELICNKHASLYIQPEHYGIVGKF LLEAMGQVLGDALTPEILDAWAAAYWQLANLFIGRESDIYKQSEGWTQWRDFRVAQKV PESAEITSFYLKPVDEKPLPRFRPGQYISVQVHVPQLEYPQARQYSLSDKPRDDYYRI SVKKETGLNTAKPEAKVNPGYVSNILHETVNEGDVIKVSHPCGDFFLAEQEPSHPVVL IAAGVGLTPLTSMLNTLTSTPADSQRKIHFIHGARTTSVRAFKDQIKSRAEQLPNLQA TFFTSSPSADEKQGVDYDIQGRIDVSKMDANKDLFLDNAQTEFYICGPTSFMNDIANS LKTRGVASERVHMELFGTGGVPV ACHE_60557S MGYDISHYEDVYRPYGTIQDMETLIAETHARGMYIMLDLVINHT FDQHAWFKESRSSKDNPKRDWYIWRPAKYSPTGKRLPPKNWRCCFGGGSAWEWDEHTE EYYLHVWATEQPDLNWDTLETRKAIYASAMDKPPDMPDAPITDPKAPYQPALSLYCNG PRIFEFLGEMNAVLSRYSAITVGELPYTHDTNLVLGYLSAGAKKLDMVFQFDAIEVGF GVTHKYETTTKNFTLPDFKAAVGATQKLIRDTDAWITVFLENHDEPRSVTQFTDDCPD FRVSSAKLLALMEACSSGTQYIYQGQEIGLVNAPKEIYSIENYLDISSCLFIKMVKER HGADNKEEFDKAFNALQHLARDHTRLPMPWNAKAKYGGFSEAAEMKGHEVKEPWMKPH HLVDETNISSQLHDPESVLAFWRKMIRFRQEHSDLLVYGDYRDLRLLAKDLFVFIKPG PVNFLFRLVLPFLV ACHE_60558A MHVVDDFDLEGPNGTHRCLVFELLGPSVPDTIDARFSDGRLSGK LAKTIAKQVVSELEFLHQEKIGHGDLHTRNLAFTILSMDNVSDKEFIETLGKPEIGHV QRSDGKALEPGIPEYIVRPTGTHSWPLSNIIKIVDFGESFLQQTSLKRFTHR ACHE_60559S MLPVDFVDVTGPKIMTVAISESLGQLLGRTVDDRDFSGIKQPKL LGDVLIIPGNSFAARQNGYPTDQETLL ACHE_60560A MLMVIVINALDECEQEDNVRVILQLLPKLQESKSICLRIFLSSR PEESVRAGLNQFQDYQVQALEEAPMTEHDIQLFLKDQLSKIRKDKSLAREWPGDDAFH NLVAMSVPLSIFAATVCRFIKDRPGKRLAAVLESREATPVTQMKKMYQQVLEQVLDPD NESESEDNVKKFKDIVVTAWSRWLVIT ACHE_60561A MFNLPVAEGAPYDSFANQHDEMCLPDTRTWLQCQVTDWAKKPDS KLIFLLNGMAGTGKSTIARTVAQSFDKKGLLGASFFFKRGEADSDNAKRFISTITRQL MTSNRELTSDISRVIEDDPDLSTKALSRQFDNFLFSHCSG ACHE_60562A MTIKEDKVLFVIASTNQALDSLTQPNEQRRFEQHRMISEAVASI GSYEISWLNRNGQEVSTEEVQAHNLISGAIVIGNLPLVQSLMGKISVNASVNRENPYF GRPLHTAAVWGRIEIVQYLLDHGADPNQFTGAQGEDNDDDWEHAHLHSRHEYRSPKGS ALRAAALGGHEKIVRFLLKPRCGLSLPRAEYLQTMLAAVRGGHLSIIEIILQSMETSV HELGTFRDQMLWEAVRHDQEAVVQMLLVHGTDVNTLPYANGRDHGCAIKIAASQGYHH LVRTLLDHGADLDCESQTPIIAGAQGGHEEIVQLLLEYGKSMEEAFISAVDGGQVHLL KYLLEKGVDVQAKGQSSQTCDGPVQYKIYW ACHE_60563A MNRAVPTDAVTTSNTDYGCWYKIQTGDTSDSMCAKFGISPYDFD FLNPQAACVQPVGNINTYTSYVANSSTTFTSLGSTININATQTVNHTTTHLFWSFPSD PTTSSTWTVNDTFWSSLQL ACHE_60564S MDLKKVFNTPQAKQINTWDLTSVSNHASTSQLFPSPGSADDKRS DSAAAQPRIKRGWKYLTMDTWYGETFLLSFSFLSFLAIVIVLAVYDQKPSPSLKWGLK LNTIISILATACKSSLIFVVSEAIGQLKWIWFWTGKRNLKTLQTFDEASRGPLGSVSV LFSRPQKGRALIMLGAAITLLALAFEAFMQQIVSYPVRQVDDHTGQAVAKRNEYLFGL ESYDDREEDVVGAIYAGVWTTGFKVDPTCPSGNCTWPPFQSLGWCSQCEDITSKATLT NCTDIPESTNMTEPHVYPCNVTVPEGNSASIPIQVSKTNGVAMLQMPTDMLWTIGIRP PGVFAGVEKPLIVLGHVTMQDYPTRTSSSHPEKDMKIEKATQCVLSPCMRTYSVSVSG GNPITHLSPPDWGELFDDYLDFQYRFNAVLQSETGNITADVSPSFVYNFEYLHYSMKF TTLWNQYGDGMWVQSGQVYEDILRRLQILSPEEIMQNIAASLTKYALENSTETVRGTM SVSRSFVAVEWEWITLPVIILLTSMALFISTVVKNKKHGLNLWKSAILPVLYHGLDDD ILRDGPEYASVSQMERTATIPVGFGHSDVRNRLMFRR ACHE_60565S MTPKIGVFPASGSLATSIINHLLRLVPASELLLIARYPDKLAHL SRDGATIRYADYDEPETLDRVFDGVGVLMLVSYASFEIDHRIQAHKRAIDSAVRSRVQ QIFYSSLAFGGDLATASAAHVMGAHLATEQYLADLNPGYFFNYTAIREGIYSESFPIY TAWFEPSDPSSPPNNEITIPHDGSGPGVAWAKRDELGEATAKMIISYVKNPEGFPYVN RVVLLSGPREVSLRETVEVLGRVTGKPLKIREVSVEEYAALPQIGDRHMYHGRDLAVE WTSAWEGIQRGETAVTTGVLEKWLEREPEEYETTITKFIKGQ ACHE_60566A MTENQNLETPMELVQYLYSRLAQLGIGTVYGSLFEYDNSIVAAA SKAGLKYARSFNEVHAAFAADAYARVKGISALITTHSSPESTANDILFGAHADNIPVV HIVGYPSTKQDENREIIRRLLRQGQIGSIDQALAYNSVVVLGIFDHIAYAPRRIDDAL SDCLISSRPVYIGLPMAYAKCLIEGKLLQSPVFRAVPRNDPRKEEHVTEVILRYLVSA KDPVIIVDGGVIKQAIQSQVQEFVKRTKLPTFVTIEGRHAIDASLPNHGGLYAGLQSA PGIKERVDASDLVIQFGALKTHVNTTRHLHFLKFHNKIEIYMDEHLVGRTISYGLRAR GLLKSILSRLDEVDLNPGPVPQSGGIDDPLHRLDFNPHDDDGELITRDVLCLRIMKWM RDGDLLINHFPIEDAQNYVHHPIWERKDVSFGACLGACCSANELGSTSRVIVLIDVAS FQHASRELATILSLGLCAIVYVP ACHE_60567S MSFYQPSLDAIKGPDPLTDNWTYDSAIDLFSWNPMMPDPFTFDL PDDLMNFESKDLSAGMVAPSDISGFVIGNHMGEDAASISDPESDDHPWSPSAHAAFPE LSPVTSTEQVHQETARYSTTPDATSPQEQPSSPPTRSTRRRSSADGPVRNAAKRAAHN VIEKRYRTNMNAKFVALEKAMNGDNGVQKSSRGGGSASLKKSEILSNAIAYMHGLQEE NRYLQKELAIVKQNLVPAGVWRGAPSCKRETSYR ACHE_60568S MLSFVRRGALSLALVSLLTSSVAAEVFEKLRVVPEGWRYASTPN PKQPIRLQIALQQHDVAGFEQSVLEMSTPDHPNYGKHFRTHDEMKRMLLPSDNAVSSV REWLQDAGISDIEEDADWIRFHTTVDQANDLLDANFLWYAHNTQRNTQRLRTLEYSIP SSIAPHVNVIQPTTRFGQIRANRATHSSKPKGGLDELAITQAATADDSSICGDITTPH CLRKLYNVGGYKADPASGSKIGFASFLEEYARYSDLVLFEENLAPFAEGENFTVVMYN GGKNDQNSKSDSGEANLDLQYIVGMSAGAPVTEFSTAGRAPVIPDLDQPDPSAGTNEP YLEFLQNVLRMDQEHLPQVISTSYGENEQTIPEKYARTVCNMYAQLGSRGVSVIFSSG DSGVGSACMTNDGTNRTHFPPQFPASCPWVTSVGATEKSAPEQAVYFSSGGFSDLFPR PQYQDVAVSSYLQTLGSRYHGLYNGSNRAFPDVAAQGTNFAVYDKGRLGQFDGTSCAA PAFSGIIALLNDVRLQNNKPVLGFLNPWLYGAGSKGLNDIVHGGSTGCDGQERFAGKA NGSPVVPYASWNATQGWDPVTGLGTPDFGKLKELALSA ACHE_60569S MDSESQTQTQTHDASSPFPPDPSGDAPTKPNTNENDPTQNQGLT LKFTPWSMLCLAFSVLGTYSTFAQDLSSGLTNGGPITILWGLVLVTACNLAVALSLGE LTSSMPTALGQAYWVHRMWGTRTGRVVSYMCAWINVFGWWTLGASMIAFMTDFTLGLR ALFGQGESAGWLKFVLYVVFTFILTVVNVVSCRRDRILPWLNNFVGAWFIALFGILSL AMLIGVGVRDNRTFQSGTFVFGAWINATGWSDGVVWFTGLVQAAYGLTAFDSVIHMVE EIPSPRTNAPRIIYLAVASGAITGFIFMVVCLFCIQDVDGVVNASLPFVTLMNETVGR DAATTLLVLFIFNGLGQGISILTTSSRLTWGFARDGGLPFSTYLSHIDPVWKAPVRAL WAQGVLIALVGVLYLFADTVLEAILSVSTIALTISYGIPIAVLLFITGRENLPLGCKF HLGRWGSTLNYVSLVYCCVTTVFFFFPGTPQPNVAEMNWAIAVFGVMLVISCVFWGVK GWRTYLRTEEAGMRMLVARELEQELEDEAEEERQAVNAGKK ACHE_60570A MSTTTTAASTPTTCAGNGWILPTQDSACGLLNHNNATDIMDKCC TPASVKKTDGDCSLYCLAQEQSVGDLTKCITDNGAGFSDVFCNDKQNATATASVTSTA KRTGSSSSGTSTESGIASSARVSKSGLGVLGVVLGSVILGAL ACHE_60571S MANTLTNYAAYIDPTTKTTRIGHLDLGTSTITPLSFRSGAPLTD LYQAITAGEANIATHPGQETLPLSSVKLLPPISGRDVLAVGKNYSEHAREFNTSGFDA SDKNDQPTHPVIFTKRATSIIGHEDPILLHPEFTSTPDYEGEIGVIVGKPGFRISEEQ AMEHIWGYTIINDMTARERQRDHKQFFLGKSPDTFCPMGPIAVPKEALPEDLIVQTHV NGEKRQEASIKELIFSIPTLVASISAAQTLQAGDVLATGTPYGVGFGFRPMKFLQPGD EVCVSVTGLGTLKNQIASLDAVNYTTERVNSDQSVIAVSNTKAPAGSGLSRINGKNFF YQHVGNKDGPPVIFIHGLGASSTYFTPLVSKLERSYSLHLLDLEGHGLSPTSALSELT IASYAEDIYQTVQFASINTPATIIAHSMGCLIAAHLALHHPEQVSKLILLGPPPSPLP EPASQAMYARAALVREKGMLAVVDAIIQAGLSAQVRANNPLAVTAVRLSLLGQDAEGY AKGCMALAASARETLNFGKLKCSVFIVTGEEDRVGSVEVCERYAGQVEDGKVDMLRGV GHWHLLEDVQGCVDAVLCAL ACHE_60572S MSSNAKTRHRSPGHLPKVQIKQKRIRIHTTPGKFEGAQWLEGAT DREKGSGSGQVTS ACHE_60573S MSIPETQWAQVLEKTGTYPVYKQIPVPKPGPDEVLVKIHYTGVC HTDLHAMKGDWPIPSKIPLVGGHEGAGVVVAKGELVNVIDIGDHAGVKWLNGSCLSCE FCKSADESVCPDASLSGYTVDGTFQQYCVANAAHVTKIPKHVPLDAAAPVLCAGVTVY KGLKESGARPGQTVAIVGAGGGLGSLAQQYAKAMGLRIVAIDGGDEKKVMCEQLGAEA FVDFTKSSDLVEDVKAVSPDGLGVHAVLLLAAAAKPFEQATGYVRSRGTIVAIGLPAD AYLKAPVFNTVVRMINIKGSYVGNRQDGVEAIDFFARGLIKAPFKTVPLKELPQVFEL MEQGKIAGRYVLEIPK ACHE_60574A MASQNSRESLWSNFSFSGAAKHGCQPYLTKTITLYCREKKYGYA VPQHFVEQVPVLAASLNHLHVSPSLWLDKAEEDIGHTIVNWLYTGSYQTLHDPTVQGT ARRQKEYRRSVKVYCVSIFYGMSGLASQALHYVRMFGDAVDIRIILDIAKEIFTKYRG RTDLFVGYIKYLKEKLNNMVQADEGFFKQEDFVKGFVQAPGFDKFMVQQMVSFYSDVI QDLKGNDIKGKVVKKQSRQATPVMARVDTGLWRSTRTRSSTLQPKSNSEPTNPSQPSF APKK ACHE_60575S MRLSIALPLATLFLGLASACTPGEYSCGAGANKDTVMQCGVDGI PVPVNTCGGGMTCKTVDGRVLCAP ACHE_60576S MKDIENVARGYKAAIKNRNVSDEGRLHAEEELKRIQSNETPSEG GLSDDEARHNKNVARGLKAATHNPNVTDVGKQQARNRLESMGEHPERPAD ACHE_60577A MAGESMSPEEGSIKEPPATPATAVGFLDPALKGVRRRVAFQLGR MVLILCVFVLCILSLYWAVQFRVENNMPSLAVWVVDFDGQVDPYLTNDTIVGPAVTDV AGQIIQSTTDRVGYTIVSPAEFDYSVWNVRQQVYDEHAWAAVVINPNATTMLRDAVRN GNSSYDPTGAVQFIIISARDESTYSNYIKPALNSFQIQALMEFGPRWVQSLAQEGANI TNVPQAANPGIGFNTYDLRPFAPAVATPAVTIGLIYLIIVAFFNFPFLMPIHMQLIKG NHPPLHIWQWLIWRILTHIGSYFFLSLFYSFVSLAFQIPFTNSPAPDTISADNPNAYG HGSFVVFWMLNWVGMAALGFPCENMAMVLGMPWSSLFLIFWVITNVSTAFYSLDLAPQ FFAWGYAWPLHRIVEALRTILFGTHSRIGLDFGVLFAWIGVSLAFYPFANFIMQWKIK RGW ACHE_60578S MRVCESADSALSLCSRDPSKVLGVTVGSHNVTPGQFIPRGEAQS IPEITFTNTTANKTYLLVSIDLDGPFPSFSILSPILHWIQPSLHPTPSNDGTTTTLNA NVPFIANWIGPEPPPGSGPHRYVFLLYEQPEGFEGAAGKYRPQGGKEMGIWGRVRFDL DGFEREVGLEKAVAANYFFSN ACHE_60579A MPSNSRFHRASAGPSSSAVYTQLASDSTSLLESGTQVDYSQGNC AGNKPDPNRIDIDTRDSDEDDEEEEEEEEDERRQNGVWQAEAITSSWTFRALVFTYVF IYILTSVNSLQQQVTGILGPYVTSSFKQHSLFSTTQTLSSIVAGCSKLPIAKILDIWG RVEGFILMTVLCTLGLVLMAVCRNVETYAAAQVFYWVGYNGMGYVINIFLADTTSLRN RMIMFGLNSTPFIVTVFIGPYVAQLFHTYSTFRWAFGFFAIIVPVVSIPVAAVFLYSN YKVRKMGLAPHRTVSGRTTFQILYHYAREFDIVGLILVVGGFSLLLLPLTLAASSVNQ WHNLYIIAILVIGVALLALFCVWEKYFAPVTFVPFQYLQDRTVFGACVLSATLFCSFY TWDVYFSSYLQVVHNQNIRNAGYISNIFTIGSSFWAPIAGLMIRYTGRFKWLGLAAVP VSAISTALMIYFRHPGTNISLIVLIQIFMAFSGGTLVMTEQLAAMSAVPHNEVAVVLA LEGLFMSIGGSIGQSISGAIWTNLLPDKLIEYLPASEKANWKKIYGSLEVQLSYPVGS TTRDAIIMAYGYVQRRMLLAGVCFMPLALGCILLWRNIDVKGKQQTTGMVF ACHE_60580A MAPTNLEREDHQRDAEFARVLHGKSAAAQGGFAAMRGKDAAAQK AAVDEYFKHWDNKSAEEETEETRAARRAEYATLTRHYYNLATDFYEYGWGTSFHFCRF ARGEPFLQAIARHEHYLAHRMGIKDGMKVLDVGCGVGGPAREIVKFTDANVVGLNNND YQIQRATRYAERDGLSHKLSFTKGDFMQMSFPDNSFDAVYAIEATVHAPELEGVYKEI FRVLKPGGVFGVYEWLMTDEYDNDNAEHRKIRLGIEQGDGISNMVKVSEGLQAVRNAG FDLIHNEDLADRPDAIPWYYPLAGSFKHMTSPWDFFTIARMTWWGRGLAHNFVGAFEK VGLFPRGSQKTADSLALAGDCLVAGGEKKLFTPMYLMVARKPE ACHE_60581S MPPPQIKQDLNRSGWESTDFPSVCENCLPDNPYVQMLKEDHGAE CKICTRPFTIFRWKADRTARTKRTNICLTCARLKNCCQCCMLDLSFGLPIVVRDAALK MVAPGPQSDVNREYYAQEHEREIEEGRGAVEEYEKTDEKARELLRRLANSEPYYRKPR RLEAPPGDEEEAEAPSSETPQVRSRYGNGPGPIRTSESRRGTPLPGRGGGVRGGRGGR GGRPFPSTAQVPPSAEDILPPADPNVTSLFVTGVEDDLPEHAVRTFFTQFGQLRSLVC SHRSHCAFVNYLTRASAEDAARECQGKAVINGCPLRIRWGKPKPLDNMSNEERIKNAR EGRQTAGATRGGQPEKKAITAGEGAAQQETPRNFAVAPPPGSSNVQYSSLHGD ACHE_60582A MASQTAVSARSAYRQLLRATRVAFQDDVRVLVASRQEARRNFDA HRRLAVDTPMQIKHAVEVADLLRHNLVQGSREEGNEDAKWELRIHDEIERGDNDSIKV GGKSVKVDKPCSAQ ACHE_60583S MVAVQTVNATLPLVSGGWSAEKDFKAVGALSGATQRNLEPVGPY FLAHARRARHGRTFSEDERIQAQNTAKKTEDDQDDDISDTEDAMLLSREAKDWKSQDH YAVLGLAKYRWRATPDQIKRAHRKKVLRHHPDKKAAMGDRDENDQFFKCIQKAAELLL DPVRRRQFDSVDEAAEVEPPTKKEAAAKGKFFKLWGPVFESEARFSKIQPVPLFGDDN TTQEELENFYNFWYNFDSWRSFEYLDEDVPDDNENRDQKRHIEKKNANARRKRKTEDT TRLRKILDDASSQDERIKKFRQQARAGKDKKRLEKEAEAKRLAEEKEKARLEEEQRKK DAEEAAKADREKNKKAKEAAKNAAKKNKRVVKASVKDVNYFAEGEPSATQVDSVLTDL DLIMGKLEAEDLASLAERLTAAGKDAGAVKNVYVEEVKRLVGAGKLKEGEAKSFA ACHE_60584S MPSSNFPWVKKPLLASAPMSMSAGPALAVAVSRAGGLGFIGPAM DASGTGKNLSTFAKAIREFESLYPPTLQSLDPTSQSRLSSLER ACHE_60585S MVICTPHGQQELDNERRGSAKSSETQVWIQIGTVAEAGGHGRAK DAMGLMTLFPEAADGFAGYGNSLIAAGGIADGRVVAAAFCLGASGVVMGTRFLASTEA RISKGYRDAVVQATEAVISTTRTLLYNHLHGTYGWPEPFSPRIVTNRHWENYVAGIPF DELRRLHGEAQAKVMLDGDLRGDWLLMQEQELDWLVRFWMPVRLWKKFGTTRHSA ACHE_60586S MYAKSSLVALLGGLSLAVAQSSHNPSAEEIAKAQATVKPNSPVS NVQGLSFNRFVNIWLENTDYETAAKDPHLSKLAKQGVTLSNFWALTHPSQPNYCASAG GDTWGMDHDNFVELPSNISTIADLFDTKNISWGEYQEDLPYAGYQGFSFSETEASDYV RKHNPLVLFDSVTDDDTRVRQIKNFTSFYDDLKNQRLPQHMFITPNMTNDAHDTNITF AGSWTYRFLAELLEDEYFTKDTLIQLTFDETDTYEIGNKVFTFLLGGAVPENLKGKKD DTFYTHYSIIASLSANWGLPSLGRWDCGANLLSWVAEKTGYTNWEVDTENLYLNETYP GPLSENEYSKYSPRWAVPLTNGTCSGGRSILSTVQKTWAGLKPTYNYTSPIPHDAANG NSVGVKYYRTKNGKQSVHVTGSE ACHE_60587A MPPKLREKTQNSIQQEGRVILAISSLKKKEISNIREAARLYDVP RTTLQRRLNGTINRAEKQANCLKLTKEEEESLIRWILSLDQRGAAPRPSHVQDMANIL LSNRGSSKTQPIGKNWVYNFIKRHDELKTRFSRKYNHQRAKCEDPNLIKEWFNRVQIT IMQYGIAYEDIYNFDETGFAMGLIATAKVVTRAETAGRPALLQPGNREWVTAIECVNA MGWALPPCIVFKGKSHIQAWYEDNALPSDWRIELSENGWTTDQIGLSWLQNIFIPTTN GCTKGTYRLLILDGHGSHLTPQFDQMCNQNNIVPICMPAHSSHLLQPLDVGCFAVLKR TYGRLVEEKMRQRINHIDKLDFLSAYPQARKEAFKMISRAASWQLAWFHTIQRGC ACHE_60588A MSSRGNQRGRGGGGRGGSRELPYRSGGDNRGRGDFRGRSDGRGR GRGDGGDRGGRGRGRGDFRGGHGGRGSRGGGPPREQGPRIFTNPSGQAAASPEVTKIE DATAKALAVKNQKTPGQPRYPERPGYGTQGQPVKLYANYFELKSLGKELFRYHVDIGG DSVGRKPTGKKARQVVRLLLDEHFLQYQNSITTDYRSTLVSRIELPKKGTYNVRYRDE YEDEYPENPKAYKVTCQFTGKLNPSDLLDYLTSSNASAMLESKAEIIQAMNIVLGHQP KSDRSIISVGANRHYAIYPDLAEKCSLGAGLEVLRGFFVSARAATARILLNVQVKYVA CYQEGPLVNVIMEYQRGNSSNIYKLEAFLKKLRIRATHIPKKNKKGQHVPRIKTIAGL ATRADGSSLPQPPKVFHHGAGPRDVQFFLDQPGQQPSPQGQAGKTPSKKGKKQPKAGP AQAGKYITVADFFRQTYNMNLDPKMPVMNVGNRQNPSYLPVEVCMVEQGQPAGAKLSG DQTRHMLNFAVRTPALNAGSVVNRGNRVLGLAGQNPTLANFNIQPGLDLVTVPGRVLP APRVYYKDNRSQQKSVSPMNGGWNMKAIRFSQGTKLASWSWLYFNAPRARQHFNGTDD LSASLEGLAAKLNEMGVATSVPKPGEKIDLSGQNTEKEVNNAISDLIARHQPTLIFAI LHSSDSELYKCVKQVCDIRQGVRNICVLADKLKGANDQYYANVGLKFNLKLGGANQSL RPVDLGIISEGKTMLVGIDVTHPSPGSAGNAPSVAGMVASVDQYLGQWPAEIKIQTSR QEMVSNLDSMLKTCLQRWARMNKNNYPENIIVYRDGVSEGQYDIVLDEELPLLKKACE ETYLATLTKKPLPRISIIIVGKRHHTRFYPTSDADADRGANSKNGTIVDRGVTEARNW DFFLQAHAAIKGTARPAHYFTVWDEIFAHQKPAPGSPFQSAADILEDLTHRMCYLFGR ATKAVSICPPAYYADLVCERARCYLSQLFDPTPAATPSGSLSSGAAGGSVLGDVAIHP NVRDTMFYI ACHE_60589S MAPTPTPPSVESSISGHSPEGQYRVIRKRNRVPLSCGPCRQRKL KCNRSHPCENCVKRGDAPSCSYAQPNVRKKNTPQQPAFATPDDMQNRIDRLEGLVLSL MTNGSPSAGPAAAMAAISGSDSAGSTHHSNSVSVSANEEDGPAGPEESDTEQVTKSFG IMKVDNNKSYYISDAHWASVLNDIAEVRNYFTTHKKQYEEQAEKLQASKPPTDIPGSA LLFGATKPTSRAEIMASLPSKYTTDILIARYFSSYDPATHILHGPTFQVAYNRHWEDP SQTCIVWIAMLFSMMRLAMLSYHLEGDEPPEFRGKSLDMAANFRNVMAQCLMLADYTK PYRYLIETLVFHLHGDFRQTKEADVSIWILVGVIARLAMRMGYHRDSKMFPNITPFHG EMRRRIWTFVRQADLLFSFQLGLPSMLRSADSDTELPRNLYDDDFDEDCKELPPSRPP DEPTPVCFLITKARLSYVFGKVVDHTSSVHSGSYEKTMELDAELRRARDMIPEHLIVR PMEECQHDQCHLIMSRFSVMSVYHKAQCVLHRQYLVRARDNPRFTYSRRTCIDSALEL LRFQSMLHEETKPTGRLRSRHNRVTSLSCTDFLLASTIVCLDLHHGLRLQAAGRPSGD MYVWGRERREELLAAIGRCKEIWDELRDETLEAWKASGVMGVMLSKLNLGFLATENGT GVAQPFEPQDEKQNAAMTLGLLSSGMSPMNNNGPPTFADPTFKMPDSSLAQGGSGLAD VATAASPFSAMFGQMPDMQLNLDWDAWDTYIQNSAFDTSNQWWPTADMQQPQPHAQQP QAPIPLSPSRMPVSQPFFPPQAAAAYNTASLSGVYSSADPSRQSNRLLSGQDNL ACHE_60590S MYCFRRAAFRLLSLSASATPLQPRSISAVNRRRLPFLVPRQSSH YAFQRRWNSDEPGRREQLETENDKESKKDTGNESEDGPAQEEKREATAGIEPELKATP TETAAQTEVKPETIGQNLQDPADSDQDATEEEGPRAESEPASNEAREAAPTPPSGPAR FRYVEQPASPKETVFISNLYFDVTADDLRKKMEDFGVVENVTIVHDARGISRGFGYVT YDAIESATNAIENMDGIYYEGRQVFVQYARVNTGDYKLRKPKNPPSRTIFVSRIPPEM TVQELHELFDDIHNVIDIRVSVDRRTGYLRGFAHAEFLDLQSAKDAFEVLGKKRPHNK PLKLDYSHSNRKMPGPNIGAGFVDHDHQVYSGSSSSESS ACHE_60591S MSFLGGAECSTAGNPLTQFTKHVQDDKSLQRDRLVGRGPGGMQE GMRSQGMMGGQDPMMDEFAQQPAQIPGAPPQPFAMEHMRRELEQFQAAPPRTGSPGWA AEFDGGEHARMEAAFAGPQGPMMNNGSGFTPAEFARFQQQSHVGMPQTAGPAASAASP MMAGYQRPMGMGYMGMGGMGMMRPTYSPMGTMQQPQPEEAATQDKGKGRMVELDDQNW EAQFAEMETAQTHEATDEEANKAMEAELNDLDRKLVEEEGDFDVTDSMHMGELGDWDN FDALNSRARDPQLGDYMFEEENVFRNVSDPFEEGVKVLREGGNLSLAALAFEAAVQKD PQHVRAWTMLGAAQAQNEKELPAIRALEQALNHDGNNLDALMGLAVSYTNEGYDSTAY RTLERWLSAKYPQVIDPKNLSSDADLGFTDRQILHDRVTDLFIQAAQLSPSGEHMDPD VQVGLGVLFYCAEEYDKAVDCFSAALASTESGTVNQQEQLHLLWNRLGATLANSGRSE EAIEAYEQALTINPNFVRARYNLGVSCINIGCYPEAAQHLLGALSMHRVVEQEGRERA REIIGGDNVDDEQLERMLHVSQNQSTNLYDTLRRVFSQMGRRDLADSVVAGMDVNVFR KEFDF ACHE_60592S MATATGAQLIARTLRDLGVTVIFGIVGIPVVEIAEEAINLGIRF VAFRNEQACSYAASVYGYMTGRPGVCLVVGGPGVLHALAGIGNATANNFPLIVLAGSA ETNNVTKGSFQEMDAISFLTPHTKLAVRASTLDSIPGSVQNAYRTCWYGRPGPTYVDL PADIIQGNAPASLAVPPPEKILLPSPPRASGDPKMILKAAQLLKSAKAPLLVIGKGAA YARAEAGIRKLVEHTQVPFLPTPMGKGVIPDSHPLNASSARSAALKHSDVALVLGARL NWILHFGEPPKWSPKAKIIQVDICAEEIGRNAGTAELGIVGDIDLVVEQLQHALANWR YTPSPLSAGGQPFPVLLAESAKKNEAKAQKAALFKTPSSSPLTYQRAYHIIKSTLNTL TPPEAGDVVYVSEGANTMDISRSVFPLYHPRQRLDAGTYATMGVGLGYIIAAHEAFNA SASPGTTLSAAAKPKKIIALEGDSAFGFSAMEIETMARYRIPALIFVMNNSGIYHGDS TSEDSWRSLQDQTVINDTKPSSPAEEGKKGLRSTSLLYETRYEQLATMCGGKGYFART EEELEKATKEGFLSDNVTVVNVVIEPGIGKKIGFAWQGNNNKQEAKL ACHE_60593A MVPSWKPTVQQKRSRQLAAIPPSWRLSTPITTSQTTLETIRSCN ILTPRELQWTETTDVAKLLSLLASREVSSAQLTTAFCKRAAVAQQLTKCLTEIFFDRA LAKAQELDEYLGRTGKTVGPLHGLPVSIKDRFDIEGYDTTVGWVGLVGKPAQKSSSIV QMLESMGAVLYVKTNVPQSLMMSDSYNHVFGQSINAFNSKLISGGSSGGEAALIGVRG SILGIGTDIGGSIRIPATLQGLYSICPTTGRVPWHCSFMNQYYLVPPVAGPMANSLNT VEYFMQSLLDSNPWDIDPGCIPVTWRKDVATFPDRKLRVGIVYDDGVVKPQPPIARLL RETAKKLKQAGHEVIEWDTSLHIQATNLWTKGVLADGGQHCKHLCDLVGEPLIEGMLV GTPNDQLSIPERELLEEEKWSFQTTFLNHWQASNIDALLMPVLPWVGYPPKAWVTSSQ WLGYTAIWNLLNYAAVTVPVGKADVELDQPGEEWVGHVPRNESDGFNHAQYDIELVKG MPVCLQIVGGSFGEEKAVSVAKVVDQLMN ACHE_60594A MDSPILNQLFRQLFRRPACQSLRYSSASAPSSSGRRAAAGVRLS GPSRQQSRPFLTRRQQTKRKNVDDGLVWHRIGDYPKDIDQELRTYPLVTAKDLRSRKE RPRQVKMLTREFIDDSLYNPHYGYFSKHATIFSPGEPFDFNHIADGPEFHRMLGERYN EFEDKLDETQPDVARQLWHTPTELFRPYYGETIARYLVSNYKLTLYPYHDLIIYEMGA GNGTMMLNILDFIRDTDYEVYQRTKFRIIEISSALASLQMKNLMDSINAAGHLDHVEI VNKSIFDWDTYVHSPCFFLALEVFDNFGHDAIRYDRRTEMPQQSGVLIDADGEFHEYY NAQLDPLASRFLRVRQAAARRPYPSPLGHKVTRGIRNSLPWQSPYTLPEYIPTRLMQF FDILDSYFPAHRLIASDFSVLPDAVPGLNAPVVQTRYMRRTVPVSTPFVHQGYFDIFF PTDFNVIEDVYRAVTGKLTQVMSHEDFVDRWAYIEDTETRNGENPLLNWYKNASMLMT V ACHE_60595S MNDNSRLYLGTTAFVAGILFTLGFKDLLYPALAQLFRESQSQDA EARRRREADTLVARAGPPAIVDGIEGCIGNTPLFRIKSLSDETGCEILGKAEFLNGAG QSSKDRVALSMIQIAEEQGILTPHSGDTVYEGTSGSTGISLATLARAKGYLAHICMPS DQAIEKSDLLLKLGAIVDRVPPAPIVEKENFVNRARALAQAHTSASASGSDQVRGRGF FADQFENESNWRAHYNGSGPEIYAQCDGKLDAFVGGAGTGGTISGVALYLKPKIPNLS VVLADPQGSGLYNRVRYGVMFDLKEREGTRRRRQVDTIVEGIGINRVTANFEAGKELV DDAVRVTDAQAMAMARWLVEKDGMFLGSSSAVNCFAAVKTAMKLGPGHRIVTVLSDSG SRHLSRFWAKAGDVGGATDTKLEDVLNAKDE ACHE_60596A MEPPTKRPRLPIAPDLSQGPYTYSFPASDPTADQTENNPENEHD QVDIHTARAQNDQRLKTLFESIFEKYSRDFTDIGDEIDLQTGEVVVDNGHLLGMKGED DTGGIEDGQRGGHEHEHEQDGDDSGESETETSTEQGEGGGDYDHGIWSFTKSQTGLRD HGNGNAWGWQGHGDDMDDDHNENDYDYDDDDDDRSSVDSLLDTAMSVDDPTKKEPTVE ASHPLRRINHANNDTRKSVDPVWRVPEITPRFSFSTPQPRPSNTKPTINYNPARSVSP PGARSLWAVPQPGRPRKTNTDVLKEKKAKKDVDLKLKTKTSPKKTAAPSTSKPKQFSS PAKKGDWSFAIHSQGSGSESDDPLQEDYQPSPRPKNALNIRGKSIGSVTPRRNQISTI DGHAAGSKSQTPKHPNDFPNNTPQQTTSTPSKTRRPMTPDDVRLIVTMRYVYKKQWKQ ILAYFPGRQVNHLTEWNKRHWTQRRANPPQLSRPWSPEERDKLSAFKDRTGLAWSDIQ KKFPGRSYAEIEFDLLRLWVGEEIWNEGGEDQDGEAGRDRLDDSQQDSGTASAGASHR EQVATAVSEEPSDRSGQVYLVDGEHDILRLIESSKTTANADDSIGPRAHDTSQPEPYQ DKGPSKKRSHFQSSPRRTLSTLFE ACHE_60597S MANMYCQKCRTPLKLDGSLESLNPAAFDLLVGSTGRTLPENTAT SSRPSYPQERRDLYDRVSKQNHSPVYRRSIPAPRHAGSQPNPPRLSRGDSGNMSFVML TESQVGPPHAGSGDGQRGTVGKDNGTEDGGGAFSDQVERANRLFEIISSRSDIDHPIC MECTEMLVDGLQKRLVGATRERDAYISFQRSLNSSVPTAEEIEAAEKSLKETLEAEEA AFAELVELEKEKAALDEEIAGLEEESRQLDVDEEAFWRDRNSFALTLSDFQNERDALN MRYDHDSRQLERLQRTNVYNDAFCIGHDGYFGTINGLRLGRLTNPSVEWPEINAAWGQ TALLLSTIAEKLNFQFQGYRLKPLGSTSRIEKIEYPQSPAQSTVGGNGNGTGIPSGPP KITVLDLFSSGDLPLNLPWIHRRFDAGMVAFLECLRQLGEYVEKTPAPGRRGGSGGHG ATIPGLKLPYTISRDKIGDASIKLGFNQNDETWTRACKYTLTCCKFLLAHVSNVASAG SGSQSAAVATAGEAAWSP ACHE_60598S MFMMDGSIPPSSSRSIPSIPPSSSSSSSSTIPPTTTVASTPSSS SSSAVFRPRRSEDWQQYRPIIEHLYLNNQLKLRDVKIIMERDHHFVASEKQYKDRLAA WHIRKNIKAKEVQVMIRKQQKRAARGKQTAFRVGGQEVDSKRISRFLRRYGSSWENPK SPPQTNSPEPETPTDMSCYTPEPEDRSSALSPMPEIPSDPRALDASPLDPNHPIPSHH PHPAEMPDDQPLSATLSPSLTNDTPKPLDDDLHEEDPWHALVSFQDRLLVLHQTLEQS MSDYTTPLDD ACHE_60599A MASVSPPKPWERAGAAGGTALSTPATSTAASTMTNSTATTSPTA TTTPTSTSTAAAPNLPSRPDALNAVVNRTASNYSPYSASRLGASPYSAYSSPYSSPYS SPYSRLGGMGSMGSMYGGYGGYGGMYGGMGGMGMGGMGGMYGGMPGGDPNDPNSLTNS FGQSTQATFQMIESIVGAFGGFAQMLESTYMATHSSFFAMVSVAEQLGNLRNTLGSAL GIFTIMRWFRTLIAKVTGRPPPADATALTPSAFAAFMNGRSAPATLPDGTPAPPKMSR KPFFMFLVAVFGLPYLMGKLIKTLARSQEQRRQLMVGANGEPTTGPLDPSKLDFCRLL YDYTPESQEGAGIDLAVKKGDIVAVLSKSDPMGNASEWWRCRARDGRVGYLPGPYLET IQRRPTPQAITSGSSSGSDSGNVQVKMGASEEEKKPEIKGKMGDIAPESFQKSTFYS ACHE_60600A MRSARVFYLALFAFLRLTSASDDEAQEHHNSNTCAIDPKATVSD ACVSYATIDHLNDEVYTLLHSITQETDFFSHYRLNLFNKECAFWSDSESMCGNIACSV NTIDSEEDIPLTWRAEELSKLEGPKAGHPGRKQQAERPRDRPLQGMLGDNVGESCVVE YDDECDERDYCVPEDEGASGKGDYVSLVDNPERFTGYAGPGAHQVWDAIYRENCFLKP TPGLSVSPAQELGGLQAMHDFRNVLQMEMKRPDGYPLDNECLEKRVFHRLISGMHASI STHLCWDYLNQTTGQWHPNLQCFKERLHDHPERISNLYFNYALVSRAVAKLQKHLQGY TYCTSDPAQDIDTKGKVTKLTQTLAKRPQIFDEHLMFQDPSSPGLKEDFRNRFRNVSR LMDCVGCDKCRLWGKLQVNGYGTALKVLFDYDETKNGDNPPLRRLELVALINTLGRIS HSLAAVRSFHRAMDLGQGETFAIPAGGHLGSNQKTRRLFKDGGSTFYYEGDEEEFSYR GERQRLPWERRPRRPDDGFLDDFKAEFEVVWDTYMFVLGSWARIPQTVFEIGVLEVNR VWNYWLGLPVPPRTWKIKLPSRDEL ACHE_60601A MATVQRHPLHSLGPIHPPKVDKSAIKPSVARKINIRVKDPLDHA APAIIHEPRKYSVDDANASAVVLVSGAGGGVSGPAGIYPSLADKLTLLLSIPCIRLDY RLAADTDHCTADIIASLNYLSQHYKSANFVIVGWSFGGSPCFTAAAEEPNRIRGVATI ASQTARTAGVKGLAPRPLLLLHGLGDSVLGSECSEALYRMYGDESENGGEREIKLFER GDHGLSGLGPEVEGLLLGFIGRVLGFEGVVGEPETRGVAGEDFAGGQGERVREMEEGR DLEGEALA ACHE_60602A MPTTVSSRQLPYADHLRGAQYIEDCPPQDYWYSEQATNMNFGSS NPYRCNSPESLCRRPSTDSLRSVPSIDFSGTLEHHPVYPKTSWASSRPRPRPRSYPET QRYSPDSGLVNPDVIDRMDNVGDLMYHHEGPYDAVCRERNRKNQMSPLEAVSRSNEEA LRATPRERIVDSVRNNRPLDGTAFYPPGITDNGGNTYDYEEGANMMSDFGNFMRDPGF KFRDEDFKNDRFYTDPPPKPYIFVAKVLKRCGFKRIPV ACHE_60603S MSLVQYSDSESDSDTQTSPPPPAKRIRPNTQCQRPNNPGSSLPP LPTTFYDLYATSTRVSVQDDPSLHGGRKRVIPHVEGNWPTHLYLEWFPSKSELGVLEN LIQQSESKLGDKRRVIHSLLRSDLGAQLPLHISLSRPVVLRTEQRQSFIDTYTTAVRD SNVSPFDITPGNLHWVSNYEKTRWFLVLHAQKPANDGLNCLLKLSNRLLASFDQPPLY EKAIQTNSGGGRRQQNGADDHSHCFHISIAWALTEPSTVDREQVASIDLKGLKGLRVR FDCVKAKIGNNISSIPLPSGIVDQKGIGGL ACHE_60604S MSQLQIPPVTLEDLQTFQAKHFLGHQTAACDYPTAPAEDVYYHY EEEKEYYDDDGDDLGYYPDGIKRTLTDEQIRIFRHSEIHAILRERQLKQEVAEESDEQ TQTQTVNAKRKREDGDNNDGGSKRVGSKQAEPARAGVGSESTALHYDDEQEPREAQRP TTSAPFAGRRIISYKD ACHE_60605S MAPQIVDAPPTTVDLETGHESESKKNTGPDEISAIDEKKDDQIP AYQQDAFGNEEFAEVKYKVLKWWQCGLLMVAETVSLGVLSLPQAVAGLGLVPAIIILV GLGIMATYTGYVIGQFKWKYPHISNMADAGEVLMGRFGRELLGIGQMLFLVFIMASHI LTFTVAMNTITNNGTCSIVFGIVGTIISFICSLPRTLEKMSWLSLVSFISILSAVMIT MIAVGVQDDGAAVEATVKTNLFHGFTAVTNIVFAFSGHAAFFGLMAELKDPRDYPKAL CLLQGIDISLYIIAAIVIYRYTGADVASPALGSAGTLVSKIAYGIALPTIIIAGVING HVAFKYVYIRIFRGTDRMHKRDLVAVGTWIGIGLILWIVAWIIASAIPVFSNLLSLIT ALFASWFTYGLSGIFWLYMNKGLWFSSPRKIALTLLNVIIVGVGAILCGLGLYVSGKA IHDNPSSASFSCAASN ACHE_60606A MAVRSRSSLHVRQDSDLSLLPPPTKRPRLQHLNPLPSSSSRRLR RRGSSPDLLDTTIEPATTPKPLAVRPANSPLSAATAAPLPRASARRPRLVSSASSSTY NHHSPPPPPSSFSNNPKTPHFRNRHDTPSTTPIPDPRESPDPLDTISPAPAISSPRPR PPAVRESRYHRPQQPRQHPNSEEKPAENPVGSDKTSRNNASWNSRGNNSVPLPESQPP APVPGSTPSAGRERRSLRSHDSGPKFRSELTAYFSNYDQLLSLEPQKTEFLTGDTAIQ LIDDLTKPPSTYLPPDPELPFGNPLVMFHNCKVIQLPDPKEDHDASEEDPLNEGTYFR AHRRVERQEKQLRNIERERAQHEKLQVDRLLEELRGHDWLRVMGITATDNKKLYEPKR DFFIKELSALIEKFRIWKEEEKRRKLAKDKPSSPDAPPENDNIRDSIDIQSNGEPADP NDVDVQAARQLHREAQTATSGKKPKPSISSEKRRKSKTALSAAGQEPESQPSQQPPQP TRLVPEPLPLVENKPFTSFYSDPQVRAIALGKGSSPTNRRTNRLQHQTLAFGHPIPEM EEQEFELPPEILTEDAILASQRKQRRMRRDSRRGQG ACHE_60607S MTRQLIPGVYVPTVAFFKENEDLDLPTVEAHAAYLAQAGVTGIV TQGSNGEAVHLDREERKLVTAHTRKALDAAGFTSMPVIVGCGSSSTRETIRFCQDAAE AGGDYSIILPPCYYKGQVSNEALLDHFRIAADASPIPVLIYNFPGASGGIDLTSDDIL ALSQHPNIVGTKLTCGNTGKLARITSQAKPSFLTFGGSSDFTLQTLIAGGAGVIAGIA NLIPRSCVKVMELYNSGKVEEAQKLQAIVARADWLAIKGGFVGVKSALQTYRGYGKYS RRPCVAPSDQEAEAIKESIREGMETERSLEN ACHE_60608S MKFSVLTLAVAVSSVAAVSVNPLPAPRKITWGSSGPKHLDGRIS LRATRDTHTHLLANAWDRAWHAVSTLQWVPAATEAPIASYPAFPTPSAHKAKRAPSPS LTYVDVKVEDSHVDLQHGVDESYTLNVTQSSSSISISAKTVWGALHAFTTLQQLIISD GHGGLIIEQPVEIWDAPLYPYRGIMIDTGRNFITVPKLLEQIDGLALSKLNVLHWHIG DAQSWPAQIDAHPNMTNDAYSKREIYTHNDMRHIVGYARARGVRIIPEVDMPSHAAAG WQQVDPEIVTCTDSWWSNDVWKYHTAVEPNPGQLDIMNNKTYDIVGDVYRELSGIFPD KWFHVGADEIQPNCFNYSRHVTEWFAEDPSRTYNDLAQYWVDHAMPIFRSVHSNRRLI MWEDIVLSTEHAHDVPKDVVMQNWNNGLEYIDKLTAQGYDVIVSSADFMYLDCGQGGF LGNDPRYDVMDNPDPDTPNFNYGGNGGSWCAPYKSWQRIYDYDFTTNLTETQAKHVIG AAAALWTEQTDDVTVSSKIWPRAAALAELVWSGNRDDKGHKRTTLMTQRILNFREYLV ANGVHAEALMPKYCLKHPHACDLNYNQTAVH ACHE_60609S MSTSKLFTPLRVGQSTLSHRLTMAPMTRLRASNTHTPLLPLVKD YYRQRASVPGSLLITEATVISPRHGGYTNVPGIYSEEQISAWKKSPMQCTRRARISTC SSGRWPGDVPMKSVFSGEMHHPRPLTEQEITAAVGDFVAAAENAVKAGFDGVEIHGAN GYLVDQFIQDTANNRTDNWGGSIPNRSRFALDVTHAVVKAVGNDKTAIRLSPWSKFQG MRMEDPIPQFSHLIENLSDLKLAYLHLCESDAKAAGESLRPFIDSYNKAGPVMVASNY TGETTVKAVEEEYKDNEVMVAFGRPYIANPDLAFRVREKVELAEVRQEGVYAQSEEGY TDYGFNEEFKAVYQ ACHE_60610A MCLARILCSCKVIREIQYSIGGRVVSDHSPNFALKLFMQTTLGH KDTLQILNLNVAHEIFLFEEKASEDETEEVLETEGDDEWGTETFARHLLAEDRTPPIS MSKWSGSLKDLSTLKLLSLGVNLLMYLARGINKHN ACHE_60611A MDRYIKMSTLTSLPIGTLHHIIFFLPSDQYVAALSVHCRVLHSL CDMETREKYHGIDTRLSDNSVDQASNLLMDIMKRPGLGHYMHHVGYRERILDHEDYTA KGYQRKLSEDEMQTQSHLVQSLTTEVTARVLTVLLLCEP ACHE_60612A MGLGADRRGIGPHPNPLGVPLTFPLFSILHGSSPQGTGTIGGEA VTDVTGLKGARANQRVQ ACHE_60613S MLAVMPSRQQEVPYFQNPTMDPSMVDLFTFPMDSLSGFGQNNDT TRVTQSYYEPPLYSEDIQKPGFPSLPGSPPAATTQAPEPRPSLSSASAPSIASTSSSA IGSPYSATQGIQEGWMDTNHELSLAGAIGDLFPSDQMINTLDADAYFQKKGPDNFVDP SWIQPIPQQPNGSSPVTYPEQPSYAMGQSVFAQQSPEASPLPTLEGLENNETKESKQP LSPQATLSGNPPYQPMYNRRSSASSTHSRGSRRSPSASSVDGETKGRCPHPECGRPFK DLKAHMLTHQAERPEKCPIVNCEYHTKGFARRYDKNRHTLTHYKGTMVCGFCPGSGSP VEKSFNRADVFKRHLTSVHGVEQTPPNCRKKNSNSKNTMAYSSDSTGKCSTCSGTFNN PQDFYEHLDDCVLRVVQQEEPSEAINQKLLAEVDSDEEVKKTMEKHKLTDNTAGTGSQ SDFNDSPSNQKSGKGSIKSTKGNTSSRPILGKNAITKHSTQNNNGNSSKLRPMVSRRR NNRNFYPQSWGCPASSMKTKKRVLCVFDGPRRLWKDEMMLDNEFEVRVKLPGGAGDGT NRDAYITDLDIETMKRAEGVFSATAEERGEWTDGPSTRLIGQPMMPLPGLSQSSDEVD LDELMRT ACHE_60614S MRLALSEPLSTVVAKRFAAAKEGGHLIFSPTQVTTIQTSGISYQ LRYCPSLAKKPSALPKPADSAQTEAQRQGKKPDPFDNPSPELLVAQTPGSDNGDQGYW VVLNKFPIIRNHFILATREWKSQTDLLEKRDLEACYACIKAWKKDDNGDNGERNEGRL FAFFNSGPESGASQPHRHIQFLPVEDMRQTYEGVGVEGWSPLIDVVAERCRSQSQSKE GYLTDDRLPFAHFALPIPTNPSAETLHSIYISLYKVAVSAVIEGSENPADLSIRDHGP AAISHNLAMTETEMMICPRRSENVTIPIQRKEEAKGEGVVEPGVIALNGTVLGGTLMV KMEEEWEELKRSPDVLAGVLGKAGFPVGGRVSL ACHE_60615A MSNGQTTSIEQDQIQYWPESFSIHQNQQKFPSSPLPNENTLAHP VPRSLYLANSNFSSFEESAYPKREGSSPLTPLTGSSHSAEDLSFLHAQSSPNSSVTTF DEPCFTPMSSTFGTMNPASWSSSSLSSASSTSSSSSAQHYHQQTDAATMGTWPNVPYY LPPSNQCLLPTIDTTWEVAQPTNEEMMMFNGLPWLDFNTDEAPAFFTSPVPEITEHKA STYPSFTHQHQNIQPQSLQPQLQSQFYTTTPATTIPVAPRAAAPTPSNTRNAFLIDCK RHGLSYKDIKRLGGFKEAESTLRGRFRTLTKTKEQRVRKPQWEERDVRLLCEAVHVLS SERERHTYRRSFKQNSLCRGQGCGCTARCHTTGESTDSGVPKVSWKKVAGYIWLHGGS YHFGNATCKKKWAELHKINLK ACHE_60616A MPGSPFFSLSRKPRRRTTHKDHTKRQQYGLGWFESPIDEKGQLY RHSDATPIELFFDLFFVANLSTFTATHEINNVEALGAYIGFLGVIWFTWLQVTLFDVR FARDSVFERVCKAAQLAVMVGFASAGTRFTTRVQDDNVWAFQSLSLLLAGSRTLLAIQ YTFNSRFFRGRMEDTAKGMKHIVAILGLSSVVYLGLFFAFKVRNGPQTYIWTVWFVLF GLEMWMVMGISGATPRIGLQDTHLNVRMGLLTLIIIGEGVITITRIVNKTVRPGGWTK WSFVHILGVTSNVYVLWQAYFDVTPRDALGKITQQLWAQLHYPFHVVLILLLEGAGIL ALTLDITLKITYLTETILFACEEPRPNAERAIKLLRSTIADMEIDYNRGAIKEKAVIS AILEDLPNRPLCPNSAAVALYSLTDDRIDDLVGNVTAALFSSMGLNPAEGTDISQLNN AQLLRMYMELLGFVYVYYFIVASLAMFLFAAFVLLARRHTRKAYVAVGVAVRIVLAIF LCGLIFFASDFRLAYSFMTSPTILYAFTFVLLFVLLVDRLLDYLSSKEAMGRRMQLGE GGSEGESGREATLIPATSGGVVIDSRSPSCSASVRAQISGRQDQLSPEAPA ACHE_60617A MSSTFANRRKPRKIGGDDEEHDEGGGQEPVVKRPMHPKMKQKPK LRLSFGPGGTSMNDDAEQESEVILPKRSGLGRRAVEKSAVQRGLASSGLSDKVQFKVG LEQERPSYSEDYLKELRDSQPATPKNMTEKEKESTVDVAAKFGEVMKVTAPSAIPSEA EIREKKERRARLAKEHGYGAKEEDYIALDDAAQVDEWDPESLAEERDTRLLRDDEDFA EGFDEFVEDGRISLGRKAEREQKRKQREEMRELINEAEVPSDEDDSDLEEKAAYEAHQ TRAAMGNGGRDRVDRPRTPPKMAALPRLSNSMDRFRANLAVMEKSRSQMINRMEDLRK EKADIAAREVEIQALIKEAGDNYEKLRLEAGLTPDETSEMQNSRGLETIGAPMAITAG NSESES ACHE_60618S MPQAQPELKKYMEKRVFCQLNGDRKVIGVLRGYDVFMNLVLDEA FEEKPGGEKVAAGMVVIRGNSIVMLEALERISEK ACHE_60619A MPGVVETSAGPIWPGLGYSIAHQKVELEVDFANRSLKGKTEITI HPHYKDLRVIGLNFRQGEVKRLTVNGKTPAIKHTDPYDSLQLYGSHYHGRLTSKIDEL LHTPPQPDLLVSIPKNVRIDELDPFSIEAQNQMALRATGASDDQEGPLSSKAAETVLP RFTALTVYVEFVIDQIRDGLQFVGVGNGDRRYPHAYTTNPLGYGIGCPLFPCVDDPSA RCTWEFSIKCPCSLGDMFDRKNRDQSTATTTGRSRTASGNGRYISPDDEALDLTVVCS GDLTDEIVDPKDPSKKTVSFACYSSLSAQQVGFAVGPFECVDLSGFRESDQDERLIQA STPVHAFCLPGRSDEVRNTCFPLPRAIDYFSINCGSYPFSSYKMVFVDDSPEATFPTA YLSICSSHLLFPEEVIDTIHDTTRTLVYALSCQWTGVNLIPKEPADAWVTIGMAWYIT DIFMKTLCGNNEYRFRLKQMSDRVCEMDYERPSLYDMGNILKLDPSEVEFIALKAPLI LFILDRRLTKASGKATMARIVSRLFISARAGDIPNGAITSAYFQKTCEKLGHAKLDPF FLQWVYGAGCPRFQATQRFNKKKLVVEMMIKQVQSEQPTTRDLSKDTFMRDVKEEIRQ VYAGTVQPVFTGSMTIRIHEADGTPYEHIVEIKEGVTKFEIPYNTKYKRLKRNKRQKE RAAAATGTDPSVETQEDVLLYCLGDVLQSEDEVQDWRLADWSKEDEERMGQESYEWIR MDADFEWICKLSLVMPGYMYLSQLQQDRDVIAQLESLQYMAAQKEHPLISTIFVRTLM DRRYFYGIRASAARALVKHAKEEINWLGLYHLERAFQEMFCLPGSPMTRSNDFSDRAA YILQLVIPEAISKVRDNGGRTPMRVKRFLFEKLKFNDNSNNEYSDNFYVATLMRSLCD AMLGRTEVPQDNFDDFDMERVLETQAEEQLEQDAIAEIDRYRRMDEWSSSYQNVYSRA ALRCQRQLMQANLLEFDYMQFLPYTRAGTYDLLRLDAFECLVDMDIFGSIELLKWFVF TMSSDSSAWLRRRLHNAFGRALAPVAFGRGPANEAPAQNDGLIIEQESSTEVRQADLA RRQTVPGAMEGLRTELSGDQVLKDSLWAACNSSCIGLLELSEFTDLCRVLYEPITSLR VSLRYPRYWKVRNLGKGRLHFYKSDRVRTTLTKDAAAASTKRKREDQGMPPPGPRITF KQSKVGSGTPSATSMSTSQPKPKLHIPKVSSSPAPATPGTPSTPATTPGGGLKLKLKI GQKPK ACHE_60620S MSRLFRKVQAAQDSLSDDALMPLKSYKYSSVDKSFISRYVLRHY WNAFVEVLPLWLAPNMVTLLGFFFIIGNVLLIEIYTPDLVGPGPSWLYYSFALGMWMY STLDNVDGKQARRTGTSSGLGELFDHGIDSLNCTMASLLETAAMGFGSTQLGAWTALV PCLAMYFSTWETYHTHTLYLGYFNGPTEGLLIAIAIMIASGIYGPQIWSRPITDLLPY PQIFGNNSVKDLWIPILLAGFFLGHLPGCICNVIDARKKQNLPLKPLFKEILPMVVFT VCNIAWLFSPYSSLLADDRLVLYCTTISFVFGRMTTKIILAHLLRQPFPFWTVLLTPL VGGAVLVNLPLIGLPAIGAWMEVFYLRAYLLFAFVLYMYWAFLVINRITTFLGINCLT IRKDKSAARERAYHELAELRSEEPGLKNH ACHE_60621S METKSVTQDVFSMANDEAENRTFRNADELRLAQMGHKQELKRHF SVWSLIGLAANCTISWTGLGLGLITAIDAGGPGPLIYGFILVFVLQAFLATSLAEFVS AYPVEGGMYHWIAAIAPKRYNSILSFATGWSTVFGWIFTTASTNLIYATNFMSLIALY ETDLVIQPWMTFVAYQGLNILTSSIVMFGNRFIPLINKFSLCYLQLAWFVIMVTVAAS APTHNDTEFVFKTWINNTGWENNVICFITGLVNPLYSLGGLDGITHVTEEIPNPGRNA PIALASSISIAFITGLSYLLSLMFSVQDYKSLANSPTGLPLAEIFRQATQSRSGTFAL VFLLWIALGPCMIGSQLSTGRVFWAFARDRGLPFSEIWAKVNPKFGSPFNSQLAVTTI MALLGCIYLGSSTAFNAMMSSAVTINNIAYLIPILTNVLRCRKTMHRGPFSLPYIVGM AVNIVTVAWLVFAIVFFSFPYYMPVTASNMNYTCACVGGFLVIEVVWWIVAGKEYSRS MQKAREERDQAPYVAAMARKGEE ACHE_60622A MEPAHEESGPKFRPQPLRSVSPTPSDITNGDHDHDHVTSSDNTV ANNDTSTMPDSVLATSLNSGVSISNGTVLPQPDKTPPPTTRDRSVSGVVPPYWTHSRN PSRTSQMSVEQPLGITLEDHTADPDSETSRGLWAQSVSVEDHAVVQGKSGVGAYVVWS CRIQTLDGGPIVIRMRYSEFDTLRRQLLQSFPHAKNALPALPPKSVLFRFRPAFLESR RIGLEYFLNCVLLNPEFSGSPIVKDFLFGRVG ACHE_60623S MDSTLPDAFEASQSGASLGQKAAGFDSQGRILSDVMPYVKVDDP PKFELESYISNYTGRTRFDRLYLIGTCSTHLSTEALKAAVSEAKSGKDISRYERAVTA LAQVAPGESEAKRDIDWVEHMHKVVKVETDRLEHELKGYKNNLIKESIRMGNEDLGQH YHQIGDQVSASKAYTRMRDYCTTPTHIASMLLKITNVAIERGDWLSVQSNVQRLRNLQ SKPEEQTKNKPKMSAALGLSQLHLDAYLDAANSFLSTESTLGDSYNEVISPNDVAVYG GLCALASMDRNELQRKVLDNTSFRNFLELEPHIRRAISFFCNSKFRPCLEILEAYRAD YLLDIHLQRHINLLISRIRTKSIQQYVVPFNRVTLDSMAKIFAPAAVAGEPLPTEANS SFVKELIRLIEDGTLDGRIDLEKMVLVSNQTDLRTEVQAAALESLESYTREAHLRLLR TNIIRAGLEVRPPADQNAGAGGKGKYARM ACHE_60624S MDISTVGVAFGVKSWCINLAGILGLIWISYTIIYNLYWTRLKRF PGPLLWKVSRIPAQLSMLRGFSHLDVTALHERYGPAVRIGPNELAFNTPQAFRDIYNN RSGKCFPKARSYYPLPVNGVEPVSVAVEDDVHARQRRLLSYGFSDRALREQEGLIMGF VNTLIERLTGEVEKGSGEAKVDIKEWMNFATFDITGELTFGESFNCLQDSELHPWIGV IFKSIKQGSYLIVAGQFPWAQKLLVKMIPQRLMQKAFDHFNLSAMKADRRLANKTSRP DFMSAILKGGLSEEPGVYRGSEKIMSRDEVHSNALMLILAGSETSATLLSGCVYYICR HPTALKKLTKEVRSFLTGDQDITMSKTSKLPYLAAVIEETLRLYPPVAAGLRRIVPKG GATIDEYFVPENTIVACHQYASFHSSSNFACPDDFIPERWLGIDPRFDDDKRTALQPF SLGPRNCPGKNLAYSEVRLILCKLLYNFNVELRSECTDWIDQESYFLWDKPALWVTLK ERISST ACHE_60625A MTQDVSHIRLINVHLDSLPVSPSLHPQQLPIMASYLRAAGHILV AGDFNPVLPEDQTLASANSLIDAWTELCPNEDGITWGLDTDIPFPPERMDKVALIGIK PFHVQVIRPSTFATGALSGVDGEQVGLGKEGGRERHEGSLQIPWSDHSGLLCGFRL ACHE_60626S MALIVQAFTFLHQYIYTRLHPTPSKSPEALKVGVISSAQINAAA LIHPAESHPSVILYAIASRDISTAQKAKEQYKFTKAYGSYEDLLDDPEIDFVYISTPN GLHYEWAAKSLKAGKHVLCEKPFTSNAAEAKELMELAKDRGLVLEEAFHWQFHPAAHA WRQLLDSKKYGNILRTNAVMTASPAVPGSDIRWKFDLGGGSLMDMTYALSFTRYALHA STPKQIVSVSVRPSRGDPRVDEAMYAHLDFEAPNGGDVHSRIYTDMARHKFGYVIPRF WELPSIEVETEKAIILFYNAMMPHLYHYISIVEKTTGETKTLKQYSGGPLWGKVTTST GEKGGNHYWSTYRWQLEAFVDAVKGKTPTFWVANQDSVWQMESIDSMYRAAGLPVRPG KEVSKKGK ACHE_60627A MASQRILFTASRLQTLTYLLAVCPFSIAFLVFINSSISFVVTDL IGLHNGEGDVVGTLGFADELLALAACPIWGVLSDRIGVRHVCVAGYAIVALALVVFVQ AKNIYPQLLLGRLLFSVGGSAVSTMVTAVLPVVTGSTSRTQEYQRSLSSDSTLTPDQP TSLDVTRRPNESRAVTGSPSSRLAGFVGTCAGCGALVSLVLFLPLPERFQKSGLSPPE AIRSSYYAVAAVAVSISICCLFGLKNLSGEEEKGWKSFLSAFRHQSPEAGQQGYQNDT LSPLSYWRQLSTAVALGFQDQEILLGYVGGFVARASSVGISLFIPLFVNHYYRAAGLC NSRPSKEISASDMGDIKKSCSEAYILASILTGVSQLVALMAAPAFGFLSEKSRRYHLP LLSSALSGIVGYLAFALMPSPQFKGDDGNAGVFVLMALIGISQIGAIVCSLAVLSNGI LRISAGEKVPGQDYEQERDQQPVDEADRDPNEVQPLLVGSGEQNGQQQYSQLKGSIAG VYSLYGGAGILLLTKLGGYLFDTLDSGSPFYLMAAFNAVLFVAGIICGLVNKARSTQG QVAP ACHE_60628S MRLAAYTGASVALAAGVFVKALHQRSNFYSACVYLSQSSANLMI LTNLCLLIVGFLLYWLQRLLYGPLRPIETEQLYEKAWFAVTETCLAMTIFRGELGGWF LVMFICLLVGKVWGWIGEGRVEYLEQQPPANPRVFHTRLTASLVLSVLFNSFMLRYCV HTVLEQARPDMMVMFGFEFAILSILSCSTAARYGISLVEIYVTQQQKFARIEERRAEL RAAREEATQQEAQTGENVTNLPDDNDIDEMELDIPGWEEKGRWVFYLDLVTDFFKLIV YLTFFAILFTFYGLPIHILRDVVLTIRSFGRRIMDFIRYRNATRDMNERYPDATTEEV TGEDVCIICREEMIPWQPDQPGRPGARRVPERLRPKKLPCGHILHFACLRSWLERQQN CPTCRRPVVVPRNRGQAGEDAPQQNIPGGNQGNGAGGLPRARIYQFGPFRIGFGAGRG DVFHNLQQQIHHGNAAMQPAANIPPGARQVGFGFGFGRPPAGAVPAPAPAQAPTPVAA QTPTPITQPLFSATPRFQDMQSQLQHMEQQIIHEIDQLRLSAHQLQVVRLLQAELQRL RNQQATTPGLNPAFSQNPPPFTSPSTLPSTVTSRHQFISSPRATPMTAGDARLPDGLT LPQGWSLIPLHSAAQPSGEQREQRNTDATPHSAPEPATNTSPEPSSSTLHVPVVSEPP SSNLESEGGRDEDTEASNNATTQSLPNWSPGLTAEPDSHPAESPANDRNGVTSYQPTE KVQGLSTAADEGEQEASPSNVDETSSTSSKGKGRAVTVEDADDDET ACHE_60629S MFPLRRAVPLLGLAFFLCFLYSIRGLTKSWDEVPQVVGLGDLVS VPSAGSEGTGNASTSTTTTADKDGPAKKPFAPRPQYVPGIPKAPGSKYTKTLVVPRTS EEDTDWIAEELPEWESAVYVVDDPSAPLHPPKNKGHEVMVYLSYIIEHYDNLTDVVAF MHSHQFAWHNEDLFEGNAADMLRRLNPARIAREGYMNLRCGWGPGCPDWMHPGALEEN SEKQEETMLARSWGEIFPDDPVPDVLAQPCCAQFAVSRERILSIPKARFVYYRDWVLR TELSDYISGRVWEYLWHVVFTGENVACPKEHVCFCDGYGICFGGDDEYQEYRNLQGQK GDLEQEFNLWEGDARVIEAERFSGSLSETSHLSIPDPGKDVELLDKISGIEQLLSDTL TNATKRGEDPKMRALELGRPWKEGDGF ACHE_60630S MTSTPGSNHQHPPSSSAEISTTQPAHSSSIDPNSHLSAGYAPNE NQLAGLVEAATAAAGQDVSDWTTAAAVAAAAGNQHHLDGYGPEIHIDEDSFGDPSFGA SLTANRQLKGSGDHAPPSGLSRTVSKKRKRNEDTLDPALAAASSGMGLGAGHYQQHNQ QHSPHPQQYEGDGLDIRPVPAQSLSDARAVGLHSAAALFRQPSSNKKHTRPPMAKMFT SLELSPENFLQLQAAAKNYMLDDEHPERRECVGQRGKGDSEMVKLRLWNCVRHFLEAE GHGERFFGEHAINEGITHRAHVWPRDQQKIIALIIPLLRRMVTNERQRKYAVETRKGG GPDERRRRKTDESLPNANSASPPRYDEQFQMHAQHHTIPEEFPPAPPMPNPQPQMGQS DTQLGLTDLLLDGYPTDWEAVARSYDMYNQDYELDSLWGLSGLQQPDWRGLVAAVDSH YQVFHQGDFDCPKPCEDENIHRILEADAMAALRWRVGGNRYTPAKNEFASGITRDISR IIRDNLAAKHGVHAPVYGQQPASHSPYYQQHSHATNATNSSNSASHTPMLNVNVLQNG KRVLPRFDLSADQCPDLGTLKHVVTRRFGDQLPAHLSEPKHDGAMHSSVGWKVKVFVP DGITSVHSDGEWTIALLSAATVDWMDSNLKVLIEAE ACHE_60631A MFYDLNVPYNSDDPEISHTLNFLAEIGYTTVALSQTISGKLPPN LAPPTVPVNAPKSLKLLTRLNLILADPSQNQRLTSLTQAYDLVALRPTNEKSLLNACT NLECDLISLDFSERIPFHFKFKMLSAAIERGIRFEICYGSGITGSGIDARRNLIGNAM SLIRATRGRGIIISSEAKRALGVRAPWDVINLACVWGLSQERGKEAICEETRKVTALA KLKRTSWRGAIDVVYGGEKPKVEEGQSKKKGAAKKGAPQTETNADSLKRKAEPAAEEA EKSLSKREQKRRAKKVRLGGSGDGAADDKTAS ACHE_60632S MARVYADVNERMPRSYWDYDSVNISWGVLENYEVVRKIGRGKYS EVFEGINVVNYQKCVIKVLKPVKKKKIKREIKILQNLAGGPNVVALLDVVRDSQSKTP SLVFEYVNNTDFRTLYPRFTDYDVRFYVYELLKALDFCHSKGIMHRDVKPHNVMIDHD KKKLRLIDWGLAEFYHKGTEYNVRVASRYFKGPELLVDFQEYDYSLDMWSLGAMFASM IFRKEPFFHGNSNSDQLVKIAKVLGTEELFEYLDKYDIELDPQYDEILTRFPRKPWRS FVNAENQRFVSDEAIDFLDKLLRYDHAERLTAQEAMAHPYFAPVRAAEQSGRNNTTS ACHE_60633S MSAPNPVGEPPAPMKDPAPQAEEQTRNQNLSDATKDPQAAQDAA AAPKVKSEKELERERKKAEKAKKFAEKQAKAASAKPAAPKAEKKAPKPEKDKTTDAYD PRAIESGRYEWWESRDLFKPEFGADGGVLPAGNFVIPIPPPNVTGSLHMGHALTNALQ DTMIRWQRMKGKTTLWLPGMDHAGISTQSVVEKMLWKKEQKTRHDLGREEFTKRVWDW KHEYHANIKNALRRVGGSFDWSREAFTMDENLSAAVTETFVRLHEEGIIYRANRLVNW CVALNTSLSNLEVENKEVEGRTLLDVPGYERKVEFGVLTHFCYEIDGTKERIEIATTR PETMIGDTGIAVHPTDKRYQHLIGKHARHPFVDRLLPIVADEEVDPEFGTGAVKITPA HDFNDFNRGKAHNLKFISVMNDDGTYNNKAGIFAGMKRFDARYKVIDLLKEQGLYVKW EHNPMKIPRCAKSNDVIEPILKPQWWMKMQSLAEPAIKAVEDGDIVIRPETAEKSYFR WMRNINDWCLSRQLWWGHQAPAYLVQIEGQDVDDSDGDYWVTGRSEEEAKQKAAAKFP GKKFTLARDPDVLDTWFSSGLWPFSTLGWPKQTHDLETLYPTSVLETGWDILFFWVAR MIMLGIKMTGKVPFKEVYCHSLIRDSEGRKMSKSLGNVVDPLDVMEGIQLEKLHAKLL TGNLADKEVATATKYQKKAFPKGIPECGADALRFALVAYTTGGGDIAFDIQVIHGYRR FCNKIYQATKYVLGKLGEDFRPQATVAKTGRESLAERWILHKFNTAAKEVDQALEQRE FNVAATTAYQYWYSQLCDVFIENSKSLLAPELPAEVQQSAKETLYTALEGALTMIHPI MPFVTENLWQRLPRRPGDHTISIMKARFPEYRPEFDDPAAAAAYELILNTSKAIRSIL AQYEVKTKGDIIVQTYDATSYKTISDELAIVKSLGGKNLGELSVRGPEDTTRPSGCVV SAVGAEAAVYLRVSKEVALEQEEKAKASLEKSRDAVRRQQTLVNGAGWKEKAKPEVRE AEEKKLKDAESESARLEEQIKEFERLRLE ACHE_60634S MASMLREVKPKNPRTARLLKAKEPQLVEGPKRTLLLHGSKCPTP VHTILKTFHSLTKPNSVLFHKKNENIRPFESTESLEFLANKNEAGVVVFGSSSKKRPN CITLMRVFDSKTLDMCEMMLLPGESGEDAVPAMNNLVMQIGVGLRPMLIFAGSPWDDE TSMAHVMLKSMFTDMFKGEESDKIDVEGLQYALMVAAEEPAQGLAPVIHLRWYKLRTK RSGHKLPRVELDEIGPKLDFKIGRLQEAPRDVMKEAMKQGKRPNEEVKLKKNIGMDAI GDKVGRVHLAKQDLGGLQTRKMKGLKRRAGVESDEDEDMMDVDEVSEDEGRKRTRTE ACHE_60635A MTAHNTKNSKSNFSRRLQETGYQRSIIGRGHTSTEKRILPDISP EDWERLLRDPAAKEAMTAGWGTVYAWLKSSVPVRRKITEAQRAKRKPRSQENGIEEKD VVVGDESLDESDVRDASPGNDVENGDAPAAQLPSAYPPSGGTTVHPQLPSFQTLLAPS VGPVGQPTTRT ACHE_60636S MSRGPEIMKALMSRLDAIVLDPDLAPLLSLLKGVRNGVVYGSKV RFPHALVMIFLFRSGTLREKAKLVLKATKTHASNLATFALIYKSSMLALRNLNTSSVS KENRYDSFFAGLLGGYAVFGRHQTSVTQQIVIYVFARVALSLAKLSVEPNMHPFSHLI TPEARSQIKDNAWPVFASLSWASVMYIFRWYPETLVSSLRSSMVYIYADSDHWNSFRN FLIHNK ACHE_60637A MEGTNQATKRPRPFSSDSVSNMHQSKHHQPIPFGPKMNMKQSNH PLSFNQSASMHQANQPLSFDGSSNIDATNFQLSSSDPSSYLNNSNSNQQLRSTSYGSQ SSPPSDGYAVPVGGHQNSLDKAQGNMVYAGIQRSGEDVAGVGGWIGPNTQRLMYLIES GYPIDPEMKIE ACHE_60638A MANTPNARETQAQSSQSTVADLASNRSTVNPSSTSLTSEAPSKG LTARADDQTSSPKSYENCHLSAADRAQELARIGSWVAFTTECLESVREVEDILSGELE LS ACHE_60640S MATSILTPAPSPLRLSPKPLTPDSFAPFGSAIISPLPHDLVSPP SLSSLQQQHGTPTPVLANQSTAIKYSPVSPLQNEYSGSPSGQPSSARMSMFCCFPREL RHTSNAALFDVRILERHPYTTQTFTPLNSVGEDQHIANEPLYLVIVAPTLKGQTAAAI VKNPTTRIAESVTITDPPDLSKVKAFVARRGQAVTYGAGTWHAPMVVLGKRRVDFVVV QFANGVAEEDCQEVAFEEGIEVEVDSNEGNRLEKL ACHE_60639A MRMHGFPSLIQSSRRSLVARRSYMLHPHWCNTHRNICHHARRPA LRVIGASIPAQLEQIRGKKTQSSLKLKDLPQGALKLEPYNDAPEDDTPQYPTVIQGHR NNMQKFKNCVLLTRVGSFYELYFEQAEELAPLLNIKLSLKKTNAGPVPMAGFPLYQVD RFLKVLVQDLNKYVAISEEFANTAEEKARSKGLMFDRRVARVITPGTLIDEKFMDPTQ NNFLLAIYMDISSLKAHIEDQAVEDSDVSSHQHVLASPSQPVGLAWLDLSTGEFLTQF TTAQMLPSAIARIGAREILVDQTAQDLIGHELQSLVGQDHRLLTFFPFSGDVVPMSDW GSMLERPVPTATIDTFTPEETAAGYNLLEYIQVQLQGSNMQLQPPRRRHLNESMSIDR NSLRGLEILETARDGFGKGSLLHAVRRTSTKSGARLLRDRLTSPSTSLEVINERLDLV SIFVEDTGLRESITQLLKRSYDAQRLVQKFTLGRGDADDLICLSRAIQASREIRQVLS VKDGDGSDAGVKGSLKTMIDRLHLDGPTILADQILAAIDEEGLMQKQRMEDATAAEAA MLAQEVTATEGLPEDIETLPKKVKGKKNTATAAEEQTVDTWIMRREASPALRGLHEEL DRLHDEKSRLTTWLRDSVGSPHISLRWSAGLGHICHVRNARITQASLEELGVTRSVYS TKSTRSFYLPAWTDLGGKMDQIKVQIRQEEHVIFERLRRGVILNLVKIRHNAAVMDEL DVACSFASLAQEQNLVRPILNMGTAHRIVGGRHPTVKLGLEEQGRPFVSNDCFLGDDA ERIWLITGPNMGGKSTFLRQNALITILAQVGSFVPAAYAELGIVDQIFSRIGAADDLF RDQSTFMVEMLETTAILTQATPRSFVIMDEVGRGTTPEDGTAVSYVCLHHLHYRNRCR TLFATHFHALAKKTRDFDALGRYCTDVKETASGSFSFVHRLRKGVNRESHALRVAKLA GLPQETIEMAREYRDELRDGKDGETILD ACHE_60641S MADPNNISQYKYSAMSNLVLQADRRFVSRIQDEPTGDPESLAGR IGIREMGSRVARDDVPQTKKKATGPVDIERGAIREGEDVLAREQRKLQKGQPAQLRGQ GILSAADALVEGLKYRPRTPATRATYDLILTMTASHLGDVPHEVVRSAADAVLEYLKD EDMKDFDKKKEIDDLLGSSMNPKEFNELVNLGKKITDYDAQDEDEEMEGDQEGEAGGE LDERQGVAVVFDEEDEDEDRMGTEVRDEDELTDEAEEEKPEGEEAAERPDLEGLDGEA EEMVIDGGAGRDEKTREKTMTIPAREIDAYWLQRQIGGIYSDAHIQQEKARDALEILG GQGEDGAERPLRDVENDLMELFDYENPELVGKLVTNRDKVVWVTRWRRVAEDADARNE IESEMVGSGHRAILDEIRGKPAREEGAGRPEKRIKLDLMDVDVPSGAPEEKAEELTGP LQPKRLINLENLVFHQGNHLMTNPNVKLPQGSTKRTFKGYEEIHVPPPKAKREPGEKN TPTSELPEWARVGFGSSKELNRIQTICYPTAFKDDGNMLVCAPTGSGKTNVAMLTILR EIGKNRNPETGEIMLDDFKIVYISPLKALVQEQVGNLGKRLEPYGIRVAELTGDRQLT KQQIADTQIIVTTPEKFDVITRKGSETSYTNLVRLVIIDEIHLLHDERGPVIESIVSR TIRKVEATGDPVRVVGLSATLPNYRDVASFLRVDPLKGMFHFDGSYRPCPLKQEFIGV TDKKAIKQLKTMNDICYNKVLEQVGQRRNQMLIFVHSRKETAKTAKYIRDKALEMETI GQILRSDAASRAILSEEAESVDDASLKDLLPYGLGIHHAGLSLADRDSVQALFADGSI QVLVCTATLAWGVNLPAHTVIIKGTQIYSPEKGSWVELSPQDVLQMLGRAGRPQYDTF GEGIIITAQSEIQYYLSLMNQQLPIESQLMSKLADNMNAEIVLGNIRDRDEGVQWLGY TYLFVRMLRSPGLYSVGADYENDDALVQKRVDLVHSAAVILERAGLVKYEKKTGRLQP TELGRISSHYYIGHNSMLTYSQHIQPSISNIELFRIFALSDEFKYIPVRQDEKLELAK LLGRVPIPVKEGIDEPHSKVNVLLQAYISRLKLEGLALMADMVYVTQSAGRIIRAVFE IALKKGWSSVAKNALDLCKMAEKRMWPTMSPLRQFPNCPRDVLQKAERIDVPWASYFD LDPPRMGELLGMPKAGRVVCDLVSKFPRLEVQAQVQPITRSMLRVELTITPNFVWDEA IHGHAQDFWILVEDCDGEDILFHDQFVLRKDYAQSEMNEHLVEFTVPITEPMPPNYFI TLVSDRWMHAETKIAVSFQKLILPERFPPHTPLLDMQRAPVKALKREDYQKLYPDWQY FNKIQTQTFKSLFDSDDNVFIGSPTGSGKTVCAELALLRHWNQEDAGRVVYVAPFQEL VDLRYADWEKRFSSVAGGKNVVKLTGETTADLKLLEQADLVLATPTQWDVLSRQWRRR KNVQTVQLFIADELHMLGGYGGYVYEVVVSRMHSIAVQIENGMRLIGLSVPLSNARDI GEWIGASKHTIYNFSPHARPVPLELHIQSFNIPHFPSLMLNMAKPAYQSILQLSPNKP ALVFVPNRKQTRSSAMDLLGACAADEDEDRFLNADVNELAPLLNRINERTLAESLSHG IGYYHEALTPTDKKIVSHLFAIGAIQVLLASRDVCWELDLTAHLVIVMNTQFFEGREH RYIDYPISEILQMFGKASRPGEDKIGRGVLMLPGVKRDYYKKFLNEALPVESHLQIYL HDAFVTEVSTKAIASTQDAIDWMTYTYFYRRLLANPSFYGLSDVSHVGLSTFMSELVE NTLKELSEAKIIDLDEEDDSVSPLNAAMIGAYYNISFITMQTFLLSLSARTKLKGILE IVTSATEFESIQMRRHENHILRRVYDRVPVKMSQVSYDSPHFKAFVLLQAHFSRMQLP IDLAKDQEVIVNKILNLLSACVDVLSSEGHLNAMNAMEMSQMVVQAMWDRDSPLKQIP HFGPEVVKVANEFGINDIFEFMEAMDPSENKDYASLVKRLGLDNKQLAQAAAFTNDKY PNLDLDFQVEDPENVTSGEPAYLKVKVEREVEEDEEPDATVHAPFYSNRKMENWWLVV GEEKTKSLLAIKRVTIGRKLELRLEYVVPSPGEHELTLYLMSDSYVGVDQAPTFTVTA AEGMEEDESEEEEE ACHE_60642A MRQFIFFLALFGALVGEIFAQQEVVFDCSQMPSVCTNMCWGIYC AFGNHNSEALLTYDAPDEETARQRRISAGCNPSGNRCGGGPPDANSCDEYPFATTREA DQVAQVNRCVPQTENSHQGQRLQELYSRLNAPEQFIIGLTHTDAAGVQFCNYNDACEN DGMIYQEDDVAPNPPNNAAVRLKYRYLLESGVEYISHVDSPAGKMVKRLVAVEGNETE ATDGEEDPDGWVWVEDYIYRRLAQDGDEEDPDWVPDYIYRQDAQDETKADTGKDGEDP DWVVDYIYRRQENETETEALDGEGEEEGPDWVADYIYRRRAQVKESDDDTVHEKDQDW VPDYIYRRDAKAEQSEAPTGTDDSDEDPSKWQWVEQYIYRRDAQEEEDETGVVDGEGK GEDPDWVVDYIYRRHAQKDETRTNLGPVSGEEGLKEEEDSDWVVDYIYRRQAGDGTET VDGEGEGEGEDPDWIVDYIYRRQGEGDEKRPVDEKGQGEESDWVVDYIYRRD ACHE_60643S MSTGKIPLYIMIAHSDDDEREIFKTFAEAGPMAEKVPDADTLEN TFDAIIHADKLDGDYWLQEYLIIVDRPEWKTDDGVLVINKDFKGSIDGLRMPASEAAI NITSMSIANTDWEEYISSSRSPFTWDPEVHFALYINSAISDNDTEEALGLAQGFMQDG FNKRKAGLGCSICPVHDIKPQNVWEAIRYHKRVARELGCNTRFGCSIELENWPGKRYV RVFRVGEPFDSFSMSVDMAGETLHSLAIGIKSWDDEKGLREE ACHE_60644S MTPNVDRSAVPGTVTLLDLDHVMSAQHANGNSDIVLIPTPSSDP DDPLNWSPRRKLLSTICVSTYTLFAGISTSVVYSVLVPLSENTGVSVSTINEGTGYLF LLAGWGLLFWQPFAMQYGKRLTYLISLMGTLGMLMWGPYIHSSGQWAARSILAGFFVA PIEALPEVSVTDVYFTHERGTYMGLYACFLAGSNYFAPVICGFISEYHGWKWVFYWPS IFCAGAIVFLFFFMEETNYARGLSNNTAGSDAEPFTADIERVNKEKAPDSSDVPVPGT MYPKKTYVQKLSLLGPRQSRNNMLRRLYQTLYYLSWPVVFYAGFSYGSYLIWFNVMNG TASIILGSAPYKFSTAMVGLSYVSCCLGAISASIFTGRFSDWLTIILARRNNGIMEAE QRLWPFAACLFILPGALILWGVGAAHDVHWFGLVVAMFLLAFVNTSGITISVNYLVDS YKELSGDAMASVMLVRNTMSFAIGYGITPWVDNLGYQNCFISAAFIGMACSAVFLIMM KWGKTFREHNRERYWNIVVENREKGMVH ACHE_60645A MSNQNHRSSRSPPRLRINPPSPQSFYAPSPIFTPSTLTSSSTSI RTPKASTTASTTPTTSNINNTITLDTVIAHLRTPLHPLVSATTGLQHPFFPKSLLSYH LLTSSQLDELARHYHQVTPPVLGTFSYPVTIPAWVPPRANGKRSRYGSEELMDVDLKT KRRRFGRFIGLRGCESPIQLQIEVDGESGNEYGYEDAVEEAMARIESEWEEALQRAAR EDGDGLRIKW ACHE_60646A MTIRPMKFSPEVLLGAPRRSGAVPNATGTLAVYTQTTYSFESHS KTNEIRVIDIATGRSALITNDPGSSNPQWLGDGDQLVWLKAKTNGNTAFIIGDAREAD KTYTAGTVPGPVSDLKVTVIESGKIGFAVTGKANPDGSLFNPHDAKKPLSSGRLYTSL FVRHWDTWIEPQRNTIWYGLLQQAPLTPANRHAGKYSVSGLTNLIAVSGLAGVESPIP PMGGAGDFDISPSAIVFVAKDPDLNPALHTSCSCYYSPMFSWTSMDTNMSQAKTCKVQ ALQGAMSSPVLSSDGSSIALLTMREDGYESDKNRILYVPNPWSGEMIEVFQSADGEGL WDLSPSGVSFAHDDKSLLVQVEEKGRGVLYQLPLENIKQATPDSLKKLTHSGSVTEVH PVAANSPKLLISSTSFVDNSLWTLLDPSSPNDVQIVSSIGRGGTAFGLLPSQVDEIWF KGGEDHPVHAWVVKPSDFNPSEKYPLAYLLHGGPQGAWVDQWSTRWNPAVFAEQGYVV ITPNPRGSTGYGQPFTDAIRNSWGGLPYEDIEKGFEYIENNLDYVDTNRAVALGASYG GYMVNWIQGHPLGRKFKALVTHDGVFSMTSQLASEELYFPIHDMAGPLWKVPENWDRW DPSRFTANWQTPHLVIHNELDYRLTIAEGLAAFNVLQARGVESAFLSFPDENHWMLNP ENALVWHKTVLNWINKYVGLPPLPGTSFEMFESSKGAPLEKQAAGMSLS ACHE_60647A MGGPNFGPDTKGSEVIDAFPHSVQKKTFILTGMGTSDLSTTTAE TLASGDAAALILIGSSNRAIQPVYETINTKYPRVKVIFITADMSRLSSVRGAADVIRK LEVTLDGIICFPTVIAGEWAVTGDGVERHFGVNYLSHFVLVNRLRGVMPDDGRVVVVG SSIRPDAGAWGFEDVNFDNGKTYHPLDGYAQSTFANVQFVKCLASPDEGRSMIAFCVN PGNTKTNLHTSVSPEQVASWLQRKKEVKEDLPLLLQQAPKSLSQGCATVLRALLDPGL KSQSGAFLDNCQVRSLPKIDFPAGEESSRQLWRKSRELAKEDCNSFA ACHE_60648A MAQYFFDLLYNFTDCMCCFPSTPQLKINNRSFKLLRLLGEGGFS YVYLVQDKSTSELFALKKIRCPFGQESVSQALKEVEAYNLFAKQKNIIHSIDHCVSTE AGSKFRSDGGDAGSKTVYILLPYYQRGNLQDAINANLVNHTTFPEKRLMALMLGVANA LKAMHQYRVKSNAGTTRKAKAVRREGEGADAELSMRMEAPKRRASQRVDDEEEENEPL MDDEVTRSQEGVQDGDLRPYAHRDVKPGNIMISEDGHSPVLMDLGSLAPSPIPITSRS LALAVQDTAAEHSTMPYRAPELFDVKTGSVIDTKADIWSFGCTLYACLVGKSPFEARS EETGGSLSMCVLGGDWRFPDEKSASKGKGKAGGEQQGEGASSSGASSISAQVKDVVRK CLEVEPADRPDIDELIQILKDVIQNLSDDAGSS ACHE_60649S MLAGGAGGNGKPMISPYTKSDSKLDDSSARFVRRYCIPSPAESL NPEYPPPPCLSSDSNAYVRAKANPMAPLDDVQVGDVVNVPGGMYGTVKYLGTVAGKPG KFAGIELASEHARRGKNSGDVEGRKYFSTTSPGSGIFVPMNNSKYVTKRAFSASVAGG VPPTPARPAVNFSKSVGPSPAARRPQVRRPSLPRPESPQRPTQSPAKLSLSGLRTPSG TARPAPSPNGFPRSPIKTPSRMSERPPSRLSTEDDVSSVSVRTSDIHQRDSMSTEIQD LKDNVKSLEKQLLERDKQLGEQAGLLSDFQSTLEELEGSDGHSIRSQLREKNERIAQL TQEFDLHRADFRSTLDTLEIAASETERVYEQRLEELLQQNRELQERDEDVEAVAQQLK QLEELVSELEEGLEDARRGEAEARAEVEFLRGEVERTKLELDKERGNSSGTHSAQSPA DGSLPSKELDQKDDEIRGLKAIIHSLSRGDPNLSALQLNGGASQDPAHLATLEQRLQE LEDIDDKKTSRIEELERELHQLQLDDNSRARSGTITAAPKTQHKPSSSTGNIGVANTV NHAHRLSDRTVVPNESQDHGGQHPFYAHHRTASESSSRQLETMHESDTDGQSDDGSAL WCEICEANGHDILSCTSMFGNNAKKNPASKEQPSDNEESPKNQNKPTPESSGAEDSGN SSLSNSMTSHGEPTGSQKTGRDAVLEGLKGISSGATSSLPPVAGKSSGVIDGSKWCAL CERDGHESIDCPFDD ACHE_60650A MLPPALNLPKWLEANSHLLQPPVNNYCVYHPTTPGTAGYTVMVV GGPNARTDYHINSTPEFFYQYRGSMLLKTIDTSTTPPTPQDIPIHEGSIFLLPPNTPH CPVRFRDTIGVVLEAPRPEGALDTMRWYCRGCGEIVWEKSFLCTDLGTQVKAVVQEFE GDEEKRKCKGCGKVADVRYVEGEVVQPGRFPE ACHE_60651S MTTSQPPLRFTGHKNFPYRLVLSTLTGRTVHISQIRSSSPTNPG LAQHEISFLRLLESVTNGSQMEISYTGTIIVYKPGLITGSAAGAGTSSGGVIKHELPA GCTRGLSYYLIPLCLLAPFSKAPLKVIFTGPGVITSSTPTGDMSVDSVRTAILPLYNQ FGIFNNIELRVLRRSNPGPNGKGGGGEVQLVFGHQVRLPKTLHLLNGGRIKRIRGVAY SVGVSGSNNARMIETARGVLNRLCPDTYVFSDMSPAPLVPAPERNNPTAKKKIGLGFG LSLVAESSTGCLFSADVASPPEGGQPPEDIGKQCAYQLLETVSKGGCVAPPAVATMLT LMTMGSEDVGRIQVGRDVIADENTVQLARDLAKFGAPGWGLRDAAGENEHGDVIVSVV GRGIGNVGRKVA ACHE_60652A MFTPPSSSQSESIMLKGDPPLRFSDRLHHFTWAWYTLTMSTGGL AVLIINQPNTFSGIREIGLAVYIFNLILFGLVCSLMAARFVLHGNLLDSLRHDREGLF FPTFWLSIATIICGLDKYFGDEPGASFTRALEVLFWIYCACTLLVAVIQYSFVFTSHS YGLQTMMPSWILPAFPVMLSGTIASVIAANQPPRSAIPIITAGVTFQGLGFSISFMMY AHYVGRLMQSGLPNREHRPGMFICVGPPAFTALALIGMAQGLPEQFDLLNDGDDLALD GRVLQLLAIAAGAFLWALSLWFFCIAVIAVIRERPTAFHLSWWAMVFPNTGFTLATIS LGNAFNSPGVLGVGSAMTIGIVCMYIFVFGGHVRAVLKKDIMYPGKDEDVSE ACHE_60653A MAALRTTLQRLRPGSSPLPQSFANRAYQPALRPFITTPRTLNSN TEDDIPNINITNYYTLFPSTLPNGPPPATKFDIPLRTLRAEFLSLQNRTHPDKFPPGA PKQKAEALSALLNEAYRTLSDPLTRAQYLLRIQHGIDVTAEESAATDKQALDPETLMA VMEVQEKIEELAEEEGSGEEAQKVIEDMKGENRERVEEGVKVLGEMVEKGDVEGMRRE CVRLRFWYSVGEGLKEWTPGVREIRLVH ACHE_60654A MMHPARQAYVEEAEDDGINLADLPVDRDYELPPSAAGIPAERAS AILSQFERKRRAAAMAVPTDDNRVRIRLRELGEPITLFGERPVDRRDRLRELLTDLSD QQQAAAVEAGMDITMAEAEDEEEEEGDQQEEFYTDGGDALLEARKDMAKFSLPRAKAR VARQREESTIPLRTHIKHRKAIKEKIQGFDLYGSQIAGDRPVSICRFAPDGQTIATGN WSGAIRLMSVPNLEEKGNRKGHTDRVGGLAWFPGATLPTSNVSESSVNMVSGGGEGNV ALWSLDQEQPLATLSGHSGRVCRTEFHPSGRYVASASYDTTWRLWDVETTQELLLQEG HSREVYTVAFNNDGSLLASGGLDSIGRIWDLRTGRTVMILEGHIRDIFGLDWGVDGYR VLSGSADGWVKCWDLRQVKNIGGIGAHKSIVSDLRWYKGAESTGYLPTTEGGMDVDTP TLTTKEPETVQPKKSGTFFVTSGFDKNVNVFSADDWSLVKTLSGHAGNVLSTDISDDA QWIASCGHDRTVKLWGIE ACHE_60655S MILNSPSLARACCSASCSSLRAGARERLISAVSTSTSVPARRLR FFHSTHLRASNPASPSRINYNVAVSSSGKGHRFHPIRNAYNFDPAVQDSLGLTTEKSP YQRRKRRPDSGADAFFVSKIGQAQQAEKNTNGALAFAVADGVGGWEEHRVDPGDFSHG LCGYMAESALSWTSPAEKLRPRQILEMGYDRVIDDKTIPAGASTAHVGVALPDGRIEL ANLGDSGSVLLRRAAVHHYTPSQTHGFNTPYQLSVIPQRMRAQAAIFGGAYLQDTPRD AAITNLHMQHGDVLMLATDGVFDNLNNQDILKIINRQMILAGAWTGSAPDYSIKVSDD LEQLTKRGGLTHLLPAGAEGEDERPAMEPYTLSSFLAASIAGNAKVASVDRRRDGPFA KEYQRYYPYDHYRGGKVDDICVLVVVAVEEGRQQQQHADS ACHE_60656A MAEDTPTASAPAGEQVAEQRAPDTETKPTEQPAAEAPQEKEAEK AAEPEKEKPSAENDVKPSEGKEEPAEEKKGPAETSAEQPSASAEATDAKESQEAAATA AKTEAAPQPEANGTPASTKKSAKRKSGGIPEHKSKPNRRKSIANQVQAKPGEYYLARL RSFPPWPSIVCDEDILPQSLQESRPVSAYRADGTIRDEYAEGGKRAHERTYPVMFFQT NEFAWIQNTALTPTDPETCKNVSEKGKSKQLLSAYHVAAEGHGLEHFKTILADHDAAL QQEIEEQEARAAAKAEKQAKKNKRKSMDIVDDGAEDIEMEDADDTKKPKSSKKRKKDA GDEEEKPAKTPKTTTKLKLTTPKTPTEGTGKKATGASKSKQSAKKGRKAAGSDEDAEE TGAEAKEPEKPIDPQELKNKREKEVLYIRHKLQKGFISRDSPPNAEEMTAMSNYISKL ESYGDLEVAIIRVTKINKVLKMIVKLNSLPRDEELQIRQRAMTLLSKWRHDLEEVPTP GDKEDKAKANGHTKEDSVDTPTKEEEKKLNEESNEHVDEPMPDVDAAEKPKDSEEKPK EAEAPKEGEVKGEEKAEKAEEKTEEKPEEKKTEDKPEEKAEEKAETEKAPGAEAKDQP ASTDKLAEEQPEKQGEKTEEKKAEEKAAEAA ACHE_60657A MGVGAVLEPLVVIVLLFGGTWINRSKRFRTRRTTRWQKDNTSSR SSSPDSLESGQAGYADSDQDSLLGSPTAWSPSLLHPPEDRWRKRQIRFFTATFNITTP NTVVFQDRLLSRVLQKFPFLVEVWYWALVYWTYQLARAFTALTLKNDTVDVARKHALQ LIKIEEKIGIFRETSIQGFFLRHPALLTWINWTYSFIHIPGTIAFLVFLYYYTTARNR IDEPQEGKPWDEASESSAGPRLYQARRRTLAVCNLFAFVVFTFWPCMPPRLLSDQSVE GPDGELGRSYGFVDTVHGANGAGSVWTENRFCNQYAAMPSLHFGYSLMIGLTIMTIPL PHRHRRALAGSSSCARIFRVSWSRLVCCLVGFAYPFLILVAIVATANHFILDAVAGAM ICALGWSFNGILLNLLPLEDYFLWLVRIHKPEPSVVGSLKDAEDWDDNTPDATLPS ACHE_60658S MLSTPRLATSKNLLGLASRQLFKAASVAPARGFSTTPSSEMQKD VTLLQDKANGFGFARSNPRPAKPRSTGVTEIRGPYYSVMGKRYLADVLETMGTHVDGL KFAGGSFSLFQEKPLRELIDLAHQYGVYVSTGGWAEHLLTHPDANSVFDKYLTKCKDL GFDVIELSSGFLSFPDDDWLRLVDKVHSYKLKAKPELGIQFGAGGDTPASGLEAIGTS DPGKLVNLGHKFLNAGVERLMIESEGITENVDSWRTDVVSRIMKELPQERVMFEAADP KVYNWYIREFGIDVNLFVDHSQIVQLSCLRHGIWGTADTWGKIVSFRPE ACHE_60659S MLFFLQSFSEKRSTSHLIMNLSLALLLQILSASKTSSAGTSTRL RAVGGSFENATTSKIVQEDRSEIYSWIKKALTVNKVIEDVKKQKFNFTRDDFQNTTSS LWTRDSPIFIHGLLKIFILFALQVYLFTGARIGAFVPEHKYRNQRGLRYRVPQ ACHE_60660S MDRKKEIQALFEQSRQSFKKAFPVSRRIQQLEAYLQDESLSHQH VNIHAALHMYKTGQLEYGYGYTLFVEGQVVPMDAIPKDLMMAPKWAEGLGTQLGSTAP VPPQPKALETSKQPQVLEENSQPEALGTQLAHYTSYYANGTGTHMMAALVRLLPPFGG DPNLVRMITFINDTGSSFLSLDPYDLLALGHTPYYAGNLGLTDLLTANGTVQRPIVMV EMQLLDVDGNPVSDWFVEHAVRAPLFAGTERLSGQGMRNFLYFGTAPGNGSLYIAEKK HRLVRQLPTV ACHE_60661S MPSSRASSLYSSPVHHYPAPPKLDLADAHSQLYQTPSTTSASSS LFQTISTSSCKRSCTGSSDKRCSLHDFSAAATHDGDDHLYRSSWLGRDENVAGIEYDH PDIHGELPLAPPVDASVDLLSSHTGNGRKRSHQEQQEGAQDKKDITLSAPPASWGQSV MNVVGKVWSFCWSGAQPPQYKQHFLAVLTLSPPKFFFLPKLPSESGYIYTISLPSTTI AIHSRFTEIVINA ACHE_60662S MPRAGTSTTPGARLRCRRACDSCKRRKQKCNGEQPCTICMQRRK ESECHFSDKPARMLRPNGTKEAMLLSEHMVSTPQRQTAMDHLLNSLEDRSANIEQHQP RDDKDGTAPVPKVARLLRDGQGKFMYIGDSASLSFLQSVRRIVSASIGRCEFTEDNSR HSMLEAFQSNPSMQAGPLMEPPKNEEAQRLAGQFVLATSPLLDLFDLHEFHPRLANWI ENPRGDEDTVSSIFYLVLAIGSQVSTTNQTLAEQYFVSGRQLAFSAFTETPSLYTIQS YVLISMYMLGACRRNGAFMNLGTALRAAYAVGIHRKDANALFCDRERRARERVWKSLR MMDLFLSASLGRPLATSDIDHDPREDAMPSAEQQQQQTPQEQLSVAVVTLSRIFERIL TDVYMKQVVSIHVAETISNQHRAWVRSLPVFLRMQTERLDSKTLDGTLAAAHVFGSYY WSIILLTRPFLIYRVSQYVKSKAGPTESRSSNSRIALFADACVYSALRGLDIVDDLAK FTSLPRRLPFLINSVFNSAIVLGAAFFADYDNLLPLEEGMDKAQKFLELFTPHDPHAC RFSQIIIYLRGAVAEYVRRRNRQWMERRSKQVDQLFGQVGPEPAAPSPLTPDNNISRS SSFLSQQHPPDMPTTTATPTATPHNHPTSNDVWDTLCGGEGPSGGLPYDPATISALTT TGIPVGCSPGGTIPPLQPPPPLSSSSGQLATDVTAPTRVEQDGHTPISDVIFPDNGLL YMAEDLPVFGIWEDA ACHE_60663A MGFLGVYTAVYDYHPQAEGELELREGDLLYLLESSAEDDWWKAK KKADREDEDEPEGLVPNNYVEEAQPVHVAKALYDYTRQTDEEVSFSEETELVVYDTSD PDWTLVGVNADYGFAPANYIEIVADHGAAAETSEPAAGYAAEPPAPALPQRPPVPPTA AEPEEEPPAQPPRSPVDTAQNPAAAAIADIIHKQNASPVEEHEPPTPRAIPPPPQPTY EPEDNYRNEPSPPPPSLPQRPPSQQVSPPVRQRSPPAALPSRPQIVAIKDDDQEGHVK ESPPYNRVGQPAPRSPSGYHMYNINEMVEAMGKRKKMPTTLGINVATGTIFISPEGDG EHQEWTAEKLSHYSIEGKHVFVDLVRPSRSIDFHAGAKDTAREIVAALGEICGAYRAE GLKEVIAASTGGGGNKKGQISYDFMAQGDDEVTVAEGDEVVILDDTKSEEWWMVRRVK NGKEGVVPSSYIEVTGYVSKEPTGIESGLSTVEKNRLEESRLAKEALRNARTDSIDSK GSDRQKRENKAGSRPKPDSSKVRRWTDRTKTFTVDAQFIGLREGNIHLHKTNGIKIAV PIPKMSVEDLEYVEKATGVSLDEDKPLSDVRRRSNSRAEADTRKAGASFQQSDYDWFD FFLKAGVGPHQCERYAQNFIRDSMDESVLPDITPENLRTLGLKEGDILRVMRYLDTTL GRTGSKSKARNVSFGGEEIIGNGEDGGPSGLFSGPGGALHNNTRKGRPAPAVQTGDVV DPKVFEQKDGTKPQEQVETSSTPAASEKPVEGGFEDDAWEVKHPKQPATSAPAAATAP ATAAATSPTAPATTSPPPAPGPTPQQLTGALADLSILHPPLQPQPTAAPQPQPAAPIV QPQPTAQPSPVSPQQPQQTGASPNFFAQLAQQPTGLQQPSRQRPQPPQTVGQSSLLPP PPPRPLSAPQNFSQQPTGFGATPLQPQLTGIPQTGPQLAPPGQSLAELSQQRFQPQPT GFQPQPTGLQPQPTGFQPQSQFGIQQQQQLQAQQPGQFGFQALAPQPAGFGGFQPQPQ QPMATGINSMLPPALQPQPTGLQPQPTGLSGFGGMSYNTSSPPVPPIPQQPTAAPLQP QKTGPPPSVRFGVKPGETKKLAPQPTGMKANLAQATPTNPFGF ACHE_60664A MTRRTRLFTPLLALTHATSGAWAGKKMFNIHDDLLAHPQYQVRF PDDYVLESQADELLRAQHASAYAGANVEQKQAQVYMSGSNKANRDGEDGEAPEFSYEA MNLDGQRFLCEIPRVDLDNRNQTKANEPNEDEEKRELARATDRGLELLRELEGKCMYY VSGWWSYSLCYKRQIKQFHALPAGGGIPSYPPMEDPTTHSFVLGRFPRKDDRSDIDEE PMQKRTATDVAELQTKGGSRYLVQKLEGGTVCDLTGKSRKIEIQFHCHPQSTDRIGWI KELTTCSYLMVVYTPRLCNDVAFLPPQQDEVYPVDCHEILAHKEVADWEAMREYQLSQ KLVESGIPLSDIPIVGDIEVGAQKLVGAEGKRIEKGRVASVGEEKVDIVAKRENGKVQ VLSKNELKKYDLDPDKIETLKRHLEKEAKGRDWTLEMVEVNGERGLRGVIESDEEEED GSAQVPDESEQIETPAAKDDEKSQKGQKQPEPEPAAEVGNSNEPEDSPGEAEQGSEEV FFRDEL ACHE_60665S MKLLLSFSICILAILLSYTYEPDQIKDLVYYIQERVPATLSQYF NTNSTITPPNTEYTPIVHPVTEMALPRAIRQAFLAIEQGEGAGARVRRSIGTMKLRNF SPFLMLDHFTIGKGAGFPDHPHRGQETITYLLSGGVDHEDFAGNKGTIGPGDLQFMTA GKGIMHAEMPHENPDGSPNVGMQLWVDLPAKLKMCEPRYRDLRASEIPSVTIDDGRVT VKVISGQSHGVDSVRDLAYTPVWILDVNIKPGGKITQPLPQGWNAFAYTLGGTTVFGS NDSTKLIKEYHNVVFEQDGGYVEMSVPDNAESDSRIFLVAGQPLDQKVVQYGPFVLNS QEQVYQAMLDFQTSSNGFERVRGWESEIGKRMAW ACHE_60666S MKLHSFALLSTLLGSALASTNSSEGLLKADGVSLGEWQEAYRKA SHFVSQLSTAQKIQLITGNDVNTTDDTFKALQFLDGSMGLQDYFYASAFSQSSALAMT WDREAMYDQARAVATEFYLKGIQVVNGPTTQPMGRTVWGGRLVETFGPDPYLNGIVTG LSTKAYVDTGVIAGAKHYILNEQETNRTSGGGGPGGAGGAPGGMGASNSSSSSMPPSK RASGDSNSSSSSAPYSSNADDKTLHETYLWSFYDGVKNGLGAVMCAMTKVNNTLSCEN SDILRRLLKTELGFPGMVFPDTMAQQHALASAVNGLDYGSSSLWSTSTMKQFLSNGSL PQAQLNDMAIRNLIAYYQVNLDNDTQPATADKDAYVDVRANHSKLIRANGAKSLVLLK NTNNALPLNKPHTMAIFGAHAGPATAGPNTEFSVEGSGPTYQGHLATDTGSGQSSYPY LITPQHALTSKAAEDGTMIRWILNDTYSGGSGSTLVMQGSDSTAVTLSISTYAENMGV CIVFLNALAGEGADRTELYNVDQDNLVKSVAENCNNTVVVINTVGARLVDQWIENDNV TAVVYGSLLGQESGNSIVDVLYGDVNPSGRLIYTIAKNESDYNVDLCYTAQCNFTEGN YIDYRYFDAYNKTPRYPFGHGLSYTTFNYSSLHISPPTYLPAYPTGTLSVGGPTDLWD TIANITLTIKNTGSRAGAEVPQLYIEYPEAAKQPVRQLRGFERVEVEKGESVEVKFGL RRRDISYWDVGAQKWGVVGGKYGVRVGASSRDVRIEGEFEFKTV ACHE_60667A MSGTSPSLRKRGGKKEADYASDDASSPLLAGQGGSVAPKRQSEW SYRLAMAILTVLAFVTRFWGISYPDEVIFDEVHFGKFASYYLQRTYFFDVHPPFGKLL FAFMGWMIGYDGHFLFENIGESYIENKVPYVALRAMPATLGALTVPVVFQIMWESGYS LPACVLSAGLVLFDNAHIGEDRLILMDSTLVIAMALSILCYIRFYKLRHDPFTRKWWK WLLLTGVSLSCVISTKYVGVFTFITIGAAVVVDLWNLLDIRRPRGALSMFQWGKHFVA RFFSLVILPLFFYLFWFQVHFTILNRSGPGDDFMTPEFQETLSDNAMAAQSVGIQYFD SITIKHKDTKTLLHSHWERYPLRYDDGRISSQGQQVTGYPFNDTNNHWQILPTVPLAD GEVHSVKNGDIIQLRHLGTNSILLTHDVASPFYPTNQEFTTVTQELADGERHNDTLFE IKIENGKAQQDFRTLSSHFKLIHVPTRVAMWTHTTPLPDWGFKQAEINGNKNILQSSN LWYVDSIESLPADSPRLSKEERKVKQLPFLRKYLELQRAMFLHNNALTSSHPYASEPF QWPFLLRGVSFWTKESTREQIYFLGNPIGWWIASSLLAVFAGIIGADQLSLRRGVDAL EEIWGPGTRSRVYNSTGFFYLCWAAHYFPFWLMGRQRFLHHYLPAHLASALVAGALVE FIFNLQPISVNQTTTEATDDPSGKSRTAAPRRFVQASERMGTKSIVAGWIATLVILGA TIYGFWFFAPLTYGTPGLDVTGVNARRWLGYDLHFAK ACHE_60668A MVELIHPPDPRTLLPPILACLPTAFVSPRPPPALLPLLSPILRQ RVQFLTSVSVSPSDSWLRLLSWKSEKGEELQALVDGTNFEPHPVSGEIELPDELPVSY KRIDEETLHAQVALPEYCLKAIYLWCAPDQENEGPGWRLAELLPREGAAEDEETWSTS IGEANAQSKERLMEDALRAAENDERAKQNGQGQQEQEEDEDDAAYWAQYDATPGRTPA VKTPAPNPISSLEQQNASEASYFSRYGDVQPAMDNHDPEEEQPEVGPTSLNGDLLASL LQRQVNGSDTTDSARTNGYAPGAANEESAMSLNHPRPSSDSSVSSDAVAKLELEAENR SACEVGVKQHITSNVKSLFRLAKSTGISRTEFQSLVKTELDLLDVTDADE ACHE_60669S MIDTVGSHVDTAAGKDHPFEESVGNRNATIISNDAYSRLQPEEF TSLEKKVRLKIDIRLCTIAGTLCCLNLLDSGILSSASVTTMFDDLDLHGTRYSVSIFI FTIVNVIFKLPSTVAVRFVGPRLWLASTTFCFGVITLCTAFIHTWGQMIALRVLLGVA MSGIYPSMTYLVSVWYTRREQQLRFAAMQSGEVLGLATGNIVNYALNHLHRKGGLEGW RWMFLVQGLIACVIGIGTYWWMIDFPEQAHHSFRFLTEREACIASQRVQHDRGDVVAE PFSWSKVWVCFADPKLYGFSVMFFLLNLVSTSLSYFLPIILQSGMEFSSNASIILSTP PYYWAVIPVLFTSLIGDAYCIRGPLIIFNSFCLVAGFLMLGLPSQVTVRYIGTFLATG AYVSNWAVLNAFMANNIVGQWKRATVAAAVAACNGLGGVAGSYIVRQQEAPRYPTAVW VSVGSHILMIGIVGVFTVYFFLCNRRANRGETATFKYTY ACHE_60670A MSSMQQRKAEILAKRAKLAELKRQRELRQQEFSLSRANSGEASE VVSPVPSRSDSRAELDDLISRLVDRPDGTDGTSRKDSRPNSVLSASQISGENTESFAP STRPTSTSIAVQTVSVEASVAAPELPPAPTPRPEVVTYSKGIQTDDLGPQSDSESEVE SESGDPQDPTQPKRRSKKDRLRDEEIRKKLRKEIEEEIQAAQASADQDAANQSSQLRY PLRTLEEDELKAVTSSEDFLDFVDRSAKVIERALDEEYDVLADYELRGIDGDMEEDEE HGKRRGIKEVCQFWDERWSKKRMISDLSFSPKFPELVLASYTKNPSAPHEPDGLVQIW NQHLHSRPEYVFHSTSDILTAKFSPFHPNLIVGGSYSGQVLLWDTRSSRAGGGAPVQK TPLTGSGHAHPVYSISIVGTQNAHNILTASTDGVVCGWTVDMLSQPQEYLELTSIPPS KTEDIAPTTMSFPQSDPTFFIVGTEDGGIYPCHRYDRAGAKAGTDRRLAYRGHTAPIM STAFHPARGPVDLGDLMLSSSLDWSVRLWRVRPPATTASATSIAAATQVVSPILDINR EDIVYDAKWSPHRPGVFSLVDGAGHLEVWDLYTDTEVPVVRATPSTGRAGIITRSLNK VAWEEREGRRLATGGLDGVVTVFEVGKGLSGTPEDVSSEEWTGMKRLVGKLEQKDKVG ACHE_60672S MSTSQGVNVLRYSALAAGLAYGVYHQSSLNSQARRAEADREYAR KAALIEQAKAEWKKKTTPQEPQTQASGVISDPADNRFDLEAYLKAKLGE ACHE_60671A MDLPPLTQEQLSSILTSAATPSELYDTLSQYEGQALLLADSKGD QELLSLFYSFFFFSHLLLEELSEARMLTKRIPQNLVENNSLLQNCTILLRAVWQRSHE HVYRYLREMPWPEPLEPLVQRYEVYFQNKTLEELSYTYEAIRPETAANYLGLDSAAAQ QGNPDILQKFTNCGWKWDGEAKLLHPKPIAPAHGPDDRQVKGLREVMALLGKS ACHE_60673S MADAPVDVLLKGSSGKNIRGLLRIIILVTIAAAAVSSRLFSVIR FESIIHEFDPWFNFRATKYLVQNGFHSFWDWFDDRTWHPLGRVTGGTLYPGLMVTSGA IYHLLRFLTLPVDIRNICVLLAPAFSGLTAFAMYLLTNEMSSSPSAGLLAAAFMGITP GYISRSVAGSYDNEAIAIFLLVFTFFLWIRAVKNGSIMYGALTALSYGYMVSAWGGYV FITNLIPLHIFVLLCMGRYSTRLYISYTTWYALGTLASMQIPFVGFLPIRNSDHMSAF GIFGLLQLVAFAEFIRGYVPTKQFQKLLTSMIIITVAVGFVGLSLLSISGVIAPWSGR FYSLWDTGYAKIHIPIIASVSEHQPTAWPAFFFDLNFLIWLFPAGVYICFRDLKDEHV FVVIYGVLASYFAGVMVRLMLTLTPIVCVAAALALSQIIDNYVTISPRQAQAKSTESS SESLRSGRSPVVGIYSYASKAIVTSSVVIYLLLFVAHCTWVTSNAYSSPSVVLASRLP DGSQFLIDDYREAYYWLRQNTPQNAKIASWWDYGYQIGGMADRPTLVDNNTWNNTHIA TVGKAMSSPEEVSYPILREHDVDYVLVVFGGLLGYSGDDLNKFLWMVRIAEGIWPDEV KERDFFTARGEYRVDDQATPTMRNSLMYKMSYYNYNSLFQQGQAIDRVRGVRLPAEGP QLSTMEEAFTSENWIIRIYKVKDLDNFDRDHMSAAAFDRGHKRKRATKRRGPRVLRTE ACHE_60674A MPAGIFNSTYYGKDYRAGAALLRARRPYLVKNAVTGLALCGITV GVYVYTLRAVGQEDFSDVQVPDTPAEPKKQ ACHE_60675S MDPSADPLAGQVAQLNAARTLVLGDAAFYPQIVNGILPIIGANS RLELRRWGAEFLAETFASPVLASAQKEQLAANVLQTIQDLLGLPDGDTVVLKHSVETA ASLYPLVFRHIINRPEDTGAWERMTAIKQEILRQWDLYPYPVKICCIKFAQRVVQVQT HGTISDPRRPEQNETSLAIVPKNHPVLALPHLEAEASGLLDRLLSVFQEDSSDPLLVN ATLNCLAVLIRSRQSIGSKVVNAVLNFYPARQVQGPLTPVIRVGVKSMERTARALLIN IIKRNPNHPLTGKMQQHIERLMQSRLEVADDASRKRGLPAEPTDGLDNAKRARLDAQM PPLLKIPPLPPGPISYQQLFKITEDAGLSSFDVKQLPIDLLVKIVVPLLARADQSVMT QAIDAVRVRYQTVSKQQAAERQSAAANAPAAEEEDDDYEPEYQPMDVPETAEQADAVS TEVADLQQPDLVSLGPFVLPQPPPLSEDEAGEIGRNAVGRVFEMLASTEVASKPAQNK PQRQLGFARLAGSTFDRDAWITLLTRLATRAPAGLELAGSGGQNRKPAISDSIRETLY RYILEDFRSRVSIGVTWLNEEWYNDRIQMKFAASQHGEGDDDEAPTVPLHYDHWVLRL LDGFLPYLDSRDTKIFIRFLSEIPEVTIPITQRVASLAKDPERVNLCVQSLLYLIMFR LPAREICLNALEDVYRTYEESRPSAGKILARWRPHVIEQSQPDQQQQASLVSRPAATT EASASATSNPSG ACHE_60676A MEVAESREPTSVGPSARTGTNDANGAQNPFLDDSLIDDGRSSSL SEPDDVSDHELSPYGSPKLRPVPENDSEAETERVEDSPYKKGNNIVLSASHGPSPSKL AHSTTYDDAEEDDEPVADDSPSKSRTKKNGIAAALDETPILDDSESGKKRKRLGSLDE TGTDFGEDEPLKKRRGSIKSDLSDPPPDDLILSPVPIEEPPKSNEDQTPADDIPESDL PSIPSKAKKGKKGKRKGRKVRDADEETEVGGIEGDDHLDDDDTAERADEPDDAETTAK QEEESSKKMSAMESLATLEKEFATLRDKIYDERISKLNRELEMLTGPNPTHPEYLRQL ECVQRHRDAKIHYEQTLYRYRMSALMNKSLAERAQIHSTFFQRVRDTREKHASSISKQ FYAIQHDRFKTEEISPHHSIPFPTRRSQQIAHQAAYNQEVSVMAGVAKYVGFPAAPSL AAARPSEIDEDMEKMGIATETRSAVSQPQTNFPRMTAMSSNAFRTAAEEAFLEHTPWA NPQHPVHQQQMQQRPQNRVFEQPRAPPLTTPAAQKRVVDIHAPNGSASTIAENSSANN TPYGTEQEPQSHGYNPFGNHDYDADRHSGFRSPSASPLDVRKPHLHWNNAFDSRPSQE FRSDPASRNMAFSPPSGRLGLFHSAATKREPSPPMHSNPIHQPRGIPSGSSSNQMTAR ACHE_60677S MGKKSKSQSKSWSATESQSAAAPSTSFPFLVGKASVDTTVASLF EKSAGPVHVPSILTTSASKRKEEEPEFQDEQAEDEDEGSDPAQDDGSADEDEEMQEAP SENEVETSEAPSRKRKRGTATDDLEESYMRRLAKEEQKANDKRREEKAKRQKQDKEES GGESEEEKDEDESASGEDGEPPVLRHEIQTGGDAESQEIEKSNRTVFLGNVSSKAIKS HSAKKTLTRHLESFLSSLPESTGSHKVESIRFRSTAFASGGKIPKRAAFARRELLDET TPSTNAYVVYSTVQAARKAPAALNGTVVLDRHLRVDNVAHPAATDHKRCVFVGNLDFV DKETDPEEEENKKKKNKEPADVEEGLWRTFNQHTGKSSANKNTRGNVESVRVVRDSIT RVGKGFAYVQFYDQNCVEEALLLEGKKFPPLLPRKLRVSRAKKVVKKREPPSNEKLAE SRKTLQGRAKKLLGKAGEAQMRAKDKGSIAQNSLVFEGHRATEGARIKVKTKSRGQKA KKNNRSSQRAAAYKAAGGKKK ACHE_60678S MRPPMQRHTLRPQPDQTSDQRSDSDEFVESEEASDSDEMEFPAP NIETSSESESEDTVDSTYYPSISDTLTVRYLLQWKVAAGGIPPEIVDMIIEAAEYWAS TEARIDGKIVIRQDGDRELLRTAPLYHEKTSDGSLSKLLPHRLAHPCRKIVFSLRCHD QGWGGEPGCRGTYQGSYTWFDAEVVSIDYEHEESKKAGTKPGEPNFMPKGNKLQSNLA ATGRTQNYTITWHHLDDIQPGTAEAEEIEKTQGRGHATLDGRRVREMRPGDSISVWAR ARFGAWANHVQALSVRVFWAV ACHE_60679S MTAQKCAAVVVGAGPAGLAVIGNLLERQLAGKIAWIDPSFQGGR VNRQYREVPSNTKVSLFQAYSTAVQPFRNVISSTPTPNPFATLAKLDQDKTCHLHHAA DMMRALTDGIVKMDQVYACRGSVVAANQTRNANWTVYVQRPGSSDEIQLITPRLILCT GSHPTSLPVPVAGLDNLQRLDLDVVLKPSDLVSVIPRCHSQTVAVVGASHSAILALFN LFDLARTTHPQLRVKWFTRHPLRYAEFMDGWILRDNTGLKGMAADFARQQLEDEVLSK SEAGRFISKIDCSGGPDREKKQMAAHLPSCSHLVQAIGFTRDPLPELSIDGYALDKPQ FDHDSGGFMTESGRAVRGLFGAGIAFPERVVDPHGNVEYAVGLWKFMKFLKKVTPQWV VASP ACHE_60680A MPMQEDRPSIMFLKFLADNAADSTKASKTRGLTFRKGYYIFYGT LMDPSLLSKVLQISGSPKMRPAMVVGYHTKLCGQYPALSGGLPLHTVHGVAYEVQSQE QLDRLVIYMTATYNTVPCLIQFLDGDQADDNRFG ACHE_60681A MRSNFYLAISALATLAAADNPNAFNIPNGGYKFEVGEPTTLKWS PTTDGTVSLKLQSGEVSTPESGSTIASHIDNSGSYTWTPSEDIENSGYTIEIIDDSDS GESNYLPRFTVSGATASASASSSSTTSSTASSASTSSESSTSASASTMTTMTNTTSSP SATASTTTTGASSTVSNTSSTSSAESSSSSASASSSASASSSSSASAADATASDTSAG MINRVSGGMMAIVLGAIALM ACHE_60682A MSSQGFSFPPPPPPPPRQQQSQQQHNHAPQYGQSYRGQRGGRGA GGNSRGHGRAQVNKGGGRGGHYAAPSPAYSTNPAPPSVSYAPMNYAGFPTQPLSTAPT PAIAAPQYGSSYPPTSTAFTSPQTFSQPTAAQVSPYQHQTTSYDAYNQAMGQMAQAYA AMPYQHASPGRPWGYGQTAPTGTFPGAHQGNAWGPQPFNNNAYGNNMSNGDKLMKHHN KRDHPSAFGKPQSIAPRVPAPPPVPSFGNPLPSKPPPPSDVTWKPKKKRKHNQLGLTP KTEDHESSEEEDDVDEESKLASGSGGTANAPLRFTYRGRTATLKTPEEIAAWIAERKK RFPTQARVEEKKKAMEEAKKAREEAMKQKRESRKQETTKRPQKDTRERQQQRIPGDPV DAVAKATEKADKLRRKLEREQKRVAKAEADAERARLKVEELRKESTGLSKDGDVFQEA QSSATPAGHVSGEPAKPVHDKEAAKVTDTTDDNDWTSSSGSDESSSDSDSDEDEYADS DGSAPEEMTSRREGPEKVPPPPRTNVRKRVCRHFARNGRCLRGDDCNFLHELPERKAK TKPAAPQQKEKGRKGLLQMLLDRQEEDDNHRIMEAIMALGENGLLDEPTVSENHRQPP NPVNGNATTVSDKDNAATAATAGIEPGIQVPANQEEIIAGPTPTADGDLASAAAIS ACHE_60683S MFILTTISDLIQISPEDFSKYSAVAIEDNINEKYANKVIQKIGL CIGFYDLLESSDGLIGHGTGLVNVNVKFRLIVFRPFKGEIILGKISSATEHGIKIGVE FFNDIFVPPDLLLEGARFDYADQVWIWDSEDGSSFYFDVGEIVRLRVEMEEWHDQIPN APDLGDTAATERKPPYSIIGSMQMAGLGPISWW ACHE_60684S MTAHSSANGHISASDKARFGTLAVHAGSPHDPTTGAVIAPISLS TTFAQIDVANPVGRYEYTRSSNPNRDNFEEAVAALEHGKYALAFASGSATTATILQSL AAGSHVISVSDVYGGTHRYFTKVASAHGVDVSFSHSIELEVQKLIRPNETKLIWIETP SNPTLGLVDIEKVAEVAHTYGIRVVVDNTFMSPYVQNPLDHGADLVVHSVTKYINGHS DVLMGVAAFNSDELKERLTFLQNAIGAVPSPFDCWLAHRGLKTLHLRAREATINATAV AKALESSPHVISVNYPGLDSHPNRAIAIKQHRNGMGGGMLSFRIKGGKKAAHRFCQFT RVYTLAESLGGVESLCEVPASMTHAGIPKEEREAAGVYDDLIRISSGVEDAEDLRADV LQAVEKAVTASS ACHE_60685S MAFSFGFSGDDIDIDDSEVEQPVQVNSISAPVENDLPEPVAAKR HEMGEWVSWIPNL ACHE_60686S MAEDSEQDNEELISGLEHGDLKPNFYEGGFKTWECALDLAKRVV GDGAVADVDGDCHIIEVGAGTAVPSLALFARLLSASTQQRKTHFTFADYNAVVLRLVT LPNLLLTWNQHREKHSASTNAPSSEQQEPGQDEEELDVTPELLEEFQKDLAKRGITID FISGAWSPAFVDLACSNSPSGNHRTLVLASETIYSPLSLGAFTETLLELLRRSGTTES KSKALIAAKKVYFGVGGGVDEFLAVLRNTSGDEMDVKELVDVKSEGVGRVVLEVTQAE VMKT ACHE_60687A MFFARRSVASMRPLLRNQQPQRFASHAAHAEPVSEGFGPSFYVA VGTFASSFVLYRIHKSIQDAGEQSWISNLIQKWTPDEKVFEERNAIHTVAMEKAAYDR HLFQSQRPAQAYDLKQPEVSFNSGAPWNVSAGSQANLSAVAAHYDRQNQKVEESRVAR LKDGKVVSLYD ACHE_60688S MAEEVSGNRMQTDTLEQQPQQQSTQELAHPEEPPAAEPVPEPEA VLEQPQPAAEQLASDDAPGPSDPPQHSIPETPLKTSVPDAPGAEGDEVSIDNNHTDDT ANQTTNSTQNDIPEKTPDKVLDLQDNTVNDTTDDAHTDIPDDSISNKTNDDATEDRNS ETAKLVEFTEDTAHTKIVTPVEPVGSAQPTESTEPTEAAAPIESTVFEAVESPAQPPN DIEPEQSVEQREPAQAEATEPAETGASIEIEKPAGPSENGVAQANQFKTQRSPVQQPT FSNREPTPSTAPSSSVSRAASSIPRAASPTAATSIEQDDASAAIQQPVPVSPLKPVLP PSPSSQGSIPSQRAASPAQSHGRQATSSGHRNSGSVPNYASSGSLSPMQKLASPVQKP AYPTYRAHSPMPKIHSPLARPYYSPMMSPHQTAHSHSQMGHPNPSMFPGAYSGLGGAF QSPVLSASGYLPPYTQNAYQGHPSMFPQQQQQLAHDRRFSGFSESNFMSSSFQNLRDL SMMNGNGVDGKFNDAKGHMPQSDVDGENIFLLQRLNDAIPDLSRLLHGYKTTQNKLIA RESEIKQMQTQYEQSTMRKDFYIEALQNQMRKAANDNAEEISRLKHAVNEVRLELGDL DEKHKDLQEAFAVSQKSNEELSQQNAELECQVAKSDNGLKEERDAHDRETEALKQEHK EALAIQEQELTHAFERVMAEEATSYREAMKAIETKLLDQQRAMKDEYEVQKRQMQEAH DSLQADFDAKNAELESTQTQLSNTKDDLDTRHKEFEETREIYINEIETINAAFSDKER QWEEHRTDLEAQLSHRDEVLANVDQEKQKLEGDCSYKESQLQHAMDEMRTTMENLGKD HERLTKTLNSLGEATDLKSSKGDEFFLETFDELRRSIVTLSKEHFAYLPIDPPKSVLA KVPSELPSFLDNMPASRELRSAYIQHVISKTLNYRIFQPFLFTLGRRYDNADIFFQML SMDIRRKSVRREAFWRQQTLKAAYTAPDAKHSINMTASVIVDEIVDHIKHFADPTQLD PLVMGVRKVVKLAAETWRHARVERGLIVASLPAPDAEGASNQDWEEYGTGKKGGGSGH VLLRTFPQITREVAHEDLVGDEERESPCTYSAGNVLYSDSPIIMARRQELLARKRKSV ACHE_60689S MSSQLSPNHPSIETSLKDPSYSDPYLSAPLDPDEAAFRSSAIRL TPAPDDIPAASSPAPSNFHTNGIATPSIDTSCFDQDSLHPDNSSASVFGPGEELLSNP SLSSPNADDGMTGLNLNLNLNPADFTNNWQQNSSTSLGTQQHGLEAMELSSPQPIMPA AQLLSPSLSEHTSPTSDLGNLSYFPSGNDALLSPEGSQKNGTLLPSLITTPLNDNLSA HADPESARARSPIVMVEDTSGDLPSATIHSRRPSSAYLSPGGMDDDEDMDDDCSSISR ASDGSWIRNTTTGLGGVDPTLREDIWVPSPNDMEVHRQIFEKTADIHSWTATVSAATS EVGDDNLLSVRGRGARPAHRRRAKSTGDASLQLDYLNYSSQYNSLAIPGPGILIQEKS DVGSSEPDSASRSESPVASILLTTEDEMDHDIPANQPSLEYEDPLPSQFIRARQWQDN LQGDSSTAAMLEFQQRAREMDNASRMATWGTRHVNEAEVSSIVGGDSMEKLTIGEQGR GKERRSSLLSLLPRAASPLKRQRSMELSLDTPASNNKGVQGQAGVQRKTSVSSNRRKL SIGRSPRSTSISTGGAMAAIAGSMAAIGGGNRLSPGPPAGWSRGRSKSEVPPPPPDLM VSFGGPSPVPSPGSFPEKPQQLIDQARNGRNAGREDDEDEEEEGMVMEFPVQSHLPVP THDGFKTQITQLNPRLASSLVDRLANEQVRRYKKLVDQKASHSRAIASHSCSAGKHCF GQGGEAKILSPRTTSAQDANAQTQFQIPGSDVADEDSEDLGEGTVTAAQFPPGVPLPP VQRLPAEFECPFCFKVKSFQKPSDWSKHVHEDVQPFTCTFQRCNEPKSFKRKADWVRH ESERHRQLEWWTCTMPDCSHTCYRKDNFVQHLVREHKMPEPKAKKGTTKNKGEESQRD REIARLWELVENCRHETTKNPRDEPCRFCGNVCSSWKKLTVHLAKHMEQIALPVLGLV QEREVGPTHTNSSPAAVSRQKSSMPVAFGQDNMATFNLTYPPPQLTTTQDGYLSAEPV STTQDGFLSAEPESMNLFEELASPQFLHPETGHHSPLHQSSVSYPPPFNSMSRPHTPS NHDVSSNPDMSSFYSPSPVVLSPGPLDTGYDAQESMFLSPTTEEDYFKPDLTATSLSY DSGMAHHMQNHYM ACHE_60690S MDASSRIWASSSHDLQGIMPASSSHDDPIDFTLGDPTALLGLHL GSDPTAIAYTHTSIPQCLPSHPQLLSSPITASPDFSQHSDPRRHWDAWNPLLVTNPQP LFNLPPVPTDLRPTYSYQHSTPSESASQYNSSLQSSDSGYKTRSTITASSYAVDTASS PQLASPQSLESTGESCSSPDQDNQEGTVQSGSGPEYIKCDHPNCKWSGRCPSDKRKHE ARHKKLFKCDEPNCARREGFGTINDLARHKKCVHKKEPMRGPKVIYMCFGQNCTRKDK MWPRLDNFKQHLTRMHGNEDAAELLKKSEKWYQEYVKTQTAIPDGLPRNDMGFFGRQD SCTAVIPSQTVTSSDFQWSLELETSKTVISESSHGTTSLQSSPVAPPMQSYIHDEITT QSPLLKSNDQPPPRMRTDSIRSTETARDRNVETIVTEAAGSVINAMSKLMDRNQHRQQ QQLGEGVDMMDPNSELCGRKKELLQKIFAAALDQLSSDQSATKVASDPQPEAGKESWF TCTHCPKRTRLRCEMKKHQKRHERPYGCTFNGCNKTFGSKADWKRHESSQHFHLQGWR CTMPDPDNSHVTCARFFDQQEVYVQHLREQHCEDEDVVQMAMKNSYLDPNGQEQYWCG FCRDIIPLRSRGLAARNERFNHIDSQHIKKGQRIGDWLPLLGHMTKKQHTDTEYDTRA TSDEDYEENDDDESPRSTCLWDRMSYDGSGKAMFLKQNDIGLKKRRRVSPGTDSRKSR RRNSVEDSDCDSTECDYLEPVPELFS ACHE_60691A MLTRISSTARYICPFRILQQLSTRRTLSTTLPRNNASPPPSAPK ENSSYRDGMSRYKTFVSPFAKVFLGAIFTYQVIYWTWLKLETDEIKVEKTKVAALEKK ARELTSAAK ACHE_60692S MPRRKRSLDEMALANKSDSDDDDYSDHAVRSSRATASRSKPKKP KTARRKRRDSEDDDIVSDDEILSDADELSFNESELEDDSMAQRNARGLVARRAATKRP AYNEDPTSSDEDEQENDIDAHGEEDEEEPDPPVEKQKSTVLKLKIPPAALRRQNEILQ QQNGGNRRITRRMRAESEEVVALTNSGRHVEIVQRTTRSPEAEAPLARRPSRETRGLK QVIVEEEEEDATMGNHNNDKTEEYVVDETTTEIKGSQLEIQESAHGSFEEPAPAAVEP AKTNPEGEEEGFVPESENGDAKHHQDDEDEDDEGPTTRRRTRTNRQPTQGHQLEEEAS HLRRSSRKKPPRSSQRKGQDDESDFEPEEESNDEDEDLQSEERSQASPHKGRDDDSGD YTSRRPGLRKRASRSRGQSEAAADIADELAEELHDLRGGRSRRRMQPEIIYEKPRRNR KNVDYRIIRPDIFLPIEEENEVNESPSRRGRGGGGGGGGSTWQRTLFPTYGPFGGAGP SAILAGPDAPVATGGVDSDSSDDEAIQPIKPGTTGAPAQAHGADPVQGPAGTPGNLGK IKDRQTLADADPLGVDLKVNFDSVGGLQGHIDQLKEMVSLPLLYPEIFQRFHIVPPRG VLFHGPPGTGKTLLARALANSVSSEGRKVTFYMRKGADALSKWVGEAERQLRLLFEEA RKTQPSIIFFDEIDGLAPVRSSKQEQIHASIVSTLLALMDGMDGRGQVIVIGATNRPD SVDPALRRPGRFDREFYFPLPNKEGRRAILDIHTKGWDPPLPEDIKDELAEITKGYGG ADLRALCTEAALNAVQRRYPQIYQSDEKLIIDPKSISVSPKDFMLATKKMVPSSERST TSGASPLPKTVEPLLRRSLAEIQKQLSEILPPRKRLTALEEAQFEEPETSGSFRREHM QQEFDRSRVFRPRMLLRGHQGMGQQYLASAILHHFEGLHVQPFDLPTLLSDSTRSAEA AVVQLFQEVKRHKPSVIYVPSISAWHDETVGRAVISTFLGLLRSLPPSDPVLVFGVLE SMGEEDEKEPPSIVKNLFGFSKKNFFELQAPDHTARHEFFAQLVDYIKVSPSEFPNPE NRKRRELERLEVAPPPPPKPATPLTKEQLKVQKKKDRQTLNFLKIRIQPIMDQIKKYK RFRTGVIDESQIRYLWEEDNPNILTSDLPIEQRTTFRPFEKAQDKHGVLGLREVVSGK FFYNMEIVTIEKRLSNGFYKRPKDFLADIKRIAKDAKQLGDQERILRANELQSNVEVD VGNIEQTEPALVAECEQVYLRELEREKIALERAKRVEEEEEAFAQANKVPHGNTESTL TSGPVNLGESFTDGEGVSKLAPGQPDTPVTPSRNRLAVSFTSNGYGGGSDLNDLSTQA VMSHGSHGDGDGDGDTYMTNSEDQSGGRQTQDSSFGPSAQAKPPHSHTAPSQQVRRES GLLNLSQRETMTPMAPGSQPIDYANEASTTQTSDEKKSEQSSGPQHQQHQHQPSQQNN SFHSPMTGQGGRHDYPDLTQYPDRSSYEEHLPDTQQEASSQPSPRANADNAHLPNGSQ KAQPPVPLFDAPNKQQPHGPANIQDLLNDEDQSPKLILDHDYINQLHEELTQRTSGCS VEQLEQINTNLMDYVWQTRGEWNRTHVVAGIVQTFNAVLEDMQEMQEIGPISQETKER LGEAPSFQM ACHE_60693S MVDWVSLAIPFAYLGVLIGSLATFSSLYRKRKAQKASSLEPWFP PHLQRDIYFSLLHLDQPSKDNSEKKAAVPESVLKAALLRRATEDINRVLALRSQKQAL AMLLQRGSVGDDLWQRFTRAEKEMEDEVRDVVSEANAYAPNWGQTIFQSANEMMNNNI IRQRIETHQSKLAEEREWWDRKKTSIQEGFMKELDAEGSTATTTTTKTEPPRPSSSSG ANTTAENTTTPTSSTGGVKTPESSAAPSVASDDDAVLVEADAQPGNTTPGGGKKKKKG KK ACHE_60694A MLRQAAQGARWYQHVTRRSSSIPSLPLPRTPPVITRRSVHYGGP DDKVRFYEQVTPGSKTRRKVNPEAEENVEREEVEEKLSRVKQELRILEEGPFGPNSEF MKSLPDKDRVIALEALRKHAVQRGQQDTDGGLDQVFDDELDEILREEFEGFAEEEENW QAHREDGEDEKPVVTRPYEVMLPDEESHSYVDRFNERLQQFAHNKSNEPLRQELWKWY RRCKQVVPGFLEAMPEEARYLVWDSQVSGQIAKDTRAAHLQALAEDAAITGKNMTTPH TISYITSLHESGKTNQALDQWETYQNELSQRKEDLEAYWKLGVRLFAAEDNPQRAQDI ALAFLANDQTRQPRILKPVIVAWGRQPEKEAGIKAWTLYLQLKIFLGPDMTMEDYDHI SIGLLKAGRFELAIAVFKDMMVTGKDPADDSTALYKAAIGFAGNLHASSINERQVNRV SLSTLTLIPRRFQNRFFYASWIKKLIGMGEVDSAASVIELMYERGVKPDSKHLNGLMA AWLRDGSPSARTRAESLAWAMIQQRIDLVWDREGTPGQAPRLSLGQQTDPRIRVPKWM QRTVPPGNIETFSILLLHYTRKGDNDMTDYLIKRLEDSRIKPNSYFMNHLLYAELRRQ DLRSLWHKFRTMSATIQPDLETYACLWDCGKLQYDRGRTAFETNFPTARQLFAEMVAW YTSLSPRAKSTTQDEFSKDFYNQIIRCFCFSKDLPGTLVAMHTLRTIFNVYPDDITAR LIILQVSRLASVPADTPKRRLRRLSSTPRSRENISQVQRLVDILSQRKAAELEEKGLS LSNMDPHEHNECQLEIMADLLRIVMGRMGEQSQVEGQVLSVAGEMGASDVDLGTPLGE ESRSLL ACHE_60695S MDPDFLETEAPDSSRDGLNTQGVYIYRPAKPNKPQNERPSKRRK VESDGQERQDKKPQGTQACPFVPLLNGEESASSVDLRYNAYQRLWSKQEAKIQEVLED VDSKILEEVSSFVKESSAQVYDGCIPSALMTVGSNVSSLGRLLARLNERLITGGEGGV VVLESGDAPNIKTTLKNIIRGAVTNTEGNDGYQNFLTDREGPRLLAYDLDLLSDYVQR KGATKLVLAFRDSEAFDPNLLTDLLSLLSSWLDRIPFTLLFGISTSVELFEGRLPRSS VALLRGKYFELHEASNCVDRIYEKLQAETDGEFWLGRNITGVLFERTSDYFHTPEAFC RTVKYAYMSHFFANPLAVMFSRDFAGLPHGKLCQTIRNLPSFRRFCEDLVEDGHPKQV RNLLENDAYLFQECSRYLETGQQQMRNIFVAVKMTHAFLKHLNLSKKTGVSDISILAL SGELHDSQIVGDILNTMKTLDSDGLKGLLATVPETLADNPQLREVQADFEALLKAYPS SGPLRSGYDSRNSITKATVVQQRVQVTKSKAKQPKQNVEYTQILDRFHATLQAYFAGA LVKPQDLFLYELFLLDMKNPLKDTFAPRPRFAIERALSSPFDYLMSTSDTSENRLSAK QPATAILYQLYLESGAIVNAHDLWSAFYAVFESDQGDKCDERVIMALFYRALSELKAL GVVKNSRKKVDHIAKTSWAGL ACHE_60696A MSFQSSDKLLWLCLGVSLFFAVRGIATDLRRVHDLTEIKHVEKE DKMINEGTEDVLKLDTLLKLSESTSYDLRAAALRIISERATKGQTRDLLLEDVASKDH KRRGKALNAMWFLVSNRALSRTSVCVRLKDPPTYTAIVDCLCNFLEEHTEETCTTVSP ILPKTRPLGEKKALSILNAVLQEDIPAALEAGVLSRWLTKYPFPCALAEPSRRQDVVI LMKSWWTDDPVMSSIFGILSSHPDGKKQLRKHGLMGSMMEENDDDDDVDSDVWMIDGE DTAGSSRYSGPRVREGTAEEQAVRRRRREAMVLSDGEHPLGNEDIIQLPLQSS ACHE_60697S MPPSQLKQLKASLRDSGVLGPQQSKKQKKQNVKSGASAQNRNQR EAALQAIRDRFNPFEVKATTKNVKFDYTSRDGGANASKATARPGVTKSLGEERRRATL LREMDRRNKVGGILDRRFGENDPTMTPEERAAERFARESQKKARKESMFNLEDEDEGE FTLTHKGQSLTLDNEQQDDFEGFDDNDMGSQDGLSDSETARKRKRVFVEGEPVVDGAE GEQLPERKKSKHEVMKEVIAKSKFHKAERQQIKEDDADLREELDKGLPDLFEMLRGVK PPPKPEPPKDDFSSMNPERAAVLEGISQQNHEKEYDQRLKQLTFDKRSQPTDRTKTAE EKIAEEAERLKKLEEDRVRRMRGEQPSESENTEDEQSDDEEEDSDEESIPDDAKAFGL QHPTNETHTRPDMGVEDEDDFIIDDDLVETRSNASLEIGDSDIEGFEGESEEESEEEE EEDELINGLTFPGGRSEEASAPADSAQANEKLAYTYPCPEDHESFLHTIKDVPVIDLP TVVQRIRALHHPRLHSDNKRRLGRFAEVLIQHVSYMAEQPEHPPFAILENILRHIHSL AKSHPENVSMAFRARLRKLATERPLNLSPSDLVILTGIAAIFPTSDHFHAIVTPAHLC IARYLGQGAINSLSDFATGAYAASVFLQYQAISKRYMPEFINYVLNALYNLSPQEPRK PFGFFPIRKPEQSVALTTKSKKATPRKLRFWDVTGPESGKEEELKLSLLTTFVNLLST ASDLWTGQSAYTEIFEPAQAVLNQLRKCTTSSAPAIQVSLQSTLDKISSHLSDSRLSR RPLLLHNHKPLAIKTAIPKFEENFNPDKHYDPDRERAEASRLRTEYKRERKGAMRELR KDANFVAREQLREKKEKDAEYEKKYKRLVAEVQNEEGRAANEYEREKRARQGKS ACHE_60698A MATMSFSGRFFALFCLASLVSALDTVVDLGYAKYRGQSPSNGTV EWLGIRYAAPPVGNLRFAAPQDPEKKDGVQSANEHGPICIPTESYPVPSGTSEDCLYL DVYAPAQTRNTTKLPVFVWIQGGGFNSLAGPNYNGTGLIQAADKGIVVVTINYRVGPY GFLAGEEIKKGGSINNGLKDQIKALEWVQKHISKFGGDPKHVVLGGASAGAASINLLL GAYGGRNDGLFHGAAIDATSFASMRNVEQNQFTYDNLAIRTGCASHNDTLACLRNLDI ADLQRENINTPLPNAQNAPLYMYGPTIDNDLVPDLTYRLFHQGHFIKVPVIFGDVTNE GTAFVPKNTSDVGDADTFIQSQFPQVKLEHLAKINELYLQPNRTQDFPNSGPYWRPAS NAYGDMRYTCPGMDMSTIFAKAGAPSWYYKYDVQDPDTIKSGVGVPHTVDINAVFGPD YVSGSAPASYRTSNAPIVPVVQGYWTSFIRSFDPNKHRQSGSPEWKGWNSGNGHQRLY IRTGHTKMETVSTRQREKCDYLVSIGEALWQ ACHE_60699S MPPSEDEEEDYMSMVIEEPKQKETFTQKKRRQQREAETRAKVPS KAERAAQEAARRDAALMTNTLDPSNKGFQMMAKLGFKPGQALGKQTPPPSEKPHGQDA AARVRDDKRTEPLNLVFKEDRGGIGLDNEKKRKIKEEAEEAMKKVKHEEGDYRDRVRV ERETRRAEAQFHAAQKVAERLDTEAEEGEWDNGEKDCGDDKNEEEADYRGDNEEKEKK TKTQKKVKPTSQINLLYRGLVRQREERERNLHLRHALQTSLPSSFFPDPKLPGYDDPT LEREDREATDPQARGEGYASSVLEQDVEEEDPELDEFNALEPQERLHKLVLYLREKHW YCFWCKCRYDDETMEGCPGLTEEDHD ACHE_60700A MAILESEKRPRGLRVPSFSSIKSFGKKGSEAQQQQQYQQKMDSL PEALPPPPPDKQLPSVPTSVSPQAAPTPMRLPAASATDLNFGLDAAPMADFGTDRYPM PTGANRSTPNVSNSNGNGVGHSNGNSNLAVPPPPVQRTPSNGSQRTATSDGEPLEDYI PDPEPEAVDRMYADISPGLEPVPDVEHTDMDDVWTPPGYEPVAAPLNKLHFACYQEHK SMPPAANVWHALPCMTCQKFDREVRHRCVFCCLRICEGCYQNLQKCSRRSLDELLGMI QS ACHE_60701A MGNSQTKEARASLGPSHRRSHHPSGRSPYGERQSEGSRSGRSSR PDLSMLGFAPPPEVALEYRRETRQEREARKLEKERVARAKERERSMREEHVDGGFLVT QGVYVGTEDFNKAVVRQLMIERRLAPFWRGLNDFSDSWTEHQLMAAARGLPIPAPDEI PPELENKTAPRATSEDAKELSSDSKAAHHLMVPIASRSQSYNSDNSQTSSNPANSLPS PTSPIASGSFSSPLFRTRAKTLASLTTSTKHGSQTDLTPREIQLPSDPFVNGQPLEAY LYKDAAECPICFLYYPPYLNRTRCCDQPICSECFVQIKRPDPHPPEHGEPDPNAPSSA QESEGNRAESADTQLVSEPAACPFCVQPEFGVTYIPPQFRRGLSYAAELNGSRPPMNL ASPMSSSTSSLSSGTPVAVTGRRRATSLSATDPTVVTTDKIRPDWAQKLANARAHAAR RSAAATALHTAAYLVNNQNGSDSRNFSLGRRGLRRASNAPETRGSPALHALAFLTDRR PPAPAQEMETQEENNPAPPRGSSRRSRLDDLEEMMMMEAIRLSLASEEDRRKKEEKEM KKEAKRRNKDAKKYEKSIRKSGISGTEAGSSTGLSAARLGASSSSSVIEEEDEESFTD KGKEVDRATPPTSVPATATATAASSSAAVAPATMAEGAPSSSEDNTDQLANQTAATEP SKPSQLRNMASASSSFSSLVESTADEHATTADGSQQATEQMFNFRSLAEVIGDEDKAG EPTEHVEHMPTKSQQPEGSRSNDAPAAAPKSMTQEVLDAGNTAGVEQASVTVPELPKE LETRSVEITNTTPNPETT ACHE_60702S MTTATTTTSTATTRLHITPFNPDILPSVLPPSVQPTATEVSFHT LPTFPENNYGYVTLPTMEAEKIKKKLHGSILKGRKFKVETARPQKEKELEPNESDSGA ERSSKKKSSKKRKAGEDVLDGHELSSGRKVKRGWTESTDSKADRRKDEKKKKKEKKAK AQAKSKYTEKEECLFRMQLPPNKASALEEKQDKKSKKKKKSPSESVVHEFANTITHPS FIRSGAENATTTATYEEGKGWVDDSGNVKEPVNEKIQDSRHRPGKVPGAKEKPKSKSK RSTKKAKPAPKEESEESEDWTSSSGSLSEDSDSESESESADTSETSDESSNKETKEGK KQSNTSATTKPATPSDEDQSSDANEQPNSKEVHPLEALYKRSAPASSEAKPAEETNGF SFFGDDDDMESEEEPPEPVAPQTPFAKEELRARGLRSAAPTPDTGLVSRTIKWDEYDD EMNVDDESSIDTPVVKKGGAGESDFAKWFWENRGDNNRAWKKRRREAAKEERQRENRR KGLKGKS ACHE_60703S MNSVSSVQEVKDTAESIMWPLQSSGFNSLFTSLVLFLTFASFAV ASAPASFCKCTCFSNSTIIPLDPPKPESSSFAGNLARDIADLVATDNEKRANSYQALS CNDCNRKFCLGYDLPVCKGAKEDDVVSTCFQRDSRKDEAIVFIFIFATSGLLACALFR PWVQKWLEAARERRQYIPVAEPGD ACHE_60704S MVLTHQERLPTSDHKFPTTQLLLLAICRVAEPIALTSIFPYSWV MVKDFQIADSHDASLYAGILISAFSLAEALTGMFWGGLSDRIGRKPVLLSGCFGTMLS LLMVGFSTNFWVALFGRALGGALNGNIGVIQTMVGELVKRPEHEPRAYAVMPFVWSIG TIIGPAIGGLLAKPAEGFPSIFPPDGLFGKFPYLLPNLVCSILLVFSIIGGWLFLRET HPDMQQGAVVSDEQDYTSPLLATAGATANPSADLRAESYGTFNEVHLHEENGWTVFAD GTKCESAVRKPVAFTKPIIMLVVALAIFTYHSMTYDHLLPIFLQDKNTRNAASVSTTF DFSIPGGVGLSTRTVGVIMSSDGIIALIIQSVIFPALAQWLGVWKLFVVVTILHPIAY FMVPFLVFLPQQFLFVGIYTCLAVRNILSIIDYPVLLILIKQASPSDAVLGKINGLAA SAGAAARTVAPPVAGWLYSTGSEIRFTGLAWWGSSLVAMIGAMQLWFIERKKNTSAMV HPVGPCHYDAHKETIHIVVTDADTSSQQSA ACHE_60705S MSVPLSPLTSSRQNSRLNWPKSPKFAKGQGSIDEMLDADEYPEN IYSSPFKIDPQAQESQTEDDDGQSMAEVPSSPFQYDGRDDTVDFNMLRQQQRQTSLAP SEHSATPRKRSYEYAPDDQDGRDDMDFGGRYKRGNRRDESEIDIHADEDVSFLNGQKA TDYAGQQEAGSNSMMEEKHNEGMSTVLQGDGASDEKENNGDADDDAMTDDEFHDSIDD THLSTFSAVPNADMTSFANLRRESPTRAMRNYNPALEPGTPSQAQMSNRRSTLIDLDT PAASPSPRRREHRDYGNPSGTPKILDLIDQPGLSPRPQYSVQNVRYSPERRSPLRMAR ESMRSPGKASLLDFDLPPPPTPRSIPTVSPRELESLKSNFMSEISSLKATLSGREAEV SSLKQAVADAERRVGEAMEQVRNEAARKDELEIEQAEWQRRGEEMESVLREVKADIVE GEHEKARLVKKVDEVEKSKEQLEGRMVELESQLEAARKAAEAKPPSSLDFPTGASTAS SNTKTGEETAREVQEAVEKVARELHTLYKGKHETKVAALKKSYESRWEKRVREAENKL KGVQEENQRVKEEYEKTAATETTTNREEIANLVARANEEHEAEKRVLEAQIKGLQQEM AALREDRKRLQGDLEMERAEKGELVAAVDEWLAIQQPGQQPSEPAELAEPAEPVEHTE GTTSPYDAASLEPTRRPSAEPVMEDFQRRVSESHSTSASSSTSGLRPPSATGSSGGVE REKRKAIPKIGVKTPRGNSGGKSGIAVFTPGRSGIMGSIERMGRGI ACHE_60706S MTNATTATTTPSHPKNTMSSTDTQAKRKASTAGMSGNTRPVKRR ASKACCCCRARKVRCDVVENGSPCTNCRLDQVECVVTESKRRKKSRVEAENANNISDS PPDASEDSGNFFRRFSESRRLSDVAPASPSQHSLDLDQGQHMPHLLYQNQAERIGVES RFGRGMAPNPAVPATLPLHHVTSQIQQLLDPSFGSPRSGSGGVVLPDYIRGLPARLQK EDIDYLAMKGALTIPDVNLRNELIKSYIHYVHTYMPLLDLEDFLQTIIQNDGMNRMSL LLFQAVMFAGTAFIDLKHLHGAGYTSRKAARKAFFQRARLLYDFDYEVDRISLVQSLL LMTYWYETPDDQKDTWHWMGVSLSLAHTIGLHRDPGNSRMDLRRQRMWKRIWWSTYTR DRLIALGMRRPMRVKDDDCDVPMLTLDDFDFHPFSPEIVAMVGNSEVLHNVEHQRELA LMFIEKAKLCLCVSHVLSAQYSVLSHKFGGTMETTMMLVPKKSAAETFEVRRCDQELE DWLANLPPEIQYSPAASLKLTEAQEVLHSHRALLKMVYLTTSSALHRPQVLPSIPFPS MDAELQEISRNKVRYAAVEITNIAQDLHSLDLTRYFPTTGVTVLLPAVIIHLLDIKSS DPNVRMTSLQRFYQCMRILQRLREIYASADFATSFLEAAIRKAGIQLTVAPQDVQQPP PVNADTAPTMPDPTRLNTLTPPPDSLAQKIPDLTYPKSNDTGALGVAEEGGPLFVSTP PPSDGSENGSTNNLNPKFPPSDAFQIPNMESELSLSQLMDLANDAEVTQNDFDALINF DDAGADFFAAENNNTSATNTTTNNNTNHESHDGNDFVDSIPNVMNLDANDKGVNFPGL ADAVEAPRSEAQGITADLDADLGLF ACHE_60707S MRGSQLLSLLSLAATSLAHPTNEFHVGRRQVPKEPTDVQTLTTA NNITIRYKEPGKEGICETTPGVKSYSGYVDLSPTSHTFFWFFEARHDPQNAPITLWLN GGPGSDSLIGLLEELGPCHINSSYETYINPHSFNEVSNMLFISQPLGVGFSYSDTELG SLNPLTGAIEDETFDGVQGRYPKINATLTDTTDLAAKAAWEVLQGFLGGLPKLDSKIK SKKFNLWTESYGGHYGPAFFNHFHEQNQKIESGEKEGIALDFESLGIINGIIDEAIQA PHYPEFAVKNTYGIKSINDTVYNYMKFANSMSNGCQDQIASCKKTNRTSLVDYGICTE AANMCRDNVESPYYQYSGRGVYDIRHPSNDPTPPARYDKYLQKPSVKNALGVNLNYSS SSAEVYYAFQQTGDFVWPNFIDDLEEILKLPVRVSLIYGDADYICNWFGGEAISLAAN YTHAKQFRAAGYAPMVVDGVEYGETREYGNFSFTRVYEAGHEVPYYQPIAALQLFNRT LNGWDIAQGKVKINDLDEPYVTNGTAKATHTQSSVPLPSATSSASASASASGSH ACHE_60708A MSTLSSNVEMQPDTKHSEKKDLDWASSVDPESMDQSEPLGKPWM YKPWKIGTWTFPWFASPEVQLVLVSFVCFLCPGMFNAVSGLGGGGQVDAKDVSDANTA LYSTFAVVGFFAGSIANWIGLRLTLSIGGFGYFLYVASLLSYNHNQNVGFLIFAGALL GVCGGLLWCAQGAVMMSYPNEKEKGKFIAIFWVIFNLGGVIGGLIPLGQNLHSNSGQV NDGTYIAFMVLMAIGFMLAWILVDSKYVMRKDRSRVIVMKNPTWKSELVGLLQTLRTD WYIIFFFPMFLASNWFYGYHFNSVNGAFFNIRTRSLNSLLYWLSQMVGAFVFGQTLDL KWFSRPTRAKINFGLLLAITMAIWGGGYAFQKQYTRDTVAATKDWTDGGYIGPMFLYI FYGFYDAAFQTCAYWFMGSLTNNGRKLANFSGFYKGLQSAGAAGMWRMDAQGTAFMTE FASCWGLLVGSLLIASPIIFFKIKEHSDVEEDLKFSDETRKDVGIPMEDSPMPSSPSQ KEHGEEKTVCHG ACHE_60709S MMPGKTTTTPRITKFTNCRILQDKELVEQDLWIDSRSGKILRDQ VAFYELHLLPDEVIDLGGRILAPGLIDVQLNGAQGFDFSVPQASRKAYDDGLRIVNKG LARTGVTSYLPTVVSSTPEVYWQVLPSLAPSNSYHRGEDGAESLGAHVEGPFISSGRN GIHKPEVLQASQDWKDLVHCYGQENLNSSIKMITAAPEVGNMTAHIPRFVERDIVYSI GHSDATYEQGLAATQNGASMVTHLFNAMRPFEHRNPGIFGLLGQSERHRRPYYGVIAD GIHLHPTSIKIAYHAHPEGLVLVTDAMRLCGLPDGIYDWTNGDRIIKSGARLTLEGSN KIAGSSATLIECVNNFRRWSNASVADALRAVTATPARMLGLQDVKGTLNAGADADLVV LDDTDSDAGPTLTVDQVWKFGVKIFDGEKNV ACHE_60710A MATTTVTTSEFPASDVNNYDYIIVGGGTAGCVIASRLAEYLPNK RILVIEGGPSDYMDDRVLKLKEWLNLLGGELDYDYPTVEQPMGNSHIRHSRAKVLGGC SSHNTLISFRPFEYDCRRWEKMGCKGWGFETFTRLLDGLRNTVQPVHENHRNQLCKDW VQSCSTALNIPVLSDFNKEIRSKGELTDGVGFLSVAYNPDDGRRSSASVAYIHPILRG EEKRPNLTVLTNAWVSRINIEGTTVTGVDVTLQSGVKRTLRAKRETILCAGAIDTPRL MLLSGLGPREQLSSLNIPVVKDLPGVGENLLDHPETIILWELNQPVPPNQTVMDSDAA IFLRREAANANGDDGRASDIMMHCYQIPFCLNTERLGYDVPKDAFCMTPNIPRPRSRG RLYLTSADPSMKPALDFRYFTDPEGYDAATIVAGFKAAREVAKQSPFKDWIKREVAPG PKVQTDEELSEYGRRAAHTVYHPAGTTKMGDTARDPLAVVDPKLKVRGLNGLRIADAG VFPDMPTVNPMLTVLSIGERCAEMIAEEAGWTRNQPRL ACHE_60711S MAYGRCGILPTQVCSCQAKFVKLQLSKDTIIIYTTPSFPPPHFQ SLLYITTATIPPIMSSDVQSPRQPFYDGEIKSATSGKTFQTLDPSNANTLADIHVASN ADVDAAIASADRAFPSWSQTPPAARARILQKAVALLRERNDEIARVESLDSGKAYTET STVDVLSGADVLEYYANFIGGGGLNGETTQLREDAWVYSNKAPLGVCAGIGAWNYPIQ IALWKSAPCLAAGNTMIYKPSEYTPLHAQTLAEIYREAGLPAGVFNVVYGAGDVGSYL TSHPTIAKVSFTGQVSTGMKVAGSAAGKMKYVTMELGGKSPLLILPDAELDNAVNGAM MANFYSTGQVCTNGTRVFVPRSWKEAFEKRLLEQMQHVRPGPLFDEATNFGPLSSAIH QEKVTEYIRQGIETDKAKLIYGGLGKPSVPKDLENGYWVRPTVFTDCTDNMKIVKDEI FGPVMSILYYDTVEEAVRRANDTELGLAAGVFTKDINQAHRIIGQLQAGITWINTWGE SPAEMAVGGWKKSGLGVENGRKGIEAWLQNKSTLVDMSGTVPTVFAKL ACHE_60712A MRVIVRENALAVSEYIADYIITRIKTFQPTPDRPFVLGLPTGSS PEIIYNILVRRYKAGDISFKNVVTFNMDEYVGLPREHPESYHSFMYKHFFSHVDILPQ NINILDGNSPDLAEECASFEDRIVSYGGIELFLGGVGSDGHIAFNEPGSSMSSRTRVK TLTYDTILANSRFFDNDMSKVPHMALTVGIQTIMDAREVVIVATGPHKAVALEKGLEG PVNHMWTLSALQLHRHPLIVCDRDATLELKVKTVRYFEGIEQAGTDARTQGPWLAHKP KTPKAYVPQQQPTPKATPTKMPQPLRINTELQRSFDDDELTPDSMSSRMVDSAVSGLD SMLKDELMFDRMGSRVTAN ACHE_60713S MAPNEELLPSGWEDLDRQMGQLFMMGFDGTSVNPQIRSLIENHH LGSVLLSAKNLKSAEEATRLVLELQTIARNAGHPVPLLIALDQENGGVNSLYDETYIR QFPSAMGVAATGSQALAHEVALATAQELRAVGVNWILGPVLDVLTNVKSQPLGVRTTG DDPQEVSQYGAEFVRGFQEAGLATCGKHFPSYGNLEFLGSQSDVPLITETLDQLSLSA LVPFRNAIAHGLDSMMVGGVSMSSAGVNVMHACLSEQVVDDLLRKDLDFRGVVVSECL EMEALTHNIGVGGGTVMAKNAGCDIILLCRSFVVQQEAINGLKLGVENGIISRGRIGQ SLRRVLQMKARCTSWEQALNPPGLHALTQMQPSHTSLAKRAYGGSISVVRDKRNLLPL SSILNPNEELLLLTPLVKPLPASAVSRSVAAHLGLSLEDSIAWDRTASVLSGESVFKE LGQCLSRQRNSRVLHTSYTTNGVRPIHENLIERASAVIVVTADANRHLYQHGFTKHVS MLCRMSQAGQPREKPLVVVAASSPYDFATDQSIETYICTYDFTEPALEALVQVLYGEL IPTGSLPGSISRSQKLHQARQHWLVESWNEDRDSHALDALLDIVRADNAQDSELQGVT SSSFLLRRAEVVEAHLVVRNSSTQALYGFCSTYFFRSTGTGVIGSLIVDPSRRRLSIG RSLHHRAIHILLQCKGIKRFQLGSRLPGIYLGIPTTYPVERKRLRQWFANLGWNTALS RPVCSVVLRNATTWTPPNGLVEALQNHTAVRYDLVYGWDYAEVVLDHVRTNLRPGLQD IYQVALGGAPDCGIIRALRADDGGILGSVVIYNARSVLAAHMAALKNQPVLAGGVSSP VISPLVGEYATILQGLMFLGIKQSRKQGAKAVVLDCVDGDSNLECLSGMGFEMLHQYE EVNCDATVWMGPHP ACHE_60714S MEGFDTMAMPYLASPLSLGNLQGVDYLSSVPGMDLPDQPSNFDS ETFVGGDDPMTFSSQHGIPGPLKHFPSGYEDPFATTDMVSPFEQVPPEQPPPPDSSID HNNKLLSFSVPVFNFTLLDYSLRRTSISMSAQLHGMFFLAESPWTTSPAEHAPPQQGA ELTCYRRNLFQITGSVTLPRSLRYIMTDQGDRIPILAQELTVSATESVEGNSVKIISV PWKTPAANNNNGGSNASASIVEENPPPKVEKEPPPIPLDIMAGQDLDTDYATFPIAWK RLQFRVATANNGRRKELQQHFVVRLKVVATLSTGAKIPISEIHSAPVIVRGRSPRNFQ SRKDLPLSGSAAASRKHTNAASTSTAINRTPTSESTPRASMTPARTTNNRSTVKSNSP DTSAPEASAGVVQQQASPSDWARMPQTARSSTGSLPAQISSLYQSQPSPDFPQGTQIQ QRAHSIAAPINLSILDDEDSHMLDPNDPPTTVSSYSSNDLSHKDLAWDNSVPPAKMRK LSHGASRTETQNVTSSLPLLDTTNMQQPFTSSLPFPNDSSDLLYEYFPLGLDDWQTPV DAVYRPHVVHHTTMPETKFVTARGRSKRYFAEDVY ACHE_60715A MQCYTELLPPTGVTHALTVPFLSSTATNLVVVRTSLLQIFSLLR IARPEGGINGDGPTRSEQSETTKLILEKECPLSGTVTDLSRVKILNSKSGGEAILIAF RNAKLSLIEWDQERHNISTISIHYYERDDLARSPWVPDLGSCGSSLSVDPSSSCAVFN FGIRNLAILPFHQPGDDLVMDDYDSGDEGRNHAADAEKTKSGLTYQTPYASSFVLPVA ALDPSLLHPISFAFLYEYREPTFGILYSQVSTSSALLHERKDAVFYTVFTLDLEQRAS TTLLSVSRLPSDLFKVVALPPPVGGALLIGSNELVHVDQAGKTNAVGVNEFSRQVSAF SMADQSDLALRLEGCTVERLADNNGDLLMVLSNGDFVLVNFRLDGRSVSGISVQPLPA TAGGNIMKSPPSCSVFLGDGRLFVGSENADSLLVSCSNSTSGTKKPRSQLKHDVDDFD GLSDEDQSEDDAYEDDLYSSAPDMPADGRRASTETSTFGPYSLRVDDRLPNIGPLRDV ALGKSFSNVTSKDPNAEGVSLELELVATQGSDRSGGLVVMKRAIDPHVITSMEVASAD NVWAASVAHRKTALTSATDKADKKEIRHYVIIPSIKDSENEESEVFVVDGSDLKPFKA PEFNPNRDFTIDVGVLAEKTRVVQILRNEVRSYDIDLGLAQIYPVWDEDTSEERMAVS ASFADPYLAILRDDSTLLLLQADDSGDLDELPINETITSTRWLSCCLYRDTNKVFSLS ESTHDGSSESDVLLFLLSSDGKLSIFRLSDQKLLSTIDGVDCLQSILSIDPPKRSTTR EKLVEAIVADLGDSYSSQPYLIVRTENDDLFIYRPYLGPGATNGESPSLSFFRETNHF LPEVPSSLDADNSNGNFQGTKSLRILPNVSGLRAVFMPGASAGFVVKTPTSVPHVMRL SGGYVRGLSNFDSSALGCVDGFVYVDSKDVIRACQLPKDTQFDYPWTLRKVHIGENVD HLAYSSSSETYVLGTSHKAEFKLPEDDELHPEWRNEVISFLPEVDQGSLKVVSSKTWS VVDSYPLGPAEHVMAVKNINLEISENTHERKDMIVVGTAIARGEDIPARGCIYVFEVI KVVPDPEKEETDRKLKLIGKEPVRGAVTALSGIGGQGFLIAAQGQKCMVRGLKEDGSL LPVAFMDMQCYVNVVKELKGTGMCILSDAVKGTWFAGYSEEPYKMSLFSKDPEYLEVV AADFLPEGDKLFILVADSDCNLHVLQYDPEDPKSSNGDRLLSRSKFHLGHFATTLTLL PRTAVSSEQALLQPDQMDIDEIHTANHQVLVTTQNGSVGLITPVAEESYRRLSALQSQ LTNTIEHPCGLNPRAFRAVESDGAAGRGMVDGSLLCQWLDLGKQRKTEIASRVGANEW EIRADLEAISGGGLGYL ACHE_60716S MTLLQTSLIWVVYAIAIIILIAVASIFIYVYQTPRDRSPSVTLT CIFAITTLLATVILLPVDVALVSSTTSSALGRRKDWASQDVVDRITYSLTIVYYLLYS LDAVLCLLVIPFTYFFYEEYDEVAAESGEQTIWKRFWSAFKYTVCFVAIMVVLFLVGF FVPVSKSRDGQDLDYFKKLLTENHGERALTFTLGLLTTIGLCLYVLYTSSGLALLPIS LIKTAPSVSSPNLKANTGMQLSSNRERQCQLEGRCGGNPDILSSKDRRELDTLVREER TLIRRQRLVEEAQGEGRSWLMKAWVKIEAVFRPVKLLGGLLLLLIAFATWVSMTLTAI DKAKNSVCKHRCGYILGHINVFNPINWIFVQSAKLFPVDYVIFTILALLFFSSSVIGI ASIGIRFLWIRIFQIRKGHTSPQALLLATAMLMLTALALNYSLSMVVAPQYATFGPQT FCDRHSGLLDGQPDCSNSKYLIKPCSELADNSAAKRVCTPSVASTFLNRVTINFPFFG IVFFWAQFIFLGIYLLVFVTSMFRSPKLDERQLDEDAEEAEEEGLLASTGRRFDATWQ DVTGRAAKRDDRR ACHE_60717A MDSAGREHEGTISRNDSYSSKLAPQDSSAGHMNSQQQLTEKLRD CDWEQLEEKYAKAMEEHGKSEEELRAQVAKLLEIFVAWSQTTVLRDEDRALKRFKTQM QHVQNSEERLENKRKHYTDVVKAFESALALLNGRARP ACHE_60718S MSCHACSRAPNPRLPFCCATCARSHLYQLRVEHVKVLLEKEVIG KQIDEAVAHRETQGRAEEIDGVVPESAMEGHSRWAIQAIANRQANSSTRTKTLGDQVE VLVAEINDKKLDISQRKLTLARRNSDTESAKYQLLEREGAMLTAIQNNTRRTEHLWHN LHTKTIDARIFLCREAANIYGLRQKSKRINGDSKETYMLGGMPIIDLREMNGKLRPFI LTLSK ACHE_60719S MDTGLLESDPGISGALLSVSSISEIPAAILRAELSRRNEVRDED VEKATCGSTRSGAYNTPAHVMALFLILVLSTLACSFPVLARRFPRLPIPRRFLFISRH FGTGVLIATAFVHLLPTAFVSLTDPCLPRFWSKSYRAMAGFVAMISVFVVVCVEMFFA MKGAGHVHASGYDNLINEVEHDDPSGLENDGSGYSRVNWQDGANDIHLGSMPEANHQP TVAGSSSGTSGNHRYTIQNVEPDKENEDAGLEELEPYADGDSMLNESECHRPVLSLHR RQTNSGHPNPNAQRQLLQCLLLEAGILFHSIFIGMAVSVATGASFVVLLVAISFHQTF EGFALGSRIASLIPDLFSPSSMKPWLMCLAYGTTTPIGQAIGLFMHNLYDPASTAGLL MVGITNAISSGLLLFAGLVELLAEDFLSESSYETLRGKRRIEACIAVASGALLMALVG AFA ACHE_60720A MASFPPVYIAASARTPVGSFLGSLSSLTAPQLGSHAIKAALGKA EGLKPSDVEEVFFGNVLSANVGQNPARQCALGAGLNDSTVCTTVNKVCASGLKAIILG AQTIMTGNADVVVAGGAESMSNAPHYLPNLRNGAKYGHQSLVDGIMKDGLTDAGKQEL MGLQAEECAQDHDFKREDQDEYAIRTYQKAQAAQNAGLFDDEIAPIELPGFRGKPGVT VSQDDEPKNLNPDKLRGIKPAFIPGSGTVTAPNSSPLNDGAAAVVLVSEAKLKELNLK PVAKIRGWGDAAQQPSKFTTAPSLAIPKALKHAGLTQDDVDAFEINEAFSVVALANMK LLGLSEEKVNIHGGAVALGHPIGASGARIVATLLGVLKAKQGKIGCVGICNGGGGASA IVVESLL ACHE_60721S MDDLYDEFGNYIGDAEESDEEVQHEQAQPQPFSFAEAFEEDEDE DEANEQQLMEVDEGPSNAVVLHEDKQYYPSAQQVYGTDVETLVQEEDTQPLSEPIIAP VQQKKFAIEEAELPPVYYSREFMTDLLNFPDQTRNIAIIGHLHHGKTAFMDMLVAQTH DLTERLGKRIGRRKEEQLRYTDVHFLERERGLSIKSAPMSLVLQNTKGKSHLFNILDT PGHVNFVDEVATSVRPADGVVLVVDVVEGVQANTEQIIKHAVLEDLPMTMVVNKMDRL ILELKIPPNDAYFKLKHVIEEVNTVIESVLPGQGEKRRLSPEKGNVAFACSSMNWCFT LQSFAKTYADTYPQVDAHEFARRLWGDIFFNQNSRKFTRKGVEENSRRTFVKFVLEPI YKLYSHTISESPEDLKETLASVGINLKPSQLKTDAKELLNLVCEQFFGPATGFVDMII QHVPSPVEGAQKKLERYYTGPLDTKVAAAMTACDAEGPLVVHVTKLFNSTDATSFHAF GRIMSGTARPGQQVRVLGEGYTPEDEEDMVIATISDIWIAETCYDIPTSGVPAGNWVL LGGVDNSIVKTATLVPLKLEDDEEAHIFRPIRHMTESVFKVAVEPVNPSELPKMLDGL RKVNKSYPLISTKVEESGEHVVLGTGELYMDCVLHDLRRLYSEMEIKVSDPVTRFCET VVETSAIMCYSITPNKKNKVTMIAEPLDDGIAEDIESGKVSIKDPIRKVARYFEDNYE WDKLAARSIWAFGPDEMGPNILQDDTLPSQVDKKLLGSVRDSITQGFSWGTREGPLCE EPIRNAKFRLTDVSLADQAIYRGGGQIIPTARRAIYSSFLMASPRLMEPIYSCSMTGP ADAVASVYTVLSRRRGHVLSDGPIAGTPLYSVRGLIPVIDSFGFETDLRIHTQGQAAV SLVFDKWSVVPGDPLDRDVKLRPLEMAPAMATARDFVLKTRRRKGLAEDVTVSKFLEP ELWKSLRESGVLDS ACHE_60722A MASEIQNSNPTILNAADLPTRLRPTAPRKSGVYGAGIFTSVLPP AANPNDPFSSLYSSETSDSEDELMEEPIDEQEIYDLISTISDPEHPISLGALAVVSLP DISIKPSLANVPESPLRTVSVLITPTITHCSLATVIGLGVRVRLEQSLPPRFRVDVRI KEGTHSTADEVNKQLADKERVAAALENGTLMGVIAKMLETCQ ACHE_60723S MQGYSFAPPSGPSKEGHKNYVFVDEHNRHKRLKVMRACNGCRKR KIKCDAATTNTWPCSACTRLKLVCVPPTVGQDSEFPTGQTVEADPTGPLGALSTQQPS HHAFPMSQTFRDGGQVPMGSIQPYNDGMGVFSQFMPPPHSQPGIYGDVRSPPIAEPQH HYQQPQMFSAPQTQQQSLGTPDNSLFLENDQSTAENLSEVLGELKIDETGIAPYIRQQ RQDRSEPEVPIQDDAEERLPPLSTGAGSAIRIPPELMPPDDEVMNYFKTYFDDIHPYV PVVHRSHLYYQWQNDRSSISPLLLEALFACAGRLSDDPAQGAQWLALANRHESSFMDV PRLSTIQAMLLLLKARESLPKKGYYYRSWQTVKTIVSMAKDLDLHEHYSGHAEGRPCD LQPVECLVQTRVWQALLVVEVMIGAPQGRSDYGVDPETVDMRPLLDIKGLDQFEIDRS RQYAYFVRNANHIRIITDIYHKIKKQRDWGADPRFVEKNPLFTNWLHSMPPDLQVNYP ADGSPPWIPSHFVANMHSHCHLGIILLHRPQLIASKSFSAGGSWKGHFGLCYSSAKCL CRLQEAILASYGLSGLLFMQRGINFAIYCILTCTMLHLIAITSPDPHFHTDARDYFTR HMRILEQCSAAWPMPEIQAQIDSLRLAFSADVSRPFELKSTFPYGSPSEPYHPSPPPF DSSQYTPPLNHLTGSVQSRSDSSQLQPLGLMTPHPVSNPSMEAPLVDENSWDPSRIIN QWEMAFSVDPSTVSANSPPMNLSNSVQGMQPPLQTHYPVQYGSPAKVASVTPPQSISQ PQFRHSMITARDWQQSVASVFDPHGLKRRWNYSVDMGVENVSKRQR ACHE_60724A MLRRKPTAIAVTSEDLAAFEDARLRKLAKEDNSQAHVENSSNAR FDPSDELKPLPGDKARIVRSREERIGINRRN ACHE_60725S MLRIQFRPAFLYNERQRSVEGIITSFRQFSVTQQVTDQSSGPRY SPAATPRPGPRRRPNIPPAKTGFQDASASRLHPRSPHVIDARALAASQTGDQANVLRG PRLQYPRGGVQARARKFKKSSPKPRRPKAPRNQSGVGKGDDVREAEIEAVYQELTEKS RPVPVRYIPQTHDFSTMEETWPSLPTGVTAHTAGVLEKLSSISGRFPNGYIPPHELGK RLYQGQSVRFFSEEEKAQAMEEAKKLAQQRADKLSQRKGDLVEPEEIKFEPMDAKGQK VLVQSLVQGIYPKPETQQADKPAVLGGVIANLRNNETYRTAGKSTQFLTKVESLLASS RPAKRA ACHE_60726A MQRSLANSSLPGLVRSRFLFTNSQIISRPQRLLPLYNLAVPNGR QAFSNSPPLYKKKDKSKKGAPAAESEVTKSSNGAEASDDPYVLSQLHDDIAAAVSRLK DDLSKLRAGGRLNTEAIESLRVQLSKGSKDTVKLGELAQVVPKGGRMVTILAAEEDHI KPISSAVISSNLSLNPQPDAHNSLQLNIPIPPPTKESRDKTVQSAKAAMDKASGTVRD SRGAIHKRLQEMQKKKIARPDDVRKAHDNMEKLTDKGQKEVKDLFEAAKKALEQA ACHE_60727A MSDEVYEGAIGIDLGTTYSCVANYEGTNVEIIANEQGSYTTPSF VSFTDKERLIGEAAKNQAAMNPQNTVFDIKRLIGRRYEDPIVKKDVESWPFKVVDQGG NPAVEVEYLGENKTFTPQEISSMVLMKMKEVAETKLGKKVEKAVITVPAYFNDNQRQA TKDAGAISGLNVLRIINEPTAAAIAYGLGSGKSDKERNVLIYDLGGGTFDVSLLNIQG GVFTVKATAGDTHLGGQDFDTNLLEHFKKEFQRKTGKDLSGDSRALRRLRTACERAKR TLSNATQTTVEIDSLFDGDDFNTSVTRARFEDLNAKSFAGTLDPVQQVLKDSGLEKSQ VDEIVLVGGSTRIPRIQKLLSDFFDGKKLEKSINPDEAVAYGAAVQAGILSGKATSAE TQDLLLLDVVPLSLGVAMEGNIFAPVVARGQTVPTIKKRTFTTVVDNQTTVQFPVFQG ERTNCADNTSLGEFTLAPIPPMRAGEAALEVVFEVDVNGILKVTATEKSSGRTANITI SNAVGKLSTTEIEQMIDDAAKFKSSDEAFTKKFESRQQLESYISRVEELVSDPSMSMK LKRGNKEKIESALSDAMAQLEVEDSTPEDLKKKELALKRLMTKAMATR ACHE_60728S MDIDMSRRNRKPRLLEEEEMDRLREYVDSIHYSARYSDDQYEYR HVQLPKDMLKKIPADYFDSAKGTLKLLWEQEWRSLGITQSLGWEHYEVHEPEPHILLF KRPLNYQPPVSQ ACHE_60729A MAIPPKFVGQKLSTATTQQQQHTLELYLDYVCPFSAKLFNTFYA SVRPMIAQKYATKLQVIFRQQVQPWHPSSTLVHEAAAAVLRIAPEKFWQFSADLFKYQ EEFFDVNVVNETRNMTYERLAKIAGGVGVNERKVLELLTIKEEAESGQLNVGNEVTND IKLMVRLNRVVGVHVTPTVYFNGLEEPGISSSFDATQWEQWLTKNVT ACHE_60730A MIRISQFLRNSQLSFRISPPLARNSRHPNVRYVKFQRPWIRKLF TAFLLYGTAFHLWSSFVLLQFDAAPEDDDSSRRPSMSEKYARANRNESDIDTTGEMED FDEDPIHIPLSWPRRHEGKFYAASDPEWQEFVKFARDHKKIRSLKDELATIVLNNASR SSLLSHVLGQPLNITGFWLLHHFPSRAPPEYDRLCLEINDTGVYLVTKRMSSEDGDKL QRFMRPVSVALAIKDAYMVFFRRQLLRLQDRSSDREHTASTSDLSSSKHAFSRDTGDL DGLNHIAQSESQLPLPDSHQEKLPRDNEYGNLHPSSIISSVQRLPLPKFGPGSDFYLA LLAFKWRLTESWARASHTPRRGTFYITGPVGLKGPRGFCRVEVRGEYDPAASSWTAVK IQLKDLNVFKQKPLGGP ACHE_60731S MLWIRSILPQWLIVAFGANAFDMSVFNPKLPVLEDPKMAVQRLL ELRIATPDMSTLGRIDQETVEFLNHFGGTPSPLFGVSLTPENGKTTIILEGIAPEVGS SVKAEYTDINVIPHSSSIETSLESLLKMKTSDSAEPKERYCSFYGNKGIELYKALKCL LDTQVSQDILEGLEKGLLEHADMLESWVDTTRSETLLRLVLKPANITSDSVDVTDSLK SLFRHISTVSSEVTALLLSRPTKPTESNENLQTRSLANKVSSAKLVNSRFEQQDPIPA ALSPICYASESSCSESTNNCSGHGHCYRKSGSGETTANDCYACKCQATTVRKQDGTVQ KVQWGGAACQKRDISSPFFLIAGVTVIGVLAISTAIGLLFQVGQAELPSVISAGVSGT KIQK ACHE_60732A MSATTTAATSVATNAGNIGDHHNTSPSSSPLLFFVALGFGVVFT NLWIIVGVKYCFRYNQRNRQLRNEETGEPIDLVTMPRTHRRRREKKLMTMDEVNERFP LIKYKAWRASREIDGLPANGGIQAPNSRSQSLKGNNNDFVITVGVSPGMSPIDNQQQI NAPASYSPTSFQHTETLVTQSEEKTSGDLGLSGVSPNTTSDADARDARQSLDKPVQRV HALEDDENSDGHIRTALPARLLPSPGDSCAICLDAIEDDDDIRGLTCGHAFHASCVDP WLTGRRACCPLCKADYYTPKPRSDAVEVSNVERPRRRPTTRTLNQPHAVFVGGRVNPF RSVMASPGQLLQTALPENSPNLTSPAEQNPWRVSTGQSASTNNLPDRSDESHRNRNWW SRLLPSHLRGFSFASLRVSGQHDNYRGYEYPTATQNRTPRQLEAGPTT ACHE_60733A MSSDSNSPKWTSQKVRNTFLDYFKENGHTFVPSSPVAPLSDPTL LFTNAGMNQFKSIFLGTVDPHSDFANLKSAVNSQKCIRAGGKHNDLDDVGKDSYHHTF FEMLGNWSFGDYFKKEAIRYTWELLIKVYGLDPDRLYVTYFEGNEAGGLDPDLETKEL WRSVGVRDDHILPGNMKDNFWEMGDQGPCGPCSEVHYDRVGGRNAAYLVNQDDPDVLE IWNNVFIQYNREPDRSLRPLPNKHVDTGMGFERLVSVLQDKPSNYDTDVFSPLFQVIR DITGAREYRGLFGTDDPDGIDTAYRVIADHVRTLSFAIADGVTPNNEGRGYVVRRILR RGARYARKYFGVEIGNFFSRIVPTAVNQLGDMFPELRKKQNDVMEILDEEEISFAKTL DRGERQFEHYAQQAKVKGVDKLHGADVWRLYDTFGFPVDLTRLMAEERGLQIDDQEFE EARLKAREASKGQKKSASDAVKLDVHDLGKLEKMTDVQKTDDSAKFEKGNITAQVKAI YHGKLFHSSTEDIPDGEQLGVILDRTNFYAEQGGQENDTGKIIIDGKAELEVGDVQLY AGYVLHTGFIKYGSFAVGNEVICEYDELRRWPIRNNHTGTHILNFALRTVLGDGVEQK GSLVAAEKLRFDFSHKSAISDKELEKIEERSTEYIRQNCAVYAKEVPLATARQISGVR AVFGETYPDPVRVVSVGVEVEELLDNIKDPRWEEVSIEFCGGTHAQKTGDIKDLVILE ESGIAKGIRRIIAVTGEDAHEVQRVAKNFEMRLDRLDAMPLGPIKEQEAKQIQVELNQ LSISAVQKSRLRERFAKLNKQVVDGQKAQQKLEAKKALETITTYFQEPENQEKSWLVA NLPISANGKAISESLNYVKSKLQGKTVYLLAADSQQGRVAHGCHVSKEMSDKGASAND WSAVVSNVVGGKAGGKGSTSIGNGLNPDKIDEAVALASAYLSRFHL ACHE_60734S MAQQVDTAIQYHGKKRPAESEPEDQPLAKRFGRLHIESIANLNG LGYDESPTLTQNTPNLTDQMLLDDTKDTVYIHDIDRELAESQAQQDTISILPGVAEAL LPIPKTLIAKTKSQSNELVLYQEPQSLSIPEEQDNVRRAIAETRARARQASLGFSSTS ESTAKSGFGIINEKIRNHDDGDDVMDIDPVL ACHE_60735A MKYNTLCFYPLPSQTMDDSRKRKLNDTADSDDGDQAHMKKSGGK RKIIGPALPSEHASWEQKISHDDDSDDSDDDIGPVLPPAGTEHTRFDNKADDAGSESL EVSFEENHHMNSRQRDEWMLQPPEESDWTSRVDPTKLRNRKFQTGRSTRGPPVANRVD SSWTENPEQKMERIRDEIMGVKASLPVKDGSSHTEPSRISDTTHERIQKYNEATRKDV AQQSGLRSSDNGDDPGMRPFDREKDMATSSSISNAQRRELLNKAADFGSRFTGGRLL ACHE_60736S MAIDSHRRMQWHLSHLRQIQSHLGQTLQNLCLYWNHLLCHQCQE VPCLMVYAAHHLTYWLLHQLEPHEVVGELDVLAGVMKTTSIIDLRRIQEGGNEGF ACHE_60737S MTEIPKEKRLELAIEAFHKGQFPSKTACAKAFDVPPRTLMTRLD GTVSRQHTIANCRKLSNTEEESLKNWILDMDKRGLPLRVSNVRHLAQLLLSARSKPSK DISISEKWVSRFIQRHPELKSKYTRQYDYQRAKCEDPELIKGWFNRVQETILRYGIAE QDIYNMDETGFQMGVASTAKVICGSETRDSHAKSIQPGNREWITIIIAINASGHALPP QIIMAGKKHQSQWYSAIPKEYRISLSDNGWTNDILGFEWLQEMFEKHTASQTAGRYRL LILDGHSSHATASFDQFCTERRIIPLYMPPHSSHLLQPLDISCFAPLKHYYGQKVREM AENNIHAIDKQDFISIYSSIHGRAFSKANILSAFAAAGLIPFKPERVLAKLNIKTPTP PSSSSSNQSFYLGRTPVNLYQLNQQKKQIQELQSQSLSSVVAEQMLEKFIKSTEVAMQ DAILLRQGFHQLHTSNKHQKGKKNMTRAFIQDGGSLTGSEGQQRLIEREAIQEPSRRP RRPARCSNCNEEGHNRLKCPAK ACHE_60738A MLKESGYGHWRAQKRPQLTEETAKLRYEWAHVRKDWTYEYWSKI IWSDECSVELGKGKQNQWVWRLNHFNEKWKKKHIVPYTKGKGISIMIWAAIWGGGHTE IYRMNRDEESARRGYSSQSYLKLIEDYLPAIWESGMEFMQDNAPIHTAHIIKNWFDEH GIPLVDWPPYSPDLNPIEHAWAKLKERIYMLYPDLELFNGTKEQLKEQFYKAMENAWE SLGQDFFDGLVMSMENCVNAVLEAKGWYTHY ACHE_60739A MDLKRKSIFSLPTSSQGKKTKSSNQKNESDVILGHFPYGNNDTR SPLNTLEKLLGEIIDSPHSTQNYTSTTGSEVVKAAAELAKALKIAKTSPNTSAHTVKH VDYMHRENLLDTSAILEGESDLPVLPPILDEGLQKAVFTHPGLSNNNKTTYDRLEILG DAYIELIATKLVWDRFQDIPSGRISQIRELLVKNETLSEYATKYGLDRRASVPQGYLD QPKRWTKTKGDIFEAYVAAVILSNPAQGFKLAESWLTQLWLPKLTGLGTQKSTLQAKE ALSKKVMAKGVKLDYIDELPPVTSKGGMQTFFIGVYFTGWGWNRRHLGSGQGRNKAIA GDEAAKQALRNNPLIDEIAERKRDSFEQEQRRKA ACHE_60740S MQIPRHRILDLVKAQCRIFSQNFNPERLRLGNKILRQRLRGPAL AAWYPRKTVSFRDLQDTYRPLGLTMFDEAEDDREEAIQIAKSRGKGRPKKKRTAAESR TAKKKK ACHE_60741S MKPSKNQLRRAKKKAQKLNNTQEIQDSLATEVQPEERSSAVNIQ DSSALHESTFSPDLGDPLWQIYQGIAHRFDEAESDTSPVKEHEKPEVYFDDDNEIPDE DESEPKLSKRKRKEMNKLSVAELKAMVRKPEIVEWTDTSAPDPRLLVHIKAHRNVVPV PSHWSLKREYLSSKRGVEKPPFSLPKFIQETGISEMRDAALEKQEQSTLKQKTRERVQ PKIGRLDIDYQKLYEAFFRFQTKPELTRYGEVYYEGKEYETNLRHLRPGELSQELKEA LNMPPGAPPPWLINQQRYGPPPSYPALKIPGLNAPPPPGAMWGYHPGGYGKPPVDEHN RPLYGGDIFGVLQPQQNMQQGEPVERDLWGELQAPEESDEASEDEEQDEEEEEEEGGE EETAEQLMDTGLQTPSGLETPGGVASAVPSEFAGAENAAGEFDVRKHHRGTRTEESTQ PRSAFQVIPERQTRVQGFFGGDKVYNLNVASDDLPVLGAEEHNRKRKKPGDVDVSVDP DSLQASDGISKENLQSLYDSQRQQGHHPNWEFQEDLSDMIAQESRKKLRKEEERRARH ACHE_60742S MDWDRNRRFDDRRGGESYRPMSSIRARRSPPRNYIRHARSPPRT RSPRLVADTWVPSASRTYDRFRSRSPASRRRSRSPSFYSRDGGQASYRRTRSPPRRPS PRRDERPRSPRQVFWRSRSPFNDGRSRDTSWDRTTPLRPREASPPSQDFRFPKRERFT SEKYLRAESPPKRGTLREYSSRASMNFRTRSPFQGQRERQQEATPKRRSTSPSREGSS TRYTAPTSGVNFRRPSSPTDKSNLAPFDPETRSPITQCSSHERFSRHSGHSSPIHERT FPTRYRSSGQNEEKDKKKIVI ACHE_60743S MNIFRLLADFSHLSSIFILLQKMKTSSSCSGLSFKSQALYLIVF ITRYLDLFWSFTQSLYNTTFKILFIASSAYIIYLMLNDYKPTHDPNLDTFKVHYLLGF SALFALLFSHDYRISEILWTFSIWLESVAILPQLFMLQRTGEADTITTHYLFALGMYR ALYIPNWLYRYFAEGRRFEPNPVVAGIVQTLLYSDFFYIYYTKVMKGKKFSLPV ACHE_60744A MQNTEYTMNNFGRHDNDGHESYLIDQQIALPLPYSHSESHPFGP GGSYNLSQPALHSYTAQFGPAYAQQSISPSIQHLASHYPPGPSFPPTPDQAGLQHQSS QCINQSHYLQSAAYLPLREAHNETEIENQESKNERTMLSEAIFPAPEGFPNAEEFDRL INGYIHDLSAKKRDKALIHAQRAGHIKMILSDPKNTAVETAQFRFWAKKMFELRTTGV GSSNCMKMICHEGRPVAVREKLYKILTKAHLQCKHGGRDKTSAKVRTNYSWVPKELIS RFVKICPTCQVRRRGLRPRSSGSQKRLGHRSSKQKKSSSPPTSRRGSALNSQTPSQAP QAEYLGQFDGHDDWMDGQQNDGRQGINSGPVETLSHFPNSISAALDPFGGEMAISASQ LNYSVGYASGILKS ACHE_60745A MEPLTLQLRHDNEETIECWDDDGDLQWHEDIQFRTASSATSVTS CSIRRSEHRDSISSRRSAKSDLESNAGGDDDWQVQLLENDDYATDDAIASAQSAGIPL PANVPKSALIGGTIKRLGRKKPRKNFADDWSEDVEFPDSEYTLKLKDPKERDFPEILR QVNSTATSPEKCSAPPSWDNDISGRLHSALAGLAGHRDDGDVADFQDVPTIKLPKIRT PRRATPVNNDTYETENEVENLDHDFELPPDDLPIRLDSQKFNANSSSQFPEDLDLDWS EGSIGVRLGGTARDRRSNPSSSVSIASPSLSSCLTGESEDDNLDGLVIPDEPLDLETS LRKLQGSSTAAQPHSGFIQNGHELMEADDFFCGIEIEGGDIFTSNASLNPNVKCKAEC LGNPARRSATTIQFTNTSASPKTRIPRLSGHDRSHSTCLETVSESGAPLSNFQRSQQS RLGGHLSHSSLSSLPAPGSMLTSPTSQPAGRRLVGTRVSKDSLLAARAPAGKQSLRAK RSMPAMRGMYQNAIVPSSQGTVSHQDTKGQWFKMPVDRMNTNRRAQAPFIPAGASENQ SHHITVKNHRHARSSNDVPCPRGSVSRLARLNRTDSVGNNSSSNADSETIAAKRTVTK PARRQNFGDGTELELFDDLPTSSSTESRFIKHASGRGAPRSLRSRLGQSQNMLFQKET PQSPTPVTALKTPDSTPRFARDTNASRNAREQRIASMNMKDRETSLLPSLGANWKAQS ISCVSSNSSATLRNKKRRSVLPGNKPHLIKPMGMGVQGAKSMNGMRYNPITFRWEGNE NLVQDFDNISPISPKPAPALITNVSAMQNVQVVGGMVFDPQRMCWLKLAPLQPGGNGL VAIQDEDDVFAGLDDLDDKGWSDDPGGRTSGAPDDLGPVASGDDRSGGESSDEWPITE EFDVGPEFVKRQRAEEEKWRRKVDKWVGLERRKLDDGWRWAIRDLVKSNGPFEADQFD DTGASHRRWQRRMGE ACHE_60746S MPTEHNTTSSPQILRIPRTDDPDSYVLLRVIKSRSDLDIVATEG ENPYTGYIKQTRLKDLRAKSYQGSDEEWARIVSYVFGQVSTVGKPEWCHGIEVSASVS DPENDEDKELSLTIRKRIQTITQRLGSISLKQDDEQAIELFDWLGIAAARAYASDERS SSLADRCRIAEDTIQQLTRQLEELTRAKIHHEDQLVANFAQLLNEKKLKIRNQQRLLA SAKVDPVKVSEMQAVTSKDRNSTQKNRRLKRDVREISKEESDSGDGFEEMQVDHVGNQ GREQDQEMDDEERSTPQPLEDEGDNGTTTDEESVPLHAEQNSSISNRPMLKNPAASPP RRELPFARRVPEKEEVQSQPYQAEDDAGSTAGETDDDEL ACHE_60747A MRPQLFRAAARSFRVPKVSSYPRTFATTAPRTAEVEVTIDGKKI SVEAGSALIQACEKAGSTIPRYCYHEKLLIAGNCRMCLVEVERAPKPVASCAFPVQPG MNVKTSSPLVHKAREGVMEFLLANHPLDCPICDQGGECDLQDQSMRYGADRGRFHEVG GKRAVEDKNIGPLVKTSMNRCIHCTRCVRFMNDVAGAPELGTTGRGNDMQIGTYLEKN LDSELSGNIIDLCPVGALTSKPYAFRARPWELKHTESIDVHDALGSNVRIDSRGLEVM RIIPRLNDDINEEWINDKSRFACDGLKTQRLTTPLIRKEGKFVPATWEQALTEISSAQ QKLQPSANEFKAIAGHLVDAESLVAMKDLANKLGSDNLALDQPGGSSPIAHGVDVRSN YLFNSKVYGIEEADAILLVATNPRHEASVLNARIRKQYLRSDLEVGLVGEKFDSTFDY EHLGVDISALKSVLSGQFGEKLASAQRPMIIVGSAAAEHPDAKAIFEVIGGFVDKHTN NFNTPEWQGYNVLQRAASRPAAYEVGFTTPSPEVAQAKPKMVWLLGADEVSQSDVPSD AFVVYQGHHGDRGAQLADVVLPGAAYTEKSGTYINTEGRVQMTRAATSLPGAARDDWK IIRAVSEFLGTPLPYDDIEALRDRMEEISPVMRRYDVVEATSLPLLSKIQLVEQNKGT QPTNAPFKKVIEDFYFTDSISRSSPTMARCSAAKATGNPETNFMAAGEMSPQALYG ACHE_60748A MTTTVITGTGRRSSTRPSELQIPAKTSISHMTSPIDKFPPFSDE PFDTPAGQGEPHSSTSRYAPRDLWESRKGGAFTREHGNGSTRVSKHRPRKSISEAIST IRTRNASVSANAQELAQALRAPVSYQLIFLCLIWYMTSAVTNTSSKSILTALPKPITL TAVQFAFVSIWCLVLAYLSTVVPSLRRSIPALKNGILYPSRDVIMTALPLAIFQLAGH ILSSMATSLIPVSLVHTIKGLSPLFTVLAYRVLFRIRYAKATYLSLVPLTSGVMLACS TGFSTNFFGILCALVAALVFVSQNIFSKKLFNEASRAESEQLSGRRKLDKLNLLCYCS GIAFILTLPIWICSEGYSLIKDFMQDGVISLSGKKNSLDHGELFLEFVFNGVSHFAQN ILAFVLLSMISPVSYSVASLVKRVFVIVVAIVWFGSPTTSVQALGIALTFLGLYLYDR NSHDDLADRRANADYFRAKESVLPLNARTPTSTPWDSNGYAFPSGKDSQGPFSENPHY LAHHLKKEDDTPGHVRSRGGSTSRAWLPPGTKQESTWQPSDS ACHE_60749S MIYKSQNLLLSVLLLAIYSLQSVVCGHDRMGPHLDNPHQIPFLG TNGNVSLSRTWQILGPFRSGTREAIWGADPLEYYGGFSNLSYNTDTIFSSALAVNGTA RWSLVRADISSISPGTTKASLNVRFPEVDWTLLQSVYGWAALQYQAWARGSLDVNRPN GQTIALYMSGLLEFLVDGKRHFGGDFYSYRRAPVILHLPQGQHTLDLRLTRDVRALGA TGEPTIGVNVEAEERQMSLSIDSGTLLVSDITQGKLGSSWASINVQNNLAEWVEIVSL SSPDATQYVLDMESLHLSPYQIRPLIFNISIESPLKLDFSIDIIYKTNTEKGEVLGSL PFQMKLVEKSLSEAQKITFLHPAKIVSYAILRPPPLTSICGVREGRNTMPVMIALHGA GLQADTPLVRGMLDAADYVCSWKLLPTGVTPWSGDDWHIWGTSDVQAAIATIPQWIRN VNWQGPHAAVDDWIITGHSNGGQGVWYLSTHYPDKIIAAAPVSGYSSIENYVPYSMWH DSDPLVSSVLQRSRSSYKHELLLENAAGIPILQQHGSNDDNVPVYHSRLMHELLERLQ LPSQYNELPGKGHWFEGIMTTSSLLGFYEEKAISQYRPKIPLSFTINIPSSGAMGSMY GIYVDQLQSPDMNGKIEVTRDLKNEVWYLQTQNIHRFHLSVSTRVEVPVALVLDRMER PFIVDPGLCEKTWYLRGPLDQWVASNGTEWKNIYQRHGRQMGAMDAILRSNGTFTIST CSAGAEHIALQISRNLLQYFAADSLIVEKRSSIAPSLDQTSKNGPGNVIVLAVGDDLP PSKNPAFPIRVCRGNLVLSDAYSSHYANETGLGSEKAQKYVSEYTYQKGLGALFLRPL ENERLELMIWGADLHGLEQAARLVPILTGVGQPDFIVLSDSCRWKGHGGLFAAGHFNT FWQISPGSFLSRGPGTYGSCLL ACHE_60750S MFYHTRSDSYVLTDLSIRIIGSQSHKQKLEHPKHVSSVGQSEME KILTKLSLKPQSGAKTSPSQDILAKLSEQQTILGKQKHFLVNTNAVPIGRAQVEAIGP SSTTLANGPLEGSAPGETKSIEATEVLRLKQELLAANSKIALQEQELAQTRVMNHTLD QALGSPSELEFNGREVSEQTISQLQNAFNASNGAFSHFQDTWNGQEDSRSDVSDAHSA GAYNKAHGFWAAPPQQQFGMSVNPPASDNQLGDSLSLNANSIGPEPSKFWAGSPTASG IPVSNSFPSQRVLAGPSSGTANFHGRFPDEHARYLPAPGPGPRRSMSQMNRGGSCFPP QSSPWGTFSPGIPTSPISRSPADRGCNTYQQVPMYQVPPYNPRPIGTPLSPTATEFTS ANGNVMPWTTSLVGGGTSMPTHVPPLEPLNYRRLLDKNVSCDWKYIVDKIVCNNDQQA SIFLQQKLKIGTTEQKYDMVEAIVNQAYPLMVNRFGNFLVQRCFEHGTPEQVVAIANT IKGNALSLSMDPFGCHVIQKAFDCVPEEHKAIMVHELLRRIPETVIHRYACHVWQKLF ELRWSGEPPQIMAKVNEALRGMWHEVALGETGSLVVQNIFENCVEDEKRPAIEEVIAK IDVLAHGQFGNWCIQHICEHGAPHDRSRAIEHILLRSVDYSMDQFASKIVEKCLKIGG SEFLDRYLSRVCTGRTDRPRMPLIDIAGDQYGNYLVQWILINAASHQRELVASHIRKH MVSLRGSKFGSRVAMLCCNPSHATRPGPGTGMQIGRFNNFGDDRFQLTGQTGGRFGRG GQWNSNYPPFR ACHE_60751A MEQTTEPQSGASQASLFERLKSYPFNTDSEFANGLSIILGHPDV PASETEINRNDDLVLQAKCFYFSRKEDLSPPLDFAAFKVWLAQGAGAVQSSHPSVQPG EPSMLSNPTRTSAQEPTYPSSFAHIVELITTGQPIPGIQQIPDTVLTGHDTSSEQPKR LKPWEKERDKSSETV ACHE_60752A MADSDEEYIGDVTEDEDLQGSQSAAASRAKRRRKQRGGAEWEVS RTWETLVEGADGTISSTVEGLLEAGKRKRLLRDTTPLQRGIIRHLILVLDLSQSMAEK DLRPTRYLLTIRYAQEFVREFFEQNPISQLGLLGLRDGLAVRISDMSGNPTEHINAIQ ALRTQDPKGLPSLQNGLEMARGSLFHTPSHGTREILIIFGSLLSSDPGDIHQMISTLV NDRIRVGIVGLAAQVAICRELCAKTNGGDDTTYGVALNEQHFRELVMDVTTPPATYSQ KQSASSLLMMGFPSRTVEASPSLCACHSKPSCGGYLCSRCSSKVCGLPAECPACGLTL ILSTHLARSYHHLFPLKNWVEVPWQRASKSSACFACGITFPSVPPEDQWPVTENSAKG MSVSSRYECTVCINHFCIDCDLFAHEVVHNCPGCQSKQIPEKVEDSSSGHLANVDTMD TTG ACHE_60753S MWRSRCIASARYAGVTTTEAIRCRPPTYRPLFPSIYSSFFSISP SGSPGHSTSFENYSRPRPSGISSFRSLKLHLPISRVRYCSAEAHAMAAKDREILPDVA KPLHYHVSLYDLQLGGSWGYNGTVKVDARITRPTQEIVLNSKDIEVQNVEILTHNGDK LAKASEITYNKKSERVSFKFPQEVTPSDIVLSINFKGTMNNAMAGFYRSKYKPVAETA TDTPKEGDFYYMLSTQFESCDARRAIPCFDEPSLKATFDFEIEVPKGQTALSNMPVKS ERVGSNPGLKFVTFERTPVMSTYLLAWAVGDFDYVEAMTQRKYKGKSIPVRVYTTKGL KEQARFALECAHRTVDYFSEVFEVEYPLPKADLLAVHEFAMGAMENWGLITYRTTAVL FDEGKSDTRYKNRIAYVVAHELAHQWFGNLVTMDWWNELWLNEGFATWVGWLAVDHFY PEWNIWSQFVAEGVQQAFQLDSLRASHPIEVPVKNALEVDQIFDHISYLKGSSVIRML SEHLGRETFLRGVADYLRTHAYGNATTNDLWSALSNASKQDVNSFMVSENQTEILSSF QLIFPKDPWIRKIGFPVVTVAEEQGQVSIRQNRFLSTGDVKPEEDETTWWIPLGIKSD PSSTDVDSRALNSRVDTVEGINRDSFYKINKNLSGFYRTNYPADRLAKLGKSLDLLST EDKIGLIGDAAALAVSGEGTTAALLALLNGFKGEQNYLVWSQISSSLSNLRSVFSQNE DAASGLKKFALEVTTPAAEKIGWDFKPDEDYLTVQLRKLLITVAGLAGHEGIVAEAKR RFELWATGQDKTAVHTNLRSAIFGIAISEGGRKEYDAVKDEYLKTDSVDGKEICLAAL GRIKTADLVNDYLDFVFSDKVAIQDVHNGAVSLAANSKVRHLLWEYMKNNWSIVEARL SANNVVFERFVRMGLSKFADHQVGADITSFFQNKDTSAYNRALVIVSDSIRTNASYKE RDEKAVLEWLQAH ACHE_60754S MNPEYDYLFKLLLIGDSGVGKSCLLLRFADDTYTESYISTIGVD FKIRTIELDGKTVKLQIWDTAGQERFRTITSSYYRGAHGICVVYDVTDMDSFNNVKQW LQEIDRYATEGVNKLLVGNKSDMEDKKVVEYTVAKEFADSLGIPFLETSAKNASNVEQ AFLTMARQIKERMGTATINNKPTVQVSQGQGVQSGSAGGCC ACHE_60755S MSQSTIEQQKKAAAASAAAQQSDNVAHALSGAGGGILSMALTYP LITLSTRAQVESKRAHSTTLDAVRRIVQREGFSGLYSGLESALFGISVTNFVYYYWYE WTRSAFEKAAIKAGRASKKLTTFESMVAGAIAGSATVMITNPIWVINTRMTARKSDSD EQTLPGTPPKKARASTINTLMELLREEGPKALFAGVLPALVLVINPILQYTIFEQLKN VLERRRRVTPKDAFYLGALGKILATSITYPYITIKSRMHVASRDGPKESLNGSLKRII KEEGYVGLYKGIGPKVTQSAITAAFLFAFKDVIYGMTVAARRRTQAVSK ACHE_60756S MPKYMSDIENNQGLTQEAQLLLSQFMRNSYAKHRPAYVKNSKLM LPNRNSAPTPAARRSGRQRTGPINYYERINLFAERDSNDNASERSRNSSVPFRPRGSH SSLPLDSRPEPPQSFQHSRIDVPRPRPDNPPPCRTSRNPKNLNRLLRSRELGNANRQL QVGFAQDLKPSRYWQGASNDVIVLAWSPDGTRFAAGATAQSDEHNMEYNRGKNLVLGD LTRNSIKELPDHWVKRPCRRAASGQNQTDTRLFMSVTAMQWFDDILFTSSYDNTVKLW DVSSHANAGCAGTLGHNSKVEVMAPSNFDNQILATGTDSIGLWNIKERKHIPLEFQQR QRRKDIELVSTSLAWGTIPATRNILLAGLSEKDEKDEGVPQNGLLAAWRMDEASTTPI HLSPNAQNIFDIKWHPSLPLFLTGSAPTPSRASLARSVVRLYDPLRSKMHKIELDCPA LDINDVTFCPMNPYYVTASCTDGVTYLWDYRKPGDILLRLEHDGPSEQIDESLPRERV DVGVRMALWGHGPDQFYSGASDAVLKRWNILCSQEDVHVDDVARFPGAVMCGSFSSDK TNLLIGDSAGGLHVLSSRPFQASDEQSMVFESATEAIHDDGDQQADPNAEAQLANNML LCGELVRHPVYGVGKGPHYKGPFASWARPDSTPRHLIAETPLKEEVLIRQLDGAPLND RRGLDEQSRRAIASRIQFSRIRNQRRQGNKRKRNYSTISTKPTSSSSNNFIDLCSSEE TDDESPLPPLPTGRSKRRARKIDDPVTTKAEPEIIDLTLESDPEENASPLTIETLLEE LEEDREEDFWWPASGTINPNFPKEGEDDP ACHE_60757S MPKSNPRRDRLADKIDKEGFFSPPCLRCSEMSASNMSCECKRIS SNRKCNNCVRSGVKCERDFHNERKWQNLERDRMRLAADLEDAERSNDEALARLSETSA KLARLRKHKRFLEARNKAMLENDVALLEELDSQVSWPVAETASLDAQLAAVTDDPSLS QMMNSPSFWENFDSAVAGGIPSPTGGNQSSSQ ACHE_60758S MSFAPPPGPPPPSVPDGWKPQFDDRYKQWYFVNLRTGKSQWEHP EALAQKQEELHEPPSVPPPSYEESGAGDSAGDSAAGASDKKGGLGSNNPYNQEKDKED LVESDARLAARLQAEENARSGTQSPAVQPGAAADYYSDGSHAQSPGPSSAQNLAPQDT GRGNKTRSRSFLSRLMGKAKPSSGSSGNPFGGVYSRPPPPPPQSYGYPPSGYYGGYAQ PQVGGYGYSQYPIQGGYAQPQRRHGGMGNAGAAALGVGGGLLGGALLAEAFDGGDNTY IENNYGGDDGGDYGGGGDDFGGGDFGDF ACHE_60759A MHFSIISIPFILLLATTAICSSLTITIPPSNLLPNPNVLPAGTH ATLTTLPSSSQDQAIRNAFLTAPLTRSAAFVFRNLQPSTPAQAASYLLDIRSAEYVFA PYRVDVATDGKVLGVWETFRGNPWDNRGAEKFNAVDAAAKKDVTVEAKLLARRGFYEQ RSKFSPLSLVKNPMILLALVAMAFMFGMPKLMENMDPEMRAEFEKQSRSSPISGSARS AMSGGGGGGPGNFDLAGWMAGTAPGPMAGVEAAAQGAATGRESSVARRRG ACHE_60760A MFSSQPLHAARAAELREEPPKGTPYSVAMSGSEQPGRSKVYRAW NAQNSLLKTLDPQISTAHDMFESTANRQPKAHCLGWRPYNPVTRKFGNYQWLDYQTVQ KRRAAFGAGLAELHNKHGCNRSGSYGVGLWCQNRPEWQITDLACMSQGLYSVSIYDVL ASDATEYIINHAELNCVVTSLPHIPTLLKLKPSLPNLKIIVSLDPLDSGEQAGHSKRA LLESVAAGQDVAIYTIEQVEQLGASLNRPYNPPSPSDIVTINYTSGTTGPPKGVVLTH GNAVAAAASALVMSEQKPGDTALSYLPLAHIYARLAEHASFWAGGRIGYFHGNITELV DDLKLLRPTGFMSVPRLYSRFGNVIRASTVEQPGVKGAMSRHIVSTKMANLKNPDPSQ ATAKHALYDRIWAKKVAAAMGLDRAKFMVSGSAPLDPSLHNFLRVVLGIDLIQGYGLT ETYAVSTAQSAQDLTAGNCGRLAPSTEACLASLPDMEYSVDDKPYPRGELLLRGPTQF REYFKNPEETSKAVTEDGWFRTGDVCAVDEMGRFIIIDRRKNVLKLAQGEYISPERLE GVYLSELGYFAQGYIHGDSVQTFLVGIFGVQPDAFAAYASKVLGRTIGATDLDAIKSV LDDDKIRRAVLRDLEKVAKKHKLAGYERVKNCRLMLDPFTIENDLLTPTLKLKRPPTI KKYRYVLDELYAQALEEQSAPKAKL ACHE_60761A MSSEQQQQQPPPRFETLQLHAGQEPDPTTKSRAVPIYATTSYTF DDSAHGARLFGLKQFGNIYSRIMNPTVDVFEKRIAALEGGVAAVAASSGQSAQFMAVS ALAHAGDNIVSTTNLYGGTYNQFKVLFPRLGINTKFVQGDKPEDIAAAIDERTKAVYV ETIGNPRYNVPDFSELARVAHEKGVPLVVDNTFGAGGFFCRPIDHGADIVVHSATKWI GGHGTTVAGVVVDSGKFDWGKHAARFPQFVEPSAGYHGLKFWETFGPITFAIRVRVEI LRDLGTALNPFAAQQLLLGLETLSLRAERHASNAITLAKWLQKNENVNWVSYPGLEDH PSHETAKRYLQRGYGGVLSFGVKGGAAAGSQLVDGFKLISNLANVGDSKTLAIHPWST THEQLTDQERLDSGTTEDGIRISVGTEHIDDIIADFEQSFKAAAAAASTSLPDRTT ACHE_60762S MLFNGVWTSFVAVPFLVLAPTYFPNLAHRLILVGVESVTMIFWF AGFIALAVALPGPSYCHGSDCSSLQAATTFGAFEWVLFAITSATAVMGVMRSGPSKTA NVGV ACHE_60763S MGAEQDQFSVTSKSAAEKGNMAGQDPIQVDAHGYKSQELSSVDV EKNGTSGGPEQEPELKRNLKNRHLQMIAIGGTVGTGLFISSGTAVGHAGPVGAVIAYL FVGTIVYSVMASLGELTTYIPVAGAFTSYATRLIDPSLGFSMGWIYWWSWASTFAVEL TATGLIIQFWEENINIAIFIAVFWVVILLLNLLPVSFYGEIEFWFSTIKVVTVLGFMI FAICIDAGAGNREYLGFRYWVHPGAFAPYLIEGNDSLAKFVGFWSVLIQAGFSYQGTE LVGIAAAETENPRQTVPSAIRKTFYRILFFFVLTIFFIGLLVPYDNGDMTKDGNNANS SPLVIAAKLAGVQVLPHIINAVLLTVVLSAANSNVYSGSRILLGLANEGMAPRWFKYT TKRGVPCYSVVFTALFGLLGFLNTSNSGSTVFTWLTNISGVAGFITWASLNACHLAFM RALKARDISRDLLPYKAAWQPWYSYYGLFFNVLIILTQGFTSFIHGFSVTDFFIAYIS LILFVVLYVGHKLIFRTSTVSSHEADIDTGREPLANETWETSDPAAVPWYIKGYHWIR RF ACHE_60764A MSSAVAELENYLQSMLALKPPGVSGSKINSITSLCTANVQNESV LIQKIYTHFKKAPGTHKLGVLYVVDSVTRQWVEAARRAGQPAGSAAPDGTFAAGVNRV TELLTVLMTDIINNAPEDQKEKIKKLVDIWERGNTFPPHMINDFKEKLNAPALNNVKS TTPEGSPGPNHIPYGAPQQQQASNGAASATAPQSAPDTSSILKALADMAKQNTAAPAA PAVPAQTNNPLAALTPQTAAAPQPVTTSVDQASQQGAAQPNVNPFAAGTMAANPFAAL AGMAQNPALVQPQSQSQTPNPMTAPQNPLAALLPQAAAVPAQPTPAMAPDALQQQLQL VQLLMAQGIPQDQWATALQLLSLSSSAGMGGMPGQAPGFPGLSSQNLGAWGGRPDSQT RDLDPRERDYMRSPPGQYRRRSRSPGWDRRRDVSPPRRRDSPVYGEYHGDSPGRRGGD PRDMRGRRDYRQRSPPGRRRRSPSPRKDLALPPPGPKLIEWDYSIGQGNLKVLSRTLF VGGVTSSEAHLRSLFGKFGIVQTCIVNIDKRHAFIKMICRNDAVNAREGMESYKSGDM QLRTRWGVGFGPRDCSDYQTGVSVIPIERLTEADRKWLLTAEYGGTGGRPMESGMVVE EPDIEIGAGVSSKAISRRIATDTGGKRGPVSSRTQQDRFRRPERENPPGAGGQGDRDA ANVNNVGVPPAVPGFGFSFPGMPMFPPGFMMGGAQAGSQPPPPGQGS ACHE_60765S MASALKLGTSTLRSSIAAKPAVQSAAFNGLRAYSTGKAKSLKET FADKLPGELEKVKKLRKEHGNKVIGEVTLDQAYGGARGIKSLVWEGSVLDSEEGIRFR GKTIPECQELLPKAPGGQEPLPEGLFWLLLTGEVPSEQQVRDLSAEWAARSDLPKFLE ELIDRCPSTLHPMAQFSLAVTALEHESAFAKAYAKGINKKDYWNYTFEDSMDLIAKLP TIAAKIYRNVFKDGKVAPIQKDKDYSFNLANQLGFGNNSDFVELMRLYLTIHSDHEGG NVSAHTTHLVGSALSSPMLSLAAGLNGLAGPLHGLANQEVLNWLTKMKQSVGSDLSDQ SIKDYLWSTLNSGQVVPGYGHAVLRKTDPRYVSQREFALRKLPDDPMFKLVSQVYKIA PGVLTEHGKTKNPFPNVDAHSGVLLQYYGLTEANYYTVLFGVSRALGVLPQLIIDRGL GAPIERPKSFSTEAFAKLVGAQL ACHE_60766S MSTATAQAPAPGRKLEKKPIKFSNLLLGAGLNMFEVTSLGQPLE VIKTTMAANRGDSFAGAMARIWGRGGILGYYQGLIPWAWIEASTKGAVLLFVASEAEF GAKVLGAPDFLAGIAGGMTGGVAQAYATMGFCTCMKTVEITKHKMAASGVKPPSTFAT FMDIYRKEGIRGINRGVNAVAIRQTTNWGSRFGLSRLAETAIRKVTGKDDSQKLSAIE KVLASGLGGGLSAWNQPIEVIRVEMQSKKDDPNRPKNLTVGKAFKYIYDTNGVKGLYR GVTPRIGLGIWQTVCMVALGDMAKETVEKLTGEQVTAKH ACHE_60767A MMLSRSTLSRNAPRALQVTSRRGMASASNPGLQYEVSDAAGVKI ANREVTGPTSTLALVSKAGSRYQPFPGFSEALDRFAFQSTLKRSALRITREVELLGGA FSSSHSRENVVLTTKFLSNDLPYFTELLAEVASQSKFAAWELDELVANLVKLRQQAIT ADAEYLGIDAAHGLAFHRGLGDNITPNTSVPFEKYLSVEALSEFAKDAYSKSNIALVG SGPNSSELSRWAGQFFQELPTTGTSGQYKVQDKGASKYYGGEQRISHKSENAVVIGFP GSSAFGTSGYKPEVAVLASLLGGESTIKWTPGFSLLSQATQGFSQLRVSTKSHAYSDA GLFTVGLSGNVGQVATASKNVVEAIKKVAAGEVAGEEIKKAAALAKFRALESVQTLET GLEASGSALINGGKPYQINEIAQSIDNVTEQQVKDLAKSFLSGKASVVAVGDLFQLPY AADLGLTV ACHE_60768S MTSQNYAELCTLLVEDSFGELFGRIFATLQRYDRLNLSRLKFYS RLTDRQLHHGLAAMVQQNLVFHYTSYDDGVTYYEANQRAAYYLTRSGKILEFIEARLG QYAATLMSAIMYLGHASVSHLETLPELRPEPSQTNGINGEQEHEHEEDEANGEHEDQA NGIDGEHALSEQPYLLHPTLKTLAAHGYVLRVREAHFQSYTDTILDAERVVTARPDIK SMKGKKLEEAVFEKTLEIVKEKTDGDLSHGLKHNGVPRGAKRGRGAAQPNESEVQTGQ ADVNEDGEEDEEENEWSDDEIGNDTVPMESGLVVRVNYEKLDVALRNCRFIGLAEQDS SSVTAEVYESLLRRIEYQTPRCRDTVEIPREGEEGEQYSVPIPLSAIAEDVDQQLDLS GSIGPMDISQPFSRRGKRPLEDGVNGTSHEGTNGLSSGASRTYELDQHLSLLAQPPHN LTSKRIVGGLITWTVEYRHVARTLRHLELEKMIEARYGDVALRVVRVLHAKGKLDEKR LQEISLLPFKDLRQVLATMHTGGFVDLQEVPRDAQRQPSRTIFLWFYDPDRVASSVLE DVYKAMSRCLQRLKFERSRLREFLEKTERSDVKGNEEQYLSEGELKMLEQWKAKEALL LGEVSRLDNMVAVFRDY ACHE_60769A MDPEPSLSLWQDHLQDESLMPAGDDDFTNFLEFGMPFSPQQQQQ QQQQQQQQQQQQQSLPPRSVPGNPSATASDQFVRMDTNDPTSASLHPHTGNTSGHDVS GSPSSGLSMPSYSTTTAVMTPGFYAQAQQSSHFQDHQHLPQQQPQEPSQQPASSHYHI PNGQTIIPPTPNSIELHGNAAQYPQRVDEYEQAYYTPLISPAMTPLETQFRLPEYTIP GEYFTPLTSPALEAQNANSSNGYPFPQGGPQIPDMGFLQSPADHALPASSTPSSPGLL RTKHRRHPSTTNRFSARAKKQQSPSVRPQARKKSLLSINPDEMLNDLGQDDRARHQPN GGSGGGYQFGSNESSQDSVSPEPLSEPLMPPPAIPHARRSPAIAPQTSRSQANNAPAT PAMLMRIQRSQQQSQDSTGQFTGQAKLVPGSDSHDDIMTDVVLPEASISAGPRPKMAR IDTTIHSGVPTPAASTSATPALEPKSAPVAPSPRSVAMPSPSGPIAKKSGTPKLGPSS RKRPSLSSAQPSPQIRPKISPNIQPLVPRGDGVSSETSALYLASKSNYQHILDGTLLP GVSYPETLAENLSSKRTNHKLAEQGRRNRINNALKEIEGLIPVEYAKMKIAREAAACN VKPSDKEKEKAGNQAISKASTVEMAIDYIKSLQHELAGTRLKLAAAEARLGEKEGSES SKDGSRSGSNEEATTNSKSTEVASPNVQSDGSSAAASS ACHE_60770S MRPPVSDVPLPRARKASFEQSGTDPRITSPATFFLSRRPDNDPY PDSDSDDAEATRDSMYGVQSLDEAVHQATLAASECDDIPAADSGKLSPNPASHNNNEY DATETAQRRSAFRAFELLHFKSDPSSSFSSLQPPPSDPASSRPLTPLNLSNPDDHSSS LPSSPKSLSDHSLRPLDDVSITDEQAIVSGDEDSDGREVPEAGTDGMPQLIMPSLRMP SRRPFTERGKAMGRLKVLLAGACGSGKTSLIKSIVQECEHIVHVDPFPPSSSSTRRRR LSRAQSLSNGMRSAMLEIHASTKPYPSWWSDLEDSRILRRRKSMGDIVLERNLCFVDT PATSLNRTEQTEVIIYYMRQQLSRAIGALDSSNADFQNMLAGNGGSQVDAIIFLISQD TLEEDVECIRKLCDFTNVIPVIAKSDLMSSDQIAALKSTFQKQTRAAGAKPFLFGNQL PGETETSESQMPFAVSSVKSNDEDTMDASTLMSPDYVQPLVSSELSLLVQNLFDRDHL AWMRHSASKKLVQRQYEQQRPMQSPRTYQRSPSLSSSVSILTSPNHSLSSPSFASPAL SGSLDYTLARITDHTQREERLAQVHLVKWAADLQRSLQNERERYAAMARGERAAWLTE RLSECAVDGSLVPVTTTQQPLTTFGGPLRVFPEKTRGNRAQLQTRQNIKYRHITGLTP HDPLGVVWWTDDLKQRGWKMFQVVGSFGVVGGLALWLANFWGFPPPRLADVSVDWW ACHE_60771A MSAETFEFQAEISQLLSLIINTVYSNKEIFLRELISNASDALDK IRYESLSDSSKLDSGKDLRIDIIPNAEAKTLTIRDTGIGMTKADLINNLGTIARSGTK QFMEALSAGADISMIGQFGVGFYSAYLVADRVTVVSKNNDDEQYIWESAAGGTFTLTQ DTEGEQIGRGTKIVLHLKDEQADYLQESRIKEVVRKHSEFISYPIYLHVLKETEKEVP DEEAEEKKEGEDDNKPKVEEVDEEEEKKKEEKKTKTVKESKIEEEELNKTKPIWTRNP TDITQEEYASFYKSLSNDWEDHLAVKHFSVEGQLEFRAILYIPKRAPFDLFETKKTKN NIKLYVRRVFITDDATDLIPEWLSFIKGVVDSEDLPLNLSRETLQQNKIMKVIKKNIV KKTLELFTEIAEDREQFDKFYSAFAKNIKLGIHEDAQNRPTLAKLLRYQSTKSGDEAT SLQDYVTRMPEHQKQIYYITGESIKAVAKSPFLDSLKQKNFEVLFLVDPIDEYAFTQL KEFDGKKLVDITKDFELEESEEEKAEREKEEKEYEGLAKSLKEILGDKVEKVVVSHKL IGAPCAIRTGQFGWSANMERIMKAQALRDTSMSSYMSSKKTFEISPKSSIIQELRKKV EADGENDRTVKSITQLLFETSLLVSGFTIEEPASFAERIHKLVSLGLNIDEDTETTEE KAAEESAPAAATGESSMEEVD ACHE_60772A MADTTQAPINGTYPTQVYADSYNHVMNNSANFQPAQSSTPSNAP PADQKNGISKDEVGWYFVEQYYTNMSRSPDKLHLFYSRRSQFVFGTEAESVPVAVGQK AINEKIKQLDFQDCKVRVLNVDSQASFDNILVHVIGEISNKSEPSRKFVQTFVLAEQP NGYYVLNDIFRYLTEEEEDVTAEEPAPAAQQQQQQPQEQQQGQQQEQPQQAPEAPAEP AAPEAAPAAPDAQVDNEPAVAKVDEKLEEAKPNGEAAEPKAAPETNGVAETQEAPVAA SAPALAAEPESTQTEYPPSPEPTPKAVQKEAPAPEKEAPAPTPAAPAAPKTWANIASK PGAAPVVPAIPVAAPKAPAPTSAPQAAVPAAPAPQPAAAPAPTPGQPAANDNNGWQTA GHDHRKTQSRGVDEQVSGYIKNVTDKIDAGLLRQTLSRFGKVKNLEVSRPRNCAFVDF AEPAGYAAAVAANPHQIGSEQINVEERRARNYGNANYGPRGGAGRGRGDRAGSQGRGG FQRDGRGGFVPRGRGNFGPKGQGRNQAQTA ACHE_60773A MARQNSLESSRPIMPSNRASQRFSTVSGTPSIASNGGLPSGDPR LAEVNHVRDGLERLENKPLQKQRFVPTPEKTESLDKLAIGAKMERALGRRMTGQDAVM RKPVVSEKAATEAST ACHE_60774S MRAVTTYDQGLGKHPTSFDLAYNKARVQYEITQHPKLAAQLEAP LLQALQVALQSHKDALTLDQENADVLFNTGQVLTSLAEVVSDIKHPSDQQLTQAVQCL QEALELFQRCFAVQEVRFTETQEEIKQMESGDMQVPDVQPQSEPEPQPQSDANDEAAE DAPEQWAAVVEPVTKDSLVDTAVAQLEALTTLCNLLTFNPGDGLPWVEEYSSDLVQNR MPAYVDGSSREYEATMARAKFICALTEVMYRSGRVEVETYHQEITRVFGPELNLSADP EGLCSKADAHMSFNTAVADLPPTHDPEAFKKSLVLRWKSLSTALDSLTAASKLPDADN LPKIHIARGDVEMNRWRLGMAPWEYGMAQQNGSLLLRNAQTYYRGAAALARRDGAAEE TRDGTCKEAFAAGLEGQKDKLMQLRRAAPKELVAVAEDMVDDGLASPMELEALLSS ACHE_60775A MAGNNINTGNANTQSQRPASPSPPPPAPVPLTPGPRASRLQQVF EQALARTLRANSYSNFASCFPTPAKHVPASLESVWRQLNAKLEESAKAEFEDIVLERD AVRQLNELDRLVGEARYRRDNGDNEMREEGENVAPHTLGAEQLYQAHLIPFLQEAQSN LNEKIDATHAENATLAQEIQGQRVEIENLMSSLESVVADLEGAAAAATQYSKENDLRQ ETIQMDEDIKGRSEI ACHE_60776A MVSQSGIQAGTNSCLCRFQAFMIQWFMPADALWAFTMACNVYLT FFRKYNSEQLRRLEWKFVVCCYGLPFIPAFTYFFIETKDRGKVYGSAVLWCWVAPKWD FLRIAMFYGPVWLIIILTLVIYVRAGKVIYQKRRQLREFDSSIDSNFEIGNPFEPSSF TKVTEIKVTTESAIAATRIPNLARDSIGSRLQRPFKTVPYSPYSVSIEAGGAFEKLSG PTTTLKSIKNELLDSAPQRHAMVAEANLAAWAYTKYALLFFIALLVTWAPSTANRVYA WARPDSFDFGLNYVSSFVLPLQGFWNSLIYMSISWPVFKAAIRDLRAYYTVASMGWIK IRLFPSRVISNRLRGSHGAFRSDSTHDLTV ACHE_60777A MDRQQPSSFQQLEKLGEGTYATVFKGRNRQTGELVALKEIHLDS EEGTPSTAIREISLMKELKHESIVSLYDVIHTENKLMLVFEFMDRDLKKYMDTRTERG QLDHVTIKSFMYQLLKGIAFCHDNRVLHRDLKPQNLLINKRGQLKLGDFGLARAFGIP VNTFSNEVVTLWYRAPDVLLGSRTYNTSIDIWSAGCIMAEMYTGRPLFPGTTNEDQLL KIFRLMGTPSERTWPGISQLPEYKPNYQVYATQDLGLILPQIDPLGLDLLNRMLQLRP ELRISANDALQHPWFHDLAQLQFQQQQAQQQQQMMGAYGMMPPQQPY ACHE_60778A MTTPSIRIPFTGRLPPAIITPPSARTVAGAIDAITSFLTAPPSP HLRGIDVGRNSQTVLLTGAGISVASGLSDYRGENGTYITNKTYRPIYYHEFVTRHESR KRYWARSFVGWPGLQKAKPNMTHWAIKDLGAKGYLSSVVTQNVDSFHSFAHPELPTIE LHGYLRSVVCTTCLNQFSREEFQKSLERLNPAWAEFLAKMVDIGALDTDNPREQRRKG LKLNPDGDVDLAEAPYSTFRYPSCPACLEKQPQPKDCTQTRVEVESDGAWLPSSNAGI LKPAVIMFGENIDPTVKTIAEEAIDDAGRLLVLGSSLATFSAWRLVERAYNRGMPIGI INVGGVRNESVIFGVPQHEGPVGSQHVRCSLLSENILPPIATQLPPLSSQI ACHE_60779S MFPLQAANLDLDALSGICGSISIACWVVVFSPQIIQNFRQGSAD GLSLLFLAIWLAGDVFNILGAVLQGVLPTMIMLAVYYTLADIVLLGQCFYYRGLTLQD DSSAPIARAERADPEENAASATERTALLSPHHHAQDQSSRAPGERGHSLRDSIHPPFV DATHLSPATPLVEPSPDVVRPTQNVSTIQHIAFNVTAIALVCAAGVLGWYVSPKNKTP KSPDSENAALAFDTLGQVFGYLCAVLYLGSRLPQLLLNYKRKSTEGVSLLFFLFACIG NLAYVLSIFAYSPVCEGDSYEKIGHCRPGEAGALYWRYILVNLSWLIGSFGTLLQDMA IFTQFFLYKDNKYAS ACHE_60780A MNAASQGDKALSTSDTLSAIQHFTQALVELPRAPAYYIKRSTAY SRVKAADGGPKSSASLRDAEIALTLARERGKRELILSAQMRRGVALYQLERFGDAGFV FEMIQEKTSGGSGGNDKEEEVRDAMGAGGAAKKNGYEQELPIWVAKVKGRLNKLGEGN EKGVVSVKEYPSDVRIPTEKELKAQLEALKAGKTETEESVSGVGQKSDDAAKTDKNGA ASASSTSTPSAAPVPEKVRHEWYQSNDSVVVTLYVKGIAKDSVAIELKEDSVSLQFPL PSGTDYDFTLDPLFTTIDPANSKVSVMSTKIDITLRKRTPGQKWNALEASAADVKLAD RQAAADSKPTTGKGPSYPTSSRHGAKDWDKLASSLDKKPKSKKEDKEAGKDESGNESD GAESVDSDFGGGDAVDGFFKKLYANADPDTRRAMVKSYVESQGTSLSTNWGEVGKGKV EAKPPSD ACHE_60781A MAADHIGPWRTDAQGHLTPDENGDLKTDYSRWRLLDDRGRQTWH YLENDEENEKWPQSVADKYFLGLPTGVPDLPTAKTPLQAAENGLQFFSNLQLDPGNWA CEYGGPMFLLPGIIITYYVTNTPIPPEYATEIRRYLFARQNPDDGGWGLHIEGHSSVF GTSMNYVTLRLVGAHEDDPRMIKARGLLHKFGGALYGPHWAKFWLSVLGVMDWDCVNP VPPEIWLLPDWVPFAPWRWWIHIRQVFLPMGYLWSKKFSHPVTPLTKQLREELYTQPY DSIDFAAHRNSIHEADNYYPKTWLLNTLNQILVKIWNPYLRHPAIVKRAEEWSWELIR MEDENTDYSGLAPVSNPMNMVACYLHDGPDSYSVRRHRERLHDYMWVKNEGMLANGTN GVQVWDTAFITQCIVVAGFADDPKWRPMLTKALEFLEDHQMRENVPDQEKCYRQHRKG AWPFSTKTQGYTVSDCTAEGLRSTIQLQEMHGYPKLISVDRLKDSVDCLLLMQNPSGG FTEYETTRGSTKLEWLNAAEVFGGIMIGYDYPECTTASVTALSLFSKYYPDYRADEIR AAKQKAVRYIKRVQRADGSWYGSWGICFTYAAMFALESLASIGETYENSDFSRRGCEF LLSKQMEDGGWGESYLSSERHVYTHHENSQVVQTAWACLALMEADYPDKKPLRKAMKL LMSRQQSSGEWLQEAVEGVFNQSCMISYPNYKLYWPIRALGLYSRKFGNEELI ACHE_60782S MEDKPENADSPASHDDQTPQSQVQDYIDNTLAAALAELDSPDGN PAITLTRRYKKGAFFINHDNGALETSETETQISYTWPGKDRHEAWRFTVVFRVLEAIA QAIGSGLVVSKRDIYYNDPACFGSQRVVDMVIDDIAHTIGVDRAALNVEAAAKGLVAG YYRLTTSSDKVIDARFMTNDVLIPRAEDIKEVDMSDVKWVLILEKEAVYRRLARSSYH TRAAAGKGILVTGKGYPDLSTRAFVRRLLDSSCRGSDTRFYALVDNDPDGMAIMSTYK FGSRAHARENSYLNAPELQRLGLCTSDVVSGADPLGDDAFIPLTPRDRRKAVAMLKNS PVWAVDGPEPEWRAELQQMLMLNLKAETEILYQREGGLEGWIDRKMAASL ACHE_60783A MSSVAQKRLFHEYKNLSTNPPDGITAGPVTEDDMYHWEALIQGP EGTPFEGGVFAAELKFPKDYPLSPPTMKFVGGGVWHPNVYPNGTVCISILHPPGDDPN HYEHASERWSPIQSVEKILISVMSMLAEPNDESPANVEAAKMWRERRAEYERKVKEEV RKGLGL ACHE_60784A MWSAVRPSPIRWLRAPGRALSSSFTRGRASPRGPRNSIRRLEPI PNIRREGAQPLHPESLNGETSNPAANYEPSQNTLLSPVHIPEDPNAVLKENHPATGLL ANSGLVVQRQLELMNVMIGFEQANKYVIMDANGNHVGYMAEQEKGLGSTMARQWFRTH RSFVTHVFDRHENEVLRFHRPFSYISSRIRVYDPLDIAGKADSSSTTLQTVSPGHLVQ ASGESNAKVSPLGIEDMRVVGEAQQQWAPLRRKYNLFTYHPSPDASTNMETKRLPLAD SGLSQAQQMQLIRSGESGHEQGEFSQFAYVDEPFLSWDFALRSADDKLIGSVNRDFAG FAREFFTDTGVYALRMDSASLSQAEQAGTQSDVATGMSLDQRAVMLATAVSVDFDYFS RHSGSGGFGFMPLWIPGMGGDAAAGGAAAGGAAAGEAGAIGEAATGTAGAAGRAGAAG GMAEGASAGAAGAGAMAGYEAMQRGMGEPYAQDASSDQQPPTSMDQPPTPGQPGPYGD YWAEEQHEDVWDEDPWSDDGGDDGGNDWF ACHE_60785A MLLNLIKPLWALLLLASVAQAQFQFFEHMFGGGGGGQRQARQQA EPHDGPSDPSWYQNEWENARCSKYLCPDTLACVHFPHHCPCPHPDVEEKIELGEGSAV CVSKGGYKAGEASRKIELARKGLL ACHE_60786S MFQSRLLTPLRAMERTFVPSIRSAPAGHRLPSTSLLPRPVSGPT LSSPTLSKLLPISQARHASHAAQGAANRHSRDPAGKRLGAKRTGGEYVVPGCIIYKQR GTKWFPGENCALGRDHTIYATEAGYVRYYLDPERHPDRKYIGVCFEKEGKLPTPSNAP SRRKLNRVAVARVPETPEQHQSDLMVATGPEGTMVAGVEAVNAESGPQLRPGYMYREA NWQIGRAAEKAGITAKPYDRRNRWMAWRKRQAKAERAAQMKSLKGKKGSKKGKGGR ACHE_60787A MDTQDSIDIVKLKRAALGQESQSQSVLSHIHYPRQDQALKENAP EAQACSQEVRRASPRSPLHEQNATNGTTTTPINASRPNNRLLQRVNGKMGSGETPSDT QVVSQSVFDHIIQQNNDDNTLKTLHEGDPGHIDLLAGFDHTQLDTSNTNTNNIEDNEN EDQGDADPSSPLEYQPNLFPESQRFITKTPATVVKQNHFEDRSQNKSPLVSFNPLASA RSNNGTMALSQMFESTQVPSSPIVNRLYSDQTSERPSPNLPIQHHSLAAGTALSSPTM KLAATFPQHSSESNLNYITMKESQAEREKRTQAERMTRSAEHIYSEDQSDDDEFNKEP SFVERMKRRKVIDELANAQFAGFTAPARPASSRSSRSSKRGGKSKSPEEQEPRGQTDG PDERQEPIVPSQNGSISEEETEQEEDDEVYLPMPRSQELNISAEEDKENHNGIPASPV IATASAHDRLSQVLALQTSPSPNSKMPTEKPISHRHSSNPDGQDEVGRSSQIYIVRDS QQSPTRGSQTNKPMQRTNSQTTLSQQQVARLDVDKQSPPPPPVTQRNSSPSLPNNSHT LACTRSPSASLVESSSQLPKIPPATDFVRTQSSNPASQRAVVCGENASNQEKSSSMSS CVVETPVHQQSRSFADVVPGTTVPETSPNRLQNQGLNSDINGDAAQEDDDLPPVYPSD HDRASQLRPLAPPSSLAVMKAFNSKILSSPSGRQRKALTEIASEASPGGPANFDIDFG ILTADDREFRSMVAMSPDPPRKKRRGNDGQNVYASDPVLPVTPRPNTPRFAPFQKQEQ IPEEPQPDMPQEEPQTVFRKRSKPSNRVDTIWDVDASPEYHVSRTGRRSFFRSRLQQA AHGQEPERDIAGPPKETIEALVSMSDEMYAISTPTGNSHRPAEMPKVGAARDVTAQDA TTQKEPTPVRTSSPGGPQIAHNQVLAPWSGPKRAYYPATCFGTPFGTSASRYLVKFED SLPVEVPITTVKRLELRVGDVVKVEIPKFPKIPHVIRGFDDRISEEDFNNAVNSGFIP MTDVYGNLSVILEPKARKSISNEALPRTGSMVQVPISRIYLDTILWNQLKDRAFSHDA NAKTVEERPQTPALAGVPATPTPPSSRLSRTMRYMGGIFAGMVFAVSYVDDDAGKSRV SRLIMEHGGRIIDGFNELFDFPSNVPIAIPTTKQSADPAQNKTNLRLTRSAEDLGFAC VIADKHSRREKYMQALALNLPCLSGRWVEDCIAQNRIIDWEMYLLPAGDSTYLNGAAK SRMLTPTLAVNARFSHTITARPKLLDGQSVLIVMGRGKEEEKSRAYIFLTYALGASRV ERVYDLKSAKTFLDQEAEAGHGNSWDWVYVHFHDQEAVRAEFLQSTDTESNSGRGTKR RKMSHFMGCISGQELGLSSKITVVGNDFVCQSLILGRLFGA ACHE_60788A MTSQPPSTPSPAPEVAEAASSKIEPTQENVRPAPARRPRPQRPN YKHIHRFPLPLTVQSLPPLIPHNPLSVISVALSYLTYLISPPHQELYSAYFDSNTSSV HVTDEKTIRALWEMGFFGKGSLSRSEPSWLEREKKRRGQFGGKTSEDVTRERRTERRE LKLERARMEKLAIEQQLQAEAAAKENGTASPDVDADNTSNGTASTTEKFSLRKAREAK MLESQQSGEQTPMADSGNASPGRKTVRFSPVVQEKQFISDSAVLQLPESLIDGHKADE EFLLKNEEHLQLSNEEALFLAYGLGALQVYDCDQKNTIPTSSLLTLFRQHSYFPPRSP AANLDPDDPFLVSYVVYHHYRSLGWIVRSGVKFGVDYLLYNRGPVFSHAEFALVVIPS YARPYWSETEERRAHAEEKQARSWWWLHCVNRVQAQVRKSLVICYVEVPPPDDAQALE NDIGALLGRYKVRDVTIKRWVPNRSRD ACHE_60789S MHLMYTLDKEGKRVYTLKKVLNGEVTKSAHPARFSPDDKYSRHR VTLKKRYGLLLTQQPEKEASQL ACHE_60790A MDPFVLAHPDFGIQNFIVSEEDELQGIIDWDGFAAVPRTLGNEG YPGWLTRDWDSAMYGYNESMEHGVELEGVWEDSPESLAYHCGICDGIMARHRVERRGG SEANFCRMSLITENLAIAVNAPQCRNGILRKMVHEIWAAVGQDEQLDFEDLIDMLAKS NVADMVMEMLHRGFHILLSKEGL ACHE_60791A MAQLEKFSFRNGGHLLFGSDGNSSGTGLMRCVDRKAELDRWFVD DDPDDNPIYIECAASSDPKAHYTLMLDRHPEQKQVPKGLAMLLHQLIS ACHE_60792A MTEATNPKASPKPVYTIVLDAGPILKNTPPLSTLLAQCEELITT PAVVREIRDPDARARVETLYLPFLKQRSPNPKSVAVLSEFARKTGDRSVLSKTDIEVL ALAYEIECERNGGDWRLRSVPGQKQVNGKPPVKEEEKKDEKEGEQETEDKPQSADVDA VTESLNATTLENGAPQPEQESTPATETVEEPEAKDPDAQDGEESDGGEWITPSNLKKR QIRDESASTSTAPEPKVMQVATMTTDFACQNVLLTMNLNLLSTTTLQRIRHLKSFIKR CHACFLTTKDMNKQFCPRCGKDTLTRVSCTTDANGQFTMHLKKNMTWNTRGNRYSIPK PVAGSSSGKWKGGGGKGGWGTELVLAEDQKEYMRASAEQARRQRKERDLMDEDYLPGI LTGERNKQGGRLKVGAGRNVNSRKR ACHE_60793S MTDSHPYMTQRGAQGMAVSIIFTGLATSFVLARLYTRIWIMKRM EANDWMILVALVNSFVFMALFILESLNGMGMHIAHIPPPILIAQMKAFWATIPFYNAA VLTAKATILMQYHRVFPTRRMRHISWTMIALLATYGTWCVLSAFLNCIPVAKFWDPSI EGYCLSQKGLWFSNAAMHIATDLAILVIPAPALVALELPRRQKVALIAIFALGGFVCV TSICRLVALKIIADSSDPTYDNVAAASWSAVECNVGIICACLPTLRPLVSRMMPRLLS TLSGTDTARMSRGQAPTHDTEYGDAAAEAEKVLAMVRGNMPDNGNGADLEKYMSVQDH KEARVKTEASMGSLSSSSSSRRK ACHE_60794A MARKTFATPQHSNMAYRSAAPSTADNGSIKPEDYSQPYCDFMAD NPTIFHAVNAFAKQLEQHGYEHLPERDVWTGKLKRGGKYYVTRNSSSLIAFAIGSEYQ SGNGHAIVAGHVDALTAKLKPVSKLPNKAGYQQLAVAPYAGGLGKTWWDRDLSIGGRV LVRDENTGKVESKLVKLGWPIARIPTLAEHFGAPSQGPFNQETQMVPIIGIDNSDLFE KQQDAPANGIKAGTFAASQSPKLATIISKELGVDPNHILNWELELYDSQHAQLGGLDK DFIFAGRIDDKLCCYAAQEALLASPDSASPGVVKIVGMFDDEEIGSLLRQGARSNLLS SVMERITEAFAANYGPNVLAQTVANSFLVSSDVCHAVNPNFLGVYLENHAPRLNVGVA VSTDSNGHMTTDSVSHALLSRVAERSGSTLQLFQIRNDSRSGGTIGPMTSARIGMRSI DAGIPQLSMHSIRATTGSLDPGLGVKLFKGFFDHYEEVDKEFAEF ACHE_60795A MTPEQWESDITEAQKAHIDGFALNVGPQDSYTDDVVHKAFAAAE KLGNFSLFLSFDYGTGGPWPVDRVINMVNHYKCSSAYFYYHRKPLVSTFEGTQSVDDW PRIKDATGCVFIPTWTSLGPSGITNTFHVVDGAFSWDAWPVGADDKDTTSDEAWVKAL AGRPYMMPVSPWFYTNLPQWQKNWLWRGDDLWHYRWQQIMEMQPAFVQIISWNDYGET HYIGPIYEPGIPEGAAHYVSNHSHDAWRELLPHYIDVYKTRNGTNATTTHRMAETNAY SPKYPVSYEEKISYWYRTNPSTSGSSSGTTGNNPAMGQKELNPGQVSQDRVFVTVLVT APSGVQVQIGDHVPTVLRACAAGINHFSVPFNGQTGPVKMAIMRDGHEIVTTTGPAIT DQCVDGKVNWNAVVGSSNGTHTA ACHE_60796S MATTQAANIAERMVGHGDNADITTDITNYSKHEAGQETDEKIKA TIWTGKKSVQVVEMPKPRVIDQDDAIVKITGSTICGSDLHLYHGVIPQMQKGDVLGHE FCGVVESAGPGVKNFKTGERVVASFPIACGECFNCKKKLTSQCDRTNANTIENAMYGK RTSGFFGYSHFTGGFAGGQAEYVRVPHADMNLLGLPEDVPDERGLYLSDVLSTSWHCV VDTGVNKDDVVAVWGAGPIGQMVVEFSFYHGASRVILIDGGDASWRLDLVKSKIPRVE LLDFTKLPKGESVTSQLKNMVHHGPDVALDCTSGEYAKGWAHYFETLLGMETDTSEML NEMITALRPFGRIGVTGIYAGYTNHFNIGAIMQTGIRLIGNGQAPVHRYWEHLLDLVR RKEIEPLKIVTHRVRLEDMEKLYQQFEKRENGIQKVFVATKYSAPPCPGAPALTEL ACHE_60797S MSTPLAPAGTPAPSIAPLAPALAAKPSIAPASPAPATPGSITSK EWVIPPRPKPGRKPATDTPPTKRKAQNRAAQRAFRERRAARVNELEDQMKQMEDEHDL HTAAFKEQISNLSHEVEQCRNEMAWWRDRCHALEKEVSVEKSAKEALVKEFRSSFADR NTTQSDAVPLPPRGQKRKNAESTRVHTTESTREEVPLGCGSCSTAHCQCIEDAFAMPG VETHESNKRRSEPEIKPEPEEMEVDFTSRFAAAPPPEDHSSPSIASPVDPCGFCQDGT PCICAEMAAQEDEHRQRENFENNRLAPIQNLSQFTPPPSDGDVRSEVTLPSISEATNP CANGPGTCAQCMADPRSTLFCKTLAASRAGGIAPRGGGGCCGGKGADGGCCGGGGGGG GARSKPVPKPTLSSRDSPSLTLSCADAFTTLSRHPKFSRASDELSSWLPKLHMLPNPR DLELAEKSNARAAMEVEAASVMGVLRYFDRRFADK ACHE_60798S MKPYIPGPGEKIKSYPAGVVSFISRMLNSYTAVATRGRGLVPFI HSSQMKHPPSSPLTTCLSLVRMCQNPLPRNEDAAAVIIQREMENITAVRESYYDMSLL AAFQAYLVYTVVLFFRLSQTGNNHLRQAMINLQELAHASCRRGLVCAADQHRTRPRWE EWIVTEAKRRTLYVMYLFDSILSAQEGLPTYLGTELHGLPAPAAKSLWQAQVRGDWER EYNIYLAEWMEQALTIDELWPIPTDLDEFGIAKRRERVDHWLENIDEFGTMLYAVMSC THGS ACHE_60799A MSCLIGAIENTPEGQALIANYIRWNDAVHRKTPRPLIVFTTLRF SHGQPEVERSKPFADLIAPFGTFEAGTPEAQIDGRFTLDEKDVLLHKTSPGLTLSGVV MSTIYRLFDLDYNIFVIADNVVALPVGQNAEFKKVMLDTLLPKMNLKAISLDEAIQAL CQS ACHE_60800A MASREGINPLRPYYIPPSLGLEHNNTSASTPDIAPSSSTQVFSS SARDLLPDLDYSEYLDSSSSASDWIRDAVNRAFWRYTTVLTAQPFDVAKTILQAYVVP DPYEEGSRANRRRSVPRGGESAYGTTEDEEDDYHSSDDESSYFTSAAPRGLSPGASHS RGRSRRREITDRSGYIPSSTSSRYALSLKNPSSLMETISQLWATSGPTSLWKASNATF IYSLLLPTLNTFIRSLLSAIVGLPEEEIASSMTADILASTSPIATLVLSFISSSISSL ILAPIDTARTFLILTPATHGPRSLLRAIKLLPTPNSTIPGHLIPITILHSSLPNFILT TTPFFLKTYLSLDPILNPSMWSMFTFLGSGLEMAIRFPLETVLRRAQIASYTCPSIRQ SPATSRASGLSPRDASPSTPADPAAEIETIIPTPKTYRGIIGTIWGIVYEEGATAPPE NERAHELLKKPVSQKKKQGQGIQGLYRGWRIGMWGIVGIWGAGVLGSAGGSGDEEVMV SGGRF ACHE_60801S MSLFREACHQLRHIPKTASRTYLSRPAPRQSLCLADSSRLQLSQ RNNAVWRTGLNTRSFSVTARAQHGHLTPPKPGEEINVTIVDKDGDKHDFQVAEGDNLL DIAQANDLEMEGACGGSCACSTCHVIVEDPDMFDKMEEPSDDENDMLDLAFGLTETSR LGCQVPMTKDLDGMVVRLPSMTRNLQASDFEQK ACHE_60802A MAPSSVAKKRKVLDGMQGKTNRPSKKFRKQREYHSSDEEDNDDE KTHFKAVSLADSDAEDDMKAKPPQKKTKTLGQNQKPKAAKKEESKESEESDADSDGDD EEEDASDNSDVSDEGEGDEGASRERSVPKRNDPSAFSTSISKILSTKLPTSARADPVL SRSKAASQTTTDFADEKLDKQARAKLRAEKKEELDRGRIRDVQGIARGQAGPVAEEEK RLRKIAQRGVVKLFNAVRAAQVRGEEAAKQERKKGTIGIGEREKAANEVSRQGFLDLI SGKKGKPLNIEEA ACHE_60803S MVLQDLGRRINAAVNDLTRSNNLDEKAFDDMIKEICSALLSADV NVRLVQSLRKSIKSSVNFASLPPAVNKKRLIQKAVFDELTALVDPHAEPFRPKKGRSN VIMFVGLQGAGKTTTCTKLARHYQMRGFKTALVCADTFRAGAFDQLKQNATKAKIPYY GSLTQTDPAVVAAEGVSKFKKERFEIIIVDTSGRHRQEEELFAEMTQIQTAVTPDQTI LVLDSTIGQAAEAQSAAFKATADFGAIIITKTDGHAAGGGAISAVAATHTPIIYLGTG EHLMDLERFEPKAFIQKLLGMGDVAGLVEHVQAVTKDSAGAKETYKHISEGIYTLRDF RENITSIMKMGPLSKLSGMIPGLSNLTAGLDDEDGSLKLRRMIYIFDSMTTAELDGDG KNFVDQPTRMVRIACGSGTTVREVEDLLSQHRMMAGMAKKVGGQKKQMQRAQNMLKGG NKDQQLAAMQKRMASMGGAGGGLPGMGGMPGMGDMAKMMQMMGGQGGGGGLPGLGGMD LQSMMSQMSGLMGGGGGRGRGR ACHE_60804A MDITEFKQSAAASSGDSVLKTLLTGLPSPRLPRTSRLTALVNIV LTLFTLDFLLRGLVLYSGEDLTLSRVGYVSPTTASLLIREPDPAQLPLTVSYQAVGED LQSKWIEEGIIYKLDNTTDFTTSVVLKGLKPSSQYRYALSNNRTGTFSTAPLPGTESA HALTFLTSSCMKPNFPYNPLSHPLRFPGVEQVSETISKLLFRPAFMLFLGDFIYIDVP MRFGSSISHYRSEYRRVYSSPSWTISPKTPAIDLPWLHTLDDHEIENDWSHGNTTAPY PAAAEPYIHYHVSVNPPIPPTPFAKPQDTTYFSFIHGPASFFVLDSRTYRSEPAQINS TILGSAQLQSLLAYLARPEPAEVRWKIIASSVPFTKNWHVGTTDTWGGFLNERRTVFE AMWRAERELGVRIALLSGDRHEFASTRFPDPSLGFDTEDLEPNTAGEGVHEFSVGPLS MFYLPVRSYWQSDREDVTVKYIPDGNVKYGLIDIRNGEEPVDTHSGTPVFVPSSVLTY SLYVDGAVVWEYRLSVPLPEKQGRLPAGSTAKHPRLPPGKVVRDERQAEGWDVRVKDV IGRLEEMVGLVRRSVVEWYYEAVEGARRAERVD ACHE_60805A MPPIPGLQTQRRIVVYHQTICPDGGPVVSMLPLVENNTGITHVI LAAFHLNDTPGDITLNNDPPDHPSYNDLWAEVPRMKASGVKIMGLLGGAAQGSFRNLD GSEAQFEQYYQPFLAMIRRHQLDGIDLDVEEHMSLEGVTRLIDRLKSDLGDEFIITLA PVAAALLGIGNLSGFDYQQLDASRGSKISWYNTQFYNGWGPADDPRMYAAIIAQGWSP QRVVFGLLTNSDNGSQGYVPLETMSVILSMLIEQYPNFGGVMGWEYFNSMPGGREKPW IWAAVMAFSMNMKDVVFAARQIVGAGFLANMMRPR ACHE_60806S MIRSIQAAKRLDSRENPTVQVDLTIDKGKSTPSTFRALVPSGAS TGASEATELRDNKPSVYGRKGVDTTVQNVEKVIAPALIKSGLRVDTDQRKIDALLKHL DGTKNKSKLGANAILGTSRACARAGAAYLDIPLYEFLRQESGAKKPYVMPVPFFNVLN GGVHSGNKLAFQETMIAPVGATSITEAVRMGSEVYQQLKKIIVKKFGPAAAGVGDERG FAPPISQPHEALELLTEAVADCGYSDKVRFAIDPASSEFFRDGKYDLGFKGSTSDDRT PKQLRELYRSLLEKYPIVLLEDPFSENDWDNWNEFNKGCEVDLVGDDLLVKNTEYVQE ANDKKACNSMLLKINQIGTIAEAIAAANLAYSFNWSVFVSHRSGETLDDFIADLAVGL RAGHLKSGAPCRGERVAKYNRLLDIEADLRAKGETSLYAGTQFRVAHKI ACHE_60807S MFNGTQEDSSAVYRDDHWFLWYSDSFSTFIRDVLFLALSNNLPV PFGPYSDASLFATSPPGTSAPNGGFDFMTIVAVLFNGYGLPLASRMLGAHIAIFLTLW TPVYRTGDCKKSSTR ACHE_60808S MQRFIIRPTPLIHISPNPSTAWTTCRFATAFHHPNPGNFANRPR EQLSEAGRKGGRKGGKARGVGGFHNMDPEKQHEIASRGGHASKKAAKQRYRVPEEEPA EPATAPPGFERSASA ACHE_60809S MSNTGYSSPFPTSHDIMGASTLITPFNMPSSPPTPVREAPESQS HSPLGSPVPQSPTLDRLAKHDAEDSDDDDEEDRDVARQREIDSPVLSRASSPDRAAMA DLDARLAEYSIDFRRLGGDKDDDMLPGMKRFIEEDKVSEVGGPEDFTANLEKYLMGDD EDEERLERGPEEQRVQEQEDDQGENEEKGHAREQEQNSKLQSAENEAELGEYSEFGPP VDMSTPSHLLHRTQSGIAKDVTQMEGIEEDDEMKPAITPSIRKQRQRSLSSRFEEEKT KDLHQQIAHLRQALQDRDEQLEKNHNRVREAASAGEQIRHLQAELQRKTTLLDELQEQ RNEEELLREQVRLLQKQNQDREMSFRNSMNSTDISALRRQMENMQKELQNQNTSGLDA ERMGTIAHLRQQLSLSQDQLKKRDATLDETMAKLREVTTGKEQQLREKNTEIDSLRAQ IDDQALEIEKLETDVDRANTDYQTLESRIASLETQNAPLEERNSTLEADLTRAQSQVT AQENALKVMAADLPTGTGGQKTFTEILDLIRDLDPQEEEPAPFIPGARSSTRDYELEY LRQEIARLQNELNKKSESEKTLQAELAQSRDQATETQSLFKSIEAENTRLTKRADDLK SNFDRVQRELQQLRTDHSSALQTIDRLQQGDTTFQQPSPPPSPPGPHSQNANPNPNTQ TTQTIVALQASHRTQLKTLQNLLFAAEQRESCLRSDLQSLRASTTFTSTADPRLDTLT SEVERLQSIIAAKDETAVAMDAKIARSVEKREKEWERRVELLLRERERMGKALLWTWG EKEVGDGVKENVYAEDGRKVRQGYRYKYANPSGNGSKSGSANARRG ACHE_60810A MVNRPVLPKKGGAWARVVIFISLYVLLLESLIEWVLILYLYGIK RVDSKMMPSLILSLIASFLTVPLLSIHSLLAWQFNKAGRGPQKNALSNISAYALRLNV AIWLSASVAGLVVVSQQASCLPDDSNASYWRVGVSCALHRATVIVEVLSFITICIYFC SRELCDRPYDVSLLGVYNQQNRTRDGSITSNTSWDSENTLKNDILYYCRRPEPTYGGP GLHWPSNDDTMFEKPEDVTAIQPAPFRAKPQLKLSTTLVPESAEFRSGSVSPMAAASE TDPVSRTSTVLTSGTNEPPSIAELPAPEVPQIPTKFSHKRQKSSMSLRKFLPRSLPIS LPLSDDPQIRALSNPNIYFDLEKEAEKAFLSDSSESFQRPNSPLKADIPKHQSCPIIQ LDPPQQDQRTMTMSSADAPEVVDPEPQKIQRSNTATAAAHLSQPLPRSMNHPHHPSQL RSVPSTSVMQSLTRGPSTASVHSGARLITISPSPEPKQQQPDIRRLPSRRYSNSTTNS SNTNRRSSQLYQFDQSQAPRHFHRPHPHFNYPLRHNIGTVPRRNDVEIIYPSTRRSRS STYGGISSIVPLDSIMESRASFDEVPGCVGADDNGASAGVGVGAHIIDENTYRGTNWT SMPGSGC ACHE_60811A MHLHITTIAGLMLGLCSASTVEEVCTSRDVVESLNRLELDARRS MDIIRSGDPDSGIVAKHPPVENTFDNLAKYKDRDLTCTAGPLPPQEQQEICHQYNEYA KVQIRTFHTALHEKFFYTLSSNSSSARSLYDMGETYREFILPFSRKLATVAPDCAEDM LNGRKLILAYVYGFLEMYLPPKDEL ACHE_60812A MSTKTPILTDKAPKPLPGIYSQAIVAGGVVYCSGAVAMDPETGK IIDGDVKAHTHQCIKNLTHILEASGSDINKVVKVNVFLSDMDNFADMNSVYTQYWGDV KPCRTCVAVKTLPLNTDVEIECIATL ACHE_60813S MAASHPQPPYPLHDSVKNLLNPEYVAFYNKHVINLQQVHLQPVA ASRTSGVLIPGGGPLLDVGKTEDITIQRRVTEGPDVQVRVFTPKGEEPRGGWPVMLYF HGGGWVLGNINTENTVCTNLCARSNCVVVTVDYRLAPEHPWPAAVHDCWEALLWLLAE GPSRLSVNTSRMATGGSSAGGNLASIMTQKALTLSPPVRFLAQLLSVPVTDNTATVSN NSAYRLYEHTPALPAAKMEWYRNHYLPNEADRINPEASPLFYTGDWSLLPPALVMVGE LDVLRTEGEEYAAKLESAGVEVNLQVMKGMPHPFLAMDGVLEEGRRSINLMVEMLNLV FH ACHE_60814S MVSSKLVATVGALALAPVASAFDAQAKTNVAVYYGQGTNQQRLS HFCHETSLDIINLGFVNVFPDQGVLGMPGTNFGNQCDGLTSNVGNTSTQFLTGCHQLA EDIPICQAAGKKVLLSLGGDSGSVQKIESEKSAINFADFLWDSFGPKSNNLALLGSPR PFGDAVVDGFDFDIEVGGGNGYATMINRLREHFAEDPSRTFYISGAPQCPIPDAQLGD AIANAVFDFVWVQFYNTEGCSARNFVQGNGNPGFNYDEWVTVIKNSANPDAKLLVGLP ASPDMAIEGFYLNPAEVEPLVSKYMNKYSETFGGIMLWEATASDNNVINNGTYADNMK EILYRYGPKPTPTPTPTPTPSQTPSHTPSSSSISVHSSSPAPSSSPVSSKTPVLSSTR TASSSSVVFTPSSSVLATSSTKASSSPISTGVSQSSSQVASSSVAPSSTPIASGKPTQ SHSSAFSQASSSAPATSTPVASSTHSPSRSAVASTSSSSLATSSAPKASSSPVLTRVS QSSSQVASSSSIPAKSSSSVIASNKPTTSGKPTTSSSVAVSASQSVTSSAVPTALTSK PVISTKSSSSVLINSTPVVGSQSSVIPSASSASVGVPGSSPAITPSASVTGLPSATAT ESKTVTTAIVTSYIDICPTGFTTVTTIYTTTYCPETAVPATPTMKPSVPTGWTTVATV CTHCAATPTTVTLTLPPKTTGPAGAMSTGTSNGNESGNSGNTVTGTETDSTITATVIG NNSMPKPSGALSSHASQKPQDSAGTSLRVPTAAVTPSGPVSTPLIRVPTGTGSARASS TLYARPTGTASRVPVSPSGTTGTEPLFTGGAAKNVGSGVAIAMAVAAVLL ACHE_60815S MGLMDKIMDKVIEKAQGGGSHSEESYGGGGYGGGDSGYSSGPPP PQDLPYPWVARYDPNSGRQYYVNEQTGETSWEHPGSRGGGGYGGEYGGGYGGGSGGYG GGGYGEGSGYAPQGHEEKKDHSMLYGVGGAALGLAGGALAMHEGEKIHDGWEEKKDDI EEGVHDFPENAAHWTGEKVGEAEQIPDNIEEGFDRFGNKVEDGWDNTVENIEDAPENI AEWTGEKVGAVESFGDNIGDAYDEGEAEGRGDDEW ACHE_60816S MANIPTQNGTPGTSQHSQDLRVSSSGSTTAVRNEFDIEFEETCP VENSLIVSEKGPETNNTRGLQDKNVKDLTDFGHLGSVAEPTQPQTNDGDVREIVHHGL TVEVDVTQEQSHQQAFSSIETTNYSNSELVGTEQEYPDPPVATSLGLPEGYVEALGTS RQSSARMTPPIYSNSYTHISQSSSTSALDSTLNVGGESRAEISSSRKQLSRDLKARLS QM ACHE_60817A MLHHPFRLLLSIFLGLAALTQLVLSAPTKGVTEDTKVLGLKAAN FESKARVLLYSSDKQTAWGRFPEYRNKADTQEIINAVKEVALAGYGYFSGTSGYFKTN LVAAMYDDQNGIFFSTIPHGKYVEELDGDIKKAREWRAKRQQLRQECKPEDKVFEESN SLKPNAPGWATAAGQKKYKPHAEDGVLYYYEKATGTRTSEVAERKETECWWLNSPKTY MSVFGLMPGEHIAKFHLACGEDNNNLSCEAMLQHFRIQQAPTAPLTRRSMGEIEARAA AKSKQHEDQDLKCKQKKDEL ACHE_60818S MENTFISEDPGFILQDDLFRSIETDLSQPQQEEENILIQLTDAS TKPLKVLKLEYTSDLPEYPSTDPNGYGYVINVPPNQQRETVEDMVNSIQYCVRQNYRN RPSSHSSFLGTSYTSSSYRCSGIKICEYAGIQLKNMHHTHVTDDLWTILQDIRQRIHE MERDTTKDAAYRFYRSAKNLFKNQLSCYHFQNSCQPKLTQSSIPNPLGGFDFYVRCIN APSDPAGHYTYRVPKNGSVHLQFLEGLLNNEIIMDMEECGAVESIKSKSLYCAYDHPQ GPGKLVHAKCNVTFHWLIPTDLSQNPYFVFMSHGVHTHVPPPPRKAPAKIMNGILQSI NQARSPSLTLGTFLKSPALQSFCAEHNCHTIQQIHESFSNMDPIQAVIRKQRLLHYPA GQNVNGVMFELGKNKDLQEYIHEVYQQNDQIMIICILKEQAELLHTLSSIEIDMSFKR VQSKEMKEVVFATYLADQKKIMTLCRVFTTEDTTEGYYILFKKIYHIVYKLTGKRITF RALHGTGCHNLASLVSYLGF ACHE_60819A MHKMSHTIISANETISKLPTEILFHIFSFLPTTSDQGIGDFDAQ WRPLRLVCLQWRNVVDMFIYSHLTLPSQNEDHGSLRLERCLKQMDRRPHRWKYLHSLS LNVQGDSERGYCISLIKEATRKGSVINQLALTTEILSFDQALSETIAGLPLTSLDLSG VKFGIGLRTIWKYFNLPTLQHIHLSQITWSDDMLDLLRSLKFDQNWVYLAEEHIVEYQ QLNHPDKCEQLLPVGCHQNLKSLVLPVPAAEPDVADLLFLWPAHLKRVTFLFIHDTLY AESYPAPVIESLLNLHRDSLERIELPPIPGNGLPNLSAFHNISELHLHASSFFNTTPF DASSKLEAPCLQRLTIDFTKHEPFSRSVGGSVDPEDFSNHKSNFLEHFIITQKSGQSK TCLRQIFLRFGPETIAEDSILLELWSADLVEEVSAVAASYDISLTYNKPVYVEGRGEF PRRVLDRTQHMIFDLLLEPPTQDGVHVDAIKNSLGLPTAEVESAIEGMLRDGILFTTV DADTFDIIDYFS ACHE_60820A MDFPRSFAQKSSVIDRLLHRIQLDLVYEYVHLAIPLGGLTGIVD FNEADIATVCREILDGLILFTSKLGISHGSVDCSNVLLNEKGEIQLGRQDLALDFSKR LTITLANVGDSTLNSNMLSNWKSDLAAVGFLVICLSDKTALISTVAPLKTALSSPACG FIEKSKTESAKELLNDELLYPATPGGAEA ACHE_60821A MIGYGDVNFSQELGGKKNLTGAGDSPIILQSKVQNIFRWSTTFQ EEECSWFNAYSLTDIHARQDLQIDGLRESISHVLDLLENEIRLLGGQSNRVYLGGISQ GMAMALWALFCATHQVHSQLGGFVGFCGWFPFAQQVEELETANSSSTSGEKTEIQQCQ LLDFFHDTIAYPKTSPADVVNNASILSTPVFLSHGTDDPWVSVELGRQAFRVVRQIMD HVEWSEFMGAEGDSHWIKEPEGFDCVLQFFEKCTQS ACHE_60822A MFTQKLPNSKETDHLKSAQEDAFAKVNALLFNDISAKFKAYPSM DMTALLEQKRKTYSALRKAFGDEAVQSAISASDASHTEPTVESPDVRDCFQIPADVKV VQPLKKTVLQAVQTGSFSGQTDPDSSAIIGGLNRLLASCEIVWHLGSTAVLGLNSELI VKVGYDIDINHIHTLDYIKQQAPDTPIPEIHGILQQSDSKRIFLFMSQLPGEPLDSKW RLLNTDQKTSIKVQLGAIVKNFRSIPAPPAEEANAVLGGGNPRRCKDTRRQVRIATGP ISNEIEFNQFLVSNSTRSECDGIAMIKSYLDTNHKIVMTHGDLHPRNIMVTINPRSSD RDGGEVSFQKALDTTTGTSDLSNSQVTVTGILDWEMCGWYPEYWEYVKALNTITIGGD FGDWWSYLPDNIGVWPKEHAVDVMLSRWHG ACHE_60823S MEDNQRDAPNTHSEEAESPPQPSTREVDDSMNEERLQPESTTEV DDSQGVNESSLEGENDSPENRDGLVNELESSNREGSVNKHQTERRSARTHQPSNALIE SRESEEIYSRKRKAEGEQDDDRPAQRMRAQLARLAIATELLIGDREYEVAHKAREKAG IRIPKSYSEAINDPIYGAKWKEAIHKELSTLMSFGTWKVIPRKQADGNISTCRWVFDV KLGLDGRIDRFKARLVARGNEQSDDDFDETFAPVFRLDSLRILCAIAARYGLIAHVMD ALSAFAGSRLDKPNCMEIPEGLQDFDPDAKEGMVLKLLMSLYGLRQSAYLWHRKISRF LKSIGFDPITADPSIFINKRGLIIALYVDDIVIFGRGEGEIDAVKRKLKEFHPMTDGG LVKKLLGIRFMWRRDGSIRLDQESYARQILEEFGMGDCNPAYVPISPSVKLNSEDTPQ LGRSEHKLFRRLIGRLIFMVVATRPDIAFAVNQLSQYLAEPRRIHLGAAKHILRYVKS TMAHGLTFSAKGREGLTVYADSAYANSAKSRSTTGFILMIDDAPITWTSRKQSVTAQS TTEAEYMAVSEAAKQVIWTRHFLYAIGKASIYGSTPTTIYEDNRGAINLADNPIDHPK TKHIAVRYHAIRDHIGNGEVRLEHLPTDQMIADALTKASHRDAHKRFIKRLSMI ACHE_60824A MKRPRSQLNVHLGSNCGMDNITSSGTDSDEQRDTTYETDLTEPD DTLSPRKCFWADESHPALDSGEPDDVGEFYDNPLDDTGIGLFKIPEDFDKAEGTILQ ACHE_60825S MATPLAGIRVVELAGLAPGPFAGLLLSDYGASVLRIDRPKPPQA PPAPDQLTRHKTSITLDLRDKSSHALLLSLLQHADILIDPYRPGVLERLGLSPATLLK NNPRLIIARMTGFRRDGKYKDMAGHDINYIAVSGVLSMLGRANEQPYAPGNLLGDFAG GGAMCFIGILLALLSRVHTGRGQVVEANMVDGSAYLAAMPRLTRKTPLWSQPRGQNLL DGGCPYYDTYETKDSGKYFAVGALEPQFYAALLRGLGFTKDQLPPREDKTNWPVLRDA FATRFKQKTRQEWEAVFDGTDACATPVLEQDELEQTGFEQRPAVHLTATPGYSIPGDD GGWNGKILAPGSGGGKTLKEWLGWELGREFEAREDGALALVRSVRTKAKL ACHE_60826S MFQSCGCASPPATTPDNTVPYHTIPHGTPNTTVTWSFFKDRTLF PLFFNFYHHFHSFFGFPSIPLYLSSLWYILFEPVCILILSFVYLLHSQPDREFISKDA V ACHE_60827S MAGVQLSNMTDFRIPQSVPARKPAPPIHHGYTAYQSYDALHPSQ QQQQQQQQQPPQQQLSPHPSVASSMASSRNRMSFVPSSYMNTSPQYPASPASQHSVNG PALYPPSRRMSTATTSTNSTGNQPVSAGATTDIRRSTSARSANAQLGYVALMRRQKAT VWCDRAQPEDPRMRAQKMAEKKRAYLEVHGAGAGRTGTLGSGKNKHGNKGVTDFSPST LVGASVPVRLSANEVGDDADDDARSDNGPVHRRTGSGRSSLGSAHRYTTGYQRPPPGP PVSSHAQTAEERVPEQPPSAENPPVEDTKPGHDDDANSFNGSEPEEYFGTVNDMKAPS AAMSATERARRAEELKRRGSVDDRTTTMSGVRLFVANPDE ACHE_60828S MEVMDTSPGLPQPRSQSEQTLSTTHETPRKRPLRDVDDSMDVDS GAGAREKENQNQENTALDQKSSTENDSAEKPAVQEAVVENTSKPQPEVIKDDVRVEIP LNAIPPPNTTAEHAEQSATPAAKRRKVSPASKEAKQQEKEAKDRQKTEEKARKEEEKA KKEEEKAKKEEEKRVKDEEKKKREAEREEEKKKKEADREEERKRREEKKKAKEEEKAQ KEEEKRKKEEERSKKARAQTRLNSFFAKPKTSTEASNAGSGAATQKESSNGTANEGTA KNVSDYYRIFPEFFLQSHTVVAPPHRFERDSEALEIMRQKVDKSLKNNDSSQEPPVFR PSELFRMIPYKRRRGRQTTTVKDILLQLQNMGSSGEGATTVEPPPGQRPQDLLNKVRM KSLRFGEDVRPPYQGTFTRNVPEPSAKKLSRNPFSRCLPETNYDYDSEAEWEEPEEGE DLDSEEEEEMSDDGEDDMDGFLDDDDDQPVDGKRRLIVGDLEPQSSGLRWQENDIDPV LHMYKIETISDSVTFPIDPFSTAYWQKPSKSSDATPATQPSNSNGTGAEGSNKTSNNN GLAILGSGPAKAKRSFPPEQLEEFKSAVNGSDLSKLGLVEILKKKFPKVSKEVLKDTL TSTATRVGNKESEKKWVCK ACHE_60829A MAATHKRPEIIALAQDLNGVPMCEQYNPNNPKLLEARHHCRGVT ADYNSFNTKTVSYDQIFAKRLEMLRRVVGKVGDGTFVEPPFLPDYGYTSLVVIGDRVQ IGTNVSIFSAGHDTSILSRQKFVEFGHPVFIEDDCWIGGNVVILPGVRIGRGSTIGAG SIVTRDVPAFSVAVGSPCRVKKTIPSPEEEERDPGNPYRVLVREDREI ACHE_60830A MVDCGLSVLMVEARDRVGGRTYTVESDGTLYEMGGTWVTHHMAY LFKEMTRYKMDKDLTLTHHRGYGNDYYTINVPDATPRTLTHEEAGQITARAWSIFVNA DGQNCRAICPLPHSQLNNILVSREEVERYDKISCRDRFEEIKHLLSTEEAWILTALLL HITGGSMVNSSLWDMIRSQALMSYDPANFSPIWTTFKLRQGQSALAGAMFQDAVDNGL QYVFQTPVKSIVERSNVVTVTTLAGRVFRARRMVSTIPLNVLHTIMFDPPLSPTRQEA ITIGHVNYMNKIHADVEGSGLTSWNGMRYPNLLMFGYGDGVTPSGRAHIVGFGKDERA TFVPERNPEKAVDAFQKLHPMEVNKMIFHNWNTDPWSLGGPAWWPPEFMTKYQEELQS RHGLVFFASADWAHGWRAAIDGALEQGSQAALQVVKEIREMRGVMARI ACHE_60831S MPSQQFFHLLNALNTLQRSDKFSAFQVINAQYKSSPDENSHIAV DILVPRILADTEISRPCPIIIRIHGGFLVTGSSLYAPWFNDWILDYAIANRAVVISPN YRLLPEATGRDILDDIHDFWHWLRNTASVDGILRQTGAGGYLATQLAITFPSAINSVI AAYPMLDLRSRFYTESYSKPIVHVPNIPASVLMDHLTANNDTGTWITAVDPPDRLELA FSIVQNGLFLDVLGAEDKYLFPMDRIEDLLSAGKSIRLPPMFIFHGEQDSAVPIEGSR KFVQFLRRMAPTTSVMLYTQDGDHGFDAGATLETPWLRDRLARISAAWLKQSSANL ACHE_60832S MIPDFSGVSLKGKTAIVTGASRGLGAGIALLLAKRGANIVVNYV TDGSAKRAQDVVNEINNQIGTKAILCRADVSKLEEIQLLVDAALKISETGQIDILIHN AAQGLEANLMDTTPEFYDQHFNCNVRGPIFLTKAVVPHIARGGRIVFISSAGARLGVS GQTVYAATKAADEALVRVWAKELGQSHNITVNCVNPGPIATDQWFQSDEQFIKEMQPM IESTPAAARVGEVDDVAPLVAFLCSDDARWTTGACLSANGGLYN ACHE_60833A MQQITYKHLPGPVGDCLKPASYATTATVPVSPTASLVYTTGHIG LRLDTAELVHESLEAEFKAIFTCLDAALKNAGAIRGLAQAYRFTSYLVRAEDEAVMQS VFRRMAPGHTPTWCSVLVKEINVKGMSAEIAAEGVVYSGDWCSCTLPYN ACHE_60834S MSSHASHSTSQLGKRTNSLAFAAMDCHTCASNGHKCDRQRPQCT TCLDQGQKCGGFAMPLSWDERRTWLGQTSRNKSLRTAPEEGDDVQRSSVSQHGDTTSP NSNPRNFRFVLNGNKTRKRRKVVQSRKENTPGPVTAAEAVTEDCLPALDGTNLDNIFL RGQQADPFPELASLAASEQWLDDPGNALFLNDTFDLSSSLDYFATLLPPELSAPSVHN PITMDRDPAESLTGPGGLPADAFPEALASLVHQGEASVEGGGVLTTQRSPVASGSGAR LVPSAPVGSQSDALLQMYDSEFCVLPITSDTELNPFRFRQPLSQAPRVLFHSIFALCC RHLSQLTGSWSSEEREHRSQASKLLESALKIDQLARRGLTLLDPILILFTLDCTISAS GRWSTHLSRVRSILETCGGATALNNARLRSQVAMMLWWDATLALVSRQGTVFSQQYLD HVAREEKNDRWSFYDLTGCPSDLVIYIFKLAQLAQQSEIASSMTWLTFDLNPVVQIES QLRAWKHFSFTAPEYSATDDSYDDSDKSERDGESAFHARQDRHHVAEAWRHALLLYIE RIFRWDRSQKRPRSIKQLARLTLNHVRCCRRTSQMQKQLLLPVFLAGSETGDEDMQDL VRGYCHWWGKRSRFNMFHSVPALLEDIWGGGKWWGLVVDEKTKGAIGENVSMQFLFG ACHE_60835A MTSSIAHNEKRTPDSTSGQGKDLGEAIDLDDAVLRAQGHVAELE RSFSWVGAIGLAYSIVNSWLTYAVIFGMNLKYGGGQTAVFALIVAAAVQWIVFLGLAE LCSAMPSSGGQYHFTHIIAPRFSKGFAAFAVGIINVVAWWINTAAGVFQPAISAFGIA MLWYPEVTGEQWQVYLCYLLVMILTLIPIFTIPKKRLDYMTKAAMLLSILGFFLVITV CLVMGRGHYHPITVIEYRGASGWGPGPAWLMSIGVGQFPFAGISACTHIAEEMPRPGR RLPRVINMTMAIGILTAAPWIVIMTTTITDMEAVQNAFSPSMEVFHQATGSKAVATFL QAYLTLLYYSKIQYPGVRNLSHKLNTACVPSQWITSSRIAWAFSRDHGLPFSSYFNHV DSKRHFPVRTTFLAVGFCVIYGLLYVASTEAFNSIVNTAILMVNITYTVPQGILATCG RKRLPRRSFNLGPVVGYAVNVFSVLWLIISGIFFCFPATKPTSLGNMNYNSVVISGLF AVLLILWIERRKKFKGPEIDLDTLNASNVL ACHE_60836A MEQKNVVVIGAGVAGLTTALLLSKIPGYKIVVAAKHMPGDYDIE YASPWAGANYSRLQSVSIRGTPAAEWDKLTWTVLEDLARNHPDTGVHFQECEIQSHAK DVGTTTAKWFAELLSTNPWFKDVVPSFRSLPQDRLHPDFDSATAFTSFCINTAIYLPW LVSQCLRHGVIFCRAVFAHILDAASPSFHPSGRVDLVVNCTGIMASKLGGVENKTVVP ARGQIVLVRNDAGKMLDVSGTDDGDGEACYVMTRAAGGGIILGGSYQLGNWGSQPDPN LAIRIMKRAVKMCPQLTGGRGIEHLDIIRHGVGLQPVRTKGVKIEKERIGNIAVVHNY GAGGAGYQSSYGCAQAAVDLVEEVLGVRHKL ACHE_60837S MTTMDKVFASYAARQAILQTSTNPFTKGIAWIEGEYFPLAEARI PMLDEGFMRSDLTYDVPSVWDGRFFRLDDHLTRLETSCSKLCLRLPLPREQVKRILVE MVSKSGIRDAFVQLIVTRGLKGVRGNKPEDIVNRLYMLVQPYVWVMDPDVQRVGGSAV VTRTVRRVPPGAIDPTVKNLQWGDLVRGLFEASDRGATYPFLTDGDTNLTEGSGFNII LVKDGVLYTPDRGVLEGVTRKSVIDVARARGFDIRVEVVPVQLTYQADEIFMSTTAGG IMPITSLDGRPINGGRIGPVTKAIWDGYWAIHYDPQFSFQVQYEDAQSGRALNGAGKL ACHE_60838A MVQLPVALTSASRAVLDKSTSEPAPSIPGLVYCVVDRQGEVLLS HASGKRGLDSPEPMTLDTTFWIASCTKMITSIACMQMVEQGKLALDDVDQVESLAPEL KAVQVLERTSDGGFRLVPKDRGITLRMLMTHTGNVPSSAGFGYAFEDLKLRDWSRPVG LDDFSGHASDVLDRPLVNQPGTKFQYGVGMDWAGVLVERVSQMSLEKYFQKYILRPIG IDNITFFPTSEMINSLAYLHQRSQDGSLSVTDHLLRYPLLPCKANAEKDRFCMGGAGC FGKPIEFCQLIATLLNDGTHPRTGVQLLKPDTVRGMFTDQIPDKPRYCNEYTPSGKPL LANPCPLVPCDENLTEGWGLSFSISHERSPTGRAAGSGSWEGLVNLFWFADRENGIGA IIASQISPYGDLRVLECMETVEKMVYDAVACTHE ACHE_60839S MLVAGLAISWVCGLVGAASVSRHVQLGSHEYFLPPQPAWSFSEW EKSLVNSDEEFIPLTVVHLNSTNRGTESIKATLDKFRWADDVWTPAFTNALYVQYPLN ATSSGNNLTVSLGPDSPVDNVFTSTGKTTANKSVPQGPYFVHKYTGKVYQAYRLYPDT SQAFLQPSYQDPNGVHHNLRVATESAGGLTVAVPSRLYFTPSKSKPLAGVRIAVKDLY DLKGMKTSGGNRALFEISKPKNATAVAVQKLIDAGAIVVGKNKLSEFAFAGPYVTEHI DYLLPFNPRGDGYNSPGDSSGGSGSAVASYGWLDASMGSDTGGSIRGPATQNGVHGNR PSHGAVNLTGALVLSNSMDTSGILARDPRVWSEINKVLYSGFAKEFTKFPKKIYVNAG DVQSALSPNELIGPGSAKLVEKLNNFTEALASLVNGNTTSLSVDDLWTSSNDTGNTTL RDLTSNLYSNLTSYEQWIAFGKDYLSTYMKSHGGKYPYMVPSTLQGWQTANGSMSPDI HREDLRKKQHFTKWISQNVFKPDEETCSDSIFLYFSYPQGSQSYKPDVSDDTNNPYIS QLTNQLSSAQEQVTTLNTTLNCNCTEFANQGACAEITDSSSEPQQNTAALPNRLASLG DLPDYAVTLGEIALPDLVSDASKKIEALPYGVDIVAGYGCDFMVQNLVTKLHEAGLIK NVQTGASVYGNR ACHE_60840A MPPVIHLVRHAQGVHNLTKANHAIHDPSLTDLGHEQCRTLRHAF PYHERIELVTASPLRRTLYTALQSFEPAFEKGDGVKLIALPDVQEISDAPCDTGNDPE VLKKEFVEKGLPVDLRLLRDGWNNKSEGRYQPTNQAIKDRARDARRWLKARPEKEIVV VTHGGFLHYLAEDWEDSSQYQATGWANTEYRTYVFSEEEHKDDIEGYALEGDNATLVE TTESRNRCGKDGPTPHRESQKTLYKLATQGWEDQGLQLSTVEREAAKVPGGKEVGGVR V ACHE_60841S MPTHDAHLQFEKWAREYGPIYSLMLGTKCLIVISSDEAVKELLD RRSRIYSHRQDMYIGQTLCSGGLRVLMMGYGPTWRMCRKMIHGLLNVVTSKSYLPYQV LENKQMLYQFLTEPNDFLKHIRRYSNALTTTMVFGWRTPTYEDPKMMQLFDGFSEFSD INQTGTAAIIDFFPFLGKLPEFLVPAQGKAKELHKNEKELYLSHWLQAKKDIRAGTIK PCFCEGMFEAQKSEGFSDDQAAYISGTLLEAGSDTTSSTLYAFVQAMLVYPDVQRKAQ AEIDRVIGPSRIPCMDDIDALPYIRCIMKETLRWMPTTIMGAVPHAVTQDDEYMGYFI PAGAGVLHNVWAIHMDPKRHPEPRRFNPDRYRGDEQSLGDAAANPDASKRDVFTFGAG RRICPGIHVAERSLFLGMARILWAFDILPKVDERTGEKIVPDTERLTQGFVCMPEPFQ ADIKPRSKERAGVVVREWEEARKENLNESLQWTMSEKQ ACHE_60842A MGAFTLLSFLSLFSSILAAPGRNQNKHLTATAIVNTPDNKSSAF QCWQINTPFDVATLDGTAAGAMNLALADVSKVNYVVRPPHEEYGLHNAPNAQIVVYLS GLINITVPTKPEQQSLILGGGHGLFFAMDTEGEGHYATYPSDEQTVGLMIPLKDGKAP EHVVLDDKPCGTTSSII ACHE_60843A MQSNSIYQCPICPRHYKRREHLKRHRSSHTSERPHRCPQCDARF QRADVLRRHLQTCLERRGSRGVTTRRRACDRCVRQKKACDLQQPCDRCAQQTVECCYS IGVDVTASEERITPASLGNAELAPAPPAPETTLAMTFRWPDFDADNPVVNSGEYDAMA LSDPNMLDNASPSWQDLIAMVSEGPPGPDNTSFQFLDKMTSNTGLAYSFDCGTPEQRA QVLSTLEREVEIEYGASSSSETLVTSESPLVDGLSLNWLNDPLSLKTHQILHFIKDVV MVKPRNSSVTLDWSSSLQQSCLQFFSPANLRKYLGLYWAIWHPNVNFIHRPSFDSTSA KPTVLAAMTLLGACMSPETSDNEDARMWFNCVEELVFTDEDFNSDFTSAASCPTLHRN IVQALQAAYMVCLYQNWEGSDASKRRIRRCRFASLVSTARDVDLTTVKHAKYSEQTRY QFEWKDFATREELIRVLIWIFLLDTAFVLFNNLPPRMVIKEMKIHMAVPDACFQASTA DDCYEQIKRLLPANSQYWNISFCNAFRSLFQENLAMNLRYDIAALGPLNLFALTSAIH SQIFQYRSNSWGTVRLLAPIRNALDNWRAIWELSVTSLPPKLSPHVDRADDDGYYNTQ IIMHQPERMWRRVGFCRYCPEYWLLANLMVSQLTELCMSGSQPRNELERLDDEPLDSI LKKYDETSMQQANDMILSFQRWQVFSC ACHE_60844S MSATTNTSQKRGLVSYGPYSTGGWKLQNLTLRPLQARELLVEIV ASGICQTDLHFAGAESGFGVHYPRIMGHEGAGYVREVGPGTQGAKPGDAVILSFSACK SCEPCHRGHPAHCFNFNVINFEVVPENYAFKEQGKSPNEANGYDIYGKFFGQSSFSSW SIVREDSVINVSGTVHTREELQLLSPLGCGIQTGTGAIINAGNATPDDRVVVLGLGGV GLSAVMGAKVAGCRQIIGIDRHESRLELAKELGATDVVKVDGLDNLAVVTEAVRGMTR GLGANITLDTTGVPALIAEGTRMTGFKGKIMQVGTAPEGGTLSIPIHEFMVSGKQFMG VVEGDVNPQEYVPRMIQWVKDGSLPLERIVKFYPAEEFEKAIGDMQSGKTVKPVILW ACHE_60845S MGARRRMGPATRAQDRLHSMRLRSEKRLNKHNGKEDASMEDAPE VCKTPTAPPREPTTPQQSPEQLRCEIPMQAQHFPCNPPENQYLPTQPERDTPPTTPTH ESPQSQLGSELQSHIAAAVASKTSQIKTTGDEVLELVSMVSQKVIDWEKQSLQGAASL GRDIRTLVLNFGKNLTTGNPSEQENHHPPHPVHNSYAKTVGSPSTAPRTQPKLPKTTY KPPQPEKPLRIFLRLSKDHPARQASPHATMDILRKHLDKTCSAAIKEIQQVPSGLAIW PKDGPGLRLLTEHRELLERLIQGATAEVEQKWAIYALPNAPQQYTSYYGTQVPVTEQM ALDEFKLQTGLSPLRFYRSNKNPLSGTLVMAVPETQVQTVPKRVYLFVLGLSQPPDLH PHP ACHE_60846S MRHNNIRISPSAWINDPMNYPFPEPPSVISLPPGRAMGTSHSIM SASYMKTPSWSAPVDAQRPPTTSTTVEKATRPPHSHGVTGRWMRFCAPSQEQGISMDG CRGLTFTARYVRHTDLKPTNPQKKKKSPLPLPFHLLLPLLPLNGYIVLVIQPKSFSAS SCEGVFASV ACHE_60847S MQNHRERRQRQSSFSHHRWSQLSPPHPSPITATAGPSNTRHQPP ALDESIRAYRTMALRQINSYQPKPWSRTRRQTISTTRNNPSGTPQSQRPVSQPVLVRT YSGNTGENIINHHHHHNNKKPPELAKNPSTPSPRRLSFPFLNIPSSPPQNKTTGPKLP PPEAFSIESILTAIDPSIQGTLTSIAEIYGRSKLSLANEYGSHIAPLGEIRAPPGYLL TVDEASSEQERQQDKTDNGGVDSCYMSFAPFSVAGDRSSVQAQPDTPRSTTETNLGFE LDTGFGEPATREFAEGDGVCGRGLLGEDGKQRVVTPAVVSEVHLDAQADQVLESGAGD DGSGSLSLLGGLQSFFNRVTQYGQARTCRQSAEVQLRAMLHRDLPAE ACHE_60848A MPLNGVKNVVLVLSGKGGVGKSSVTLQLALALSLQGKSVGILDI DLTGPSIPRLVGLEGAKITQAPGGWLPVTVHDAAHGTATAQEGQTAQTQERSGNESKR GSLRCMSLGFLLRDRGDAVIWRGPKKTAMIRQFLADVHWGETDYLLVDTPPGTSDEHI ALAEQLLTLSTTDPRIAAVNPALPRLAGAVLVTTPQAIATSDVRKEVNFCVKTKIPTL GVIENMSGYACPCCGEVSNLFSSGGGQIMAQEMGVRFLGIVPVDVKFGVLIEGDVVDG GSDSEGEEEGKTEKEVEEREPDNRPLVERYKTECYSYAKFEEFAKTLISGIEATA ACHE_60849A MENNPADHDEAVSQFCSLTGLQPSEAQEYLAANGWDIEAAVTEY FAEQDEALQDANTGGQHLGAGEESAGPSASLSGTPQQSSSGGRSRPPKKFATLRDFAS AGGDSSDDDENPSQPFFAGGEKSGLAVQNPDDLKKKIIEKASRTQLPSPDDSQPRRSH FTGTARTLGGDDAPSQVIEAPSAPAAPQLPQRVQRTLHFWADGFSVDDGDLYRSDDPR NAEILDGIRQGRAPLSIMNVQRGQEVDVEIQQHEEKYVKPKPKYQPFAGQGFRLGSPT PGVSAAPPSAPAAAPSQATSEPAKPNVDESQPTVTLQIRLGDGTRLTSRFNTTHTIDD VYQFVGAASPISQSRPWVLMTTFPSKELTDKSAVLGDLAEFKRGGVVVQKWQ ACHE_60850S MASDAHAGPKELYESGLTIPSDSENYSAPNELVSSVHSTSSSPV VLYKPPTFWSVLRGAAINLVLPFVNGLMLGFGELFAHEAAFRLGWANTKVFPTYRRAV GSGIEVRDISSRRGLKDTTSLE ACHE_60851S MLGGAGFKGRGAMPAIARQQLIVPRSSRSISTYRTQKYGGYGQI KQTLAGGLTRRATPSAVPGSIMAARFNSTSSTTSVASGATASEDAVGQATQTEAGDIS GLSLSDIDALPEHIGYLKQLGLDYGWGPSSMIEWVIEHVHVWSGLPWWASIVGTGLLV RLALFYPMLGASDTAARMQNIKHIINPLRTKMLQTNAAGNMVEATRVRAEMSKLQSDH GIKPVKSFIPFLQVPLGFGCYRVVNGMTSLPVPGLATETAGWLKDLTVADPVFILPAL SAAFMHLTLRKGGEAGMNEMMNSSFGKAFLYGLPAISFAFMAFFPSALQLYFVSTGLF ALGQAYLVQNESFRKFAGMAIPKKMAGPAAAEARNNDLRMLQDLMKAQQAKLLEAQKE SNASQEANISFIDRMVNSFKENKNNIQREATEKINEFRGSGPTKNADGSIAEPPRLSE KDQKLAADYERRRREEEEWKREERNHAKRVEYMKALERQREKARASFSQNQR ACHE_60852A MGTGLATTDLESVQVDQPRTIPFWRQVLDQGAITQDVLDYTYPG SGTAEDPFVVSWIPNDPRNPMLMPTMRKVGITIVVSTAALAVAVASSAYTGAINQVKE DLNIGTEVATLGLSLFVIGFALGPLFWAPLSEFIGRQTPFIFSLGLMTAFLAGCAGAE NIQTLAILRFLGGCAGSAPFTNSGGVISDMFQAEQRGLALSLFAAAPFMGPVIGPIVG GFLGMNAGWKWVEGLLAAFSGVLWITMTLLVPETYAPVLLRQRAKRLSTMTGKVYRTK LDIEQEGGVSLKRMFAKALLRPWVLLFREPIVLLLSVYIAIIYGALFMMFAAFPIVYQ QGRGWNQGIGGLAFLGIMVGMIFATLYSIPDNKRYLKVAKAYGGFAPPESRLPPVMLA AVCIPIGLFWFAWTNYPSIHWMASIAGCVPFGFGVVLIYLGVLSYLIDSYTVFAASAL AANAVARSMFGGIFPLFTTYMYEGLGIHWASSIPAFLTLACMPFPFIFYKYGEKIRRR CKYAAESQMFVQQLHAAARDQK ACHE_60853A MSIKLGFLPDFTSARHVIHLSDGKRLVLFRLPSIEPTKSKTKAA NETENGWSFYAMEAECPHAGGPMEDSQVDIEDSAYIVSCPWHAYDFNVETGESSVGIK ACTYPVEVRGETIFLKYSEDGISLKQLEPVTEQVKFKKQRQTQETELSESLQKSLEIS SSPVFLDDNATFCDWAVHILNTPHPEHKIELTVHLFSIFTEREKSSSPMEIGRGTITP PATPPRENLNTVKATEMPRSRGGGTLKSRITMLHALANIELWAIDLAIDICVRFSTFH AEETGKELPRAFFHDWLKVASDEAKHFSFLRTRLEEMGSYFGALPVHHGLWESATATA HDLRARICIIALVHEARGLDVNPMTIEKFRKAGDIDSVGALEVIHNDEITHVTTGHRW LTWICGQENTDPVEVFRSNVKMHFRSGLKGPFNTEARMQAGMDERYYGNYEKQPANVK VA ACHE_60854A MFERTHLYSRLVENLPPLPWQSGDPNVKGDPRKSVKWIDGLRGI ASFLVVLTHLARAWDYDLFSPRDTEDSPPRILQRPILRIPWQGRIGVTIFAFLTGYVC ALKPLKQSRNADTLGSFTSIAKSAFRRPPRLILPATIAMFISWTLAQFDGYLTALRSD CWWCRYAAPKVKETLWEEVVELGRNFLSVWTDGFMAYDDHQWALLPLLLASMLVYVLL VATMFVKFRWRLAIYLGMFLYFHQSQVENVETFQMQAIYGMFLSDLAYESSFKTFMET HTWLRKVISIPLTIAGLLIASYPGEHPDWSTWSNYMYETAPYIFPPDVNIGKRYTALG VDLIIFAIYISPSTKDFLSNRLLLWLGKQSFAVYLVHGTLLRTVLCWMLYGITAQPWD PIFDENGEFAVEPWIPIRGPVVVGISIPVWIGIVYVCASLWTRYVDTFCARITQRLEG WMFVEDEKSGREEAPALPLTTVPMRTT ACHE_60855A MSSPPPYTPPNPLKRSMTSISATSTNANATAHKRPRMHPLRQTS FPTTIDDPRSGAPFSAAASDAGSVTGSFTGSLDGAFSGAGSAMVPKGGKKRGRKSKAE KEREREREREEGISARGGEGRVGSVDVDGGSVRGGVTGNTGGGGGGGGGGGNTGAGGN EDAEEDEDFDDEGELLGREEGATDIEAEKKNLALLVDAFNPQQSERYDLFKRAKLRKE TLRRIVNHALSQSVPASVVTTINGFTKVFAGEIIEKARTVQAQWAEAHDQAARAEFDE QQRLSNSAAAESDTTIKQEPGTQSQSQRVFVPPPNPHRGQLLPAHVREALRRYKRDGE GGGVGFSGLSMGNLGVRGSVTWSAGGVGGRRIFR ACHE_60856A MGYISIPKNYTASPSSFMGTPSLTINHEATQGLDSSNAFEGPEK LLEVWFAPSANQLGSAMPAGLKAVPEEIWKDMLDLVNCQVLSIVASDDVDAYLLSESS MFVWPHKLILKTCGTTTLLSGLPRILEIAAVFAGFSKAAASRGLGVAAAPYRVFYSRK NFLFPDRQRGPHRSWRDEVRTMDRLFLNGSAYMIGKMNGDHWYLYLTEPHTLLTPPGS PRLDENFPETETKVLNVPDVATVPSPADEHDETLEVLMTDLDEQNAKQFYLDHATSVA EKRYRNFDRETGSEHVDVFSNTSSDMSDIDSEGSQILPPELTTEGHALGTVVTESSGL SDVYPKEKFPDSRIDAYLFTPCGFSANGVIPSPDRKTGTHYFTVHVTPEPHCSYASFE TNVPHSQNGQTTADIIQQVVDIFQPGRFSVTLFEAKPTSVFEGDADTPKDVKYIERHA ARRTPKTEQVVGYRRVDRIVHDLEGYDLVFRYYERNDWKGGAPRLGEEGF ACHE_60857A MSVWNPDNIRDVAESVGIVNLHNEVTENLARDVEYRIAQVLEEA LKFMRHSKRTTMTTQDVAHALRVLDVEPLYGYESTRPLRFGEASLGPGQPLFYVEDEE VDFEKLINAPLPKVPREISFTAHWLAVEGVQPSIPQNPTAADSRNLELLSKGPNANST LAAMSGTNVAVKPLVKHVLSKELQLYFEKVCSAFLDSSEEYRTSGYASLREDPGLHQL VPYFVQFIAEKVTHSLKDIFALTQVMHMTEALVQNKSLYVDPYVASLVPPILTCLIGR QLGGNADLAEQFALRDLAASLLGLIGKKYSHSSHALKPRLARSCLKTFLDPAKPFGAH YGAVIGLHSVGGPEAVRVLILPNLATYSNNLLRDGLADDNPRRPEADRVLGVLIAVLG TLKEGHLPQVNGHVPQVTEEVRERLTGKVGEIIAARIAEGGEVQLAQAILGA ACHE_60858A MSDQPAAAPTAEPVSAPAPAAAPAAEKAPESQPEQKPEEPAAST EQKTEQSSNDTPATENKDTTTMETPAAEESKPAETPANDNKENDGKEAQAEKPAYLKN NAALSQFFDRLPEILKNAEYSEMWGVTLQEDSAHVPTINILIKYLRANEGNVKLAEEQ LVKALKWRKTMDSVALAESGVYDAGKFAGLGYLTNYTGADGKETVVTWNIYGAVKDLG HAFGDLDEFIKWRVALMELAVKDLKLAEATTVIDYDGEDPYQMIQVHDYLNVSFLRMN PKVKAATKKTIDVFTTGYPELLREKFFVNVPAIMGWMFTAMKVFLSKNTTRKFHPITN GANLAREFPGLVDQFPAAYGGKSSDLQGTARTVNLTSEAPKEAPKEEPKDAPKEAGEA PKEQPQEESKESTKTETTETPATTAA ACHE_60859A MTMAHVGDKPKGILKNSSVTPRAQPPTTTTTEITISPPAPAADD DTKELTLQNTLQNAGRRRSSSTTHRVSSRRPSLANFHGEHDENTDNPRLRWDEANLYL AEQEKTAKMKIDEPKTPFAPRYDPAEDEEEMQMVEAEESLIDAQDVRVDELERGKKVV QRRPVAEEEIPELELGEAEEPQGTGGEEERIVRERRASHDGEKHVVVGGEGVDGEKGA GEDEDRLLSPEEAVEKHRKFEERRKKHYEMRNVKEILAHPEELDEMDEDEEEDEQPIP PPVPKIPERFQK ACHE_60860A MFDAQHRLSATWAYVTTLLPSSSSSKSSSAKKPSPQCDSSHSMQ VSASLPRPISPVLLEPVEHNSIQFSLPDQSIQRCASPTPSGPSTGESDASGRSTAPFI ATVPPVGSEITISSVHAPFPTSSSPSPSSFSPMPVAVSQQEQSVDVPMVSFEDFALRY RQNVHKQSQRKRLEHRLHATKVSIAVSARLTRIGAAVQRGLVEGLKQDDKINFVNLYN TLHELQESCESATRRPFHHRQDPLLEDAAAVGDLDSVLDRSPDFFRQLSPQSQTDLLD ILHSVRTDPEFLFDRLRSLTATQLASLVSSATTLEAHDPSLPSNSRSRTYPLASASNT SSFSFTSKRISSGSPFQDHALACERTDALATLLFNAFAAPLDADSPEARLRVDVWSDV CAKLITHGGSRYYPLVGHILSAWALCSDWKARSQFELYLMDILQTGAFLLEHIDSPAG VDAEPIDPLRTNVAEEFFASAVNSLFNLLDDSDAGLPHSVMELGSAILQKLGHTDLRN RFMEYFFVHWFFSKFLYGALTYPEVHGLLLDFHIRKDAREKLLGQVGLRAYSQVYTVL RSTHHSAGPLPTLQQHVDNMFNRFQSVASNMKPANDKCSPTAPGEILQPSSMFLMLSA TDVLSLLNALFPRGHTPVYQPSTPSVGSPLSSSPSGLGAQPGFIRPSTARQSTNNNSL FSTDAEFLSLPDKSLVQAADRIRFELSDLLEPDEHRANLEHPSNEDWTIFSVLSDGKR LTWGLFSDYSPSTVSDDSSGDGVKSSAWGVEQNYEALQTAIVKLVKDGHTNDHLDHGY LDLSSAGSSSISSQSLKQRFDRAMAYCNDESDFIGAHYWWNAGRQLARTVASPRATSA DDSWILHPMLASCARSLQTATTVIERCERDFVALDRKMQRLQRTVKEIMITVTKLRNK MWYMTDVKNSMRYEDAKNVALALKTMIYSARLYKNAPTESRSRGTRSFGGSLLQKPEL QVMDVIKAASSQGGPNKLSDEQVDLTRKWLSHSNIDNFCRGEERIHRFCYEVKTSINR LVGETMSETPVLWSSELFQKERAKFEGSSAPRNFLGLSNPSIRPFGVASEDSGYHSTS AGSGNSRQLASASKLSQDLPALQSLFSDRWKSSRDSSVADTSSIIGDSPGKTASTTTG DSCSTFWSSPHPPTHYAASASSLYSRPPSMLSDNVSQPPRRRERNKTHEKTAFLDGLK QTLTSLLLSDLGSPVWSCGSETDAWFGNALDKERIQTQMEKRARVQRFYDEYDERSSR PSLSRTPTVLRKSRSLEQPREAGRPGTATEECSTAATVEVQLPPFSYETVFRRLIDVF SRHGNPFVKLQALRDLRTMVIASLDTSHEEQLSERAREDPAGPRRSSRYSISEPRSDK KAQADGGQTPTTPAAESVVFDSRPSDYAAGPTENQIVDALRSLILEIKPKTVFRDLQF ISAFVPGDTLNKTDSGTAFVQFGLAALSLKDEVCNSMVEIADEIVSNELTRRHLPQGS DSHRRPGHAIEDAAGMWIITAKEGNPVAQRELAILYLTHPELLPRVTLPLTLPRDTFK AEMMYHRDEDSKSDPQSMCLALHWMQLSANGGDELARNRLREREEFESIA ACHE_60861S MSDHNDAHLSDAVTKEFTAAGYKDDHIAIILARQESLKHERTRD QGETWVKIHRGQILPETLDAYQLPWEWDKNDPNFIILKRWISEDVQEELFAHTRRLRE QRLGGLQAGLADYERIRKRKKDRKRHDEILRYFGERAQPKRRYTYVKGE ACHE_60862S MASVTPIEREEKFQSVFAREADKRRKSTGVKKDANNVVQRDDKV STPGIFRRIATSVSRAESFVLPRDSPQKAYIAPLYPNIFSIEATAQERSISGNEEILL LAVKNASPRGEIEPLNLRWMHLPHEGIEFGAFLRSALEAYGLGKQAEALTTSQFLEQA LSSFQTSSFQGKRFLACSVCKKVILPGHSDETRINMIAVPYFWRGKIPERRNRFHNSK AHWTQALVQSAYHLDSSISRESQQAIRRLYNHVPDLIHVPQLWILTIGNKFIATCSPT AIYDNSRSSITTCTVGRHPFPPTICVTMSSGFTFCLRRDQCRVWFAFLYRVNLILETT MDTRIDPRRCVYSLQEDGSLIDGNRWPSILQSHHDREPLAILVSSEASMSHQRLPVAI PLQGKYDWTSRKDDRREYPKASLEVLSPQTLDEYKIPYYWDTPKFGGDRDLIIAQYLT ELDLKILYDHTRRLRAMEETDAYFQRPTHDEDPYYAPPPYVQEAVRAQVEAERIQSKG QHIRHEDGNAQSTAKAPTGNKDPIFTWSIKTKNTINTYPYALVDEISPRETPTPQQQM RALLAHMHYKMISSSDVFTAQSYNILPLKTRSDIDREMYSLGKSGHIDDHVFTLLASF VGRLSRVLGHFIDEDYDCIVKGKVWAAAHLIIQTFDFISVDEMFSFHCEIFAIPLQEI IDRIQQLRDGLFGVEDFYISNSIKDAFVDIVILLVDTSHEASRLMRKVKDDIKCKDQA GDDNKSEPASINGEIQNSSTSAEPRAESAASQERGIQTDAVPYEDIEERFTAHTRSSR YSPPLRNQDNTFSRRMCRKTNRIYESLDHAQAEYCAMFRSEDDVEDSTYSGVDCGRII PLVLQSVLQGHSTSTFMPELDIEEIYVTYTTHLQLKARSTPSKNLLVDMNLLREELGI ISKNISDQLSIITALCTPNSYSYDDESDTVSYTESNGKLTLFEYLFSSTSNGNGVVNM SARRILQEIKVELQDKLDVFEELTKRTEQLEKQICQRVEIIQEDHGKAILVFTIVSTI FLPLSFVSSYLGMNTADIRDMEPSQGLFWEVAGPFTFVVVVVVLAVAYNVNRIMGLIP RATGVV ACHE_60863A MKAPTSATTSDGDLPCIASEYMDALLDLLQREELVQETMRRPYH RYRRSYRQEIATVRDDIG ACHE_60864A MYSEFQFPVKPDTQLACGFLELRGFNLHCALRCLDRTGGIVLLP TSGKVLEFCFGQVKGETLSLAPFHQISSAYPKRPVTDLVVLDSRDDAGS ACHE_60865A MAPSAELTGRDALATRAVPSMVFSDGSLSSMTPPPQSVLESDAA KGAQKRFSVRGNAVVTGGAGTLGMYSCDALLEHGLRGLMIFDADLTNAQKKINELQKK FPDAKIAAMKVDITDEAAVEAAVEEAAVEEATGTLGSIDALFCFAGIVGCVESLSMPI PQWRKIVDVNTTGSFICAQTAARRMVTQGNGGSITFIASISGHRANYPQSQAAYNVSK AAVLMLKSCLAAEWARYGIRTNSISPGYMDTILNEGDGIAEHRRVWASCNPTGRMGAP SELTGAVVLLASDASTYINGTDIVVDGEGVVF ACHE_60866S MEAEYTHLADRILSQAQSHSKPRFLVAIAGAPGSGKTTTAKAVQ RHLNDRLARSNDLNTSAALLSMDGFHLPRATLDTLANREEAYVRRGAPWTYDIEGFLQ FVRQLRLWVDTNPTHHNPVLTAPTFDHHTKDPVANGFSIQPNKSIVLLEGNYLLLDKE YWREVAPLMDLRVFLDVDLGITRNRLAMRHVEAGIEKTLDDGYRRVDRNDYLNGLEIQ ENLITPDVVLQSAG ACHE_60867A MRLYWHCMVVFSLACLVMPMIASALEIIPQCAVNCEQQLTNTTS CSTTDTACLCTDPTYETNLSSCTMANCSMKEALTTKYIISRKCDLPIPREYPEADPAT IIPFILATILFVIRMTAKFLRLGGGWGPDDYTIIIAYGLAVVSFALNTLMVHYGFGMN IWDIRPQSNITIAYKHFFAFILVYKSLISLAKISVCLFLLRIFQSPIFRYTNYIMIAV NTAIAVTWILTDSFHCVPVHLAWTGWAMEEQGTCIDFIASTFANGFVNIAVDTVMVIM PIYEVLKLNLSIQKKIGVAIMLAAGLVLTAIGIVRVVILAQNIPDSNPTFQLEPLIHW SAIECQIAIICACLPASRALVAHIIPGTDTTHDSSAAYRYPNATGASSRAAAVSAFVT SGTGEKEKGQISKTMSYSVDIGTRAKQLKRESDGFIQLKDIETGEERG ACHE_60868A MEKRGEVFHLESTQDHSVSQALKNSAHDAIASESQMGVLQAIRL YPMAVVWSVGLSTAVVMEGYAVMLLSSFYALPQFNRKYGQRQPDGSYVIPAPWKSGLS NGALCGEIIGLFLTGIFQDRFGYRTTIFAALCLVTSFIFILFFARNVEMLLAGEVLCG IPWGTFQTITTSYASEICPIALRAYLTTYINLCYVIGQFLVSGVLKGVLGRQDQWAYR IPFAVQWVWPIPLMVMCALAPKSPWWCVRHGRFGQARRDLVRLMSHGNHLVDETLAMM QHTDGLEKEASAGTSYWECFKKANLRRTEIVCLAWLIQVICGSQLMGYSTMFYIAAGM EESASFNMSLIQYALGAAGTVLSWFLMARVGRRTLYLYGSSMLFCLLMVIGFVSLAPP GSNKNWAIGALLSVFTFAYDSTVGPVCYSLVAELSSTRLRAKSVVLARNIYNVGGIVV NILINSQLTSTAWN ACHE_60869S MFHSIFSSMACSMSPVAHPQAIVSGPNYRFTVLTNRLVRYEWAP DGLFEDRASTFAINRYFPVPRFRLLDGDDVQIITEHFHLSYNKKRFTPGGLVVHLNSD HTEWGAPWQYGISENLNLGGTARTLDLCDGRCNMGQGIMSKAGYANLEDSSSMLFDGE FVASRKPGDRVDGYLFCYGHDYKAAIKAFYAVSGKQPILPRFALGNWWSRYYAYRQDE YIALMDKFYDHEIPLSVAVVDMDWHLVSQECVPHSGWTGYSWNKELFPDPEQFRRDLH ARNLKITLNDHPHNGIHSHEDAYEEIAEVFGHCTHHKDPILFDPANPYIQVYLQILHR KLERIACDFWWIDWQQGPHSKVCGLDPLWVLNHFQYLDHSRNRNTTPLIFSRYAGPGS HRYPVGFSGDSVVTWNSLAFQPEFTATASNIGYGWWSHDIGGHIFGGRDDELVARWVQ LGVFSPIFRLHSELSRWTSKEPWLYRSECMKVMSEFMRLRHRLVPFIYTQNVVGSWED EPLVQPMYWAYPDSDKAYSFPNQYLFGSKLLVAPIVQPRDKRTNLASVKAWLPSGSRY VDLLTGVIYDGNREINLYRGLQEYPVLASEGTIIPMDASSVPRNGCLNPEALELLVVV GRDAESIVLENVGDDGKDEQELGQMRKATVKFQQAEGKVTVESIQRPVTFRFLSVTSV PASFRVYADGIDRTHDSRARVEKHAHASSLVVECSFMSKTSHSITIELGSSPELSVID PTHRLHDQIMDYQTDFKVKDLLWQVAAHREMSVNAAISTLTPQGYDEAITGPTAELLL ADRRLYS ACHE_60870S MMPLLVVARSQPSFPRPLKASVTSTIISASPRSITQHSLHRDYP RRFISSTSQNQSKEYFPPPQTPGVKEVTTVWSHPVFTEAQMRTVQIAHRDAKNWSDWV ALGTVRFFRWGMDFVTGYRHPKPDEVQPVRFKMTERKWLARFIFLESIAGVPGMVAGM LRHLRSLRRMKRDNGWIETLLEEAFNERMHLLTFLKLAEPGWFMRLMVLGAQGVFFNA FFLSYIISPRTCHRFVGYLEEEAVITYTRAIDEIEAGKLPKWTNLDAPQIAVQYWNMP ENQCKMRDLLLYIRADEAKHREVNHTLSNLNQALDPNPYRIKYDDPTKQHPRQGLENL KGAGWERKDIF ACHE_60871S MMPLLVVARSQPSFPRPLKASVTSTIISASPRSITQHSLHRDYP RRFISSTSQNQSKEYFPPPQTPGVKEVTTVWSHPVFTEAQMRTVQIAHRDAKNWSDWV ALGTVRFFRWGMDFVTGYRHPKPDEVQPVRFKMTERKWLARFIFLESIAGVPGMVAGM LRHLRSLRRMKRDNGWIETLLEEAFNERMHLLTFLKLAEPGWFMRLMVLGAQGVFFNA FFLSYIISPRTCHRFVGYLEEEAVITYTRAIDEIEAGKLPKWTNLDAPQIAVQYWNMP ENQCKMRDLLLYIRADEAKHREVNHTLSNLNQALDPNPYRIKYDDPTKQHPRQGLENL KGAGWERKDIF ACHE_60872S MVDKPPFPDSDGSSDFDEDTSTLPFPEPLSRASFLTPGFDPAQY LSSLRNRHQSLEDLRQELRDLDQLLSRELLDLVNENYQDFLSLGNALHGGEEKAEEVR VGLLAFQRDVNAIRHKVETRRAEVEGLLGEKKQLRKDANVGQALLDVAERIEELEQRL MIGEKNNQGQREQSDEESDGFLEESDESESDDEQAPQATAAAVSLKRLEHHIQKYVAV TRLSAHIGDEHPFLLNQQPRVNKIRSAVLHDLKTALEQAKNAGKKRDAKMLSVLGLYE LMGENPSAITALKNLKL ACHE_60873A MSSYGSALPSAARNILSLCRPAVRQNPMAPFLSTFYQQQLRGAK SNNPQAQGKGKKAAKAKPKKGAREFTQRDLKDIQQFSLCDAMRYIRAMEVGREPTVSK YEIHIRLKTKKDGPVIRNMLRFPHSVQTESRICVVCPSGTKHEKDARAAGAVLVGEQE VFDAVKNGIIDFDRLICHPDSLAALNKAGLGRVLGPRGLMPSAKTGTVVEDVASRVEM LRGGTIYRERDAVIRIPIGQLAFSPEQLRDNLRATIEQVKKDAAGLNDRIVKEIYEVV LSSTNGAGFSLNGEFKSDDSPDAAALRGV ACHE_60874S MSTQLTLASQANQAELLPVLLVATSVNAARPSPVIAINYENTAL LHEGEKAVVQFTGASGSPVYGTEKAIQELRANFPFLNSKDEKIENQWLSQLASFTVLD FKAVEPLLQKLDAYLMLRSFVVGYALSTADIALWGALRGNRVAISAIKKGTLVNLTRW FRFLEELCPWASTALEGLTAAAKEKKGKSSQGANYDIGLQNTDKGVVTRFPPEPSGYL HIGHAKAALLNDYFAHEKYAGTLLVRFDDTNPSNEKLEFQDAIIEDLALMGIKPDKMS YTSDYFDELYQYALQIIREGNAYADDTDKETMAAQRFDGLPSKRRDASVEENLARFED MKNGTPEGIKWCIRAKMSVDNPNKAMRDPVIYRCNPAEHHRTGPKWKIYPTYDFACPI VDSLEGVTHALRTIEYRDRNPQYQWMIDALKLRTVHIWDFARMNFVRTLLSKRKLTKL VDQGVVWGWDDPRFPTIRGIRRRGMTVPALREFILRQGPSKNIINLDWTLFWATNKKY IDPVAPRHTAVLKKDAVKAIVKGASDAPFTEEKQKHAKNAAVGTKKVVYSNSILFEQE DAKTFKQDEEITVMNWGNAFVRKIETDSTSGLVTGLELELHLEGDVKKTEKKVTWLST DQELVPVELVDFDYLLNKDAMQEEDKLEDILNPKTEFRENAVADCNVAELKEGDIIQF DRKGYYRVDQAYSPGKPAVLFNIPTGKTGK ACHE_60875S MAQQGSSGGDIERGDAPRRVSEAPSRRISRASIKEDWGQLDEYG KLVKYVSTYREAGEGGGHAADEEEIERRLWYAPWKKRKLLVRKTGDTAGQFPDEWHIT DIREGLPSSEVPNRRRRAGWNELVSEKQNPIAQILSYFRGPILYVMELAVLLAGGLED WIDFGVIIGILCLNASVGWYQEKQAADVVASLKGDIAMRSLVVRDGNEQEILARELVP GDVIVVGEGQVVPADSKVICDYNDSHGWEEFRALQERGELEESSSGSEEEENKEDKEG DGSEEKKEEEQQKAKKRGYPILACDHSAITGESLAVDRYMGETVFYTTGCKRGKAYAV VQTTARYSFVGRTATMVQAAQGAGHFEIVMDNIGTSLLILVMAWILAAWIGGFFRGLP IASPRQQTLLHYTLALLIVGVPVGLPVVTTTTMAVGAAYLAKKKAIVQKLTAIESLAG VDILCSDKTGTLTANKLSIRDPYVAEGVDVNWMFAVAALASSHNIESLDPIDKVTILT LRRYPGAREILRRGWQTEKFTPFDPVSKRIVTVATCDGVRYTCTKGAPKAVLQLTNCS PETANAYKKMSTEFARRGFRSLGVAVQREDEEWTLLGMLPMFDPPREDTAQTIHEAQN LGISVKMLTGDALAIAKETCKMLALGTKVYNSEKLIHGGLSGAMAGDLVEKADGFAEV FPEHKYQVVGMLQERGHLTAMTGDGVNDAPSLKKADCGIAVEGASEAAQSASDIVFLE PGLSTIIDSIKVARQIFHRMKAYIQYRIALCLHLEIYLVTSMIILNESIRVELIVFLA LFADLATVAVAYDHASFELRPVQWQLPKIWFISVLLGILLAMGTWVVRGTMFLPNGGI IQNWGSIQEVLFLEVALTENWLIFVTRGADTWPSIHLVTAILGVDALATIFCLFGWFS NQTMPTKPPTSFVETRNGWTDIVTVVRVWGYSLGVEIVIALVYFMLNKFKWLDEMGRH KRDKGDLWIENLLAHLSRLTIEYDREGEPKGRYYLAATKEEEEAE ACHE_60876S MIKTIDEVGATQIWRNDRFWLAADHIVDPRIEHLPKVKALVERS EKAQRDFKLTDYKGKNYTILHTEFVRERAQPGMLLVGSDSHTCSSGAVGCLGIGLGAA DVAMSLLNGASWFKVPESIRINIVGEPGFGIGGKDVILHILGQLKRNTVAADRIVEFG GPGAKYLSCDDRFAICNMCTEFGAITGVFIPDSVVQDYINRRKRTLYKSSPLYFQPDN DAQYAESFTVDLTQVQPYIALYPSPDNVIPVKDCSPISFDGVFIGACTTTEEDLILGG LVLQVGLQENLPLKKGKRHVVFGSLPIIKRLRELSITGIYHDAGFQESAPGCSFCVGM GADQAGVGETWLSSQNRNFKNRMGKGSFGNISSAAVVAASSFSMSLVDPSPFLEKVNR SIYKALTRKDTMSRQTDAVLYVEPSILQQSPSQQSVKTDLPMHDTIPGSNEWMITSRV QALGDFIDTDALAPAEYLVECETDEALGQHCMEHTFPEFRSSVKAGRQVIVAGESFGC GSSREEAPRALIGLGVQCVIAKSFAFIYGRNQPTLGLLGITVQDESFYGSATDNTEIT INVYGREITIGERKWKFELDNLEIKMLQNKGLAEAYKKFGKNVFNSLCGDGHTEGSSG MEAESIDSSLEW ACHE_60877A MFSQKLAQQSLRRLAVQQPYAMRWSMTNAASPAAVALGNNVQKR QAATSSNTSDPTQILAQQRKNRPVSPHLSIYRPQITWIGSSAHRVTGLILSGGLYLYS TAYLAAPVLGWHLETASVAAAFGALPLVAKVGLKSLMAFPFVYHCMNGVRHLVWDLGR GITNKQVIKSGWTVVGLSALSTLVLAFL ACHE_60878S MPFNTALTRKLGITVPVVQGGMQWVGYAELAAAVSNAGGLGILT ALTQPTPEDLRKEIHRCRSMTKYPFGVNITLLPALVPPDYGAYAQVIIDEGIKIVETA GNNPGPVIEKLKKANTTILHKCTTVRHAKSAVKLGVDFLSIDGFECAGHVGESDITNF ILLSRARQELKVPFIASGGFADGQGLAAALALGAEGINMGTRFMCTVESPIHHNVKET IVNSQETDTALVLRRWRNTSRLFSNKVSREALKAEQESKTGEFSDVAPFVSGKRGREV FLNGDVDFGVWTAGQVMGLIHDIPTCSDLLKRIEKEAVDAMNRTRSLYSDAPQSKL ACHE_60879S MTSTLLTRAARPACRTLANSSTILSAAQLPPSTTTANTTRGYST STETTTTTDTQQPRWAHTPPRAKAPFSLRYNSRRPEYLVNSDPKRLDQFYIRMLGEGG EKVLSEEVKWLAVTHKSFDQGRRGFNDRLAFVGKRIVQLQTSLALVQNPANSAGPVAE DPHGRQPFAHPALEGLNSLSDSTKMLLTGKTKLAQLAQKYDMQNVLRWSPRKPNNLAA SGIELVMAHTMYAVIGAIALEKGGHFANKVAQDRILEPLGVKTIA ACHE_60880A MAGGFLPYHEPSIVEILVIISFFFILCLAEWLSAKVIRAGIIGN IAVGIIYGVPLADILEVNWQKTFLALGYIGLILIIFEGGLAARLDLLKKNLVLSNMAA ATGVCFPIGLSYLLLYLGYGYGAVETFIIGAALSATSLGTTFAVISSASGTIDLGQTR VGSVLVSAAVIDDVSGLVMSSVIGDLSKLSGDGDVNLGWLIGRPIVASIAMTIVTPIV TKYFFAPVFRKYIEYHFARYDHISNIVLMVLVLCAFISIAAYTGTSILFGAFLAGTFL TYLPSKHPDGPFIVTSREEGEREADKSPTFVHTFEAYLMGVQEYLMAPLFFASIGFAI PFVQLWTGKRIWRGVLYTLLMAFAKLIVGIWVPLWEVLTHFFTKEKKLEPQPSRWAKE TEDTEHGTSPTTENTHKSHATWLSALLLGSAMVARGEIGLLIIEIGYNSTSYVSEEGF ITGVWAILLNTIIGPITVGVLVKLYGKHIGEGRWGLQDPVTYGQRE ACHE_60881S MASALFFLDLKGKTLLARNYRGDIPMSAVEKFPLLLSEAEEESS AVAPCFSHEGINYLYIRHSNLYILALTKRNTNATEILLFLHKIVEVFTEYFKVLEEES IRDNFVIIYELLDEMMDFGYPQTTESKILQEYITQESHKLEVQARPPIAVTNAVSWRS EGIRYRKNEVFLDVVESLNLLVSATGNVLRSEILGAVKMKCYLSGMPELRLGLNDKVM FETTGRATRGKAVEMEDVKFHQCVRLSRFENDRTISFIPPDGEFELMSYRLNTQVKPL IWVECLVESHSGSRIEYMLKAKAQFKRRSTANNVEILVPVPEDADSPRFRTNIGTVHY APEQSAIIWKIKQFGGGKEFLMRAELGLPSVKGDDEHGGGMTGGFGGSMGGAGSLGKA KRPINVKFEIPYFTTSGIQVRYLKITEPKLQYPSLPWVRYITQSGDIAVRMPDAQ ACHE_60882A MDGRKKKRKVLLMGKSGSGKSSMRSIIFSNYVAKDVRRLGATID VEHSHVKFMGNLTLNLWDCGGQDAFMETYLASQRGNIFSDVAVLIYVFDIESREVERD LDTYNAIIDALKEHSPHAHVFCLIHKLDLIQAEHRQRIYEERSALIRSRSEHFAIDTF GSSIWDQSLYKAWAGIVHRLIPNLTVIERFLGAFAKKIGAEEVILFERSTFLTVTSVA SEIGDMNPIYDRHERLSNIMKAFKHCAARNTHTTPASAGFLVMHTKTPQFNVFLGRFT DNTYIFMVVPPGEAAYNCAVLNTMLAREGFSKAAAAGYGDGFPLPTPETPDGNGVHVH G ACHE_60883A MGLFKRKDSKQSIHSDKDEQESYVSAPSARTSNVSLKSPGFKGA SGLPTSIPELPIARPPDPALDPAAYLRSIHAVRDRCNIILRKAKRNELNHFNVDMTKF GITASYVVSIIKRDYAPDYASIPPHGRWQHFEVGGRPRINQLLQSWPSSIDAQERTRR LIDLFVISVLLDAGAGTRWSYRSKESGKYFSRSEGLAVAALEMFKSGLFSSDPTEPCQ VDGAGLKKITVDVLAKGMQHSEQNPLAGLEGRAGLLMRLSEALNNQELFGVDARPGNM LDYLLSHPSTLASSVPIVPITTLWSVLMDGFSSIWPSSRSQIDGAPLGDAWPCWSLPQ SPPAPAWQCIVPFHKLTQWLCYSVMVPMQKLMNIHFAGSDLLTGLPEYRNGGLLIDMG LMTLKEPDLQRGLEAFRENSQIKGQPSVEVVPLFSADDDVIVEWRAATVGFLDELLLE VNSQLGLMGDDQLTLPQLLEAGSWKVGLQPLILSKQCTNSARAVVKLQRSRDQTPKNH RL ACHE_60884S MDDLSGLSFTPSSSNEPKKPPPMSSSTLFPDVRRNDNSGRTTPL STSSGRSNTPSKPATPAGDSFANLVSFGPSNPNKNLSLAEQQKRLQEERAKKEAENRS RLEAQYGAQNNQFWDTLEKGGSKPAPTPSQQPQSPDEDDILAAFNAAAPVDASTHFPI PSHSPSPHVGMTRVQQPVPNQQAPPAQDPMAIFDDDDPFGLGQMPSKPAPAPATAPQT AQDDDDDDFLGELNKPVTEFSRPELPPKPKAPEPGPEPEPQARAPPRPSSGVDRAIAE LVDMGFPADKASQALSTTPSGTDVQAAVGWLLTQAHEESRQKTGRRSRLEPQPSELER SRERGGGRDPSWMREERPTVTRTRSDNRSPASAEKDPAQLAASFGNNLLKTAGSLWKT GSKRMQQVVQDLNAEHDPNQPRWMKEAAAFEEPLPQPRPRGGQQPSEPPQGNVPGMTD EALLLESGGAPPRPPRNVPSRNPFQQHDEPRPTGSSSDLRSQASSRERREPQPSFLRQ QTREESRDSRSRLNKLAVEEQSAQAYVSPARRKRPVAQSPVPAPEPAVDLFDSPAPTN RPTPKPSPSPAPPSRPSTTPSSTASLPTRPKAPPRSIPPVSQEALASTNRHRTKAAEA YKRGDYAAAHESFATALTMLPDRHPITIIIRSNHAMTALKVGEPKSAITDADAMLDLI GPSKGENEQIDLGTTEPPKPMKDFFGKALMRKAEAQEQLERWADAAKTWKLAMETGHG GGQSIQGRNRCEKAAGISKPTPPKASTPARRPPAPTPKKPSGLADLTGPAASQNSEAV NRLREANQAAERADDEKFALSESVDARLAAWKGGKQDNLRALLGSLDTVLWPEAGWKK VNMSELIMPNKVKINYMKGIGKVHPDKIPTNATTEQRMISASVFATLNEAWDKFKREN NL ACHE_60885A MPSPFLTPGTRSQPDTLALLHLRRDHALPTILRIHDDENLGYKE GKVTTTRFGSYPHSTLLDQPWGTQIVASKVDTGSRGRKPHLKRKAADLENANSNPSSE GEAQARPQPQAAVQASSGFCHLLYPTPETWTASLPHRTQVVYTPDYSYILHRLRVRPG GTIIEAGAGSGSFTHAAARAIFNGYPSAETQTQTPPTKKCRRFGKVCSFEFHAQRVER VREEIHQHGLDDIVRVNHRDVYADGFLLPSEHGTESPKASAIFLDLPAPWLALKHLTR NPPDGQQSPLDPSSPVYICTFSPCLEQVQRTISVLRQQGWLNIEMVEVNHHRIEARRE RYGLESEGIRGATVFPKSVDEAISKMRSVDERARKFREAEAAGLDPAATLEQPQQQQQ SSQSQAQAAQAQLHSQLQSSIPAYSQGRLVHRSEQDLKTHTSYLLFAVLPRDWSEDDE AKCRERWPSHKVDSEAGVQKSKKQMKREAREQREKQRGEQKEG ACHE_60886S MPLSSPRFRSTLLSLTYLSKSRSQRLPCFPSLFSLRTTSRFMTT AARQQPQWRQPPSHPEASSRLPALKVWNSLTRTKTPFIPLDKEGKKVTWYACGPTVYD DAHLGHARNYVSTDIIRRIMRDYFKFDVNFVMNITDVDDKIILRARQQHVFTEFVSSH PKIDAEVLDVAKTAYAAYLKKNLPLLDSELPVSQYQDQAEKNYAVVLNGGPLPGNEKA GDDEAKVKMHIKTAASAAKVISQAERSENVADPSSFAESFYTESQDLLLPYLDALKGA SINADDHGIFTKLTRKYEERFMQDMRDLNVLDPDELTRVTEYGSEIADFVEKIVENKF GYATEDGSVYFDINAFEAAGHPYARLEPWSRSDNKLLAEGEGALINKASDKRSTSDFA LWKASKPGEPSWASSWGKGRPGWHIECSAMASARLGQQMDIHSGGIDLAFPHHDNELA QSEAYWAHAHSHEQWVNYFLHMGHLSIQGSKMSKSLKNFTTVREALERKEWTPRSLRI VFLLGGWRDGIEITEDLINTGTSWEEKVNNFFIKMKDPSALQGSGTDTSLAAALEVAK TAVDEQLCDSFNTPGAMNAISELITKYNSADKSTLNPKDVEAVARFVTSIVNIFGLNG TATADSTEIGWSGIDVPEEAKPYLYPLSSIRDSLRQAARSQGGVSFSQVSEIVDKGTV PEETSESAKPYASLLSDFRTKVTSLEKSESLGKDILSLCDRVRDIDLFDLGIYLEDRD NQPALVRPVTRELIQARQEKAARAQQKLIEKANKEREALQRLEKGKLSHLEMFRTNEY SAWDEEGLPTRDAAGEEINKSRTKKLRKDWERQKKLHEAWLASQMGTQ ACHE_60887S MTINTGLDYLRTKTVVDCDTLDEQVAKTYGPFIDCTSNQAIAYG ELSKPKNSALKADSLAEAGRLLSRFEGVEVFELAVEIAMVKLALRIAPHITGHVHIQT NPYYSFSTEKTIVNALRIVQLFQTLHPGFEQSRICIKIPATWEGMMACRILELAGVHT LATTLFTMAQAVLAAEVGCSYVAPYVNQLRVHFEMGFADPNKLLPLCVEIQKYYKSIN SKTLVLPASLTSTAEIYALAGVNHITIAPGLLAQLAEPNLESGAEVKSLFDVGVAAAG DVEKEGKGVSFVNDESAYRMAFTRDLHGGSEEKLSQAINLFCDMQDKMVQIMKAAKIA Y ACHE_60888S MFLPSVHAETDIDTLLHFIHENPLGLLITGINSSSHDFLQCTHV PFVLDLPEESPLCAPQGRLRAHIAKQNPQVKAMVEGFDDKKPDVLSLENDVLVVFNAK HDHYVTPKYYVETKPDTGKVVPTWNYSAVQIYGKLFLYYDSKAPEAGAFLAKQIRDLS NHTERSIMGYTGGERPGPWVVEDAPERYIELMQRNIVGIEIRIEKVQGKFKMSQEMKP GDREGVVAGFAGMGGENGEAISALVKERGARHDAKKRGALNDA ACHE_60889A MSLHRDDFFLTTLHPPHYANFNYICTTLLRNPSIDIRIRSSPSR LPSAPSLARRPYLPCSPSSLLSPPSFETKYSFAFESVSDSKAVRLSKMEEQVANAIEI AWNPSSDQALKAQAFDYLNQLRSDPSGWQVCLALFTKTPQHSEIVRHFALEVVNSAAQ AGVIDVQALGYVRDGLMAYLRQTYGQGGAAGDPPNIQNKVAQTLTFLFSALYANGWES FFDDFLSLTYKSPSSSARDNPSGIVFYLRVVNSIHDEIGDVLVSRSRGEQEKANALKD LIRQRDMQKIASSWQEILSEWRDGSDMIVEMALRAVGSWVSWIDIGLVINQTMMDLLF QQLTRAQKAELRAGEEKVRDAAVDVFTEIIGKKMKPEDKIEMIAFLNLDTIVSQLSNS PPLYENRFTFKYDTDLAETVAKLVNITTADIVRALEQDGVPAECKEKANSLLQAFLPH ILRFFSDEYDEVCSTVIPGVNDLLSYFRKIAKINPTLAAQQSAILLPILKAIIAKMRY DETSTWGEEDEQTDEAEFQELRKRLANLQQIIASVNEQLYIEAISELVGTTFENLRQS GGQLDWRDLDLALHEMFLFGDIAVRAGGLYTKNQPNNPAAERLVEMMARMVESDIRSF THPATQLQYMEICVRYSSFFQHHTHFVPGVLESFLQLAHHPVSKVKTRSWYLFQRLVK QLRSNIGNVAQTVVEALADLLVIQAELPSEGADGDEMSSEDHDGFADAVFNSQLYLFE AVGIICSTPAVSVDKQVLFAQSVSNPIFLDMERNLEAAKANDERALFQIHHDIMALGT LARGFSDWVPGTNSPSALPPPEVSEAFGQVSEVTLVALESLKASFNIRTAARFAFSRL IGVLGSRILPQLPRWIDGLLTQTSSRDEMALFLRLLDQVIFGFKGETFPILDTLLTPF LQRVFAGIANPTSGTDDEIQLAELKREYLNFLLAVLNNDLGAVIISERNQPIFDTLIT TIEHFAKDIEDFTTAKMAFSLLSKMGSSWGGPDLAPDASNGTSPQGVTLPGFGQFMIS RFSPLCWALPATPSFNSKDAQAKQVLAEAGGLQRTIYAKTGMEYLEYLRNQELPSMGM GADLIEEFVGALGQSDLKGFRQFFPSFIQRLSA ACHE_60890A MATPTPLYIGFDLSTQQLKALVVNSSLKVVHTSVFDFDADSHGF PIKKGVQANEAEHEVFAPVALWLQALDGVLEGLRSQGLDFSRVKGISGAGQQHGSVYW GREAEGMLQGLDAKKGLEEQLSGAFSHPFSPNWQDSSTQKECDEFDGVLGSREELAEV TGSKAHHRFTGPQIMRFQRKYPDVYQKTERISLVSSFLASLLLGRIAPFDISDVCGMN LWDIKKGAYHEELLKLCAGSFGPEDLKRKLGPVPEDGGIHLGMIDRYYVERYGFSPDC AVIPSTGDNPATILALPLRPSDAMVSLGTSTTFLMSTPSYKPDPATHFFNHPTTPGLY MFMLCYKNGGLAREYVRNAINEKQGTPSSSTPGGPWANFDKTTLETPPMGQKSDTDPM KLGLFFPRPEIVPNLPAGQWRFTYDPSTKTLTETQESWSHPEDEARAIVESQLLSLRL RSRGLTESPGVGIPAQPRRVYLVGGGSKNRAIAKVAGEVLGGIDGVYKLDVGDNACAL GAAYKAVWGLEREPGQTFEALIGQRWREEEFIEKIADGYQPGVYEKYGLAVEGFEQME GVVLGQNKQ ACHE_60891A MPLTQPLRCRVRPPSASHTPACSPSSPASCPSPLLLLSLSLRDR SGCVSPGSRASAQRRVLRTSAASLRLKYFPSNVRLPVCPTPLTTTTSTSTPTPTPTLP IHTTKTTPLMATQTRGHASHGGHGHHHHHHDNVYLTSSNTFDAGVRITRIGLVANLAM AIGKFIGGYVFHSQALIADAYHALTDLVSDFLTLGTVAWSLKPPSERFPNGYGKIESI GALGVSGLLLCGGVFMGLNAGQVLLDQFYPEAADALAHSGVLGHGHSHSHGVDVLGPN IHAAWLAGGSIVIKEWLYHATMKIAEERKSSVLASNAVHHRIDSLTSIVALFTIGGTY VFKDASWLDPVGGLLISLMVIKAGWGNTRTSLLELADTTVDDDIKGSVGKAAKKALAQ IQDKAAEVRDVQGMKSGQNYLMEIELGVPGSWSVKQSRKVENAVREAVGAGVIGVKRL KVRFVPSEQTDANFTEEFIAPETSARINPEAGDDQDHDHEHDHEHEHEHDQEDSKRR ACHE_60892A MENLVDKLNIPLCGDPPNASLLARLAELSALRLLNHVHHAMYAE GHEYLLQANYIAYASDSQDDGSIHRAMSSSLKVSMELDGN ACHE_60893A MPVLDHSITNDLMEKYFQSVNMQHPILDYDESAAQYHSLASNPE PSLEYASFLLMLALAEVAYAPAPEALDTDWSPGSTYSSAALSRLRPT ACHE_60894S MPWDPEPVLNVAQYVTISRKWQHSVERFTMADIKKYSTDLDMFC QVFSSPRRRKLLRKLYYEIDLLTYSKNRIFCLERKRERRANNEAFNRGMKELLDNLSS WGTQGIDLTLTASSPMDPHRRSPELDSGLSRERWAFEDKHLNLDNAVSLPRLSCIEAL TIPNGRRMLHHSAIGKIISSLPRLERLTLKLNTPKNKRAEMQNEHRIALANALESPSL SNLRTLNIYIEQDIPRNHNFRNQPDDPKYPDGDVLNIAIRRLMERTHLADLSLTGFWL VSPVLFDGKEIFPYLKKVKIEGALITYDGRWHYTGNPATVDADYEGAQDDAEGDDDGD VSDSNSSFNSELEDSLPEGREALLNGDVPNHMWRTQPDPQMFDPLMKTMATALLRMPQ LQNFLFSIGWSYLDYHAIIFECLKPGEHPKCFRSPQRTVELNTTRCYVTLMFKARWEV PGDVANIWKEVVGDKGVVAVAPF ACHE_60895S MICQASSIIASNIYREDDKPYYRRGNKILLAIVGWNVVMTVFIK CYYMWRNSSRDRKWNAMSDEAKDHYLKTTKEEGNKRLDFRFAH ACHE_60896S MMKGYSPTNLFLFSLLFLGVKGIEVTPDSTCSSLCVDQPGASTN ISDYDSSHTSTGDLVCKDSEFKGSKTTPKGRKWVQCLNCEASSPAENPGYQGDNETDV FWFLFNLRFNLAWCVFGYDYNNETNPAMDNCGQACNNLLQPLTDQLFTVSESDRYAFC TSDNSAFTNDAPTCRACLEKVQDAQMMGNFVKGLEVACDQQPAMGKALDLGFNLFEVN SSSTTTSSTPTSSATATSTGNSTNAGTSSGVKIGVGVGVGCGGAIVLVGALFWFFWRK RHQHDDQPASTDQKPVSADKATGKDQIYEVADNAARPELGSDRRWEVQELSA ACHE_60897A MAPNQNNEDISAYGVARFTIKGNPLDAEQLHKMDVYFRTSLYLC LGMLYLRENPLLKEPLQLDHIKARLLGHWGSDAGQSFTWTHMNRLIKKYDLDVLFISG PGHGAPGILSQSYLEGVYSEIYPDKGENEEGMKKFFKQFSFPGGIGSHATPETPGSIH EGGELGYSISHAFGTVFDHPNLITLTMVGDGEAETGPLATSWHSNKFLNPVSDGAVLP VLHLNGYKINNPTVLARISHDELSSLLAGYGWTPYFIEGSDIESMHQAMAATLEHCIL EIKKYQKEARKSRKAFRPHWPMIVLRSPKGWTAPRKLDNKLLEGFWRAHQIPITDVRT NFDHLKVLESWMKGYKVEEAFDSDGKLISELRDLAPTGTSRMSANLVGNGGLLRRPLD IPDFRQYAIKDVKPGISSAGSMVNMSKFLREIVAKNLTNFRVFGPDETESNKLGEVYK AGKKVWMGEYFEEDNDGGNLAVQGRVMEMLSEHTCEGWLEGYILSGRHGLLNSYEPFI HVIDSMVNQHCKWIEKCAEVEWRAKVASLNILLTATVWRQDHNGFTHQDPGFLDVVAN KSPGVVRVYLPPDGNTLLSVVDHCLRSVNYVNVIVADKQDHLQFLNMHDAIAHCTKGL GIWSWASNDQGQEPDVVMASCGDVPTHEALAATALLRELLPSLRVRFVNVVDLFRLHS ESNHPHGISDRQWASIFTEDKPIIFNFHSYPWLVHRLAYKRPGQHHLHVRGYNEKGNI DTPFELAIRNQTDRYSLAIAAIDRMPWLKNTASGIRDELVNLQIIARNEAYDTGIDPE HIRDWQWPYPK ACHE_60898A MKSQSFVLVGLFLSSVLASPTASKNDQPINGQGKGAPILGGTNR ALDLQNPDHLRTPSTDNGFVPNVKWSFSDSQTRLFPGGWSREQVIQDLPQSHDISGAQ QHLKKGAIRELHWHRVAEWGFVYNGSLLLTGVDENGAFQTEKLNTGDIWYFPKGVAHN VQGLEDENEYLLAFDDGDFEKIGTTFMVDDWIAHTPRDILAKNFGVDPSVFDKSPSKF PYILNGTVSEDLKKQPLGTLKGNSSYVYHTYDHPSEPVPGQGGTFRKVDSTNFPISTT IAAAIVELEPKGLRELHWHPNAEEWLYFHKGNARATVFIGDSKARTFDFTAGDTGVFP DNSGHYIENTSDTEKVVYLELYKSDRVADISLAQWLALTPADTVANVLKVDIEVVKQI KKEKQIIVRG ACHE_60899S MKSSLVPLASLAVLGECLSLPPLIPSIPGVTDVLTENAPPLPIL QVPTPPLESPPFTPSDIKPKKIGYFWTGSGDKKHKDFLATYSLDDDTFGTLLWVTDVP SSGNDPHHLGPSLDGKTLVGGGLLSLLKTQDTAFYFDTSNPYRPKFKKSNRALLASIA DEIRAKPDGGFYITYMGSALGTSPGRLIETDENFDIIHEWPEDVESTLNILGEQHSPH GLSIDWEKKLILTSDFVEPISILKPSPGVRHADTLRLWDLDTKKILNTITIPDGGGIQ DVKFIPGNPESAALATAVHLGQVWIIYPLRKDANGKQGTAELLYDLGPKARDTVAIYT DITQDGRFIYLTLTTANHIAALDISDLSNVKRLDDPDEEQPTVGPHYIKVTPDQKHLV VTDYFVQTGDIGIINTPADFKALYIDINDDGSLSFNRTIDFSKEFPERGGAKPHSSVV FDLTDPENPYYY ACHE_60900A MKVSAILSTMMVAGLVSAAPPGVRPTDIQAPAPGAPTDLPVPHD KRGDIPPPPKDTQVPPPPQPTDDKQKRGDAPPKDTQLPPPPKPTDDKEKRGDVPPPKD TQVPPPPKDGDKNKRGDAPPAPKDTQVPPPPKPTDDKQKRGDAPPPPKNTEVPPPTKP EDDKQKRGDAPPPKDTKIPPPPQPTDDKEKRGDVPPPPKDTKAPPKPEDDKNKRDEVP PPKNTEVPPPKPTDDKEKRGDAPPAPKDTKIPPPPKDDDKEKRGDVPPPPKDTKAPPK PQPANN ACHE_60901A MSLLDYWGKQGSSVKRRKVSGDDQKEDNVLREKSSIDRASLETD SPAIPLDGDIPADENQPPNSQTELESSLPAIETDNQTIDEYGTSHAVQDEPDLRQRMQ NSTWQKGKSSIYVDAFNLALETVLTEEAHLFNDVEMEVFRQWKELSYEAQYLYVRLFL RKTSAWHRINQLGYPDIGDLDASVAELQRGRGLPVLSEAENANPADVESNSELNGEFT FADSSESITTLEEASSLLLLDELKSFATDVKVQGKSKKELLMAFRQSTQTQTGLDWNP GKTGARSPSVYRDSHYVEKILDYTGDCIKLSAGPRVLFERVHLVFYRSTEWTEKSLTT IILAKTSRKSFPSYIVCRSNSIFPSRSTLLEFESALRTQFEIDNILQFNGPPDLQRVK YLCGKVYPRWKELLAQEQQKEATLYECGEGAYLRRFSPAWVYTRIIHKGLHPLGRFKE HKQEHEILTELLDQRLFHAARRGGWYQRKALLEEHYMWNLSPFDGRSEENQRKHWKRI ALRTCEEGLEDSSSHLIYHYDLQKRVMKLEKALKVPKREQHDFGYAVLAKPAERTVDG IRIEQEDTPRDNGSKRGRPTIWVDEKEGSECRVEQVCLNWYMDHGWKGYHSEGGIVRT LFGLLFYDILFTYIPNVFQTPFQTSPLDLHTDTFYLSRASEINYRLVEITNGAAEKLI RTVYTTHSPRQPCAVGINWSFPLEDLVEIAQCFRGEALATICKVLAQEYQQRGGGIPD LILWSAERREVMFVEVKSENDRLSDTQRLWIHVLVGAGVRVELCNCVAR ACHE_60902S MANESPLAGTPVANDSLTTPQTQTQAAQAQSQTPGRPGGAPARV YMNEKIVPYLLEGMKGVAKEQPANPLRVLGEFLIQKSAEVEGKSPE ACHE_60903A MASEYEQENGRYDDEPRFDRHRSASPRDEIRDDRARSRSPNGRI DDRGYERGPVDPRKMLDDDEEGAQNTGSNLFVTGIHPRLSESDLSRLFEKYGDVENCS IMVDPHSKESRGFGFVKMVTGEQADAAKEGLQGEVIEGRTLSIEKARRSRPRTPTPGK YFGPPKRGARGRGGPRGDRYDDRRGPWGASWRRDDYRYGRYDSYSDRSRDYGGRDYRD YRRDYRDDYGYRGIDRYASGGGREDRYSREDRRGDDRRGGGGGYYDRDANPPSYSQGG APPRDAYASRSYADDRYASR ACHE_60904A MAPLLSVKRHPGPGRPPRNPPKQEPSEGPPLKKRKYVPGGPGGG GRFIEYEVKEVQSAKAAKPTKPAKPAKPTPVRRTSSVSRSRTARETPRESELQPVQHL PPPSSAPVPTTPPSARLRRDKTQNRGRFGSSTSAALALQQGDGYKPREERGWEEFHPE LDIDARIAVFSADEVDGPRPSAATPHAPTANGEQIPIPDHLRANADIPSPTPPKRRPG RPPRRPDAMLHGLGNPNEPKVVPPPGPNPRERLTLPKPSFRIRDPFVFYDLPGVGQAN YVDRTMANVGYQESDIFLRHDRQLIRMSEAAQEDDLDVINPTGVEGEVNAAVGRVEYD MDEQDEKWLEEYNAKRRLDQLEPIKPAVFEITMTKIEKEWHALEKRIPKPNPKPPQTH RPRSSSAAAVNGETTGSGEEQDSKCAICDDGDCENSNAIVFCDGCDLAVHQECYGVPF IPEGQWLCRKCQLVGRGSVNCIFCPNTEGAFKQTTTSKWSHLLCAIWIPEVSIGNPSL MEPITDIEKVPRSRWKLHCYICRQRMGASIQCSNKHCFVAFHVTCARRAQLYLKMKAG NGTPGLMDSHLLKAFCDKHVPPEWRREHGTDVATAEAMEYYRETMQGRRWGDSQAAAL SLEPTHPTNCDHMHDDGQRSGPRLTLTVGGNKRKRPTVPRTVWKLPSGAPVIPQVVLN SVVASLQRFGVRQRKQYGEDACKYWTLKREARRGAALLKRLQLSLETFSSMEMTRRDY MAMGVGGGKRLQRRIEFGERLSHDLDRLRILCDEVKKREREKLKDAETLRHVVDTVYF PIFPLLWPIFDKAVTLDGKGIFRQGLLSIRRKLEDRSYTSISIFSAELASVFTSEIGV QPAGDTAELQMQISGRAPELSLEQREKRKLAKRIIKAIQPALEDAIKKESELNRKPFE QELKELDQIFEDSVRSRRNSLADLTELAGTVGTEAVGELEGPNGAVQEIEGGDEPAKE EPQEEPQASALPDTDGDHAMADADAAQPPESTASQAQDTSATNAAKEPEPGMGTTNIP QNDEVRAPVNGDVKQHANASSQFTKKAPEPQEGPPTPPLSSQEDQQHPLAQGGIQWYM EPFDPLGTTIYEERWTGRDVMRGMSEELSELDEDELMDLVDDGLEGKTQKSSGAAEDA DGSGEEPVKVHQTRRRLRTSKN ACHE_60905S MGDDRWRGGRPYDNRQSGHRQSGSRNMGGPRGNSWSDSRDQSPA GPANEQHVSVRGFNATEAKSALKRGAHEPKPAAYKPTGKDVNNRTSGPWGAKPNNMAS GKDFFLELRKQVTSLRGGNVPGG ACHE_60906A MALQRLRKFFSRKPEPPFHIVSDLHLEVDQQYASFEFPVCAHYL ILAGDVGRLADYDAYLYFLQKQVERFGTMFLVLGNHEFYKESFESGIEKARRLEKEPC LNSQLILLHQTRYDIPDSNVIILGCTLWSRVPGRSSDDIRQKIQDFHQIKDWSIDDYN AAHDADSEWLIRELEAIQEENQRAGKDQKRSVLVVTHHAPLTQLMPSPRNENSPLLPV FATDILSDIPKLDGVKAWVFGHTHYSTEFKYRGGTYSGLQWPVRIQSLSADLYRNKGP LIHLTMLNLRVSTATFRTSLLIASKRNCFSRYRGLLTLAIETSCDDTSVAIVEKNPNN IPGAAKVHFLENVTADSREYRGIHPILALESHQENLAKLVGKALLHLPEAENKNGNTV SDISRQIVLADGSSPRRKPDFISATRGPGMRSNLSTGLDTGKALSVAWQIPFVGVHHM QAHLLTPRLVSSLNRGQTGSATTTTTETTPEFPFLSILVSGGHSILVKSKSITEHEVM ASSTDIAIGESLDKAARDILPSSLLQNAKTTMYGKALEQFAFPNGASDYADYRPPMTR GEEVIKRESPWSWSITTPFANTRHLQFSFSSIASAVGKLVSLKEKTGQAMANDERIAL AREAMRVCFEHLASRTIIALEALKPKTGNSNEEIKTLVVSGGVAANKFLMKVLRSILD VRGFEHVKILAPPPYLCTDNAAMIGWAGIEIFEAGWCSDLSVRALRKWTLDPRADDGG VLGPSGWRKC ACHE_60907A MYAARAAKPKLSLSISAAQNARSSFPLKSPSAAPRTPISPAAPS PNTAAGAKRFSTLQPSYAYANTCSSKSILKKHTGSSAHADKRIKFKGTPTVHCVTPIE NKEEYYGCHTRMAREERRWIVRE ACHE_60908S MPSVKEAVKQSLVGSSDELQLSHQIKANFHHYARKDNQSGELYM LEDDFINAIAPKDEDYHKIKREQYSILFQVADTRKAGRLTLADWATFENLLAKPDAEY EIAFRLFDVERTGTVKWENVESLFSQMKSQESIPFDWNSAWASLYTGKSKSRHEMTYP QFAQMLRGLQGERIRQAFHIMDADGDGYIEPHEFQRIILQTSRHKLSDYVLENLPSLC NVSDGSKISYATVRAFQNVMSEMDIIDLIVREATRKSDDGKITRTDFLNEAARTTRFS LFTPMEADILFHFAGLDAPSGQLAQKDFAKVVDASWRTPFAVAGQAASTTAQKAAEST KSVLFSVMESVHHFALGSLAGAFGAFMVYPIDLVKTRMQNQRSTRVGERLYNNSLDCA RKVIRNEGFTGLYSGVVPQLIGVAPEKAIKLTVNDLVRNHFTDKDTGRIWYPHEILAG ASAGGCQVVFTNPLEIVKIRLQVQGEIAKSVEGAPRRSALWIVKNLGLMGLYKGASAC LLRDVPFSAIYFPTYSHLKSEMFGESPTNKLGVVQLLTAGAIAGMPAAYLTTPCDVIK TRLQVEARKGDVRYTGLRHCAASIWKDEGFGAFFKGGPARIVRSSPQFGFTLAAYEVL QKWLPMPGSQPEPSPSGQIEPGVGLQGAKAPLPYLRSRNALKLLLDLNENIGQVKVPH KEQWPKFLQAKQ ACHE_60909A MALNTQFTKLPVRSLRLSHAPVLRTGLVARPTAQMQTRLRSTYT SESMPRIAQTSVWTAMIPPFIRRIARGGGKSDTPESKEWNPASFYIIIFILIGSQAIR MMMLKKDYAAYTRSTDAKIELLREVIEKVKRGEQVDVEKLLGTGDAAKEREWEEVLRE IEDEDSLWHQKASVKSAEQEQRQDVDALKEPVIPAEGEGNTEPPKDSSSRRKLNFF ACHE_60910S MAVTTFPSDSSMESVKLAVRSTATCSNATVLSLQGLFRGGSKAS ETEGNLTSRRAGRSTKESTTSATRGRATSRATSKTTTLNVEARLSSHERLVLATEVFN TTLKTLSDAVKLSSSKRQNDPRSSSTPLQLASPNNAGRSPKKSKLSKYTQLDTAAVDQ GLLSVAECARSALSCLRSLKTEQGQDEQGLNMQLEQGACVLAGRYLSLGLNDLAYKEL RALKRRIQSYLDSVSSKKTTGSSRKTTAQEEEGKERMSDLLTFTSIANAKSVLGLLVT FQSNALRLLASEKRPATTQKVCLSLQLSDQSSPASVIMAAVESGSLTKDKAALQLQLL SSTVLSMASGSQTDDSTKDRLRPITTLTLQLLSMEMRSMGWKLSGHICEEGKELWDPL SRYLASFAHHNKGIEKSEFAVLYKTIVRLQSAIAKPERKLSTTQVHSVARIATILGQL AQDADCVEEALQLFTEAVKPLLDGQCLSLATVRCKIASLHFQRIKSSKSSHGEIANAV SEASAALALQLKGSAGDLDELLVEAAKLKKISMAWLGDAVTKDKSKSVADDIPDRIRE YLYGFLRFLRRYVGRQPTEDSEQKEHETFEKRVTACKNIILAAIDSAIAMGKLSVMSQ SPPWDEMLSILVDCQRLLMTIGVASKNSDSDSGMGLVKLSNLFWSRYIKEKECGKDYR SLVPLLKHSTSLLSGCSASQRNTGFAALKYERLAHLYMDGNMGAESDKAFCQSISEHI DAGALDQVAVDAAGNYPHRACQDPKSGGFMLGRAISGYVRMKLRNRTSESAEVFDNQQ LGLEQRGLVLEWQMGLLTELHAHAYSDEIFRSTFSSVASRLLDIYTRQSYPIRRMRAM LCTMRFLLERPSALESEVLENIVDECVEFSEHGSLGNDYKLAVYATHIRNALQLTVRL HQGNLQPFELNQIISSWTSMVADCHDWQSLGSCIVDVDYWILQLKAIVDYTEIHGLWK VQLSALELVLRVTELQESGDFSEAIIVLSRLVLQYCRLGHCKKASGLLVRADQCLRSN EVSCLATLSYKLARGEYLLETGEIEKAAGILATARTLYEKNQKQQDLNNCSVLSKIAW ERLVADAAFINSRLSFAQGSITHALFFAKLSVRLNCRIWAKVEKLAQRKQGKSLQASS STEIDSVVEGVAKLDVSQAISTEPAVSYFQGAPFWPHVGSHHTSLLNLASLSAHYGLF QDAVYYGEQALKVNKTLNANVRLVASQTQLGSHWIYGGRVSEGQQLLESAATLARQLD SSIELVSLQMGLASLHRAMGQYREEHRALLEADKIMSQVILSEAETSPTAVADLEDKM DKLLIRSATGTRRTRQPATTAATRSTRAVSSSARTTSRKTSNPTAESSAVESKSLLQL RTDLLRHQADCSRALRDFDNATNLLSDARQYAFSRDSQISLHIGESEHLLADAIRHFA THAVYCVLPESTISLPSLESPKKNAEEPSAPSSKPLTVRKTRAPARGTRSKTPKASED FADMLSKAGECLNNIFGTVTALGSTLDSHAASRLMSRISMLSQTTAPGCSTPWSQAPA NVNEIGRIGAFNRERVAINLDKHLADVNDPLLWPSTSLSSPVDLEHDLCLNFTKDYVD ILPDSWNVLSLSLSADCTEFVVSRLRKGQTPFLLRLPLKRGNSDEDDEEQFTFEDGRE EMQELIKLANESAHAAKAQVDKQMKKQWWKNREALDRRMENLLQNIENVWFGGFRGIF SPVAREGDALSRFANSFHNILDKHLPSRQKGGRSTTGPKLTLHRNVLELFTGIKDLEE QEDPEETLMDLLYFVVDILQFQGERNAYDEIDFDMMVVETLDSLRGYHDTVRNEMVNP QTSHTVLVLDKALHLFPWESLPCLKGSPVCRVPSLECLRDRVIRFRSEQGDKSSRLTI DRKNGTYILNPTGDLQTTQATFETDLSRMDHWNGIVNRQPSEDEFREALESKSLFLYF GHGSGAQYIRGRTIKRLDRCAVAFLMGCSSGTLTEAGEYEPYGTPMNYLQAGSPALVA TLWDVTDKDIDRFAQATFEKWGLIGGQGEGDSKGKGRARSRSRSPQSDAVALDEAVCQ SREACVLRYLNGAAPVIYGVPSVFLE ACHE_60911S MSVTTKATIASFGGKLLKLSHAATSTKCEMNFNLFLPPQAQSSQ KVPLLIYLSGLTCTADNCSEKGFFQHGASKHGIAVLYPDTSPRGLNIEGENDAYDFGT GAGFYVDATKAPYNNGYNMYTYVTEELPKTVFAAFPQLDDGRVSITGHSMGGHGALTL FLRNPGKYKSVSAFAPISNPINCPWGQKAFNGYFGDDQQAKWKEHDATELVKKWSGPL NALIDVGTGDNFYKQGQLLPENFQKAVSEAGIQGVNVRYQPDYDHSYYTMASFADDHV DHAAKFLFAYGPRL ACHE_60912A MGRLHSKGKGIASSAIPYSRTPPAWLKTTPDQVVDQICKLAKKG ATPSQIGVVLRDSHGVAQVKVVTGNKILRILKSNGLAPELPEDLYFLIKKAVSVRKHL ERNRKDKDSKFRLILIESRIHRLSRYYKTVGVLPPTWRYESATASTLVA ACHE_60913S MTIETQILDPSAEGIQISNYTGICQYPFLQQNLFPETGGYISGR YCAVVPNPGGYENCCVPCPVANWKYGDDLMKKTEIPSYISAVIFPFVVLLLLSYAVLP AKYSHRHYLSVSFTIGICCMHIAFIIPLGAKPDQCYNEITPKGMHDDLSCAFTGALLL FGGLMVVVWSFIRAIAFHLQVCWEQVLGTKFMWGALICGWGIPAIGTTIMLIFTGVSF RFGPICHINIENSIYDYWIPCLIFAGAALFLQLSTMAYCIRIYLKTLFSREPSHAADG LPSYAASVRSINARQAYRRISKVFRLQWRGVAFVFIIIANALLYSIVFINLDAATKLT PQVVQKAFPWLLCLSFTKADKEYCKQYAVNIGPDRDTLLAVLTFLSMVGLWNVALFAR PSMFTGWLILFRNAFSNRKEYVSADARTLLPTSPEPRGFEMLHKSTTNSKAPEAAISI GIARSSTPDKPDIDTKTPQSPLSPQSPSSYDWESDKEYIKRPPVSFSRPHVASPPRIT SPPPRIRSPTRASGMISPTGLGWDPRDTFAAPSRTSPREGSGSGSGSGTGARGFEGV ACHE_60914A MNGDAYSSRDSGRSRDYYRDERRERGGDRGERRRSRSPHHSSRG SRREYEADTYSSSRDYRAREREDRYSSRRDDREWDRDRGERRRRDYDDRPSRRDRDRD LFDGGRSRRDRGDRGDRGDRDRERDRDFERERGDRRDRRRTASPPPRKREVTPDLTDV ASVLTRKRRLTQWDIKPPGYENVTAEQAKISGMFPLPGAPRQQPMDPSRLQAFMNQQP GGEQAENTTLKASNSRQAKRLFAYNLPPSATGESLLAFFNVQLNGLNVIQSVDPCISA QVSGDHTFALLEFKTPNDATVALAFDGIVMDEHAPEAGANGAPAGLEVRRPKDYIVPG GAEQEYQEGVLLNEVPDSPNKICVSNIPHYIPEEPVTMLLKSFGELKSFVLVKDGSTE ESRGIAFCEYADPSATGIAVEGLNGMELGDRHLKVVRASIGITQASGLDMGVNAMSMF AKTTSQDLETSRVLQLLNMVTPEELMDNDDYEEICDDVQEECSKYGQILGLKIPRPSG GSRQSAGVGKIFVKFDTVESTTNALKALAGRKFSDRTVVTTYFSEENFDVEAW ACHE_60915S MTVGAFSEWRKLPVSLSELCINTTLRCGQSFRWHNVPNSDEWRC VLHGKLLSLKQDPTYLYYRTYRPPKSDTNDNDDTTTLNLIKHYFNLTANLTDFYAQWS SQDPNFKKKAPQFTGIRILRQDAWEALISFICSSNNNISRISQMVEKLCIHYGSFIGT VDDRAYHDFPTPEALTGAEVEGRLRGLGFGYRAKYIYQTAVIVAKEREKGWLDGLCNP ESPAFGVEPGFGGDMKPEGRDGYREAHEKLLELQGVGPKVADCVSLMGLGWGESVPVD THVWQIAQRDYKFGKGAHKTLNKATYDAVGNHFRKLWGKEAGWAHSVLFTADLRTFSD RLASSKKSKLDVDVNVKEEKDDDVEVEAKVTTAVALKRSASDEGDGDEKKVKAEDDKE TKEELNGIVNASQTTTTRRMSKRLRSR ACHE_60916S MFPMPTRPWPTIALEMGYSETHDALMNDADLLLEGTRGNIALAI NVKIEPLGPDSKQTQKGYVELHKFDAGTRK ACHE_60917A MRSRTGCLTCRARKLKCDEQKPQCSQCRKGSRECRPSEGVIFRH QQNASMNKDAEEASSSGRGNLKGFYSYKNTFDKDSVWLDIPKQVVFVDNSDPYNDDLE ATLQESEAAILAANAQSQNWNGNSDIRDGEVQGLEALSTAAAHDRFSFPSLSDQTHSS MPDSSPFTAISPPTSSGPSPGRTRSIPPHASPPVSISSNPTSNHNNNINFLLNPSHSL SPPVDPSIQQPARSSSLSARSDASRPPAESITGVAAESDHEVAFLLRHYAEAPGLWMD LFDLGTYFASYVPIRALTNPLLKYAACAFSAKQLARVKGAKAPVGGVTSKQSAMESWP DMQKENWYWYGAKYYDKAIQLLMKELQPDKGPPPLSTPEAFGQWQAAELCEDNDENPR KRRRRHTNSRLSNGVHSDEVLTATAILSVYEFLDATPAWNRHLSGVKSLLDVAEVGVL PLEQHPLDPFQAPKKSGLSKARKAIFWNFARQDYLAAFINEGHTRLNTEDLVLWTEAG LQLDNMGFVRPTNTNAAGYPEGNDVMKEDLISNALIWILSKIVNFISAGDNVHLDQNT IDTGPLGVSQHVLLERWYRLEAELDAWHNGLPDTFRPCARITPSTLPQYRPVDDADAD AASLPEVWHCMPMCSATMQHYHMARILLLINKPHDSTSRRSTIANRLNSYRSIESEIR YHSREILGISLARPDSSVRIHSLQPLFVSGQCLTEARERRLIVRLLRDVERDLGWATG YRVKQLLREWGWVEGEGEYDMIEGGVS ACHE_60918S MTLSLQPNTPTHSNNIDLTWANSPLVCLGTHTEPAPGFPVLADD IALSTIIHWHPANIAKPVAPLRMATMQEDMFYLATKKGAEALGQPRLSSRAFHLLL ACHE_60919A MALTKPGAVRNSGMTLNEYFHRFEATGIFKRLDSLSALGKTIND LPTRETVEAMRRDGTPKQKASTTPSGPPVQSMEAAGIKIGADPDVLMVGGALVKNVSF LVMPKQGVVMDVPIRPLPAAVEPTKGALLMAPLNTESPVLLFPSNPASNLTFVEHAVP VQPALLANVPVETHSTIVAAPVDNYVLSGDLEDFWGIKGLTAELYTYQSSEEGAGTRE KVKLNSLSWSREESPLATLFPDIDLESIKKLRIVNIEFTYTDEEGDSLHPPGMRLEGD VELTGRLQPVAEMLQRIYGRDHAPSELHVSAYLCPDRDWKVPPKISKLILDGSLPEAK FKAWDWLTFRSVGVEITALQVSKEEEENKKGDGKDGEEGAAKKKADEPTELEEPTKIE EDKTEGKKESKDDTDQKKENSDERPSKTKKTWRFGVSILGTASITNLPKATKPLIVTY RMARDPREEEKPLYNLVLTGSDWKDVYGVKNLTLSKPKFTTSFEAGSLASTFEVDVSA TLSLADISLDISGKLSKEDCYLDGKVGKFTYQQLMKVYAQIRGDQNLSVTGTDAAGNN LEFDEMHLRLSRDTDGTALELSGRVKFNEHVATNGLIKVGTAGLTITGDIADFTIKDT SLTVKEAALDIEVGCKPEEDKGEDGKEDEKKDESTKAKEDPKTIEAPQKKTSENTDEN TEAKEDKINKALRNRKSKFSVTGIVEFSGRTIKVGMYTERQAKSKEREWLVYGVLGRL DLYELFHDLKGSQFNWTLRNVAFLAASQEYEETNEINVLGYDIHKGVSLCASITPPEQ IKDLQSKKDPPFSALTLAVTYSNETFTVSLDLPPEFAVSVPRVSLLLPGIMTQTINRK SNVITLQVDLPSHRPVARLEEFNVGLKISKEPELALGATLKIFLKDQAPLKITGSIEG GPIHAGGSLYTNTPWKNPFGLNERLTLSDLGVMIKFAYATVLEVGPSELGLSAKINID KFEASAKMVIGSEDEEMLSVDISEINVAQIIRFAGKLTGIEALKAIEDGHDFLTFQDA KIYFSTGVVFQAKLYPRGIIVSGKMKVFDKKGDFHANIDDKGVNIHGAIDNFAIGGLE VRSATTDGKQATLDVEMTEETQEIKINGMISYNDIKILVLVNVAMLENPALFDAHLLV EFTEKFTFDLLAEADIGAVRKLSEVDLEFTAIVKGDLLDLICTGVHTFLDTIKEAADK EFEQIENEIKGELATKAKELARKKETLEQLKIQAKEDDAKSQQELQNIIAEQQKLQEE LGKLKQDVTNAKANRDEAEKKLRNAIRQQKQKRDQNIQRKREEYNQKLKQLQQQEQDL RIEKSQLDREHESQYGPLVRAAQEAEKDKAEAETSEKEYQEEMNHWQRELDNSSFPWD KANYELKLRAAEFNRDREALAAKTAFLRHIDSLDFMLSVVYKALKEKIDAAANELEKV SRSVQALLSQGVTGFIAEVQQDGDEEVRKEERKLDILLDGNSKEQQAIREAQKILDDK SPDIFRRIHAADDKIRKIHQDTELKVVWNDYNSEFKAYKQLESTVHGLEGCLQTVREN FDNGIKVLYGGIEALHRVMPRIIKIEAQASSGALKEHKPIFLTITAVVADNQKSFRVH WRPNSKFNPSDLYKTIGDTALKLFYT ACHE_60920A MPADEQAKKGARSTLQTNPPPARYAWASRTLKEEFWRRFQAFWA DNAPQQYQDLSIGLDKRPTNYPFPEPPSVVSLPPGRAMETSSTIMSASDMKTPSWSAR ADAQRPPITSTTAGRATRHPHSHGEADKWMRFCALGHEQGIL ACHE_60921A MFLSTDVSRLSNSDAVAGAGWYGHWGAWKQESACGHLCLPKREV FDVEATAATEGLKAALNNAQTPCTEPICSPR ACHE_60922A MPPASSTHESPQSQLGLELQSHIAAAVALRTAQIKTTGEEVLEL VCMVSQKDTNWEKQSLQGAASLGRDIRILVLNFSKDLATGYPIKKKNHQPPHSEHNSY AEATRSPPDVPKTQPKLPKTTHK ACHE_60923S MVSQWSLWHPFRIWRSELHTPQLTQCFNCMFHCRHPCHPQPILH TFLSDPVSLSGPSLPILVPIPIPLTSRAPASLLVHIGVIILISPIRAVSCFASMPAAS KAPDTLSLDLRSSL ACHE_60924A MRAPSVLGPVTAGLSTVLPLLSTVKPAVADGLSFHSVSYPELDL SPLGRVALTGDFDAVSLYAFTEQSNSTSSNNGSQSISTSLPNGVLTTLADSDGYILEM CPLTQKDGTSDIFVAGNFTSLGGVKAQGIAKFDPNTTEVTALPGLSGSISAMLCDQDS NSVYVGGDFRFQNSSNAAAYVNGEGWKTLAFGGFNGPVTAILRNDDGKIIFGGDFDGI GNSTNSKKNQQVINLKDAKITSDAISEQSGFSDPKNIICQTSGDDASGKTWLLYDNSP GYWRAEMGFGFKPNKIRLYNTHYEGRGTKNFLLRALPDNGIMNLTYTNDNGDDTYCDS SCPLSSSTDDKYREFRFVNNVGMSGFQIEVLDWYGDGAGLNGIEVFQESIMAYAVNDY NEPSCGDIEFPSKATTTGSWTAKPSGESQSGYLSTQVSDSTSSDASVVFEPDVKQSGN YSILLYTPGCKQDDDCDARGIVNVTAKVSSSSDEDEPSPTTLYQTNYYEKYDTIFTGH VDASSSSFRPSVTLTPADGQNDITVVASRVRFVLKSASSGLSGELNGLYEYDPSTNTT ETDFSKSGFNKAGLDFDNEVTIRSLIKQGDTIYAGGNFSDSDISNIMYFDDGNATALA QGGLNSEVRSIAALGNSLYVGGNFTGTAKGSKSLKHIASYSLESNSWSALGGGVNGPV YSVLAAPLNVSTEINETTIAISGEFDKLLAFGDSQEINVAGFGIWIPSRQNWLQNLNV SQIELGGQLSAFTKVDNATLYAGSLASGGIAAVDAVNLRYQDGLHLDPLLSAKQIVNS TGGTYIGIYDTSSSRNLTVLGGQFSVHSSDGSTINNLILLDGSKETVTTIDTGVDHNS TFLSLAVTDDTLYAGGNVTGSVGKANVELNGILAWDLSKDEWANMQPPALDGEDVVVN SIAPRPNSDNVYFGGNFEGGGGLPCPSVCVFDPSLGRLSRPGSDLSGTVLELKWATEN KLIAAGNLQVGGNKTTLATYNAKKNKWTALGGASAPSIPGEITAFTPASQDVSIFWVA GQASNGSSFITRYDGSSFQTVEDLFGDGTTIRGLEVLPLATDHDEVDILHKDQVLLVT GQLVVPDFGNASAVLYNGTDITPFILTSSSDGQPGSMSRMFYENKNPYAREEKRHTNG IVVLVSFCCALGCVFLIVIAGVILNKIQRRRQGYMRAPQAVGTDRQPNMQRLPPEYLF NTLGQRSPAPAI ACHE_60925S MESFDNVYLDLSKSPGKCKLAESGLGWRPSGGGDTFTLDSSNIG AAQWSRAAKGFELRILSRSSGVIQLDGFDQEDFERLSKAFKIWYGINVESREHALRGW NWGKAEFTKAELSFNVQNRPAFEIPYSEISNTNLAGKNEVAVEFALGDGQTNGASGQP GSTKNRGKKAASGPDELVEMRFYIPGLAVKKEKGTKEETGEEGEEKEEEEEEEVEEQN AANLFYETLMDKAEIGDVAGDTFATFLDILHLTPRGRFDIDMYESSFRLRGKTYDYKI QYASIKKFFLLPKNDDTHILIVLGLDPPLRQGQTRYPFLVMQMKLDEEISLELNMTDE LLDTQYKDKLQSHYEEPIHQVVTKIFRGLSGKKVIMPSKDFVSHHGHSGVKCSIKANE GLLYFLDKSLIFVPKPSTYIQLENVAIITMSRVGGAVSASRTFDITVSLKNGLGEHQF SNINREEQQPLEEFFKAKNIRFKNEMTDDHKSLIAAALDNEEMGSSDEGGRPDRGSAD EDSESPDEDFQTESDSDVAEEFDSAHDSSGSDDDAEMDDASDGGDDDEEMEDAEEARP KKKSKTGK ACHE_60926S MASPTPPANGTPTGRLSPDSRAESPFVERSNPMGSGNAQTVSSK DPKAVAQAATDMKNVVRRKLTGYVGFANLPNQWHRKSVRKGFNFNVMVVGESGLGKST LVNTLFNTSLYPPKERAAPSHDIIPKTVAIQSISADIEENGVRLRLTVVDTPGFGDFV NNDDSWRPIVENIEQRYDAYLEAENKVNRTNIIDNRIHSCVYFIQPTGHSLKPLDIEV MRRLHTKVNLIPVIAKADTLTDEEIALFKQRILADIQHHSIQIFEGPRYELDDEETIA ENQEIMSKVPFAVVGANSEVTTADGRQVRGRSYPWGTIEVDNEEHCDFVKLRQMLIRT HMEELKEHTNNSLYENYRSEKLTQMGVAQDPSVFKEVNPAVKQEEERALHEQKLAKME AEMKMVFQQKVAEKESKLKQSEDELYARHREMKDQLDRQRVELDEKKGRLESGRPIEE KGKRKGFSLR ACHE_60927S MAYPRPDFQRKNLHWISLDGIWDILFDDADSGLSQSWHLKGIPS EAGANKRRDIRVPYAFQTPASGIGVHEVHEVIWYERTVSDIRTIDEINRGNRLVIRFG AVDYECSVWVDGHFVGCHQGGHVPFDLDISDAFSRPDATKKTIEARLTLRVRDSATDL AQPRGKQYWGPVPESIFYTPTSGIWQTVWLESVPPVRLSCGSGGTVLRSDDIKRGLLH ACVAVTGRPVGFQCSVEIESHLAGQSVNKAKSDLPKDREFANIDLSMKVPNAAELKHK IPFNADECWHNGVALWHPGHPILYDLVLRLYDSSGKVVDEVQTTTGMRHLSWQNGDGT FRINDKPFFQTMVLDQGYWDETGLTPPSPEALKIDIELTKKMGFSGCRKHQKVEDPVF YYWADRLGFLVWGEMANAYAFGNDFVERVNSEWIASVKRDINHPSIITWTPANESWGY TSLKDNIEQRNHLRSVYYQTKTLDPTRPINDNCGWEHVLTDLTTYHDYSDFTDLSVTC SNMGTGILGQKGGHDMFVPPSGSDPGSKHQPGAPVICSEFGGVNIAPSKDSPAATERD WGYTTASDPEDFLYRFEKLVMAIVKGGNSHGLVYTQICDIEQEVNGLYSIHRKEKIPS ERVRAIMDAAREYYYKNVAVQRTHLGSKVVHGLEKRFHM ACHE_60928A MSFQNSACNIYLDSDPGDTDRKTSLQATCNNDQGTGMTSQIKLD EALGNQDGRFSWNGQNFSNTARNVRLGMEGQREPVLHAELQDNSGEYRESEARLGEHV GNIDGQLRWNS ACHE_60929A MFDMMAKVAASFIIGPEFATDNDFIQQALSYMFQINATTAAIYS YPRIVRPLAWQFAPACRALRSAIISLKKRLIPEIRARVIRARSGEKDGKFSMLDVLIE TAIEQNVLRRDGWCKEEERAVDQLAQHTMFFFFDAAAPIASVATIMLYRIMTSPEYAP LLREETSKALKASGGEWSSEILSSMPRLESYTREVLRIHVPLVWGPSRQVLKPLTINS LKLILRPGDLITVPALFTHTDPDLYPDAMEFDPNRFYDSASEKCVPRVTTTTDTFLSF GHGTCACPGRTLAMKAVQILFAKMLLLYEVEFADGRKDFPANVLTPGFNLQDPTVMMR VKWRVSSGPANTVML ACHE_60930S MERWRLRDEPHLSLQEAVECVDLKTWCNTGPDTTTTPATCLSIQ WGNQNENSEQEIPYLDEHSTQSVSSCNLALALDCSQMSSLRCSLQFSTSIISEPYASV VLNVFSHILQDIIARPETTVSQIDFLCDSDAKIIAGWNKCSQIDCPERCIDATIRDQC AIRPAAEAVCAHDGKLTYSELDDRSERLGHHLRSLGIGPEVVIPIYLEKSMWTIVSIL GVLKAGAAFLLLDPSHPTTRMAAICTEVKAPYVITSTALEPMCRRLAKKTVVTDAVIG DLGVPLQARLPPLSKPENAAYVAYTSGSTGRPKGIIIEHRSFCANVIAGSQIQNLDQT SRVLQFASYGFDISVQEMLATLMVGGCVCVPSETQRLNDLTSSITEFKANWIELTPSV VRQLSPQAVPGLKTLILGGECMLARDFATWADNVHLMVAYGPAECSVVTTVQRNVHGG DLYNIGRPFSAQCWVVEPRNTAQLQPIGAVGELVISGPIVGRGYLNQPSQDAFMDRPP WASTFSIPDGTRFYRTGDLAWYNIEDGSLRYVGRKDRQVKLNGQRVELQEVENEARNF SKDKAVVVDVVDIGSTEDAKALALFVEGLESGPIEEMVESRTVTQTEQHVHRFLAEIR VWLSTRLPQYMVPTLYIPLNRFPLTPTGKLDRRALKDQAAQSVALGYGNHVSEASPGE EAIEGHTEVVLMLRRLFAEVIGLPEDRVGAHDEFFLLGGNSVTAIHMVGKAREVGLSV MVADILTLQSPFNLAKVVSKAEESQFIEPLGLLEDPQVCLELAATECQLAQGDIEDIY PCTPLQEELMAISSIKPGSFIGTFVFYLPVSLDRLRFKWAWDHIVKNTPILRTRIVQG GGSRLLQVVGKEQEELIVCDSFDECYRALGQYEMTFGSSLARSALINPEGKAPAFVLM IHHAAFDGWSYVQLLQDLGEAYKGRPISQRPTFNHFIGHILNQNASSAEDFWRKEFTD CEASSFPTRPNGWIAPQDPSWTKRRIPVEKLSGNGLINNQIQLAWAMIISSHTNSKDV VLGTTVSGRNAPVAGIDRMLGPTIATFPLRIRLKPGTTVQEALKEIQDHNTALIPFEH TGMQRIRGSSPGAAAACDFQTLLTIHPYRIQSPATILRDSPGNRGEQQKFTSHILTVI VSPDSDALKVEAVFDASVLSPPWVDRLLRGFEAVLVQISRSPTMQLDAISILSAQDKQ QISDWNSGVVLGKPRCMHDLIYDSCMSSPGHPAVHACDGSFTYSELLQFSRAISGQLR SLDIGAESIVAICMEKTRWLPVAVSGVLMAGAAFVLLDPTFPTQRLRGMCQETGVRVA LSSPTTYSKCRDLARDVIALTEETASRPGTSWKLPAVSPRNVMYVAFTSGSTGAPKGA PIEHGMCWSTYEAYRNALSLSGASRVLHFSSLAFDAAVFELVGTLAAGACVCIPSEEQ RLSHLAGAITDLGVDWVMLTPSVARTLSPAEIPSVRTLVLAGEPVMPADLQSWCGYVR LLGVYGPAEASIFTTITAFPREDCDATNVGRSGNSTCWVVNPDNHNQLQPVGAVGELV IAGPNVGRGYINRPQQTRASFIQNPSWVADFPVARDTRMYKTGDLVRYHLDGTLQILG RKDAQVKLHGQRIELREIEICAERSMPGMTAVADLIALPHPRIILFLAERRQSFCADR AHRCDDCTASFVLPTDPVHGQRAAILSHLSQVLPTYMIPSIVLSLEYFPLTPSGKINR RLLREEAGKLGQGISDWGLTPPVLKREPGSDKERLVRDIFAEVLALDKNAIRNDDSFF TLGGDSISAMRALNLCRKANLLLQMSEFLVYNSISLFCQNARSVDSPVEHAQEQPGLP FRLSPIQCMFTSLPSASDKRFNQSFFLRVKQGFSLSDWRHALKQVVRHHSMLRARLLP DDREQVITSDIETSFRMSMHDVEEIGAISTIAEASHSALNIRTGPVISLDIIHVNRDE QYALFIGHHLVVDLVSWRFLLSDIQDLLQGKPLPLTLPYSFQWWVSHQRTHSQGHVEP KDVLPFDVHCADYNYWGFSAEWNTFGETCSREFLLDETTTAALVESANTALGSHPQEL FQAALLHSWAKVFPDRKTPTIFTEGHGREPFDPAIDLSRTVGWFTTMRPCTVEVCESM GLPDLVRCVKDTSRSLPGNGLPYFSARYLDPRCREAFSGHSPAEVLLNYAGRYQQLES DDSIFSAPTWKPDPKLDVAHDLPRFAIFDVVIEVAHGRLHVSVWYCKHTRRREAIQRW IREYQRSLCEVAEELSNLTRRLTLSDIPLARMTYDQLDALDREIRLRLDIPSVSSIES IYPCSKAHAGLIHGLTGTAASHAVRSIWEIKSPIVLDAQAVADAWLRLIRRHTILRTI LVRSPGDGRIFHVVLKDPRTDVQVLPCSGDISYERFRDLPRLLSWETSPAHRFAVTQT SDRRILALLESGKAYIDGMSLSILQQELCLALRGELPRSSGPLYCKYIAHLTQQPSRD TQSYWETALKGMRPCLFPSLVVNHPPESPVAMHTLRSALPQPDRLNSFWRSTGLTVTN IFQLAWGLVLQQYCRSPDVCFGSLVSGRDIPMPDIAQIVGPCFNVLPCRLVLDANRNV VEWLRQNQAEMERRTEHQHCSVPDTLRSAGFGDAQAFNSCLSVQSPLSVHSAQIEFQL LENHDPTEFDLCVAVFWSSGQVDVHLRYWESVCTDEQAAQVLLCFVRAVSFIVDYSQE TLRVLSLALSGSGSPVS ACHE_60931S MAPPPPSNLPLPERLKALAQTLQFGWFVGHLTLLLSVARYFLSY FTFNYSSSAAQVSYRLAFISAAATYGIVVYKGHIARGRLQGSPPSIALKLITDENVQY LGMALLWLYSRQVPLALLPFSVYSIFHVATYSRSYLIPTIQPPAPAGSNGPKQPPLAE TIGRFIKQYYDASMGLVAGLEMALLIRLTLAILTFSKGNFVLWAIYLSFFRARYAQSA FVQQAVRHIVARVDSSVSHQTTPPAVRQGWETFKGVVRQAYEATDLNRATAGAKKPQ ACHE_60932S MSLTSRIFGLFTTTETSNSDSASSASSSPSFAQNVSPGINNGFT ASSTSVIGSNQPSTMPEEDEPRPPYLHAMLAGGTGGTCGDMLMHSLDTVKTRQQGDPH FPPKYTSMGSSYATIFRQEGFFRGLYGGVTPAFWGSFPGTVIFFGVYEFTKRRIIDAG ISPHIGYLTGGFMADFAASIIYVPSEVLKTRLQLQGRFNNPHFYSGYNYRSTTDALRT IVRQEGFSALFYGYKATIFRDLPFSALQFAFYEQYHKWAIDWMGTRDIGLGLEILTAA TAGGMAGVMTCPMDVVKTRVQTQQNPETSSSPKPTEHAPRQNASQQSLRTQARSISTS PSSGSMPPPSHGAPPPGTARLDTSSFFTGLRMIYQSEGLAGWFRGVGPRGVWTSIQTG TMLVTYQYLLKQFEAWQPSDEANPL ACHE_60933S MSVALPYLNKLRKSDLVDFAQITGLNGYEDYNKPDLIIALDNHL FANRSAFAGDDRLADYYRRLAQPARFATPAKRAMRVEVPSSSPAEVTRSTRRQRAVQP QPVVKKEEKESGSEQEQDQEQDQEETKSPRSVAVTDDSEAATVQENTVVPPETPKVPT PKAPATILNVDLPPSPAVVTDVIDRQTAAWGKSINETWNSSGVIERSNALRSLLSSVK AIETLVLLLEGSGIIRQIWPLRYLTTVPAIEAIHTPEFTLKVPDIFILVDGAFWAPFS LWLLTNVFLPLGAAYFFNLKATSTDTTRNGSSQKTNFDPLSFNIARALAAYLVYAKHF SFWDLYSGFTIDKVNASVPGEWTGMLTGTAIGLVGTLYEAILQK ACHE_60934A MRKMDWDPTQFFRTDAPPSPFALLVLNQPINEKAFKVLREHASY TICADGGANRFYDLMRKNGLESTILPDCIIGDLDSIHASVRTHYEGLGVTIIQDPDQY STDFTKSLKYLRAHSAQITSGPSKDKTGGSENGRLEILILGGLGGRVDQAFSQIHHLY LMTKSYSSDDAAGNLYLVSEESLTFVLQPGRNVIHTPKTNRPLPGSTQSTGSGSCGAD GEGEKHLLEENVGIIPISGPARLTTKGFQWDVEEWATEIGGQLSTSNHIRADVVEVTA EVPILFTVELATRFKRVR ACHE_60935S MVVPSRPFHWPGGIPPEVKPDATGTSHPRSSTKRLNAGYCLSLK LESPGRMPTSQTMTETTKSGNDEP ACHE_60936S MLPVYLRFCPLGKPLSEVKEGRAGGVRPEMNAELETTQSVIDIL EGARSRKEFIPGSDGARDGWTKDIELYAPEYLQTEAEGNEADYDHARLSDPKDAY ACHE_60937A MKLPTVYTALFLIITLATAKEKISLQWALCDPSPQSALPKLGLG TSPPYKQNPITYYDTQTPTYISSSLMFRTKTNKGQHLSTVKAGFHDEKETLDVPDFVE CAWVRYGDERSYTCEKRCPLVDESTIWCDEQVLFAGRYEDIDWDGMIGYGPYQNAKWK VRIEGYKTKFDDVVAGSLHLMEIEAKVPIDKGDEAYDVITKFLRDRGVVLCDKQEGKT KRLFRAMGYIDERSEL ACHE_60938A MFHNLTAFSRRDLKPYIAEFLGTALLIVIGDGVVAQCLLSDYRY GTWLSINIAWAAAVTLSGYLSDPSPTINPAVTICLALVRPSPGQWQKLPGKLFAQFFG GFVGAAIVYINYWSAIKDWDPEYTIPGGSILSPQGHHSAGIFATYPADFFFTNWQAAF SEWLGSAVLMFGALSIGDPANALRFHSSQLSMFLLLLAIGTSLGWQTGYAINPARDFG PRLFSAIIYGREVFTSDNYYFIVPIIAPVIGCITGATVYDSLLYEGDGSRVTDAVNKV EERNGALRLD ACHE_60939S MFRPASRALLRSPSTPFVAVRGPASRRLVSTASSSGTPKSRSWK NTVVRIGLAAGAVYYYNTSDIFAGQPSFSLRNQPYGYEPVDEKSLPTLNSIEPKIRKE REQERQREQAARAAAAPADGTTPGGKSPEQLEEEAGQEAAFNPETGEINWDCPCLGGM AHGPCGEEFKAAFSCFVYSNDEPKGIDCIEKFKGMQDCFRAHPDVYGAELEDDDAPAE TPAAPSKEEVSAAVKADAASHPDEKHARAKEIKTQVASAAAKEGEQAESEELIPKAWH DTESKNTESKAQKSEK ACHE_60940A MEDNPVLSGAADDAQAVAQDAPGDVVAPSGSQSNQGSPVKKAES ASGTDNPLDAPASPKPQNADAEREDEEMGGMEDAKKEPDTGDETGEGSVPLGVDGAAD PAQTKASLEASARSHLVSQTHAIILPSYSTWFDMHTVHPIEKKALAEFFNGRNRSKTP AIYKDYRDFMINTYRLNPIEYLTVTACRRNLAGDVCAIMRVHSFLEQWGLINYQVDPQ TRPSNIGPPFTGHFRVVADTPRGLQPFQPGPNHTVTPGKPHPATDRAASSTPASKADL NLELRRNIYDDKGKEITPAAEDKDKQANGEGANGTDPTKALENAAREPRKKAHCFSCG IDCTRLRFHYAKSAPAAGNNAPNSKYDLCPNCFLQGRMPSSHSASDFVKLEDNEYTIA QDKEAPWSDSELVLLLEGLENFDDNWEQIANHVGTRTKEECVMKFLQLEIEDKYVEDM PDLRNLHGRDPISHAENPVLSVVAFLAQMAEPSVAAAAAGRSVEEIRKELKKQLGKGS DEEKAQEKGKEKEGEAPKTEDFMEVDTSRAETDTASKASLPNVALAASAARAGALASH EEREMTRLVSAAVNVTLQKFEIKLQQFNEMEEIIEAERRELEQARQQLFLDRMAMKKR VKEVQDSLQSITLKGPSDDSNAMIADAAAAGMGNRYNFQPVGGDFRTGVQPWSAEAGA DFKAFDL ACHE_60941A MSFKPIVLYSHATGPNPWKVTAVLEELKLPYETKFLQFPEMKQD PFEKLNPNGRVPAIEDPNTGISLWESGAIVEYLVETYDKQNTISFAAGSPEYFQAKQW LHYQMSGQGPYFGQAVWFSVFHQEKIQSAIDRYLNEIRRVSGVLNKELQGKEYLVGGK YSYVDAAFVPWYVAIGARLAGAVDVAKEFPNLNAWLERVKARPAVTKTFQDRAAAIAQ H ACHE_60942A MGGVDNVDEAMDSMTTSMTDVMRSDTTGFTIPGKAFRDESYIHV RWPWIILPTLAIIFSIMLLIATATITRRFNTMLWKDSVLPLLMFRLQTDSAEDIESLS KVDEAERISKQIKVVGGEKGAPLVFSEIKDGLH ACHE_60943A MIYMGRPFIIPQASSRSPQPNSGDSTIHEVPDIVNTLRKDSLSA AFRVIELCQLLQDSVGLARASYTEFNGCRVALLALIAHSIIEPTRKISSALTQGMCLI RQICAGLESARSDVAVIEVLELARQRLHSHTNAERRQTEDAAGYDQFKEWAKLWRAGP VDGSDIFASTDMNPTPEQHSPHSGFSFDGFFSSFPNELGEFAAIPGLNYDLALAGSSE WIMD ACHE_60944A MAEPITKIHKGRQRRPRVPESQRKRAAQACLPCRQHKEKCGGGN PCPRCQQHQRTCRYESTGRRPVPVRNDVPDDGVPVGWKAKELQMKRIITHFLGDVSFE TSDLQQIADTLDNGRNWSDTDSLESHDATLTNDYSIDPLSTSTMHYSGELSHWNFSRM LERRLRSLGNSTGQDKDHDHVEGFFRATALQSSGSCVALAKAYFPPKHIAEFLTNAFL TFGETNYFYFHEATFREKLEFYYTIEHPLSINDAGWICTLLMTFAIGTQFAHMQTKPT PARAAAVEDSPDDQIGLELYRFSCRLIPDLITAASVETVQAFLLLGVYTLPIDTSGLA YIYYGLAIKMAIQNGMHRKLSEGNVAPEIMEIRNRLWWSAYSLER ACHE_60945S MGEVFPETGFTPLRPLGHAEKYSTTRSHLGIYLNVGLTVRYKRP SGVTVKPALFHALSMLISKHPILSAIPFAVDTPNPYFIRLPKIVLSEVVTFVKDDSSS DWIEILDEVIEEQHNRPFDIQLNKNLPFWRFCVLESNQDPTHFILVFVFHHALMDTKS ALSFHEELEECIAEYAGLEPSDTIYSPSHALFPPLEELHTLPVSQEFLQSQEKHHEPS PDSWTGSPQVTPVKTRFSSLWLSETETRRLAAASKKKHTSITAALQTLITAGLFSVLP SKYRTMQADCAVSLRRFLPEPVTATTLGCYVGSLSMTYHRMPSFDWAEARRTKVNIEQ AVAKKGGDMPVGYLKFIPNQHIWMRQKLGRKRMSGFELSNVGASSVSRGKKDFEIESI LFSQSSSACSAAIKVSAVTGPDGRLALGFTWQEGIVEADMVEKLKGALKSEVERLAIS E ACHE_60946S MAHFGTSSGVDKSTVIAAWKPRIPLAAMSLGSLHPDGEAQRLFK SLKGERVSYCEHYFVHKFCHYFDNKHSRHNIVVTMAQLSDYRVLSFDVYGTLVDWEGG ILAAFQPTLNKNNAQFSREHLLTVYHELEREQQAKTPDMPYHELLASVHPQFTDRLGL AAPSQEESKQFGESVGSWPAFPDTVDALKRLSKHYKLVVLSNVDRESFAKTNAGSLEG FPFDLIITAQDVGSYKPALRNFEYMLQAVKSTFDIEASQVLQTAQSQFHDHHPASKIG IKSAWIERPGATMGNLPENIYDWRFDTLGDMADALKAER ACHE_60947S MTHTVPQLKDKSLFIGKCQVNGKWVGAQSGRTFEVTDPATNKVI GTCPEFSAADTERAIKAASDAFPVFRKTLVRERSRLLRRWNDLILQNLDDLATLITWE NGKPFPEAQGEVKYAAAFIEWFSEEAPRLYADVIPVSVPGNRVLTIKEPVGVCGLITP WNFPAAMVTRKIGPAVAAGCTVVAKSPGETPFTANALAELASRAGIPKGVVNIVTALD NTAEVGRMITTHPDIKKVSFTGSTRVGKLLMGQASSSVKRVSWELGGNAPFIVFDDVE DIDNAVTGALASKFRGTGQTCVCANRFYIHRSLYDEFSKRLVERIEGFNVGPGFAEGV THGPLIHPEAAQKVAEQVADAKSKGARVLLGGHHIDELGPTFFQPTVLGDMTHDMRIA SEETFGPVSALFPFETEEEVIQLANRCDVGLAAYIFSDNVRRIFRVAEALEVGMVGAN TGSVSDVAAPFGGVKESGFGREGSKYGIEEFVNIKSITLGGIF ACHE_60948A MPACLDPWAHQASEWLCLQHEQLIDKFTDVPDHNISLWGLLLAI RGPLQLFANVRPVRSFPGSKSPLANLPPEGIGWALVRENSEGEYSGHGGRSHIDQPWE VATEVAIFTRAGVERILRFAFEMAQSRPRKHLTIVSKINSLRNGLVLWDNVAAEIARD FPDVSWDKTLVDAMTVRIVNKPNTLDTIVGTNLHMDILSDLAASLAGSIGIAASSNLD PTRKNPSMFEPVHGSAFDITGRGVANPLGAIWSAAEMLTWLGESTVAEHPMECVQTVC RAGILTADLGGNAKTQDMVNAICEEIERRGPF ACHE_60949S MAPVSEPAAPSIRTAVPGPATHSIKEELDTVLDARTVQMVIDYD KSSGNYITDVDGNTYLDVYAQIASIPVGYNNPTLLEAARSPEIVSALVNRPAVGNFPS HQWLALLREGLMRAAPLGCTQVFPAQSGSEANDLAFKAAFMAYRRQQRASNPWTEYEQ VTAMKNQAPGSPDLAILSFRNSFHGRSFGSLSTTRSKPVHKLDIPAFKWPQATFPQLR YPSEDHVQENAQEEQRCLQEVENIIHSWHCPVAGIIVEPIQSEGGDNHASPAFFQRLQ AIAKTHRISLIVDEVQTGFGATGKFWAHEYWELSSPPDIVTFSKKAQTAGYFFSDEKL RPDKAYRQFNTWMGDAARIIICNAVIDEILDKKLVEKTAQVGASLYDSLVILGSKYPD RMQNLRGKNRGTYIAFDTPDSGVLLKKMRELGINIGSCGAQTIRLRPMLIFEESHIPP LLAAFETSFSQLA ACHE_60950A MTTSPPTAPPSSFDPALHLHSEEDFPDQQLPSESFSDDIEALQL VREDNIRNKVVIQHRAWNLSDVFRSDEDIRPDTPTKSHKTPQRSDRLPLSFPSSPPLA KMPFIDSPLRASSPTDAQKRKNDDHGETLRQTEPKRQRITAGFVDDEDEGDEEGLAAF GDAHLASQFDLPEHPAKLPETPAAAQPPSQTPALSTPTTITTPLENIAQHDEARTSIV ITSRRPPQRFNIKTCSGKTHNVSTRTTKPPVSYEKLVAGRSTTATGRAQKSYYGVEIH RLLDEAAKEAAQSEASEQTAPRVQPSIEPPMQDQKKQKQAHAMWTEKYRARKFTELIG DERTHRSVLRWFKGWDSIVFPGLAKQKKPSRSGNYNEDEERNHRKVMLLSGPPGLGKT TLAHVCARQAGYEVLEINASDDRSRDVVKGQIRDALGTENVKGMNVEVGESKVRKIGR PVCVVVDEVDGVVSGSGGGGEGGFMKALIDLVLLDQKNSNRSAQPNGNNGKKRKGDNF RFLRPLVLVCNDVYHPSLRPLRQSSIAEMIHVRQAPLENVVSRMKSIFTKEGITCEND CVRRLCEASWGLSGRKQGSKRNTGTAEGDIRSVLVASEWVAYKLRSESASSLKLTRNW LEQRVLSDSTEGGSFFKGLNRGGVRDIVDRVFTEGAGFNDSPVGRESFHDAFMDSSKA PVGVADLKKRHAINRLCEMVDASGDHDRCVSECFASYPIQSYQDDTYLSKPNTAYEWL HFHDTMSSKVYANQDWELGAYLSHSVAAFHHLFASARGKSSLPENNEEEEEHPFSGPR ADFSAFEAQKQNRATITGFQSSLSPPLTRLFRSTESIVVDLLPNLMRILSPDVKPVVV RGSGESKSVASVRKESERALVLAAVRAMMGLGVTFEKIRVEGEGGGHGGWAYRMEPPL DTLVTFSKMRGSSTSCTSGSAPVRYAVRQVLDQEYRKETLRKQSEALNTSTVNTKTKK SSRKSGDGIGENDADSKDKDPLKDVGVKRDFFGRVIHERTPASTDSGDCSQPVQNEAS KAGRRVWVTYHDGFSNAVRKPISMGELLAGL ACHE_60951A MFPIARSSVLRAARSQIYPSRTCNQPSLSALARLLSTLAVLEQR DGKLQQSSLSAVAAAQKLGGSVTAFVAGKDVKGTAAAEAAKIKGLEKVVAVDNEAYEK GLPENYAPLLVDNIKSGGFSHVLAAHSAFGKSLLPRVAALMDVQQISDITKIESEDTF VRPIYAGNAILTVQSTDPTKIITVRGTSFQGTETEGGSAEIVDGTDPNAPALTEWVSE ELTKSERPDLGTASRVVSGGRGLKSKEEFDRIIVPLADSLGAAIGASRAAVDSGFADN SLQVGQTGKNVAPQLYLCAGVSGAIQHLAGMKDSKVIAAINKDPDAPIFQVADVGLVG DLFEKVPELTEKLKSQA ACHE_60952A MPSTELTTKVTSGSPYQLDKNQVTRASSALLRHIKSKQEEKEKN ATKKTLIGDNDEDEEDAPLHNEAVWLVLTTKKHVVDKNRLKPGKISIPHSLNSSPSLS ICLITADPQRAVKNIVTDPSFPQDLTSRIDRVIGFSKLKARYQSFESRRQLLSEHDVF LADDRIIMRLVNTLGKIFYKSSKRPIPVRIAEIEKVDGKKVKKDPKQKNNNSDEKSSA FASPLIVAKEIEKTLSCASVQLAPATTASIRIGSSKFTSEQLAQNVEAVVKGLTEKFI TKGWRNIKAIHVKGASTMAMPIWLASELWVDENDVLENNAEETKAIEGGKKRKSTGDE EKLLEDSKKAKKSKATEDDDEAASLAARKQKLQQQKEKALEDGKASISQNTGNATKGG KKKRKSTT ACHE_60953S MKLNSIALTLATAGLVAAQPHAHGHRHPQRRTVDTSGTVVEYEL NGQRISAEEVCKGIADGTLLWAAGVAPPGACQSSSSSATPSSTPTPTPTPTPSVAPAE FLQTSSSATSTPTSSSTQAASSTPAASSSSSSSSSATGIDVDFPDGEIDCSTFPSDYG AVKLDYHGLGGWTGVQNVTAESNGLLRILATLLTRDSCISGTYCSYACPAGYQKSQWP TEPGADGQSIGGLECRNNKLYLSNPELSRKLCIQGTGGVYVQNKVGKQVAVCRTDYPG TEAETIPLALTDSTVQPLTCPDGATYYKWTGKSTSAQYYVNPKGTSIEEGCVWGDGSK PVGNWAPMNMGIGENNGKWLSLFQNTPTTNVKLDFCANITGDHLSGSCSYENGQYISE SGSNDSGCTVEVMSGDATIQFYDC ACHE_60954S MESSSPLQRSASMTSLAGVKRPASLLPAFEPLSSSPSLPRPQKR VARENHGVVSKYPTPLPTSSTHILSSSPPRTTVPRPAYRRTFSSLTSSERTPLSTVPT LMLPENGEPILMGRSSASCHHQLAANRMISRVHVKATYKPAPSPFDHDRVEIMCVGWN GIKLHCQGKTYELAKGKTFTSDIKDADIMIDVHEARALVQWPREGKKDNYASTDSEQT VEETTPRRNGKPRRSLHESPLAERQRLVSPVSPSPAVQSLVPPSSPLYTPTRSRNAVV VYEDEASPVRRTSAEEDADATQRVQESSQRVEDILQSSSSDLSDLSKHDELSDHDEEN DPIVHSFGPFGENILPRMASFSAVESPVRPARPQPLQPTQSERQPKVAAEREQKEAEE KPDMNDECYARIQNHAVNQLAFSRLSSTPFSTIVSNLPASHWKREDTSKPGPTRDDIR RVIDSTKCIGKVAREGKDAAGKPLESEYYYVPDFDDDDMRREAVVHDLRKPGLRNCRK QHKQYFWRKPK ACHE_60955S MTTIPTGHTGPFSESDSYGEPTPSTSSPQDHSHNHEGIQDAIQS HTLLLPTGKTVIKTRQDPETTSSATPSTAASTSTTLSTSTNSTNTNGETETRPRTRGS VSSHQEWSEQDREKEAYRQLLTVERERDRARGRGSGRMGTMEFTEVLQGI ACHE_60956A MERQRKRELRTLNERAWAGESDIFSVSKSLDSALKKNTAFIKRL RTGISASAQQTFLADIRMLSLHKYLSEIISACYEGLCKLKSPGEIAVGVEIASALHQR FGPAEFTRQLGWLLGRGLSTPDKSQLKALAPELREKEEKERLSRHRVLLRVVTELWLV GMLRTLDDIERPEDLGAKNKDGVVGKTPDTVARGKPSADKETEPFPLEVLKDLLGHDR QHANLPLAVLFVKSFGWDILGVKGVEEGRKTVEADGATTAEATESKQNGTDVSNENDV PLTPEKTQNRFKAILNRYLEDVKAHVVRDQRALAAQSRRNAEAYVKSGEIFEDRQATF DKQSKNLEKLVSNTQVLCEALGVEMPPLAEQETADQASSGGIGLVKTAEYLRGQGEGA GIWEDEEERRFYENLVDLKGQQERMIIENAIYYVDPPERPAIQQKERTPIESYIRRLI YMDMNKRNYTRILKSIRKLHWEEQDVVNILERIFSKPVKVRYGNIHLLTILVSALYRY HQDFVIGIVDNILEYITLGLEQNEFKFNQKRIAEVKYLGELYNYKMIDSPVVFDTLYR IVTYGHEGGTPIPGKINPLDLPDDFFRIRLVCTILDTCGHCFDRGSAKKKLDFFLTFF QYYIFTKEPLPMDVDFLVHDTYSVTRPQWKLAGDLQEATIIFSEAVAQNYKTQDAGKH MEPEPEEEDAESSSSDEGLEEDAMPEVDDEQESSDEAEAEASGPNAEQNGDSESEDEV FVGRQEEERDPQAEAEFDRELEKMMADSVDSRRFERKAVFDVPLPMKRSGRDASGAES TESSQGQANTMAFSLMTKKGNKQQTRTIDLPSDSSFALAMKSQQQADREEQQRIKNLV LNYEKSNETENTDVSEKRTPPRDTRIDKSAANRTAFRSRKLQLSDVNW ACHE_60957S MLNKTPNYDADVLVVGSGPIGATYARKLVEKNLRVLMVEAGAQE SAIPGDHKKNAIVYQKDLDSFDHVIKGSLHLTSVPTDSNLAQNRSPISWSTANQVVNG QNPKDDEVTNFPAHAVSRNVGGMSILWTCATPEQHPKLERSKIFSPAEWDRLYKEAKK RIGTKTNVLEDSIRQQLILDVLGDHFQHRHPAPLPLAARRNDKNKDLIIWSSASTILG DIAEKGTGGNPLFTLWSQHVCEKLQINEVSGEVAHAEVKNLATDELKIIRAKKYVICG GAVLTPQLLHASGFRSERTATDESECFRLPALGHYLTEHSMCFCQVVLKKTLVDRVKT DPRYGWKEKMAKHRKKFGEDSLSLPFDDPDPQITIPVHDLHPWHIQIHRDVFAHGAVP PAIDKRTIVDLRFFGLMEPRESNYVTFDKDIKDAYGMPQPTFHFKLSDEDRKISHDMM RDMQDVAAVLGGYLPGSEPRFLPPGAAIHTCGTTRAGKKKDDSCCDEFSRVWGMKNLY VGGLNVIPGATASNPTLTAMCFAIKGAEHIIATTTHKSARL ACHE_60958A MSSSSSYHPEESEDASDSEFETRQSTATRSSAAPCLQSHGTEYA ARELRRNVSRSERRKARNRTRETAYWDLRQQLPDGSVGAYTEILEETNSDLPPVKAEF SGTENYNVTQNGIVIWTPQEKDIFFNLLDQKGKNGIKEIAQAIGTKSELEVQEHLRLL HRGLERQHLRDRHARTVILGDIPAATEIREKCERELDKYSELLCLEEQYLGDVAGKKR HYDAWIIDRGKAEEIDEQIVGEVEEDAGEEGEDEADSADHEGSEDVEYEGSEEESEEE REPLTIRTDSPIHLSASLFTMSKWIRLSERFFMNPSGSRLPDNWTKIACSGETPSITA DAFTDFYAIAISLTRRLVHSSLFFAMSRIRQMRDSGGRRKARLVKSRDVRAALDVLNM KQDRSDYWIGLARRCNLDVMDARHQKGWKNVRMSHNEVEDYLSGDFHKPSDERHKLKP SREHAGDGIYNDDNESVLSDVRSSPDPIPEEQLYLTFEDEHAEAVDQKASNIEEQRLW KLLGHPASVDLDPEIKPEDEDLEAIRKPTGERKTKEDLADWRDRALYRSEWEEYGNDV FDVYEELSENRRKRRRIAKGEPARLTSPVRTISDEDADVAMDEMDTREDDETGEANSR VIKYEGEDDSEEEDRDEATNEHEESIPSVGDKEEVDQKPIIRKAEEEPQDQDASRHSN EESEYESENDFKPPQQAVKYEPSGDEDEGSGSMSESSGSESEPDDDHQPQQSTTKGEP SEYSDSGSEYMSESNESTEIEQKPNIGSQEHQQRIKHEVSEYSGSCSESEIRR ACHE_60959S MDIIRHRLGAFPISHIFTVRTRMPVSTPLRCPRLSPQRKLWRSN STLAIPLHRPTEPERLSIVLRDYQEECIQSVLRYVDEGHKRLGISLATGAGKTVIFTQ LIGRIPPRNEYGDKTLIIVHRRELVEQAAHHCRLAYPDKTVEVEMGNSHYKGYADICV ASIRSLTSRDRIEKFFPGRFKLILVDEAHHIVAKSYREVLGYFGLNEPTEDSPVLIGV SATFSRPDGLKLGAAIDHIVYHKDYMDMIDERWLANAVFTTVRSEANLTKVKRDKFGD FQLGSLSQAVNTDSTNNITVRAWLANAHDRKSTLVFCVDIDHTKLLTETFRQYGIDAR YITSGTSKEVRAEQLRAFRNQEYPVLVNCGLFTEGTDIPNIDCVLLARPTRSRNLLIQ MIGRGLRLFPGKENCHIIDMVATLETGVLSTPTLFGLHPDEVLEKSTVKQLRETKDEQ LARIDHEAREEERRANDTQSPLGSEKEPSLNGDINVKFTRYDSITDLLSDMRSDKHIR SLSQYAWVRAGDDRYVLSGLNGWVTIEKGIPDPNAKGRPKSRHTNTIVTAADSDEIYT VHHVIKYQGAAGEHRYSRPRLIAQAPNLEYAVDSADTFAGATFAKHYISVRQPWRNAP ATPSQLGMLNRANLKSGGRLKPEHMNRGQAADMITKLKFGGKKRFNAMMKQRLKKEEK VGEVREIRRTGMGVGDVRVGPVMT ACHE_60960A MHRHSSGSPCEDDAEDSLISRTPITPIEEYGHGPNAFETPENSR SQVARRGTSFDLRRDNGSSTPRSRNSTMWRTLSSSSSTFNTQPSHETSAPRPPIMMPL ASSSSSSQRQPSPEGSPRFRHARLRSPWSCSILTAIMTFLASIFLVSILRSFSARQVG GDGCGIPVMSPTFIRMVGFDTEHTRFASKYNLYLYREEGVDAYNQEDVGLNGAPVLFL PGNAGSYRQVRSLAAEASRHYHDVVRHDQDRLKTGTRSLDFFMIDFNEDMAAFHGQTL LDQAEYVNEAVAYILSLYHDPRRSRRDPELPDPSSVILIGHSMGGIVARTTLTMANYQ ANSVNTIVTMSAPHAKPPVSFESDVVQTYKQINDYWREAYSQTWANNNPLWHVTLISI AGGSRDTVVPSDYASISSLVPETHGFTVFTSTIPDVWIGMDHLSITWCDQFRKAIIKS LFDVVDVRRASQTRPRAERMRIFKKWYLTGLESVAERTLPQKEPNTLLTLEDNSNTIL PQGERLILRGFGQRKGPDVRLLPIPPQGVAGKKFTLLTDNHFDKTGDNGNLEVLFCSV FPLQNGKLTSVFSMNMDFSGGNVGATRLACKNAAEDGIHLPASTHSSKNPYDRVQPFS YLQYDLEDLAEHQFVAIVDKASAPTKGFLVAEFSDSSDAFIRARVGLGGLISAGLKMR LPANRPMLTEVKVPAMYSSLLNYKLKVVRHDHGKKQEELFSPLLRQSVPDPHESKFFV NVDEVNVNLHGLAPFMPPPLREQSLMGGVSFQLWTDPSYGSTVDVSLKVDITSSLGEL VMRYRTVFAAFPLLVVALVLRKQFQVYDETGYFITFAEGLDSAVRSSLPILLLAMSLL ASSLATSTTLPPSDDPFHWRTNSTESPIDFTKNDLLLGSQDAFFWFLVPVFGLISVGV CVIVNYAALLLLSIISFMYGLVNSKFGYIRRDDKGNVSVFAASTPRRRLINTAILLVL VSTVIPYQFAYMVACVVQLATCIRAQWHARKTRFTAHFNFSNYSHSILILMLWILPIN ALVLLVWAHNLVVHWLMPFSSHHNVLSIMPFILLVETLTSGAMIPRVTSRFKHITSII LFSIAIYSAVYGVSYAYLLHHLANIFSAWLIGIYIFSSGFSVRRLWRILEGEELSSGI SEVGGSHIKKKP ACHE_60961A MLRSVGAIIFGIASDRWGRKWPFIVNNILFIVLELGTGFCQTYK QFLACRALFGIAMGGIYGNAAATALEDCPLEARGIVSGILQQGYAFGYLLATAFSRAL VNTTSHGWRPFYWFAAAVPVLIILYRLFLPETEAFRRRQETRAEMGGVTSTFFEEGKV AIKRHWLILAYLVLLLAGFNFMSHGSQDLYPTMLEKQYNFSQDAVTVTQVVANLGALS GGTLCGWASQIFGRRFTIIVISIIGGAILYPYTFVKSERIMAAAFFEQFCVQGAWGTI PIHMMELSPDSIRTFAVGCAYQLGNLASSASSTIESTIGERFPLPPVEEASDRYEYGK VICIFMGCVYGYVILMTLAGPEKLGRQFDVEHDKDMIEVRDAKAERDPEKAVSQQQSD ACHE_60962S MSDPYHYQHGAGNNPQYGGGYQQGYYPPQQQYSQQGYYPPAQSY APQQNYPAYSAPPSYDQSYGQPHPHGGHYAGTPDYSQNSHHPADRGHSPYPQHQQPQQ GSNASYYGAPSNEKYSQTGQQPTGPPGQAQEGERGLGSTLLGGAAGGFLGKKIGGGLL GATGGALAGAAGMNVASKLHKKHHKKHDKKHDKKYHKKYGRRGSHSSSSSSSSSSSSS DSD ACHE_60963S MPAAASVPAVSSKETAQSVQVLEDLIKNLNVSGSQDEVNAAAGN LASLFSGPIPEQTLPLKAVETFQKQLANKKDAVVRERALEGIRAIAGQPTISPGVEPH LVALLPNVLSAIGDKMVPVKNAAQATALTIVKALNGNAVKAVLPAIRESVSNAPKWAE KLTSLQCIDTLVETAPAQLSFRVPDLIPVVSEAMWDTKAEVKKAAYSTMEKVCGLIVN KDIERFIPELIKCIAKPENVPETVHLLGATTFVSDVTGPTLAIMVPLLDRGLVERETA IKRKSAVIVDNMCKLVEDPQIVAPFLPKLMPRLQKNFETLADPEAREKTQQALDTLVR VGDVKDGKIPEISTAGDIPTVAAILKEIIGDKANDKSEAIVNYIGAIAGQLVDEKDAE VTSWTQNAQPYIAALVGEADAKGVAETLRKKASPEAAQADDIASDEEEGEDLCNCTFS LAYGAKILLNQTRLRLKRGQRYGLLGPNGTGKTTLMRAINNEQLEGFPKKDEVKTVYV EHDLDAADTEQTVIGWTMKKLREVGLDPKQADVESKLEEFGFLREQLDGPITALSGGW KMKLALARAVFEEPDILLLDEPTNHLDVKNVEWLENYLKTSPCTSIMVSHDSRFLDHV IQHVVHYERFKLKRYRGTLSEFVKKVPAARSYYELGASEMEFKFPEPGFLEGVKTKAK AIIRVNNMSFQYPGTPRPQITDISFQVSLGSRIAVIGPNGAGKSTLVNVLTGELVPTS GDVYQHENIRIAYIKQHAFAHIDNHLDKTPSEYIQWRFQTGEDRETMDRANKIITDDD EKAMDKIYRIEGTQRRVIGIHARRKFKNTYEYECSFALGENVGFKQERWIPMMTSDNA WIPRNEIMQSHAKMVAEVDQKEALASGQFRPLVRKEIEAHCAQFGLETELVTHSRMRG LSGGQRVKVVLAACSWQRPHVIVLDEPTNYLDRDSLGALSKAIKTYEGGVVIITHSRE FTENLTEEVWAVMDGKMTPSGHNWVSGQGSGPRLADKSQQDEEIVDAMGNKTVVEKQK KLTASELRKKKKERMARRKRGEEVFSDEE ACHE_60964A MMRTPKFTPTTTLPTIPSEEWRNLLRSLLRECSYLPDPIAKGHM RDYVMQRFRRYYFNPQIQKETEHQRKLRKTALQQLSLLRRANEGYTKPLEHVLRLSYG RTGKRRNELLYKFIAPATPVDSQEVAQLINKPFAYYDGWEPPSLVRALLKAQHAHGII NELRVRPQIKSIEPRIPEENSWGRPIAAVRRRNIRSNWYTAALKSLLPPLPGKELDIL EGLISGEQHWEPPKRRKVIESPKEEEDSYLRFLVDGPQKGHTFRQYVDGRPHKITRRF MRRLWRRIDCLVPRMSWNDASQKYDFTWAVVKAMPEMSLPMDDDPEIFGNVNPQVN ACHE_60965S MVSSNNPVGRPGLPMSYSQSSIGSTNGMAFSQSQMGSFNTSHSV ASTPRATPPPPKGSQQSTMSFSYHNGNGMPASSRSSYGGFDEPNGLPSIPSLHEEFKP QIYRAVYSNVHVYEMEVNGVAVMKRRDDSWLNATQILKVAGVVKARRTKTLEKEIAAG EHEKVQGGYGKYQGTWVNFHRGVDLCREYHVEELLRPLLEYDPSANGTGGTTHDIMDT PTKEQAMAAQRKRLYSGMDGNRSMSQPQQGTFFQNISRTAATAVNAISKARFDSPAAR GNVNRRPSVMQKSFNSEPHMPFNAQQSMYSIASDNSLSNHMQNGGRLPPVDNSGLNHE ESAEPPRKRFRSTSHHEQSFGAHQEPSNLSMHEPTPTEPNESFYQEMDIPASMVDGNP RASDPLPAASTPERFQKTKLIMTLFLDKRTKDFSSHPALVQLSNEDLEIPLDEYQNNA LHWAAMLARMPLVHALIQKGVSIYRVNGAGETALQKSVGTRNNLDYRSFPRLLQVLAP TIDMVDYSGRTILHHIAVMAATGGGGHVSAKHYLEALLEFIVRHGGSTVSTHAPNGTV ENGQNGPITGEVITLGRFISEIVNLRDDQGDTALNLAGRARSVLVPQLLEVGADPHIP NHTGLRPADYGVGVDMIEGNSQANKGDSFTDQLAKTKKEILDATLSQVTTMVQEALGG LDRELASSLTQKQEKFDHWHSRIRETAKARQIEQKGLDDLKRKGSDRIELDRRIRNLE RSLEDFYTVLNDKRGESLDMSKVAAVGDADKESGLDIEEFEAIFPESFDPTAGLSEKQ SAYLASLPSPEVLRKRISCYQGVNDELLAEVERLKSKNVVLGQNYRRMVMACTGWSAE QVDEAAEGLTQCVKELNDNPVPEDEAIEILMRDRGQDW ACHE_60966S MAETTPYSASLNTLFDNASPLKGQYEPVHLADAEVVPILELPVA KEVFGQTPSDKDAIKKVSSGDISYTTFVAEKTKSVAQSQIGEQRSQLLHIGLAALFSF LQSNVTGPALNFRPAEVILPSALRSQVATLRGVRDQIIRGLTVDGEAAYKLTPNVELF AIAKAILVDADVLVADGPVVARTARMRVNFLHQKMLSEVTSTLQDVIYEDLDELTKVV LGEGSTYTPHEKGRFLLERATMHTHHGFDAKARADLERAATERHFEFALTGRLGKRTK FQDRDISQLVILAKSADESATTESAASESEKKDDSQPSGPKNLDLNDDTLLESISFAK NPESQEQEKSMTVQDESGLSPALASIDAGDQPILDAVDSSILLALASAITNTTPENGL TREETHPYATRVLEGGSSNWQVYTQALLLRSRIEGYRSRTVERSVLQMQALVDQVIAD TASLDAQTGEESTEEPTTFLPRPEKSESASAAERLEYIWLLNFSTRWDLEAELAKRWV DLGGLRTALEIYERLQMWAEAALCYAATEREEKAKQMIRGQLYEPTNKDEPAGDNETF QGPELFPLPANAPRLFCILGDIDTDPAMYERSWEVSGQRYARAQRSLARHYLTMKPPA LEKAEEAYRKSLHINRINHGAWFALGCVQLELKHWEDAVDSFARTVQLEESDAEAWSN LAAAILRTSKPADDTSEDPVAQQTADTDADADSETAPAPAPQDPFRRKREALTALQRA AQLKHEDPRIWDNVLTVAASIPPPYTPFRDVINAQRRLIEQLGPKKGEKSIDHPILGM LVDHLTKDYSYEDLLINVNEADPNSERIVRSGTIPGQILSLIDHSVVPLITHSPSLWL LVARVEYFRNQPSKAFEAHEKAWRATVASSAQGAFQMGDEKKWLDVVKATERLVREGY AKYGPMDKEGQVENEDGESEMVAKDWRFKSRSAVRGILGKGKDFWEDSEGWSRLKELQ SEVGTGS ACHE_60967A MDTMRAARNIYKNDVDFMALSLQSPEFAKYLKPNGQLDFTDPDA VRQLTKSLLKQDFDLEVDIPENRLCPPVPNRLNYILWLQDLLDTTGDEYRDDYDPDRD VTGIDIGTGCCSIYPLLGCASRPRWKFVATDIDDENIETATKTVTKNGLESRIQIIKT DPSLLVPLQKSGVERLDFTMCNPPFYASRDEMIASAEAKERLPFSACTGAEVEMVTTG GELDFVARMIEESIRLGEKVLWYTTMLGKLSSVSVIVEKLISHNNRNYAVTEFVQGSK TRRWAVAWSWADLRPAMNVARGITNFPKHLLPFPSESTFLISNTSIDDLCSKLDAELS ALPIQWHWRKNLSTGIGFAKENVWSRQARRKMQNPETAEKHKMEIDEGQVALGFKVQL KLDGIEETRTKVVMRWLKGTDSVLFESFVGMLKRKLEGR ACHE_60968S MNKFKSMIESEVDKLEDQFKGTGFHDARAQAHHMKHKFGKFFNI LNPNHRHDEEHEQATDRKRTGIAKSHRFESYAPIRENNNLKWYVDALDYLWAVSIALD QAQEVIYLADWWISPELFLRRPPFDNQEWRLDQILKRRAEAGVKIYIIVYKEVNQALT CNSAHTKHALGSLCPEGSPGHGNIKVLRHPDHNIFENAADMTLYWAHHEKFIVIDYAL AFIGGIDLCFGRWDAHQHPLADCHPSNFKNDIFPGQEFNNNRIMDFQSVDQWQSNEIS KSEYGRMPWHDVAMGLIGDAVYDIAEHFVLRWNFVKRDKYKRDDKVDWLMLEGRTGED EDLVAVQRPKFPCGDYVQHPFRPLDTKPRGRQGTVRAQLVRSSADWSSGILLEHSIQN AYIEIISNAKHFVYIENQFFITATGEHQAPVHNVIGSAIVEACVRAGKEGRKFRVIIL IPAIPGFAGDLRQVEATGTRAIMDYQYKSICRGEHSIFGQIKAQGVDPTQHIFFFNLR AYDRINKTPALLKLEEDAGVSYQDIQRGIAETIMSDSVHPTIGKEGDKGEKDYETTAG EIEEKIERLRKFERRLEARESDEGLFSKDTVAHTTMLHGGKMSDEAWQGDPEGEKENF VQEELYIHGKVCIADDRVAICGSANINDRSQLGFHDSELAIVMEDEDFMDSWMDGKPY KAGRHIATLRRELWREHLGLLPAQDYDASREPNAQPPGVCPNKVQEGPENDFVADPLG ERLWDMWTERATVNTEVFRLLFRADPDDNIRTFEDYDKFSPKGSKQGHLFDPFQPVTQ VREQLDKIKGHLVWMPLDFLKDAEMAEPGLQVNQITESIYT ACHE_60969A MANQCSGHSFAITQASNGNILTWHCHDCTGGPFTAVWLCKICGY VRCHSCHQSKS ACHE_60970A MRGVQIFTGTSHPALAETICERLGAVPARADLGKFSNGETRVNI NVSVRNQDVYIVQSGSSKINDSVMELLIMISACKGGSARSITAVMPYFPYSRQSKKKS HRGAITARMLANLLSVAGVDHVVTLDLHASQMQGFFGKPVDNLFAEPFIARWIRMNVP NWREAVVVSKNAGGTKRVTSLADTLKLNFGIVTTDRRRPKASANMADSTLFFDAAEPE TARTPKDHQPFDLQLQSANDSPEEALSETNDDLDSQSVSDNHLRPDTPPAARRPSELE ATQEQTDVRVCDVITGRLVQGQLVDDDYPSTAHTSVPVSGGTTPAPTGYQHNADIVPD SMVNSLISTTSSLPPDHALGGTFDAAESSDEEGSAGQNESEKTITLVGDVRNRTVFIV DDMIDKCGSWIAAAETVVKRGGAKKVYCIATHGLFGEESLDQLEACDSIDYIVVTNTF PIAPETVKRSKKLIIIDVSSLLAESIRRHHYGESVSALFHLNTNE ACHE_60971S MSHKYPPVQGGGSLIIAWQAKDKNIVVVGGGEVAAGRILHALNA DAKVTVICPASGLNDEVAFRVSEGQVSHKNKHFEPADLDGADMVLCAIDDPEASSRVW KLCKEKRIPANIADVPPECDFYFGSVYRDGPLQVMVSTNGNGPRLARIVRKKIADAIP DNMGTAIDNVGKLRKKLREISPTAEEGPKRMKWMSGVCESWSLEELVQMGEQDMDRLL AHYASEEIPGFQQIRASNT ACHE_60972A MAEVANGSVNEPNQSSPAHSQEESNETGVDAPTQPETPQPADED KPELPEESERQTIEAVSSEEPDSSRTQTESAELEQAPSPTNAVETPEPQNNADPESEL KDAGDNSPAPESSVSVEKEPPNEKQDPVTVPPQDEPAPESRLRSDSRSTTATFTTQRS GTVSSTVFIVTALDTIGNSKEARKNKQLEDTVKDALTNVKQSDRQPIDPEVIFRPLHL ATKSLSIPLQVTALDCIGKLITYSYFAFPSAKENETAQGEAGSEQPPLIERAIDAICD CFENEATPVEIQQQIIKSLLAAVLNDKIVVHGAGLLKAVRQIYNIFIYSKSTPNQQIA QGSLTQMVSTVFDRVRVRLELKELRMRDGDKAQGGASDSITIDVSETSPANEPDQASE TASAVTIDQPVTKEPAEKLTLQSFESTKDVASVNENAPTMVTRARINRQRASRSISGI PEDEREYADGATEDEEDEIYVKDAFLVFRALCKLSHKVLTHDQQQDIKSQNMRTKLLS LHLIHYLIHNQVPVFTSPLVTIKNGTSNGEAMTLLQAVRPHLCLSLSRNGSSSVLRVF QVCSEIFWLMLKHMRVMMKKELEVFLKEIYLAILEKRNSPAFQKQYFMEILERLAGEP RALVEIYLNYDCDRTALENIFQNVIEQLQRYASVPVTVTPTQQQQYQENNAKLSSFGA DWHQRGALSPSLTTVAVATTPQPNLQNVPPEYILKNQAIESLVEILQSLDNWAAQRIV DQTVTPNVASHKSMDNPRESIDTNAGTYLASPRIDVTDGDTGRSTPVAEDDPSEIERV KQRKIALTNAVQQFNFKPKRGMKLLLKDGFIRSEAPEDVASFFFRNERLDKAILGEYL GEGDAENIAIMHAFVDMMEFEKRRFVDALRQFLQHFRLPGEAQKIDRFMLKFAERYVT QNPNAFANADTAYVLAYSVIMLNTDQHSSKMKGPRMTKEDFIRNNRGINDNQDLPPEY LGSIYDEISSNEIVLVTEREHAANLGIDTPAPTGLASRAGQVLATVGRDIQGEKYAQA SEEMASKTEQLYRSLIRAQRKTAVRDALSRFIPATSVRHVGSMFNVTWMSFLSGLTAP MRDTENLEVLRLCMEGMKLAIRISCAFELETPRVAFVTGLAKFTNLGNLKEMTAKNIE ALKVLLDVALTEGNSLKGSWREILTCVSQLDRLQLLTDGVDEGSLPDVSRARIMPQAP PDPSRSSFQGKRPRPRSINGPPAGFRAEVAMESRSAEMIRGVDRIFTNTANLSHEAII DFIRALSEVSWQEIQSSGNSESPRTYSLQKLVEISYYNMTRVRIEWSKIWEVLGQHFN HVGCHSNTTVVFFALDSLRQLSMRFMEIEELPGFKFQKDFLKPFEHVMANSSTATVKD MILRCLIQMIQARGHNIRSGWKTMFGVFTVAAREPYEGIVNMAMDHVAQIYNTRFGVV ITQGAFPDLVVCLTEFSKNMRFQKKSLQAIETLKATVGKMMRTPECPLSHRGTPSENM LEDTNSNLAKQLNRQSPEEQFWYPLLIAFQDVLMTGDDLEVRSRALTYLFETLIRYGG DFPREFWDVLWRQLLYPIFVVLQSKSEMSKVPNHEDLSVWLSTTMIQALRNMITLFTH YFDSLEYMLGGVLELLTLCICQENDTIARIGSNCLQQLILQNVAKFQQDHWTKVVGAF VDLFSKTTAYELFTAAASMPSKVRKPSNGEAVPESTDATPVEENNAEFPQTNGLRNES SEHEEGDVPAPANPELEDYRPQTMEMQQQPAAVTVARRRFFNRIITNCVLQLLMIETV HELFSNDKVYEQIPSHELLRLMGLLKKSYQFAKKFNEDKELRMQLWRQGFMKQPPNLL KQESGSAATYVQILYRMYNDEREERKSSRGETEAALIPLCADIIRSFVRLDDESQHRN IVAWRPVVVDVLESYTNFPSEGFDKHVDAFYPLALDLLGRDLSPEIRIALQSLLRRVG EVKLGLAPPKPPSQASISPRSSISQQYSRRYSGVS ACHE_60973S MVASAVRMRPGAMFLSKGAACLKRPQVVHRFKDAAQTQLPALSA LSRFYASKSFPSHTIISMPALSPTMSAGNIGAWQKKAGDGLQPGDVLVEIETDKAQMD FEFQEEGVLAKILKDTGEKDVAVGSPIAVLAEEGADVSAFESFSLEDAGGDKGAAPAE EKKEPESKGAEAPAPAEPEPVAQEPDTTGEKLQPSLDREPLISPAAKALALEKGVSIK GLKGTGRGGQITKEDVEKFKPSAPAAAAGATYEDIPLTSMRKTIANRLQQSTRENPHY FVSTTLSVSKLLKLRQALNASSEGKYKLSVNDFLVKACAAALLKVPAVNSSWREENGQ VVIRQHNSADISVAVATPAGLITPVVKNVQALGLSSISNQVKDLGKRARDNKLKPEEY QGGTFTISNMGMNPAIERFTAVINPPQAGILAVGTTRKVPVPVETEEGTSVEWDDQII VTGSFDHKVVDGAVGGEWIKELKKVVENPLELLL ACHE_60974S MSYADAAARGAKQSPEDSMADANHRFSILRRESTCCNKSRAPRV EAIYRDESENPATLVDVDSPHVSSVDPNFLNQNVKTSTQAERLQREQEQQNVEGQNKA RKARAKAKAEKSSLSANKGNPVYVGNALLLGLAGAGLGYGAYQKHLSGKLSWELVGLW SGAVGAFGVADYFVSKWLIQNKYPKK ACHE_60975S MNSLFNSALKQSSAIRRDLETFSESPATSSAALQGESLSDEYAC LVRDEMLMVVNAGQIAASLASLSRTVDDYSALSKKELIPAKQEKAFERVKNFRAELGD YRQQFDGLRKVREDAQSMSNRNELLGRRPHHAATPENPYAQSALPQSSPFAPSTTSRS GLSFGAPPADYNRETHALREQSFLGNTSNQLDEFLDRGRAVLADLGEQREILKGTQRR LYSVANTLGVSGDTIRMVERRAKQDKWIFWVGVLIFFLFCWAVLHFLR ACHE_60976S MFAARQSLNLFQKRAFSASASQASKVAVLGAGGGIGQPLSLLLK LNPRVSELSLYDIRGAPGVAADLGHINTNSTVTGYDPSSSSGLSDALKNSEIVLIPAG VPRKPGMTRDDLFNTNASIVRDLAKAAADAAPNANVLVISNPVNSTVPIVSEVYKSKG VYNPQRLFGVTTLDVVRASRFISQLKGTDPANEKVPVVGGHSGVTIVPLLSQSNHANI EGTPRDELVNRIQFGGDEVVKAKDGAGSATLSMAFAGARFAESLLRAAQGEKGVVEPT FVESPLYKDQGVNFFASQVELGPNGAEKILPVGNVTAYEQKLLEACLGDLKKNIQKGI DFVKANP ACHE_60977A MADPTQTPDSTSNGTSETPDSQDTAPVATPKSPVVEEVDKAKKN QPNPKKTKKLEEHEDTSSSSSEDESGSSSSDESTDESTSPPGMVSSETSESESNEEQR RTTKSKTKRSRRRNQKKTKKSRAHRSSHSTTESDRSDNGSEDSSKDNQQLPGPQTETL PGAQAAEPTDLILALAKRLAAMEAELIEARRNQNLIQCNHTGQSEESPQYEPRKSKKD KIKIGTKVEFKRVDQLWDNTIHDYKLSETVEKEETDEWDQYIFTVRRTFDDDNKHAST TVDIRSKPLREALAKVMGGVKGISLVEEKPSVDPNMLFLYLEETRQYMKAQKQLAKTE KKSKTRKSAATKAAHLKVLVKYLDTDYAEIKKALHAMLNSNTITFDLMWALFKPNTIA YASTYGNEDEPRAFKVDSVTKYSSIFDGESYRIKGRYLNYDGKHFGMVSMVEAIDAFK GSRKITSLECYPLHHHRDAEAVRVKLIERGRKFASLKGQNYKYHQGMAFCRKEQGVAK INVNGRVMVDPTTHSRINPNYPVDRIRRNQDDGGNANIVDDDDEDDEGPILISYERRL ATDPNGKQYTVRVKVDKHGNEIQDETMDGLLTDPAERAFTDEELTIASPVVRGFAFNE KMWLEFSVSGIREIDWNDKAFDSLVLPDNQKSIVKALVESHTYNEAQNIDDVIQGKGR GLVAVLHGPPGTGKTLTAEGIAELLKRPLYTVSMGELGINSRTLEKELNNILDIAHTW GAVLLLDEADIFLEKRGLHDIHRNALVSVFLRLLEYFQGILFLTTNRVETFDDAFQSR IHIALRYGDLTTKAKRSVWKMFLGKVQAVEGVQTADFTDKDLDALARHNMNGRQIKNS VRTAQALAVNEKSPLSMNHIKRVLEVAETFDRDLRGGPGYLDAMRSYT ACHE_60978S MKANFVVFDKEDEDHLFQSKKEIEERFESEKWQKIANSVELKGG NKYPSAAIQKSSRNLARGMLEVVSLLLSWRNTNELGFCLFGV ACHE_60979S MDPFFAKQEPIDSTDIILTVDSPTPKANDEPNGAVLMNGDNADE QEQGSPTKKSEAKYPGKKAGPFDPIPMSYRGIGLENKLILCMKETEGKDWAEMKRALE EITGNKLGSSTVCMIR ACHE_60980S MEHPFAKQEPVDDPNEMATIPESPTSKIKQEDGNENSTPKKRIR ENTQTTMDENESPTKKSKAKAPGKKAGLGPIPTSYDEASEEDKLIIKMREKDGQGWSD IRKVIEEITGVKFGGSSLPSRYGRMKANFTVFEKEDADYLLQAKKGIEEKMEHEKWQR IADAIEAKSGNKYPTSAVQKKFKELTKKVNGNGVVAAAVEEE ACHE_60981S MSLMSVNGDNMDFPFVRGSINASVTTVIPESSTFKVKEEEDKSE LSAPTTATTNRAREKTQAMEDENGSPAKKNRTGKKAGPLGPIPMSYEEAGPEDRLIIK MREKDGKQWLDIKAAIEEITGIKFGNTTLKGRYARMKANFVIFEKEHEPILLGVKKDI EDILSLRSRKSPKNFAKMSSGNGVAVTDEE ACHE_60982S MVDAPESPQPQQQTPGRSPFQNGVRTNGRAFNSPNWRMKGEESP SLEGQGSPKPRTNTSRLAFSRPSPHVPHAISEGRRLYVGNMPYTAKSEDVEALFTAAK FSIERIDIAIDPFTGRNPSYCFVDLPTKELADRAMGQLDGHDMLGRPVKIKPGVVKSQ QQRMDGEKSSPFSIDRWRKNETPTFAKVNSDSSRRLYVGGLPKLTDHEALSININNFF KDFEVENVSKLFTPHPSKRFEPGDHYYLFVDFSSIQEAQDAMNTMNGKEGPWGANLRV QRARGETWRDDRSKMPSTQTTEIPATDEVPVGV ACHE_60983S MSFSSTRSERGHKSYFASSDDTKICVVMVGLPARGKSLIAGKAM RYLAWVGIPARVFNVGKYRRHNTPQPSAKFFEPHNEEGEKMRRAAAEAAVSDMLAWFR EDQGVVAILDATNSTRERRRWIYESCERENVETLFVESTCDDEEVIMNNILEVKTTSP DYKGQDPEMAALDFRTRIRNYEQVYETIDENEKHYTYVKLINVGSTVIINQIKDYLSS RLVYYIQNLHIKPRSIWLSRHGESEYNLVGKIGGDSSISERGEAYAKALPELMRKSGY PPNTKIVIWTSTLKRTIQTARHLAGTPGFGKLEWKALDELDSGVCDGLTYKDIADQYP EDFAARDEDKYNYRYRGGESYRDVVIRLEPIIMELERSENVIIVTHQAVLRCIYAYFL NTPQEQSPWMEVPLHTLIKLTPRAYGTEEQRFKADIPAVSTWRGKGTSAHHQEFPADQ K ACHE_60984S MLSAFTARPLVELKQRDKSKIESVLAYGDRLLVGLNNGSLRIYR VNEISYDEEGTTDANGGGQHHGGAEGGDTVKNGDEGVRPATASSPRATTPKTKPTDLL REIEKFSRYKIEQLALIKEAKLLVSLSGGYVSIHDLQTYELHEQLTKTKGATTFAITS NIVNDPETGVPSIVSRLAVAVKRKILLWTWRDMELEKDTAEMILVSGIKTLTWISGTK LVAGLGSNFVMVDLESSSVTELVGPGSIGGFGSQETGRLAGVGAASMSYIGMSGMGPK PLCTRLSEGQILLAKDINTHFIDINGNSLGRRQIPWSHAPSDIGYTYPFLLALHDASK GVLEVRNPETLSLLQSVSLPSANLMHIPQPTISLAHAGKGFLIGSDRVIWRMEALSYD TQIDTLVEKGYLDEAISLLVMLEDALLKDKPGRLRQIKLEKAEGLFNVRKYRDAMDLF TEVSAPPETVIRLYPKLIAGDLSCIVEEEESEDSGSEDSPKPNEDQDNATSKDATSPT TKLTHAPSMRSILRTRTDAFDDAGSIRSKPVEEDKRLEGKDLKLAVRELQAYLADVRR RFQRFLNPDGSLKIPDPPTNAADDEFTDSVVKLLDFSREEREEAFAEKLRDKARLVDT TLFRAHMFATPSLAGSLFRIANFCDPDVVMERLEETGRYNDLIDFLYGKKMHRQALEL LRKFGQAETEDESAPQLHGPKRLVGYLQNLPPAKIDLILEFAEWPVREDPELGMEIFV ADTENAETLPRHQVLEFLEKIDITLAVQYLEHVIGELNDTTPDLHYKLLLLYLDRLNK GEFVSDDDRVEWRNRFLETLRSSSQYSPAKMLDRLDRNDPEFFEARAIVFSKMGQHKQ ALEIYVFKLEDYAKAEEYCNHVHKEDTSAKEAAPEGEKYEAKDEHSSIHLTLLGLYLT PPYGYKPQYGPALDILAKHGSRLPPSSALELIPESLPVKGLEFYFKGRMRAANSKFNE SRIAANLQKAQNVKTQAQLLVGEGIERKSSRSRHVTVTEERICGICHKRLGGSVINVF PDNTVVHLGCANRLSTTTS ACHE_60985A MSTSTGNHLRAVYTSPQPSTQTFQHDLSSTPVPSDTSSKVAYLG ELRQLVPKLQDEINVFLTERMEEDKKAAEAQGRKESEKEAKEEENYGEENVEEDA ACHE_60986S MNTLRFSGSPEHPSRTAQLPSRPSFDDELGATASSGSDASSLAS NVTTVSAVNTNNNSTSNIPGTATASRPPRTSSITTTSTTSSNTSSTANPSVRPVASMP QNEPLGRKPTTLGRERAEIQRHRSRHHSQGFFEPSLPTASSTDATLSASRIAAQAAMQ QQQQQQQQQQQQSSGQHPPRRPQAISQESEDNLSRNRRTGSDSPPPPPPPPLLAAPNL IPGPSHQNGLVGGNTNVATTAANVAFPRSPGLQPPGMPGEPVPEKEQKQKGEKKKRLF SKPKHIGISRDKDPFKDRGMPSPSKMSFASASGLSRIVSTSTPALPDTPVLPSNNPSM YNLSNGSASTVVPADKPASGEEKKDKEKEKDKDKEKDKDKEKHKHHFLSRQKLKLKDR VDEHRHLPLSSAWSNSKPSDPNAPQSLYSFVPQSPGTVSTTFGKSVSGLDILHGGRAL REKKKEEKALAESELVDGLVNATGSNGTPTVFPGPSSLGSSTGILAEAALRETLQGFG LNNMTPEDAWDFLKAKLLVIFDGEDVRIAIEDLNKLVLIHIQRCVQKRTPTAIIDDLR ELLDTGFASLNHNLSGVPDEKLVPHLVQIWMLTFGTILPFIQAVFLPLDLEFKGCGSV MSLREANEFWSGTSTNGLPFGPPGGALEVRNLVLTAFRDMVIICRYESLLNTFSRLSL DSMNLGNSGLSVTTTKSSTNSGRPGTATSLDGGFGSYSSQSSTLLNAAAGSYSSDSMS MSDNRSRAASNTSSNPDQLIFQSFSSPAPRPTIIHRSSSSAPDTSHLITETVGRMLQC VSVLASVQTGDEPQERIEVLSKALKHNWLGRGRTGRDRRGFVGAKVRPTAVARTNSDD STKDARLGELGLGMQGRRREMVI ACHE_60987A MTPNTSLNPNHTPTTTPRKRTRNKTTTKDNADEPESQHDDDDHH ESPSKKAKTKSPTKRTSSLGPIPTTYEEASEEDKLLIRLKDENKPWAEITKALEDITG VTLGAGLRARYARIKANLIGFEEGDGPILFELKREIEDKQELEKWQRIAEGIEARSGN KYPIITLQKKFKELSKVNGGVAAAVKDEE ACHE_60988A MDQDMHEASSAGAIAGATSATENQTRVPTYEEVFPETLVADAAF RVFSYARQQAALTPPRPCSPIQPVPVSIDPQRLGEYRQSLAGLLANTLEGLALYEAQA AQEDEYDEDEEEGDYGSVEGCEGGCRLTDEEGNYQSDSVSSVESSIETPVESSVESSG PKTEPFPDYYESCEMYPPVDIIQLEQAYNYAISKVVEEVIRFREIDPCIANPSFSSSD EEEEEPRPLLAPIEEDEAEYQSTVEQNINDVDGSQGPNEPSSSASSPPGMYASREESD KMRVVDDMRRLLCSQYLGDGPRGVFHDFSYLIIRMGEILFVNKNSSPLHFMSAGATYD IKMIASVVTRYLAVVDARLIELLDVGSRVHYILREVSRDMSVNFFIRYRRMLHVILGS QLRKVTPIEKTLLTTFLDLYDNFVYTSFLNTRNRHLLLEDIVNIERNEVTRILVALWD ILCRVIEGYDRYLNLMETIGVEYLDPLIEQIEHYLHPIRGSEKEQDIRRQLDEGFDRY REANLSILRPEDGLFP ACHE_60989A MDLRSEPRWQWPSSSSAPSSSEAPRTSDPPPKRTETSDAANSES SKKRYGPRECRICLETVYPTFHPPSENLPGFLQPKPRVVYESSDPESGRLLRPCRCKG SSRYVHEGCLQSWRHADPGYAKRNYWQCPTCGFQYRLERLRWSRWISSAMTQITLTLG ILVLTVFLLGFVADPIINLYVDPVDTIYHVEYWESSLGRDVRRDVPSYSWIEHFAKGF TSLGVLSLIKTLLGSLSWQIWVRSSTLNGRNSGRNRAASITWIMIALGVLTFLVAVYK GVRSWSRRTLEKAGERVLDVPLPDDDDEDEQAPSSGDTQGQSKKDD ACHE_60990S MRWSSTATNGIVLATEKKSSSPLIDPPSLSKISLITPDIGMVYA GMGPDYRVLVDKARKVSHTGYKRIYNEYPPTRILVQDVARVVQEATQSGGVRPYGVSL LIAGWDEGVEPNTGEAQRGDDADDVKKATGQTGGILKGGPSLYQVDPSGSYYPWKATA IGKHATSAKTFLEKRYTEELELEDAIHIALLTLKESIEGEMNGDTIELGIVGDPADSL LGYEGVEGARGPRFRKLTKEQIEDYLSHL ACHE_60991S MTLPNPINEITGSLYHYAPGYKILLSTFIAVSWYNATELLILIF CTFRRYHGLYFWSLLVSSCLGVTPYSLGFLLKFFSGYSPFIGVSLLTVGWWCMVTGQS MVLYSRLHLVVRDERLLRKVLVMIWVNFVVLHIPTTVLTYGSNARNMRSGEFVRGYNI MEKIQMTGFTVQELIISGLYIFETIRLLKLGWDENKRRIMHRLVGINVVMLLLDLILL ALEYANQYALQITLKGMFYSIKLKLEFAVLGKLVDVVCGEHLPSQLVFEEPGMPLNPV GTSIASSSRLLDARPIHTPESTAQTVRPGVSSGKNSSLERISTWVERQRRNDS ACHE_60992A MSQQDLLGLASEESHRVIPISASQSLHASAGASATPGAISTGLS RLDEALCPPSGEDVPGSTTSSPGILCGQVTEVYGPPGVGKTALALSTAVNALLDRRKV VWIDTMSPLPRPRLRKMLRKALESIEGDRSEEDAIQNLHYFRTASLPHLLALLFRPPK LFPPDDTSLLVVDSISGPFPSYFPNPTELRARLAQSDITDKTKIQWLMNRRWNVTSDL ANQLMKLATTRRLAVLAINQTHTKIKGQPRATLCPVLAGGAWENCIATRVVLYRDFCT VVEEDLPILVNARFAEVMKRSGRILALRLEENIVPFATESDGLRGMEKKQPPSVTPQV AEPRELLSQPASQPASQPPSQPAGQLASQRKRKVDEIADSEDDEDDSDGDYGWAEDDD ALLQNPEKQYL ACHE_60993S MLPQSDAAPIITIICILPILLILNLKTHRQRHPRGCRRLGLSPG KSNLHDEYHPKYTQGVPETHHDANGKPSWRIKALFTYPIKSCAGVELDVSDVVPTGLK YDRQFCFAEYVVPKQQDTEPHWTARTLRDKRFERMALLRTEIWVPDSSAADYAPDLDE VKSEGVMVVSYPRTFAGATSFLGKLGIALGIISKERSFQVPLSPPPDNLPSYPCKPVR IWKDSPIAYNYAIHIPPSLHTYLASDTLTLFRANPSHHREIFRCAPPKETLGFQTVTD FADAYPIHLLTLSSVRDVASRCVADIPRLSIRRFRANIIVQGPAAFEEDQWKKIVIGG VGEGVEVHTVCRTVRCRLPNVDPDTGLRHPCEPDRTLRGYRRIDPGCLAYACLGMQLV PAVERFRVSVDDPISVLEIGEHFYIKMLAAGEKIPGE ACHE_60994A MDLDQYPTRSWTERARRIVKTFTTKDGLVGDYDYAYLFTPRIPF TKKSRQSAPFFGLDDQVPVLLALLLGLQHTLAMLAGVISPPILLGGSSGANFGTETYQ YLVSTSLITAGLLSLIQMTRMHIWKTKYYVGTGLISVVGCSFATITVASGTFTQMYSS GYCPVDTEGNRLPCPRGYGALLGTSCLCSLLEIGLSFLSSKVLKRLFPPLVTGPTVLS IGASLLETGMKDWAGGSGSCGNDPASRALCPSADAPHALPWGSAEFIGLGFLVFVTII ICERFGAPIMKSCAVIIGLLVGCIVAGACGYFDRSGIDAAPVASFIWVKTFPLTIYPP LILPLLAVYIVVMMESIGDITATCDVSRCEVEGPEFDSRIQGGVLGNGITCLLAGLCT LTPMSVFAQNNGVIALTKCANRKAGYCCCFFLLVMGIFARFAAALVAIPSPVFGGMTT FLFSSVAVSGIKIIASVPFTRRNRFILTASFAIGMAATLVPDWFSYVFTYSGDNHGLT GLLDAIDLVMENGFAITALIGLVLNLILPENPDEEMAIVNAHMQAPVSQENKNEERSS SKAESEPSGSAAV ACHE_60995S MSAKADSRPKATDSKKVHIADTPLTRQNWYKHVNWLNVFLIVGI PIYGCIQAFWVPLQLKTAIWAVVYYFFTGLGITAGYHRLWAHCSYSATLPLRIWLAAV GGGAVEGSIRWWARDHRAHHRYTDTDKDPYSVRKGLLYSHLGWMVMKQNPKRIGRTDI TDLNEDPVVVWQHRNYLKVVFTMGLAVPMLVAGLGWGDWWGGFVYAGILRIFFVQQAT FCVNSLAHWLGEQPFDDRNSPRDHLITAFATLGEGYHNFHHEFPSDYRNAIEWHQYDP TKWTIWMWKSLGLAYDLKKFRSNEIEKGRLQQLQKKIDQKRARLDWGVPLDQLPVLEW DDYVDQAKNGRGLIAIAGVVHDVTDFIKDHPGGKAMINSGIGKDATAMFNGGVYYHSN AAHNLLSTMRVGVIRGGCEVEIWKRAQKESSDYVRDATGQRIVRAGEQVTKIPEPIPT ADAA ACHE_60996S MAPDHLRKPPQAPPIFTATAQSIVDDAKRLIESSRKVQDDVVAK VSPDSATFASVMKPLAQDENVMALESHILGFYQAVSTEQQLRDASSKAEELMDEFFIE TVMREDVFKLVDAALKKDESLDPESRRLLEKEHKDYIRNGLGLPAGPKRDRFKEIKKR LSQISIEFQKNLNEENGGIWFTREQLDGVPEDVLGELKKGEGENEGKLRLTFKYPDLF PTMKYAKNAEVRKQVMIANENKCNQNVPLFREAIVLRDEAARLLGYPNHAAFRIEDKM AKTPQTVDTFLGDLRTRLAGGGQKEIKELLALKKADYEARGESFDGRYYLWDHRFYDR LMLEQQYSLDQQQIAEYFPLQTTIAGMLKIFEELFGLEFVEITGEDRAQVAPTGKGND IVWHEDVQIFSVWNDKGEGNGFVGYLYLDLFPRDGKYGHAANFNLQPGFIDTNGNRRY PATALVCNFTKPSAKKPSLLKHDEVVTLFHELGHGIHDLVSKTIWSRFHGTNTVRDFV EAPSQMLENWCWTPSQLKSLSKHYSTLSPEYKASWQEATGTAAEAPEHIPDDIIANLI RTKHVNDALFNLRQLHFGIFDMTVHEPASHEAIATLPISSTYNSLRKQITLMDGPEAI GLGDEWGHGEATFGHLIGGYDAGYYGYLSSQVYSTDMFYTIFKDNPMDQAAGRRYRYT VLEKGGSQDEMKTLTEFLGREPKTDAFYKDMGLA ACHE_60997S MSEPLPPTTKTPPQNPDSYLTNEPNESTLNDPSLTTNEEKKTLP IKTHHCRFCNHLLLATTRSLPSLPRRKDPARDAALILPLPKSTTNDDDVEDETNARGV KHYSILLSTSIPDRKATLVRREDGFEKRLLLRCGRCRVVVGYFLDDVHFPGHADDTNE GGGGVKVVYLLPGGLIETGIMGDEDKVKPMDQEWSGWA ACHE_60998A MSSTNYKEAFSLFDKRGTGKVALESLGDLLRACGQNPTLAEISE LEKSIGGDFDFDAFSKVLSRPGGFRDPGEPEEYCRGFQVFDKDLTGFIGVGQLRYILT NLGEKMSDEEVDELLKAVDTSSGEINYTDLVRTILAN ACHE_60999A MAQLEQGQPKVLDMSPVQSTIDAAAKKRKRTRKVYTDKKFVCSH EGCGKSYSRAEHLYRHQLNHTPKQIYRCDFPDCYRSFVRQDLCIRHRERHTTRGSQLQ KRDHFAQTASHHGKPLVPSNAPSSAPPTTLPSSNILPATSNPETPSTVPLGTYQYMPD YPFPRPHSSTNYTLASPAAQSTTSFPPYGPVSDRSSSHTPISDLGQDPQQFGTPVSTA TTSQTIPTSYQPFSTPDMNGNSFNPTTTYDSQPGMTIPVTYSDLSLATSTAPTPTTLS GLDSSLMAPGPTATDAGFDPMSPCAYPMFGGETYNRSPFAMADDFAAWLFNEPGQMAT PPPSTTSPSLGGFAPLPPSVAPTPTTGMMPGYLDTMQMSNSFLVNDPACQGLLLNNML PTQQQHPMSVTSILDTGSPQAVLSGEKRQDLLQLMSTRFNEAAYSAAAKRKDSLMQGN LDDDHHVLSLRMMHTYIGSYWYHFHPQLPILHQPTFSADETPNLLLLAIIAIGAATLD KVHGSVTEASAQLADFIAWHLRWELFMDADFRPPAKLWIFQALLLLEVYEKRFSTRAL HERAHIHHDTTLTLMRRGSSLIGKDAFGNMHDGAGHSRFGSTSTVADDSWSNWIKAEG TRRVAFAAFVLDSTHATMFGHSVKMVAHELRLPLPCDEALWSATTSAEVARMQSSLKA NGVKPVLFLDGLKKTLNGESVRTNAFGRAILMSGLLSVSWHMNQRDLQVSSLGVPQAL GGRDKWRSSLLRAFDNWRRDYDEALGQGSLFSYHANRFAAEDPVLDSRDALHALAHMA SHVDIVDCQIFAGAGRLLGRSITTRDYSAAREKMTSWATKASARDATFYALKILSKCL LPEQTSTFWSNETTSTYSARNDYLLNRPWVLYMAALVVWSYGYALDGSLAPVSLNSKA EQAADMHSFLRRVGGARDPNELEHMGGRNQSLGLLMILRESFVDSRWELIQEAGELVG RCIGKLCGSM ACHE_61000A MMNVAVIEESPANTPSLIRLPADSETVEQEPSSRGTVDPANDVF SFAEDTALPRSAETATTLIRKACREASWRATRIDQSEGETVPDDPDQKLYCRRRTLPR ICLEYGEQTQDAERMQMQDSNKKARTVDAIGWKMVDPRSLDEDGRDSQVREEASRRGQ CD ACHE_61001A MASKEGAFAPVLAAVATMQGNVSRSEKTHAHEFLEKFQKSIEAW TITHELLQSPDVPVEAKLFAATTLKGKIVFDLDQLPPESVVALRDSVLNLLVAYAAGP RPIQTQLCVCLASLAIQMLEWKDVLVTVGSALGSSAGDCVLEFLKILPEEVTEGRKIN LSEEDLITRTKELLEDNAEQVMHLLIQYSQSSPTASTNPRLLDCITSWMREIPAAKIV DSPLMDVIMKALDDDASFEAAVDSVCTLYRDTREVDDSLPIIQALYPRIMALRPKIIE TSESEDTDAFKGVTRLFAEAGEAWVVLIARLPNEFRGLVDAVLECSARDWERDAISLT FIFWYELKQYVTLERYNDARLAFSDIFSRLVDIMVKHLEYPRPEDGESDLFGGDREAE EKFRHFRHAMGDVLKDCCAVIGVTECLSKAYQLIQQWVSKYASQASNEHVPNWQELEA PLFSLRAMGRMVDPEEDTVLAQVIPLIVQIPDQDKVRFQAIMALARYTEWTAQHPETL EPQLNYVISGFQHSSQEVVQASALAFKFLGTDCQKLLGGHIAQLHSFYESVLDKLKPN SQEEITEGVAAVVAVQPLDKIYETMKMFCDPIMARIMNLANNAKDEEGQRAVADHLQL ITIFVQVVQPYVGPNVENPAVKYCGEILSIMTTIVMNFTTSTPILERVCRCWRYMIIS YRTAMIPLLPTLAQSIANGFEASREGCFLWATDAVVREFSEGAELVDHSTSSAVFQFY EQQAIAFLRILNDLPPEQLPDVIEDFFRLSSDAVRYYPKECISSSLAVPIFSAALSAL TLQQVDPLIATLHYYRDLFSFAFDKPIVSEFTSPEGEAYVNPPEVQAAVKQLIASQGQ LLAQRVLTGMMFIFPGDCFADASGVLMTMFELMPQEAGAWLQSTLQMLPAGTMKPGEA ERLLKGVADKVQSGETRKIRVLLQDFTNSYRRRNVAPREGLGRLEATRFRFSG ACHE_61002S MSGRAGGGARKTLLAPIHFIFKLLQQRSTVSIWLYEQLAFRIEG KIRGFDEFMNLVIDDAVEVKLATKTEEEKRRPLGQILLKGDNVSLIQAVQ ACHE_61003A MMTAPLQAAKKDLRKKIRDALRKIPADSLSSQSGVATNKLLSLT EYQNAKRIGVYLSMPSGELSTTSIVQDALTKGKEVFIPYIYTVEPPAMLPKTSVMDML ALESMEEFESLEADKWGIPSLKNDQVHGKKNCFGGSGLTFPAEKQTTSHDSQGLDLIV MPGMAFDQGFRRLGHGKGYYDHFLTRYSTKAADGKTVAGALKMPFLVALALQEQMLPP SEEIPVTHHDWPIDALIVGDGKFFVRKR ACHE_61004S MVAVGFISYLLLATAVGAISVSELVGTWTTKSESVFTGRGFFDS VEDRLIEPKHPGISYSFTSDGYYEAAYYRALANPKDPSCPKGIMQWQHGAFTLFVNGS IVLNPIAVDGRQLLSDPCKKDIATYTRYNQTEFFKSYTVSTDAYHNVRRLDLYGHDGA PLHPMYLAYKTPEILPTTTLNPLHTQAPKSKRDLSDTSSSFAIQNLIQSEGLVSPERW WWFGIIATSLGGIALMYA ACHE_61005A MFGPTRLSSRMSLLRQVRWNSTASPATPPLMATFRQDLKDAMRA KNTPKLNVLRALISEVNNSVKTPSPIQTDLQLLSLIRKRVSGAQQAAQQFEEAGRADL KEGEDLAVSILEEYAGQVKTMGVDEIKSIVSQELNKLKEAGGKADIGSLLKALFAPGG ALDGKPAERSEVAKIAKETVAAV ACHE_61006S MSYPNLPPTLTPQKPLPGAYFQTPAPGNASNAPLFPARPATPSA VAPVQEQTSPASLPKLPPATSKSKNQTLSTEERGAGTINDTLAQETRYPDLDSYLSQG LSSDYDIPVSPSWAPFQKVKMYNIPDQIFDQYNRAQVSTSMGLFAELNHAWVAIDNAL YLWDYTHPNPQLVGFEDQPNSINAVKLARPRDGVFLPTISYILIISTTADVILLGMGC ETTAGGTRQVTLYQTGMSTSIRGLDIDVLASSDSTGRVFFGGSSDNDVYELIYQQEEK WFQGRCSKVNHTSSRLAAFTPNLSFTQKGFEHVVQMEVDDTRRLLYTLSSLSTIRVFH LKSDGTVNLAITKPAMDIYANIGHIIMTNESLNPKVRIVSISPIPAAEASRYHLMATT ATGYRIYLSATGSYSWAPSPNGASPPTSMQAHHVKTPPFDNPSAAPLSPTFQAQPRFQ APLASKIPIHSLDPTRFTVRYPPGYFFCFTCKDSTQKSDTLFVSSPDSGRVARAQDNP IPGKAGETASWLSLGSRAEDIGLCSPPAAAASSPGGFGNELAIQFDNPAPEIAILTNT GIHVIRRRRLVDMFAALVRGGRADNGGDEGLEGEIKNFIRTYGRSETLATALAVACGQ GVEISTDSRLTQINDPDVLEFARKVFIEYGGRPTMNENAVADNSTPAIDAVVPSPRHT GIAMYMTRLLRSIWKKEIAAAGKDPSGGETISPSVAIAKLQTIQRDLTALQDFFKSNK SFIEGLSGPEALARVSTKQEETALQAEHRALHSLVQLVSHTIEGISFVLVLFDERVDE IVATLPDESKQRFLKLTFEELFSTSKGHDIAKELVKGIVNRNIAKGSNVETIADALRR RCGSFCSPEDVVIFKAQELLKRATEAGANSELGRNLLNESLHLFQQVSDSLPMDYLVS AIENYIENEFFAGAIQLALNVAARSDKANMAFSWIVDGRPQEDSRRDYFYFRKQCYDL IFKVVIAVDNLAANDPGVVDGQFTVIAKRKNEAYGVIADSADEVFLTSLYDWYLEQGW SDRLLQSTSSFVITYLERKSADDLAHADLLWRYYAQAQRFFEAAKVQLQLAQSAFALP LGRRIEYLGRARANASIFTPDVGRQSRQRVLQEISNLIDVANIQDDLLQRLKDDKRIS PDRKPDVLADVDGPIMDISTLFNQFADPASYYDVCLQIFHIADHRNSADIKSTWQHLL QDLHDETVERGEPMPYEAVIEKVRSLGSRLRMSEFVFPVPILLPMLERYALEYQRGVG PATWVVDLFLDLGVAHETVYTVLEAMYYTDEAPFHGSNRKYIAKDLLYLIEYWFHETV RLGGAVFGSDLVAERILEMLLLLQQGGILPEQMEVAHELRVRIENILR ACHE_61007A MPSSAPSVKPIKPVNSHKPEQPISYDINIPYVDVNKEHKLRTRY PEYLPTWDKVWFDPLPPFEYEDPALRADESMPNLLSGTAREEHIQPRIGTFLHGVQLN KLNDTQKDELALLVSKRKVVALPNQDLIDEGPARQEEFMRYFGKPNYQPVSGTVRNHP GFHIIHRDGNKEEITRFLDQRTTTTLWHQDVSYEIQPPGYVMLGLLEGPNVGGDTVFA GTDLAYQRLSPTFTSFLDKLDATHSSAKMINHTRLTGGLVRKDPVDTVHPLVRVHPVT GEKCLFFNGEFITRIEGMKVPEQKWLLDFLMNHVATGHDFQARVRWQPKTIVIFDNRS TIHSAIVDYLDDDNSAQLRHIFRLCALGERPMPTHRPDDHDHDSHSEFSE ACHE_61008S MAGSAPASLWSRKLDLLYFVFFVIHLPIIFLIDAAPLLPSFLQT DFSRQLREFYVNTYNDKFFEQPAPVWFVVFITMEIWYHAPLSIWAIGALLRDDPMVPV HLLVFGVQSFVTSLPCLAEVWSWTDRTVVEKQDLTMLYSPYVALGAFMALDMVFRLRA RLITQKSKSE ACHE_61009A MTVELLKAIIEVESAIPPSSQRLLYNNRILSNDAQTLEQIGIGE GDMLGVQVALRTPPAPTRTAGPSSAAAQQSLQRRQAMTPDPETIRLHILGDPRVQDAV RRQNPQLAEAAADPQQFRNVLFAQQQREAQQEAEKEARIAMLNADPFNPENQREIEEI IRQNAVTENLHNAMEHHPESFGRVTMLYIPVEVNGHRLNAFVDSGAQVTIMSPECATA CNIMRLVDQRYGGIAKGVGTATILGRVHSAQIKIGSMFLPCSFTVMEGKHIDLLLGLD MLRRHQACIDLKRGALVIQDEAVPFLPEADIPKHLQEEFEDEPTVKGQGGAEVGARTG AVTHQVEGNNNGQGPSASSSTPAAASSSSAAPRINIRPTPGPSSRWSQDSIAKITELG FTREEAIRALDAANGNLDGAIGFLI ACHE_61010A MNVQVLRGQQKTIVRVDKEGRERKKLESCRFEPPAAAARHMSPQ SPSPEQDNNIQFTTCTLCSLYFIPLQSPPSLFAALLSLLLSLIESPLRLRHILSLRLR DLLPA ACHE_61011S MATDDEKVPNHASQPRPSQDSSEESVSLLSKESPGVKRIELISS HIHLTDRIFLFSGVFLIAYVYGLDNQVRQTYQPLATAEYQQHSLISTINVLRAVIAAA AQPTAAKIADVFGRVEVILLSIVFYTVGTIVEACADNVETFVAGGVIFQVGYTAIVLL FQVLIADITSLQSRLLFSYIPAAPFIINTWISGNVTSSVLQVTTWRWGIGMFAIVYPV CTLTLLIPLYIVQRRAKKKGAFAAYQSPLRLLGARQLVTESFWYLDVGGILLLIAFLA LILTPFTIAHGAQSQWKTAKIIAPLVVGVCCIPAWIIWERKCKHPMVPFKLLKDRAVW GALGIAIMLNTAWGLQGNYLYTVLVVSFDESITSATRIISLYSFASVITGCVLGFVIL KVRRLKVFIVAGTLLFSVAFGILIYFRGGSGGSSHSGVIGGQVLLGIAGGLFPYSAQA SIQAATKHEHLAVVTGLFLACYNVGSALGDTIAGAIWTQVLPGELSNKLDDPALVQQA YGDPFTFVSTHAMGTPVRQAVVDSYKYVQRLLCITGICLTVPLIVFAFCMKNPKLTNE QSYADSEESEESP ACHE_61012A MYQKSILFSLLATNALAAVHGGSHGHLTKRYTFPLPDSQGNETF DEPKEITDVFNGGMKTYGRGVECTGQEEGGESDAVFIIKEGGTLKNAIIGSDQIEGVH CQGACTVENVWWEAVCEDALFLKEGSGPYRIIGGGAQGAEDKVIQHNSGGEVSIEDFT VYNFGKLYRSCGNCDSMSERTVTISGVTAVSGKSLAGINSNYGDTAAITDTCATDVDD ICVEYEGNSNGDEPEKIGSGPSDACKYTEPLSSC ACHE_61013A MHSEIIPNRSDTTAATAKTEPTDDGWSGLTDPVERRRRQNRLNQ RAHRQRKRAQGHPYTRPNTTIIKTSPQTTSSPDTAKFTDTAESTENAVSPVSSASSTS RRNCLGLQMLSYLHKFSESAYQSYILGCPTSDHLLTLSKVNVFRAFGAIMASMGMTPD PEWMHDDAISPFSTVRPGIAEDTNLPLALRPTKLQKSLAHHPWLDFFPLPKMRDNLLR AGEFDDEELCVDIMGFWDMSTDSCSMLVWGEPTDPSSWEVTEAFLKKWPWVVRGCPEL LQSTNYWRRKRGDAVIFRYI ACHE_61014A MAELETYKGYYLWRYVPSLAAAVIFLILFLLATLFHSWKIWKTR TVFCIVFAIGGLFEVIGFGARASAHSRTGSVLTFSIQNVFILLGPTLFAATVYMTLGR IIRSVRAEQYSMVRVDWLTKIFVTGDVLSFLLQGTGAGIMATGSNASLGQDITMVGLV VQVVTFTLFISTAIIFQVRMHRYPTRQAFDIDLRWKKHLYTLYAVSSLILVRSIFRVV EYAMGQDGYPLTHEWTLYIFDAVLMFGAMVIHGVFFPSELRVERPKSVESGGMALDGA EGV ACHE_61015S MRIQFLSALVAVSAIGAAAAPAAEYNNKGSLSTINGARSLVARR FAPEAFNRRLADFKRDDDDDDDDDDDEDEDEDSDSSSGAVPTSSASYGSPMITSAPPV SSAAGGSGSVTTTLPASSGTSTLSAVKTIAAGETFDGKMVMYDRGVSCTGQEEGDDSD AVFEIEDGGSLSNVIIGPNQIEGVHCYGACTLTNVWWSSVCEDAFTIKEQEDGKTTTI TGGGAFDAEDKVLQHDGGGTLQVSGFYVENFGKVYRSCGNCDDMPTRHVVMENVYAVE GSEIVGINANYDDTATLRGITVSGVDDICVTYEGNSNGDEPTESGSGPDGTNCKYSNS DITQQS ACHE_61016S MPRRAHKKSRNGCVECKRRHVKCDERRPTCVNCSISERHCEYLA EPTPAATRAQTEASLTARSSPAVASSAGSVPASTREEDFPSANMLHFELLYHLSTETI PSVELNSEQIKIPVSELFRVCVSAPYAMNEALALAALHLAAVSSPDKKDFYRTHAGYL QTKALSMFNAMKPEVNAETSGAIFLFSVMLGNHLLSDALVFRDTDFNNFMEKLSQSIH LFRGVRVVAGLSFHILAETPLSPMLRYNKMPSDRDGFLGPECQRLLDLVTSARLGQSI TDCYKDAIENLQLASNSANSDPGFMSKSPIAAWPVRVSDEYFDALKARRPEALIIFAH YAVLLHSIRDSWLFCDSGLFLIESINAFLGPGWEEWMAFPNSVLDTGSAHFSR ACHE_61017S MYTLASLATLAFLGLANAQSNIGFGPAFSLGPTQSWIREANTTL VLPEVPSKEADRLALWPGMGTSGGDLIQALAVSFSDPNANCGASSGQWCTWASTLQGK KLGRLTSWTSSANQTTEQQLGGKEVPANPGDELVMHYEYNDETDKYDQTVSINGEVVS SLSTSSGQAQGWGTAVECQDDACENTRVAAHQYKSTTIVLDSADSSFKDTLVLTEADS SDFTTSDNGKTWTVETINIHAHTYNL ACHE_61018A MADKIGQKILHGFQKGLDELKNQGSSGSSHPAANHPGAPAIGRR VINSDERHVSFNGRGTYPRLTRLSDGSILASCTQFEGSTRVLRVSRSTDGGQSWAEHG EVSRADGDCDNVFLLEAAPGVILAAFRNHDIGPHGPTHFRITVCRSTDGGRSWQFASQ AMEKGPPNGIWEPFMRKGRGGEVQLIYSQEFAHNNQCSMMVTSTDHGSSWSPPRCLHG ETECLRDGMTGIAETRDNGREALVMVFETTRHGPFNVEALLSYDDGRTWGWRQNVYTP ARGHNCGSPQIASFADGTLAVIFMTDESQSQVQWTRNATVSVVFGQAPHNGQIQWSRP EVICDKISHWPGIMALDHHTLLAAYECGGPRARTISWHP ACHE_61019A MTSYTESSEGTRMPSEILTSYYDYPTHDQESWWRDTGPLFGRFL KGAGYDVHTQYQYLVFFIKNILPSLGPYPARWRSTITPTGLPIEYSLNFQKNSRPLLR IGFEPLSRFSGTAQDPYNKIATADLLNQLAKVQLHDFDTQLFNHFMNDFDLSRAETET LQKQGGINGKSTVRSQTAFGFDLKGGRVSIKGYAFAGLKNRATGIPVGQLISDSVRKL EPQMHCWDSFSILNDYMEKSDGWNEYSFVSWDCVDIERSRLKLYGVHNAVTWEKVKEM WTLGGRIEDDATIKAGLELLQHMWALLRIDEGNRDYKGGFAADNGGKTLPIIWNYEIN KGSPHPAPKFYFPVHGENDLQVSKSISEFFSHLGWDDHARQYPHLLRQIYPNQNISLT ERLQAWISFAYHEHTGPYLSVYYYSAERPPWGSDQVK ACHE_61020A MTTTIAQEQFLQQFKPKESMVGTIVAIMQAGGFFGCLTAGKLSD LWGRKKAIMFGCVFVVVGGALQAAAYHTAMLIIGRLVTGFGVGSLTMTVPVYQAEISP PRWRGTIVGCQQLMLAIGSAIANWTGYGCSFVNSSFQWRMPLALQALPGIVLFFGSCF LPESPRWLVEHDALDAALHVVQRLYPDKQNLDSAYAEFQEIVEQIKQEKAQTSERSYL QIFRRKAWRKRLFLGAGIWLMLNLTGVNVRLLPF ACHE_61021A MMGSIESDSVLSFFSQQCFQHPDNTAIDDGPNGNLSYSQLDQQS STLAHYLRQNGIKTGQVVPLLTTSRLEMVIAVLGILKAGGVYVPVDIDQWPADRINYV LGRTCSGLVVYTGDHIPSGINLEEKCRIVQVQIRLENELKAQYEPNPRPRLMCIIFTS GTTDKPKGVMVPHTSVARFVTSPGFNYDIVPGDRVLLVLSVAFDACMGTLFNTICNGG TVILANRLNFQERSRQCTVLVVTPSILDVLSPPQSPSDYPQLERIFLGGETPSQQLLE AWSAFNDVALWIAYGPTEATCAVLCGRLQASSETGQFHPTRLGHSIPGSSVLLLTEKM ATIQDSNMEGEICIEGPCLTDGYWQDEERTKDRFIEYHGRRVYRTGDLGRFVTTEDNE TAIEFCGRRDRVTKIRGFLVNLELDVDAGLRRLDPDITAVFSVVLDRKLCTAVVPSSV DCRNLQAAWRLVAPPYLVPDKVVALDDLPLTANGKFDPRQVISILRDALQKDVAMQNG TSHNNGAANDRKQYNWRSDPLTIDQTIIKGLQQVLGISQAEINMEDSAVFQGVHSLAA AKLSTFCRHHGYNVSVESILTEPSLHALVEKNRHETENRPDSSAFATRTPEESSMPTQ GPVTPLQKRMVLDSIVEDPRANCPQHISWYKTEDIGRLREAWKTVVTHEPIFQTTFEL DETQEPSQRLIGAGLFIWEETTVTTHAAIKESLKSLPAATGLGSRFRVLHCVGSEFPH NESMFVWAVHHALIDGYSASLVFEKVDKALQNEPFESSHPFMLAAQDIAQMRDKLAPE VDHFWKDQEVQYPGAAGEPLIPDALTNQSGVDFAEHVVNVGIDNQRLRFAAQQAQATP AAIFYAAWALLLSSYTNSDTVIFGAVFSGRNLPFSWAPCMVGPLLNILPLRCRIKRDI ESAGFVREIHQTIQDISRFQVADRPKDTPPFASTLTVQDSGLRSGTTAIPSLRSPEVR ESNLLPLTVVVETDGQITFLYRTDRFSESHVKDMAAIYMSLLDAFLDPGRSLQHCMDR RFPIEMNQAILQTGNIDSEVARVPSVDGGHTLSSLFGTVASLHPTHVAVQKGSHSITY ATLVQYAARVAAVIEKRTQPGEVVAILADRSINWIVGIMGATAANTVYCPLDSSYPAE YREDLLRRSHAKLFLVPSKSQLPTADSGVATVSIEDILASDIKPLYPWRKQTPSDGAY ICFTSGVLCLHQGVVSLQSSSEEGRLHSEPGRRIAQFMSTGFDVCVHEVFASLCYGAT LVLRKDDDDPFSHLADVDVVSMNATVAGSLDPSEYPDLHYVYLAGEPIPQRTADKWAV GRKLYNAYGPTEATIIVTRTLLQAGIPVAIGEPFPSVRAYILNDRRELQPPNTLGNLF VAGVQVSHGYLDLPEATANSYFPDPFLPESSNERMYDTGDIGFWDTDGKIQCCGRKDR QVKVRGFRINLDGISNMATLRMPTIRHAAAFVKDGAVVLCVEPEDVNTDELRARLKDA LPPHAIPRTIYSIAHIPLSLNGKIDVKHLAAMEVRNDTALTNGITKANKLDSAQQTSS NDLSNGVSHASSEAHLEKLIIKEWQQLLGLDPSQPLSRSDDFVLLGGDSIRQLNLAAR LRSVLGLPIKVKDIIRSSTLGDLITLVAQQQEQQGKKNVLNGTPAHNSVDRPLGYKKL SPPEMEWACKYRHSQSQSTFNVPYVARLSSAVDWQRLASALETVLNRHRVLRSRFVTK DGTGERVLSERPISVNRTVHDVDIQEVINRPFEFDSSEALIRTVISPSTLVLCISHIL CDLTAINTLLYEVAATYRGLALPPVVREYFDVTWHHTVDPEKQRFWAEYLQGLSFKQP DEVKQVNGVNGCDHSNGTKIRKPRSYRGTSRTTSLSDSLYRHLIISSTKNGFTFHQFG MAVAGLVLHFLTGRDDIVLGSPFVNRPSFEDRQVIGLFLEPLPVRISVKHQNENDGGP GAREFVQSVRQSSQSALAHSVPWAELMSHLGLPFPSAQPQVFSCCVTFHDDRGTDPPL AINGVEGQYISAEGAKFPLLFEWQATRATGQHEQLTVRLEYDTDWLSAEFIEILEALL LECFRMLLEEEGSRHGEVKGRLGEVLQSEATRIGVAVDEIYETARQYLTVI ACHE_61022S MRELVQSVSPAALSWAVVAVYIGTFFWLKSRSSKQRLPLPPGPR GLPLIGNSLQTPAVNPWEKYKEWSDEYGPVMTLSLGLTTTIILSSHQVANDLMEKKST IYSSRPQLVMFNRLSGGMNSSGMEYGKRWRDHRSLQASVLRPWMTQRYTALRDVETKQ LLAELLKTDDFSSCFKRMVASLFMTLAYGKRVQYPDDPEIRGMEELVRVKSEAGEASF RATGQLVEYIPLLQYLPSFLTPWKEMCDRICEQFNKTFVDRLREGINAPAWTWAKEVS KHKVARPMSELEVSYTLGTLYEASLTSQQILRIIVLVAALYPEKAAKAQEELDKVVGA DRLPAAADVRNLPYIDAFVKEALRWRPFAPLGAPRESIRDVEYNGYLIPKGATVLVNQ WALDYNEDVFPEPFSFLPERWIANPDLPFSTFGFGQRGCPGRYFAQDSLFISTARLLW AFNIRTASPVEVEDMLRNPSAGAFLSPIPEFDATFTARDAQRKALIEKEWEIAPKESY AILREVENELTSEA ACHE_61023A MQPFHTLSRILPFPDATQKAWWDKLAPMLLKAMQAQGYDTEAQY AQLGMVYKCVLPYLGQYPTVENDATRWKSFLCPYGIPIEPSLNISQGILRYAFEPIGP DVGTDKDPQNMNVIQDCLKGLTDHDDRIDTTLYAQFASRLLLTEEESQRAAATGQFTF KPGQGMHGYAVDMKGSQPMVKGYFCVGIKSAVTGIPTGKLMLDAVREVDTEGRITEPL DKLEDYYGNALGNLRLCFMSVDMIDPQDARTKLYGLQQEVSFEGLEDLWTLGGRINTP TNQEGLQLLRELWDLLQIPPGVRDIDVDHCSVGQPPKYLLPSLVNWTFLPGHSDPMPQ VYVVPFGLPDAHISDALVTFFERRGWTDLARNYKSNLASYFPDVDFSQSRHVQEAISF SFRKGKPYLSVYMSLF ACHE_61024A MVRSSITGLLGGLILSSAVGAENITSDTHFYGESPPVYPSPEAT GTGPWAIAYGKARDFVADLTVEEKVNLTAGITADSSCSGIIPAIPRVGFPGLCVTDAG NGVRGTDFVNAWSSGIHVGASWNKKLARQRAVHLGYEYRRKGVNVALGPVVGPIGRVA EGGRNWEGFSADPYQSGVLVYESVEGIQSTGVGACTKHFIANEQETNRNPETDDQGEY VESVSSNIDDKTMHELYLWPFQDAVLAGSASIMCSYQRINNSYGCQNSKTLNGLLKEE LGFQGYVMTDWYAQHGGIASANAGLDMAMPYSDFWNSNLTDAIANGTMEASRLDDMAT RIIATWYHLGQDTYFPDPGVGMPKDVAAEHQRVIATSPDSKGTLLQSATEGHVLVKNT NGALPLKSPRLVSVFGYDAKGPDALQFNTINFQSSYPAIQKNHTLWVGGGSGENSPAY VDAPIDALQRQAYEDGSSLLWNFDSIDPQLDPTSDVCLVFINSYATESQDRPGLTDEY SDTLVTNVANKCNNTVVVLHNAGIRLVDAWVDHENVTAVIFAHLPGQDTGRAVVDLLY GRANPSGRLPYTVARKAEDYGSLLQPSQREGDYWLFPQSNFSEGVLIDYRAFDAQNIT PRYEFGYGLSYTIFDYSDLQTRRSNVSTTAYPPKASIEQGGNPRLWDEILTVSAKVSN TGVVDGDEVPQLYLGIPNGPVRQLRGFDKLRIVAGESAPVAFPLTRRDLSVWDVSAQQ WHLQEGEYKVYVGRSSRDLPLSGTFSI ACHE_61025S MSLPDVDIKPAEGISYFTPAQEPPAGTAANPQASGQAIPKLFQP LTIRGVTFPHRIGLAPLCQYSAQDGHMTDWHVAHLGGIAQRGPGMMMIEATAVVPEGR ITPQDVGLWKDSQIEPMRRVIEFVHSQNQKIGVQIAHAGRKASTVAPWVSSAVVATEK VGGWPENVKAPSDIPFADSFPKPKAMSEEEIEEFKAAWGAAVKRAVAAGADFIEIHNA HGYLLSSFLSPAANKRTDKYGGSFENRIRLPLEIAQLTRDAVGPQVPVFLRVSATDWL EESLPEESWRVEDSVRFAEALAAQGAVDLIDVSSGGVHAAQKVKSGPGFQAPFAVAIK KAVGDKLVVATVGAITNGRQANQLLEEEGLDVTLVGRGFQKDTATAWTFAQHLNVEIS MANQIRWGFTRRGGTPYIDPSVYKSSIFD ACHE_61026A MKSVINLAGPVVKIIETAIPEPNDDQVVIKVVVSGSNPKDWKVP DIAAIDGHPFFERYSQVREGVNQGDDIAGIVEKVGKNVVEFKPGDRVAAFHEMLAPGG SYAEYALAWSHTTFHLPKETSFEEASTIPLAGLTAVVALYHHLALPFPWAPAKNAIPL IVYGASTAVGAFAIKLAQKSNIHPIIGVAGKGAHYAQQFLDSSKGDCIVDYRNGPEET IQKIRKVAGGAEIRHALDTIVLEQSTHVLRSVVAPGGNVNHVLASPPDLSPAVATNTW VSSAHQEGGADDCRDLCFLFCRWIGRGLQRGTFSGHPFEVRPGGLEGVQDALKDLKEN KASAVKYVFRIADTPGLS ACHE_61027S MAQINKVIIIGAGPAGLAAALRLQDINNISVTVYELREQPTHLG GAINIPANGVRLLDRLGVYEPLLEGGSIIPQMVVHSINGNELGRLDHIARIKAKTGYG FMRIKRTVVIDALLSKAQTCGIPVHFNKRLVAIDEDSGTSATMTFSDGSCDTADLVLG CDGIHSAVRKLHVDPEITPEYSGISSMSSIVPVPTDLEGMHATFTSQGSVTVVPCTDN LEEKELFWFLTRHIPEPDAADKRDGWNEHREKEVAGFKNTLQDITREIGGSWGSFLHD VVSKTETVNFYPVYRLPRRGVWHTSRCVLIGDAAHAMQPHVGQGVSMALEDVFLLSRL LKKESTPLEDCLRQFETIRRPRIEEFAAQAANNGNRSRQKGPWQMTRMEWGIWAWLLA RRVFSLWSWGIREEDWLYDIDEVDID ACHE_61028A MPNPPPAWVQALKPAGPQGAELLAHERAQSNVAVDRLAELLHTK AALERQQRILGLLQPEKVFDKSQNHSLGRVDRIQRSLAKGKRLQQLAEQYKWTTEEVL TANELVGEPTPYGLHSTMFLVTLREQGTPEQHKLFLERAQKYEIVGCYAQTELGHGSN VRGLETTATYNPDDKTFTINSPTLTASKWWIGSLGRTANHAVVMAQLYVGGKNLGPHP FVVQIRDMQTHQPLENVYVGDIGPKFGYNTMDNGFLLFNNHKIPHVNMLARFTRVDKE TNQYVRPASPSLLYGTMTWVRSSIVLQAGGVLARGVTIAVRYCAVRRQFQDREATEPK GENQVLNYKMVQVRLLPLLAAMYALHFTGRGMMKLYEENQNRMKAASQPDQDSRGAGP EQLRAGADLLADLHATSCGLKALASTTAGEGLEVCRRACGGHGYSSYSGIGPWYSDYL PTLTWEGDNYMLTQQVARYLLKSARAVLSGKAPNNDTCQILQSYLDRREKGASFDVLE VDSDIVAAFAWRTAHLTFEALKHRDVENRSWNSLLVDFWRLSTAHSQYLVVKNFYEAV SSPQLAAAVDSETLGLLHNLFRLHALHTLEREASEFFTSSAVTTRQIGLARTNAVMKL LDEVRPHAVRLVDAWKIPDWQLDSSLGRYDGEVYPDLFRRASQENPVNDLVFDPYPWN ENVLKNDAPRSKL ACHE_61029A MAITCLTPLELNNRPSEVQPTPEQAVESENPINGDDDPPATAVN ALERWNYPRHNITRLIATFWSFIVSGANDAAYGALIPYLESYYGLSYTIVSLVFLSPL GGYVLAALFNNRIHNAFGQRGVALLAPGCHLIAYIVNSLHPPYPVLVVSFIFAGFGNG LTDSAWNAWIGNMARADEILGLLHGFYGAGAVLSPLAATSLITKANCPWYYFYYIMIA CAAVEIVLCAATFWKSTSAVFRQATARSQNENHKGGLRQALFTYPSSRVTWLCALFLL GYVGVEVALGGWIVTFMTQVRHGPAFASGMTATGFWLGITVGRVALGFVTPRVGEKIS VSAYIILSIAFGLILWLVPQFYASAVAVALQGFFLGPLFPAVVVVTTKLLPRHLHVSS IGFAAAFGGGGAAVLPFAVGAIAQSKGVKVLQPFILGLSGGILILWLGLPRLSKIHRG E ACHE_61030A MSSSPQSPCSPLPRSSHRRQRSMNVFRNLWAAVMRKYNHADPNS ATVLIVGAGSTGLALAQGLTKAGIPCVVVEKYDCLDARSRDWNMGLHWGVSALRSLVP DHMWDRIQSVQVDPHAPTAEHDSLNFYNAQSGDMMASIPVQYFYRLRRRKLRGLLAEG LDIRYGKELRSIEYSEDGKFATAWFEDGTSITSHLVVGTDGAHSTLRQTLIGPSSGST QRLPYCATFVQARYSADRARYLRKLHPLYLAGINPAGYFSFFGMHDIQDPNDPTTWTF FFYISWHSPLEEQERTANWTNAQRLQQVKELSRCFTDPWKSAFEWLPDDHQVWYMGLS DFDPGTKDHRWDNHGGRVTMAGDAAHAMTYQRGQGLNHSITDAAKLAEAVKQFASGKA TQADAISSYEEEMVARAGGEVRLSTVNTGMLHNWEKVQQSPVMRSGMTPQQQQRQPQQ QQAIHAQ ACHE_61031S MTAAPPPRRSNSSTPTRDSSNNAPTTSDSFYPATSEDYDDEGLE EVGYRDDDLDSAYGDDSFIGDDTKTLSCYITDYRFEYGRRYHAYRDGAYWGPNDETAN EQQDVAHHMYYLTLDGKLHLAPLKNPQEILDVGTGTGIWAIDMADEYPSAKVTGVDLS PIQPSFVPPNCVFEIDDMTLPWTYSPNQFDFIHIREMFGCIPDWDEFFRQCYTSLRPG GYVEVVEHSVEPTSDDGSVGPNHFYRLWGETVVQSGVKFGKTFTIWKESAERLKRAGF VDVVEVDYKWPMNGWSSDPKMHELGRWNQFRLHNGVEGFMLRLLTSTLGWPYDRAQIH LAQMRAALRDYKTRAYLPGTIVYARKPGSSVPCRD ACHE_61032S MERIHNPFPASLRCESKKSMRILESFIRPSSLGSPGLSLPPHVL EKAKGLVVFTVFRVGFLGSARFGSGVMVARLDDGSWSSPSAIEILGGGFGGLIGVEMT DFVFVLNDTYAVRTFSQCGSALLSANLSTAFGPVGRSVEVAGGASTKGAAATFAYSKT KGIYAGVSLEGAIMIERRGANRKLYKQKVKASQVLTGAVAPPPEVKPLMDILALNVFH PKLETPPLSREMPPDGTAEAPENQVSELPTEISQPVYELDAGPAPTPPTPRAIQSEA ACHE_61033S MCFHPSDYGIIEYIEHILLPSSRNVDLRKLHAELYKLNAYSGPS GLFCKHVDTPGCLPSSFKGGDLAVQHDGQEFRYDWSAKSETTIQQVAFYSDCEHEVKT ITEGDRMTLTYNLYVTEL ACHE_61034S MQDGKILAFPCSHAYPKAEEYGRAQLPRVLKGADLVLYSVLRSL GIDVAILPIPEKDGQYGIQNQDLGIMDGHYGGWYRTTPPST ACHE_61035S MNARTSPSRKKQRAPPRKKACNSCTRSKVRCDLERPACSRCRSR GQNCEYPANEGLSPSVESTSSMIELDTTVLGIPRLDQITDAPVDFPFGAITAAQNQPQ TRPERDKETEFDLQVVELAPSANAGDIRDRWLRPYVLPLTEGEEIPKAYHPFTLQYIA RILCTYPRCMQKDGGLPPIIHRAQIVGREMPRALANCYSLVRMWEQAVPGSETMVVSI VENEMRRLAEEPPSPYDYELLAAFQAYMIYSIMMYFTPIGGPSVLNDQAMMTLMEMAF RTARNGLFCTAELSRSRPNWESWIVASTKRRAILVMYLFSSVYNADRLLPNFVAEELR GLYAPESRSLWDAVDRETWTKEYDRHLLDWEDGMLEISELWRSVETSSPPRRRRIERW VQTVDEFGMMIFAVCAHIHGC ACHE_61036S MAAYTTDHSSSVLQTHNWRTVVNSAPYLLPHIKPNMTILDIGCG PGSISVDLARRVPQGLVTGIEYVSDPLDQARSLAASEGVTNVDFRVGDIHSLDFPDDS FDIVHVHQVLQHIADPVKALREMRRVAKSDGGIVAARESAQYTWYPENAGIESWWNLT EKMSKAKGCNACSGRYIHVWAREAGFDRKKIQKSAGSWCFSSPAERAYWGGSMEGRAK SSGLSNTAVKEGLATQEELNQMAQGWRRFIEDEDGWFAVLHAEILCWK ACHE_61037A MELTIPFLQGIRVYTSGPNVATTFNQTFWTTISTTPSPTTTTTV ASGTLSGTDGLVNAYGVEIRWQSTDFSNAPATAIATTTGAAAEATHDSSGLSAGASAG IGVGAAVAVIFLVALGFFLLRRRRLPENPPGDGKQGDSYITLPEHPSRMQGEVELPAN PSSAAVYQKAELADNPVYEMEGGSKRAELGASSPQ ACHE_61038S MLPFLAGAIALPSEKKTSKGFSVVAINSDSPAHNLKLDASSTYL YLNGETDVWCPPEVVKASGCPGGNITVRDASVLASANYMSAKIPGGEHIYVDRTGHVR YTGGSDSDMEPGSSMNGFSQVEGAQFGEWKYKANGADGFLACPFAGGNNIWEVFVNAK NITTRRGVSLDDCYPFKAQTTPWESSDGRAFAVWSYT ACHE_61039S MAPPKKQSKTLASTSKARKGAEDVDPAPEAPQANEQSENGGPLQ KLIHDDRIVTQLDSAYLGSVVVDIRALSHNERNRAIDERFIEKLSEAFKCGVRRFAQE DRLKVTTTSKMLETVLADHVTETTTLMDLHKSLTRKTSDPNELIHIQVLPEGTTFELR NGQHRVSAMLKILQEAIERADAGEDITRPEAHDYLWAIDLYDDDKMTEDTLAALMANR EVMHHSNSDGYNAVQILGRLESVPEKERGEIVRGSTFSDWVQTLFGLYLTHTARMGSV ISHEGFQPYVFRYGMTRYGERRFTWTLGGKMVSSKLDFIWFREFDKFLEFTTKIFGHT AHLVRCEDWELILSVEAGRPDYPLRLLFYPRREDYWLNNKKRKNPWPLPPNYRNEQLP RLSSPYQTNDYRFDWRRPGFLKDLSEEDYHFIFSRLMENRNLPCPCWNDWCNLEKAVD KVKRILRHIAIWIDPDWTYPAAASHDLKDFQWDLEIQDLLFGDKNFDRPFSLDADRLR LARNFIDELVRQVQTDGFWKDPKLNDLLKPPPDTLASASHSAAYFERFLHPNWAAIIQ HVVKSAGPVLTNAMSAYNDLGFLISNQMPYSPWGPVIGRTLKQNQAVCRTPSMLNKNE EEDLVQQGEIFGALWHYRSLKSKMLETISWGLKGGKKRPENLIGCEAEYEAAVVVLKD QAQVLERYGYTHAIDNFSEDLSAFSLDRSEPAAITIKETPGFLKCRPKMFTSQAEEIQ AKIKEHNRSIQKNSVIRQSETQKRKRNETEDVEPGEGVEPEENTEATDNEN ACHE_61040S MFVYVTDSLAQTLDPDVAHGLQDALNTHDFFEHAMDSGLQAILS TPHHLPCLTHVIQLAVNAFLRELKIDAKNDDVVGIRWNDDDKNLREKGLTRTLEKCDM LMPALNVGKAFDDISEWIHTILKHLVFF ACHE_61041S MARDFLAVPASGVGVENLFSTARDVCHYRRNRLAPETIEAIMIQ MSADRFELKREYISVEDGDNDEQNDVGYVDFNVELDVNYISDEEDLGGFEDDDRDRWA DDDEEDGLRLPPLQSYQRPSAIHSPSMNAEAHSTTSQSEVINPHPQSATTRPRRVIHE PGYFQRLENGK ACHE_61042S MGPNGALGRPKPRCPSSARPNGAPKKLAGRGPLPQTQSGVSTSA PKPAPAGFGAGSGRGHGAICDTLFLRSITKSSKGFSGRIAALVQVGLEIEPRFSRFDP HHTARGAVLGS ACHE_61043S MENELFQKIPSLQVMICRQCKHGVRPVEVERHLKRKHQFKHQSA HQLAQAVQQWEDIEQDSAAIQIPCILNDPLPIIPIIPCEPNGLLCQRQDPPCHYVASS MDTMRKHWRQVHQWSQQTRRGRVGQRERTQGAAELRRSFTTVAWQQIFPSGPGSHYIH IRFPEGHPPPPPPPPPADQAQRAVDAIITAWDQARTAQEQQAVIQADRITDANPWLRR TGWARYLEGVHPQDLLRLVEAPPEEPPDPIEQAIQAIWNAMGQLARRSQQTVQRCGTG ICMEAARTEAGQTPYRPLQAYMDETSVQKHVQAWQQVLGFIARTQATQAGQGMREWCG PLPVYGMTARQQRKWQILWQLAMPTMARPQQAPHRARARAVHMFPGAGRILEQGGNPG SYRATEGHGVSPEHVEEAEETGNAGSTEPAWMMSPMERACLEFCIELLNQRHRAHEYE SPLVCAMAVLGWGETGWRDPDSYPPILSRMIKLARFMVVQKALWLDPHVGDIIQMWQA QASTVNGTPNGTPNGTPNGTPNGTPNGTPNGTPNGTPNGTPNGTPASPIAWPLASADA QLADIDEGCDSASPTRSTPTTVHDRPSFHDHVQQMVSRFMIRGTHGPMQTLLDWRTYG LKIHYNSTAPGHVAWMGADELLYKDLHFTMGEFRGFIHRLVGATRELLCELLCIADGS SSAHTPSTMPLPAIPWQGLYDDPTQGHPGWNFLHDRRTRAPRAAAIHAPGAIHCPLVA QYLARVARFKEKLAVAIHMTAGQPARAPELLSVQYVNTPNNQFRNVFIEDGMVTLVTA YHKGFHASNDSKLIHRYVPRAVGELVVWYMWLAMPFIDQLTAWQAGTAHGTVNGTVNG TVNGMSNGTSNGTSNGTANGISNGTLNGTSNSTLNGTLNGISNGTSNGTLNGTSNSTL NGTWNGTPNGTVNGMSNGRLNGTSNSTSNGTPIGTPIGTQAGTSNAMSNGTTIGTSNG TSNGTLNGTANGTSNSTLNGTLNSTLNGTWNGTPNGTVNGMSNGRLNGTSNSTSNGTP IGTPIGTQAGTSNAMSNGTTIGTSNGTSNGTLNGTANGTSNSTLNGTLNSTLNGTWNG TPNGTVNGTLNGTLNGTANGTRAGTVDGTSNSTLNGTWNGTHIERHMEWHTSRHGQWH TEWHGERHTEWLIDWHVERHIGMGY ACHE_70001S MVLANPPSLCLLTPTPTQPPDSPAAANKTPQTTGYGPPGCAAPA TQSPPQCPRRRPAIPPVAAAMPPECPPPMAHAASSPPWGSKPPGTDTGRPAPTRRISS AASHRKNTR ACHE_70002S MNINRAGHPPGPWRRYNIDPATAEPPAPQTPHPAMPGTVAGGIE CRPRPTGAGVGGGLRTGAAAGGGSGSGAGAGVGSGSGSGSGYGLGLSYRCGAGADLGL GSGLGSGSGSGYGSGVGCGSGYGYGSGVGNGSGVGNGYGSGSGSGYGLSLGCGAGADL GLGSGSGYGSGSGVGCGSGYGYGSGVGNGSGVGNGYGSGSGSGYGLSLGCGAGADLGL GCGSAYGSGSGVGYGSGFGLGCMSPLLCWNHTPFRA ACHE_70004S MVQAHTPGLMPSLMPGLITTHVPYMPLHITPITCLLVPVIPAML PPVSIHCPIQIIVQYHPPAPPTCHHPHVLLHQLHLRCAQLSLILRPPRRLDNDRLAGL PIPPGSPAFLCIIQ ACHE_70003A MLNAPSGPIREICNNLQTKLNVISWRHAAIAISRAHLQCGGFKR DYSADDGLIDQQAGHGSWAAGTVYARGLQEAPGHIQARRVQYRAISREWHAFLGFQVS LGPRKRGWGREKGRSQQQSGSGSSSRLPVHGRQQVLGFIARTQATQAGQGMQEWCGPL PVYGMTARQQRKWQILWQLAMPTMARPQQAPHRARARAVHMFPGAGRILEQGGNPGSY RATEGRGVSPGDQPTAGHGVSPEHVEEAEETGNAGSTEPAWMMSPMERACLEFCIELL NQRHRAHEYESPLLPPILSRMIKLARFMVVQKALWLDPHVGDIIQMWQAQASTANGTV NGTVNGTVNGTPASPIAWPLASADAQLADIDEGCDSASPTRHTPTTVHDRPSFHDHVQ QMVSRFMIRGTHGPMQTLLDWRTYGLKIHYNSTAPGHVAWMGADELLYKDLHFTMGEF RGFIHGLVGATRELLCELLCIADGSSSAHTPSTMPLPAIPWQGLYDDPTQGHPGWNFL HDRRTRWPVDGRWWMIQRLRTERPVQQQFMRRGAIHGPLVAQYLARVARFKEKLAVAI HMTAGQPARAPELLSVQYVNTPNNQFRNVFIEDGMVTLVTAYHKGFHASNDSKLIHRY VPRAVGELVVWYMWLAMPFIGQLTAWQAGTAHGTVNGTSNGMSNGTSNGTWNGMSNGT SNGMSNGTSNGTLNGTRAGTVNGTVNGTVNGMSNGTSNGTLNSTLNSTWNGTQAGTLN GTLNGTANGILNGTLIGTQAGTANGTRASTVNGTSNSTLNGTWNGTRAGTVNGTLNGR ANGTLNGTSNGTANGISNDTLNGTSNSTLNGTSNSMLNGTTNSIPIGTRAGTVNGTSN STLNGTWNGTPNGTHIEQHTDWHTDWHTGRHIKCHVEWHNDWHTEWHGERHTEWHIDW HVERHTGMAAPQPIFMGPRPGHAAAMDPERFREVLKRETQARLGQALNIPAYRDIAIG ISRRFLRASSTFTSDRQDETEQAAALDADCEDGMDADQWMAHMTDLQAGHSSHVAGMV YGRQLMEQAGTTSHRRAMFRQSSVDWHQFLGFGCGTGVPGDVHADIDAGGLRAGLVDE GSCPSRRPGQEQVRARLVDDPGQEWVRACLVDDPGQEWVRACLVNDPGQERVRARLVS DPSQEGVRARLVDEGNRPIHHPGQERVRARLVDEGSCPIHHPGQERVRACLVNDPGQE RVRARPVLGKRKRAPWQVEAEEHHMERRHQLQTMDMAAALQQMTGQAGMQFQGIQAPA MAAIQQGKSPVVAVMPTGGGKSMLFMLPAWAVPGGTTIVVVPLISLRQDMQQRCRRLG IPCMAWDRQQPCDEAAIVLVTPESAVTPDFHSFINRLVVMQRLDRVVIDECHIIMNQQ KNFRSAMAQLGKLVRARTQMVFLTATLPPRWNRSSASAFTTHRIRSIYIGPARAAAMW HMGCGGHRFHTLHHMDMDGSRMPGLFSSCRRSSSGPGPGGGRW ACHE_70005A MPKSNPRRDRLADKIDKEGFFSPPCLRCSEMSASNMSCECKRIS SNRKCNNCVRSGVKCERDFHNERKWQNLERDRMRLAADLEDAERSNDEALARLSETSA KLARLRKHKRFLEARNKAMLENDVALLEELDSQVSWPVAETASLDAQLAAVTDDPSLS QMMNSPSFWENFDSAVAGGIPSPTGGNQSSSQ ACHE_70006A MAGLRGFVRTQVELAQLELEGLFLLHEEETREAVVPRLALVELA DDPTNNRRGWNFLQDHRTRAALPTTGEQWLMDRVVATDWLRAEWVGVRPHDHQVMWHT TVVDAYLGQVDQFLERLLLLMHLTAGQPARATELLGIRHSNTVCGQHRNLFIEHGVVS LVTAYHKGYSMTGSTKIIHRYLPAEVSELVVYYLWLILPFARAVQALAHGTRQARSPF LWPRGPNLAAGAWDSGRLRGVLQREAHIHLCHNLASLVSYLGF ACHE_70007A MGEVEFIRRQVAGALAEDAAAAEAGAQQVPDPDAKAPTEISPWL ELTRWPEFLHGHAFTAVAPLAAPPDPTAEPLLTVFSASVERLIEAAYQSIKTRRINEF DQIRINSFLQRPRVWDRPILIQLRPSTYRAYRQVWQRLICFAYRTSRPNAAVQLGHQL TTAQLAALDRMETAAAELLSLPSPPLCTPGPGAADHPPWTTGGGPWVVIQTPRGGDRD RDPEGDRRTERRHAAYEQLDHACLDLSIALLDHPLKGDLFESAVVAFLAVLGVDVEKQ TFRDPYAFTSSLSGLIKMAQMLVAQRAVQMADHGQVEHPADALEAMRERFLLPGVAAP FNWLTRAAHVWQAHPEHHHQSGIYLLER ACHE_70008A MDLFLYNDTHRLWICGPCGFAVRPAHLAAHLANRHPKHPSAATP ALRRAACALMLKRPCWDPAREPDRPVPPPPGPGESAGPGAPRPPGLSLPPPRLCLHRV QS ACHE_70009S MPTYEAPTISDHEESTLSAEPETTDSQETPSTMSQSNDNNSVHQ RPRQILPDPEVFKGDIASYQNFKHLLKAKLHVDRKALGGPYECLWYAYGRLSGNAASH ILPWMIANADSPTMVNDDTVTKLFEHLDFNYMDKELQRKAMYNLSTLKQSNKTINELL ATFDRYLMEAGQQNQPDNMKIFWLENTLNDDIFNRLVNAPTCKTFSEYCVQLQGIYDR HQKYQQRSAEHRRPPNRRTTTPMFPPPATSPTATPTQGDPMDWEPTISHARNPQRKRA RWVSGKEIERRKQERCCFRCGSAGHQISQCPFLPAQRPTARVAEFTAEDVTDAVLDDT QTTPVPDVPSGKA ACHE_70010S MMTMGYCAHVPSSQKKNSPAECNYEIHDKELLAIINCLKEWESE LISTPKFIIITDHKNLRYFMKLRRLNERQMRWADILSRYDFYLQYRPGKLALTPDALS RRDQDMPNDPGDERLQMREKRLLDPNAFVETSECTICCVSAVQVDKSIQILPIHTSNG TTENENTTSDLEQQWSHAEAEDTTMPILCDAIRAGLPHFPPELGIRVSIGECELDSDG RILFRKRRWVPNNEPLRTRLMQEAHDSPLSGHPGSNALYSLLARQLFWPNMSADVKRF VKNCDQCGATNIWRDRRQGLLKPLPIPDRKWRELSMDFIEGLPESNGYSAILVIVDRL TKGTILIPCARTGSDYIVPKFLQHVVAYHGLPAAITSDRGSQFVGELWERMCSLLKIN RRLSTAYHPQTDGQTERMNAVVESYLRNFCNFAQDNWSEILPMAQLAIANQTAASTGF SPFFLDHGFHLETLQLVEPVTEELQQSSSGSAGARIADKLKNALEVAQSELAAAQERQ EQYANRYRNLAPHYKPGDKVWLALHNIRTSRPSKKLDVRQAKYTVLAQISPYAYRLNT PEGIHPVFHVDLLRPAANNPFPSQRNDDYQPPAVLVDGEEEYQVERILDYRQIRRGRG FQRQYLVKWTGYLHPEWTAAHNMENTAALDEWEQRHGSQSPVRDGDDS ACHE_70011S MTELNSATLCVLGCGNLGTAIINSLASNPPTIKNSALFTHFIAC VRTENSENRLRDRLSHLNNLSISRNNNVKAIDDSAVIILATDPADVERTLTQPGFRDA ITGKLLISVAAGWTQQRLYTTLYGNDTPQARVVRALPNIAALVSQSLTAVESSVDSPL PFECVEITDAIFNRIGRTVHVSPTQMEVITAVGGSTPAFFAVIVDAMIDAAVAVGLPR DLAHTAVFQAMQGTAGMLQSGIHPALLKDQGTSPEGCTIGGLMVLEEAGVRGHVGKAL REAVTVARLMGKVPHVNDTRQ ACHE_70012A MRQETQLHLAGDLIASAVSATIVTPAVTIIDRALVEKASCNWPI LRGLRSHCLDALKRPGRFVFSRPFGLIWTLYAATYAVANGADTITKETIPTSPARDPI VFGSTFAINVPLGVWKDMRFAQLFSNCSKEATVALRNVPTRPFSSLGTAIFLLRDGIT ISGSFTLPSYCAGVIPDSLAADPHSRTVITQIVVPVLSQLMATPVHLLGLDLYNRPKV AAASERVTLMTRHLPSATVVRCIRIIPAFGFGCLTNMELREYFHSKIRLEHIDQ ACHE_70013S MTAVALTTPTGLSYQQPTGLFINNEFVGGSDGGSIDVVNPATEQ RICAVSEARENDVDKAVAAARKALNGPWKLATPTERSQYLYKLVTLMEESLEKLAAVE SMDNGKPINSARGDIGEAIGCLRYYAGWADKIEGRVIDTSPKNFNYTRREPIGVCGQI IPWNFPLMMWAWKIGPAIACGNTVVIKTAEVTPLSALVAAELIVQAGFPPGVINIITG YGNIAGSALSRHMGVDKVAFTGSTAVGRQILKDAASSNLKKVTLELGGKSPNIVFNDA DLDQAVPWIHNGIFSNMGQNCCAGSRIYVQSGIYDAFIQKLRENVQQRVIGPPEDEKT AHGAQVSKTQLDRILGYVDSGRKAGAKVEIGGNRVNCPGYFMEPTIFSGVSSDMDIVR EEIFGPVGVIAKFDKTEEIIEAANDTAYGLASGIHTENLKTAIEVSNKIKAGTVWVNQ YNGVHWQLPFGGFKQSGIGRELGEEALFNYTQTKTVSVNLGTPVV ACHE_70014S MKPFDVEFVIGLSGYFVKDLEAVRQSPTYDPLVDNIAPRTPGFQ QVVQAGQVISILLWLPNGQLAVGDCVDVIFSGAASRDPLFIANEHLPLLESVVKPWLL NCDITHFRPNSIRVDSPWPELQDLRLHSAIRYGLSQVLLSATALVHSCSAAEIITREW GTSIIDRPVDILASCHRNDFLQLDRMIMKQVSMLPHASFIHVHDLGPQGTTLLEFVEK VSRRVQERGVSGYRPRLHFDVYGTMGDLFPDVDELVSFLGEVQRVAQPYDLLIESPII ADTKAAQTQLLRDLRLRLRERSIPVQIVADEWCNTLEDIREFADAGAVDFVQIKMPDL GAIHNSIDAILYCRERKVGCCLGGSANETDVSARITAHVAMATQPDFLLSKPGIGADE GVMILTNEMLRTSAVARKSRLYKI ACHE_70015A MATQTEEKPSVTLGSTNGHLEKYTHPPETKHKLDYADLTTIDLS EFDLPGGKEKLAAQLKDAAHEVGFFYVKNFGLTQEEIDRQFAIGREFFALPEEERIQY RAPLEEGIYNGYRPLGSIEILPGLRDNIEFYNVMKFLPQYDRKHPDVYRQHWAEIERF HRHVHEHVAYRLFRLLAMILEIPEDEFENGHRYEANCDSGLRYMMYRARSAEENNNFK DLYSRGHTDNGTITFVFQQPVAALQVKKYENSDWEWLRIPPGTIAVNIADMLSILSNG YLKSGIHRVVVPPDDQACNDRLGLLYFVRPSDRLMLKTVDSPLLRRLGYYKEGKNNEI DVPAIEWTRARVRKNWSRSPTDPNAGTTLAGFSVKHFHD ACHE_70016A MTIRNGSANDTANGISNALANGKTNSAGAVKNRHLNGNFSVDEV AISANSPEKVSGLLKDILANGLAFSVDHDASARSTLLDAARSLVHALETPRETMIRYC WSQSTAYAAIETGVDLGLFAILSRDDRPKRAAYLSEETGADLTLLSRLLKHLSAVGVV DETGPDEYRRTGFSITLGVPRYSDAYACMTGCITDGVLALPAYLRRNNHRNPTNGKDC PFQLGFRTGSHFFEFLRDHPEHASQFNNHMSAYHQGRPSWMDVGFYPVPELVMDLKES DDVLLVDVGGSLGHDLSEFQRKWPDMPGRLVLQDLPAVVEQAQSMSLHPRVEVMPHDF FTEQPVKGARAYYMHSILHDWNDDNCRKILSNLVPAMKRGYSKVLINENVIPDTNAYW ETTSLDIIMMADFASQERTERHWRSLVESVGLKITNIWTAQRGVESLIECELL ACHE_70017S MGLLLDYDQGFSDAGAVMDALVSHAPGLGGGAFRQGLFRFHLRC RQGQNLFHQQLLFVLLCPKMTVTEDDNRGPKILGVLWALTGLTTFIVAARMVIRGKLL KNFGPDDWLIAASMCMGLAYCGVTTANVIIGYGKHAVFLDQHTLETATLLNTISFLFG ILSFTLPKLAVSAMLNRILNPSLPHRIALWFLTGFAAVVSVICILILFTMCDPPKALW QTHLVMEGVATCKDVWMLIDYAIFTGALSALVDLYLAIYPTTVLLNLHMSLRKRLALS AALGLGSIASAMAIIKCTQLKGLADESDYTYGTADLVMWTNIESNVVIVASCIPTLQP ILELILGKRSLGSYSNNSSRQKNSKYMHSTSYARSRRSMVRKPEPTITDVESQESILH AEDDQHRDAHPLGQIRRTDNVTVEYETRANDSDRRPSW ACHE_70018S MTEIDTTPFAEPPYLRGLPSPYYTPAHRRFQKACREFLWEHLLC HAMDWERQGTVPEHVFETFCQHNMLLPNLPAPLPVGWLKRLGIHDILGVRVEDWDYMY TGIYCDELARSGLAGPGASLNAGFAFGIPPIIKYGSEELQERFLPELLTGKKRACIAI TEPEAGSDVANLTTTAKKTPDGKHYIVNGSKKWITNGIWSDYTTMAVRTGGPGAAGLS VLLVPLKGHPGVTMRRLNVAGQKTGGTTYIELDDVAVPVSNLIGREGEGMRIIMTNFN HERLTIAVGVTRQARVALSAAVQYCLKREAFGKTLMDQPVVRHRLAKAGAELETMHAW VEQILYQLCHMSKEEGDLRLGGITALAKAKSAMVLNECAQCAVLLFGGNGFTASGQGE LVEAIYRDVPGARIPGGSEDVLLDLSVRQLVKVYRAEEKKLGRLKI ACHE_70019A MSYPPQEGYYPPPPGGQYPPQPPPMQYQPPPPQESQSKDRGCLT ACIAAMCCCFLCEETCECCIDMVECLCCGC ACHE_70020A MELLRLVLVNPFTSAIVLVTAYVVGVAVYRLWLSPIASFPGPRL AALTSLYEFYYDTVCCGQFTFHIGRLHEKYGPIVRIGPDELHVNDPDYYEVLYARDTP RNKYEYYQRPFGAPFAVINAIEHSRHRLLRSHMNPFFSTARIRQQEPVLRALLDKLCR RLEEWKETGRPVNIEYPYTCFTTDVITDYTMGDGYHYLDEPDFIPAWNHTMMGTAKTL VFFRPIAWVLPVLFAMPEWLTAWLNPGMELFFAFQRRCRTMIRDITDDYHQNIDTGKV NDGDRQKTFFHDILGSSLPEQDKSAERLAQEMQILVSAGAETTAKAMSYITFYLLNDP AIMKKLMDELNTLDPERNASLVQLEQMPYLNGVMLEGLRLSYGVSARLPRTAPYHALQ FKDWTIPPGTPVSMSCLLMHHNEKVFPDSYQFKPERWTEPHERKRLEKYMVAFSKGSR QCIGIK ACHE_70021A MKLSIAAVSSFIAAAVAASLPESFTLVADGGKTVLTDGSNAFIG GSTDDKKILVLRGGSGGSQVTYTADDQTPTGWQNLYAITNANKPIALTVPHSGATPEG ANINGWGVNDDGYFTFNGKQAFAVQSDDGAQKIYYLGAGEGQFQKTPLYVKKY ACHE_70022A MVMMSLSLAASSYATNVTHLALSQGVLYGIGGCLAFTPAIIFTT EWFVKRRGFAFGLVWGGSGLTGMVFPIAIQALLDRYGWETTLRVSSVGLFILGGPFLF FLKPRIPTRTSTRRSLNLKFQFNRVYIIYQLANIIEALGYFLPAVFLPSHAAAIGTHG ILASLTVVLFNFTTVIGCAIMGYMTDRYHVTNCIMVSTVGTIVSVFLVWGLSTNIGVL YVFSSLYGLFAGAFSSTWSVVAREVEKSEPSADLSMVFSFLEAGRGIGNILSGPLSSA LINGHPWQGTVGGAYGSGYGVLIVFTGFTALLGGLSLFARIVRWV ACHE_70023A MSSLGSTEIVKSEQPWAEAVLSEHELELTPDGQLVRWRRDNKRH PRNWPLPRRVYDISIIFFLDLFLTASSTAGSSAASVARHEYHMGQTLSTFCFVTVFLL GQVVGSIVLSPWSETFGRKKLYIVSSALSCICCVIVGVVPHIAAVIVARVAAGLLSAI PYTVASGSTEDLFNSRERIWVIFGWTVASNCGLIIGPIMGTHIIAGLGWRWIFYIFAI MIAALTGLLCLIRESRPSYLLAHKVAAISRETGLKLQPLNHDHAPDFQTFAKDALFRP AQLFCTEPVVFVVALMTAVAFGLLYIFTEAIEIIYESMGFSSTQASLAFLAIAVGVCV STLTRWLDHYIFDMRHRQGRPVKPEDKLVGLALGAAAFAGGLWWLAWTIPPKIHGVHW IVPTIALAFIGYALNEFDTVLQGYLADSYLSYSASGTAAVQFLRALLSGVFPLFTPQM FHNLGSNIAVSILAIVATLFCAVPPLFIFYGEKIRARSKFARYSLVVEAELGKDAGEL ACHE_70024A MMLKSVLVSALLSLAVATPVPQPADLEVRQLLGSSNDVESGNCK DTMFIFARGSTEIGNMGTVVGPPVCQNLQQKLGSVGCQGVGGAYSGGLVQNALPQNTD PGSINEAVKMFQDASSKCPKANIVAGGYSQGSAVIDNAIQKLDDDIKNKVKGVVLFGF TRNLQDHGQIPNYPKDQVKVFCAVGDLVCDGTLVVTAAHLTYGLNAGEAASFLASKVQ G ACHE_70025S MASSGKDIEAARPQGIPYWRVVFDQGAVTPEIIEYPYPGKGTED EPYIVDWIPDDPRNPMLFSNTTKWGYTVVVAFATFAVSLSSSAYAGAIQEVIKSFTVV QEVATLGVSLFVLGFALGPLIWAPLSELIGRQIVFSFTFFALAVFCAGGAGVQNSWSL IILRFFAGSFGSSPLTNAGGVIADIFTADKRGLAMSLFAGAPFLGPTLGPVIGGFLGQ GAGWRWVQGFMAIFSGLIWMLGSILVPETYAPLLLRKRAQRLTKITGHAYCSKLDLER GEISIKDAFGAALLRPWILLFAEPIVLSLSIYMAIVYGTLYMLFDAYPIVFQEIRGWN EGVGSLPFLGVMVGMMIAVIGNMWDNQRYVRVNKKYNGFAPPETRLVPTMIGGLAVPI GLFWFAWTNGTNVHWIVSIIAGAPFGFGMVLVFLSIMTYLVDAYTIYAASVLAANSVI RSCFGAGFPLFTTYMYHNLGIHWASCIPAFLGVACLPFPFLFYRYGPQIRRRCKYAAE ADDFKRKLVQNVVQPEEKKPIPKDQVDGTVDEDHVDDSESILTASTERQNEALYQESP YDIDRVNTRNSAITQRSRSRSTRSQRKSFLHF ACHE_70026A MAIQTVPVSQSALPLVQRLSKDHDLVLKTFRMLIADLCQQFGGG HPGGAIGMAAIGVALWRYVMRYAPHTPDYFNRDRFVLSNGHTCLFQYTFLHLTGYKAM TFEQLKSYHSDRVDALCPGHPEIEHEGIEVTTGPLGQGIANAVGLAIANKNLSATFNR PGYDVVSNHTWCMIGDACLQEGVGLEAISFAGHLKLNNLTVIYDNNQITCDGSVDLTN TEDVNAKMRACGWDVIDVNDGCYDIEALVRALEQARASQDKPTFINIRTVIGLGSSVE GKAAAHGAAFGVDNVGEMKKANGFNPDEHFVIGDTVRGFFEDLPARGEKLVQEWNQLV ELYTAQYPELGAEFQRRMRGELPANWKELIPTSFPEKPTASRASSGLVFNPLAQNVPT FMVGTADLSPSVNMIWKDKVDFQHPDLRTNCNINGNYSGRYIHYGIREHAMAAISNGL AAYAPNTIVPVTSSFFMFYLYAAPAVRMGALQHLQVIHVATHDSIGMGEDGPTHQPIE LAALYRAMPNLLYIRPGDSNETAGAWIAAIEAKHTSTIISTSRHALPQLKQTRRDGVA LGAYVLEEDSDAKVTIIGVGAELSFALEVAQRLKETRGIAARVISFPCQRLFEQQPIE YKRSVLQRHSGTPAVVIEPYAPNGWERYADAGISIRRFGQSLPGKVAYKFFGYDTDVM TNKVAGYLDQLAGGQALKGEFADL ACHE_70027S MAPSIETEHIVTSKPGVIHMPLPNPSLQVTADHRLKQVDAPVYA PNPGEVLLQIKATGICGSDIHFWKAGRIHTLVFEDDCIIGHEAAGVVLQCGEGVTNLQ PGDRVAVEPGVPCEQCFLCDDGRYNLCEDVQFAGVYPYSGTIQRYKVHPAKWLHK ACHE_70028S MRGIQVARLHLGRGVVVCGAGPIGLIALAAARASGAHPIVVTDL DENRLAFAKEFAPTCTPYQINRNLDAEANAKAIRALFGDEEYSAPETVLECTGVESSI CTAAYTARRGGNVVVIGVGKSIMNNLPFMHLSLAEVRYSFSTRSSVYTHSG ACHE_70029S MSRWYRILPCLRRPQHRRAKGAWPNVFSKTWLLTLCTIVSLLYL FCHFVLPHLLKFRLRTDLSWYDLGLYGFGPTRSYASFEYESPVVEITQWGSGCDPRYT FLAPRGDSIPHPGPTILDAAGNLIWMKYNHDITQDFKLQRYQGEDYLTYWEGEEVAGG LGQGSWFMLDSTYTQRYEIHPIGDFDGGDLHEFTLTDDGTALITIYEPIPADLTAIGG PALGWLYDGLFQEIDIETKELLFEWRASNHFPVNVTYEALHTKGYEKDTAFDYYHINS VEKDIQGNYLISARHAHSVSYIDGSTGAVLWTLGGKANDFTDLSDGIATNFRWQHDAR WRDNSTLTLFDNTAHSNEDPEASSRGMRIHLDFESRTAELQVAYHHPQVLKSTSQGNM QILKDTGNVFIGWGHSAAYTEFTPDGSAVCDVHFGASLYYTFGRVVSYRAFKDSWIGR PLTNPDAVVDDNVVYVSWNGATEIAAWQLEVWDGHDLDNMTFNAAVQVDKDGFETEIE IPEDLDSGILRVAALDAHGTVLGRSTSLQRSLGLDRFLNLQSCALGIAFLMAGSGLLL GLYRYCYCRLWRRRSSDYQLVDMSENEGDRSV ACHE_70030S MSAKNFTVAIVGGGIGGLSLAVGLLRRNISVQIYEAAPEFKEVG LGLTIGPAAHRTMPLIDPQIRHIYDSLITTHADSPGYERFRETWFEVVWATGNEEKSG DVLLDLKALPSGQTTVRRADFLDALVSLIPPEIAHFGKRLVSLEETANGGVELRFEDG TAATADVVVGCDGIKSKVKESMISPEEYQRVLPRYSGMYGYRAVLDMDTMVKAVGDHR ARVSTMYVGKGAYGISYPIMRAQKVNVGLYVLNDEWNDYAWVRPASKEEMRRDAEHMG DYVKLLVEYMPDPTQWAIFEHPHISTYARSRVAILGDAAHASTPHQGAGAGQAIEDAH VLAELLGDPRVTTAEHIVSAFKGYDAVRRPRSQKVVTTSKENAHLLCLCLNGVGDDEE RLKTTFQERLRWLWDVDIEDQAERARNIMLECIYHS ACHE_70031S MIPRSSLQRLLRNPPCRRYSAAPTPSSRLNLPIDYKSTPLLHHT ASSVPRAYGLPESATSKSLNLYQAINSALRTALSTSDKVLLFGEDVAFGGVFRCSMDL QTEFGSERVFNTPLTEQGIVGFAIGAAAEGMKPIAEIQFADYVFPAFDQIVNEAAKFR YREGGTGGNAGGMVVRMPCGAVGHGALYHTQSPEALFAHVPGVQVVMPRSPAQAKGLL LSSIFQHNNPVIFMEPKILYRAAVEHVPNEYYTIPLSKAEVLKPGTNVTVISYGQPLY LCSAAITAIEKSMPGVSIELIDLRTIYPWDRPTVLESVKKTGRAIVVHESMINYGVGA EVAATIQDGAFLRLEAPVKRVAGWSTHTGLQYEKFIMPDVARIYDAIKQTLEY ACHE_70032S MTGSRRRPSEDTMYELLSEKQGGSGGSILPTRSIKRWVIATFVL IVISLIEICVIVKLASQDPPKGFENGFATELPEAKDAISVEQVRFTSPLRVDENGTLH QVNDPSERRYTGNSSEVDQAWEDLVFGRYLRLRESEVNWLDSDEESDNLEVIPEDVSV ITKAGMYGGVDMLYSLHCLNMLRKHLHSDHKNMQYFSDEEDSMHLDHCIDQLRQSIMC AGDLTPVTLRHVWMENPRRSVLLGETERMHTCRNFDAIRDWATERGVKEGRIEA ACHE_70033S MRMNDSKEHRCRQLFYEGAEYDQTIDIDLSTLEPHVNGPFTPDL ATPLSRFGQAVEEQKWPETLTVGLIGSCTNSSFEDLSRAANIAQQAVDAGLTPAMPFL LSPGSLQTRETLEKSGILQTFKKVGVKMLPNACGPCCGSWDRTDTPKVVLQPHYPRKQ RINLKWLGHETLLSNPSVDNLVTPVGEQFHFEPPTGDSLPEQGYLDSNAAYQAPPIGD RSGLDVQIDPSSQRLQKLAPFAPWFGNDYEDCLILIKTKGKCTTDHITPAGPWFRFRG HLENISNNTLIGAINAENDKVNTVHNQLTQKNADVPGTARHYQAQGRPLVVIADHNYG EGSSREHAALQPRYLGGIAIIAKSFARIHEANLKKQGMLALTFANEFDYDRIKASDCV SIIGLAELAPGKPLTLQVKPIDRESWDAKLLHTFTPEQIEYFKAGSALNTMAKGNDAV E ACHE_70034A MLRPILRASPRGIFSVPRQLRTLATKKQHSLPAAYYRGGTSRAV FFKQDDLPHDREQWAHIFRSVIGSPDPYGRQLDGMGGGISSLSKVCVVGKSTHPDADV DYTFIALGVNTPEVDYSSNCGNMISAVGPYAVDAGLFSTTGDSCSVTVRIHNTNTGKI IHSSFPIVDAEAATSGDFSIDGVAGTAARVQLDFVDPAGSRTGKLLPTGQVRDTFDGI TATCIDVANPCVFVQAAELGVPANMTPDEITVHPDLLPRLDSIRRQAGVKMGLAEALE KVPGSVPKICLVSSPTNDARAKEQNQTAEKVDLVARALSVGQPHKAVPITVALALASA ARLSGSTVNEVASTDRVDDAGVTIGHASGNLLVGAMFDKNGGLNAATVFRTARRVFEG RIFWKNES ACHE_70035S MSLFTVESPRWLVSQNKRDTALTALVRLRSLPSHDQYLQDEYQG ITSETEDNESNSFWQIVKETFMVRSNLRRLQLTITAYILAQMSGANSITNYLPTIFGI VGVTGLNIKLYTTGLYALTKLVCCIAASLVLVDLAGRRLSLLLGLASKSFAIPI ACHE_70036S MTKGASDAAIAMIFFHAMGWAIGLYSLPYLFGAELWPNHIRSFG GTISQCFHWFFYFAITKATPSLLSGLHQWGAFILFDGFCLLAFVYMYFFVPETTGLGL EKINALFERPLYMLGRPLDTRRESPLSDEKPESMWVEKV ACHE_70037A MSEASTSSSSPLVPRRHRRGLTRIAAACERCRRRKQKCDGRTPT CGACETAGASCIQSGRLVVHQSNSERDALRSQLKHLQQQHNSLLQQFDQLRAEVPRRD SGSVSDVLSKGTLTMVPSVLQQHDRALNSDIPYFGRILRPTFSRRSTVRNLDWSALSS AWDLWGDDAVPTHVHQASFVPVDFDVNAYRSLVDTFFDGRWPYLPVLHRPSFTSKHLT PFLSKSTTSPISNFMVNMVCAIAATEKSWLQHEDGQSHRAFFSRAVQDLHIVMGIDDL ECVQCLLLLCMYGHNEPQSVNMWYTTSLALQLAIGTDLHRKESLTGQSLLCTEMSKRV FWCSYVMSCNMAINMGRPLGIHESDITTPLPLPLADDQLSDSCIHPSLSQTAISQVPD TSAFIHIIQLRKINAAIYQSFHSIGRCTNDSQGLDSLRTRYFSELNQWLMTAPRYPRP FCTFQTNEWFHIAFHHAMLSLYRPSRAIPMPSSEDLRICMESAIGLISSYTSLYARNR IKYTFVAIHSLFMAAVAMLYALRASPPLRQELTHPVVQTNIVTFLTLFRGISNGRAVG KKCCRIIERLGNSILSLFDDVTIPSAEVDTEFQSWFGLQTHTFPAATENQRGGLLDNE TPQFSEIDLPWADLFVEGIDMGSTDVSGFFC ACHE_70038S MSGSDQVTRRLRLYNRILQFGSPVEPRCEFCFLRGHTCIMDSKY QKCAECTRRGRKCERQFHDEKEWNRLEKSRKELRDKIRKVRESIATSYATLNRLERQE EYLNERGSRMLVHDSNMLERLDEENPPSAEDLQELERSANEEAARIAAVSKDLSLSQV MDSPSFWENFDSAVAGGIPSPTGGNQSSSR ACHE_70039S MTTFSAEISDHESNDQTDGNMGDNIHSGGTTTPVPQDLVAVIAG LQRQLQQMEERRIEDLRRLKEELTSPPREPLPQPTIEEIAPQPMADPVRRPRPKLTDP EVFDGRNRSLYRPFRSKLRAKLEVDKEALGNAYDRMWYAFGRLTDGAAMQVLPWMERF AKKGATESQLDGMLDQMDFIFLDRNLEEKAVRDLASLKQNNKPFTVFLTEFNRLLMEA DGHNWPENTKRSYLDNALNREMNTRLETVEKKNGFEDYCRQLQQIADRMEKNQLRYSR NNKHTTSTSPAHPVNTTRASSPPQDMDWEPTTTTSARSQPRRVAKHVSREEMERRRQE RRCLRCGDSTHFISHCPYDSPRNSTRMARSHIHGPELEDEEEQLREQPKLGKE ACHE_70040A MEANYLRHMSRERRGHKRQRLSSTAESERQASSQNFEISHENSR GSSSQVADNESTTSSRNLRRVASTNILTIEQRRQELELRDLEAEVKRKEEEVRLKQLQ NEQLELELIVMGRSLAI ACHE_70041S MDPQPEIFNLEDFTSSSPETDPNVEGIPVQLTNSKSHSLQTSKI EYIDDLPEYPTSHIHGYSYVVASRGRSQNEMEQLVHEIQYSRRQQHGPRRPVKCPFFN CLVKRWTWKCSGIYACEFLNPFLQSYHHTFVDEDCWDVIRNTQRNIQILEANIGKRNA YSYYRSKNEFFNKGYACIDQLPTCKPVFKRHTQMNVHGEFPPYIGCSNGSNEFLTKHH RGSIQGHTSIDLQFLEDLFNHDIMPPTEECGVFESLASRRKYCDRDHLQGPGRLQHTS CGVIFTALVPVDINECPYILFTSHGIHQHPPPPPSKAPERILQGVKRIIQQIQDPSLT TAQFLRSPQLEAFCQQYGASTLAEIHSSFCNKDRIATIIQKQRLLSYPNGQDINGLLF LQNRDSLINEYIQEKYHDSQGTMIMDPFQELRNEFSSTIRALQNEIESVKNEPKPLQR PKPCLPDPEKFNGQSLKFDTWLASMKAKLRIDAPAIGDAVAQFYYVYLNLESKSKL ACHE_70042S MDTKQYTGLGQYLSEIDPQHQDPTWQLQHIIIFCRVHFQRSILK AIGTKNKGSGLWSRMMSLLDCQSEAEYDELIKLLIQYESPAVQTWAIQKKSAVIKAGL NESCSKIQPHYFNILRNHTNAVEQSHQKSYASGKYLTLAEAARNSAKLDKDDILQYHN FQQFNIHHSYRASTMEANYLRHMSRERRGHKRQRLSSTAESERQASSQNFEISHENSR GSSSQVADNESTTSSRNLRRVASTNILTIEQRRQELELRDLEAEVKRKEEEVRLKQLQ NEQLELELIVMGRSLAI ACHE_70043S MNRQQSRPGPYKKKSAVIKAGLNESCSKIQPHYFNILRNHTNAV EQSHQKSYASGKYLTLAEAARNSAKLDKDDILQYHNFQQFNIHHSYRASTMEANYLRH MSRERRGHKRQRLSSTAESERQASSQNFEISHENSRGSSSQVADNESTTSSRNLRRVA STNILTIEQRRQELELRDLEAEVKRKEEEVRLKQLQNEQLELELIVMGRSLAI ACHE_70044S MMEYLEEIIRGITGKSKEDKLRFGERILASTIGGALSCWNQPFE VLRVEMQSIKNDPTRPAHPTMVSSFTHIIKTSGIKGIFQGIVPRIGVAAWATICMVGF GDTVRSYCYDPHRSRKSNNFLFRWDCVEIYYVYNAIRDCSTFN ACHE_70045A MSQDTPLDHPDFQDLRLTPDHHAQDPFLSPTPTLNRVNSIELGL QDDRRGLAHDHNERPQPPEESPGQPHRGLRVRFRSFSHSSRDRVVSDRSDTSSRPLLP THDHSRDLTEISFAERQNGDVEQGRKGQQDQLSPSSVISNPFESNEDISARTNSTKER FRAAGQLLRQKTTRLTERLGRPADEGEALGASLLPDDLEGGLTMEDLPNEKRPIEEEK TDDESPLPAPSAEAHRLVRTMTQAQARRRKPRPAYIRSGQSTPEGSHRPSSWYGSSRA VGGILGQLLRLQATQTNSNSTSRESLVTDTESDSESAISSGAATPGTATPGAGAVTPS AIIPGAPTPGTATPKKEKLKWYKKPQHQSTASLVEASMNLSKPTLPVNEASFAPKPKK GKKRKTRLEDEIRVTVHIAEIIARQRYIIQLCRALMRYGAPTHRLEEYMKMTARVLSV EGQFLYLPGCMIMSFDDPATRTAEVKLVRMVQGVDLGRLADTHNVYKNVVHDLIGVEE ATQELDEIMQRKPRFNKWMLVFIYGLASAAVGPFAFGARPVDMPISFFLGSLVGFMQH VLSPKSVLYSNVFEVTAAVLTSFLARAFGSIRVNGEPLFCFSALAQSSIALILPGFLV LCSSLELQSHQIIAGSIRMVYAIIYSLFLGYGITVGITIYGLMDSSATSQQKCTNQDV YGNEYLQHFPFVPIFAAFLAVINQAKWKQMPVMIFIALCGYITNYFSTKKLGSNSEVA NTVGAFTIGVLGNLYSRVWHGHAATAILPGIFVLVPSGLASSGSLIAGLKYADQVRDN LHTSGDSNISSTQDLSLASLGFGMIQVAIGITVGLFIAALIVYPFGKRRSGLFSF ACHE_70046A MSWSFALPQAVFASPILSTATPLAGGMLTGYLVNRGGTTKAKYG SIRQPPFRPPTWLFPAVWTLLYPLMGYAAHHASMTGLSAATFSPELRDLTKKSQTLYT VQLVFNYLWMPLFFGLRRPDLALADLVAIGGNVAGLLHLWWQIDRTAFWMLVPYASWV GFAMYLNIGVGWLNNWRI ACHE_70047S MPLRLLCLHGWGTSIKILQSQLGPLMSDLRRDNTATFHFLEGEC DSDPGPGIAGYFDGPYYSYYKFPRSFSDVDDSDVLDAYDQLYTVIEEEGPFDGILGFS HGGTLAAGFLIQHAKRFPHDPPLVRCAIFINSLPPFRMNPGEKPVIDMSLEGYLSLPT VSIAGAQDSLLEYSRALHELCSPTSAWIVHSKGHDIPGDRTNVAQMATAIRKLAVLVN LPTG ACHE_70048S MTSNTFDAASYSVAMPYVSDLDTDKSMPIAVVGMGFRGPGDATN VEKLWQMILEGREARTRIPQSRWNNDAFYHPDHSRHGTINVQDGHFLTEDVSLFDAPF FNMTSDEAASMDPQQRLLLEVTYEGLENAGIPLPRITGTKTSCFVGSFNADYTDLLLR DPEAVSMYQCTNAGQSRAMTANRVSYFYDLKGPSVTVDTACSGSLVALHLACQSLRTG DATMAIAAGVNVVLSHEFMTTMSMMKFLSPDGRCYTFDDNANGYARGEAVDCLILKPL EDAIRDKDTIRAVIRGSGSNQDGRTPGITLPNGAAQEALIRDVYNTAGLDPRETEFVE AHGTGTQAGDPIETSALSRVFGPGRAPDAPLRIGSIKTNVGHLEGSSGVAGVMKAVFM LENRTFLPNRNFTTPNPRILLDEWKLKIQLEPEAWDSPGPHRVSVNSFGYGGSNAHII LEDAAGYLSRRGLNGLYRKLQSPLAIPNDIAKHNSQSSRSRVFMISGFDEASCTKQAE SLREYLLEKESLIDDAFMNNLASTLNEHRTRLPCKVAVTGGTIAEVAGALTSKVKVKR NTKKPTVGFVFTGQGAQSPGMGRELLDAYPVFRKSIENIDAYLKHEIWTNKDPSRLSR PLHSQPLCSALQIALVDLLASWGIVPDSVTGHSSGEIASAYAAGALSMEDAMSIAYHR GVSASKISTEGLIKGGMLALGMSAEDVQPYLEKLQSGQAVVACVNSPSSVTISGDVPA ITELESFLIDKQVFSRRLAVDVAYHSHHMEQIAAQYLDSIAHVKPRKANHQDKTVSFF SSVTGTELSPAELGAQYWVRNLLGQVKFSDSLRTLCFEAGQKQRNSNTKRAKRAQKVS VDTLIEVGPHSAMSGAIKQIFKPDPKLSVAEIAYGSILVRKQNAVSTALSVAAMLAAS SYPVNFQAVNNPTDDELRLLVDLPPYAWNHSRSYWSESRVSKAYRNRKFPRTDLLGVP DPVACPFEPRWRNYIRVVEIPWLRDHKIQSNIVYPAAGYIAMAIEAASQHSSGTLLNS ATTGYLLRDVSIQSALVINEMSAVEVLISLREHAADGPDKSYEFHVYSASDDNRWTQH CKGFVSVQYTSPRYIEPRTELMTNGYSSTTLDVAQFYKTLAAAGLEYGPTFANMTAAR YLLHSCVAEIAVPDTAAVMPTNYQHPHIIHPCTLDAIFHSIFPGLPGTLDGTLEPPIP VSIGEMYVSSDITTAPGSKLNVSTQVHEQGEQDVLASIQVSNAQTSETAVSISDLRCR RLASDSPKSTAKETRIAYRIDWQIDPDLLSKDGILYVLGNGCARRPVNGLLERCALQY IRQAIEVLSDAEIPPKNQAIWRSFVDIAKRHSSMESDITQPQIQQVLKTGPTGELLVA VGENIPAILQGKVDAATLLHSSGLLEKRLYDCYDSAAVYLRAVGHKNPSMSVLQIGAG TGGAIQSQFQILFLEGRNITPRFLDYTVTDADQSVLEDAAKNLSEYEEWIDFKTLDIE ADPEPQGFASHHYDAIVIPHGIYAASSMEHVLKNIHQLLKPGGHLVLIDPASRHDGLV ESAIFGCLPGWPHGEWDQVLRGAQFSGVDHLIDFDDTSLILSSPLRQPPSVTPAGILI IAEESNSVCLPYLRDMLSAVQLTAEITDLAHAKPEGRLCIVLSDILTPSMTDADDATF ETVKTIFLQSSGVLWVTRGGDTLSPRDPDASIITGFARTARSESGVEPIVTLDLDARD VLSPERTAEVIFRLFQYRFFVNSSMHADTEYSESGGILSIPRVVEEPRLNKHLATALN PRVLTEQPFYQHDRPLRANVSSRDRSERVYFVNDTRASELPPDHVGIRVQVVGLTKHD TRIQPGEENVGTQCSGTVYAIGNAVQGFEIGDQVACLGTGTVASIYHDRVEAYQKIPP GTSLDIAATLPVPFCTAFYVLYHLARVGPNDTILINGAASAYGQAMVKVANGTGARIF AIVADEKQKTFLFFTAKIPADQILVRDVDDFTDRVERATGGDVDVVVDCNDSDQDVKL LANRVNTYGRFIKLEGADSKNIRFSSFNQEAMFTVFSLETLRREKPGVLYDVFRQVMD LFRDGTLQGPPLLQSANISELNNVLDSFDPDSSHFTTITAAPDDLIRATRRKADLLLR SDASYMLVGGLGGIGRATALWMAERGAQNLIFVNRSGLSRESSKETVRVLEERGVRVI VYACDISDSRQMQTMVSELAQQAPPIRGVIQAAMVLKDTHIEKMTSETYHAVLQPKYS GTWNLHRHLPSENLDFFLLLSSISGIIGNATQAAYAAGSTFMDAFASYRSRNLGLPTV SLDLGVITDVGYVAEHQGLAAAMEQQGFQGTDTPTLLALIEMAISQPIEFHQVITGLG EWKGSGKSLTNFDAPLFARYRRLFTSSDSIDSDNDVSAVDRLREDLAAAKTLDDATVL VYTALSAKIAAHLSLSVESIDPSSPISEYGVDSHVAVELRNWITEKMESTVPILEILA SGSVGELAAKIAGKSKLVKLED ACHE_70049A MQERPPFLHAASEVREDTDSAATMKPEDTSSSHSHSHEGTSKAD DWSLMPQIKQQHQRDVASGFKRRELGVTWRNLNVEVVSAEAAVNENFLSQFNIPQHIK EARHKAPLRKILQDSHGCVRPGEMLLVLGRPGSGCTTLLKMLANQRRGYKSIQGDVRF GSMTPEQAAQYRGQIVMNTEEELFFPSLTVGQTMDFATRLKVPFNLPEGTKSPEAYRQ ETKKFLLESMGISHTEDTKVGNEFVRGVSGGERKRVSIIECLATRGSVFCWDNSTRGL DASTALEWTKAIRAMTDVLGLSSIVTLYQAGNGIYDLFDKVLVLDEGKQIYYGPMAQA RPFMEDLGFVCREGSNVADFLTGVTVPTERKIQPGYENRFPRTAEALRTEYEKSPIHA SMIAEYDYPTSSLAQERTDEFKDAVLHEKAKLPNNSPWTVSFVDQIKTCIQRQYQILW GAKETFIIKQVSTLVQALIAGSLFYDAPNNSGGLFVKSGALFFSLLYNSLLSMSEVTD SFSGRPVLIKHKNFAFFHPAAFCLAQITADIPVLLFQISIFSIVVYFMVGLTMSADAF FTYWVIVFATTMVMTALFRAIGAMFSTFDGASKVSGFLISALIMYTGYMIHKPQMHPW LGWIYWIDPLAYGFDALLSNEFHGKTIPCVGSNLVPTGPGYMDTEFQSCAGVGGAIQG QNYVTGDNYLKSLSYSHGHVWRNFGILWAWWALFVVVTIIATTGWKAASESGNTLLIP RERLESVRQASRADEESQMVEKPGKSAEAEQKKQEDIDKQLIRNTSVFTWKNLSYTVK TPSGDRLLLDDVHGWVKPGMLGALMGSSGAGKTTLLDVLAQRKTEGTIKGSIMVDGRP LPVSFQRSAGYCEQLDVHEPFATVREALEFSALLRQPRHVPREEKLQYVDTIIDLLEL HDIADTLIGRVGAGLSVEQRKRVTIGVELVSKPSILIFLDEPTSGLDGQSAYNTVRFL RKLADVGQAVLVTIHQPSAQLFAEFDTLLLLAKGGKTVYFGDIGDNGQTVKDYFARYG APCPKETNPAEHMIDVVSGHLSQGRDWNQVWLESPEHHQVVQELDQTIDEAASKPPGT QDDGFEFAMPLWQQTKIVTQRMCLAIFRNIDYIDNKLALHIGSALFNGFSFWMIGDGV SDMQLRMFTVFNFIFVAPGVINQLQPLFLERRDIFETREKKSKMYSWIAFVTALIVSE LPYLCLCAVLYFVCWYYTVGFSSDSDKAGAMFFVMLMYEFVYTGIGQFIAAYAPNAVF AALTNPLVIGTLVSFCGVLVPYAQIQAFWRYWIYYLNPFNYLIGSMLVFGVYDTDVNC KKNELAIFDTPNGTTCGDYLSTFMQGMGSRMNLLNPDATSGCEVCEYRRGSDYLYTVN LKEYYYGWRDAGIVCIFAISSYALVYLLMKLRTKQSKKAE ACHE_70050A MTEIPKEKRLELAIEAFHKGQFPSKTACAKAFDVPPRTLMTRLD GTVSRQHTIANCRKLSNTEEESLKNWILDMDKRGLPLRVSNVRHLAQLLLSARSKPSK DISISEKWVSRFIQRHPELKSKYTRQYDYQRAKCEDPELIKGWFNRVQETILRYGIAE QDIYNMDETGFQMGVASTAKVICGSETRDSHAKSIQPGNREWITIIIAINASGHALPP QIIMAGKKHQSQWYSAIPKEYRISLSDNGWTNDILGFEWLQEMFEKHTASQTAGRYRL LILDGHSSHATASFDQFCTERRIIPLYMPPHSSHLLQPLDISCFAPLKHYYGQKVREM AENNIHAIDKQDFISIYSSIHGRAFSKANILSAFAAAGLIPFKPERVLAKLNIKTPTP PSSSSSNQSFYLGRTPVNLYQLNQQKKQIQELQSQSLSSVVAEQMLEKFIKSTEVAMQ DAILLRQGFHQLHTSNKHQKGKKNMTRAFIQDGGSLTGSEGQQRLIEREAIQEPSRRP RRPARCSNCNEEGHNRLKCPAK ACHE_70051A MINLSARAARIGANRQAFPLRSFSIAVPARKEGDLGSPKPRGFL AEKDSFSRREAAHEAMYIRSHEAEKLKLLHRQLKEQRRHLDAMEEHM ACHE_70052A MSIFYSGSLLSGAFGNLIAAGILDGLAGKRGLSSWQWLYIIEGA ITMFIGLVIMVMLPDFPDTWRFLNSEMKAVANRRLAIEAAEADVDEGGKRSQIKGLKL AFTDIKTYVLAIAYMSMTGASGFQNYFPTLTSTLKYDETISLVLVAPPYLFMVAYSMA HARLSDHFANRFWFFVYPIPITMVGYIIFMTTESFGPRYFSFFLMVFVFAQNSTIYSW IANAIPRPPAKRAAAYAFINSVGNSASIWTPYTYRDQDEPFYRPAMGVCIALQCVSAI MALFMHFHLRQLNKRQERLEDEDVTLTDAELQRLQRTAEIEGIDIAAARQLQRGFRYM I ACHE_70053A MSSKMEKETMERELEKADVTHEEAIHLAELTDDERRVEKKLLRR IDALIMPLVILVYLMNYIDRNNYAAARLQGLERDLSLNDAQYQTGLSILFVAYILMQV PSNLLLNYMGKPSLYLGGFTTAWGLVSTLTSQTKNYGDIVACRFLLGLVGAYYLTASF KAMTDSK ACHE_70054S MSLLETSHRKKQSPPVNNRQSRSCKVCRLRKVKCDRVKPCHACC AHGYPSQCVYEAIPGDEPISQADEIRNLRAEIHELKSRLDNRDHCIRNQQRYEHLRQF FNSIRFAPLQEVDHIIGNIRNPRRGWRRPNGAVGKDTATRAINRVSSDDEDELIPLGD GTWSYGSSAGGCSSSSSSSSSSSSIRSTPSDETEASYGRPLSPFNSNISAIDVFVERF VDAFSPEVNAKAGQAGALRRAAEIRMFSPIIGDAFDAVSVAFFGRSTQDRHIEAAGFQ LYPKVLRNLQQALLDPERSKAESTLVTVILLMAFESVERTSDAGVVAHLNGAVRLIQH RGPENHMYGVEHLLFTELRPYYVGAALVARQPSFLAEEEWKAIPWSAGTTKKDILHHL LDLAVEIPALLGQSDDFQAAIQQPGMLSPHEKATKQAALWNGVADLTDRFLQWKRNWV DQYPDGPPREVDPSTPFSPKEDDEPFPIFHCRDLRTGAVFAPTKFLYPDLRLSQTMCV YYAFRLILSTVDSRAPGQAISPVEQYALGCGICRSLEWYILTAPGNMINRLAFPVRVA WEVFPAGGPEQRFLYDVLKLVERRHALGLWGSSMPELSPRTGSPPR ACHE_70055S MDQLPSWLWVRGADQFHPFIYPEMVLASRAMVPRDGEYDVVCRN CYSTIDNLHRSVSQSYGPSPPPAPVPKNANLSDPLGHCKTEYPVMPGFRLPFVDLSVP GVGAYVEDPYVGMCLVECPWLLSTSSRLSSVFPTSMVPKGSFSPESDDSIGSRLNPEA VPHEPSLPAPYALSDPTWAQPIDDAISHLSRSNSRSLFSVEYTGANEESTSTPAQKFH AALEQLKSTIGVRRDLTSPELEQLRNNIDVRRNLASPELELEPALPMTRYLVGKEGGR RPWKNDRHCRGGSVRKPSRHVRKPSRRVRKPSVKRLGSLKVASPRQVNAATKRQDRRE KLKARINHGRGNGRRYSHRPWRTQMANR ACHE_70056A MWIVFLPMPVLVRLKLPRRHKVAVVLVFGLGIFVCIVSVVRIPA LAKLASHNDVTRYNSLAAIWSSIEANVAIICSSLPPLRPLIVRLLPSSLRISSASDQE RPVVRPYLSFVGNNNFLHFGDGCAGYEASVTAAERRTGVQHRESSLEASLEMSREQSR EGQRQKEDRVGSATPGDAYSGIQVVKELRLDSDLRRSKQGV ACHE_70057A MSNTVAIFSIFTGLATVAVALRLFTRLRVVKTAGWDDWLIVVAL LTDYVFFAFAILETHYGLGTSRNDLTQSQITKQLKAFYLTIPFYNLTISFTKISMLAL YLRLLPMMSKYRLTVTISLCIIPLIALWLVLSSFMFCIPVSDFWSPVSKQRCLPQSLW FLNAAL ACHE_70058A MAAALRASSAFARRSLAASSTPLPAAPLGSFIVSSSSSSSSSYH SSSSNTTSSPSSIRAYSSRASVLSRSLGSSPLSTTSRWSGVLPTNLNQVRTMAFDGKK IKVQNPVVELDGDEMTRIIWKEIREKLILPYLDIDLKYYDLGLEYRDETNDQVTIDSA EAIKKYGVGVKCATITPDEARVEEFKLKKMWLSPNGTIRNILGGTVFREPIIIPTIPR LVPGWTKPIIIGRHAFGDQYRATDRVIPGPGKLELVYTPEGGQPETVKVFDYPGGGVA QTQYNTDESIRGFAHSSFQVALLKGLPLYMSTKNTILKKYDGRFKDIFQEIFEKDYKK EFDAKGIWYEHRLIDDMVAQMIKSEGGFVMALKNYDGDVQSDIVAQGFGSLGLMTSTL ITPTGEAFESEAAHGTVTRHYREHQKGNETSTNPIASIFAWTRGLVQRGKLDNNPELV TFAEELERACVDVVNDEGIMTKDLALSCGRKNREAWVTTKEYMAAVERRLQSNLKSRL ACHE_70059A MPLLPARLAALPRRGVPSKSFSLRPYHRTPDGHPQPLSRLFCSL PFFFSSSSTSYSPHTSSPPCQFHQSLIAGGVRARGYCAPLFFRTDPLRRQDSDSIQTR YYTQRGTMTATKIDGTAIAKSIRDGLKTEIEQIQQANPRFKPSLVIFQVGGRSDSSTY VRMKLKAAEEANITCKIVNFPESISQPELLQEITKANNDTTVHGILVQLPLPAHLSEH AVTSAVADEKDVDGFGAINIGELAKKGGAPHFVPCTPQAVMELLHVSGVNPAGKEAVV LGRSDIVGSPVSYLLRNADATVTLCHSKTPDMPRIVKNADIVVAAIGQTEFVKGEWLK PGAVVIDVGINYKPDATKKSGQRLVGDVEFESAAQVSSQITPVPGGVGPMTVAMLMKN VVNAAKVYFQNQKNRHLTPLPIKLAEPVPSDIAISRAQYPKQITDLAAEIGVAPHELE PYGHTKAKISLDILDRLAHRRNGRYILVCGITPTPLGEGKSTTTLGLTQALGAHLDRI SFANVRQPSQGPTFGIKGGAAGGGYSQVIPMDEFNLHLTGDIHAITAANNLLAAAIDT RMFHEATQKDAPLYKRLVPPKGGKREFKPIMFKRLQKLGITKTNPDDLTEEEVNRFAR LDIDPETITWRRVLDVNDRHLRSITVGQAPSEKGLTRETGFDISVASECMAILALSNS LEDMRERLGRMVVATSRRGEPVTCDDIGAGGALAALMKDAIKPNMMQSLEGTPVMVHA GPFANISIGASSVLADKLALKLAGTEPDEDHETKTGFVVTEAGFDFTMGGERFFNIKC RSSGLSPDTVVIVATVRALKVHGGGPAITAGAPLPEVYRTENTEVLRKGCINLKKHIE NAKQYGVPVVVAINRFSTDTEAEIAIIREEAVAAGAEDAVPANHWAEGGAGAVDLAKA VVQASSKPKEFKLLYELEGTVQERIEKIAQTMYGAEKVEFSELAQKKVDTYIKQGYGN LPICIAKTQYSLSHDPELKGVPTGFTVPIRDVRLAVGAGYLYALAADIQTIPGLPTAP GYLNIDIDPETGEIDGMF ACHE_70060S MRPILLSGHERSLNQIKFNRDGDLLFSVAKDKIVCAWWSANGER LGTYNGHQGAIWTVDVSPNTVLLATGSADNTVRLWNVKSGECVKVWDFPTAVKRVEFS PDGSRLLAVTEKRMGFLGTIAVLDIAYGDGQGGNLEAQAEEPTLKITCTEAKATVAGW SYLGKFIIAGHEDGSVSQYDSKTGDQVENVQAHEFDHQINDIQFSADRTYFITASKDK TAKLMSTRNLAILKTYVSDTPLNSATITPKKEYVILGGGQAAMDVTTTSARQGKFEAR FYHKIFEDEIGRVRGHFGPLNTVGVHPKGTAYASGGEDGYVRVHHFDKPYFDFLYEVE REQLKR ACHE_70061A MSDWDSVTRIGQKHTGGGAPRETTVRGKSALNAAQRQGLVVGTE KKYATGNAASKSGGSEGQHLTKVDRSDDIVKPKTVGPRVANAIKQRRNEEPYKMTQKE LATKCNTTATVIQEMEKGTATPDQKVLSTMERVLNVRLRGADIGKERFAKSNKQ ACHE_70062S MSGDNFTAAMANRSRRTVETELKFLAELSEITHEQLSSILGLLA GEQSRQLSQSQPPQPAPVPLPVQQTVPPPPIQQPIVPPPAPYSPPTNQFANTSLNEKA PVQQHPPPPGPPPGPPPAYGLCTATALYAFEVKDHGDLELQPYDKIQVLKYENDQWWY GRNERTNQEGIFPRAWVNVVQEKGPPPPPPATNYGNMPLEVSQSGSAPPGPEGDGKGN KFEQHGKKFGKKMGNAGTLLRDSPNAALYVNRANSLLSFLAIFGAGATMGSNIVNSIF ACHE_70063S MAQQVAYQPYADQAHVAAFELKNYPFQPPPSNPPSVFSYQQPPQ QQAYSQYGQPTAVTSTDQPWDEKNNGVQQQAPAQVDHDATTQLQNFRKDKDDLMDEQL KERVRKAVEEQLKPHVEGLEQSRGISKKLEEGYTLRDIPGEYNGGSYLASNNDSGSIL TVSLGGSVPLIAKPGAMVAKDPGITLRGQLSFSWMKLLTRSGFGKSKFTGKGDVLLAP SSLGSIGVIQVNTVRQPVTDDDIPLTAEWKVGRDSFLAATHAVESDYQTQNLTQAVFS GEGLFVYTFSGWGPLFVQGLGAVIEKKIEAGKTFTIDNGYLVAWNCQYKIERVASGGI ISGISSREGLACKFTGPGTVYYQTRKIQDVAAHLKKANR ACHE_70064A MAEAVEQKQRKSVAFSEGSVIMDTNGEVTEAPKAVEKPVEEKPT GADQQVDEVTEMFKGLSKKKKSKKPKDADEGEEAAATPDGEFDPGLKKKKKKTTKKAD TGDFEAKLAEANVAEQGAEKKEDEIPEGDLEAGTGIWAHESTQAIPYSLLVNRFFSLI QSHHPDLLSSGTKSYKIPPPQCLREGNRRTIFANIADICKRMKRSDDHVMQFLFAELG TSGSVDGARRLVIKGRFQQKQIEHVLRRYIVEYVTCKTCRSPDTELNKGENRLYFVTC NSCGSRRSVAAIKTGFRGQVGRRKRQG ACHE_70065S MAAEPVASNPSLAASGYMVSSVDKYSYGSDDEVASLPSESTSSS DLDALSDDYSDAEEEWRESIEQLELLLSMVLVPFVGKYLGRKCAYWSWTRFMQWKYPV EVVVNNQASFKGTGAMAAL ACHE_70066A MKPARGSTHRLTESSLEQYGQLLQRELNTRTVSWAEKVIKDEQI HQFYSQSHLRGLEHRHPQDPIRDIHLAATSVSTSDSSLTSLKLFESQQGMCLEDPLER FLTPVGLDHRTRPALREMEFSLGCMDEKEQRQQIAQQRADAVVKSKVRERTVDSRRS ACHE_70067A MLFSPRSPFARLSEGHGAQIFRRSFRTQQQSSVSLHQRTPLSEI ANPKQETHRYERKPRRKTRDDRYEYKGHDHRVDSERSKKQKKTAKQSRRHTLNRDFHA PNVSQIRLTLASRPKMGIFGKGRTSSPIKSRELPDLTFSEMNFLSRRSRSNPNGLLVE QQGETNGAARREHDSQAQIPQYFSNDYPDEHDVIDETVYPSAQDPDQPEPAAMATEAH GSCTMRPADLSPKLSRSATPYTWYESDRREHERRASSKNCTKGILCVDLNHQHISDEE KANRRYWDLDELKLILVIRQQVRNRSRANKEPEACDHLKRRHQPDEVDDEPRSPKKAK LSNAIASIQKPLSSPASSSTERDTPSHGHPKPGTYTPERQTSRDIDHHELELTPKQVC FSNYCSMSQNCNRAIAPHQNPHPKRNVDSAGSDEIDLDAEFNSIVSICSRETAYGKNE DVIMKDFDAVYDSIVLDDKDPAHGDLSRIPSNQSYFVPIQDGSTPLPDSIDDGWNLSL PSGITNHSSSNNFLAACTHCQPHNINEGVQASNNSKYDTWNGFSQPIMFAVGMGAAAF GTSTGFCWRQNKLY ACHE_70068S MAKLFVGGLSWHTTDESLRAGFEQFGVVEDAIVVKDRDSGRSRG FGFVRFASEQEADIAQQNLNNQEFDGRTIRVDKAAERAPRGNGGGYNGRGGYNSRGGE GDYSGGYGGGYY ACHE_70069A MDLGCQPSRSFLFELTEIEEKHTTIEGRTWRNYFPNGDLWVFGY GSLIWKPPPHYDQRVPGYISGYVRRFWQVGMRTDHRGTTENPGRVVTVIEREFWETLD DPLAHLEVPSSLSKAAVWGAAYHIPASHAEEVHDYLDDREIDGYTVHYTPFYPTPTAT TSKADTPTTPITCMVYIGLPTNPQFLREPARREPQDVAEVISGSCGQSGRNAEYLYLL EKALDGLGLGSADVHVTDLVGRVKAIEKEAAKADEEEAEKDLTRSLSISDAEAHEDFP RTE ACHE_70070S MTGLFRLLRSQYSPPKDPTDVSFARKTILLTGATSGLGYEAAIK FLNQGVETLIIGCRNVQRGDAVKTELEKRTNRPDVIRIWELEMSSFQSIKSFADRVDT ELSQLDVAVLNAGLWNRDYTVSPEGWEETLQVNTLSTALLAILLLPKLRDSSSISHPA HLTVVSSQLFCRVRPTHLRTDGSLLEHVNDRSNFSGPMQYAISKLLLEYMLKRAADRV RHENGTLPVIVNTVSPGFCASSLGRQYNRFHEQSMIWLEFKLFARTSEQGSRSLVSAT YQGAESHGRCWRSDGYLDESNALTTGMEGWQFQEKAWREILQVLVEQAGEVEEIVGEP N ACHE_70071S MRSRLYRHAEPEQVAQMIGAMQTGPSHPAQPKAARPVIPHSSSS GVPPRVPVSSASSHSLHPAHNGRPPVSAPPSQYRPSHTLHPSPPPQNYGFGPPPAHPV RNRPPPVSRPPQSPHPPPLSVTSDDPQQLFPLFRAANVSHSGALTELELGSALVNGDY TSFHPKTVKMMIRMFDRNGSGTISFDEFVSLWRYLAAWRELFDRFDEDRSGRISLQEF EKSLVAFGYRLSQPFVTVLFTTFESKGRQRNASAMMGPAKLGMSFDLFVQACISLRRM TDVFKRYDDDRDGYITVSFEEFLTEIIQLQD ACHE_70072S MSGLPPSREGNHSLSDFTFNKPAFAQSHTEVCSSRQTSAPSTPT DMSTTPFRRGEQEKLQGQEGGSPPDTPVNGDENANGDGAPLDRTQSQSNQLGTKQIAV IMTALCLALFLAALDMTIVSTALPVMAAYFGASESGYSWMASSYLLANASCIPLWGKV SDIWGRRYVILLANFIFLIASLICALSTNLAMMLAGRAIQGIGGGGIVVLTNISVSDL FSVRQRPMYYGIFGAIWAIAGALGPIIGGAFTTSVTWRWCFWLNLPVGGVSFVALFFF LKIESPKTPFMAGIRSIDWAGVLLIIGGTLMFLFGLEFGGIDYPWTSPTVICLIIFGV VTWVVAMLNEWKLAKYPIIPLRLFNNWHNVLILTVNFCHAFSFIAGNYYLPLYFQTVL QASPILSGVYVLPLCLSLSFTSIATGAIIKITGRYRELIVAGLVFMTLGYGLFINLQP YASWPRIIIYQIIAGIGVGPIFQSPLVGLQANIHRADVATATATFNFIRQASASMAVV LGTVVYQNVLGKQTASLSGKVGSETAQKLANSFSGSSKSLIASLGPEQERAVLETFTY VLSRLWIFYTAVIGFALIVSFFIRPVTLSKAHTFAKTGLEEQERARQEILAAERAKKG KDGSAVEKGGA ACHE_70073A MGRRKIEIKAIKDDRNRSVTFLKRKGGLFKKAHELAVLCSVDVA VIIFGHNKKLYEFSSCDMRETLGRYQYFGPPHEHKGPEDFAGRRDDDDEEDETTPAPD EAQQTQQTHQGPPPMAPPHIPSHPGFQHVNHAPSASPPISNGMPFAPRHGTPQPQVVS RPSSRNHIRRVSSNLAPHQHATPPPAPPPAPQNGFPYMPNPMYNPSAHPNMTQHPHRP APFPHFGHPPVTHHQTPPPQPPHQSLTPQTMPTHTMPSQSIPQHPMAPPHAHPFAQPP PPMTLSHPPVPQVAHAYIHDQGRSSMPPAFTSETPQPQPAPPRTVSLPDASAADQVKP EEDPSPPTHQRSLSSKSRSIFTPIDDRGSVLARHFGVGPPNEPPREDSHVKSESTKED ANDESTTPVIPPPPPPRVVTEASRTQSISSVSSDIKPPTRTNSVQSKRPQLKVQIPSE NSDRDTATADSSSRDSAGKALTPAKANLETNHSGVVLPPPSPSAGAILSAGAQGPPNP FARPPPPGTATQNNSAYANNNNIETPISALPSRFVSDALLPSPSSFFPEWGFGRSGPD TNMLPSPLTFPTPAAQTGPGFAREDEQDRKRKTPDSGPSGEGASKKTKT ACHE_70074S MPFFTRVFRGKESSAAKKAAKTPVPENITTPAKPTWTDAWQRTE VAPEEVQELLRGCTHELKARALDTPFLLLPYRPSSDATTARSFIRNYFNNSQARGSPV NGDDLAQELRLTDPMILCSVLKWCWSRLPGGVVTWEAYELFKVGEQDSQLARDAFSTF IPISIDSDARSKIIFDFFDLLTAIAAHGKSNGLGGRKLSRYAGWWAFEHMDTGNGFEA AYKNWASAADATSHLFFAYLRSISPDLPRGMSGIATLPIALQSLVQATEYPPETPTLL QMTTTKVVMIVDTVSPTPFALLRRAKNFEYRDSDRHLQEFSGYEDPIRALTDECLRVL KCIASTNQAEVSKEKTSPNQDESWSRFEDIGFGNTLESDLEDNTVQHEFGNSLKSAPQ SSGGDLGRPTTPSWADFMSSGFSGDENILKAPVAPLLLPPDKVLPPLSTGRGMSSQSH KRTLESEPDLEPGELASIATLELDDSFWWVWISSLAGEEPATRKAVFGRCALIETVIH GAKWLVLEEQVRGAAPEPDAGAYIVEKKRFFGLSTRMGKLGRRRSSAKKVTPVGEPYK RTDNPAPQSKTSIGPDQHARIQAAAAALQRKHRDQTQEENANTTKVDSSDAAKSAKTN SVMTLQPSIMNEASQAMKWAKNYDKHADRNAFRTAYLGDSNAGKGASVENLKPQTVNE PSTPVSLAPSQIPEPIPEEDTTPMPSSPAAPASIKSNAVAADQPSTSRPGSIASVAVE RSGSESQPKASIDSTDSSRKLKKKPVATGFKSMFAKKKNDTEMKPPMKPTGAETSAVA AARAALESKAKESQVPVSRPVPNGPSVLKKKPVPGTTPAAPEKPATPEVVEITETERE STPKAESPAQNGSPESKPEPEQQESLSRVNTKEQVAADQEFSRFDQGPLVEQPAFVPE SPVSPVSESIPERESVSPEMNEAEEPRKTQEETTPKGTANGNQFNPEIKDRWAQIREN AARRAAEDDGDTSEEENYQHRAARIKARVAELTRNMEAAR ACHE_70075A MSKPKFLIVLSSQGMIPSNRATTGWYLSELAHPYTILSPHLDLT ISSPQGGEAPLDPASASQQILETDPVAREFLEQNRGLWTRTVPLREVVSRVTEGKFMG VFFVGGHGPMYDLPTHPSNLAILQSLASTFTPYPKVIAAVCHGPAALLNATTPAGIPL LSGSTVTGFSNEEEEIVGMHNVLPFELQTELERVTASASAPATAPGAGRGGGKGGYLK AEKAWGEKVVVSKSADTGAGMGGAFVVTGQNPASAAGVARRVLEVLGLG ACHE_70076A MPQAARWVGTPSIKGRNESARMALLTFSLLGLQFTWSIEMTYCT PYLLQLGLTKSRTSLVWIAGPLSGLVIQPLIGVIADRSRSKYGRRRPFMIVGSFIVAA CLLLLGWTSEIVNAFVKDPEKSQSATIALAVLSIYAVDFAINIVQACCRSLIVDTLPI PLQQSGSAWAGRMSAIGQLVGYAVGSIDTVRIFGSLIGDTQFKQMTVIAALFLIGSVL VTSYSVKERILITARDSDGEAGAMQVISQLLKTTMELPPRIQAICWAQFWAWIGWFPF LFYSTTWVGETYFRYEVPKGTTQSDDILGEVGRIGSLSLVVFSSITFIGSVILPFCVQ SPDHKRSRFTPRPPPGVAALLTKITAIRPDLQTTWWMSHFVFAVTMIMAPFARSRAFA TALVAVCGVPWAVASWAPFAFMGVEINKLAMDPSLTARYSGVQMITSSSIRTGNYADP NTDTEMDILRLNHHHDMDSDSDDEDPTSSVPSTGELAGIYLGVLNVYTTLPQFVGTFI SWIVFSILEPANAKRDDNSQEAMWMNLDKNSPNAISVCLFIGALSALVASEATRRMRY AR ACHE_70077A MASTITRTDERQNAGTIELKDNTVIVVLGASGDLAKKKTFPALF GLYRNKFLPKDIKIVGYARTKMDQEEYLKRVRSYIKVPTKEIEEQLDSFCKLCTYVSG QYDQDDSFINLNNHLDEVEKGQKEQHRVFYMALPPSVFITVSDQLKRNCYPKNGLARI IVEKPFGKDLQSSRDLQKALEPNWKEEEIFRIDHYLGKEMVKNILIMRFGNEFFNATW NRHHIDNVQITFKEPFGTEGRGGYFDEFGIIRDVMQNHLLQVLTLLAMERPISFSAED IRDEKVRVLRAMDAIEPKNVIIGQYGKSLDGSKPAYKEDDTVPKESRCPTFCAMAAYI KNERWDGVPFIMKAGKALNEQKTEIRIQFRDVTSGIFKDIPRNELVIRVQPNESIYTK MNSKLPGLSMQTVVTELDLTYRRRFSDLKIPEAYESLILDALKGDHSNFVRDDELDAS WRIFTPLLHYLDDNKEIIPMEYPYGSRGPAVLDDFTASFGYKFSDAAGYQWPMTSAPN RL ACHE_70078S MPSEHGHRLYVKGRHLSYQRSKHQVNPNTSLIKIDGVDNTDAAN FYLGKKVAFVYRAKREVRGSNIRVIWGKVTRSHGNSGVVRAQFRHNLPPQSFGASVRV MLYPSNI ACHE_70079S MPKHNRGFSFGSKSSKSHRSSTSANRVALTESAEEKQRRNLQTK ADPTLAMSELQPMAVALEKSNLGSLRDMAHKDQYGNVITDPDLSNPTRPRLERPLDTI RSFEVAIDGTYASNNANASRPASFVRNDEPSPGDFSRRTSYFGANAHPSRRGSYNDYN QPNNHYPYHQNTHPPRPRHPRMTSNQPYGTPRNAYAQNGRQNSYQNGYQNGSYDNITA MSGSGSGSGSHSEPYNGTDPSSVNSSIDQLQQQQQMQPQQGKGYGPGYDQPIAQPMAP PAPRHVFGLAPASDPSAGGPVGGQQAAAMGNRRHLRKATNDSEASAGSGKRKSWFKRK FSKS ACHE_70080A MGERDNVKTHTHIPSLDSRPHNERNEPIVSHGRGGQGNIAPDPT PYVDAAIVREGPYGDQGDGAYSAGRGGAGNINSQHVPPTTSVPHDAVIVPEPAIVPTS GEGDFHVGRGGQGNVHLDKEHAKEKEEKKEERKRIGMSLLDKVKHLFGFGK ACHE_70081A MLPSEDTVNAIFPNPLQNRMQPADPAPTKRQPFPAWSAIEETKK ATNAVTSEASREFDLASQKAQSKTGHIEPWSGKYYAACTFGGLLACGLTHTAVTPLDL IKCRRQVDANLYKGNLEAFSKIRAVEGMRGVFTGWSPTFFGYSAQGAFKYGGYEYFKK FYSDLVGPEKAAQWKTSLYLAASASAELIADVALCPFEAVKVRTQTTIPPDIRGTFTG LADVISKEGYGGLYKGLYPLWGRQIPYTMMKFASFETIVEKIYSYLPGQKSDYNKGAQ TAVAFSGGYLAGILCAVVSHPADVMVSKLNAGRKPGEAFGAAMGRIYKDIGFGGLWNG LFVRIVMVGTLTGLQWMIYDSFKIFMGLPTTGGGQEKQSK ACHE_70082S MASYVASEALAQQQSADTAKVPQGRWADKYRGATVEDLDPPPAL SVSPKDSISSALLAAYERDYTHLTVISSTRSLIGYLSIPHLKKLLQDGTVKESETVSS AMQRFNRKRGIYRVITMETPLEELEEFFETEIGGNGEKREKQGFAVVTDASRKFVLGV ATKADLEEFVKRRPT ACHE_70083A MSSRRPEKSYADAVASAPKDAPANSDVTPAVPANVIFKLLGFTL AMVAAPIGMYFLTVNSIFSGNSTWAGVTAAVAANVVLFAYIFVAWQEDQGERAAEGKK AQ ACHE_70084S MDDSVPGSPVSKKVVRSTPPTDDQPATLPLSDQPQPVTTQKPGE TQPLTPASQRFTTQPTQLVDDPRAVVQVAASSPPGPTSTTTAILSSSPFRPTPQQGGP LSNSLAPAGTQFRPPTSAGPARRAPVVDLDDDGPTYRGGSSDEEEDEENTDIKPAQFT KNSRSPEQIMESPVNNGAGAAPNDRFKQITSSSVYDPNSSHGAKRPASEMGGSMKGMA VKKQRQDGPSRAQPVVAQISSLDEIADYPTRSKVERMMKIMTNKSIQQCFEAMVVRRG IFNDALEYLVAAEEQGGKESDDELSVKASGAAPAKQQIKARGTIQDKWSSMRMPNAIP RPSSPQDDKPRRRLVRGPKNREPSPASPIRSEAIPPKKTGRLVKGRRQPSPARSESPE EPVAMTEDDSDSGVEETQGDSELELKVLRFFNTCQTLELADIAATTEELANAVIAKRP FGSLDEVRIVPAPTAEQTAKPKGSRGRKTPKPIGDKIVDKCLDMWVGYEAVDSLCAKC EELGKPLATEMKKWGVDIYGKKDGDFELTSIDPAASHDSGIGTPASQRSEDDEDGPKS RKGRFIGQPGIMVENLKMKDYQVVGINWLSLLFEKELSCILADDMGLGKTCQVIAFLA HLYEKGIKGPHLVVVPSSTIENWLREFQKFCPTLSVMPYYAGQAERAVIRETIEDNRD SINVIITTYTIAKAKVDASFLRSMDFCACIYDEGHMLKSSTSVLYEKLIRIPAQFKLL LTGTPLQNNLQELASLLGFILPKVFQERKDQLQYIFSNKAKTNESHTTLLSAQRIERA KSMLKPFVLRRKKHQVIDLPAKISRVEWCELNASQKEIYEHEKEEVRKLVADRAAGKK TGSKSANILMKLRQAAIHSLLYRRHYNDSVLKQMSKACLKEPQWSLSDPNVIFEELQP YNDFECHTMCTTYPKSLGKFALKNGEWMDSGKVNKLCELLERFKDDRILVFSQFTMVM DILEHVLENQHVGFVRLDGRTNVEDRQSILDAFHERTDIPVFLLSTKAGGAGINLACA NKVIIFDSSFNPQEDVQAENRAHRVGQTREVEVIRLVTKDTIEEQIYALGQTKLALDQ AVAGDEADSKKGEEAGMKVVEDMVFADMETKDKGEKST ACHE_70085S MTSALAKNLKQPALDFLSFVNASPTSFHAVQSAKELLSKAGFQE IKEKDSWASTCRPGGKYYLTRNHSTIVAFAVGKKWQPGNPISMIGAHTDSPVLRIKPV SNKREAGYVQIGVETYGGGIWHSWFDRDLGVAGRAMVRTGDGSIVQKLLKIDRPILRI PTLAIHLDRQADFSFNKETQLFPIAGLVEAELNRTAGASASAEAESGGFSPLKAITER HHPYLVELIAAEAGVKPADVLDFEMILFDTQKSCLGGLLEEFIFSARLDNLNSSYCAT AGLIDSVADSSALDEETSIRLVALFDHEEIGSRSAQGADSDMLPAVIRRLSVLQASSN NADMSTAYEQTLSSSFLLSADMAHAVHPNYTAKYEADHRPQINKGPVIKVNANARYAT NSPGIVLLQEVARKAAEDGGEGVPLQLFVVRNDSSCGSTIGPMLSALLGARTLDLGNP QLSMHSIRETGGTHDVAHSIRLFSSFFKHYSDVSKNIFVD ACHE_70086S MTTPDIYRDAEYITDVFTTPSGASESEYDFGQDARMKKVQATAK VALIDRVLRDLDILIYCELSALYYMDCSILLFLFRAIIQLIFFTPKASPFDPTRNQPY IGAIFSSNIFCMIFHAFFIWPEVDETTRGYLHGGLFIDFIGQKGPVSVGRLISFDLLV MVVDFVMLGLVIERVRTVDSTSESQSQSQSQSGAGAEAEAERQQDHDSEERGVLRDQN RDDGIELDELVRGEHTPSTQTNNPNTTANDIDDERTNLLAEPSSNAHTKPTHPLDIFS SGEYMLMDIGLIDIIRDQWHYSPSSMSSGRSTTTSSARTSRTSRYVPSAETAAFLRER FGLQVSADGSVERIER ACHE_70087A MNTRSLLRLGRVARRSYSPLQTGLLRSFSSSAPSLSSSPPRAWT PTPFVTETVGGGWHTYDIFSRLLKERIICLNGEVDESMSASIVAQLLFLEADNPQKPI HLYINSPGGSVTAGLAIYDTMSYIAAPVSTICVGQAASMGSLLLCGGHPGKRYCLPHS SIMIHQPSGGYFGQATDIAIHAKEILRVREQLNKIYQRHLTGNKKWSLDEIEKLMERD YFMGAQEALDMGIIDEILDRRVKPEEGEGKPPAT ACHE_70088S MECSRQRISYASWRLRVFRHLFVRPTTLSPVPSRRNLSSSKQHL RKNDHDLDNEPSIRPSQLLPKSPLASIPTEKKRKLRAPPERFQELSRNPWAMALASPL RMCTVTGTRVPKAFLGDWGMIRRSDAADPEKLWIMPVGLLKDELSRTLKGPLNFLKLR IVDRLPLLKQLTRPLSRSTGGKKSPLVKLVPQRWKHPFGPMTSREDRLLVWRGDMPDF VFGRLRKDALKKLKRAGDEHEQGKDNNSRVWSIVGLDGESGEALVEALKSVETIERMS SGAVLVMGQTSQTDSQSREIEGPQKLVSNFPDYVTLPQVQSKVPVFDISVLLSESDMR DLRAHDQRFQTKALFLRPDDSTSVDAILALWKLKGFIRHDEQYRP ACHE_70089A MKSSLLVALRYQAAKPFVFPRSSLLTGQLDSLSTCFWSRPSHQK LAYKFPYLNERKIFKTTRTMAASSEYPAMTTKPRYLTGDKAGLQEFLDKFDVFLFDCD GVLWSGDHLFPGTVETLELLRNNGKQVVFVTNNSTKSRADYKKKLETLGIPSSTEEIF SSSYSSSIYISRILNLPENKRKVFVVGETGIEQELKSENVPFIGGTDPAFLRDVKPED YKRIAAGDPSILDPEVGVVLVGLDFHLNYLKLALAYHYIRRGAVFLATNIDSTLPNSG TLFPGAGSMSAPLIMMLGQEPVSLGKPNQAMMDAIEGKFQFDRSRACMVGDRANTDIR FGLEGKLGGTLAVLTGVSTKEDFVAGPIKPLAYLDKLSDLLEVQS ACHE_70090A MAANFFNNKARAAAAAASSSTKQKPTDPREEQLQPWVEKYRPKT LDDVAAQDHTTKVLSRTLNASNLPHMLFYGPPGTGKTSTILALAKSLFGPALYRSRIL ELNASDERGIGIVREKVKGFARTQLVQPTGLDAEYLSKHPCPPFKIIILDEADSMTQD AQSALRRTMEQYSRITRFCLVCNYVTRIIEPLASRCSKFRFKALDNSAAGTRLGYIAE KEGLNLENGVVDRLITCGEGDLRRSITYMQSAARLVGAHRAAGKKDGDEDSEMTDDNS KIITVRMVEEIAGVIPEDVLDSLTQAIQPKKIGSSYGAVSAVITDIVADGWSAAQLLT QFYRRVVYNDAIPDIQKNKIVTAFSEMDKRLADGADEHLSILDLALQISGILGGS ACHE_70091A MSRRKRSPCSTGSTLSPQPRKAAEAELDTSAYKPNTVDANMRDK FIALGQDKCHFIYQLCLATGAKTIVEAGTS ACHE_70092S MVQTTGQLKRKRARVACEPCRERKRKCDGENPCDTCSNWGYECY YQSSRNSKYRALVSSAESSSSGAPPSGSGVSAASTEHNRSINPHGVVRSLSANSGAAF VRKMGLKVDPANAPRLNLFGWNVGARQLSSGLATAACLPIVDIVALGDMVHLAHIYFN KVDPCYGFIDKQVFFDHLNTRWRSNSGSSIYDSVLAGVAALGLLFSERKVKVTELHLM ESARFILESYDGSTAPSVDLVTGWMLRVIYMRMTAPPYSTWLASSTLMHLIEAAGLHH EAPDGMSLSDAKCDPDIRRRLVGVAQHQNMWPSYDLGLSRVSLKVDLSLPLSPRPGDY TAELLGLLPISTSLDPEETQDDCDLQWSLVQTLDRVHTQPPSVLAQTNLVLCMLRRLH LLNINTSPAIADRVLDLIQRALRSARSMLTNCCPWQHVANVPFHIITILLEMDTFASL AQLPEAMQTLKLVASTYNTATMREAYATARLLVFLYQQRRCHDARLLGNVLDVDSQQE PGSAGSKAQMPRVSPNSEEMPLLEELVADMPSLQGFDFDQFLNMDMLRSAQGMDVGSL GEDG ACHE_70093S MSRSVQLAKKLSSLYPWATNPMIIGAPMRVMSGPALAVAVSRAG GLGFIGPGAKTQDMLADLEEASSLIEKEKTLRAATTSSPALPVGVGFQLWSDDIDTAV SAIQKFKPCAAWLYAPRKGQIDLDQWSRRIRNVSPRTQIWVQIGTIAEAQGLIGSSEH PDVIVVQGAEAGGHGRCKDGLGLISLLPEVSDALAKKGSQIPLVAAGGIADGRGATAA FCLGASGIAMGTRFLAATEARISRGYQQEVVRASDGAVSTTRTLLYNHLRGTYGWPEE YSPRTIINQSFIEHQAGKAFEELKELHDQALNIGDSGWGPEGRLATYAGASIGLIHGV LDAGTIVTDIRRYIAEETGSSKKEPKL ACHE_70094A MRHYQLTDCEDDIVDIVLRIKHGNFTCSEMSFRMSTRLLARYLT CSSTTAIRTFATHSPRMLLKPAEPPLSTNLPRDAFQLLSTAEKAGTFEDALYEQQIRD VKAWWASERFDGVKRPYSAADIVTKRGSLQQIYPSSLMARKLLDLLNERAAEGLPLHT MGTIDPVQMTQQAQHQEALYVSGWACSSLLTSTNEVSPDMGDYPYNTVPNQVQRLMNA QKMHDRKQWDERRQLTSDQRRNTPYVDFLRPILADGDTGHGGITAVMKLAKLFAEYGA AAVHFEDQLQGGKQCGHLSGKVLVPMREHIKRLVAARFQWDMMGTENLLIARTDSQSG SLISSTVDGRDHEFILGVMMNEGEVEPLAETLQEMERQGAAPVEIDAFEADWIHAHRL VTFDEAANAHFETQGTSPAIRQEYHRQVQESPDISLSQRQKLAEGCAKSPVKWSCDIP RTREGYYHYRAGLPAAIKRAREYAPYADLLWVETSSPSLDEAAQVASNVHAVYPGKKL VYNLSPSFNWLGQGFTDESLKSFVWDLAKLGFVLQLVSLAGLHSTATITTELSRAFKD EGMLAYVRLIQAREKELGVEVLTHQKWSGATYMDGILGAIQSGSSSNRGMGEGNTEAG ELSTWYSD ACHE_70095S MESSKSSRTGQIDHEHNGLDTSELGQAYGSVGKHQGALSMGAGK PIPPPLPDSKEYIVEFDGPDDPVHPLNWSFTVKLYISSIACLGTFTASFTSAIFAPGT VGASKAFGVSSEVGTLGTTLYVLGFACGPLIWAPASELVGRRWPLTIGMLGGAVFTIA TAVAKDIQTLIICRFFAGMFGASQLSVVPAVLSDIHNNIDRGIAIALYSLTVFVGPFS APFIGGFISTSSLGWRWTLYIPAFMGFASGSLFIFSLRETYAPVLLMSKAAAMRRQTL NWGIHTKQDELELDFGELLRKYFTRPLQMLVTEPTILLISLYMSFIYGIVYALLEAYP YVFETVYDMSPGIAGLAFIGLIIGQVLACGFILSQHSVYAKMLAANNNVPVPEWRLLP ATIGAPVFTVGIFWFGWTGFTASIHWMAPIAAGIFIGFGVLCIFLPCFNYLVDSYLPL ACHE_70096A MSPTTLHSRLKDLSASLGQIHPLVNRLRNFTTAVGQGDEARLEL GTEIHTLLKEAEEQMELLRVEVEALESSMENRRKGLDSEKEAEKERVVVLAGRLAEDL KRTRGDFRNAQLQAKRNAELAKRKERELLFSRSQSSEARKQPSEKLTQDDLMVNASND VTLALKRTHQLMQAELSRSHFAQQTLEQSTAAISSLSESYTSLDTLLSSSRKLANSLL RSQKSDTWYLETAFYIIVGTIAWLLFRRILYGPLWWLVWLPFRLMFRTVFAILGIVGI TSKAVQSSQAVDAVTVQQTPALAHEAQRAVPEGDAGDTAWEREAAREETDQDRMIDKV GRMVEEGDKQEGTNIDDISPEERKQRDDIPRNPKKRMYEEESVKDEL ACHE_70097S MRTVEANFRTTDLIYLIYRPRLPNHLRKHQPTTTITKMSVPVRT ITSFRTTFNPFSPVSRPCRLFLNLIRQPSTIPASSPNHIDIKVTQLPRTSKQLPEMTI GFKGGKEVKLEVGKRQMKIGDVIEEVARVGRIIEREETLKG ACHE_70098A MLLSAPFAQQPWRIVASPTPLTRLPTAFRSWSFRSPVRRTSATV APPTKHDAPPLSSSSSSLLPSHLSASFPSPSRINTKVSSASDRATLVSRHISSTSTLP QQPQNTMSYTVRKIGQPNTLEHRVYIEKDGVPVSPFHDIPLYANEQQNILNMIVEIPR WTNAKQEISKEEFLNPIKQDVKKGKLRYVRNCFPHKGYLWNYGAFPQTWEDPHNVHPE TKAKGDNDPLDVCEIGEVVGYPGQVKQVKVLGVMALLDEEETDWKIIVVDINDPLAAK LNDVEDVERQLPGLLRATNEWFRIYKIPDGKPENQFAFSGECKNKKYALDVIRECADA WEKLVSGKTPKGDISLANSTVESSSDRVDQGALASIPKGQDLPPAPIDSTVDKWFFIS GAAV ACHE_70099A MESNSVVSAMKGDKLKDSPQWRNWFARVKLFARQKKVWDLVNPQ IEEDYLEQPMRKPKRPQYPEGGSESVKREWRDRLDIYKLDLAEWEQQAKGLDAVNEWI ITNLDPIHHASLLDYETPYERLVYLTTRFARSNAYEEDIRAQWKWFSSSPPRKGVDIN HWLADWNTLREQAVSLDLPEVKSANKDFLRAVKDVLPTWWQAKYESIIMNHEDWETRD LIENFRGFYQEMVPQKPTSTISKASFSTFQDFEEAETDQLQKPPQKQGNKPPIPKRWC PCGNRGHKPWLCYIINEAIRPQGWTVQKAKKQKVDKALKDDPQWKDWIETKVKENNER QQKEEKPNESANSALPSQISFFTSTDIAALNSAPESFQDLRSRWILDNASSMHVCNDR SRFVTYTSTSSSLKTGDSTTRVEGLGTVKLQGVDPTTGKEKAITLSNALYSPGFHTNL VSYGALKKKGGRWDEDGDCIRDPNGTPVVSLKPIDSLNLWAFDIPYTAAGHAHAVRKS EQPLVAEAPAEIWHRRLGHVAPQVIQKAADMVDGVKIKGELPDSTAPESTETSICEVC KLSHAPRQISRRPIGQTFGWCGRIHFDLVQFSPGYNGHQWMTHFYVEGIRFHWLYTHT FKSECREAVRKFIALVKNWWNLPIRAFHYDNEQSAGDNVEAFLNNCGIIVSHSIVGHP EQNGPAERSGGVILEMARHLRIEGGQLPKQLWPEFVSAAAWILNRIPTYLKDEKRWIV PWEEARHEFAGDRMKKTSLANLRVYGALSYCRIRSIPCKEKTHPRAEIGFLVGYIASN IWKIWFPARGKVEVVRDAFFDESRKWKPDMQYWQDVDLPTQEPVILTEYQQLDAIRQD LSMPASVLPLEEDQNRNRTDDQHEEPVDSQKVQIPKEDEIIAQESATPEKRYEAQETM PQASPQDTLPGAFPTEEQVPLPPTPPYEALQNTLQETDLSPVTAQGVEDQLEHQTQEL DNQEQTPELQVNEEEEQLSDSEQQLQQELQAPRSGDDGIDISNIISGGRRRKAREDHE YVAYATTETEDPPELLRAFAAALYTEKPIQRHRDDLPPPPENWKEMLKHPHAEGFMEA CAREIHTLTEKSTFTIIEKPKDVSKQVLPLRWVFAYKFNQDGYLVKLKARICARGDLE MISPEEKRAATLAARTARMIFALVAAFNLDLRQRDAVGAFLNSKLLKEIYTQMPQGFE QPRKCWKLNRALYGLRISPKLWQQEASKVLTKLGLKVVPEDPCVFVKEGIIVFFYVDD ILIANHPSVRNQARQLERDLEAHWELTDHGEAEWFLNIRILRDRQQHKLWLCQDTYIS SVAMRYHLTHRAPVYTPLPVEELMPYEGTASAEDIKLYQQKVGSSQYATTITRPDAAK AAAKLSQFLMNPGPQHQNAVDRVICYLYTTRFRAIEFGIGSGLESIEFASDASYGDNP DRKSSAGYICQVYGGPVDWKASKQPTVTTSTTEAELLGLSETARSLQWWKRFVSRIQF NPDHTISIRCDNRQTVELLTSEQAKISTKLRHVDIHGHWLRQEVREGRIKVIWVPTGQ MVADGLTKLLPRQKHEHFVKMLRMADISHMID ACHE_70100S MLRFLRSAMTAMTTARVPIPANGVDYRGKIVLAPMVRSGELPSR LLALKYGADLVWGPETVDRALIGTSRRVNPRNGIIEFTRGQGSIIYRIDPVREKGKLV FQIGTASPELAVEAAKLVAADVNGIDVNSGCPKPFSTSGGMGAALLRNPDKLVSILTA LVDEVGKPFQIGVSVKIRILETPEETKALVSRLVKTGITGLTVHCRTTPMRPRERAIR DQLRMVADVCHEAGVACVMNGDVTTRNEGLELMKEYGVDGAMIATSAEANSSCFRPEG GLLPWKDVVHAYLRFCMETENRFGNTKYLLNMLIPSKEFHHSKSSKSYYDFCHVLGFD DLVPAALQVDEIMNLTHKSIFKNSAASQNQEPEGVDFSLPSQPTKQAVAV ACHE_70101A MNPAFAAVTTGPEMPHQSLSYDDGMASRSTQIATTQQTMLNIPY SHYAILYMDGDGKLGVEVSPSIEGSEKAIFTQDVRGRFLRSVTGGPYGLQGYSNPIMQ TQDGILPSSTSLAWYHHSRTKRDGLIPCEWQSQRSKRQRRRDSDSASASPCPTIRRTA LRVGDHDLLRRYYEKAFDNFQQLNCRMIAKAWIKLVEPRKQVNHPYNGRKNVGGTSQR VDPELTKPRWWPTGVTHKEPDHLPKPERVRLLIHILCELRASHDITAEKLKEAGQDVR RQISPAERLEVLDEIYYIRQMEEHYLAGDIGSDTILHVSHVHLPEASFEFDQHDFQST DHHDTLSSIPTTLPKVPAMRGTSDDGSPEYCLPLTPSSSCPSNGPRSPAQTHPSYSPE MVSSMMSTGAHASAAYVTSKDESQPGSCMPDYFSQPFLVPTTAPSTQAGYWGHPAQTH APVYPTGY ACHE_70102A MGKLIRLELFNFKSYKGHHVLLFGDAYFTSIIGPNGSGKSNSMD AISFVLGIKSSHLRSTNLRDLVYRGRVLRTAKLDAEGNAIEQPTNGEDKAEDGVDRIE SQDPNASQDPNGTQDPRTAWVMAVYEDDAGEEQQWRRSITSHGVSEYRINNQVVTAQQ YNEALEAENILIKARNFLVFQGDVEAIAAQSPRDLTRLIEQISGSLEYKAEYEKLKVE AEEAAEQQTVQLHRRRGINSEIKQYQEQKREADSYARKAEERDQAIITHILWKLFHFQ RLIDDSSEEIQKYQDELKEYRRGVEKYEKNVEDAKKDHAKVGRDVAKAEKNITNKEKQ IEEANTSLVPVDEKVDITVKKVERFASRIAEIGKEREAQLANTRQLEKDLKVVEKAQA QWGAEWQRSMSKQGIQLSDADQQEYNKLKEELNKRSSAEQLNLDNLRRQRKTEAEAVN SLKSKFEGTEWQLQSLRSDKQSLEERQESITEVITTASKDIERKKKELNTLTSERLRV SQMRTELEEKLQVVLKKLLEADDGRKQSEKEIRTRELISTLKRIFPGVKGRVSDLCRP KQKKYNEAVSTVLGRHFDAIIVDNEKTAKECIQHLRDQRAGQATFIPLETIQVKAFNS NLKGVHRGMRPAIETVDYEDSVARAITYACGNAVVCDDLATAKYLCYERNLDAKTVTL DGTVIHKGGLMTGGRGPQQSSKRWEDSEVENLHKLKDKLMADLSSLPKGHRRGTEEET LQGELVGLEQRLAYARDELKALEKNLKSKHSELDFVKRQLEDLRPKYAERKETLDELD QSITETQESVSGVEDDIYRKFCKRLGYDNIREYEAQQGSLQEEASQKKLEFTTQKSRI ENQLSFEKQRLQATVDRISSLQTQHQRDEGLIEELKAEQQNIRNQLDEFEAELEILRE RLGEQKEAYGQSAENLNQQRRELQKRSKNVEGSLKNISGLEAEVQRNSSSRYALLRRC KLEDIDIPLTESSNSLDHLPIDELVQTADPDAMDVDEDANGSGQAMVQDYGVEVDFDS LGDSLKEESDEKLEEELLEKVRSLNSELDKMAPNTRALERLESVENKLRGTEKDFEES RKHARKAKDDFEEVMRKRSDLFNKAFTHISEQIGPIYRELTRSSNYPLGGQAYLDIED SDEPYLDGIKYHAMPPLKRFRDMEHLSGGEKTMAALALLFAIHSYQPSPFFVLDEVDA ALDNTNVARIANYIHDHAAPGMQFIVISLKTGLFQNSETLVGIYRDQAENSSKSLTLD LRKYQ ACHE_70103A MFPRCFAFQRLSQQYPRFSRLPRVQDTASPPTWRYFSSSIPRAK TAVKPQPQPRKVANSEETLKFAGRRPEGFGKLERKVAREGNVILFNAPSHRSYIFGAY SLAAFCFAYSVYNSNMIFRDPIAIGIPIWQQTLFGGVCIAMSVMGTVFLTKTGRLIKS VNAVSANGQTHIRFTVRSMMPFKKAYEFDALPRQIAFSRRLVVTPGSVNRTQQPEAPR NTKPSEIEILKAPAKKISVMIWTIFANVRKLFTQEGVILLEVEGQKGVFRMDSAGFVS EDFLMIGNPLDIKRRP ACHE_70104S MASNFPARQIPAMFARRQQPTRVHSAARRFASTTVQTQNPAYPL YPSVTQLLHEKGIPDSEISKIPASGPKGRLLKGDVLAYLGSIPADYPSTQAARIDKLA HLDLSNIKIAAPPAPKPEPVPEKMEAPAPPPTTSVALSISLSAVLSVQRKIKESLGIT VPLSEFLARATDLANEELPRSAAQKPSADELFDEILGGEPVTTSRGDYIPELNEVDAP VARAQPVQEDLIDFLAGKVSKKSSSSRVVSPVEEPAANVFSLTVPVSEEKRAKAFLDR VETLLTVEPGRLVI ACHE_70105A MGGNKKDSETVAARSNGKSTRTGTNALNIASPPGSRTPPSMPSK KMLFFPETMSGRKKSQTGQDLIDPDALAKALRDYEDAGNRRERTPGTSPSRKRQRVYG DRFIPNRDGQDFQATYSLLHEDGCPSTPSKTKKRTPHSELHFQKTEEANRMYSRVLRS ELFGNTVPQPDLDSLAADPLSGTNDKTRSHTPPSHVVSNLPPASITPSTPHKNLFTYA SPRVGSNQQTPSKTPRSHHAPNLNVRSELYSLSPIRYDSQRILETPRKQPRYVNKVPY KVLDAPDLQDDFYLNLVDWGSSNVLGVGLGNSVYMWNSHSGQVTKLCELKDDTVTSVN WIQRGTHLSIGTGKGLVQIWDAEHCRRLRTMIGHTNRVGALAWNDHILTSGSRDKIIF HRDVRSPDQHIRRLAGHKQEVCGLKWNTEDGQLASGGNDNKLMVWDKLNETPLYRFSD HVAAVKAISWSPHQHHLLASGGGTADRTIKFWNTSTGSMIKEVDTGSQVCNLSWSKNS DEIISTHGYSQNQIVIWKYPRMEQVVSLTGHTFRVLYLAMSPDGQTVVTGAGDETLRF WKIFNKRPGREHGREGSKLAEMGTIR ACHE_70106A MADIITGPTIPIPETDYLSYVFNGPYDEKKAWPSTEPILVSTEE SDPSYTINDIKDFVKRLGCGLHHIGARRKRVMLYGEANIHFFLALLGVMAAGSACAVI PPSSVHHIAFYLRQMEAEFILCGPNDIPRARDAAHQVGIPPEHIFVVDRSASDIGSTN EQKVRHWSWLLNIPGGSEYVWPRLGEESKEAEAILLCTSGTTGNPKLAVRTHHNLIAN VESLLYNRSRHLKDSKDIFCAFKFYGAGFITHAMLLPLKARSRTIFMPKFDLHIWLRA VLQFRPTLLMIPKHVLNELMMYKDEDKPDLSSVKFVVTGAATISSTLRKAWAEKYGHP LFSVLGMTELGFVTGEEFDVPLTSDTVGKLLPNVQAKIVDSNGQSLKPGQQGELWYLY PGIMKCYCNEPEKTALTVTEDGWLKSGDICSVDKEGYLYVVGRYKDMFKLNGSDISGA QIEGGLILHPDVKEASVVPVTLPGEEEPAPYGFIVKKPGSNLTVDEFVQWMAQELTAN MKLYGGVSFINALPLSTSGNSKTDQQALKQMAQEAVDSR ACHE_70107S MWIPEMQWAQVAEKAGGPLVYKQIPVPKPGPDEILVKIRYSGVC HTDLHAMKGDWPLPVKMPLVGGHEGAGHVVAKGELVHGFNIGDAAGIKWLNGSCLSCE FCMQTDESQCEQCSLSGYTVDGTFQQYTVGKAAHASKLSPNTPLDAVAPVLCAGITVY KGLKESGVRSGQTVAIVGAGGGLGSLAVQYAKAMGICVVAIDGGDEKRAMCEQLGASA FVDFTKSKDVVADVRDATPGGRGAHAVILLAVSEKPFQQATEYVRSRGSVVAIGLPAG AFLKAPVLSTVIRMISIKGSYVGNRQDGVEAIEFFERGLIKAPFKKASLKDLPHIFEL MEQGKIAGRYVLEIPE ACHE_70108S MALYQTIKTAALTYEQPTGLFINNEFVPGVEGKTFQTINPHDEK PIVAVHEGNERDVDIAVQAARSALDGEWKQITPSKRGQLLTRLSELLERETETLAVIE AIDNGKGVGMARGDVAASAGCIRYYGGWADKIYGQTIDTDSASLTYTRHEPVGVCGQV IPWNFPLLMWAWKIGPAIATGNTVVLKSAEQTPLSALYAAKLVKEAGFPPGVINIISG FGKTAGAAIAAHMDIDKVAFTGSTMVGRQILQTAAKSNLKKVTLELGGKSPNIVFPDA DLEDAITWVNFGIYFNHGQCCCAGSRVLVHESVYDQFLEKFGQRARQNKVGNPMSSET FQGPQVSQLQFDRIMEYIEEGKKAGAKVVAGGGRLGSTGYYIEPTIFSEVNENMSIVK EEIFGPVCTVQKFSTEAEAIQLANDTNYGLAAAVHSKNIDTALRVSNAVKAGTVWVNN YNTLHYQMPFGGFKESGLGRELGSYALDNYTQVKTVRVRVSDS ACHE_70109S MATQSSQSTSIPSPRSAIPTFFHFKPEIEDGKMVGDINYNGEPK AASHNEESPMYGLSTVPTSVALTAEQFERLYLTPMTRRQPPLAKQVGNPTPLALGGFV ITTTPLSCCLMAWRGASGNGIAFTGPIIFLGGLLLLITSVLEFVLGNTFPCVVFGTIG GFWFAFAATMIPAFNSAGLFIPSPF ACHE_70110S MVGGNTPLSQPPFLIAVRPEHIAAAETNLIIKPKCGDLSPEDQI IKDSDDGSTVFAMAGKKHGERTGRTDGKKDNLVEFSMSIHYGTFDMIVQNAATETAKY EQDKQATVHVRQVSKESIYIWEMLVGEIKVADIRESTVKNDSLGSAPRQYTWYPKPPK RRILDIKVAEGFNASLVSLCTSVITADVPVANAD ACHE_70111S MGMLTFSRFLSRPYPNHRDGPTIFSFQQKLIMEDTGYFQSRQSE PSVAALSPELEATAMDDDPLLDTEDLASVSTRSISPPASPRLSRNASFSNNSSSYQED WETFPPLDKLSIFDLLDTFSLPHRLEKWQQAINAQKERVNKQRERLKSTSMNAKDQVV KEWKRRVPTADEQLDRYRRRMRVGVERLGKQWNAAATVSLREKISFIAGVLNIFISGY LVGAYPEYFYIWFSVQLIYFMPIRYYRYHKKGYHYFLADLCYFVNLMCMLSFWVFPQS KRLFISTFCLVFGNNAVAIAMWRNSLVFHSMDKVVSLFIHIMPPVSLHCLVHLTSAEM LKERFPAIYAIKYSEPGSPEHYSLGDMVIWATVPYLIWQIAYHLLITVRRAEQIAAGR PTSFTWLRKSYANAWIGKLVLSLPESLQSVAFMLIQYSYALVTMLPCPLWFWYRWASA GFLMAVFVWSIHNGATYYLDVFGKRFQKELEELKKDVARWQSSPEALNSPLMTPLVAQ NGNGPATLAQVASDKASIDRIPPLDAVAASTGAQEVNGNDSMVRERK ACHE_70112S MSVALRSSRLVRSHAVLRPNLASRTRLASGALGAASTANLRFNA RGLPSHVTALALLVPQTRGYATEHSTSNSTSSEPFPPPGFNAGQAKKPIQKEESQTKD VQNADKAPTAPAKSGDTRESEIVKADEDKKVSEKKEKKLTIGQKIKKEVQHYWDGTKL LGTEVRISSRLALKMARGYELTRREHRQLQRTTRDLGRLVPFSMFVIIPFAELLLPIA LKLFPNMLPSTYEGPKVRETKALSLSSTRKEVSSFLKNTLKETGLPVTATTLRNEEFA DFFRKIRSTGESPSTQDVIKVCKIFKDDLTLDNLSRPQLVGICKYMNLNSFGTDAMLR YNIRHRMRQIKRDDRAIFYEGVESLSVPELQMASASRGIRTHGVSPARLREDMSMWLD LRLKQGVPSTLLVLSNAYVYAQGGKEAEMSSQIEALQSVLSSIPEELYHEIELEVHNA EGAATNKQRLEVIKEQEELIEEENEQNSENEEKGVAAPKDIEDIDDKEDSSTQSAEAT EAAKDGQQAELNSQAQAKEKSS ACHE_70113S MKSRSLLQFTATINRSFISHSAPSRSPFRAPSSPTTAIRKTQYS TMPRLNPKSQAAIDRLRSYKPPPTNYDLLPLTRRAAVLLLLYADSKGDLRIVLTIRAK TLSSYAGHAALPGGRADSLSETPLQTSRREAREEIGLPETNASLPSPFNVEHLCELPA NLAWTELAVRPCVALLHSYDEKTGVNEDPEVSLIPRLDAREVAAVFTAPFHNFLKQED EANVEAGPGEWYSGAWTEWHQSNWRMHQFFVPVRDKSVTKPKPRNQTPSQKHAVDKLS QDEYEREKAGQSTTRFRVHGMTARMLVDAARVAYAEEPEFEHNSDFGDEEMITRLMRM GRLSAVRKPGDKLTREDMQRAAKLS ACHE_70114S MLSVPYPYTHGHAYRPAPPVKPSQSLEGLEQVIPPTSPLALSSP LSLVGRPYYLRLDKPLPEKPLPDTPSSMGYSDSIAWSNSNETSTLDSGSRYSGRESSR YSSDSYPIFVRTGSEYGVERPYSDASSGIGIGVGDVGKGGLLSPTVPAPLATRHSPSP LHAFLASDNEENDMVGNLEDLEEWNDNDHHLDARWSQTRHTATDFTQGRNNNSHYFRE KKWDFFPELAPVAATSKRPCRQASAAQAKKWNTSRFDFRRTRANTFTAAPTALASNVR DSIRFVVQKTMKSSLEKEKENQRRQPRPSTAKRAAASVSDFSDSTTSSSGKGTKSKNK GKQQPERSDYLYAIPKPSCEGKVSITNRLRSLSMSTGSSGAMGSPRSTPPHHGPAYPK QLAVPLSPYQKYGAAVFDKPSTPSTPASTQSHSRFYQSQNRTRRWSLSNATSSYTYAS SYVTNNSSTPNLNLTATQSYPELPTSPLLRSQLQRGTKALHDGTSYMRDALDGAKRRV FDARMDRRRAQLKARIRLIGPVNPYTTYGRVDPWDCI ACHE_70115S MGGGAPLGAPSLFPRTPSHPVGQRIKSIYTDRLRQFTAPGQYEG QNLVSKFFETITTDENHIKLSVYSVPNLARPSFAEATSHDFTPTHTGASFGPSWSTHW FRIRLTIPQDMRDKEHLEFHWDANNEGLVWSEDGRPLQGLTGGGERIEWVIPDAWRDG EEHVFYIEMACNGMFGNAPGGDSIQPPREDRYYRLETARIVAVNLEARGLNYDFWIIG DAAREFPSDSWESHEANMVANAIMDAFIAGNGSQESIKEGRRIAKKYLGDKLDSSEVY DTNAQPIVYAIGHCHIDTCWLWPWAETKRKVARSWSNQCDLMDRYPEHRFTCSQAQQF KWLKQYYPSVFERVRGWVKKGHFQPVGGSWVEHDTNMPSGESLVRQFLYGQRFFQSNF GERCTTFWLPDTFGYSTQIPQICRLAGMSRFFTQKLSWNNINNFPHTTFQWVALDGSQ VMCHMTPAETYTASAHFGDVKRSVTQHKSLDQDNTSLLVFGKGDGGGGPTFEHLEKLR RCRGLSDKVGLLPRVKMGNSVDDFFAQLEKKAAEGTKFVTWHGELYFELHRGTYTTQA DNKRNNRKAEFLLRDIELLATVASLRAQYKYPKEEIDDMWEATLLCQFHDCLPGSCIE MCYDDSDKLYAKIFETGQRLKDEALKVLLEGDGHISNGLVAISTMPWSRTEIVRIPAA YATNGYTNYALVEDNTSSATQLKALEREKKPVVIVTEDKPGVFRLENEQLRVIIENGV ITSLFDIKAKREIIAKGSKAGQLVIFDDKPLYWQAWDVEVYHLESRKELSSSQTSIAE ADPYHASVVTQTKISDKSWIKTTISLGASVEDQPSYVEFESEVEWQETMKFLKVEFPV DITNTEASYETQYGIIRRPTHYNTSWDMAKFEVCCHKWADLSEYGYGVSILNDSKYGF ATSGNLMRLSLLRAPKAPDAHADMGRHHIRYAIFPHEGPLDWRTVRAGYNFNNQLIIQ PSSGEEYNVQVAELLGNKSLVLDAVKRGEDDEDVSRGGLPVRPGKSVILRIYESLGGK SRGTIRCHYPVTKAFKCNILEDDEEELVVRKERPAEIKIELRAFEVATYRLQIGSF ACHE_70116A MADTANPPAQTAPPSNANAGATATAPPAQPDSSDKQPQSQPQIQ AQNPGQQPQSQPSQNATTTTTTDQSRGLPYYEKLRRDLRDTLQKKRLMDKSMAQLEDQ IYRFEQSYLEETTAGNIIKGFDNYIKGSSTGSTLTSGIGLSGAGMGAAGGGARRKAQV TETDRVFSRSSASFMRESPTPSSAQTTPAPTPLSNGGDASTNGNSKAGSKNKKKSGNK NVDEDVEDSDKPPVKRLKISYGRD ACHE_70117S MDHAMHHEMDMGHGGMDHGGHSGHGGMDHGDMDMGHCNMNMLFT WSTKNLCIVFPQWRVTGPFSLFVSLVVIVLLTAGYEGVRRITRRYEAGHVQRLSSVGV STSTEIADECASTTATAGLDDPDESSPLLVGRDPRRVAERRGKIALAALYAVQVFYSF FIMLLFMTYNGLVMLAVAVGAFVGYLAFGQDSSATKSVACH ACHE_70118A MPDITSLDSETVNIDPNLQDQEDTGDTIPGHPLGVKPSGNALLA TENLRDAIGTFSILPDELILILLEFLDGPSLLRIGRTCKAFYAFTQAEELWKGLFSWS PPASFTWRKTWRATYLNLPPSQVPSLDCSHLYSDALHRPFYCAHISLEPFVRNIPARN QIARLPDLTPEEFHKEWTDRPFILTEPVKQWPAYQQWTTDSLLAKYGDTVFRAEAVDW PFKAYVDYMQNNSDERPLYLFDRAFVSKMGLKVGHIDQEPETTYWTPPCFGEDFFSVL ENDRPDRQWLIIGPERSGSSFHKDPNATSAWNAVVRGSKYWIMFPSSSKLPPPPGVYV SEDQSEVTSPLSIAEWLLTFHAEARRTAGCIEGICGEGEILHVPSGWWHLVVNLEPAI AITQNFIPRGHLSAALDFLYNKADQVSGFRKDVTNPYERFVARMQEKYPDLLEQAWEE LKKKNEGKKRKWDEIVHNKTEEEGPEEAEGGGFSFGFGDDSDVEVP ACHE_70119S MADKGLEDLPEGQIESNYDEITDSFDAMELKPELLRGVYAYGFE RPSAIQQRAIMPIIKGNDVIAQAQSGTGKTATFSISALQKIDPNLKACQALILAPTRE LAQQIQKVVVAIGDFMSIECHACIGGTNVREDMQALRDGPQVVVGTPGRVHDMIQRRV LKTDQMKLFILDEADEMLSRGFTEQIYDVFQLLPQSTQVTLLSATMPQDVLEVTTKFM RDPIRILVKKQELTLEGIKQFYIAVEKEEWKLDTLSDLYETVTITQAVIFCNTRRKVD WLTDKLTARDFTVSAMHGDMEQGQRDVIMKEFRSGSSRVLIATDLLARGIDVQQVSLV INYDLPANRENYIHRIGRGGRFGRKGVAINFVTADDVRMMREIEQFYSTQIEEMPMNV ADLI ACHE_70120S MENTPTTATTTATTTTRPWDPTAPSLLWAHELRRENIHLLNQLS TTQSDLSKTTHALSTLQQTVSSLERTVQDLCARSENHAEAKADAEADARVALERRVTE LEGGVNARLDELGVVVEGARWENRRLEGLVDGVKGEWERKLEGAVGMVRGDMRDIVRA EACRVVEEVVGKAMEGLQCGGSCRRGADTNMGDFSNKDMDIVPDSMPRERQVVSSGQD HDTSLQTLSQSTLGSSNFSDAGDQPFHARNYLQKTEPDPDEADYSMIKQDGRSLSEYF SLTEAGRGQLPPRKREGRIVEAFVAGLDDVDVRGCLEKRLDEEGWMWGVVTTVVQGIV KEREASRQTKRSNYKSKKRRCIPIVPVDEEDLLYN ACHE_70121A MASAIRANCRKVICIGRNYADHITELKNTKPKQPFFFLKPSSSI LCPGEGPVLRPRGTNLHYEVELALVMGKSVRDLDPQDDKGALDAIQSYLLAIDMTARN VQDEAKKKGLPWTIAKGFDTFLPVSQEIAKSRIPDPHNAFLRLSVGSEQRQADSSSLM LYRIPRLMAEISRVMTLEKGDLVLTGTPKGVGEVKSGDVMRASIEVDGKEIEEGKIDV EVKDREGRYEYSET ACHE_70122S MQVAEILSDLTSLRVCDHTDALTLVTVNERLPARTPSQEAQSQS QNRDSNQDLRRAKELVDLHRELKTRHANGTVDEDLARARENVQKVLKEVSYNGEGIDE RAWDMAF ACHE_70123S MASVDAKLLRQTKFPPEFSRKVDMTKVNIEVMKKWIAGKISEIL GNEDDVVIELCFNLLEGSRYPDVKSLQIQLTGFLDKDTGKFCKELWSLCLSAQENPQG VPKELLEAKKLELIQEKIEAEKAAEESQRQKEQERIRERELQDLRQRERFDRGRGGRR GGGRGGRDFDRRPFRDNRSPPRRRSRDRFREPPRREFDSYVPSGFRRGRRPSRSVSRS PSRTPSSSRSPPRRRRRSVSSSPSPERGDRRRTRRRSPSRSVSRSDSSRSRSPKRDRR RRSFSRNSSRSRSPPPHRHRRNASYSRSRSRSRSRSRLGSRSLSRESDDRRRKSDART HKRETTADIGKARSRDNRRLSRSRDRDGGRRRRYSTSSSHSRSRGRTRTPSSSPRLSE SRSRSPRRDRDHDRKRRRSLQRYTPAARRRRNSSSVSVPTEKRQRLTDEDEGPAKQPP PANRSSSADKEMKDADEVGE ACHE_70124A MRSNNLLMLAGLASTALAYPTDMAMDRRTVGLDIDVDISLNLGG LLSGLLGGGHEQSPAKLLDGINANAAAALQAGAMGVKAGSVHAKARKELAAWLRADVG VHLDASLRSPLLKWATGGDGDILDVDVSAGLSVLSPHAADVAAKGGLIVTLDGILGAA DIEAQVVLSASLQDKLAAFLKGSGAAHLGADVKAGLHLAAGGGLVSSMNSDVKSALQA YLNGPKVTLGASIKTALLAWLEGKSADGVVAIGNVPAGGLTTISVGADIGARVGADGL ATDTAKAGLGAFLKADIAADLDADIKAALELLVNGKASSALDTKSRTALVKWLSGSDV SLGVELQAVVLLWLSVGVDVDISVNLGGGLIGNLLTFVLDTVTELLSSILGGVLSILT GGESLLSLSLEARAQLAAVIAGAVDIDITSDIRLTLLGWLTGVDLNGSIVIGTISGSS SSASPSGTPSSGNNGPLSSSAAASPTPSGESPVNGSPSGSASGASSTPCDTLTSESVV PIATNSEGVPSSSSVPVQVPSGPAPRPSSSTPASSPVVPTPSSVAPVSSGAASSTPCD TLTSESVVPVATNSEQAPSSSSVPVQVPSGPAPRPSSSTPASGTVNVIPTPSSVAPVS SSAASSTPCDTITSESVIPIATSSEAPQPSVPAQQPSSPAAQPSSSSTVPVIPVPSSP AAESSSTPSSTPCDTITSETVIPVATNSEEQTTVVQATGTGSTVDVVVPTTTPAVRPS SPAPQPSAGPSTVPVIPVPASPSSSPASSTPCDTITSETVIPIATSSEAQPVPVQTPS SVPAQQPSASPSTVPVIPVPASPSSSPASSTPCDTITSETVIPIATSSETPDVEATQA PSPSTTSVEVPQTPAAASSTPCDTITSESVVPIATSSETPAPLSTPASCASCAPRVVT VTTTVSVPVCTPTA ACHE_70125S MASPNSLSTAYNSGLHRQPSSRQLSRATVSRSGSRRTSSAIQSS SSRDHGISVPRQYSAGDSSDDEVPEPKFSASVKALLDEDGLGSSPRQRREQTPEEVRA STAAISSQERQERRRSSRSPRLESPQDRSTGSPAPRVVRIGSAMSSRSRREGSVLSSS EQQDSDAKNDFITPAPRQRSVRIASHTRSPASISPSQRRSAERNFSDGHGSAERLEEE RKMRYDDDYMPRVGTSSVLRSRGGEEPGASSLRVKRVGRLTGAFLNGPARRGVLRRQS EENDEGPSYLSPEDDQRESHESAHDNNAEYRYKDTRSSPRVTWAEPRPSSREDGRHRS IEPANASGDDAPYERMSSPKSYGSHSKSTPGSSSEYSSKSSGAREQPVFKIPPLPSMP AAQDQENEPPPTFRRTRPQASSMLDKPEKMSVAYGEDKKENGETPVSSSQRKILAARS NNTPHRPAPPPPKMSVLDTATGSGGAAAASQSRRKRNQVSINHKAFTRLDCIGRGGSS RVYRVMAENYKIFALKRVNLEDVDPLTLAGYKGEIDLLKKLENLDRVVRLFDWELNSD KHTLSVLMEIGESDLEKILAYRLNADDATLDINFTRYYWKEMLECVRAVHEHNIVHSD LKPANFLLVQGRLKLIDFGIANAIQDNTVNVHREQQVGTPNYMSPEALVDSNASLGLP SSVGKMMKLGKPSDVWSLGCILYKMVYGHPPFAKIAKYYERIMAIPNPRVQIDFPEFG VGGVPVPPGLIRTLKRCLQRDQTLRPTVDELLGQRDPFLYPDAQMDGAVPISQDMLGR ILVNVVNHCRVRGIPKEEELATWPAGFFAKIKSALEEEAGR ACHE_70126A MFARSVLRKALALPFEQTPSSTTLFRATLSTTTSTSTSTSSKPE SPLSASPRAQSNNPTHQQHQLPVTPSSAASTPASTPYTTPQTSSHIPTQKQPLPPTFE APLKVTSSLLELLPHLTAQRAHYITAHVHAKPYLVTAGDHLRLPFLMANVQPGDVIRL NRASVIGSRDFTLKGKPYIDERMFECRARVVGVDSEPMRYKEKTKRRQRHVRTIKSKE RYTMLRIMDVKVKTKEELVQEGAVVVEGQDQATLEVKS ACHE_70127S MPQNEYIERWQKQHGKRLDHEERTRKRQAREHHQQSKDAQNLRG LRAKLYQQKRHAEKIQMKKRIKAQEEKNVKSSAPEEPSKTPLPQYLLDRSQATNAKAL SSAIKDKRNEKAAKFAVPLPKVKGISEEEMFKVVNTGKKTAKKSWKRMITKPTFVGGD FTRRPVKYERFIRPMGLRYKKANVTHPEMAVTVQLPILSVKKNPQNPLYTQLGVLTKG TILEVNVSELGMVTTNGKVVWGKWAQVTNNPENDGCVNAVLLV ACHE_70128A MRLSQFSLLGLLVTASAQEELNLWNYGATGNILGNTFGIPGTNA TFDYIVVGGGNAGSTIASRLAENKSVSVAVVEAGGFYEIDNGNYSVVPGYSSQFTGSK PNAYNPLIDWGFSTESQQALGGRKLHYARGKTLGGCSARNFMLYHRPTVDSMQRWADE VDDQSYAFDNLLPYYKKSCHYTPPNQGLYTNSTNNQTADAFSSSGGPLEVSFSNAVDA FGTWARKAFITAGMGQINGLNSGRLLGSAYATFTINPKNAHRSTSESSFLKQSLNNGS GLVVYKNTLAQKILFDSNKTATGVQIATGGTFGTPPINFSLNARNEVILSAGALQSPQ LLMVSGIGPCDHLSKFGIPCLSNLPGVGQNMLDHPVFGTAHRVNVLTNSASANNKTLA AENANKYLHHATGPLSIFGPGYYGWEKLPDPYRSKLSNASITALANFPPDWPELEYLP VAAFNGDNQVKQPADPLDGHNYATLNIALVAPLSRGTVSLSGPDMTTPPLVDPNWLSH PADMDLAVQSFKRTREIWSILSKMGIADPVEYYPGANVTSDAQIREFVKESMRPVFHA ACTCKMGKKGDGMAVVDSSARVYGVQGVRVVDASSFPLLPPGHPQSTVYALAEKIADA ILGSTLW ACHE_70129A MARATATARKSTGGRASRKRLGAKAARKTPAKKKGTRKFKPGTI ALREIRRYQKGHELLLPKTPFRRVVQEITSEMMFEKDYRFQSSALDALQEISEAFLVN EFEMTNLCAIHARRVTIQARDMQLVRRLRKHMGLDPVGTV ACHE_70130S MTRKPGIPGPKPGANPGKKRKQDKDLSKNPHTMRGRELLASKSD SEKAVIRRKNNDRAAFVSARLKLRASTSWQEATMEEQEILENSLKDQVMRERYEKGQS AQFFLDQLEGESIDSSVWETVDFENDTELCYHAQLDDIASHETIPTTETAKEAEAAQS SATGQLIKICIPSLGVTFDSPFYAVWPVLT ACHE_70131S MHCISRDRLFAAVKQLDPDGVYRRANDLQRHRGEYIVPGPNWLW SIDGHCKLNFYGIEIYAAIDAYSRYITWIYIGISGRTAISILVQFLTTLQKEGVHPQR IRSDRGTETPLLAAAQHAFMKRHVADISFRDCYWYGTSTANQRIESWWGQLTGGLLFR WRDYFQRLHSEHLFEPDNLADKIALLAIYIPILRQEVQAFARMWNMHTIRKQPNRPNA VHGKPIMLYFYPQDPCIQNYGLKPDPQLLQELTDQTASYGMMVHKLPYC ACHE_70132A MSEPWTPFCRRKEHFEHAVHCADDISCPYCGMTNPDTSTSQDII FLPQTPTQSSGKRNTPIEISSSPPAHQSTSSTFPQLDRTSEIARQQSIQRTQQSTQIR PHAGSKILSSRPQVTRTQRTHSAQPTLQKFGVTVIIYTGFLYDFDLGFGDRWKCRTSF GAYLTPADTYETEDDLIPILARNYQRWDDLLRKYNPVKIMIAKTFEKGIGQPQIIPTD AIKLPVPHILTECFSANKIFLFLGCEEELSDVEDTQDTSMKIKKEKGQKVKEEKGPKI KKEQSMPQKPRQTYQRKRNHSQVSPQSSQTTPLRYVQPRVALNRNSKKSESPEHVNDS TEAEDQTTLDGVYAEEQELLEIQEPEELHLDAPAGRTRQRLQQN ACHE_70133A MSKPTLIFAPGAWYPPTAFDPLIAKLPDYTCHIIAFPAVQQATT VTDLQLDISAVRTLVEQEADAGRDVVIVLHSWAGLPVSSALGGLSKQARTKAGKEGGV VKLVFIAAFVPEVGESLLDAFGGQAEWMIRDIENGTVTASDPFNRFFHDVPDGHEWTK TLRPHAWAAKTSPATGAAYLEIPNSYLLCEDDRAILLAAQEAMVERARSKGAVFETER IKAAHTPWLVPEVMGEVVGYIRRQAGEVL ACHE_70134A MPPLDRMDEDPGDNIAYRIWIGTIVTVVPATVITALRFIARTVS RVGLWWDDYTIAVALVINWGMAATRWAQVLMYDYGRHTQYVASEKVINFQISFIAVQI TYFCNAVFTKASLLLLYHRIFGVVSGFRWALGISAFLVTAYFIACVIVTIAGCSPVSY YWYRHQEGSCIDEVNFYRWNGIVNMLLDVLVLCLPFPMTWRIKTCLRQKLILTGIFLL GGFVCIVSILRIIAFDFSDANDPMYSTINTATWSSIEQSVGIICACLPTLRPMFRQLY DASHNSTDKGSGSGSRTLSSSLPIRLSQLGSPQAEADGSTVCFARLPTAITATDGSRS RASSTTQTRTGHGVDMYGYAPSIPSVATGGRSRAGSSGLGKEAQQCV ACHE_70135S MDPQPEIFNLEDFTSSSPETDPNVEGIPVQLTNSKSHSLQTSKI EYIDDLPEYPTSHIHGYSYVVASRGRSQNEMEQLVHEIQYSRRQQHGPRRPVKCPFFN CLVKRWTWKCSGIYACEFLNPFLQSYHHTFVDEDCWDVIRNTQRNIQILEANIGKRNA YSYYRSKNEFFNKGYACIDQLPTCKPVFKRHTQMNVHGEFPPYIGCSNGSNEFLTKHH RGSIQGHTSIDLQFLEDLFNHDIMPPTEECGVFESLASRRKYCDRDHLQGPGRLQHTS CGVIFTALVPVDINECPYILFTSHGIHQHPPPPPSKAPERILQGVKRIIQQIQDPSLT TAQFLRSPQLEAFCQQYGASTLAEIHSSFCNKDRIATIIQKQRLLSYPNGQDINGLLF LQNRDSLINVSGSNHPLPH ACHE_70136A MATHLAAVSPAKGQLFELQTRLTPKPGPDELLIAVKSVALNPAD AYMRDQGLFIPTYPTVIGFDMSGLVLEVGDNVPTSATDNDPAPSFRPGITRVAAYAAS VWKSCDPDYGAFQERCLVPWQHAVSLPYEGMSWNHAASLPVAAEVPLNAWDVMGIPRV GEATASAPASAGSTGTDTNGEKQKNNMEKREALLIWGASSSVGTMGVQTARLLREDPN SSFAAAYATAGSANKSYVGSLGADRVFDYKDSQVVDAIVSAAKEDGLVIRHCFLATGQ LAPCQAVLKTFLGEDSEGKKTKTAKIGSAPVVPPDAKVVNGVETIFVLPSTVEGERLE QFRYWIGTWLRENLAKGTIRPSPEPSVLAEGLGAINAGLDKLLRGVSCTKLIVEVAE ACHE_70137S MGVRKRIAPATQAHYRLRATKLRQEKRLEQLNGTEDADMGEAPE VCETATASPREPTNVIGYA ACHE_70138A MAHLFPHPRRIVTGHDNYGKAIVLADSVIPCEPTKIKCNFAVLY ETHQFPESNDKWMDPVESRTTDLANQKGVVLRVVDFPPRTKTMFHRTESLDFGILHEG EITCHLDHDERIDMKAGDVCVQRGTIHGWTNYSDKPARVFFILTAAKPVSIGNCILGT EGFDKEDVESGGTVSAKN ACHE_70139S MPNPVQNAETTDVRSYPYVFRQNVSVPLSNGGRIRCNIYLPKTG AIDGRYPVLITYGPYGKDIPYQHFNAASFAEINPDHKSEHSAWETPFPTYWTAHGYVV VRADELGTGQSPGQLDVFSATTVDSFCELVEWAAIQEWSTGKIGLLGISYYAAIQWQV AARNPNGLAAIVPWEGFADHYRDFSRHGGIFSNSFLRLWYDRQVKSNQYGLSGRASRN WGPDTVDGNLPEEELSANAIDIVDQLQSQHFRDHDRYATVNFNLEDIRVPLLSVANWG GYLLHLRGNVEGYTWASSEFKYLRFITGRHDLPFYYPDEVEIQRSFLNAFMKGDDRIG WSRKGAVPSVDLVLRRGDVGYNDPDAEALYPRRKENEWPIARTVYTPLFLNPDQRLTD SEPSLSTIKKIAYRALGDLSNPQFISFRTQPFQSETEITGHIVAHLNVSMSRDSWSSA PSDIDLFVTLRHLSSSGKEILYTGTVGDPIPVTKGFLRMSLRKVNNEHPHHRPYRPHR DYLSSDVQAVIPNEVYTADVELWPTNVVVQEGECLVFEVSSGDTPGTGIFEHCDQVDR ACHE_70140A MALETISFQTSDSVTLRGWFYRPTNPSRSQAPCLVMAHGFSALK EIDLGTFAEYLVSKLDLACLVYDNRGFGESDTKPGQPRHEIIPSQQTSDYSDAITYAQ SRSDVDASRIGIWGSSYSGGHVLWVGAVDRRVKAVLSQAPCVDG ACHE_70141A MAPNTEEIRKALTGTWKLVSYVSKPSDCSGPNGYPMGKDVQGYI MYTADGFMSAQLMAPGSLKYTNNDVFDVAEKEAADASRHYLAYSGPYEIEILEGKPIL KHHMDVSLVPNWAGTHQLRRCELKGDELVLGPVTPWSLKGALVDQFLTWRKVNSS ACHE_70142A MDRLSKWMTSRRSSHISRCSIDAYRSELVGTEQEYPDPPVATSL GLPEDHAEALGTSRQISARRTPVCSNSYAQISLSPSVGVLDSTLNVGGESRAENIQFE ETAVEGSKGEAAASYGDEDAIGEVNINECT ACHE_70143S MCLTSPLHFTHIHLAESDPSSPDSFAAFVASRCRAYVLSEEPLG VPVEGIRQHGCNCYASGEALNIECIMPRAWKAMLEWLERVHADPDYYETKLVVKEVSI DELDKLKDLEDDDSNEEAGETEG ACHE_70144S MVLFSGRLFIYILTFFCWISIISDQLLTTATNSSSSSITVLASS RAVISGQLTPATIVISRATGKITAVYDSVLSATDFPEGTPYTDHSPYVLLPGLIDTHV HLNEPGRTEWEGFYTGTQAAAFGGVTTVVDMPLNAIPPTTTVANLKEKVAAAQGKRWV DVGFFGGVIPGNSHELKALVHEGVRGFKGFLIDSGIDEFPAVNTEDIKKAMAELADEP TTLMFHAEKEPHEQPLPPTGPVDDYLTYLESRPSTYETNAIAEVLSLAHLAPQLALHI VHLSAMEAIPILREARAQGVPITAETCFHYLSLAAEQIRNGDTRYKCSPPIRSQANQD ALWAELARYPDDGVIQTVVSDHSPCTPNLKLLPPHIPPTTDAPSHNGSFLTAWGGVSS VGMGLPILWTEFSRRNNLTFAPEGENTNRALQDIVRLCCMNTAAQVGLEKQKGDLAVG MDADICIFDDTAEWVVDPSTMLFRNKISPYQGQKLRGVVRETWLRGERIFTRAAGFSD DRPSGKLLLEKRAKRT ACHE_70145A MRALQARPDTRGQTSREIKALKDLTEAKCFCTPKFRAWKHENQD RNDWVPGGFLDYIVMEKLEGRTLSPELIDSLSNEQQQRLRTAFKRSYIECLNHNFVNL DQGARNLIWNEEKGICYIIDWETWCRATSSYDWNDDEYCSWDLELS ACHE_70146A MSPPTEGRVQAKLDADITNSNLQSIKDFYKSADPKSQSSLLADI AARAAAKAQVDILDWVFSEGFQAPPDSLNDEFYHQACLAQSLAVWETLVKNGFNLNGH HSEFFGDALSLEAYCGNVGIIRFLLENGQDPNDAWGGYDDLEPGVAALVGDKPSLEIL HLMLHHGWNQKRSATHIAAAELGNMEALKLLVEHGADLEEASGWWPNCGIIEADKWGT ALYRAAYKGQREPVTYLLDKGANIWFKDDKRRSILWAAKQGGNEEVIELLKSAGLKEE ACHE_70147A MDETLNLNINEWKDIRNQWALKHAEESVKNDWNYLVGKGPSISP LPSLPSPGIPFLSGDHRLPDPADKLPGDRAKPPEPENDVVLNIGVIGAGAAGLFTGLL LDFLNKKYQEKFKTHKVQFKYDILEASERVGGRLFTYNFDDSQIKKDGSVNRNYYDVG AMRFPDNPVMERLFRLFNYLEMRKANDTPNSKLGDLLPYYIKGDKEPWHFNGTTKWGD YASISAQGLDPWKLNEDGKIPEDILKKSPGDVFNGIIKTFREKLMQDKATSDKSSEGW KYLMKYDDYSARSYLALAHHPALNYETIQWMEAFNGGTEWYDQALSEAVLESLDFDWQ PGTDWRCILGGSGVLAAKMVQKLKNPSSVQTSTAVTQIKNKGEKQVEIAYAQDNNSGG QSHTYNAVFSSLPLGCLQRIDTSEAKLNYGIRQAMRALSYGPSGKVGIKFKNPWWLYK LNDANLKHAGLGHSDLSIRTCVYPSYNLQTPKEEEAVLLCSYTWQQDALRLSSLVSKS KDLKNKWREETELKNVLIHDLARLHADGKPGRTVDELEDLIRDSYLEHFAFDWYQNPH SVGAFAFFGPQQFRCSWPKIIQPSGDLAIIGEAASPHHAWVVGALESAVHQVYSWLNQ HKGKIHGFSYLAELLEENPPTDDPNPFQGLPPYMRTETCKWQSVLAKAYREMPDYM ACHE_70148S MPGPLYRNTGKIPEAPKFHNHYTLSNGCPVEDSQVSESFSKQDG KNRYASQLIQDINTIDTIPHITRVQIPER ACHE_70149S MEEEIAKLESKGIFELIRISDISKDKRIFPGKWVYDSKIMSPQM TDLIKPDE ACHE_70150A MPWSEKSPGHYTRPIGENESMIKTIGERGLPLNREHWSLSFSAQ FKSRSKKDVDIFVKLHKAWKVLRFNNPSLASTAADGLLHYTVPDTQSLDKWTQDTFFV IDEDHITPEELIASFKPGPYVTLHYLPRHSQIIIHASHWRTDGIGAIQVLDAFFEAAA SNQDPQTLPWGLEVTRLVPSVEEALNIPTTPSPEIKAEAEKCIATIALTRGAIGVDYL GDSTTLPAGTRSARLRLSESTTEAIKEACKARNISIIAAVHAAIAAVNYAAAPEECKK KPYTSTIRTTLRSYLPEPYNTPAYACGLYTSGLMFQVPASQSWLDNAEQYHHQYECVL SSEFIQARREYASQMLQILSMPLPVPLPTPSEVDISSIGDAELLMNRTYGTEDDGIDV LGISLGVETLTRQMYTFVWIFRGQLEFNLVYNEAYHDAASAGRLLVLLEDILETELKN AN ACHE_70151S MTQSTNTVLLFGPQALSFEQESFHQIRSTLLSTSQYNWILGVVA ELPNLFSRAKEKLPKVKSTSGAKLLDDLNDWLRSGVINESSFQLPNILLSPLIIIVHL IEYSQYQQLSSGSENGHKETIGFCTGFLSALAVSSSDSQVKFKQYGSVAIRLAMLIGA VVDAEDAVGEHGENKSLATAWNSPELKGELTRVLDSIPEAYISVSYDENRATVTTSTS TIATLQQQLRAANIIATQIDLRGRFHSTAYEKELDALIEFTDSETSLQFPPASSLVLS TWANGGEIIKDDKPHHAALRSILLDHSQWFQTFSAVQSSKLSSSTDTVVSFGPERCIP PSILRRIPAEVIHFAERNPTTRQSDNDIAVVGIACNVAGASDLEEFWNLLCEGKSQHQ PVPAERFGFETIFRDVDTKRTWYGNFIKDHDVFDHKFFKKSPREISSTDPQQRLMLQI AYQAVQQSGYFRTPNPNNKVGCYVGVCAADYENNVACHAPNAFSATGNLKSFIAGKIS HYFGWTGPGLTIDTACSSSAVAVHQACRAILAGDCVSALAGGTNVMTHPIWFQNLAAA SFLSPTGQCKPFDAKADGYCRGEGVAAVFLKKMSAAIADGDMILGTISGTAVYQNQNC TPIFVPNAPSLSDLFRDVTQQSGLTPSQITVVEAHGTGTPVGDPAEYDSIRQVLGGPV RSKPLHLGSVKGLIGHTECTSGVISLIKVLLMIQEGYIPPQASYSSLNPGIKATPSDQ MQISTTLQPWEDSFKAALINNYGASGSNASMVVTQAPSRITIETLSSRKDSVRLPIWI AALDDRSLRAYSARLRQFLSVNTISPKRRSVTNLAFNLSHQSNRALDKALIFSCQSVE EIEQKLRAFEAGDTSVASIPRPAQRPVILCFGGQVSTFVGLDKQVYESVTILRKYLDE VDQVCRSIQVESLYPGIFRRTPFEDPVQLQTKLFALQYASARSWIDCGVHPVAVVGHS FGELTALCVSGVLTLEDAVKMIADRARIIRESWGADKGSMMAVEAEQSVVERLLAESH KACPEERAATIACFNGPRSFTLAGSAKAIDAVQETIRSSFSSMKNKRLNVTNAFHSTL VEPLMNELEHSAKGLTFYEPKIHLAMARENQRAETKLTAKYVADHMRDPVYFNHAVQH LNEQYPSALWLEAGSNSTITTMAGRALGIPATAHFQAVNLTSENGSLQSLTDITVSLW KAGLPVTFWTHHASQSSEYAPVILPPYQFDKVKHWLDLKKPPKAVQEQPAAQPQIQDE LPKSLLTFFGYQDGSKQRSAKWRINTMIPKYGEMVSGHKIAQTAPICPATLEVDIAVE ALRGLRPDFSAAHFQPRIQNVDNQAPLCVDHSRSVWLEADAQDKDCNAWTWKIVSTDS GKNATTIHVTGQIAFNSMEDPQVQSEFGRYERLVGHQRCSRLLNSSDADDIIQGRNIY KTFAEIVDYGQMYRGLQKLVGKDYESAGRVVKKPSGETWLDTHLSDCFCQVGGIWVNC MTDREPQTMYIANGFEQWIRSPKLDSDRPRPEIWDVFAYHHRSSDKAIITDIFIFDAA TGVLTEVILGINYAQVPKLSMSRLLARLSPDISAPAASAPAPAPAKIDAPAISAPVQA NPAKAPKPKKEKKTAAKPNIAGKVKAVLADLAGLEIADIKDDAELADLGIDSLMGMEL SKEIETSFQCTIPVDDLAHVTDFPGLLKCLWSIVGGADESENEEDEKESSGVDELLDT PGVSTPATSVASVPEVDIIAYLAEFLGLGEGDIAPGTLLRDLGIDSLLSTELRSDLEG KFEIHLPHDEPIEELSIEQLDAAINGHPGSASPVEAEKPVAPTAPVNMTVPSNSGGNG LNLPVQTVLEAFGETKQLTDKFIEDYRCADYMDTVNPKQTQLCVALTVEAFEQMGCPL KTATPGQRLDRIQYLPQHQRLADYLYEMLEKEARLIDIDGDRITRTAITIPTKSSEAI LQDLRQQYPDHDFANRLTYFTGTRLKDVLTGNMNGIKLIFGSEEGRELVSGLYGDSLL NKLSYKQMEDFFSRLSSKLPPNHGPLKILEMGAGTGGTTKWVVPLLANLNVPVEYTFT DLSPSFVAMARKKFKAYPFMTFRAHDIEKPPADDLVGTQHIVLASNAVHATHSLIDST KNIRRFLRPDGFLMMLEMTQTVYWIDMIFGLLEGWWLFDDGRRHAISHESRWERDLHS VGFGHVDWTDGHRPEVKLQKIFIALASGPRYDALPIVPRPENKSFPTDNSARAVAVDE YVRKHTSGFAILESHQSAITEISGHFILLTGATGSLGSHLAMHLASLPTVEKVVCLNR RSVGAEAKQRQLDAFKSRGIPIQQNALSKLQVIEVDTAKPLLGLPREEYDHLTRSATH ILHNAWPMSGKRPLKGFELQFQVMRNLINLAAEASSHRPAKVTFQLISSIATVGHYPL QTGQVLVPEERMAIDSVLPNGYGDAKYVCERMLDETLHKRSDRFRPMAVRLGQIAGSS ISGYWNHMEHLSFLIKSSQTLQALPDFDGDLCWTPVDQVAGTLSDLLLGESTPYPIYH IDNPVRQPWKEMIPILADALDIPRKNIIPFSEWVRRVRQFPGHVEWDNPAAKLIEFLD DNFLRMSCGGLLLDTVKSIEHSETLAAVGPVDEDTARKYIRYWKEIGFLN ACHE_70152A MISDSSVDKDTPRPSRQRPGSACDECRRRKLRCDRGQPKCEVCA LSGVVCNFNSSRPPRGPKRGQIRAMQSRIATLERHILEQQSGLLLPADELPVTQLSNE DKDPQIPGNPEPQYRDREGEWGTPDWAGGCIPDLIRAELDQLYFDRVHVSIPILHQRR YFCMVKQATRPEGFSCLQYAMWTLAASLSAQFHQLQWLLYKYTVEKLEAIERDSGGHD CASIQQAQAWILLAVYECIQMNHQRGWISAGRSFRLVQFLRLYEIDSPESLGSSADWI EMEEKRRTFWMAYCLDRFTSFQNGFPLTLNEQVISTRVPAPELDFQSGQPVSMGFLSQ VMASTDQPSLSSFAECIIMATICGRCLCHRQQSSVERIYGDMSLEFWDRHLWLDTILQ QRIQVLSLQYPSTSEHVDSLILFTNLMLQAIVLYLYKIVVSVPWDTDESEALILEYKN RSSAAAQGIITFTKPLRQLTYFKICPFTAIPLFLCAEYFLTNREGDVALDSDFQDILD VLRDLKNVNNLAQMYLALFDLDCVPPTPSSS ACHE_70153S MVGRTNTEDVTNLHLPRILCLHGGGTNARIFRAQCRVLEAQLRT NFRLCYAEAPFPSNAGPDVLSVYKDWGPFKRWLRWLPGHPEIDAQTAIEEIERSLYAA MDEDDLKGATGEWVGLLGFSQGAKMCASLLFRQQMQMATVTRNDSPWPQWRFAVLLAG RAPLVSLDPMLMGTGLHLADPSQIGTYMPISFYTGPENTLKLPTIHVHGLQDPGLQLH RQLLDICCDPESTRLIEWDGNHRVPIKTKDVTAVVQAIVDLGIETGCFDV ACHE_70154A MPPPRGTPNILEGPGDYDVTSIVHNDTYPAIYPTKTNFSGKSVF ASGASKGLGRAMILSFAKAGASFIAAGARSDMSQLAKDVEAAALSANRPTPTFLPVKM DVTDRKSVEDAAAEVEKAFGKLDIVINNAGILGKFGLITDSNPDEWWQVLDVNIRGPY LVSRSFLPLLLKGEDKYLINVASVAAHLLNPTLSAYQVSKMGLVKLTQLINAEYSGQG VISFAVHPGNSPTDIMGGPEGLTDHEKTIFVETPEISADTLVFLTSQKRTWLGGRYIN CTWDMPELMAKEEEIVKEDKLKVKFLF ACHE_70155S MPGVITDQSFDIAIVGGGIIGLVVAMGLMKRNINVKIYEQSRSF REIGAGVAFTANAIRCMGMLNPDIVTALRKVTTANGDPKNPNDYLQYVDGYSHDPNDP DNMEEEVLFKLYAGYKGFEGCHRAHLLDELVKFIPEGTVEFRKRLDTYVDRGEDQKLL LKFCDGTTVEFDAVIGCDGIKSRVREILLGEGNPASYPHYSHKVAFRALIPMDKAEAA LGSYKARNQHMHMGPGAHVLHFAVASQTLMNFVAFAPDPNEWLSDKIMTAPATKEEVV KIFSDWGPTVRAIVNLLPEEFDKWAIFDTYDYPAPTYTKGRVCLAGDAAHASSPHHGA GAGIGVEDALAMAQVLEMAAETLQSTDMSKAQVLRSAFETYDAVRRERSQWLVHSSRN ICDVYEWNNPKTGSNPEKCLEEIKWRSHKIWYFNIEGMLLETRKEFDSRIAA ACHE_70156A MSVSNSGVWLLESGGQFVVKEAPFSKPGDDEVLIQNKAVAINPM DWKIQAFGPHLPFPAHYPFIIGSDVAGEVYEVGKDVTAFKKGDRVIGLANWFLTNDVK DSAFQHYSICKASVVAALPDNISFEAGSVLPLGLSTAAMGVYPAGRLELPLPDATRPS TIDKVVLIWGGSSSTGSAAIQLAVASGATVIATASEKNYDFVRSLGAAAVFDYRKDTI TEDLVQAIKDTPGDFVGALDAIAEEKTWRACADVVKGLGGGRVVSNLPVGFTNVPEGV EVVGVYDTAHLSNNKEIVEAVWGKFVPVALKNGSLKPVPEPLVIGKGLEKIPEGIALC QQGISAGKVVVDL ACHE_70157S MDQKDPEAGHPRRLSWFRIVFDQAVLTSEIIDHPYPGSGTPDDP YLVGWLENDPRDPMQFPAWIKWSLTQVLAFSILAVSFVSSAYSGGISEVMKSLGGSQE ANVLGVSIFVLGFASGPFLWGPLSELYGRQYLFFITYMVHTAFNAGGAGAKNLQTLLV LRFFSGVFGASPLTNAGGAIADMFAASERGLAMTLFALAPFLGPVIGPVVGGFVGETV GWRWLMGVMTIFTGIVWIIGALILPETYAPVLLRRRARKLSEISGKVYISRSDVGQGK AKPSVLFRNALARPWALLFLEPIVLLLSIYMAIVFGTLYLLFAAFPVVFQHGRGWSPG IGGLAFLGVAVGMMGAIAYALWDNKRYIRAGQRDPSGFAPPEARLPVCMIGGIAIPVG LFWFAWTNSPSIHWMVSIAAGVPFGFGIVLIFLGITNYLVDAYTIFAASVLAAGAILR ALFAFAFPLFTTYMYRNLGIHWASSVPAFLSILCLPFPFLLYKYGPAIRRRCKYAAEA DLVLRKIRGLAPAQQSAPTGVTNPLASSEPSSAGENAG ACHE_70158A MLGWTETSPGVFKKDFDGAEKIYRKILQSFAHYGKEHWCLHCIC SLRFDPSVHSPESTAGELRNAWKLVRFEFPGLSIIPDDLTKVYTIPTAAAVEQWADET FFVETEKQPDQVLSTIQLPRELPSLYYFPSSSEILFVSSHWRIDAIGTCMLLDRFFTI FVEQPGKTKYEIQWEKEIDNMSPSMEDAAGSATVYTPEIEDLAQKAIAKHQEALKTAG LPYHGDKTTIPGDSSRQAFAFTKESTRNLVSACRAKGITVTAAIHSALAETIFLLGPS EHQDLDHTAVMSVNIRKYLPSPYNSPSHACQTYVTGITPRARRHSSFLDRAAALKEEY KSWYSDLYLHSLRLIYKYHGDALFAPPKPDAAPPSGVFMSSLGVIEPYLRGDYGSLQV EEFRFGVSMITRQMIVYAWTFHNQLVLSVNYNEAYYNKDLVQDVLEKTRSCLETELGV KCETVCI ACHE_70159A MKSLAAFSSLATLTLAASQFDTSSYNPSDIITRDVAVIGGGSSG TFGAFKLKDRGKSVVVIESQGQLGGHIETYTDPATGATLDYGVHEFWNISLVTDFFAR FNVPIEDFVFPSEPAIYADFKTGQVLSNFTVGSNFTAYIEQLDRYPDLAYGWDLTYPV ADDLLLPFGDFITKYGLEAEAYNMFTLLPGVGNILEQSTVNIFKGITKILFDSEAGSS IAVTNTNNQLLYEKAQAALGTDALLNSTVINAQRPTDNSNCTLVVMTPTGNKLIVAKH ILVTIPLLLDNMQSFGLDSDESSLFQQFNYTAWYPGLIRNTGLSFSNRYLNVGANTLY NIPELPGIYTLIPTKEDGVFLYWYGAQNALTESEVKDSITSSIKALGGVAPEFMVFGN HTPYELVVPVDAIKNGFYKDLNALQGYRNTWYTGGLFVGASGPLWNFTNTLVGQMTA ACHE_70160S MCDFSRYQDVIGQLPLLKSYSHLCLSFPIEDSSPRDEIANKLQA AALKLTTAIPLLAGKVINQGRGPGNSGHFKAVPCSLWTSPNTIVRFKDCSDVCPSHDE LVKAKGPVTMLGGDILCPRKAFPASYEETDSDPAPVVAIQANFIKGGLLLDCAAQHNM IDMSGIEQVLRLLATLMRGEEIPPLAIEQGNRDRRNMIPLLKSTEPLRDHSHLRRAKP GEKQTALVEPDSPYRWCNFRFSSASQARLKALASKPSEHNSAVSFISTNDALSAFCWQ RIITARQHRRRSPTTISKFCRAVDCRRALGVPKEYMGHMVQIATSYLTFQELETLPLA AVASVLRESVNDVNNEYTVRSWTTLIANEPDKSTIVFGGKFNPDTDVGSSSWAHVNLC REEFGTLGKPSLIRRPNFIPLRSDVYFLPHTEAGDIDALVCVNELDFEALTVDGEWNT YTEYIG ACHE_70161S MPRPRNTVASTRANRNETNNDDTNRNEANTNTERENRQNPILID GDENEEQRMMTLEEFLQYASEEPEWLYEKLQATHQRYDDSLDDHKVRLAEEELRGQTK DGEIALLRRETEEMKGQLQDIKKQLTDVTAERDAFGSQIARLVMDSASGRRASPMPIN SKSTKIPDPPMLTDGKEPRFEDWLLLMSQKLTANADHFDTSQLRIAYVASRCDGKARK HITPRM ACHE_70162A MFDAVRRYRERGGTEVELSEFIHSRRPHYGVNFFVSGYDTPQPC FLGATEQVVTKNGVWVGVIIDYRVQEDLERRLQQTIAAVARTLRKSSYMGWVGIDLIF DLNDQPLVVDLNARIAAGIGVVLFSRHFLSMGFPFAQMETVSFCGPASVPYDAMSAEI ESGQVIVTLSAEVTETESVASVVFGGRTREDLHTVGDWIRDVLPACFKT ACHE_70163S MDLHGTHTGNNILKNADRLFAIEQELFDLSLEEKQRYDFSKEGS YFGYKAQGASVVDKKGNLDRNEFYNVSKDDIVGVSTPLPAPDVIHRERATLKSFIENA HSIVGIVLRILNQHPQLPSSTLTNLHRLRGVSGDQVRFIKAPPQPKDDKQTALGEHTD FGSVTILFNRVGGLQVLPPGQGAEWCYVRPLPGHAIINLGDAMVKFTNGLLRSNIHRV VSPPGAQTNSTRYSLVYFARPEDEVKLKRLDGSTCIPPLPEGVVEEDINSKDWIKRRA LGNRVALHKEIDFDKFMGTEQQSRRLA ACHE_70164A MAWFPFNLRSSAPILMVSSFLAALAFSIGHHAFYQRLNDKSVLN SSPFSLTKSYHVSDQQVNVSVGTFFAFLVKALLGVAVSTVFDQFAWKSIKDHTSRIGS IDDLFSVLKNGFMILNFPLWRHYPVSMLLACIAWLLPVASIITPATLNVHMASFANST STKVPRVDFANINFSNLKLINGSGTDALHYSDSTPEVQQIVYSTAMQKTVLPITPPFS NSSWVLDFSGPALGCEHADDKLRRDVMENVRSTIINATFVDVNKTLLASSFGYLSWMP EGYGYGSLPFIQSYVDEGLYNELPGTVYNMFPGKYDSQIMGPLQLYMVTLPHMLAQGD LSNADLNRTTEDATFLTCTLYNASYTANFTYFNGQQNIQLRKVERLNKVDYLSDMYTY SGSGDLAGIHNRTAMETFSYQSIMAQFGSLLTGNINKETYHVKDTTRLGGNGRTIHST NSSSIRIDRTSVMTTGLSNTNEFSTLRMAIYEDNHGDSLSNFWREQSTIQPNNTSIPL SDALEQLFQNITLSMMSSSVFQPNYSMSDIPDVNVTMITYRNIYAYSQSILWTAYGTA LAVSFLSVILGVIIFFVNHGSYSSKFSTVMRTTRTADISTGLNAEDAKGIDPTPGHIN NANIYFNDGPNQKLGRSVTNVEPNAEKEVPETVERTRTAEVSEPTEAVLDGGLSPVSD QESRPPSWFVEMQRDHGADH ACHE_70165A MGGAKKKGVVGAASPWPDHSYNHKDHDQCAHAAAILTETAELKQ IADRTQGLPPRDLFIRFMSSVEDLAAKVRDRQSNNGSEDHNGQKEALQQIQNMLNKQT EEIKTLQHSTQAPKVIHSPPSYTPS ACHE_70166S MGRQYLKARAVLSEKGATPSVDVPGIVREVIETIRKDGDKAVTK YSEKFDKWSPQSFKLSQSDIDAAIANCSKQTLDDIKEVQQNVRLFAEAQKASLKDFEV EIKPGVHLGQKNVPIDNVGAYIPGGRYPLLASAHMTILTAKVAGVKHVIGCTPPVNGQ IPNSTVAAMHLAGVDEIFLLGGVQAVAAMAVGTESIQKVDFIAGPGNAFVAEGKRQLF GEIGIDLFAGPTEILVLADETADPFTIATDLVSQAEHGPDTPAVLITTSPDVGWKAIE AVNQLLGFSDLSTAGVAKTSWEAFGEVIVVDSMDDLWQLANHYASEHVQVFTRNPRDA LVRMTNYGTLFLGERTCVSYGDKVIGTNHVLPTRSTARYTGGLWVGKYLKTCTYQEVT SEKASGDLGRLCGRAARAENFEAHARSGDLRAAQYTSDNFEWIQKTKARM ACHE_70167A MSPLNHNDLPTRPAPAKSGAILDNKESKLTQLEKSELALADKYS SPDVYISGEADTCWHPWTANLELKPLRFETRTGTFVVVLRSLEDTWLGKHRHRGTVTA VTLNGEWNYKEYDWIAKPGDYVVENPGTIHTLHMNKGAEVLFTVSGSLEFFHDDDSLK NTMDVFSYAHMYYEHCKAQGLKPNDGLWY ACHE_70168S MRCQSAGQNSGSGADAVSPSLSPLSPGFSEWPPALPSQSLQLLG MSPKPLTSEDARFLRLFSAEVGQWMDLSDLSQTFSRKICRLAMHDPLLKAAIIACAAK QQYLTGRLFDGMLIARKNYNTAISLLIDRLQESEQPFAGFGFAATVICSCYEMLDATG RDWQKHLDGVFSFSQVRSVNGSSGGIEQAGFWSIARQEVVCSIINKSRLRLDPDLWAV DLVRIGQEGCEDLMNNQILTILAKVANAIAHWEADKPRKLRAVDEWKSLWDLLNHWER SVTAKGFMDPVLYKEDGQLFTTIWFTRGVCASSWQMFHLTRILLLSIDPSQTLDCVAA FRNIEGKLQYHARQICGIAQSKPEGSCRVNSVQPLHYAGCCFSNTDERNAVALLLESI EEDLGWAAKYRANDLYRQWGWRRDF ACHE_70169S MRWFSHREAVAAASVLSLATQSQVAVAESANGNAVVANGTSFAL NGDNVSYIFHIDPVTGDLISDHFGGSVTGAIPAAAEPIVNGWVGRPGRIRREFPDQGR GDFRIPAVRIRQSAGYTISDLQYQSHTIVQGKPGLPGLPATFGSEEDVTTLVVHLHDN YSSVGADLSYSIFPKYDAIVRSVNITNHGEENITIEALSSLSVDLPYEELEMIYLRGD WAREAHRERRKVEYGLQGFGSSTGFSSHLHNPFLSLVHPSTTESQGEAWGFSLIYTGS FSVDVEKGSQGFTRALLGLNPSQLSWTLRPGETLTSPECVSVYSATGIGGMSRSLHRL YRKHLIKSKFATSDRPALLNSWEGLGASINASNVYKLAEESAALGIKLFAMDDGWFGN EYPRNDDTAGLGDWQVNRAKFPDGLGPLVNNVTALKAANTSTPLRFGIWVEPEMVNPN STLYHKHPDWALHAGDYPRTLHRNQLVLNVALPEVQEFIIDSMTRLLKSADISYVKWD HNRGMHEAPSPSTDHAYMLGLYHVFETLTSRFPDVLWEGCASGGGRFDPGVLQYFPQI WTSDDTDGAERVAIQMGTSLAYPPSAMGAHISAVPNQQSLRSVPVSFRGHVAMMGGSF GLELNPDELHEDEKTVLPGLIQLAEKVNPIVLEGDMWRLALPEESNWPAVLFISGDGT RAVLFAFQMRANIDNSSPWVRLEGLDAKAEYRVDGGSVYTGQTLMNMGLQFVFEGDYE SRVVMLERV ACHE_70170S MKFSSILTGSLLASAALAAPLTEKRQARHEARRANKQRHSNPPL IPGTSKEVLKVTNTTQAQYSSNWAGAVLIGSGYTTVTGEFTVPSVSAPSSGAGGFGLG EESCASAWVGIDGDTCETAILQTGVDFCYQGGQASYSAWYEWYPDYAYDFSGITISEG DSIRVTVEASTESTGTATVENLTNGQSVTHRFTGGTQGDLCETNAEWIVEDFESGGSL VSFADFGTVTFTNAEATSNGRTVGPSGATIMDIRQNGQVLTEASVSSDSVTVSYV ACHE_70171A MAPHEGLVHPKEYDIKNSNVELIGTDIDHRVKYNSAASEPAWNN GKIGQEPGLFIWRIENFQVVPWPKERLGEFYEGDSYIVLHSYKVGDADKLGHDIFFWL GNKTTQDEAGTAAYKTVELDEFLHGAATQHREVQRHPSEEFVSLFRRISIKSGGVASG FRHVEEEEPKEVLTLLRIFKHPGAGRIDSIIVHEVEPTWQSLDDGDVFVLDKGDKIWV WQGKSCSPMEKAKAAQVVNDLILAKHVDVEVLSQLEPRSKIIVDLLGGKEVNQLSFQA PRPVSFPKKTGDDNIDARPRKLFRFSDSSGALSFDLVKDGGRIRQSDFDGNDVFLYDS GNRLWVWQGLGASAREKALWIKVAQAYLRQLQESQESSEAYLTPIAKVVQGHESPAFA KALEV ACHE_70172S MRKEGKRTWWCIYSHEVEMCCSSGRLDSMKDLDYYQVPLPTLKT TPGHEQDPDTEDNDVAMIPVMVALAQIMTKASHHLYHSNKRSMHERSRIAMDLDRRLL AWKEGLPAFLNVDKASLNDPEWAFKQKLVLRLRFYNTRILIHRPFLVASTSTSTSTST SEQISYLNHIHLCLAAAQSSIQMQYESFLHRIYIRTWWYNTTYALYSSMILLYLILAG VPDIPEEELLKDVEKSLDIFESMRNVVVARRCAEMIREVMDVTRECLRRRPADHAQVL RPQSQQQQQMQMQPTSPSLILGTGTGTGPTVMANESSALHQNYSNATTLNNYNFDSHP QAFITGLNPIATAVLTAIPLYIKQL ACHE_70173A MEWITAGRILSWTEFYGNSSNLAFLGNLYARARKHRAPHVSGDG YFYGDFQSTAGPSQAYAEDRNKDSTKATDRTQLSIVNLLYNPSYPSNSSSQSPWESEK DKDKTVQTDNPETPSNNIILGSGIAPVNQLANESQLEIEKTFISSYFSNKHYIHPMLC KSSFLRRCEREAFNLSRRKSFACGSSNFAGLYFAVVALGAINASPDETSLLDHYCTYS PDPRRPGTGSVGGYSALDFANFYFGAAKRALGDVSESCSLESAQALMLLVCLRLWWFV GLC ACHE_70174A MAAVITLQSSSAAGPAVPSFQQQQQQQPQSQPHSPDSQPSHRRR LSRAQQQQDGPPSTPLSPVSSSTLTASAARAPGRDGPSCDACLRRKSRCAMNEMVNKC YSCDFHRQECTFNLSSGAARPNTAEPLSKKRKLEDSVPEDAESVKRSSTVAATKQGQG HYLVPGFFDQSIQYIGLTTEFEPALLDHVPLDQNNEGIVSNFRLRKLGDDTYIRVRNT MPDSVSVDAIESLVAPYGATLIEKFFEYVHPTFPILMEDTFRQTYRTRKNLSPLLLAA VYVLTLKFVDMPASQSVRRPDVARLESTALKLLTESLPRATVATIQAGLLLMEKSTVA TRALNAQLVTAGFELGLHQDCTHWRMDTWEKGLRKRLAWALYMQDKWSSLVHGRPSHI FAWNWTVKDLVEQDFVDAFHADSNQDDTSVGHGPLYFCHMVALTTILSDILDRFYTLQ AIEDFKASGGNRTRMILERAKPAQIRLKEWFARLPPQLKMDTSGDLFDTITEENARNG ALHLSYFATEITLHRCIIRSLSPETADAYLSHICRSAAKTRLISAMDFVNRLRPAHLR SFWPSASRHNFTLIGSFGILLRVTAPTKEESEFYRLRLCEYRWTLSVSRKNAEFLDFS LDSLDNATNLDRHVPDKPAIDELMHSAVKPTITSRPVPVIALDDPDPMLEAGGTSSVI SGLASPATSVSDESLRDTPIPPL ACHE_70175A MSVQLPPATHRKRALPQGELEAASTLKLGADQNTHTLSLSEARL VINKVLENKRRGGKKYEEPENLTKTMDYLEVFARFKDEENIKAVERLLNSHTELEMFE RSQLGSLCCDNAEEAKSLIPSLQNKISDGDLQELLDELTKLRNFTE ACHE_70176A MAPKTSTDESNPPPPSNDLPEMFRPPINRAMRVLDRSFFQKKIP LSAAAIYKASDISNVRKELLKSRDMLALPRLNSIREVKDEGEVRRGILLREDVRHDDA ATWSPKINELVEKGTVGLRPFDLELDYDYWNYSDIIASILPEDLLDEIPQGFTQVGHV LHLNLREQYLPYKHLIAEILKDKNSTIRTVINKIEDVGSHSEFRTFPFEFLAGDNDTN VTVHEQDCKFCFDYARVYYNSRLETEHRRLVNKFHPGEMVCDVMAGVGPFAVPAGKKK IFVWANDLNPHGTEAMQEAVKTNHVDQFVLPFNKDGRLFIPWSAKELLQSDPLTVSLK PKRPRKPEETPRHPEEYTRPSIFNHYVMNLPATAIDFLDAFAGVYAGHESLFTAQQPL PMIHVYCFSGHSENERDDHVDICQRISEKLGYTITPEYPELEIHNVRLVSPKKQMFCA SFRLPAEVAFRTV ACHE_70177A MAFKPAQHIDILIVGAGFGGIGQAYALRNLGLCIKVIDSLPDVG GTWLTNIYPGALSDTESFVYRFSWDAEDLQTYPWNRRYLRQPEILAYLRHFVERHDLR KYMQFNTELQSAKWMEETGVWEVRVNTGEIYWSRYFIPAMGVLSKPNFPDIPGIESFK GRITHTSHWPADLDVTNKRVGVLGCGASGVQVITNIAPHVSSLTAFIRRPQYTLPSND RPISPAYRIWVNKHYDQIWAQLKTSFAGFGYVESNRPYFSVDPHRREQLLEDLWNNGN GLRFMVEHFCDITTNADANDAICDFIRRKIYQIVNDPEKARKLIPNERFARRPISNDG YYETFNRENVSIVDLKETPIEEITTDGIRTADGICHTLDVIILATGFDAVEGNLIRAD IVGRDGIALKDAWAKGPKSYLGSFAAGLPNMFMVNGPKGAFGNVIPAIEASVEFITNA IQRAECARTEHGSRGIVEATLEAEGEWGERCEQASKGSLFSEVKGSWLSGGNVPGKPV GVRTYLGGLGNYRAMGNSATKDAWKGFELF ACHE_70178S MARLRQLEDTVEYLRHPVTSGANGENCAREEAGSEKCPYSYTDP KTVLRGHDGKEEFGRLVVEDGCCRYVSNRLWASLSDQIAGLYDLLEQPSPCVDDDSDV AHPSNKSHDAFIFGYNSVAQSLVNYHPNAVQLSVLLNAYKQNVAPLLRILHPQTIQKV IYRSHRVQDEISECLLFALCFSAAVSMSSEECELLLLEKKEVVVDHFRFATEQACPRA RIMSSKSLTLLQAIVLYLASLRSVGETEFAWTMTSTAVRLAFGLGLHRDGSNFGLEPF EAEMRRRLWWYICILDVQTAEDLGTDPMLHDIFFDTKLPLNINDEDIYEGMKNLLQER VGCTDMTYFLLQCEVALATRRLTYNPPGNICPGLKSIEERQYLVQDLEKRLDERYVRH CDPTIPLQLACSKIVRIAVAKLWLVIYQPLNKQESITSLPPDTQSMLLSNAVEVIELS HRLQTNDTMARWKWEFQTCVPWYAVAYLLAQLCNSPNSPPLEQSWCRVSDIFNQWKRK AVEENKPLWQPLPRLMAQATASREKQRNEERQTHVNLSHTGFQSQVSETTTPEIIEDS LAPVDYSAIEQILEHTGPALHTFPDFSEIDGLNVPHIGDLDIASSGSGIFSYSIPSTI TFLDQNSRGSIDTSPFSSQDHPVREIDQPYYWKP ACHE_70179S MASGSRGHNASELGEIDVSESSIDGMGAMKFTDEEDCGFFGPSS NIAFMRYISRAIAKASPHRDPTLNPSTPQRECGRKVSVAKSRPPSRGPELGAENLIPS PAVNIYALPSEERTWSLIQQYFYKTGQLLPFIHERSFCETYFQMKRERFSKVRRTWLG LLNIVLAIAASLSTNGDMPAEKRIQESDVYYQRANGLCDRDSRRNASLEMVQYLLILG QYLQGTQKSVQAWTAHGLAISAAYQLGLHSPDANRGFPPLECEVRKRTWFGCVLLDRT LSMTFGRPCAIPESYVKLDMPSQDLQMLSLTAETETHPTLDGSFFTAAIKLYVILYSV LELCYGANLGFEVSTNSIDAMSGILEGQRRLDEWRLQLLPSLGLKVWDIPTSREDVEK MDAGSVIRHRFNVVLSVRYNNLRILLHRRCLESLLDSFQTQDNTMVTSETRLLQHMGL GSVVSCVESAVSIISTVYNMSSGSGWRREFLGAWNYSLYYSRSSV ACHE_70180S MAPNSPTVRRPDFLHLIEQHVHVGIVGAGIGGLAAAIAFRRAGV DVTVLEAAEELLEIGAGIQMTPNVSVLLQRWGVADVIGDNLVQIEELNMRRKDGTIVG HTDIPVVERALGRPWWLVHRAHLHDGLAVVAKRLEAVIHINSRVVSLEYQAGGEVTVK TQKGDTYQFDLCVGADGVNSIVRRTLFPEVRPDAKTTNCAYRAVIPYERIRQDAIAKE IIQKQTMEVWMGHNAYIITYPISGGEVFNLVLSHHRPEKVYATQPDVAIEELRNEYKS FDPRIKRIVDMIPETSRWPLLVTGPLKSWSSPQKNIVLMGDAAHSMVNHMAQGAATSM EDGAFLAKCVGAVALGNLSLREAINIYEVERMPKAFLKQQVSFLNGAIWHLPDGPKQQ ARDAAMAPELEGKYQVRSSNLYGDPQTVLDVYGYDAEIHAEEALVQFANGGSVVYPGT GIVPGLEDKYMGWFMKLPANQPHPRL ACHE_70181S MAATVQLSNESIAVPHPYYPQTIHLPHYVRNETSVFSLIAQFGF LWTAVIGLAFVFIRRIRPTASRSDQVAFTWMCLTGFIHLFFEAYFVVNHETLASSQGL FGQLWKEYSLSDSRYLTSDAFVVCMEAITAFAWGFLAFYIAYCIAVEHPARYALQLII SVGQVYGDILYYATSLLDVSYCRPEGYYFWFYYFFFNFIWMVVGCCEYCPSLRLTSKS ILTGLDYIKQSVVEISRAFGRLAEGDALRKAK ACHE_70182S MIRVICGLDPDVELMRAVTRQSRWRDTRRPRYLTKQQRDQIEDH PDLERARRNLHSARAHYEESQQPSLLNRIRQRQKELKNTRQRLLRALRHQNRENFDEE QAFLDIEAQLSNTAVKDESKDGESSLQDDMHPLQLLLLQRLLSYPTSNSIEDE ACHE_70183A MNRLVSPNCLKCPTFHAGRCSGTAKRLLATEASPLTRKDKQEGD ISSVFSTFSGRKSAPLPDRFRELKRNLTTGFEEEIQKSWDDLVETLKVRTEEVASKRE SIIPQLKFSDIQSGNVSPASVEAIRRTGVAVIRGVVPAGVAEGLLSDVRQYFDAHPFK GFPSDAEKKVIYESYWSPSQVKARSHPNMLATQSWMNQLYSADPDQKIDLSVPLSYCD RVQIRPPGDKHFGLPPHVDGGGVERWEDRSYNHVYRKIFQGKWHEYDPWDLTGRLDAN MNMYEGPGGCSVFRTFQSWLGLSRHGPQQGTLVVHPILQPTTAYWMLRPFFKPTRKGS LDGWKFSLDDEEGDVYLHGANPGTAQEHTPDRHPHLMLSETMIPYPTVEPGDTVFWSA DTIHGTESDNTGDNDACVFYIPSVPLTPNNAQYVAQQRDAFLKGVPPPDFPGGLGESQ FSDRAQVGDIQSEAGRMAMGLSPMKVNGKNEKLAHEVNKILGY ACHE_70184S MALESTENVLPPVSVQEVLVDIYFSRINTLLPLVDEEAFRAQFS MEILPPQLIQAICLVATKESGENLGALRLGEGTDLLGIENFKNTLYNLLVQCISRKES RRTVTQIQVHALLSLHTSGPDSTEDASLHLMQAIHHAQTLGLHLINKTNEHQDKAHIL LFWSLWSLDRWNAAINGRPVLIGNSDMQPNMNEAFQCGRAPFRIWLRLAETLDQVIGF YRPTSKLSLFHESTFTGFEQIVEEAQGYLIPKSTLISLELLYHGISILSCGGQKGVTI SNSMKIRESFATTQIVSIIHSNHAKTLLALPMIPYSISLSLCWSYNKLRKSKLLTTRH MARSALETCCRVLSEQKMLWWFAGVISRLGQRALDELGQDPSAAASSEIQEEHFDLRY GQQITSTGYEQTFCQPELPDAFRPGEGNRGLTLQESVENNMGHSSVGSIGDFHRMLLD LNMPLMSGDLF ACHE_70185A MATNAETLFDYLGKRYEDAYADSPNLVAFLESAIKELPAQSRVL DVGCGTGKPAADMLASAGHQVQGIDVSQEMVRIASSQVSGKFQKADMRTFTPDAPLDA VFVIFSLYQLTPGETYSMCYRLSEWLKPGGIVVVGTTLSSSVPPGQGVRDRTWNCVRQ LGKPWMDNHTNETFFSEHGWQRLLRRAGFDVESEAHYEFMPKDSSHKAPETHHLLLAR KVESEPLFGPYGVPFDNHNTLPTASRYKFTDRLVSEDLEKQFQSWGEGDQKILSLGCI TADEKPGVQYSDGPIDRLPFPSGMFHVVLASWKLDYADDISKALQELIRVTKRKAGSK IVIIQGAPDNEVLQFLKSDFGDPPHQGLQLRSAWDRLAEHGFSDLSLQRIHAHYEFPE EDRSERCKAATEFLAGNPYCQVDKEDLVDRLQLHFQGDENKIDYGMVMLVASAV ACHE_70186S MRLLSLVLLALHGVQAAFIRRLECDLADIPSSPIDPVFQPLSLS GGLDESNVLFLKLSGDYPGPDGCELLNGAIAKVLVDVKVLGRTVGYQIESNGSCPTVS PIGHLRSDPRTYTNYESFYSLDGAYQLHTLATTIRLQLNNGSDAACVAAHITPDIGPL ASNLLKSLPLAIMLISGIIAAGIRIYRNRGSSIFRYEIANSANDPAECFFPGMGSCLQ YIQFVFMTGCLTLSYPGFFKPIVSQLAWSSLYYANGPITHEFTYPGIGDGIYTMNGTY GLELMAQTLGATTVGDLWINSTINLAVMLVGIVAVVQYATLVKWMRNIIPFWKTPGGL DLRAEFLTRIQHTGWSVLRTMLQYYLLPIVTFSLYQPLMATFFPVYRTFLAVMLVALL AVTLGLVVRYLGIQNRQEIFFADSILSKQTTRSWLLDALHGIPLIQGVAIGGLQVSGV GQIIVLAACEIFLIIVLALHYQRHTSLWKPVAFPAVRLTTILISCAFLPAAGLSEGTK GYLGYSILFLHAAALLFGFLATAVVDLARLVLGTADPAGRPDSTPVFGLDQLSRRSKR RTTFGELPALSPDGISQSNHGICLKMLTNGLDQPTTTYIPHSSFRASSLEGSAATANT ESHYFYRPPRHNQTSSSPIDYGSRATMQSIPSSPSSPTPSSEIVQESHSPSMDLADME MEIDKPSDVDYSIREADQYYRHAAARTIVMGSPNPGQDSPSRPTGSGASLSLWMQRKG KKEKRFEVVRSRPSSE ACHE_70187A MKASRPISHDRHPAILILRVATIFASIVCVVAFAWMFRAHDEVY TDSVGSFLTLFPLIFTAYALFWSLLILLIRIFSSAIIHPAIYITFDLIAFGTVLGWCI TKLEFVASYGSRYSCNHGPPGSCDTVARALKGVEYFGCVVGILDS ACHE_70188A MLSPRKEPPHNASRNRAAASPRTSTAIPLNGLFINGTWCCNCPN RPPAIKLQTKNNGVNHGRWFWTCQQPQQNANQRCNFFLWANDAEVREKIVVLSNSKSE TETSTTEAGMNGPTTPSKRSRMSFGDKGDNGNGLLTPQTERKIRDFFTPVSGTRSARM TMIGEDDTELFNNDDDDIGTGKGMSFMDRLKQVQSGNAGEESPRKALRTATTTSPGRR KISDIVDNERLQTQQGLFGTPSQPQISPAQAQSFSPTQPLTQRSPSFSWTPNRAPPSS AEICTTPTPTKYKNVLTSSPSSSQTAQQRSDLANQAIFLLESQYVIIPHSTQEDLVEL LNKFDLRMKGISRGRDISREVLKKKEEEIMRLNERLKNLERQREMDGAALGGC ACHE_70189A MQNEKRQSVPQRKPVGGHPQVPAPPSQTTPPPPGQILTGRQEHY LKRELIAHQVRTEIAELNSPTALHRFGAPFKSEYGEVAPIDSELPILRYIFVHHVRNF PFLDQAREQEFWQDKLQIFLESFANKHVSSSEDRLEETKRRKLARKCEKLVELMMVSG IPTASGYEERIQFSEMEVVDRGANENGILANVPEGHAIHGWDVNVAAVRVRSVRRTVR HHQHAEFIIRVHREGQSDLFVGRRYGDFSKLYKQLQTEFPGKPLPTLPRKNKSSSTTS WWGSSTQDDDVSSISSFSMQEVGITQEENRSSRTLAPGNHHSHHRSLSRSSGRSGRSS LGRSKSPRPSSDESRETVLYREEQRVSLRAFLRTLLQHKRLSESKAMQEFLTGEPISL TEDDLVDIQRRKEVDSVRIEEQKRFYEIARQRAAELDVYMENFRRDIVESNGLTKLFA EIREKQTVADLSPQYQKFAEWLRIEIAATLYHLFLAEDNSPELFAQFKRIHSLVPYTI MKNVIRVANPAAVMSGVLDIFLAQPFGSRSLLQRIFSMTLNDGIKSFQKSIDALAAKV EDPILCQKLKAFTDADEDAKNEIRSEATAEDVDLIVAILRSELLTPELTPEQFERVFN GYVAWNYAVENVDQEMREGAHWFANMKQLLKLYTRQRDKAMMLSIVEEPVTLQLFRDL FTIFYEPLVRVYKSANVYSSITDFAHFADDAIAVIEKCQSQDASADPNQTVQAFIDLC ERHQDNFYKFIHEVHLHDNGLFGSLMSWIEGILEFLRHGPNGGKLDMNALIQGAVDVG QVDKNKALGEINSLIQWHEDRKRWHLNKTRQKMAAEGTGAEGFPSGSFKTSDFGLDEA DLEDLAISDAGSDDEDPEEADEREDLDPIAAERRRRVKKQDQLRRTAGEPVKPEVREV VKLKESFGVMLRLVLAE ACHE_70190A MASQAEPSRVHPRRRQMTTAPPSLASSSRIVPDGSSRPNLSLRK GETFHSPTTPPSGDRDPVVAFRTLPTRSPTCPKSLEAIVAGEERMSDILNRIDLNSTD SSNAMAQPQDDMPVPRSFLQRQTQSNALVRKADKHPMMHAPQCFSPPDSPAKAHHDHA SDSGLGSSISSSEGMMSEKGKVAAGQLSVQGFESSALTTQSAITSSISALQSNVSGKH SLSPLARKQIEALVMIPILREESLKPFHPLVRSIPQLVDNKEISCLRDIEKTLLWLAP QRATSGASYLRFCDFTIQCLHTSLYHINELDQRLPSDRPYTNGYFLDLVEQVRRHAAM INESRNKNRAAAKSRLALEGGMAKTGRPAELVAYKDGQAISMKTGETYNGSVLKRNLS VSSADEGAVRSMARRKKNAPPMDINQKCANCEKVFKRPCDLTKHEKTHTRPWKCSDKT CKYFEIGWPTEKERDRHINDKHSKSPCVYRCLFKPCPYQSKRESNCKQHMEKTHGWAY VRSKHNGKGLPKTTLATPQSSSMATPASASATLSTPATGSIQSPYEPATGPAYSNPQF SFANPPAQTGAGDFQLFPEASPYQGSSSSASAYTGGASDFDSFPTSTTGLDAFQSQLE NADPNGLIPPDMTNMPLDVAIPDFTGAAMGFGGSPMTSTDSSSLDLEWSNLGAQNFNN EFAATNMQMMTPQQVGGNAMQSYSEDPCMADPLAYTQFSQQFDEGFADLCDQNYQTSK FENDFPLFQNPTYPSEPMNNALAGMGDPNAMFPAQQQEQTGYYHQAWPAEVEINIY ACHE_70191S MRDIAAPILNTTTTFDRTMSMEPNFLPLSLLTFLTSRLKIPIQW FSHRNHNSADNTFPSQHNSPAQTSTQKNDYVGPDTLVTIVSHTQQEHISIANDHTWLG DSDAPGTLCATCQDVRLTA ACHE_70192S MAETYPIGTRGECERLVRQWGFQQVFTWSDGSNAYYSPHRHGDV TTHLVRHGTFTVNYPEDNTNGEVKKETFGPGARIDVPAGKLHEVWIGPEGCEYVIGE ACHE_70193A MAIEDTKSPTTGQESYDSKSPHDATVVASLTSLSDEERLGKAPD DCAFDTTENPEIYRPISTYEGIHRWDPYFQWEEDEEKKLIRKIDIRVCTFACVTFFAL QLDRGNIVQAMSDNMLDDLGMNTNDYNTGQTIFYLVFLFAELPSQLLSKKLGPDRWIP IQMVMWSFIAAFQAFLKNRTGFFICRALLGLIEGGFIPDTILFLSFWYKSRELPIRLS YFWVSYQGTSIIGAFLAFGFLHVRQSDGTGGWRYLFAFEGLITGIIGIIAMFWMPAGP TQTKGGFRGKDGWFNEREEKIMVNRVLRDDPSKGSMHNRQALTPKMLWRALSDYDLWP IYLLGLVWGIPNTPATNYITLQLKSLGFGTFESNLLTIPAYVIFIVNLLFWTWVSERF NQRMLLGVVCEVWCLALLIALEVLPADASAWGRWVDLVLLIGAPYVHAIIVAITSRNA GSVRTRTVATAMYNMTVQASSIISNNIYRDEDKPLYRTGNKVLIALAAFSAFLFVFTK YYYVWRNKSNETKWNAMSSEERKAYLLANPDAGNKRLDFRFSH ACHE_70194S MRNGGGSGLSGSTISGLMFPTTSSRLKNQIQLVPKGHFKMAPAP VESLPVRTTPIAQLKHDAGTNKENIIGYKSAYVHENEIKGTGKQPPASFPNYLPVWDN ETERYAPLEPFEHYDHGKDADPSFRDLFPEGKAQLDEITPEIGSEVHGVQLSQLTKEA KDQLALFVAQRKIVAFRDQDFAHLPINEALDFGGYFGRHHIHQTSGAPKGYPEIHLVF RGADDRNRSQFLETRTNTVTWHSDVTFEKQPPGTTFLYILDGPTSGGDTLFANMALAY RRLSPEFQKRLHGLRAVHSGIEQVNASLSKGGIARRDPITSEHPIVRTHPVTGEKALY VNPQFTRYIVGFKKEESDFLLKFLYDHIALSQDLQCRVRWRPGTVVVWDNRVTAHSAL FDWEDGQRRHIARITPQAEAPYETPFEQ ACHE_70195A MASRNPETKPTLTLHAEFLPNIRQTTLYITLPMNLEPIISLSES RRAVTVSLPEPYDDVSETIKLPARVNEAARRVLEGRQHTGTGNGTRQKGESGSISGPG SGNWDERELSFRMQIDPGDTSGGLLRPDDEVTSEKYVPWMAGDMGSYTQLGCRGCGKV VLDCAPAPTPGDTGSKWVWKDLPSGNWAEMMDFWHCHKPDTHDHDHGDHTVSIEERNS QVKGYGAANQVVASSGTGLVDVATFLVAEGDCRGLQIQNENSKKRNITCTQCNALIAL EDPIANGWRLLKAALSAYTPSTTEEKGTWHTHPTETVVAAQLLELVERESARRFVVHY SGKHGLLLWVFNPDLRYSTTSTSHSTTTTTAHRGMKILFQETSTVEDLLSPGNGKPSS LSLEELMLPSDIYKSVYQTLVERNAMLPVSARGFREWRVGILERFERSLNTE ACHE_70196S MDFEHYGGQSADQPKRQRRRRPNAARRLLRNKAPISARLTLDPQ LRGNVGIVSDDLVNDLFQYREVKDGEVLYVAVSPYTPSYNSVEELAWTILPVRVHPRE SSYTAPISHSTVVFPDSAESLQPFLQAQSRLDPTRHSVQTQRVIEIRVLDVVPLQLDT VYVTVERNLVRNHDDVQNKFGGGFSPSIHGPNGLWAKAAKGSEAKRYSKKAAAEAEER LTAAVREALGAQKVVHTGAVLPLPLPPHPITYAPPPPVHISFCEPVSQGVLVPETKIV LIQARAHGTRSQKSLSSTSSLKQVTEDEADDTSNEQFYSAAEEKGNESGTEMESTSPP DDSETEGSVGTASDTSDDSLEDMISLSAPQLPQPPSGIASSMTGATPRAGSRRGDGVQ TPGSVASNFTSTTMRPGGRSGSGGKVFKAEGLLRSIPLELLHPRPRDDDDVDAFVFVD ISALAKIGCFSGDWVRIEASEEPQANIFASIKLGSFNEQEEDSGDWRAVRIYGLPGLP SAKPRYSINQSERRGSFSQRLPVRLTPSVYVPPLLLNNLDNPKHLRISRMNFASANGP SKPGLLHQVKSSSIRTPPLAKEVTLLKVSTPLSMDRVLQPALFAGLKQYFESARRLLK SGDLVGISVDEGLGKTVFGGAAATDGAAQDDDLTTRLGQVSGANNPGSRKVGVAWFRV GHVTASSSEDDEPTEDQWGGVAVIDTASTRMVQAGSDVSRVPGTMNNGWEYWLGAKGI PKAIGESLTPHGLLTESPQLFTPPLQQRIRDLMSAATSSRAIKLGMKPVVILLRSQQR HIGKATVAKRACSDIGLHTFPIDAYDILTEGGANGGDVKTEAYLKARAERAFNCGPSC TALLIQHIEVLTADRIVTAMSEIVADARVVIATTTDVEQIPEGIRSMFTHEFEMTAPE EKEREGILQNAVADRSIKLSPDADLATVALKTAALVAGDLVDVVERACAARAARLEKL AETASTSSSETKINIRDVVIAGGDAARGVTKADFETAVEAARKNFADSIGAPKIPNVS WDDVGGLATVKDALVETIQLPLERPELFAKGMKKRSGILFYGPPGTGKTLLAKAIATE FSLNFFSVKGPELLNMYIGESEANVRRVFQRARDARPCVVFFDELDSVAPKRGNQGDS GGVMDRIVSQLLAELDGMNGGEENSGGVFVVGATNRPDLLDTALLRPGRFDKMLYLGI SDTHEKQAKILEALTRKFTLDPELSLQRVADRLPLTYTGADLYALCSDSMLKAVTRKA LAVDEKIRLLPDGPVSTAYFFDHLATPDDVSVMVTEEDFTDAQSELVPSVSAKELEHF ERIRQSFESVDKKKQNQQEQHQPQQSRPAQTIGEALEALRLSGEQPILDGTDGPVVNG DSHLPLNMGKGKARHGKGKGKARSKAGSEVDGEVSDEESIGEKEDEDYVVRTDHLQ ACHE_70197S MDKVHGDDAQLAAMGHRAELKRNFSMLSMLGLAFAILNSWTALS TSLSLSLPSGGCVSVVWGLITAGLCNLCIATSLAEFLSAYPTAGGQYHWVAVAWERWM PILSWITGWANVSGWVALTATGGLLGSELVIGVISLMHPTYESERWHQFLIYIAYNVI AFIINALMNSALPLFTKSAFIWSLTGFTVICITVLACSSPDFNSGKFVFGQFINQTGW PDGIAWLLGLLQGGLGVTGYDGVAHMIEEIPNPSVVGPRIMIGCVVIGIVTGSIFLIV LLFVAGDIDTIINSSAGPLLAIFKHATSNNAGAICLLIFPLVCMLFTTTSIMTTSSRM VYAFARDGGLPASPFFSSVHSKLKVPLNALYFTVVLVIIFGCIFLGSSSAFNAIVSAS VVMLDIAYGIPIAVNCLRGRNMLPERQFVLPNVVGWILNIVCFLFLFLSFFFMYYGKV ANYSGLSCLYFSDYRALPVPA ACHE_70198A MDSSQWVSIHIRDRLEKGEISIKDSFLYEGSFHSPTDKSLPLTE DDIDNLTIPSYGIGEVCARGRRGSEGWMDLFHGDGKICELYWDNRDKKPVNKFEVSGV DSAKYKIECSGWSPQAGPMGHVFIDIEAAKAKAAEAAAKEKAV ACHE_70199S MLQFSFSLPRIFPLWEKSQFKIPPPKIHDIENAPEKPTRALKYL IKLNHANHAILFHERKSHNHIPHLLSSAWIQGADADELHRLYETECLVMEPWVDSPGE VSLDDWRDFLGRREYQRAFVNYFEDELVRHGYDWKEVVNEYLFSGEQPLFNSLITDLG HPLIHLSYAFEFSSRELAMEALGLAATTYSPIHKYLSDPVYTQTESSYHTSSLFDILS RVRTDIRFRGLFGTPGNENIEKIFATREDALIDHWNAWKFEGDAVTRFRETQEVATAL LLGTHADGSEEYDFFFLHVLSTSHAVRILLPLIPAKFQLALVRQWWLLTLSVYIAQLR PEIHVDRIRDVELKDRDWKWVARMALKGEKKDAHYVKALRMLREAAGTWKDEEGYYLR AAVRFAEEFIGWGGFV ACHE_70200S MPSAVVTGATVLSCTICSKIPHMKESFSLSRSNPGYESPKIKHA TLDLQASADEMAKELADVSAEHVFFCAYLARGDPGEAARVNSAMLGNFIRALEVSGAV KKLKRFILTCGFKQYGVHLGHPKQPLLESDPPLENGFGGIAWQTNFYYDQQRILAEAA ARGNWEWVATYPEDVLGYAKGNFMNEASALGLSTKRSQALNFLFLAAARITLPSIAGL RPICTPSSAFGPRAAHAQGTTRST ACHE_70201S MRSKHPLAAHATHLGLFSDPLLQQSPTLHLQVVPEKWAKREDVN EAWAKLREKYSLDQKAWDKATWDFLTFVLGRDWSCVGSMSKARELGWTGYADTWTELV DTFETLEKEGVLPPVEQLKQDF ACHE_70202A MGSIGPVTDSIQQELEQLIVASRRYQEQPPGLENYEARGDLLVK ATRLVHTIRGPADMLFGQFENMTNIGAIRTLLEAGVFHAIPTGGKSASAVEIAAKTGV DKNIIVRLMRAVTPLGPFREVAEEEYAHTPFSEIYLVPQMAAVYNLMMDEYCPAMLRN HEFLQQHSWQNNYGVRHNPYSLVHDCEGKTIFEHVSESPKRLTRFNDAMRAADSALVT VGLYPFAEELGDLASDDGVTVVDVGGGRGHILRQIMQTARELKGRFILQDQAGVIEDN GKEIEKDGIEAMAHDFFKPQPVKGALVYYIRRCLHDWPDEPESREILQNLAASMDREK SRVLITEYIVPEVGSTMSIAWMDHTMMTFGGVERTEKDWARLLDISGLRLVKVWRAKG VPVGVVEARLK ACHE_70203S MDPSKTPAAPPPPGVTPNFTNPSGSEYDTYSISIALCATASIAL LARLYTRTFVLRVFGLDDVCCIFGQSCAWIFAALSIANIKNGYGVHIWDLHLDKLTPF KKYDIAEEDVYALGVWFVKTSILLFYLRLSPDKRFRQMTYAIMIFVAVYSLLSILLFT LGCKPVAATWDVSLMSKAKCIDQMAFVYANAAFNIFSDVITLILPIKLCWTLQTSLKQ KFLLLVVLTMGSFACIVAIIRIVTMMPFIHSNDFTWFKVTIAKWCMVEINVGIICACL PVLRPIIVQTFPRLFSSYHQSGDNYTPASDGSYGLDSSSKKKRVVSWNHLTTIGNTQL TTTNRGGDFDSESVQAIVMHNKTEHESGQQGITKSTEMDITYRDDRF ACHE_70204A MPTGIGRVLLSSGYTMWNNRVADWAFVQMFDNVTPQPFTFPKIP SSQNPSKFKLGLNYNEGAPLAGFGDLKANEWYCKVGKPTGLIGGICKGVQVPCCWNAV EEYAIVDQVGDYTQTDLCKPGDSDSVLLDRRGRIRDLLYRFTYTYAGIDLNVYAGLAI TMSDGKETIKIES ACHE_70205S MTSAQEQYTQLIQTPGRVDPSTLSAIFDQLDPIKPEQLLGDWNG GFFDTGHPVANTLKEINWVGKSFTSIDHVDPVVVEENGCRVSWGKWGFASVSLPEQSV HHTMPRQAIKS ACHE_70206S MTNWPDIPTTPAEGISFFTPAKSPTAGTARNPQSSGKPIPKLFQ PLTIRGQTFHNRLGLAPMCQYSADDGHMTPWHSAHYGGIAQRGPGMMIIEATGVVPEG RITPGCVGLWKDTQIAPIRSVIEFAHSQGQKIGIQLAHAGRKASTLPPWLGGGTATNA VGGWVDNVKAPSAIPFASGDIIPKAMTEADITEVKEAWIASTKRALAAGADFIEIHNA HGYLLSSFLSPLSNQRTDKYGGSFENRIRLSLEIAQLTRDTVGPNMPVFLRVSATDWL ETNEQGWKNEDTVKFAEALAVQGAVDLIDISSGGVHSAQKVKSGPAFQVPFAAAVKKA VGDRMLVAAVGMINNGNLAEEILNENELDVILVGRAFQRDTGLAWHFAKDLDVEIAMA AQIRWGFTSSRNASEYIQPNSMKASIFD ACHE_70207S MPSTKMSAYLSISPPACYLLLGSLPLLVLSEYKSRSYYGTALFK MLSSAAFLSGPLLTSMDWTPYRRLITIGLLFSMLGDFFLIPSRGEFFDSGSKSQERQV PISFHLGVVAFAAAHIAYIIAFLRDARENSWGVFITVFIATLLLAKWLGVIYPPSHSS TGVNVLDLAIAKDMKPLVFIYAVIISLMFAAASSTTPLTISSSWVYQRVLGAGMFVVS DIFVAVTAFRRYTAPVQRGWVQIAVGYGLYFWGQMIIAGMVEA ACHE_70208S MAYNATSPPALRVPPPHTEFMVGWICVLKEEYRAAVSILDEKYD TAGLVRGQGDKNHYVLGRVGTHNVMINLPPAEMYGQLHATRIALDMRSTFPRMRFVLL VGIAGAAPSQKHDIRLGDVVLGTKVVPYATGKETDHGFERTGLVRTPARELLETITFL EERFWSGHVSLSDSIERIRTRTTRGRTAFLRPAQDRLYKEGFLHQESVCDCLRPESQQ QVNLCSRQDREGDLVRLFQGGIGSDNHVIKNAHNRDDIAKKEKILCYEMEAAGVMDIT PCLPIRGISDYADGHKNDDWHLYAALAAAVCARELLLSVPPQIVAQFPLTLAGNLVDR YITGAVSTPNAFSGNEIEKLRQMRDSLMERHDFLEELMVPELRKMKDKSRDDIENVRD GVQKLKDLQSTLKIHLQDLDRFLKRHDDLLLSEDPVVREAYKKLKTQVYRDGEAMEKL SELAQDSLQATGKMLRGLGQDLKNRDLSIAGTVLGASGEFLGHVMSIWKSSRMSPTAM WRWLHRKLRMIRNPPNSNHTIEMESLLVEA ACHE_70209A MSTTWMLPRGLFFRYLRQSHYTNPVSSEFLASSSFMFVNPRHHA VVTDKVAQKFPAAAIAGLSDEKALALFTCGFFSGFIFGFKRWILRIGGYNLLPARYTG FQPDPQAVTIWNRSKVPSTHLLPVGSCLFGSFRMLDKHIAEPSDHSSSYVDYGFGSDE FIFAGCHRFQITRLPPSSNMDSESEPSIKGKQSMPQVQIQLQHFRCNPQKNVPSVAEY IERFHYVYAKALFANGVQSLLG ACHE_70210A MATMKAVNYIGPHKVQVQEVEKPKLEHPDDIIVKVTTAAICGSD LHMYEGRTAAEPGITFGHENMGIVEELGQGVTILKKGDRVVMPFNVADGRCRNCDEGK TAFCTGVNPGFAGGAYGYVAMGPYRGGQAQYIRVPYADFNALLLPPGTEHEADFVLLA DIFPTGWHGVEISGFKSGESIAVFGAGPVGLMAAYSAQLRGASRIFVVDRVPERLAVA KKIGCIPIDFTLGDAVDQIIRLNDGMVDRSVDAVGYQAVNATGSAEKPNIVLENMIRV TRACGGMGIPGLYVPSDPGASDDTAAKGLVSLSFGKLFEKGLSLATGQCNVKAYNRYL RDMIVAGKAKPSFVVSHEVSIDDAEIAYHKFDKREDGYTKVLLHPNGSIDQISAKI ACHE_70211S MASVLRFRSVWGVDPGNNYENWDKWFPTLKAQGYSGVEIDFAGL RDLSTVRQIAEKHGLEISVLVHTQWPRYEGPKPVGLTPQDHLQGYKEQLEIAKALKPY KVNAHSGDDGWTIEQSVEFFSGTFAIDAELGYAGKVCHETHRNRAFFSPYATSEVLKR VPNLRVTADFSHFVVVCERVLDVGEEDKEMLRTIIPRVGHIHARMGTTQSSQCPAPTD DAFKEERRFFETAWKQIIDATATTAEPITWVPEYGPFPYHPFGSPQSFSDLADSEGTR LHPLFERYAQAAVARS ACHE_70212S MSDLKIRQIDVFQVDLPYSGGVYYLSGGRAITRFDATFVRVITD HRIEGWGESTPFDNYIASHAGGIRAAIAEIAPKLIGLDPRRVDRVNDAMDAALLGSES AKSAIDIACWDIFGKSVGLPVCELLGGRTSKKLPVLESIPVSQMQMVLASLLPSPEQL DTLDSRLKLEGTR ACHE_70213S MLKLLPNELDFVLEAPCATYRGSLSLRRRTNVPIIFDELASNES TIAQFIADDGIEGIGLKISKTGGLTRGRRVRDICLAAGYIMSVQDTSGSDIAFAAIVH LGQTVPARNLRCVFECRAMCEGKTADGAFDVHDGLLTAPTTPGLGVTPRLDVLGKPVA TYN ACHE_70214S MTPITINVTGSSTIHQPPERAVLFFHVQSEGSSSDTVSKEVTAT SNQLQQIFKGLKSNSLVPTPVAAFSTSSLRRWSERPRDRDGNPGERVHYAQLRFEATF REFKKLSEVAGRLSAYPNVAISNIDWRLTDETKQELGSKTRKLAMKDAIQKAFDYAEV IGREVNPVEITDMESPGLHSPRYMAAMAACPMEDEREELDLTPQDVELTSSIQVNFRG E ACHE_70215A MGSRVYITSLLLSSSLVYAFSPAIRADTNRDGVVDLDGNTDAVD KDLWSEDHGAIFLPNIGDAKGRCHFRDRRDQDLSDIELASCNDASGDTLITPEYLAPL RTVPIHDIGSNATAHVAADPSPYVRIFWKHGSEWIYIDPEFIFNATAIRTGLELGIDS RALVTDSDVWNGTARVSFTVTESNTTKTDHVALKLAPVLLHHHLQPAQRLISVLGNDS TKAQHRFIRELDAAKQKAGLNTPLTLLGSSDDWWAQDIMEPAFASMPGPNGPISIRVI LRTAQESRPAGRQVFTQLRGKGIGGFLPVGSGVLGRREINSGGNIETIPPYTSKKTGK HYPLGRILTGQHFEEKHSEAMMKFFRAQRLQDPLVIEAGWLAVGHVDEFISFLPADNE LGFTMAVSDPVAGFNVLLKANESGYGTVDAYSANLQDRTPPQGAEPRLNWTIGQVVSD EVVLHATVRAQRNIDSAVHVLLEETGLTKEDLIYIPHLFTDGEFSGPGHTKSRDGLPP HMSPPKEGEFNVASFFPASINGVVLGNHFICARAFGPVIDGKDIFQDAVEKAFRKSNM TVHFIDDFWSHHINGGDVHCGSNTLRDTAVSWWSQQ ACHE_70216A MSSLIKKEVRSEKAPQNQLFSQALVVGDMVYVSGNTGVDPATGN FVEGTVVSRATQILKNISNILEATGSHIDKTVKVNIFLTSMSDYGLMNEAYAKFFNKQ PKPIRTCVAVKELPRGTDVEMEVTALL ACHE_70217A MHIMRLLGQVYGFLDGDKHPRDGIPDVGVGKVIRELISTSTLRM TMAIFVTYRQNETPASLRWGWLALEIGLYSITVDFWFYWYHRLMHSTGSLWKMHRRHH LTKHPNPMLAAYADHEQEFMDIIGIPLLAYFTLKLLGLPMGFYEWWICYQYVAFSEFI GHSGLRIHGGAPSTLNWLLELFDAELVIEDHDLHHRYGWRKSHNYGKQTRLWDRVFGT CHDRIESIKENVDYSNRVTMPLL ACHE_70218S MAKISRALQGRENSDPSTNQKLRKKTTSQKAVLIDIAAIGTVSF QRHLKKDIEVFITSLSEIDRITEEKRVEEHQEEDRQEQELVEQLLPE ACHE_70219S MKNDVENLLLTFLYPENFLYLCNTSSFFYLIFYYLIAPYICNSS SAIIMTRTQVPYPSPATLTKFPHIHDVPSEVSHALDPFTITTSTGFMPYQTAPTELPE AFKALQSLVERMPVLRLDGKPGLLATYEFGPAVERELSVDLTDEIEKLVLPDGSYDKF TMAAVFRDYTFVASSYLLEPCWENWRKNPDGGYGLGRQVLPKAIARPMYRAAQILDVP PFMSYAASYALFNYTLADHSKGLGEYSNLRLVRGFERGLDPKSSEAGFILTHVDMVKE TGALVAGVLKVIDTIEQHGDRDEINDGFRDILRTMEKIEASMEDMWGNSKPEEYLSFR VFIFGITNQSMFPNGVIYDGVEDNQPLSFRGESGANDSIIPLLDHLCQIPMPETPLTK ILHEFRAYRPRPHREFLTYIREKAEEIGVQKYAVEDSETAVLFLKTLNHVRSFRWRHW LFAREYIIRRTPHPTATGGSPIVTWLPNQLSAVMDLMVTIYDTYLAPKDGVTIVNGKE DLIASHRKQVEPMMELVRDQRVKLAKEVARWCQERGV ACHE_70220A MTQDKPAVICVFCGSTAGKNPVHLEAARALAYEFHKNNVQLVYG GGTTGLMGEIAKTLVSLSGPKAVHGVIPRALVKVAARNSAGEDETASDTTGGKAAERV VSELLDGNEIPESKFGLTTIVPDMHTRKRLMATKVLEGGPGSGFVSLAGGYGTIEEVM EMTTWNQLGIHRVGVVLLNINGYWDGVLAWVRTAVQEGFISGENGSILTEAHDVKDVW PKLVEYQCSPDRYQLNWGQE ACHE_70221S MRILLALIMLSFFESLTGNTQGAMFSHLRASRQLILQLANSPLQ SSTNDNSRLLSFVLEIYRYLVFINNITPFGAIESRTLPHDTFLDDIVGTMTQFDTCGA IFGGSHGLFEILPSVAVLAARRLTEKDPSRASSRMYQALHVRITEWKSPDPVVPDQKW QSQREVALELCREAVLLYLETAMFPRALSDTVTRTRILDHVDIIMLYAEQASGSPYET ILLCPLMIAGSCMMRTDQRQRLQAGLRSTRFHMNHCVQAASLLELVWNDPSERVFGPY GLGVVMRRSGINLGVA ACHE_70222S MAAAPATHKKHDVLIVGAGLSGLQAALSVRAAGFSVCGVEAINR VGGKTLTAKSCEKGFNDMGAAWINDTSQSEMFKLYQRYGIRTEIKRDCGHTLIQSTDG FVVKVPYWQLPACPMFPFYMGNPTNSAGARKIDQLTFREFCVQKVQSNSAISIAGVLS VSLLWVESDEVSALYMFLYFKSGAGIDNIISDQKDGGQYLRNRQ ACHE_70223S MSLFSPANVGPFKLKHRVVVDPILMGWGEAQLSTYFDELDPEGG LILAPNALLEATELTQKRTVDRLHSLDSVVFAQLCDGSHNIASLSENAIKTGYDGVEI SAGVGSTLHRAFHQANDLPTATLAVTEAIESVVAVVPAEQVGIRFTPFAIVEGNRFPM PDTLYCAMIESIRSKFPNLGFVHIVAHTLFDDFEYTSNKSLDVFRAALGPEDARQTAF ISADAYDPQTASKIASNTPDLVAINLPADMNPKLVSSLRRGNKSYSLGRSTADRLQAI RTAFDEGKEYIVEWSDEQKSRTEQAMVDLDKHLSNFDPSLSYEGTDKKVAWRKSCWFA CEGIARPFLDTEEAIARFDGDLKDGITGIQGLQNRQVRRSAEYLKSVLDSALVTTSKA VGIGQDMIQRCTVRYRAIKYTPHAGAPGGIGLHPDGNLLSALITNGDGLRVYDFDGTV RFPSDVNGTIMMGGSTLYRWSQGKYPPTFHDVDIGNGQDKFSIVAFFNFPDMVSIPHS LISGDVKEGASFFHDIKSIKEDDKSPQGQLSPLWDIIIDRHQLVLPPAVAAN ACHE_70224A MSNMQTLKALTADQIEKGINNSPLSTRSLESIDLIVDKSKLDYL PITLADVPADEPFLTTPDDSGDSESDDNQPLTPTEAPSHPADFKTTDLDQTVIGGAVV DSVSLVVPDEHAASFAGLPVIPITGSAGVQAQMLAAVPQDKAQGRSNQLPVLLLPDEK PTTTTVAAAAAAAEKQLMANTDALAEMIKSTPKIDQDAGSASGDKEIVKTELGGYVLA GDIKNFFGIKGLKAKLYKFKGPGKKIDKEDSNKKVDGSGTEDQDGDGDNNANANADSE DDSEPAREKAILNPESYALKGKPLGTLFPFIDDIDLQKMPITNLAFTYCEEKQSAFFP PGLRFEADVQLKDSLQWASDALKSMFGGQKTPESIHLSAHLADERDWTKRPRVEKFVL QGYFDNMGLKTWDLLQFKTMGVEITGTKAARSKKDNKEEGKDDDKDKKDEEGKEEGKD DQSTEDPPKDTTEATPENLESGVGGKPESATKDTEAKPKGDTEDAAKPEEGDDKKEDD EKRKPKEKKSWNFGFGFFGTLMFTKVPHANAPVELNYRIGRDFEVDDSADGTDGEKGE SDDKKDDKTDAEKNAEDDKDMTQSPTADVVKVDAEPPAGKPSKKLQKPQKQWSDGKHK RVWNMVIWCDKWDDIYGIKNVSLTKAELKSSFEHGEFKETLRLDLLADLKLGGGTFKV TGLISKNENSLDAEVGDLKLSEIKKIHAQVAGYEVPKDDTITDTKVKEEEETDAKNEA KGNEITFKELHLNLSSKKRKEEKTTERSLQLDGHVTFNEHASSSALIKINQDGLTING DIKDFNIPETSVKIQKAGLNIYVAFRHDKKKIKGQTDEKTTKSITDKPVSTEQDSKAE AADEQESITDGEKAVTKPGVAGEKKDDDKPTKPKRESQFSILGIVQIEEFVVTVGLHI EQKKNKQTREWLAVGSVKSLKLSELWPTLKGGFLDLELDNLALIGSSEEREVKEDSED GSSGENSKEEGEGTDEEKSKEKSEEKSEEKSEEKSEEKSEEKSEEKSEEKSEEKSEEK SEEKSEEKSEEKSVEKSEDKKPASWDVLAEVDSYKYPIAKGVQLCATIRKFDALEKLN NNQKLNGLVLIVGFSFEGKLLIRINMPRSMEIPLRDYAKLGEFGAAIAVDNEGPYLQL DATLKLLFEDQAPIRVRGHIKGGIERAEGKLHMDKKDIWINPFNFNKNLVISKLGVGA GFRYATVVVHGPDRLEAAGNIKVGKEFEADMALSLGIGKDQVISFSMSEANIMKLVKF AAEMADIKAMENIEGADDILVFRDLKFSLSTGAKVFDVYYEPSILMKGSVEFLKKKGD FEGRLKDDGFMIKAGLDNFNIGGLEIRSAKDDRKRATMDITMTKETQKILIDGMIRFH DLHLKMYIDADVQERRLDADVSIQFLEELSFQMKAKARIPETKTLDGLLVRFEAELRP DLFGAIFDGINNGIDAIGKLATETIDNAINDLQGQIDKHESELAIMKKDLDRMKLKSD AEVLERQEAINKENGELTMLANELEKYDQAVKDAKAKKDQNSDEIKAKESKRDDAQRQ LDTKVREMRNEYERKVEEQRQKQAEWELEKKRLEDEKEASWGDALRKGEEVERSWRWW QDQVNQAYAWKEQAWRIHCDAGFFDKFSTWLKFQEANVGLEQIKARRDAQNEILKLAR EIVSSPAFRQIEYGINDAVREINKFGRALDSLTRNGVYGYIQEMSRDERAELDRQIRQ LNELREKSKELEANLRMAIDALEKNKGRITNQEREVQTRILTLEKEIELKPFEDAYRN KKNDHDRVAAQVQVIQKTLKDIRNGVNAETKAAKQVVEALKKATPRITLIVVKASTEV FAKNEPLVFSVDVAWAGETKRYEVTWTPGSNPADLYKNVADKVIGFR ACHE_70225A MADAEQDLHGKNQEPGKTRGFPLGKGHDVFDAEHLGAVRALQLA EKVGDQNQSLSCWTPKRPLQGCDTPSQGQVKH ACHE_70226A MDQHERPVYRARVPYGVDIIVDDLYLPTPGRCGTKELSRKLESD GWPTDMLFINQAYLDAISAEAKSNGLSWEEWLERRASVRCIPRLKDRHTDKLSNLFQN LAINHPLTLLDILKTYWHDYQGQITPQIIETVRNIEVPCQNTDTWYPLKETYFPSTEL NNICSRASITDSFNLFIEPLPEWATETIDGWEFLAEFEVGLSPDIIFMEQVLHSLKEK APLDTARDGFFAMYRELSIRFFDEDPSEVRNLFCNSEAVYIPATQTRPARITSYRQCV WRGNHCLRNKYPLAMHQEYSDNPNVVHLFKNMLCVADASITTYLEELQYRKKFPDVLL EDLYSIYGELSEELLEGGALTTYACDMFHKEKLIYIPTQRSWVSPDQCIWAAASKIGG QFGISTMYSRFEGLLRGVLNIQIPTITTYINQLRILVSDQPNDITAIKASIHSMGQLR PSAEDLHGVRELRFLPIERADGSKMLAKLTDAFFIADRIEYQAAFHGKVSMLNFSLEE VFRLRRLFEALSLGNHYMSVAVQERTIVRFPAPEPSSSLTHTFRKKARHLYRCAVHYD AGRLQSDGASIRRRLQHIIIHESHGFMKTVILSHNGLRINAETDKGLVHIDHSNNKLR IYVPRDPQQRQRCYSTQLPEALVSFLEIEDRTATEVFRLLCLLHEDVIENVLDDNGIS RISYADTDDPDTDFPTEATQESSFGDTFDVYGTSSDDESRSSETEFTQGSMASPDTAT RNASSTVQRPEYEPEYSLSTHQQASSFPRPVPTNFVEPSSDTTSVPTSYSPQYVRLLD HVIMLARQAAFPRNSDSTSTTACASGNSIQGLASRSSIPSESQLAHDIKIGAAGELYA FELLSQQSLPGFTRQNWQSNVRKMVNVHPEYLDLEPWSGLETADLVYNDIDSVLTKIL INAGYLSQIDWEGATPTYFIEVKTTAGTCGTPFYMNRSQYVRMQSMKIGNHEPANAKI YLIFRVYSLGSDGTAMEIYLDPETLREVGMLRFTPASYSVSPRVDY ACHE_70227A MEQAREIVNNIRRRNGGITEEQRAELGTDLTEVYQNLQSLAGRS IRHVAEDLYDSDTRFIFELIQNAEDNRYESALSRNESPYIHFTLHDDRLVIDSNEDGF NEEDVRAICSIHNSSKPLTGGYIGHKGIGFKSVFKVAQRVQIQSGPFCFSFQHCRNES GLGMITPSNQEHEALPDEKISTHEPQSSLKYQTHSSYFFRNSKRLQ ACHE_70228A MEQGGWAAKEDRVVRDELAKLQDGLRSWARKYSRAAVSSDLESV SALEKDKVVEELKGYCVQTNWCSLLERMPISSNKVFPLLVQALLAKDIFQTIFADPFF AFPETIDDPELPNRAEMRLLHQTMTQLNKEEAEIWRSHMIRVLSGHRSGGKGSSMPDR IQKMASFHGEALINGRAHILLREPESQAEVIRRSDDLYRLYHQAGGLALSLWAQRTVI ASHGLQRLHKFRASSSLMTAHRLHHLDEDDKRLDGRKILLCIQPAVLAFGNENGENYD KSKVWAKAIVLVEDNA ACHE_70229S MSSELINRLGSLVLAPTHKIIVGVDYGTTYTGASYVSTKGKADL DNIILINSWPGPTRDAETVLKTPSRIAYQEDNPRVSKQRWGYQVEPGMIAYSWSKLLL DENTPLTKYDDAALDGSSGAGILKLPKGKNAVDVVSNYLSEVYQYILKTIAKQITEEA LSVTPLEFWFTVPAIWSDQAQSATRTAARRAGFGSRVGDYIFMISEPEAAAIAALKKY TTNSMGGSVEPGDGVLVCDCGGGTVDITTYLVEATHPELMFEELCTGIGGKCGSTAVD RNLYQLMSDRFGDAFDSLPMKRKGPGSEFMKNFEVIKRDFGNSDEERIFELPINMAVE DPNPEHFDDEERMVIIHSDDLRSIFDPVVDQILSLVRQQIKDATAEVGRGVINRVI ACHE_70230S MASGYMEWIIAKGEKYSENHSCTVSFYRSHQDSHGLKFYAELYS CDSNHAPERVDDPGVRCVGSICTDLTGVDLGLFDCKYSTTGRVYRVEFDLKVVFGARE GLLKFETICQGKVIGRTTIDFSTTKFY ACHE_70231A MVETAAMKEKVHQLVEKTSHLALGSPPAPGAEPSIPHAAEVRES VAAFSPTMASYLQEIYKSLLTSESCKSDFLHKAQSESSDGKEHADPLASLADFQAYMA SPASAALRPPHEQDTSAPITDYFISSSHNTYLTGNQLYSDAAASAYTNVLLGGCRCVE IDVWDGQADSADEDTSSSSSSSDDEKPHKKEQKERQKHKKLEKEKKATNRRSRINDAV SSKLGSIMGRKSIPQDASTVGDGNFQDRPAHLPIRPEPRVLHGHTLTKGTTFRDVCNA IRDSAFVVSDLPVIVSLEVHACLEQQEIMVEIMQEAWKGFLIEVTPELLNSPMPKLED LKRKILIKVKWLPESVTGEAGEAAEDLEEIEVVQSQKSQESTAPPPKPSKILNALSRL AVYTKGFSFKHLDQPEAKIPGHVFSLSESAAKETSQNYRDALFEHNRSNFMRVYPYGL RVNSSNLDPAFHWRRGAQIVALNWQNLDKGTMLNHGMFRGEPGWVQKPQGYRSSEEPS TPIIRRTLDLSIEFFAAQDLSLPPGDTKEKSFHPYVTTCLHVEQPGEETGATADDDST DTEKNSYKRTIKSSVGVTPDFGPQTIQFPQVTGIVEELSFVRFKIKDDELGRDSMAAW NCIRLDRLQSGYRLLHFHDCTGWRSGGVVLVKITKNIS ACHE_70232S MADTPVHFFDVESKLPDNTKAWSFNTNKTRLVLNYKNIPYTQSF ISYPEITPLLRSLQVTSYPEGPFAYTLPAIYHPPSIRVASGAMMDSLPIALHLDRLYP ERPLWPNGDASYALSLAVGKLVSNAALKSLVLVIPHVPKILDKKGREYFIKTRSAMFG KPLSELRPSDPDSLRVTTESIKREVETLAQMLRGRYGKSGPFFEGENASYADFILMAY LAWTEKLDNQLWRELMGIGRGEIKALWDACLPWLYGQGQTTKWKISK ACHE_70233A MSTTPWVPTNCRRTTHVTSPPAWHQIHPGVFSENYLRAGPQHPS VRTELTELSRSPRSQELRLISTSPFNCPTTTLHPATMICQRCRTGILSRLQPQQTLTR TASRQNLLQRPQFQQTSPYSDGKPSVSATPPPPKPRQPIADDISIPSAVSSASPGVSQ PLSTPESGVHADVHPEQPVKRAPKVVSSCPAGTKLNGLNYFKNKPDVFAREDEEYPEW LWGLLEQFESKKKAQDGGVDPSTLNKKGRKKLEKKLAAQAAAMPPKIPVHHQATDITP ASYSRPETGDIFTEATASLEKRTEITKSAREARRKAIRESNFLRGL ACHE_70234A MENTFISEDPGFILQDDLFRSIETDLSQPQQEKEENILIQLSDA STKPLKVLKLEYTSDLPEYPSTDPNGYGYVINVPPNQQRETVEDMVNSIQYCVRQNYR NRPSSHSSFLGTSYTSSSYRCSGIKICEYAGIQLKNMHHTHVTDDLWTILQDIRQRIH EMERDTTKDAAYRFYRSAKNLFKNQLSCYHFQNSCQPKLTQSSIPNPLGGFDFYVRCI NAPSDPAGHYTYRVPKNGSVHLQFLEGLLNNEIIMDMEECGAVESIKSKSLYCAYDHP QGPGKLVHAKCNVTFHWLIPTDLSQNPYFVFMSHGVHTHVPPPPRKAPAKIMNGILQL INQARSPSLTLGTFLKSPALQSFCAEHNCHTIQQIHESFSNMDPIQAVIRKQRLLHYP AGQNVNGVMFELGKNKDLQVSTS ACHE_70235A MMETPDRYDSNSPFEPCSPLSLDSPATDGFDLFDNDGYFEELQS DSLVGRYILVTGGLGFIGSHTTLELLKANYNVLIIDNLSNSFLSVLERINFLASKYHE QRGTKMPSLRLHSHNFRDQLALRQFLKEYRVPSETGRPMSRIAGVIHFAAYKAIEESI REPLKYYANNVSGLIDFAATLGEFGIKTFIFSSSATVYGTLATSGLPLREELCVHREQ IVRDDDGVEKIVQPGCTGITNPYGRTKWMCETILADLAASDPEWTIVNLRYFNPIGCD SSGLLGEDPKQVPTNLLPVVIKVITGQYQELQIFGTDWETEDGTAVRDFIHVTDLARG HIAALNAANDGKLRDNFRAFNLGAGRGHSVMEVVNAMEEVSSKHIPVRTTGRRPGDIG SCVAVATRSHEELHWRTEKTLKDACLDICHFLDVSGLSS ACHE_70236S MNSQILANRFLQSKRSRLLVAFIVLVLILAIVIPPAVVIPLRDK SMGPKSKVFVPLYVYPAPGAWTPLENVVATHPSINFTVVINPGNGPGPNALPDANYTR EIPRIASYDNVRLLGYVPITYTNRDIALVRRDVETYAGWPVNGSNPDLTVQGIFFDET PQEYDDRALGYLQELTDLVKRSSGLGPDKYVFHNPGVVPDYRYISTADSTVVFEATYD TFQERRKAKVFKTLSDDNRGQLCAVIHSVPDSVQGSKLRSLVKKARKVAEEVYITHLR VDYYAGFGHSWGDFVDLMAA ACHE_70237A MTPMGQSTGGWKTWSTKKKLIVLVSAVLVLILVLGLALALGLKH DKEREPRETPPTPAKWQPAAGSTFQIVLLYQLDDTSTNTDIYDIDLFYNTKETISQLH REGRKVLCYFSAGSYEDWRDDRAQFHKSDLGSNLAGWPGERWLDINSENVRRIMLSRL DTARDKGCDGVDPDNVDGYDNHNGLGLTRADAADYVNWLANETHARDMSMGLKNAGDI IPYVIRNMQWSVNEQCGEYKECETYSSFIEAGKPVFHIEYPKGNEVNDSLAVTNAQKQ SVCGSDGAMGFSTVMKNMNLDDWIQTC ACHE_70238A MSLIPSSFFSSMSPAAPPVDSKPVSSNILVIAKDNATASDATSG LNAYGVPFTALLVPQNGVQLPALNSSEGGNFGGIFITSEVSYDYGQERGFQSALTPDQ WNQLYAYQIEYGVRMVHQDVYPGDRFGTTPAGEGCCANDVEQLVSISDTSEFPTAGLK IGEGVTTKGLYHYPATIVNTTNTKEIAQFAENGVITTKTTAAVINNFGGRQQMAFFIS SNTTWSQTSTYLQHAWINWITRGTHAGQRRVIFNTQIDDMFLESDIYNSPGTVYRITP ADMNGIVEWLPSIRAKMNPGSDYFMEIGHNGNGNIEASSTTEEGESICNGGGIEYESP PDTEMEFKKPQGTGTDLWPVRTTAYTWSSACTNRDELLLWWTNEANRDQFAHVSHTFT HLEQNNATYSDASKEIFYNQAWLKQVGISSAKKYTSQGIIPPAITGLHNGDALKAWWD NGVRNCVGDNTRSVLVNQENSMWPYFTTVEHDGFAGMQVNPRWATRIYYNCNSPKCTV DEWIETSHGTGNYGNGGFNDLLTAEKSDTMVRLLSLSHSPYMFHQANLHNANVEPITI NGNTGNYSIFQAWVETQVQEFTRLVNWPMVSTTHQEMSDAYLSRYTRDQCKYNMSYLT SNREITGVTVSAENANCAAPIPVTFSVPPTDTQGFKTEQIGSDPLTVWVKLSGSPVTF TLSKPIPF ACHE_70239S MATHTHWRLNSDVKRDLVDIPAWDTLRAYFPSLDAVLKSVGSQV EAMDDQSITVRDPSNWSMVMSSHDSPLSVSFTEQSYDSCGCVPLGIDVAPAVSAEILQ SQLHLKSLGLLDLVSSSKVQGVGMLFRQFCDHWAVNSGLMKSIQELSELAVDGSLRIN LGMDSGFRKDTYSRFWAVYQIDSTGIEIFISKDAPDFEGTVLHTFLSAKGYSRQICFE AETALGNWLDNLVGNTGLPRRIVQDIDALSPEERLLLLQHLTVTYSTDRISEAVCSYT RRQLVDAPSFAQLKELNAIGFLDGSISPEILVRTRVNWYKEQHCQYPSTSTCLALFNE VDVTFARILAERREQDLAHITSSLCDMIKEHHIDAYVDITALSLFCAARKCAFDEIYT EVTDRNPLFGIQNDQAAAFAEAFALGSRCKAYFDITPSAFGKLLSDRFRCQYSKRELP DWVNGAPQVATAYAGAQTDVDHVDWVRPMSPYQRFTFLSAFAVPALIDILLLTIIGRG LYLSTFMTYDQQQSATLAVMISLLLSGAIGAWIACGGPYYLVSMAFAAANMFILTRLI AGVSFTIATGLIAFIAISAGRGAAAGIIFYLYLVALTTYFSVFASLASFSYPKSSFLS GRKIIFYCVPILFISPIVTTFTGHDVAIYLTVLYAFLGCLLLGLRTVASKWVTWYQRI RRTDDTEIRKWYISTYKNNDEKSLDNLSDPAVLKLAREKLLEDVFAERKRRSSSEAKT SGLVFELARDWESTNFLLDWYCRYADVPRPVPFSSSWNIQTNVALDTLRSSQKGLRLH NAFIHWRQSGKEIGCGVLYFVIALLDKWVELLTGGHLVGLSASLRNTSRMAIGFGLAY YLVGAVLIDIKAQDLEDLLAKQTPLEVRTAQDARISQKRYIRFKRAVYWRTLFKFLMW HTWSLAISTALVWTFQDSLEATIMFLMYVLAYTGLLWYQYTKIFSGPHALKPLLVGVI VGLPIGIALKQCLPSFQYPQVTGLAAATWTVALLSLFTAKLGMPRKIDSLEKPGVTFH AYTTPWDDPEWSQEELHALWQKSLQISPYSRLKLAPKAHPGFEVKDIMTSRIEKPKIE EAFPNADELVRVAIDGWEEGMISIELVPSGSLGPGIRALSCHTANQLELVISVGRALK KHVDVHANCQIIAETLLHAVSEAFMGMSHEHAVLTGSLVTDGMTETTRQQLHEESNTA TIMRWARKELLRQLCLGLDCDTQWEQLPKQIRIMLLDRCLGRPGRLSIDQADWLQETL CVSDAKTLDVHVARCNLGVATVARILSYPHHGTGGTLIREPELAAYIPRPPLTCARIA RLLKTPFSFFYHALGSLSKFVVVALVADAEFQRELDHVMKHHTAIYRVPAKLILNLIW CYSKIVQDTFMVFFLFHGRDNVKRLWDETKGLTIGIKKTSASVQGLDGTFTAFWHAGE DERFKVYYYAGEHKTEPDDSTLLRYVCTYSSDLLLHIRQELSYGQLVNEYHYDYYTPT RTSRLKKNFTARIPLSRRCVQGEHASQRVQYNRNGLISSGSYMRNGSLVRFQYRYRKN PHFGDELLRAEYSFPHSNCSISWCAPPLRRSEKVDRWIPHAKVTRATIVQGSDVYESR WLYDHKFNPAIFTTLNGENVKTPAFIEYDHLGILTKPTHTSFVRDNPLLYCDSLTTNI VQRFLGLTTRRFPVSTSRARSLMWKAWKDHVDFDGVTVRWMDDRLLRRDRTLAPYWRR RDHGELASAETYLKQHADTIMASADLNDNVSSWTSLAVKISDLFNFGPGGDAVINTRT KDFGSDTENTLHVMAADTGTWPNEGGGVSACRRDMVNHLRTVKWHMICESANDFGIPK HQTEHNIQSLKIIPLWGLDFLTPTHGLLRNKLDSEVDDVTSANELDIKQNFVPILATL VKSSRTINLTRADIRQSTRALVNLNTYFQGSRHWTQVWNSDIVKKSWNELWLSQTMPN TVPSSSWFQTELPTLASLDVALGLWYRYLFIFSIPVPEKVPDVFQASHHSVSASYGVI CKMKRSCTLQIWDHAISWREVNISLSSALSKLPPFVRNALLGLMRITSVLTLHHADII TPCTDFFNPDWEVEIGTAQGTIQHRNIFRRKVDPVINGIINMQKFAPVKEIKAQHPTV TMLSHVWYAKDIKTALLAADIIINHWHFTDYNLDIYGAIDRSPMYTTECQEIIASKGL RGKVTLRGTADPLDVLERTWLFLNSSLSEGLPLALGEAALTGAPVVCTDVGASLRVLS DPDDSSRYSAVVAPNDALALARAQISMLAMLGEWSQYADDPDDTSAPVLTSSPSREDV EAITRRMYEKTPQRRKLGMMTRAIVQKSFSGERCLREHEQMLWIGKAMKVMRSRGESE VSGEWDSGGVGESFGPFSPMSSGNIR ACHE_70240A MGKPSAEGDHSFLSSSHRRSTSITSDTSDSDLSIDTPTSHKIHP TPQDPTPMIEGEPYRDLEDGESQADDPFLGTRKKSTSSSRTRRVVWILGLLCVGGWVL AFVLFMVQRGPNYQAAMEALETPDSKSATGFTDSGKPITLDQVLGGQWLGRSHGISWI AGANGEDGLLLEQGESDGKGGYLRVEDIENRKGDVESKASKVLMEKGYVVVDGSVVVP YRTWPSADLNKVLLLSNFEKNWRHSYTGKYWILDVESQTAQPLDPGNPESRVQLAIWS PTSDAVVFVRDNNMYMRKLSSETVISITKDGGKDLFYGVPDWVYEEEVFSGNSVTWWS NDGKFVAYLRTDESKVPEYPVQYFLSRPSGKKPLPGLERYPDVSDIKYPKAGAPNPVV DLQFYDVEKDETFSVEIPEDFPGDDRIIMEVLWASGGNVLIRTTNRESDILKVFLINT ETRTGKLVRLDNVAELDGGWVEPSQSTRFIPADPNNGRPTDGYIETVVHEGYDHLAYF APLDNSEPLMLTSGEWEVVDAPSAVDLKKGLVYFVAAKEAPTQRHVYSVKLDGTDLQP ITDISRPGYFDISFSDGTGYALVSYKGPSVPWQAIMNTKGEKATYQETIEENKELARL VQQYALPTEVYSNVTIDGFTLQTVERRPPHFNPAKQYPILFHLYGGPGSQTVDRKFNV DFQSYVASNLGYIVVTVDGRGTGFIGRKARCIVRGNLGYYEAHDQIETAKIWAAKPYV DASRLAIWGWSYGGFMTLKTIEQDAGQTFQYGMAVAPVTDWRFYDSIYTERYMHTPDH NPNGYDNSSINDMSALQENVRFTVMHGVSDDNVHLQNTLVLLDKLDVAGVENYDVHFF PDSDHSIYFHNAHKMVYDRLSNWLVNAFNGEWHTVAHPVPEESIWERAKRSVSVFAY ACHE_70241A MSTAVSQGPPGNNSFKDKEKPMAVRTSNILAARAVSDAIRTSLG PRGMDKMIQTPKGNTIITNDGNTMLKDMSVMHPAARMLVDLSAAQDVEAGDGTTSVVV IAGSLLAAAERLLSKGIHPTVISESFQRAAAAAVEILHNMSQPINLVDRSTLLQAAST SLSSKIVSQHSGLLGPMAVDSVLKVVDPKTADNVDLRNIRIVKKVGGTIEDSEMVDGL VLNQPVIKSSGGPTRIEKARIGLIQFQLSPPKPDMENQIVVNDYRQMDKILKEERQYL LNMVKKIQKTKCNVLLIQKSILRDAVNDLSLHFLSRLKICAIKDIERDEVEFLCKSLG CKPIANIDSFTEDKLGTADLVEEVQASGARYCKITGIKAPANPVNQTVSIVARGANNL ILDEAERSLHDALCVIRCLVKKRALIAGGGAPEIEVAHALAMRSRELTGTESICFKAF ADAMEVIPTTLAENAGLNSIRVVTDLRHRHAQGQHNAGVSIRSGGVKDDITEENILQP LLVSTSAIELAAETVKMIMRIDDIALSR ACHE_70242S MPSATGESWEKYKKNFADEEEPEKKITPLTDDDIQVLKTYGAAP YATALKRLEKQINEKQASVNEKIGIKESDTGLAPPHLWDVAADRQRMAEEQPLQVSRC TKIIQDEKDSDKSKYVINVKQIAKFVVNLGERVSPTDIEEGMRVGVDRNKYQIMLPLP PKIDPSVTMMTVEEKPDVTYGDVGGSKDQIEKLREVVEMPLLSPERFVNLGIDPPKGA LLYGPPGTGKTLCARAVANRTDATFIRVIGSELVQKYVGEGARMVRELFEMARTKKAC IIFFDEIDAVGGARFDDGAGGDNEVQRTMLELITQLDGFDARGNIKVMFATNRPSTLD PALMRPGRIDRKIEFSLPDVEGRANILRIHAKSMSVERDIRWELISRLCPNSTGAELR SVATEAGMFAIRARRKVATEKDFLAAVDKVIKGNLKFNSTATYMQYN ACHE_70243S MSSLGLRSLAPASKFSRAINQRRLFSSSRPAARIFANNPLRAKE ANGYISDKYPVIDHEYDAVVVGAGGAGLRAAFGLAEAGFNTACVSKLFPTRSHTVAAQ GGINAALGNMHQDDWRWHMYDTVKGSDWLGDQDAIHYMTKEAPASVRELESYGCPFSR TEDGRIYQRAFGGQSKEFGKGGQAYRCCAAADRTGHALLHTLYGQSLAHNTNYFIEYF ALDLLMEDGECRGIIAYNQEDGTLHRFKAHHTVLATGGYGRAYFSCTSAHTCTGDGMA MVARAGLPNQDLEFVQFHPTGIYGAGCLITEGSRGEGGYLLNSEGERFMERYAPTAKD LASRDVVSRSMTLEIREGRGVGPEKDHIYLQLSHLPAELLHERLPGISETASIFAGVD VTKQPIPVLPTVHYNMGGIPTKYTGEVLTVDESGKDKVVPGLYACGEAACVSVHGANR LGANSLLDLIVFGRAVSHRVRDISSPGQPHKELSSDAGAQSIQDLDFVRNADGPQTTF DIRNAMQKSMQSDVSVFRTQESLDEGVEKVTKVDSQFDQVGTKDRSMIWNSDLVETLE LRNLLTCASQTAVAAANRKESRGAHAREDYPERDDENWMKHTLTWQKTPHGKVELGYR AVEADTLDSAECKPVPPFKRTY ACHE_70244S MNTGMATTTTTDTENAAGTRTTQPQAGTVWSIPGGISGPLPSPA LLGTPSRPHVTTTTGNSSTSTSAHSTISITSFSSSTTSTLSSSEQTESTSSSPDSNLN TSNSSSGSNKALIGGVGGILALVIILAVIFVLFCRRKKKKRRFTLLAWHGPQHGHDRE NGAVIAAAGVGSAGPASSSPAQPTPISITLPTTTTTSTSSPTFNANTPNSATRLLRTP TLPSPAPSFTFSSSEQRHRHPLSPIPSIPSNSSLHSTLSSKHDMALGIEPDLGIHPAL RGTNRETQTERTIETGTATKPETTPELGDTGFYRQRAELATYSQSELINIPPERRHPH FTASSPSPTSLPNSNSNSPPQTTRTSRSRTKIITPDGVLLSANFERLPGCEDYATSFA QHFDGLGIQLVEEEILPAYACDAIEGEGVRADGG ACHE_70245A MSAPKVAENMLWGGRFTQGLDPLMVQYNESLPYDRIFWKQDIAG SIAFARANTKTGILSAHEFAEIERGFKQIAEEWSTNTFIVKENDEDIHTANERRLSEI IGKEIGGKLHTGRSRNEQVATDMRLWLRDELRKVEGWLCDLIKVSTARAEAEIEYIMP GYTHLQKAQPVRWSHWLLSHATAFASELQRLREVIRRVNKCPLGTGALAGNPFHIDRE GMAKELGFEGLLYNSMNAVADRDFAMETMQWGSSFMLKISRWAEDLIIYSSLEFGFVR LSDAYSTGSSLMPQKKNADSLELLRGKAGRAFGQMAGLMVTIKGLPTTYNKDLQESVE PLLDHIKTLGDSIQIATGVLSTLTTIPEKMTAALAPEMLATEIADYLVRKGVPFREGH HISGRVVALGEKHGVSMDMLSLEQLKTVDARFDDDVQACLDYERAVELKDAVGGTSKR AVLEQTSVLKELL ACHE_70246A MNKRKRSPEETPAGPKTHNSNTSPVAAGELNESLPSISRKITAC AACRKQKIRCDMPDGVPPCTRCRRRELSCVLNKSLQSLVEEAKNTDLLHVDVREIHLT LGAVCEHLGLDRPKPLHSSHNHNSNDVSAPDGDVSGSQAPPSTIDNNDQDQTLPGCEV SPPGTPSAVQAPIDTYLDIAKLGSNSHSRSPGSGSAETPSNQLSRPRRHASPDLVSKR IISMADAETLIDRYFTRVDSYLYGIGSRLHNLQRLRTGHPVLFAAICTVSALHDPGDQ SLYESCNREFRRLVSQSLFEKHDLEYIRALCISSFWLADASRILLSDAIRRSADVHLH RSFGRLWSCAGDARSNPAVAEMRDRVRLWYLLFICDQHLSILHNRDPLLRSDTEIAIS WEAYLRRSDVTDSDVRIVSQVALLLIMSQVRDVLGCDSDTETRIPQTLANQIVHYSRQ LDKWFKRFSSMFKPDPFLGDFPRRGLQLHYQFGKLYLGHQVFKGLRGEAIPGPFMAAA SMAHDAAISIFEMILNEETLQANLVGIPHYFHIMLAFAGHFLLEVTKGYAGQLGIVPD DNFMLIRKVLTLFRETRCVTMHPICRMTPGLERKLGDCAASLVSSSTQVSPVAVSGMT KGIAENVTEGFVWPGDGFFGTVAGTETETGTETGTADEMFLPEWDFGEFSFPGMLSNI MP ACHE_70247A MAQDIKVENGPEPQYYDQEDVKSSPPSAQMDPFGAEDSADVKYK TLTWWQTGIIMIAETVSLGVLSLPAAVASIGMAPAVVLIVGLGFISTYSGYLIGQFRQ KYPFIHSMADAGEILMGQVGRNVLELGQLLFFLFATGSHLLTFTVMMNTLTEHGTCSI VFGVVGLILSFLLSLPRTMKNVSWLAMASFISIFVAVMVTMIGVGVERPGKGIYSVTQ KTDFVSGFTAVTNIVFAYCGHPGYFGFIAEMKNPEDFPKSLCLQQGFEILLYTISAAV IYRYAGDGVASPALGSTGPILRKVAYGLAIPTIVIAGVVLGHVAIKTIYVRIFRGTNV MHQRSFLGTGAWIGLTLAFWTISWVIAEAIPVFSDLLSLISALFVSWFSYGLPGVFWL YMYWGDYFSSPKKILLCLTNVGLVAIGTTICACGLWVSGLAIHEDSKGANGSFSCANN A ACHE_70248A MSMTWRETYGGDARKKQRKLRVFWTRERLATWLQANYEDRHQGA EKLQNLLSLDRSTHILYGTGWFGLEPLNAAPDGAWMNVQSDGYGRESGMLLLSLPLLR SSLRSSTLESERLTV ACHE_70249A MPNQIPVVSVMGPNHDQSEHTRARKRNENRSLAPNANACRFSIY DTLFFIFFYFLSFNFFLVRPTHYHRQWWPLHRMAMLQQSTTDINPRLVHKALSKSEHS QVLNIRKDLSGLKISLYKPHSLPSHITHSLHTSTMSYKTNYEPYNSMDFDMERERSSE EVLYPLIDLYPHVVALQLQADGQYFNRSDSDSGYHSVNYWTDSSPTRSTFEEESRMSR KSNESTKDRFVSKIRKILCQNDLRRRTNSN ACHE_70250S MALNAQDSGEPFLQSSPCLGSPLGHFAQTPTPMKAEQTSGRPEH IPNGSSTEFPYGLMSPMQEQPVRMEEAPAAEPARVDPTEWYPHYLVCLRYFLDHAQHT LPVQSMTSFINIRLPCQRPSAPVFQFTQTEGSPASAATVSLTPYIRRLIVTGNDTPAV LHAFFGNDWEAGIETIWKQERMNYLFTAKSSGWASTKEAYDILPDEQTPTLRPLRNST EEELRLADARWSDWLAMEDWMVGPRSPW ACHE_70251A MPKKILIILSDAKSFPLKTPTGTTPQQTGFFLMELAKPLSKLLE SSHEITFSSPKGLTPEPDPNSESLLAFAGNFYERTRENELIQRMRRENGFNSPRPFGS ISDAELETFDGVFIPGGHAPLTDLGGDKELGRVLWHFHERGKPTAVICHGPYAFLSTR EIGDRGFAYKGYRITCWSDAEEKVMETVMGGEIPKVESALREAGADMVEGLSTKVGGI TVDREVVSGANPTAAGALGEKFLEMLGS ACHE_70252S MADAEQDLHGKNQEPGKTRGFPLGKGHDVFDAEHLGAVRALQLA EKVGDQNQSLSCWTPKRPLQGCDTPSQGQVKH ACHE_70253A MANCSMKEALTAKYIVSRQCDLPIPRRYPEADPGTIIPFVIATI LFAIRMTAKFLRLGGGWGPDDYTIITAYVLAIIAFALNISMVHYGFGMNIWDIPPQDN ITIAYKYFFAFVLVYKALISLAKISVCLFLLRIFQSPVFRYTTYTMIAINTAVAITWI LTDSFHCIPVHLAWTGWAMEEQGTCINFIASTFANGFVNIVVDTVMVIMPVYEVSRLN LSVQKKIGVAVMLGMGLVLTTIGIVRVVIFSRNTSNTNPTFEMEPLNHWSVIECQIAI ICACLPTSRALFVRVLPGANTTHDSSTARPYPTATGASSRTAAVSAFAGSGEREKGRI AKTVSYSVDIGSKTKRLTRESDGFIQLKDIEAGGERG ACHE_70254S MPHSVHSASSLEDGSGDPLQGSLTGLSLTDTRETETVASDPVQS IEDLTRDVIPQHLARLQQSRRFTLDETDALYVQGRHFQGKWRVSEEGSSIGRHYCPVG SISQL ACHE_70255A ACQGGGTYDSPPSNKTLPLIHYLLDNGADPQKGSWRDCGALCTA LEFSRPLEILDKMIDKGATVDDFVFSEAVRSRRIDAVELFFEKATLHCSTKKMLHEAR KTKDREIKSLVKAGVAKRTDQPKWWQIWK ACHE_70256S MNHSVSTLISLFFILGAHVAPALAMGGCLSAPRDPNDVPPVPQP WTQCKNRPKKWACESKKIDFPTVECLKKDMETCGNVGTGPTIFYSFGAETIEVRKPVR DTKNPKGVMWNDALDASYTEMLAKRKNTFKLDRPERQKVYNARYAEALASLSKGEVFF GVLHYNGKYGGHGAYQNVRTGESPEDNTWIQYEFPTLQHKSRATKVTTFAISDGSYHV DWERGVKGDLKPYHDASKLAVPVVKRSDESNTCALDNNPKDYEKDEEECEE ACHE_70257S MPPVTRHSARGHASGGPRHGDSPREQGLAALVKARKHRKREKPD NQMEGDTGEPNASPPPPTPLFMDHEMATQTPPAMLEPQSPNKQLEFELRKNASIALQA RAKKEEEEDEEILEFLNILDKKLSSMKQKNLPRASSFGKALQTFAHNYFTQPGANANN QGHTANPAPASPPKTYANAIPTPKPNNTTGKKLVVSAPKPARPLHLFLRLPTDHPARH ASPHAALQKLRSSLDPAVTDAIKEIQHVPTGLAIGPKDAQSAEILLDNKDDIQQVIQG SNAELEQRWAIFVIPGAIKQYIGYDTSIVTVTEQAAKEEFKLQTGITPLKLHWSKRSQ EHLSIQTMQQQWSWQCQRLPPPRFHLGSISSARTSALDARS ACHE_70258A MAILVGITSAMHMYAAPTFPNQIYTQAYWFAVIAAVLYFALAGI LMINLLGYLLGHYPQTFVLTDSQRTLILQTTMLGIWLAAGAAIFQKLISLTFADALYF SNVKILTLGFGDITAVDPVARGLSSRTL ACHE_70259A MNRLMSILSKPGAGAQIQGVAPTQWTRREDVQADMPNFTGYAPK SKARLLDRWLDTVVRVSGSEPVFFLILASLLVWALLGIKYAGTDSWQVLISDVQAIIS YVFDSFLVRQQLNAYGEEMAVVAELQSRILSHSRMLAKLHQETDKEDEEKRSRVMDLA NQYRNAIDSNAELPLETWLGRSITWLSHVFGHLGTVSLYWVGVFAWIGTGHLYKYSNL WQLYMNSASSALMVFIFAFLANICERHAAHTRRCLNAIFKIDSTLEFHLRLLTGDTLD NDVVIIPAPGVSKIQRTIFYYADLVGTLVGTAILLAVIIFWVAIGPLLHFDSNWWLII GTYAGLIGMNDGFVLRNMQACRRSYVDSEFTKIDSQDAKLFEMVALPIPVKESTRKES LTRRASDLMNRFCAHELTVVAGFLTILGLIAGASAMKWTMTGQLLCNVPPSLIELFFM LILITGHNSADDQRRIDLQNIYKRRLELLAFVNGLKAFQVELNVVKG ACHE_70260A MISLGEESDAPSADKNYNFPLQVIHEESSVGDFSDSGLPSSSLN ACGVSKGMDFPRQVWGLLNLVPPVWDGMIYLISNDPNANLGPAAFSHWNENGFDPVRC HSSSDYWRRAPLHCAIDAGCLSIKADIWPSNEDVLVGHTPYSLHQDASLHSLYLTPLF ELLQKRNKRPSRPVPPQGNNSSLAGAFAKEPSQTLVLLLNFKANGDKLWPLVVDQLGP LRKAGYLTNFNGTGINERPVTIIAMGNVPFHAIIANQTYRDIFYKRSATGKAASKAAA VRGRAFCSSSP ACHE_70261S MARVDNDTALRNMPLEPISSLAPFSALIMSIVLIILFLIRYYIL EDLLIPRIYGRIYTELSELNKRGFINHHIAGTTKIVILIIAAYPFISAISGKATLKSP YTRGSIFTVGDILLVAAQMLIAMYAFELIYRIKLSPIAVLHHIGTILIGQAAIAISLR LVREPDADIEFILCTVWGAFDIISEFFPHVAIILYRVFPNRHVFLKRVFLLSCITTAT GTTCETIVTMYLFGSLWSRWQIAFKVVTPILHVAFSAAQIHGSIVLWKMYRKQCQYVK GSVPVEGADA ACHE_70262A MYVNTSKLATVALATILSANSVLSAPIAGRSSNLLTRDPRGSHG STSHKVSDVTGAISDGTGAIADAMTLQEYLNQKRSPRGHHSGSGSSTGERVSTWTGAL GDITGMGADAATIAEAANNQKRSPRGHHHSSSSSSTGEQVSTWTGALGDITGMGADAA TIAEAANNQKRSPKGHGSSHGGSSSSDKALNGLDAAGNLVGIGADAATIAEAANNQKR SPKGHGSSHGGSSSSDKALNGLDAAGNIVGIGADAATIAEAANNQKRSPKGHGSSHGG SSSSSSDKALNGLDAAGNVVGIGADATTIAEAANNQKRSPKGHGSSHGGSSSSDKALN GLDAAGNFVGIGADAATIAEAANQKRAPKGHSGSSSSGKALDGLDATSNIVGIGADLT TIADAANQKRAPKGHGRPSSSSSSSDKALNGLDAATIADAANQKRSPKGHGSSSSHGG SSSSDKALNGLDAAGNLVGIGADAATIAEAANNQKRAPKGHSGSSSSDKALNGLDATS NIVGIGADFTTIADAASQ ACHE_70263S MPPSNLLIASLNFTRAETVGAGIRQFIADRSLVIYGVENLTQTW LWDEWWTNLSQWLSRPDVIAAIMAWWITFTIVMTIIMCLGFGPGGVIAGSLAAAFQSL MYGGFTPAGGIFATLTSMAMLGTFMFPAFLLASVLATGVTVLVWGLGVGK ACHE_70264A MSFNFKSVFLLALAANAYALPISTAGDTALETRSLEARTQYKDV DCNGKVFKADILRSSISKAREVQGLKDQKKPGYSYPKPYGNDDGNMFPGASGLYEYPL NSPVYSGKGIPGSYRVIMTSNYGYKGALLHKGGNTFQKCINVEDKKKQEEEDARKKKE ESDKQSKEAKEANERKKHGKGGKQ ACHE_70265S MVDEYDEYAEYDPDLILTPEFQLLQNLVKDPNASPEEAVQQVVE LTKAEALSGKWPKNTIGGDFAWNISHLALDIATNTKPENQLNLLNFMRKLQTVAVMDP RTGEQLIYDHEKLWTDLPCVGYYSSDLQDFWHYTQHTPEEIEKWENRSTFFARATATS KPFVDESHSFDPLDFSLLAYFELNGAFESEDVYETAVRTVCIWYIHAAEKLWYNCRME RDHTNENTADRKFDMNKWEFWKQELIAASGVYKEGGTRMLIKEAMECINCVDSSN ACHE_70266A MIHLEDSPLSETVHHERLRALIAGQLDDMQLVCQDHKVLINANY LVALFQSALRHLADEICEPFHYVKATRAYNAVPSSLAAHLAHYEEIGMQSGFCHEDLA PSIASALVMDHYIPVMLSG ACHE_70267S MATFQIPPPRNDDYQAISRLMKTTSEQENRSRQYQGLLESRIRE EQATNRQISDRCTWLESIIRKTNEYCTEIS ACHE_70268S MRPKSRNDFAIAIICALPLEADAIEALFDETYDRLGKHYGKQPG DANVYINGRIGKHHAVLCYLPGMGKESAASVASSLQVSYTGIQLALVVGICGGAPPPP KYDEIFLGDIIISDTVMEYDFGRQYPGGFQRKTGVKDTLGRPDREIRTLLKSLQAYNS HIEFQDQVLQYLHTLQQAGTRWQHPDVDDVLFKASYLHKHHGETSSVKCCCSESNVLD SICHDALETNCKDLGCDNDQVIRRREPIKAANISVHIGTVGSADKVMKSGQDRDAISR KEEVIGFEMEGAGVWDNAPCVVIKGVCDYADSHKSKAWQAYAAATGASAAKAFLEYWR PVNHEDVSKNRHLMIPFGRNPRFVGRQDEIHKLEDLISMPDGPKKLAITGLGGVGKTQ VALELAYRMRDREPECSIFWIPCTRYEAVEQACMAIAQVIGIQDVKPAEMKEHIKAYF SQMDGKWLLIFDNADDLDMWVKDSSTGSALRDFLPYNSQGHTIFTTRNRKLAVKLASS DVIHVRELDEKTGMEFLEKSLIQESLLNNHHAMITLLEQLTFLPLAVTQAAAYMNENG IGVSDYLLLLQEQETDVVELLSEDFGDDGRYKDTQNVTAHI ACHE_70269S MQNLASTYRNQGRWKEAEELFVQVMETQKQVLWPEHPSTLTSMH NLASIYWDQGRWKEAEELFVQVVEIKKQVPGPEHPDTLASMHNLASTYQDQGRWKEAE ELKVQVMETWKQVLGPEHPSTLASMHNLASTYQDQGRWKEAVELIVQVMETRKQMLGP EHPSTLASMHNLASTYRYQGQWKEAEELFVQVIETEKQVPGPEHPDTLTSMANLALTY CNQGRWKEAEELEVQVMRIYEQVLGPEHPSTLASMHNLASTYQSQGRWKEAEELEVQL METWKQVLGPEHPFTLASMHNLASTYRDQGRWKEAEELFMQVIETEKQVLGPEHP ACHE_70270S MQSPLFLRRSFEPILKEISPTDHEILRCGGTPSSLERLPSRLQQ SKRAFPDLRQQLEELAYEASYLRAELQWQKESKQALLHFWEEVFGVFHLLEDALVQVT MRLRDSEQRYISLWRRSSGGGENGGMI ACHE_70271A MTTKKLTARQARWAEFLSRFYFLIRYRPGRENTLADALSRPVTD IQKKDEYRHQILLKPETVEAPIQVNDLEPALQVVDQILKANRNSATAEGYHKKAQEGK DDWTLQDGLLLKGNRLFVPDDDPELRTRLLDEVHAQVSTAHPGRTKTQQLIRAQYYWP TWRQDAERYVRNCSKCQRAENPRDHVPGLLQPLPIAERPWQHISMDFRSFPVDKNGYD AALVIVDRFSKRPISIPCKKTATSEDVARMFIKHVYRHRGPPSTIVSDRGPQFVSAFW DELC ACHE_70272A MPRPRNTVASTRANRNETNNDDTNRNEANTNTERENRQNPILID GDENEEQRMMTLEEFLQYASEEPEWLYEKLQATHQRYDDSLDDHKVRLAEEELRGQTK DGEIALLRRETEEMKGQLQDIKKQLTDVTAERDAFGSQIARLVMDSASGRRASPMPIN SKSTKIPDPPMLTDGKEPRFEDWLLLMSQKLTANADHFDTSQLRIAYVASRCDGKARK HITPRMRDDAINPYTDSKDMLNHLKTIYDDPNRVTTAKHQFRQLYMKNSDKFHDFFSE FLYLAAEAGVAEDDWKDELYTKLTTKLQELCISSSIGDGTFQEFSSAVSQTASRLEVI NHQAQKNRTFTPNKDMSKGTSRTGTTFKKEPTPSQSTNSTTPRMGNAERDQLMKEGRC FHCQEHGHLARDCSTKTPTPELKELEQKAPENEQNDDAGKV ACHE_70273A MAPSQKALIAITSAHAPLYPDGKETGLFITEALHPFEVFRKAGF EVDLVSETGSYQPDWLSQQKDWLSDQDRAVHEDHNSEFRSKLDKLLKPNDVNPANYGL FFASAGHASLIDYPSAKGLQAIAARIYTIGGIVSAVCHGGAIFPGVIDPSTGKSVIAD RKVTGFTTRGEEEEGVLDTIKSWNRPTIEASAADSGATYVSPAGPWDAFTITDGRIVT GANPASAHVTAEAAVKAFNNL ACHE_70274A MNAVVVQGGKGPADSMSIQQIPKPVPCTGQALVKIKAFGLNRMD LLQREGLYPLPPQAPETLGVEFSGTIEALGPDTKCDFQIGDPTFGLAYGGAYAEYIAV STDMLIHKPAALSWEEAAGVPETWITASQALLLIGNFVPGQSVLWHAGASSVSISGIQ LAKAKGAKAIYATVGSQEKVDFLVKELGVTAAFIYKTQDWASELKQATNGEGANIIVD FVGASHFQGNLNAAAYDGRVVLLALMGGAKLPDNVDISALLSKGSA ACHE_70275S MPPNDVLDQLITQFFDCVDWFMMVFHEGEFRRQYRNLIASVDVS ASDGNNNFLWLLLLVLGLGAHYSSFTGSLGERQQNLQDLSKRLLAEIEYKFLPIIGSP NVEAVQICVLMGSFHLFNGRPTVGLGVLGSGIKIAQVIGLHRESMWQGVSDVARETRR RSWWALEVADKCVVSTHPASLLADQLIDYFPDTQPLPLGGRAL ACHE_70276A MSFLYYAFRKLLNAGAPDDIPPQPAYIMTGRCKGESMVDGQTVP CFCPKGQYQVVDRHPNLSELRCICGHYFSKHDSSPESTTSAPSALAPTLPRHARSAPR KRLPETFTERNLTVTALWDLIKRRQVVHIRGTPATGKSTLAHLLARHVSILEPELEVY HLCWPESFDYLLKSTPYSYLLNDLAHRSQDCDDWMDIEGLLIIDEAQASYRYSSLWND LIKFLEPGFGLRVALFSSYGSASALVQEASTLTPLKLNSNQRVSLKRFVEHPDLCLLL SHDEFHDLVYRRCLSYGDSQPFRPSAELIEHLFGMTQGHAAASACVLEILSESKELRS FRKRALPIPLVDALKFIQTDKFLKAALNSAKFARGLVSEEVLHKMPDLVKFLQTAVSQ NFVSGDPAPGTPLELCYRNGWLQAELSRDDSTVYVFASPLHRRCTEFLLPQPSEPFPR SLYPTLKVFSFSVLRMFSAVALNIEAPLSDSAVSRPLEAGYQDEYYRASFELLGNLYL KSEWAGTQQTGWVDFVIPSEKWIVDCIRDGDKLEEHIERFQMTGKYRHRISNQEVQEF ILLDFRRSIPRKQRGIDWLFHVVFADDFSSYTVYDSDCKVVLGESQVALLR ACHE_70277S MDGSPEAESGPDRRQALACSYCRIEKIKCSRTNPCENCMDRGEE CTYPLVKRRVGSKRDKPRKALEERLARMEFLLQLSNSENAATSSANREQRPEFSTSAQ SAEMQNPESQSPFSSAMLNDSGEIMDGISTAEVDPLKDHPSFHSQMTQETSHTLPPLP APTLTRPQHLTHHTLPEGVQDSSPHVECADLLGTEVGWEYHGPTSFLSICSIPGIAWV SESSGEPGFFETAKTLVLNIDSRLKMRRNLRRETIAEPDEDTAWKWCKAYFEHSFDAS LGLVSQQHFETRLRHHFAQRDVTDDDPAWYALRNTVYASGYRLSSSNMPYSNMFGEIH GQAWRYFEKAFSVHTELLYCDTGLTAVQALTSMSFFAEGLGSPSLGYMLSSCAMRLAQ AKGLHRQPAQSWNLPQAEQQHRIRLFWTLYILEKHISYRSGRPSIIDDDDISCSLPST QLADNTTYTDSFIYIVQHARISSRIAKQLASGKSFRQTHAKILEVIQELNTELQEWRD SLPSFLQPDAPTTRHEKRPRNINMYHVMYLRYAYYGSVMAIHCILTHPWNSSLFGSGQ TAALHSHISISSHVVVNAARSIILDTNAIHVDASTPIWLAFYFPLVSAINIFIYTLKY PALPTASSDLALLDIATGHFSRLELVSPDIALPFVREIARLARATVECVNKVATSPSS LLRPDLQAFPVTPPFLQNSEDNTSLKEDMLELYSDNWSTMFPCLNDEFPRNY ACHE_70278A MSKILTVVGATGAQGGSVVTSALKSGAYKVRGVTRNVESARAKA LAAQGVEMVAADTNDLASLVKAFKGSYAIFVVTDFFASFGTNGVEETVAIESNQGINC AKAASKTPTLEHYIWSTLPDNQKISGGKYSVPHFESKTRVDEYIRQDKALLSKTTFLF ITYYATNILMPMFTPSQFKTTGKHVQLLPVAEDTPITTLGATTINTGIYVLAILQQPQ LTLPARTVLAETETRTAKDIVKLWSEVSGTPAEYASISLDHYDNLWPKWGREVGLMLQ FWDYAREKSWIAEDVVIKKEDLNITGLVGMKEVFAGIDWTTL ACHE_70279S MKPALLLSLVGLGAGLAIDLDLGASLSAGQLPQTNRFELNVTWE LWAPDGVERYQTLVNGQFPGPPLIMDEGDNVEVIVNNFMPFNTTIHYHGIEERHGQME YLGSLRGLFLLAEDLCIPSPYSNMEHTGEAFKV ACHE_70280S MSYSILINGKGRVNCRDPGYLTDMVPTSLKSVLQGMNYTVKGYF GPGKTLVMVTDWKLKMRSTSKHLCPNNVFAQL ACHE_70281S MSLHSNPVTHSISILIESMFLSVSNGSHKLQFNLGKFADFVFQG SGTGSFNWTSVAEAAKAIPESFNLVNPPMRGLFSSNIPNLLLRRLILDISRYFYNTPC FSRPKLVGNSLSCTKSRGLLAPLSSRSASDGRNGIDEQLDF ACHE_70282A MDLIHNNFRGIWNELYSTCPKNKRPNGQSIRAFLSLAEFFCHQL TLHHTIEERHFFPELAVRIPEFRKELSLVGQHREIHRGLEELEAYVNMCRTGETELQL THMKTLMDNFGGVLWAHLDDEVKALGAENMRKFWTLHEMACLYH ACHE_70283A MTSTPRPDPSTLRNTVDKKVTIVTGAARGIGFATASLLANHGAR VILTDISDDALKIACSTIGLGSTYKACDVSDWEAQEELFDWTVRNFGSVDIVVCNAAV NPEIALLQTQDADRQREMAGMVEYNYLADERREEAETSAGSTRLKRPSTRAFDININS VVFGLKLAIHHMKRNGGGRVIVVGSAGSYLPVPTQSLYTASKHAVLGLVRSAAMTAEV IQSGISISIVAPWLTLTSMVDGLEATRSSHTLKSSPEDVAWAIAHAASAPAGDVNGKG FWIQGKAISEVEGAYGRLAGELISPLNRF ACHE_70284A MPLQYDPEFAELAAPVLQLVSQAERPAIHDIDTRRANLDAFSSQ ATATAQIPNDVEQIVHYARTADNHDVPMLHFRRKNTLPSGPGPAIVHMHGGGFIALSA AIGTPSLSRFVSETGVQILSIDYRLAPENIFPKPLDDCWTALTWIHGHAHELSIDNSR IAVMGESAGGSLAAGLTLLARDRGLSPPLAKQILVYPMLDDRTRTNPFGDLAFWSAED NVTGWTAYLGASAAAKSVSPYAAPARVNSVQGLPPLYLDCGQIDVFALEDVRYVLRFM EANIPAELHVYEGLPHGFEGFAPTSGAVKQAFANRARAIISF ACHE_70285A MDGKKYLQTDALVIGGGFSGCCALHKLRQQGLTTKLLEAGGDFG GVWYWNRYPGARVDTEMPMYQFNFPEVYKDWNWSERFPAHDELRRYFQHVDRVLDLRR DAIFNTVVSEVTYDEGERMWCVKAEDGMQAACRYLIVATGSSYKAFYPSFPGLEAYNG HLVHSARYPQSLEVTGKKVGIVGNGASGLQIVQELAKQDCEMTVFIRTPGFSIPMRQR KFSPAESESQKGFYDAIFSKCYNSTTGFANNTRNQSGHDATPEEREALFDELWQRGGF NWLISNYSDYLVDERVNSMLYDYWARQVRARMTDPVKMDFVAPLKQEQLIATKRPSLE QDYYEMIDKPNVHLHSLKEAPIVRLDANGIVTRNVAGDDEHHHDLDVIIFATGYDAVT GSQLDLSIQGRDQISLGQKWKDGTLTHLGMMVPGMPNLFLLYGPQAPTSLANGPPFIE MQVDWISKMTSKMKERGVESIEPTQAAAEQWREHVLVASTYTLLPKADSWYMGANIPG KRREPLIYMGGLDRWWQMCMKTLETWEGLKTG ACHE_70286S MASKLEGTALITGAGSGIPSSFQSFKIKGITNPGIGRGTANTLI QNGINNLSLLDINISALESARNDLLSQHSTANILIQQTDVASETSIATAVKKTVEMYG RIDVAINSAGISGNPCPTHEMSLSEWQRVIDVNQTGVWICQRELIRQMLGQENLGPRR GRGVIVNLSSMFGVHAPRGEFGISPYTASKHAVIAITKLDAKTYAPHGIRINAICPGY VDTPIIADAIRLGALQAEFDRTPMRRPSSVEEVADSISFLASPMSSYMCGAALVMDGG YTI ACHE_70287A MLESIFEGLDQWSLSSGGWGGEERRGPFSVFGVGLQRPGESSQG TGSETGIGGDGMQVDGLKTGEEDVIANEISQPSVATTQSPAVSTTTTTPYLLYRDESP SDTGYTIEQPITSTALPETELIHHWVIFLSQNMLLIDTPDNPCRTVFMPLALQGLDTS SPAHLAVFHAICAASAFSLSYLRNDARYHSSAVRHDQHALRLLRRHLLHGGRLDEPTL AAALTCITGEAMSGRKGRWRAHVVGVLGLLEREISRSWIRSSTATPLIQSCLSLSTLC RLRVPRELVALFRGVDPQERDCYLERAHGVTRNLVEFLADVNDIKEVRSRVSPAELDQ LELRLYLNFPRVSADTHKSEVVQHALNSFYYATVIYFRRTLKGACVADVRDLVEKAVQ DLEAVEAQGSACGSAYNWASFVVAAECDQPDLQARMLNCFDRKRRHGIKNIDRLREFV RIVWERRVSTGVDIHWQDLADEFEFDIMFV ACHE_70288A MPMSSEDSPSERMLTNVRCLQTMFQISMPQQKQSSPVYHARRSH KKSRKGCLTCKERRVKCDEQKPYCQQCQRRSISCRFLSKTSPSREICVDKKPGSSDMN ITLFPPPSESVTKRIEETLILDPKWNHFVLRNQDYARSISTVAFQHFINCSTETVAHP AIRDVMKTDMIRVSFSNSHLMYTVLGVGMMHLNRMSPLKERTFAESLFWQHAIQKYQE ALFMPVRKDSVDGLLSTCMLMGSMTVCPERFVPTDSWVLTKRPKDLNWLCLQSGLRLI LSLTAPYLPGSIWAAAFNEVSKEECQLHDQRTQQGRVGLDPDLADVCEIDNSTTERNS LYYAPLRTLTTLMGLEKNSRNSALCASFMGRLECSFLTLLKARDPPALIILAHWMGLM CLLSQWQPWVEGRIRAECVAICMFLEQSADSRMRRLLRFPAGSCGYGLDKI ACHE_70289S MSTGTCYTMEPPTEVAAAATAHTENQPAYSADNEHEDLSQNRSR SYHIYHNHWHRDYNITTPTGQQLYYVDSSSFTVNKPDLTVHMGIDNKAPVIATCKFMH FSRDFCVGLGDPQSPNQVDWEDVICQGFRRNNYRWQMNLPSGRHTFVWKRTHSVGVED VPLSSISYRNFKLVDESTGQVLAVFTNDYLSTKKAGDFQVYADYGRGFNLISLITVLA LYEKGSRRHRRIAAAGGGAGG ACHE_70290S MKHQAALNTSIPNFEINRVTNIVQALLKKQVFQSDTKAKMEFPD IFTPSPANNVKSNVFEADADHSAAHILEEITLAHAKDSERTQVPPGSLSVQLQAHDEA QVQAEGDSDSSPGSALEPRLPMPSLYPSYFPYSAQHSLLSSIQQVLEECCFDFTKKWL PSEVENHEWDCAAAMELTEWTKFLAKWSPQLPHESLQLRGSQLDTLLSKICQIRHTAV HRQPITATSVSLLVLAAKRLAEALQDPLRTSQLEDLHFDIQNKIQAMELNKNALEADH AHELRAVQLQRDELDQKEEQLRAKIINHDKENKTLTGLLVKESIRRIFNDRESALDYD SAWFEAEYEGANRNTFN ACHE_70291A MYEEAGDDETLNSKEHFERALVRAEGWVAMTPDDHPACNRRIET FNPMPARRNNADHQSPRSPLTFDPIPTTEREPSRSELLE ACHE_70292A MVFTGDIGLSAGALLTGTQKLAAMLVEGNLDLDFIVRQTFNKSP KITTEYQRPHERPDRLYKSEYNHDDSCDCQLDCAQNLSRLVNRPERNDYCVEVHCGNI GSADIVVKDALSRDNYAREFRLLCFEMESAGLMSKLPCLPIREICDYSDSHKNKHWQG YAAATAAVYAKSLLKVIPPENVLQTRITMDKTELERHIRSIIRDVQRVATSRSVDEDS QIRFKQFRTIQPITVAETGISKPGT ACHE_70293A MLPSHGNFAVGWIAAIHKEYVAARQVLDEVYEDLEFPRPAEDLN SYTLGRMERHYVVIACLPSGSYGAQAAAEAVERMKSSFPMIRFVLMVGVAGGAPTQAD VRLGDVVGT ACHE_70294S MVGIGGGVPKSVRLGDVVVSTPTDEFGGVVQWDFGKVQQESTFK RTGALNRPPTELLSALTKIEKEHTMKGSKIPQYLKDLETNWPRLAPKYTRSKSLKDVL FKADCKHIENTKESQEKYDNEEEDEEDEEEKEANYCIHCDQTKIVRRKPRDMRVHYGL IASGNLVVKDAPFRDEINKTLGGKVLCFEMEAAGLMNDFPCLVIRGICDYADAHKNKN WQEHAAAVAAAFAKELLLLVPAQEVEQMPTIKQLENQLEGVSNAINEIRSHQRDYQRD QKSQAIIDWLTPMNYAAQQNDFIAQRQEGTGEWVLESSEFQLWLKQTNQTLFCPGMPG AGKTIITSIVVNHLHDKFRNEPSIGIAYLYCTFQQQQEQRPVDLLTNLLKQLIMGQPT IPNAVKDLYDRHKPKQTCPSLSEIRNTLHQVAAFYSRTFIVIDALDECRATHDGRDMF MQEIFNFQADIKANLFATSRFIQEIEAKFQKVIRLEIRADDTDVQRYLHAKLQNCPSL ISQNDSLQEQIKNKIAKAVDGMFLLARLYVDSLACQTTTKKVKRALQELETTVEEKND DKRSKALDDAYEKAMQRIQGQIQQHEELAKQVLSWISCAKRRLTSAELQHAIGVEENT SEFDSDNIVDVGLMVSVCAGLVIVDKESDIIRLVHYTTQEYFERTWECWFPNAHIDMT KACITYLSFEVFKAGYCPTEDALRERLQLHVLYDYASQNWGHHAGKSPIQGEKLMLDL LEDTAKVFACSQAMLSQIFFVTETKMTGLHLAAYFGLWKPASILLGKNHDIESEDKYG RTPLSLATRNGHEAVVKLLLEKNANVESKNEDGRTPLIIAIRNGHEAVVKLLLEKNAN VESKDKYGWNPLIIAAEYGHEAVMKLLLEKNTSTESKNEYCNTLVLQSVGNRDSTDQM F ACHE_70295A MPPKRASRTPQPAPQRVYDLRKTHIPRISPPPEEGYQGRKNTNG VYVPRAAATKAAAMRRRAAAARAARANEEADASPGPPQTRLRTPNRRSTTPQARRSAT PGKRVQFALQNAQPKPSSAAKSNASPQKEALHRRAASTSTEPETTEADEASEASYDEE EVYEEGVNEDHDENGPEDEESGQMDDEGSADEDDNLPSIDDRDVDTEEEASARALYDA KEKYRELIGRRVLDEARRRYPEGVQRQPREVETSDLEAALEDAMRAADYPVGIILNIR VNKKPYVKKSLPDSQRRSFNMENVEKAFLSAIAPTVGEEEYQIMARRVTVKHSSGRGG TTHHDFDDFDTANGSHILSIIDKHHSRHRTGMIEAHFDINVQCDAILPTPKRSRQPEP PSSDIPSSPPSFPPKKRQNRSSRLQEQHSTRLDTIRVAGNFQRQLMDRWRCHDPNCTN KDNYCFSDPTERTKHFNITAVQHEAWANAISNGEATIQNPPVKMLRYWEEHQGALNRQ SRQPARQTFIQQTKSSLERLAEMQQQMHERMLEARMYDQMDALEEKQERREERNERRR MEQERREHELAHARLMYMPPHYAAMPYSHGQSPRPMMPISGQYPAAQYPRAPITPQAA SRAPQKRRSSPIDETTDEYELLESFFYWKNVNTPNPRQKEKWNQVKEIVFQNDWTIQD LKDMEDDASPMYQRAIKAGISDGFTRLIQRELQAFKRDVRRQKEAHEEELQAIATLGQ LGHQTDIEGSEFMRYT ACHE_70296S MHDVTKPSLDLSGANELDNDDRVRVLAIIDQLRELGINEDISLP QLVVVGDQSSGKSSLLEGLTGLSFPVASELCTRFATQIVLRRAPASEAAVSVSIIPGP AANADEATKKKLLEFERTMEAKDFGAAQFSAILDEAAECMGLPSTQTAGFVELDKRFS DDILKIELSDPEHSHLSVVDVPGLFHNPTKFQTIEDRNVIRGLIQRYIIDKRTIIMAV MDARNNLANQEVFHMARAADPDGLRTVGIITKCDALQAGDEHGVLEIFRNEMVRLSHG WFAVRNRSTKEIKEGVTMAQRHINEKQFFNTAPWANLRKDRVGIGPLKSFLGQVLFDH IRNEFPGLVNEVRNLTNETKKDLESLGPSRQTSADQRRYLIRSAVDYQRDVSNILSGI YSPRIESGSPRKLRTRLRFLMDEFSSRMAKEGHRWAFKLVDGRTDPEYAKDANKADEE SYKEVDLQSHGNSDNANDIEEWIRSSYNESRGVELPGTVNPHLLENLFREQCASWGDI AIDYLSKIVNAVSAYDGSALKDFVTDSSVRQKLQTRLKAKRQTAEEDMFAELRNILAD ELSGTLQTANPSYSDMLSTIRNERVQARVASMGIKDADSNNFDQFMTNEHWYNEQQAV NDIHDILVAYYKVARQRFVDNVIIQAGERYVVGETGPLKIFSPEYISGFSNEELSDIA GENYSTSVARSEITSRGERLQRALEIARRAGI ACHE_70297S MSDDLVEADSLEALVIIDNDLDPLSTIAPDTVQVSGHLGHLATN SPHHIKDRGDACKELRMEDICCSAHGLSILVTATKGDVKHSILFDAGPEEDAWERNVK RLRPDLSSVGVVQLSHWHRDHSGGLLRAIRMINDAKQHKNISNKLVLDLHPDRPDYRG LAIGHKIISLQADPTFEEIESAGAVIHKNDETHTVLDNFFLISGEIPRRTTYEHGLKH AMRFDREENDWFSDEAIADERFLMCNLKDKGIVVFTGCSHAGVVNTTKHAVDLLNGAV PLHAVIGGFHLATSEQEQMESTVKDLKRLDPAVLLPGHCSGWRAKFAIEKQIPGTLVP CSVGIKITF ACHE_70298S MDAKEIELKTKALAKAATQNDSASTITSILKELQQGVKATEDLL RSTRVGIVVNKFKQHKAPDVARLASEIVSKWRTEVNKQKAAGSPAKERSSSSPRPGAA AAAAGSNGSASPASATPTDKASKLSVPPDKRTWKADGVDVNQTSNRIRDSCIGLMYDG LCLNSTEPPRLVLQKASAVEASAYNSLGPETKEQYRTKIRSLYQNLKNKSNPTLRIRV LNGDVTPDQFVTMSHEELRSAEQREKDARIQKENMDKAMVAQAERSISTSLQCGKCGQ RKVTYTEAQTRSADEPMTLFCTCMNCGKSWKQ ACHE_70299S MKYTRANLLTPARNFCAALSSSSPIPTLLTHFTHDPYPQAHEHG LPHLAPFLGRTFTGSDGVARYFEVMTSELGFEDMSFEPEAEWLVDTSIMAVVIRGTAK FYAKRTGQKWDEGFVYRLGIAEEVNEEVSGRGELKVQEYEVWADTGAAYLALNGRLGE VLSEEKEGGTGGAGRTRRSQDRKRSGCGEVVGSGMGAYGSCGQ ACHE_70300A MAERRISYAPDVENGDHSRSAAGDEGNLDEYSALNRYISTARDG RRGSTSSAGNRSQEEKKKPWWKFGGKKDDAGGEGFVIPDEWHDTDMHSGLGASEIEAR RKKAGWNELTTEKTNFFIQFIGYFRGPILYVMELAVLLAAGLRDWIDFGVIIAILLLN AVVGWYQEKQAADVVASLKGDIAMRAWVVRDGQEQEVLARELVPGDIVIIEEGTVIPG DVRLICDYSKPEMYETYKEHLATVNEDTLKEKEDDDEGAMEAQLGVSLVAVDQSAITG ESLAVDKYMTDTCYYTTGCKRGKAYGVVLATARHSFVGKTAALVQGAQDQGHFKAVMD HIGTTLLVLVMFWILAAWIGGFYRHLKIATPEFSDNNLLHYTLILLIIGVPVGLPVVT TTTLAVGAAYLAERKAIVQKLTAIESLAGVDVLCSDKTGTLTANQLSIREPYVNEGVD VNWMMAVAAIASNHNLKNLDPIDKVTIMTLRRYPKAREILSRNWVTEKYTPFDPVSKR ITTICTCDGVRYVCAKGAPKAILNMSECSEEEAALFREKSTEFARRGFRSLGVAVQKE GEPWQLLGMYPMFDPPREDTAHTIAEAQHLGLAVKMLTGDAIAIAKETCKMLALSTKV YDSERLIHGGLAGSAQHDLVEKADGFAEVFPEHKYQVVEMLQQRGHLTAMTGDGVNDA PSLKKADCGIAVEGSTEAAQAAADIVFLAPGLSTIVDAIKLARQIFQRMKAYIQYRIA LCLHLEVYLVTSMIIINETIRADLIVFIALFADLATIAIAYDNAHFEARPVEWQLPKI WLISVILGLLLAIGTWILRATLFLPNGGVIQNFGSPQEILFLEVSLTENWLIFVTRGA KTMPSWQLVGAIFGVDVIATLFCVFGWLSGGPEEAHSSPDSSAVFSKNADVDIVTVVV IWGYSIGVMIIIAVVYYILTEIPALDNLGRKTRSKADTQIENLLAHLHKLAIEHEVDE TGKGRYTLGARADAEADDE ACHE_70301S MWLELYYQDSQRDVEDIVKKGSVLIVYTRGLNCSPTANETRALS LNRSVAFIKTKQFAAALADSNSAIVATGTTEKALTRKLQELYSLERFQECCEILKGLL KENPSNAAAQDELARATKRLAEQETGSYEFNKFHEAANKLRPPLLDDATYIGPVVVKE AASYGRGLFTTKEVKAEGLLLCEKAFGFVYADPEHRRDVTLVYEMGTNQLWFGANTEL IRVIAQKMYANTSLTSVITDLHADGYRSADVAEMESQWSTPVVDTFQFERIIDANAFG SQNSAAQNHIEETLYKREGIAINQGKSSFHSLASGR ACHE_70302S MLILRVTQDMPANTEITLAYKDPIPEFDEERTRFRSWNFFLRHQ RQKREAIAASLEQTYARPPTFVPRVALFDIYLDLAHGYMRKQQPEKAVAAALKTFESL GFVIEGGELPHVPSTQLVVKRWGMFHSRPSAGLCLLVRIVCSSRRFARRRSSMRALRI KRLWGRMRRLRRRRIML ACHE_70303A MAPPKVFSLEGKGLKLDTAEDMEAHIQPLLEGADFTEVRLGGNT LGVAASERLASALSAQKNLEVAELADIFTSRLLSEIPQALTALLNALLEIETLHTVNL SDNAFGLNTQAPLVDFLSRHVPLRHLILNNNGLGPFAGTYIADALTKLAERKEEVRKE GKEAPLLESIVCGRNRLENGSMEAWARAYEVHAKGMRSVKMTQNGIRQEGISHLLKEG LRHASALEVLDLQDNTFTIMGSTALAGVLGGWSSLRELGVGDCLLSARGGIKVAQALA EGKNEKLQTLRLQYNEINAEGVKQLLHATRTGLQALRRIELNGNVFLEEDENVNDLRE ILEARKDEHGHDDDPEDMWGVDELDELEEEEDESEEDELDEEEEEDEAKQAETEKKSK DVDELAETLGKTGL ACHE_70304S MSWLFGSSTGNAAQEPIPAPVQTSQTQTEKPKPCCVCKPEKAAR DDCMLFSKGEDPAQECKSTIDQYKSCMAGFGFKI ACHE_70305A MSPSYRPRKKRRVPPSSGTNNNLILDVGDGKSLPAFPLVSFLWA ARAGVSTWLILPLILMAVGLFRWAVSLWGYSGFQIPPMHGDFEAQRHWMELTIHLPMS KWYTYDLQYWGLDYPPLTAYHSWLLGKIGSLFDPAWFALDVSRGFEDPRFKVFMRATV VVSEYLVYIPAVINFLRRYTRMQGVPVWSASIALVAVLLQPATILIDHGHFQYNTVML GLAVASLDAILAGRMLWACIFFIGALGFKQMALYYAPVMFAFLLGVCTFPRIRILRLL CIALTTIAAFAVLFAPLIAEASGVEAREYFKDIPQPPLLQALPFEVNKDSVLYAPLFQ LLQIVHRMFPFSRGLFEDKVANAWCAIHTFYKLHRFDASLLQRASLGATLGSILIPCA IIFRHPRASLLLPALASVSWGFFLFSFQVHEKSVLLPLLPMTLLLSGDGGLSKETRAW VGWVNTLGSWTMFPLLQREKLRIPYFMMTFLWTYLLGLPPTSLETYRSRPSSGNSNPS FEPNVLTKILHFCFYLAMVAWHVLEAFVPPPPSKPDLWVVLNVLIGAGGFGIAYLWCM WKLITQCWKIDRKAEEDSQKKKQ ACHE_70306S MSKTKAIKAEKPVDKTLSKVKDAGVTKSSQSPKSKSKQIAKDLA SKEKKPKSKKKAPTPSSSESESDSEESDSSSSSSSESEEEKKPAKDVKKGGKAEKKAE SSSESDSDSDSDEEMGDASSDSDSSSESEDEKPAKKEEKKDNKKAETKPAESSSESDS SESDSESDSDSESEEKEAPKKETKESSDSDSDSDSDSDSDSESEDKAPTKAKAEKKES SDDSDDSDDSDDESGSDSSDSDSDEEEKPKDSKKRKADEESAPTQKKSKTEEPAEGAS PNLFVGNLSWNVDEEWLASEFQEFGELAGVRIVTERETGRSRGFGYVEYTNAVDSAKA YAAKKDTELDGRKINLDYATGRPANKDQGNGGERAQARARSFGDQTSPESETLFVGNL PFSANEDSVHELFGPCGNVMGIRLPTDPESGRPKGFGYVQFSSVDEARQAFTDLNGAD LDGRPIRLDYSSPRPNNGGGGARGGRGGGRGGGRGGRGGGRGGFDAGSRNRGGITEFT GKKMTF ACHE_70307S MQPNQYDLLGQVRNEPRRMTREDHQPLRENPLQSRSPSGAVPSR TDDSWIEVASQPSSSSLSSANDDIITTGLRVQQQGSNSHRSRSQRHVAVSMQNGYSSR DASSSQDEYEESESESDRVLSSSNEEMNRKPLSLQQNGPSPSTLDAASSDDDDNSTAL GTRISTSRFVPQPNVFSHPPASRNPSWTRAMDTHRPEPSEASNSSRRTAIRMSSQASM RSNRSARQNQQHSPFNMLSPSHQADHDAALRASLSTLLSCAAAARGLPKSEPQQLSTD PRQQPSSFRLVPESAMDSGDEEVPTAAVERNAAGPVPRKHPSSGPSYPSEAIPAPKKR RSSSPRGARNQAAPRKSRRSLLNESLSVNSPTVMTWVVSAGVVVLFSAISFSAGYMLG REVGRMELGPGMAGDGSGTTSATCGQHAVKGGLKRFRWGSSAAGSGILA ACHE_70308A MAPPVRNPKDSMKSTWRLWDRSQWHAGHWLIEVLNVHHWDLDKE IPVHQKTDKVPYAPEPQFHVWVLFYASIPLVLHQLYINYVGHGPSRLLTFFFYMLSLE FIAVHQTHILRRVGHQIGFFDGDKHPRDGVPDVGVGKTAQTLLSVIVLRPMMLAFLSY SPRDDQGPLLSIPWVWLFFETGVYAVVLDFWYYLFHRSMHESDSLWRFHRTHHLTKHP NPLLTAYADTVQEFFDLVGVPMMTYGTMKVMGFPMGFYEWWICQQYIVFTEILGHSGL RMEATAVNPWTWLLRLCDMDLVIEDHDLHHRKGWKASHNYGKQTRVWDQLFRTWVPRV EGHRDNIDYVNTAKIPLF ACHE_70309S MRFFLILSILFLLPIVSAKFGLSCFKVITALAGRPGDLYDKFQR EICDRGCQPTVPHWDLWTRNNTFVPAVHNALKRLHVPRQEETMIQLGDDVANIIKVRC GPALGGNHICSDPETLAGFGNCFKRNFVRAMIVHLPKLLPMADEAVCREQLVYLKDGH LWETVIPQNMRDYAAACQNLEEKEAEYHFEPYGF ACHE_70310S MPLLRPLRPANRYVLPSLRLPRRHFHPHPRQFQRFVPPWPSSLD KPVPTNTTRSRKWLRRLAYLSLATGTVYIIDNQFYASSITRTTRTFALGLLVALDYKI NFRPNPPLASSVTAVHARSAERLSDLLQRNGGLYLKIGQAIAMQSAILPAEFQKMFSR MFDDAPQNEWKDVEAVIKEDFGKSAEEVFGVSFDGEPDKGVFERRARASASVAQVHWA RLADGREVAIKIQKREIARQLEWDLWAFKVVTWVYTRAFDIPFYSLVPYISERLSLET DFDNEASNSERMAQLVASEPRLRGRVYIPKVHRDLSSKRVMTAEWIEGVRLWDKDSIT RPWRGGWREGTPGCQGTPMDPPKDNPANPTSKATDKIKPSRDHWRGQNNDGGLGLSLK QVMTTMVDLFSAQMFLWGWVHCDPHPGNIFIRRTPSGKPELVLIDHGLYIHMDPAFRH QYARFWKALLTFDNATLGDIVKGWGVQNADLFASVTLMSPYRGGNRSTEQHLTGLSKS ELAARHYEMQQSARKAVRQILGDETKWPQQLIFIGRNLRIVQANNQFLGSPVNRVKIT GLWASRALAESPDLPFGEKISNWGRHLVFRVVLIGSDVWFWFAKIRQFLRLGGGMEDD IEAQMQGMAKEMGVELRQNIFEG ACHE_70311A MRATFSRISGRISDISLGRSGDNDKPNRRHTLNLGNFTFSRSRT RAHERQVSAPMPGSKLALTDPVPSIRHTDIDEGSIQRPRSLPPQIIWPSDGSGTLNLS FPDYASQMNTGTGTQYSTSRPPSNFTNTRMPTIRCVDCESQSGSQSQSHGPRTTTPTP SIRALEDPNPDRRETNEYLQSPHEPLKKQSISSIATEPATRPAPASTSASKISQSSEI GQPGFGPQGQHQQQHEVAAPAPAAAAAAPTAVQRNTESTRSSTGTFRFYGQLNQNQNL NQTLNQDRKQDPGAGENDRTETDMADHRNKDKSSMRRMYQRIVQSTSATLTGEKQKKA LRRISDIFQPRRDKLQQAREKEKLPAPSQPHHHNDNDSDSDSDDTNNTSDDNDNDDTD TTKETNTTQLQLQPEIQATTNKTPQDTHPYHPNDYWDRIRQHHQQHQLSPSISHQSLP RHVPNIHIHITRKPSLLSSSSLTEADSRGRLADQYHAQDLRLRSRSPRLAPASNAPSI ASRSSNGGRDEHEHDESQHPHEPANENQDVNGSENDIDPRSTTSPASQRSTFHSINPR TSHMGDQELPWKLRIPGDIDEEHEHEHEHEHTRSQGPAPPTTTALTTVTTTPAPQIAT TPAITPNKDETNDNEAAHQQKAIRTINEGQSPIELPAPGQSGGKDEESSDEEVVMSST AYPGQEWRPAGFSGWEY ACHE_70312A MAPTTYEPEFQKLASPASNSPISGSGTPVSFRANVNRTKTKRWV EAKQVSYDGDDWGDDDEDDYEEEPPPVPPTHVSQRTGNIAGLPRPAFPAMDRSRSMDH VLMVDPDGTSGSRSRSVEGRQFAGQPPDSKPAPFVRPADIYKRLREDRARDGMPVATR SNTAPMESFPTVAAQGQETKEASNVASKKSQNVPIIGLPDVKRLSGLNTDFLSGSGDK NSNTRDAQSGEPQQHQLQHNPSLGFRSAVNQAFDVPETPSSADDSVARSNSASTSAIS PIIPSRGLNDEKTPTIVEEPGEMTPKDTTDRNIVFKPGHRRDLSVPSPGNSPSRKPKI MDNDRIPQSAMAQLSYTTPSESLEDQTLPYLRQPKRTSVQLPATSGKDLPPPLRVSSN QSNVPAASDNPDPPTVIVPSISADNSPQDTESDRLRKEIIRSLSRENTPSDEPELGSR PQTRGGDSLVPSEYEKYWNNEANRSPQEKADPMPDYNSPSTNSPGVALNDTPVGPAPA PWQGESQPKLKKKFSWESSEEEEAEPVADTHDQPMQNPPIPGQLPPEPDSPPMITQEP NRQSMTEKPKLSIIPPSAGDESSIISGRYLPEVVPQPTEPDAAPENEAPQPPVPVPAA PNAMPPVPSTVLGFRDILGIKSSDERVRQFERTRAQFAAIDTGLNHWIQVTIHAHPEH SDIVGQNLKLSAGAQKQLGRKFPKLPSLGKHPHTEDAPAGAGHARRPSAPLGAMMNKQ QVEQRGKDLLHTAGVLGGQAGKAAKGFFNRGRSKFKGNEKVDA ACHE_70313S MKHRIRTPELRLRKLWLKTSSVAHESHDWAEEAYESRDNVVSLP PTLFLVNAVD ACHE_70314S MAGPSIQDRTGEFHAILGQAQKRLASNKVGSQRQALLSDAQRKH ANGFGANNGNRRSEFARRAAEIGRGITGTTAKLQRLAELAKRKTLFDDRPVEISELTY VIKQDLAALNQHIAGLQALTLSQHPKSGRSKTDQEGEHNDNVVVMLQGKLADVGANFK EVLEVRTKNIQASRSRTENFVSSVSSKSQSALDPQRSDSPLYNPSGRRTPTPGFQSNQ SDLLTLEPSNPSPLGRPSMQSDQQLLVMEEAQSSNTYIQARGEAIDAIERTINELGGI FGQLAQMVSEQSEMIQRIDANTEDVVDNVQGAQRELMKYWNSVSSKRWLIAQMFGVLM IFFLLWVLISG ACHE_70315A MILDRFSTAVQRIRRSSVLRRRSTRKYSTSQKESFWEAVPSNIL IRILAQCELSDIYALNLVCRVLRRRIYQHEPAIAQEYLFRRLHQHYPAFLSPGENLTF IYNLFPPPPPHYPAADGSSEDNLPEYSFCYLTDLTRCWKTCIRLSFYLAESVVQHHLG TDATLRDVSEQEAIYSKAVCQLQDKLLQPIAYLIFFLEFDATTTSSNESQQSILQQPP FTDTQVLISTHHCMTLLCNYLRRLMAPDIQYPSTEPWLRLLLTTSTLERTLNFFAAAA TSTTCEYNEKKEKLNRLSDATWTPRMEFIWQMRSDWEQILSATKESIYDPETKNEAIR VLSTAGVEDVWFEAARRELDRRGLIPHACEEGVPVLHEATTRPRCEFCE ACHE_70316S MQGHYSYPGTTPYVHRLAYEDGVRNHTYQPRPEDHPALRTDLDS RTGSLSSQETVTIEPKRGPVARYFLAEINPHRTYLLLIICSYIAGLVDALSYNAWSTF TGMQTGNTVFMALGASNQPSYPDYLWAKALIAFSVYMISNLFFTYVARALNPLRRTTM LLMFGLQTLALLVAALLVELEVVLPRPEEYTAPIQWTQVLAIALLAFSQAGQISASRI LGYNEVPTLSVTTVLADMLADPHLFELHNPKRNRRIAGFIASFVGAMTSGFLSRETEM VACLWLAMALKVVVMLCFFVWRDDRESEKKDLEGQ ACHE_70317A MSVSFGFSVSDCIGMEPNHFTSHFSNHFVNIGGLKFIKEVGEAL GNSCKAKDEYSSFISTIQWAEVMLHQIQELQLENISQELIQKYKAIAVNIQQAVDNFK QGIMKKFDGSLAANPVQFEKKLKTAFSKMEWTSIKSLVEEFKSSLILQFVLQLTLSQH MTMKILDKDRCSQAHNICCQFSYQRNQGALQSDCTSISSRPAIECDSTSHFISTVEEI PKTSDVSEEIMAEENTLCLSNRSDGKNNSTTANFTCTSPCFSHYFGADSSLPVLRHPS TSSADLQVQGDARFTGLPIKSPTDGSIRIYSKPSYPDHGVKPIFVIPGRKDKSLPLKD PCDGSIKSLEMTAKSTKHSTYNKPYRRNSIFASPQGKKTVIRDPSNMAIIDFDSRKLS ACHE_70318A MTPFEETAVEGSKVEAAPNYGDENAIDKVNINESLRYRSLGVEN QSADTSERDERPDDNNGEGAASGFKQTHPNVPSKIPAGHFLSVSSSTGKTWKGKTIPT RL ACHE_70319A MYPHDGEHFRPEWYVRNLQAVSRPEGLLQWSTTAVRNEFDIEID KTCPVENSSIVTEKGPETNNTSGHQNEDIKDVFSSQKAPSTVGMLDGNTC ACHE_70320S MTGSTAKITITATHVVHSQHRITLQDPFVLGPFDQLGHFATPVN AVWIYESSSSVSLIPLERLHKAISRLLDYYPQLTGRLHIDTETDVRSMTRLGSGIHLL EATCDAPLRSFAGRSSSSDRESSVFNFPGIGNGLLAPWDISLEGAQRDPVFTIQ ACHE_70321S MRLSHVVSGAGGFLGLYQDLAEIYRAADPANGGRIELTSPPYLP PFMVTEMLHMNADEQRKALSERPAAYSLKDPKAAAETPAKTEAHFQQQSLSQDPLVGR SLRFSLQH ACHE_70322S MELAQVPLWKIADIINGRIRHVSAEETYKLGRWIAAQSKKSHVQ LNFPCTPASFIATGWHRFPLYSGTDLDVAPIFASPVFMESLFDGMIYFVEPKAKDNGI EAVACMRSSTWEFLDKDEGFINTWDRRS ACHE_70323S MLFKPATPLTILLLIAFALLVLSVISTPIVKQIPLASFDNVDYG VFGYCKDGVCTDIHIGYTSSDISNTASNDFNLPSGTRRSLSSILIVHPVAAFLTLICL CLAAAAHFHGPSHSPRYLLALLILLLPTLLVSLLAFLVDILLFVPHLHWGGWIVLVAT VLLVACGVVTCAMRRTLVSRKARKRRIAENAEMSGENYYNRQNAAAAALDTKPEMNGD TKEAYVTGAPTADGPTFATFRTNTRESDDDQTPLNSRTPAPANDYPLPDQPPRGMPYS AARDEVGNPLPPSGPYAAAAGRGRPTDPRMNGGPPPGFGSRGRGGYPPRGAYGRGGYG PPRGRGGPMGPMRGGPAMRGRGGYRGPPPVDYGYEAYGAVPRTHPTPPPAEYRGTSPV YRQPSPGPIGMALSADGGPVGQAIEMTPQPRRSPGPDQVHALSVDGYPEPTSPSSFYS RTPSTYVPPRAGWTQPDNRLGPSPSPVYEHAIPEPLRPAQSPVHAYGPPNGAQHARNN SADYYEDMDPEFAESQPAVSAPPVAALPSALMPGPAGEPKPVEDIPEAPGSPTASEMS HFTSISQRPVNPRWQPPMQPAQQRTNMLLEGNPDFDLSAGRRRGGTGPGGRMPTLSTL REVTRYPVP ACHE_70324S MLQKHHLLPLLGLSHHALALPKPSSASLSSVSLSGPPVVSHPTS LPHTPYSGTPTTTGALSASSVVGSSITPGATGYPSDGKLHDAEPAPYVPAGGVGTSGE EPVYNAKSDFDFESLALATYQEYIELDLFHDGLARFSVEDFKKAGLTAEDRFLIEFMA DQEVGHATMLTNILGPDSAPKQCTYNYPYKTVWEFLDFSQKLTRFGESGVYGFLGHLD SREAATLLTQSITTEARQQMVFRQFEGLFPMPVWFEVGIPQSWAWTLLAPYISSCPEG QTRLAWQNFPALTIDNQPNPSRIDGGKAFHESLEPGMNTLQQVTGPESCLDSDTQGEN CSPAITHNRTNPLSYPGREVYLSWEEPGKPVGPNNSYVTSSQASEPKYVAWATQLNVT YSPLEVLNKTAGKTVQPDLSTYQGDPAINGTMFLAVVDEDVYVTPFNFSSINEHVVAG PALYQAG ACHE_70325S MITRKAHPKSPDEREYALLSDPESDLDSDSDHAVTCAHIEDLDN QYNIQDTSVQGNPTVRLAGEYPRPRTPPKAKTKTTTKAEVEVKERYVRFGIGGAGNMR RVLVRDERLVRVIS ACHE_70326S MDSSAPQPGNVSLRTVSSSRPQYQYFEQRSEAASDDYYSFPSTA SNSASSRDGVMRYATPVSGPTSRASSPGVGVPRMGAIGEHGPLLGEDTPRRVRARTGH DNGGNGSVWMEGDLPHIRYAINHLTREEEDDEEVRDVGGREDHPDGFVWDEERGCFTR LGTLPAPSHQQQQQERETSPARSVQSSTSSMTTIGRKTFVAMDPPENSLLYLPLDYVP IILRPWALALLIICCLLMIVGVSFCNVWSKKHQGLWDYDGQSGSRYFVFQFLPQILAA ILILWIFVVQAAVYRVMPFAIMASERKLERVLQKLPMLPRNFLLPDLSHFLYGEPLVG FSLFTIWLANMFAIPLLSCLFQVKWYSYVDGANGQGRFRWTSVQSIGWTIVSLYGLLT IGLTVLLVRFVRAWSGLIWDPTSIADLIPIIQRSNILQDFDGLETSPDVGKSLEPRTL RLGYWKLSGKKEILFYGIGEEDAPVRTPSLHTDKQAKITDVERQQNNLNMYEPTTRHR WTPWFLRTISIIAWSFLVGGLFIAFVIVSFLHDAIASGFPPRLPTLPSTDGFSASNFV YSFVPALIGMLFFLAYQHVDVYFRAIQPYASLSSSPTGVPAKQSLLLSYPSDLPLLVT IKALLNKHPKLALITFTSTASLAIPILAGGIFTALYYPSSRTIRMTSLMPAFYALLAF CALYTLSLLAIWPHRIRYLPHGIATLGEQVSFLYQSPLLADKGLREVRCRTDLVRMVV SGGEGFEVGETRYGFGVFVGRDGREHLGVERVRRGGREDMVILR ACHE_70327A MAYGGKPSTGCQNCRQRRIKCDEARPHCRACVRTGRTCPGYQHP LDVALRGQTAFQRKKKGAPGKQSSASKAVVPATTPSSSDDSISTIVDIPHTPETLVSS VSPVSTSSDSIPHTLEISRLVPPVPPVPTFNVSIPRSIYLPMEDTVIPLFFNSYLYLP KDPQISNGFLDLLPQFYSETQVGSSVHLSLLAVSAFSVAAWTGERNSLQLAEHSFLRA LRKTREVLQTDIDGNLNEILLTVLLLSLYEDFVSKRDRQLPPRDHLRGAIALINSRRP EQLDSPSCRLLADGVEHEVIRTSMGLAHPMVPTPKVWPLTAPIAQTAPEQLQMASSGL VNLRLAWESLASRPPSQITESEILHLMSQAQELDSKLVNWVHTVPPNWLPSPATMIPQ SVRAAGVFNDRCDCYSDLWVAATWNSYRDSRIVVQKIILSCLRMLTDTDTNINASSPS NQATLAVKSTAEATIQSLAMDICACVPFLLGSQMESVQLNPYKVEYPEAEGRPLTQGH QQTAPLLGGWFLITFLGNLCTSGLCLSEEHLGWIRGQMQRILQIYNFGFVPPSQV ACHE_70328S MDFDHLAEQESQRIFKKWIQHLVKNSSETLVTKLALRHRPGIPV STTRFSHGAFNFCYQVAYEDGSRLLVRFTALGRAVFRHEKVQDEVAVMRYLAENTSIP VPTVLGSGSCAVGPYVVMTLLEGNLLSRYLRDPMKKIETLNLHISECALKKAYRDMAD IVLELSKPEFSFIGALKLDESGDWSVHKRPLTFNMNRIAQFSNIPPSAFAKQRFNNAT DYFEELAKQHLYHLEYQRNDAVINEDDCRKKYIARCLFRKISRKASKEHRHGPFHLFC DDFRPDNILVDQSKLEIIGAFDWEWSYAAPAEFTHAAPWWLLLERPEDWEMNLDHFLE RYMPRLSIFLEALRECESRKIGDGSLTEEQCLSDAMEDSMENGLFWFCLAARHGAMFD EIYWAFLDQRYFGSFTTLEDRMRLLSDEERMNLDDFVQRKLQQSSEGTLESYMSIDDI AQL ACHE_70329S MLSSLWGSKPSSPSTSTPSSSTETKSETQLSHSANPNEPSTTPP QNQQSTFPQRPLKLLLAGTTFVTLSLYLTRRALRTRHLAAIPPYYTSSTYHHPPVNGA LDAFEAFNLATINVVSFAMVGTGGVMWKLGVNDLEDARRITRRYIKGVGEGPEGIGGA KSGKEEDEEAEKAIEKWVVETLGLKGYEEKKREILEERERARNEKSEK ACHE_70330A MSHTYANDNMYPPGPVQRPFPSRDNRDGRMYDDRSRSRSRSPGE DRQRPAADRQHEYSRDRDSRNRRDRDRDDYRRRPSSRSPPPRGGRSTYRDRDSPRYYS RSRSYSRSRSRSPRRSRGPYYGQESREVMMDGLPVEMAEEDISSELNEFYHVEGLEEV RVIRDRQTKVSRRLGFLRFCDLDHSRAFLEPNFPSIYFNGPNHSGDDRGTRVRIAYSR EREDRARARAEGDWTCRMCAIVNYSTRQKCFRCQAPRPEAGPAGPPGIAAPKVENHGD NDVAPDNQPSQFLIFRGLESSVTEELLAKGVAKLYKPASGGSDNTSKTEKKGAKVAST TGDSNLGAREGSIRRVLLVRDRKTNESWRYGFAEFATVQDAQAAVTRLNSFEKFTISS RPVLVSYIHAGVFVPVMNPTAATERFTFSPLLNPSLKLMYWDEEGYVTELTVSPAEAD HAQGQPKSDATTAQDQAKSSKDPEKSKKRKADANANAGSKKVVASHLQFWSNRHAELH GIKRKNPDEIAPGESGSDGGSPSDVAPPSQSYADLNRNCCYLCMRQFKTPAEVNCHER LSQLHRTNLQNEDLVSKATNKLVKHGIVPPTPEYRDRAKERRKAFGSTKPPTTTTKAR PTARAPPGEKEEEPPAQQPSKGASLLSKMGWSAGSGLGAQGTGMTAPIATEIYAQGVG LGAQGSKIGDAAEEAGRNTRNRYDEFLEKTRQTARERYERLDK ACHE_70331S MAKSSNQIHRAWWKECSVYQIWPASYKDSNEDGIGDIPGIISKL DYIKNLGVDIVWLCPSYKSPQVDMGYDIADYYNIADEYGTVADVEKLIQECHERGMKL LMDLVVNHTSDQIEWFKKSRSSKDNEYRNWYVWKPPRFDEQGNRHPPNNWMSHFQGSA WQYDELTGEYYLHLYATEQPDLNWEHPPVRDAVHDIMRFWLDKGADGFRMDVINFVSK DQRFPDVPVKDPRTPWQWADRYYANGPRLHEYLQGLGDILKEYDAFSVGEMPFVKDTN EVLKAVRYDRNEINMIFNFEHVDIDHGTYDKFEPGNWKLTDLKEFFERWQTFMYDNGG WNALYWENHDQPRSIDRYTNAKEEHHVISSKMLATVLALHGGTPFVYQGQEIGMRNIP PSWGMEEYKDIDCLNHWNPLVRDRPSDINAQTIARQEYQKKSRDNARTPVQWTSAPNA GFTGPNTKPWMSVNPNYTSINAEAQINDPNSTYSFWGRVLGLRKKYLDIFVYGNYTLV DRDSQEVFAFTRQYENQKAIVVCNWTETAREWSAKANGIEGVEEVILDNWEGVQETAQ RLEGGKWSLRPYEAVVALL ACHE_70333S MSSEQQQEQQRDLPPHLNPSNYPQARTHPSLNIHLTLTYAPLNP TTHITDISSPSAGANLLFLGTTRDSFDHRPVTTLSYTTYPILAFKTLMQIAENAVAEH GLLGVSIAHRLGEVPVGECSIVVGVSAGHRGAAFRGGEEVLERCKERVEVWKREVFGD GGSEWRANEERDRDGNLK ACHE_70332A MTSSLKHLPRLLPRVTRTATPTIASSSRTVPLSSILHSTPRIPQ VQNKNALNRNTSRTFTSSTLRARAKTMGQLRARNSTGPFSWKAALLFIVTGAGMIVYF QVEKERLARKRVAEMSKGVGRPKVGGPFVLRDLEGREFTAEDLKGKYSFVYFGFTHCP DICPDELDKMAEIIDKVNEATGDPSLFLPVFITCDPVRDTPEVLREYLKEFHPKIVGL TGTYEQVKHVCKMYRVYFSTPRDVKPGEDYLVDHSIYFYLMDPENDFVECIGRQDTPE SASKVILEHINDWKREGRALTGRQQ ACHE_70334A MSDLVGVAPAATTLGGAGAASHGRVQNGAPRPVPVNTNPPSGVR TPTDIMRQRREREGRRKAEQEARDREQQQQQEPQPQPPVQQQPYAAGVDRMSQRRPTT SAGAGHRRAGSQPGPPPSSAQPIPGQPKPQQPGATKPADASQEPRRVAFPHAFERWET LSSHWEGLTGYWIRKLEQNNEALERDPLSQQMARQVTDLSAAGANLFHAVVELQRLRA SSERKFQRWFFDTRAEQERAKELQAELERQIQTERQARAEAVASYQKAESDKRRVDDL VKEMRRELQISKDEARRAWEELGRREQEERDRTASLRNGEPTLVGGVQVVPMISSRQH PINYSSTEENEDRYARDAAMKMSDAGDAQYYDDQPVSPTGSDPFSETVRKTDPASTIP ASQPQPSYPGHFYRPENATVPGHTRATSDPEYGQSVEGSEPGDDEYTHYQRDSQGRPL VYPGTMSEESDDYDPEDNGPYATEGSYVPSTSASPSVPTTSAPVYNYPPTSGGWGWDS VTPRHRHPTRLSDVMEEDEPRTTPSRASRTSH ACHE_70335A MFEAPRNLTFVDDSLNKDALNEPSGSRGIYSRSDDVEKQARSPY SNPLAGSTNVSIAETLNEEETPAPSKRSKLGKYFLSDIDRNWTEAILISCGFVSGLVD GLSFTFWSSFSSMQTGNIINLALGVSGNLYHQEKLWVKALIAVGAFLLGTFCFIVGSW MLGPLRRITMISSFALQTILIMVAAILVHTEAIGGTPSGDPMRWLQDVAIALLAFQAA GQILASKFLGFPEIITVALSAVMCDIFLDKKLFHGWRENPKRNRKIGFVLALFLGAMA GGGMARHHGLAAGLWLAMSLKGAITVAWCIWPECPPPTEEGEGKGKEVMK ACHE_70336S MAAIQARTLPSDFGALRSDNKSQLTTCTPYSSPVKQRGSSCYLP RRGTQVLEESNTDPFYLEQTLRDSCQPGQRGVHCCETTDHRYFTLSSVDKRKEYHYPN PVRREPAGPRSNFYDNIKGNRNTLSVVELEHRVGLQQIAAQRGLERQHVHCKQGSFSS GTSEPTPDLTPSSSFSSNYSLVRDQHISFEPQRITSESGSHLYLTPCTPPPIRPPPTT ALPSLPSTPKPHQNPTRNSKGTARPANDPTETFTMQNEDPQVRYNRLGKPLPTLPNIA RNGQKTKTTQNDTQRTQIEPSMISPPSLINPVTMEPHATHFDQAFFIPANDCPSPVPS PGRNSTSSRLAREVTNTSPSREKERPWTARSEAYCEQSVWESDSDSESIGPKSLSKKP IDTLRKVRSRVHLRVARSAPRLNGAPSQPPPVPSPPTDGAPLEKFPSMPDHPVQQPPP LPPGSSAMARKPALQTRSSKDIFRPNAQTLRLVAPSTASLVRPDSRKDKEKESLPATP TTATTKTSEHDMDSAAAAAFQAQSRRRQRSRSPTSPATTGFADKEKLRTLCREERTEH TLHSSLALGRRPLYRRFWESLRILSCHGDMSPKPTRKSF ACHE_70337A MTSTHSDTITAQPPPPPPPAADTLDDIFGSSPPPSSSFEHDHVP APEAAVPAAAAEPSDLPSLRRQHVTTGYRDGISLSKGEHVQDGFDAGFPVGAQLGMRA GTVLGILEGVLRGYESKVGGGAVKKLPSTSRGGKSTDRAQGQGQGKESEIEILRREQR EKLSKIYQRALKELEVQAVFNGLENMGEKEQEKPETQLGRKGDGVVSSWEGRVKVAEW EVNMDALEEKEKEKEKKAEATATPDDEGEQS ACHE_70338S MASRRFILLSSPFAIGYGVHQGLNILEAKYPALPPDTNTSAALR TPSNPKTQRCAYVDVYSARVPVKALESHPAAEESRNLQEAWARTLFQSRVLRTEARLI GLFTAGRPTPGDTGNTPGGFSQKDERGEQRKLMNGALAVERSPSSGSWLSMSGPSGLL VSWKVPDNAREFFEKISVYGYPWRLMSGGRHEVSVSKPFKEEGRQVVEVRFASAHDYE IVPDEGELEKQKVIPAWVGKLHRGFARLILDSAVRELQD ACHE_70339A MKPKGQTLNKRRSKPSLTLATGHENGHANREKMNSNIEMRKRDT PPESSSARANRLMSRESFTLDEEPSMPTTPQTPGLATTSFQNLPHTDKRNFLLLCVLY FLQGIPMGLATGSVPFLLKPHLSYGQIGVFSLASYPYSLKLLWSPIVDAVWSPTFGRR KSWITPVQVIAGLAMIYLGGRIEEMMTAAGANGGAGVWNFTYWWFMLVFFCATQDIAV DGWAITLMSPPNISYASTAQTVGLTAGHFLSYTVFLAFNSADFANRWFRSTPSEGGLL SLGTYLNFWGWTYLIVTLCLAFMKKEDKTRERDSIMHVYRSMWNVLKLKNVQTIILVH LIAKIGFQANDGVTSLKLLDKGFGQDNMALVVLIDFPFEIFLGYYAGKWSTEYTPMRL WCWAFVGRLAAAVLAQVTVTIFPASPEVPFWYLLAVIGEHVVSTFMNTVMFVAVSAFH ARIADPVIGGTYMTLLATVCNLGGTFPRYFILRLVDLFTEATCIPPTTPPAAETLKDA LVTSPFSCALEPEKNRCVNGGGTCHVVHDGYYTTNILCVLVGVVTFVLFIKPAVLKLQ GLPLRAWRVASGNRS ACHE_70340S MESDLDADISSIRAEIRALQSRKRFLSSSLLASDALQKHFSDPD TLSSAKSQLQLAEASPLVQSANTHTESNHHRIAFSTTAFPFTDPSPHGSSGGGKDQNK DKEKEKSLLGVRIDICARNGRFAKPYYVLLKRVPGPDGQRRLRVHRHTIPAFISMEKL ERTYLPTPSQTEEQEQREEEEEELKPWKKKKRPNRKPDLHAFVRTLRRELVSWQKRRD AVGLLREKLGVRNQDSDSEQDIFSDVKDGEGWLPINPMGLASLSPTALEARYVRLEWE DGRVGRFKLSNTGMVERAVVIGDQGRDKILEAAMTGGDGRVESVLDRLGQYTTSKP ACHE_70341A MSVYNPVDEDQYRKEVLLHPSEESELSSNQKLLDEAHQLGLKVP ELEVTASLTASIASGLVDLSSSSPVLSSGSSTGRNSVCERPGTAHQQQQGQQQGQQQQ DRPQKQHAHHEIPLDQITSSLSELTVSSRPVKSGSVRSIASLSTRPTSYCSSDGKLAP AGSDGATARASPVAVHNNRHSMISLTPGEKKQKRRESLKSAIDKFPFRRKRTSSAVLL PPEAQITVTKGEQGEEKVYVESKPSEAQSSQPTSAPNNGEDSVMKLEIPVFDHESLQR SLVNAELVKMREAHRLERNRHVTFQAAFMSRLRSRQQASVADRLAENKRTEEEKREKN TANAIKMEERQLAVEMEQQREFDRAKQNARTRIKHMEGYFNNRSPPSSPGSGSEKPAF QRKYTSQQKAQLAQEYHDHETMDQLHESKIKVLRERQERRLQEAIERFENELDAMIDK HAEAFSELQKQHQQEELNVLQGFDARKTKLMHRWNLEEAILRRKLEQQHGQPYGPLPP LTFNDSHYETRDSACCVAGSGDDEQMHQKGDGTMI ACHE_70342A MSWFSYLYYKLVAVLIRALVRFRSRKRTVVPPDEVYRIPSRETG RTIKTHIYRSSSAPKLSPVLINFHGSGFVIPMHGSDGPFCYQVSQETKYTVLDVQYRL APEHPFPAALNDVEDVVNWVLNQPTEFDLSRVDISGFSAGANLALAASSTIYPRETFH SVLAFYPPLDLFTDPAAKVSPDPTGKPIPPAAARMFDKCYIPSGMDARDPRISPYYSE PDRFPDRVLMVTAAGDSLAGEAERLATRIGELPGREVVCQRMQGCNHAWDKSARKGTI QGDATEKAYAMAIAMLSRD ACHE_70343S MALPAEPGDSKLTVFLRLPFPRGNFVDPPPIEWDATKDQALWDV LSRPSKGDDIDWKTLAHSFGVTLQFLLQQAAWLYDRQLTQVRAQMRKVGTAQSSSPSP MLGSTSGSAALGGQYKGQGSRPPPSRLTTQQKDAQPQRIIPRRTSSNATINQIKQRDQ SRNATPTAEMKDPKPEGSGRRLSANIREQAPPAPTRRSPTLEEEDLSTSSSESESDEE EELDSRRNPRFKRFGKFSMHRPGLRDDEEDDDDDSPAFLPYSRDVEHRPREHSGQDLN ATLRLNTGSPDVYRRRSAEHPQTPRKFMAAESSMSSASSGVPVSHQPGDNSRQASQLA SPRRSKEASDGTPSMGSSFSDLDATDVTQSALEEELLSMQGGMASRMSTISQALRSRY L ACHE_70344S MTTPEEAESTYFNNYPPPKALPKHESLARAFINYHAEENRRVVL VTSGGTTVPLENQTVRFIDNFSAGTRGATSAEYFLQEGYAVIFLHRQFSLLPYSRHYS HSTNCFLDFMDEGVPSGDCSNSTSPDHGPIVVRSEYQDQMRDVLRKYRYAKKNNRLLL LPFTTIAEYLFELRSLAKLMHPLGSKALFYLAAAVSDFFIPRDRMAEHKIQSSEVSSA EQRQKSAGNNEQQQEGHSKKLVIDLDPVPKFLHRLVDGWAPEGSMIVSFKLETDPDLL VHKAQTALQRYSHHLVIGNLLSTRKWEVVFVTPDPPYERWIRVPKSRRSKSISGSEAQ VGLAEAKKRNQALSGAKEGQSGSGNEEGAAPGDTEGEGGIEIERLIIPELVKLHSRMV K ACHE_70345S MITPRLYRRRITWVASLVALFLLYHFFSLRSDLDAPAVAARTKT SQNANASPNANANSNTNQKPNANNGEKEQDRPICPPLPGMEDVLVVLKTGVTEALDKV PVHFQTTLRCIPNYVIFSDHDEEIAGVRVHDALRNMPDENLKQSIPDFNIYNRIRAMG RAGLAQEDFSDVANSALGKPDNPGWKLDKWKFLPMAMGTYKHKSDAKWYVFMEADTYF VWGSLLAWLEHFNPEDPLYIGTETQIADVIFAHGGSGFIVSNPAMKRVVDEYSVKSNE IHAYTAGHWAGDCVLGKILLDVGVPLHFSWPMLQNTAVAELDEFSPDFYRRPWCYPAV AFHHLSALDIQSLWEFEQKRYKESRKTLLLHGDVFKERIYPELSSDRSYWDNLSTEEH SVAIDTYEDCQALCATAPQCAQFTFRAGRCYTNKTPKMGHSSADASSGWIMKRVDAML ASAPKCRRPDFG ACHE_70346A MDTAESSEPSRLQRLKQSVDAVLLSLAIKLVRLEQKTPAARRIT RFLDSLALPNMMLVFLLLASLFTIVSAAGGDPVVINSNVTNVDKRAPPQLIASPDATT PSVQYGMNLMEAQLRYRDPFVIDTFEYSDRNDLGFWHGSGENLDVHYGVDTRRGGHYA RLYPKDPDQNFHSQVSAVHCTNFMPFRERYLHVVFSGSNKFSISLNQNNPECRPGRNP YPATWDTVEARRYLSGKNDIYVPMSHFAIDLSKIVSVSFNGFYSGESVTLHRIEIVRV LPTGASVPLKLPNGQMILKCSRPNSFAFGIDDGQPRFAQEVMNILEEEKVLVTFFVVG KGLRDKETNFTQVYREMLRRGHQVALHSNTHQKMEALDDEDIEDEILENIDAFKDHLG IQSRYFRPPYGTIGARTRQILATRLLDPYIVNWSVDVEDWLWADTDTPERQIEAFTRD ITKGGNLAVMHYLSPSTVAYFRQFIHTVKSAGMNIMRIDQCLEDPNAPPLNPNNF ACHE_70347A MRSDCSPKLLLSSSNRVSFDPDVSKMDSFDVSDMNNAVQEVETN GQTNGHSKVNAEAASLARSKGWAAPETYDYSKYVAPVGPAAGPDGPAAPAADSEFAGE PPAAEGLPEWAGNAAKYEWRDEYGDVGPEIPELEEMLFRNEFINRTGLKISNLQNIEV VAESRERPNPVRNFDDAGLHPIMRENIRLCRYDVPTPIQAYSIPAVLTGHDLIAIAQT GSGKTAAFLIPVLSQLMGKAKKLAAPRPNLAAGFNPSTDAVRAEPLVLIVAPTRELST QIFDEARRLCYRSMLRPCVAYGGAPVRDQREELQKGCDILIATPGRLLDFMGQPHVLS LSRVKYTIIDEADELLQSDWEDDFNRIMSGGDTNEDADHRYMMFSATFNKACRQLARK FLTEDHVRVRIGRPGSAHINVDQNIIYAEDSLKKKCLYDLLLSMPPSRTLIFVNTKTQ ADFLDDYLFNMGLPSTSIHSDRTQREREDALRAFRTARCPILVATGVSARGLDIKNVM HVINFDLPRAMNGGVTEYVHRIGRTARIGNEGLATSFYNEDKDAELAPDLVKILMESK QRVPDFLEAYKPMEEAVTFNDDTDDEDENGDDNANGGAWGGIQMGDSNEVPSSNWGAA TDSAWGEPPEATGEPNVGGASWD ACHE_70348S MSPPDRISTVFDSTPGSKHAYKSSADFRSGRLNAIANPGAVKIN VEGAFIVDEEPRSRSRSPIEAEGVHYESQDIRLPHHTGVVSHVAVDIGGSLAKLVYFT RELDSADNGGRLNFINFETHRIDLCIDFIRQLNEEHEKLNGSSQDELCVVATGGGAYL YYDKLKEALNVNVKREEEMQCLITGLDFFITEIPNEVFTYSDTDPEPMKFAEARPDVY PYLLVNIGSGVSMIKVSGPKEFQRVGGTHLGGGTFWGILSLLTGARTFDDMLAMADSG DNSGVDMLVGDIYGMDYSKIGLKSTAIASTFGKVFRMKNAAERNAEDGEGLSPRDPEH PEDDVKFRPEDMSRSLLYAISNNIGQIAYLQSEKHEVKHIYFGGSFIRGHRQTMNTLS YAIRFWSKGEKQAYFLRHEGYLGAVGAFLRRQPANWGRRNSLDDATAPLTFKEALLS ACHE_70349A MSSEVDVKVPNLPVDWMETAKGLTAGAAGGITQVLIGQPFDIVK VRLQTQTGGTALSAARGIWAREGVLAFYKGTLVPLLGVGACVSIQFGTFQGFRQLIEH YNYRKSGSHGGDLPLGQFYLAGVAAGVTNSVVSGPVEHIRIRLQTQPHGKDRLYTGPW DCVRKVVRMAGVSGLYRGQAVTLLRGISWIRSVWALPLALVRRSKTKPCEPLRQFEGS EYSPGWKFNHWELKGVLVRLEMVPKVLKGGFVSTARRDTGEKGRMPIYNAATEIPVLL ETIQSDMYTSALEGFRNTVKIVKHWALFTPAIDKKHLCLIPFCLQEECEDRIKKLSER AADGQPQEQEDTRAPSMGAKSLCIPFKQPTELKGSSTNHDA ACHE_70350A MDDAKVLAAGLAYNAGVAVVAACAHTIRDLAIQITEHLSGSGVM QGSKFGMLQGGVGDGFWVTRKELNDVRRQTCFLQDLLL ACHE_70351S MLRCLPADVSESVWPEFKDQLAQETGELKMGKPEKFDNFIGPVI HERSWEKLVRVIKDAKKDPSVTLLAGANTSREVGWFVKPTIFQVQDPSHSLMKNEFFG PILSVYVFPDSNYEETLSLVDSSTNFGLTGAVFAKDQSAIEAAEQHLRHSAGNFYINC KSSGALVSHQPFGGSRASGTNDKATSTNLVARFASIRSIKEGFQLADEVMYPSNEV ACHE_70352A MVASNPRSSNACETCRRRKVKCSGEQPCDACVKHGWECAFGRTG RRRYSEAHVQRLLERIQSYEERYGTDCNGCSASPPVAPVDNPHRPPPQPGPVTLGYNA ASDQPQESIPYEDSVTGISPATDLTSGPAFESQVRFLLDLSKPCPSPASVIYSSQRIP CGSRAQWASVRTLLNHTDEPPIPSLEASQQLLDQFLFYLGVSKHFFDSRSFSDSMVLL FQTPESREQQKRTSWFTEYLLVMAMAKLMDVEPSSCQPPGSDLFAEARPISNGAIASM MLIFIKLLANWAGIAPRHRAGM ACHE_70353A MVVKFVTTRSLGLPRGPKLAIRFLQYRAKSTQAVPSKDHFRRIA PPPPPPHFLLPNKMLLRALLVATVSSHSWLLKPALRVLSLLAHPRVSLLDVNKNPLLR QILKMTFYNHFCAGESGAGVQSTIRKIKDMGFRGGDFDLRERIVIGASASKTKDFPTS QNSHSESAQHPDIAAWRESVLETVALDLMREYNQKGVAVVYNTYQAYLKSTPVNLLSH LELAEKEGFTIGIKLVRGAYIASEPRALINDTKPETDHLYNTMAEGIIRRQYGSFGSG DRPFPSAGLFLATHNKKSVLKADQMSQEQLSLKRPMTKTQYGQLLGMADGFSCRLLQL GQEMSKTPRQTSPEVFKCLSWGTLKDCLSYLLRRAVENRDGVGRTKEEYLLLKQETMR RLKRGFM ACHE_70354S MTNPWTKPWLPRTPAPGIAFYNANLTDVEAGLIDCHVHLTTTPS SFSLKDLYAINPNTVAHRTAYVAREMLLRGFTTVRDTGGADAALRDAISESLIVGPRL FVAGKALSQTGKHGDFRASDQGDEPMCCGRHSPALARICNGNPEMS ACHE_70355A MVQVHIAVLDTDVPCYRVYAKRGLYSSQFRRLLQGAAKRLNQSS QPLKHGKLDVHVTAFDVIGGSLPSLGCLRADSLSGRDPYIDAQPKPIDAILVTGAAAA SYDDLFWIPPLQSFLQTVYTKFPLVKIFGSCFGHQLIAKALLGSDEQSMGEESSVKVC VQPMQHGHEIGVQPISLNPEFAWKFPPLHRFLPSSPFQIQLIHGDAVVSYLQAATDRR EPDVSLPERWINVGSSELCSIQGLYYPGRVLTLQGHFEFDAFATAELCHQFAHAFKWP LSLLASHLDQIHRSSRPDEEDDDDSRVAAEVVLLFLAGED ACHE_70356A MVKERQQKLLQRLPPGGKLSIALDCWTSPFCQAFMAITGYFIDQ EWNYCEILLGFEPLYGTHTGSNLSSVLFNILQEHNVTDRVLSITTDNASNNNTMMSSI QASVQAQNLNSDTIIIRVPCIAHVIQLSLNQLLGKMKANPVNDTTETEWLDACTHSLH TRYQTKEIADTLNKVRSLAIFINASPQRREAFLDLQTKQPKLVPYQDVRTRWNSTFLM LRRAKQLQPIFDEYCMMHQYLHFKLNKEEWRQIEYLLWVTQPFFQFTTALSKTKDVTV HLIFGIYNKLFDHLEASIQQLQRKKVPWKKTMLDALEAARSKLSDYYGNTDNELHGDI FAISTIMAPSNKLQFFSTKDWEDDTIDYCARYRNSLDNYLERYKKQLSDKHILPMHQP STSPTSELEMLLNSTTPRSQHSQTRKNNDELTQYLERGQCLFYVLDDYD ACHE_70357A MFAAGAQQAAANTQSLLEMLQHSQVSNLPAYGAKVAAAILGSED FTQVWYTDLITELSGSVYETGTVFSIDPKWHVDSPRGPLTLSLTRTTRCSGDMELSYS TAGDVWLLRTTVGNCGQTSR ACHE_70358S MPSLLGSPPIPPSVPDESHPNQVGGSRSHSPGEQRALPDPNRLA PEDAYYAPSLLKARAAAAAKATHDDSLRTLKGNGNSAAVATLRPLSAVPKPDAEKDVR TVRGASRRRRRRKGAWKKLLWVKQSYPDNYTDTETFLDHLQRNPRVRPYDFWPLVADS TVIVQHVCSVVIFICCFVGIVQGRVSPVSVVCWGSVGTAVGWILWDAWAKADESASGP ERVVEGDTGSSSSSVVDHPSGTGQKDSQVQGLGLSMGSSLPGPNRYGDSRVSESISPT ANAIHQYPIPPEPSRPLRLSSRNRQRLSTVKSAFLIYFALLGLSPILKSLTKSTASDS IWAMSCWLLIMNIFSFDYGSGEGAGATKFPASLSTNAAVMASTVLASRLPSTTHVFSL MLFSIEVFGLFPIFRRQLRHISWTGHIFLTLTLVIAAGGAVGCTLRGGWAAAVVGSIL GSILTALAMGGCSWWLISLQKYKNVVIGPWDPARPIIRRHWD ACHE_70359S MMKHILLGLLAAQTALSTRFVMYIDQYHTKDLPGKNLTEGITHA VMGFAKSDLFTSESSPHYEPFEPVSTMRDRFSPDTKVLFAIGGWGDTEGFAAGAKDDT SRQQYAKNVAAVVEENGFDGVDIDWEYPGGNGENYKDIPNEEKTGEIDAFPKLLEAIK KELPEGKILSIATPGKKGDMIAYTDENGPKIAESVDMVNVMSYDLANRRNNVTKHHSS VEDSRETIKAYKGIGLPAGKLNLGFAYYAKWFMTAKDADCKAHPLGCEMQELETPDGK DTGKSGVLTFEKGTMATPNKDDLKESTDGSCGYGTMTTCPEGQCCSQYGTCGTTDAHC QAGCLSDYGTCKGLSIIDSWRRADKDGQLDEKAGGQYYFDGEEDLFWTWDTPEMIKRK FKDIVDEEKIGGVMAWSLGEDTLDFAHVKAMQEGVKERSA ACHE_70360A MLQGRALQIAQVALIVAPAFILFGYNQAGVGPLATLQSWVHTFP EIDATNTEGALKSHNSTSKGAVVASFQIGALIGALSCALLSERIGRRKTIFLGGILYI IGQVLQTAAYGVEQFTVGRVILGIGIGQFSVAVPVWQSECSSAKNRGQHVVTDGIFIC LGYALCNWIDFGLSKASGTIQWRVPLAISFVWVLMIMCSVFFLPESPRWLVRVNRSEE ATASLAQYKGLPEDDETIRLEIVGIETSLEMAQQSISFKEMLANKDGERLLWRFFLCM ALQFFQQMCGGNLISVYASTIFEENLGLSSDLSKILAACALTWKFLCSFIAYVTIDRL GRRAVFMISGTGMCVCMIVLAITNSFGSDNKKASIVSALFIFLFNSFYPIGFLGGNFL YCTEIAPVKLRVAMSSMSTANHWLWNFVVVMITPVALDTIGYQYYIMYAVISACIPII VYFWYPETMNRNLESINHVFRDASSTWKIVSMARHLPQGEATEVAMMENSKEKFEVEQ KETV ACHE_70361A MSPYKIAVIPADGIGPEVVDAGIEVLQALAAKLNLSFEFTNYDW NSETYKTTGAYIPAGGLDDLKRHDAILFGAVGAPDVPDHISLWGLRLAICQPFQQYAN VRPTRILRGTQSPLRNCPPGSLDWVIVRENSEGEYAGQGGRSHRGFPWEVATETAIFS RAGVERIMRFAFDTAAKRPKKHLTVVTKSNAQRNGMVLWDEVAAIVAKDYPQVTVEKM LVDAMTTKMVLKPESIDTLVASNLHADILSDLAAALAGSIGIAPTSNLDPTRQHPSMF EPIHGSAFDITGKGVANPVGTFWTVAEMLDWLGEEAAAQKLMECVEKVCEKGILTADL GGSAKTKEVTAAVVGEIGGLS ACHE_70362A MDSLKHLIQSHPLIDNHAHNILSRPSATNYTKYPFEQITSEAHG PALQNAPSTLPLLRAANQLAELYGCAPDWTAVKAARDELVQRDYEGLVRRCLEGTHAV LLDDLLSDEDVEGFEWHDRFTVSETKRIVRIESVAEKVLAGLPKDDGSSLEKLRGEFV RLISEAIADSKVVGFKTVVCYRTGLNVQQPAEDAVVGALSRTLQQDGSYRVEDKALND WLVLQTLDLLKEAKVSSGVNKPLQLHTGLGDADISLILANPAYLQPVIAQYPEVDFVL LHSSYPYTREAGYLACVYPNVYLDLGEVFPMVSRDAQESIVRDSLDIVPSTRLLWSTD GHYFPETFWLGNKQFRQALETVLVDYVNRGDYTIEQAKNTAAEILFHNSNKIYNLNQQ PQYTSSLPVRSSLSSIDALYAFMRANPDVKYIWMQWVDYTATIRVRIFPILEFAKIVR KQRRIGISLAVFWMLQDDTVTPEGSTTGQFYMEPDLSSLRRNVGIDSKSATVMTYWRS EEGTELEGCPRTTLQNVTNKLKTEHDIDVTCGFEIEVVLMKPVTNANGDEDFVPCVRN HSWSNMTSDTRRMVPLLEEISDSLASIGINLEQFHAESCPGQFEFILPPNSPVAAVDT LLKARQVVVNVAEQHGLRATLYPRPIPKAAGTASHAHVSISPATKEDSFLAGVLEHYP ALVSFTLSQDVSYDRVKAGIWAGSEWVTFGTQNRETPIRKISPGHWEIKSLDGLANMY FAMAAFIAAGYVGITEQKELTNKDCQYDAATLNDTERAALGITTKLPKTLAQSLSAIE SDRTLQDLLGPELVKNYCIVKRAESKKLSAMDEYSRRMWLMEKY ACHE_70363A MAQLPFQLDDPSLLHFDSFVGNEWVQSKHGERFEVVDPGTNIPW ASCPTNTSDDVDAAVKTAHTAFQQYRNVTPRQRAQWLLRWDTLIREAKPDIARILTHE TGKPLAEAMGEIDYATSFTWWFAGEAERIHGTISASSMPNRRVLTVKQPIGVAVALVP WNFPIAMILRKAGAAFAAGCTMIVKPSPETPIATLAVAHLAQKAGFPPGVFNVLTTDL ANTPSLSENLCKHPLVKKVSFTGSTRVGKLIAGHCATGLKKVTLELGGNCPFIVFDDA NLDLALDQLMALKWRHAGQACITANRVYVQAGIFDAFAQRLKERTNALVVGHGAKEGT TMGPLTTPQGVDKARKQVEDAQKRGATVLCSGTVPENKEGYFFPPTILTGMTPEMLIS QEESFAPIAALYKFDTEEEAVRCANDTSMGLASYAFTRDVNRVWRLMENLEAGMIGLN TGNSSAAESPFGGIKESGYGKESGMEVAVNEYLVTKTGTLTVEQ ACHE_70364A MDSSPRAQNDHHIGRRVRELYRYFQPEPSPQPTLVSSYFDSWAS GDTLSSDNATVPAVSTASLSTPDPTAGLCAGPSPGEGLVLGNPNQTLSSFAQLAALRL GVQRVLISVSDRDSQHILANSTALPIDSGQTDGWSDLSTSNHTWSICKVSMIPPGTVN PGLCTEQHGPVKATVALPPSTRNPPQYRFLVIDDMSKDDRYASLPFVQGEPGFRFYAG TPLTTETNINIGCFFVLDTQPRSELTDAEKETLGSLGMIIMDYLKISRQAHEGRRATR LSRGLSCFVEGGRSLTEEVHPSYMGSPAFPNDNSNSRLGGSLDSGGPPSRRSHSSDTR SISSESESKADSLPSEWGSSGHKGAPFDETQDTSWPFKRAANLLRECLELGGDGGVIF LEAGNNCTLDSDSGSDSAGETGNPAPTLAISTLEDPFGPSTESKVTCPAMNLDRGFLL RLFRRYSKGRLWGFHRDGMLSSSDDDDNDEPRVSRSRSLRSKTGDMPLPRSGKGKWKA MENKLLNQYFPNACQVLFVPLWNAGNSQWFSGCFCWNTVETRVFSPNVELSSVLGFGS SIMADYSRIQSLISDRQKGDFIGSISHELRSPLHGILAAAEFLQGTQLDEFQSSLLET VNACGRTLLDTMNQVLDFSKIVSLERTWRNIKRHKASPLEVRGMEKVHLDSYVSTDLA VLAEEVVEGVCMGHAYGQRATASAEQPVILPHTTARGRSQSQGDPSKRTDVEVMMDIA HNNWVYRTQPGALRRILMNILGNAMKYTDFGRVSIRLEVTEVSESRLRRGSSGGAEDL VTLTVSDTGRGISEEFMRARLYTPFAQEDSLAVGTGLGMSIVRSLVRALNGSVNTYSR PGKGTMVKVTLPLERPQPEIKDAPESPAPQPSDKVTLTQARLLRDAFAGRRATILGVD TVTAAQHPLWSVIAHYVTEWYGLELVSWPPPTSTPVNLVLADEQMLAVEQDTGFITSV PALLVVCNKSVDYGTAKTKWAHLAHSVETIRQPSGPHKLARSIRKSLESVPDTSNTSM SIVLPNRTTSQDTVFSQGSTGSLKDRRIDLTGVNLDLTTPPELTNSSSGGSTSSKSPE SESEPPMPYTTTTGPLPLSSPYVEKDEQYLPTAPHSPTTTVTELPQIEFEGEPEPEVQ DHAKARVLVVDDNSINLKLMMTFMKKRDLLALDAAENGKIAVDAVERMQGYDLIFLDM SMPVMDGFEAARTIRSLEKENRTWRRATIIALTGLSSPRDEADALTSGVDLFLTKPVS FKSVAGLVDEWEEKRRGTVKT ACHE_70365A MDSAWRRENNIEDKMTSGAMPHGAVSDEGAMENKPETSEDQARQ VTPRWKRWLGGNSKDEESPEVKEPYRAKSTLGILSDKQTDEVPGTVLLLSHDRNEPLG LRRQPERTAPSSFPQPNPPTRSPSRDPIKKTTDGVVLSPQPDDSANDPLNWPVWRRDA ALLSLGFYSLLGGGMTPVLAAGFNNVAATYDVSTQQVAYTTGLYMLGLGVGSVIMSPT AILFGKRPVYLLGATLFILSAVWCALSPDYASLVVARVFQGIAVSPVECLPSASIAEI YFLHERAYRIGIYTLLLLGGKNLVPLVSAAIINSMGWRWVFWIVAIITGGCLVCLFFF VPETFWDRTPRPHRHHKRPNLHRSVSNIISHGRRGRPPYAESLADKVEEEIQPPSPVA RRHSDRHVEFDSHEKVEEGGHGGQEGDRITAISTTGAENRAADPEKPDHPNDPEAAKH AGNDSPAPVTGAARYTNRLRERGPIPFAQYLRPWSGRIAHDKWLRVAVRPFILFAYPA ILWSTAVYALSVGWLIVMSELVSHIYQGGSYNFTSLQAGLIYISPFVGGLIGTAVAGK VSDIIVKFMTRRNGGVYEPEFRLVMGIPIALSTTAGLMAFGWSAQEKDAWIVPTIFFG LVSFGCCLGSTTAITFAVDSYRQYAGEALVTLNWSKNVFHGLIFSLFVVDWMESDGAR TVFLALGGIQLGCLLFTIPMYMYGKRARMWTVRKRLMEKF ACHE_70366A MASVLLPLIPRGRRSTGTSKTSVGLSPPKSASSTGDQSSKSKDR PKGNEDNDVAVWLSIFFGNTDAEAPELDHARFNGRVPKETWEPRTQPYTNHIEKLLFD TKEANRLSAQQSVHLKNPEAKLPIFFAAGKLAVRFSDEILSSQKGACRMCRRREVKCF VFRPLSATKNGYLDLSDFEKMHRILRTIASHTTHFRKRAEVDWAIGNFASDRPYMCCL AVPVCSLEDHCFREAMLSSQNYIMGVMEGSIKPRPLKEDIKRAKRKMTNTSVGRSFSS TPGAMSPTGWMSPGWMSPTSPESSFGAYTTPPSSFSQLNDPAQKQPKLCTIGTTVFIG RPDLQVRLPPQPGQLTCLVLSSTWPQTLLPTQSKNEADEAFDYCRIAGYHERHILETA DYRCAICSDAVPARSLVHRPIAYIRTGRKELQDKQLRYALMRFAPYVEGRWNCPDTID FFGGYSDAQVFDLVVPVCRSKSICEEVARTAAREFVKLFIPRDMKLVFPGLSPDTDLA TIEFGDDLAYEGNPELLVKKIGPHALISETGDRGEDPMDCALTVTKLRRWYELCFKEE VAKREYLKQIGYKRTGDISGSDSDSDDESVIDDSVIWVYERESRNDGAESHSSSSKTA RPENQLSRETAIQRLENQMLFAPALNLDFLLLCEAVGNSWGLRDEDRESEGSSSTIRT EWIE ACHE_70367S MKVSQLLFLLPVISTVAADLAPNPANPTQPALAQRDVTNANGET IGASKAGSKGALDAPVDEHAGHKQTGQGRTAEGHEKFDATVSSVEVGSGVTGTEKDAQ GAGVASEKQSGEKKVPEGPKEAPPLPYSEQKKASEEGVSGKGTKGVPAKPSTPPETYD IATPKSATSSKDTHTYTGTKGTSSTSKLELDEQPAADPLHSLIFSFTMIIVSEIGDKT FLVAALMAMRHPRLLVFSAAFSALIGMTVLSAILGHGIPTLIPKTFTKFMAALLFFVF GLKMLKEGREMSPDEGVGEEMKEVEMELEEKEQEQIRLGRRRSSVTPHSLEAGRGRSS KNRMPSPPESLSSSSSRESSPSPGRRWDDMLVGLNNLFSLLLSPAWVQTFAMTFLGEW GDRSQIATIAMAAGQDYWWVTVGAATGHGICTAAAVIGGSAIAGKVSMRVVTLGGATA FLVFGVIYLIEAFYE ACHE_70368S MVVAVVVVVVSRGRDGGRGGGILRVKLDAIEESPAKTQTPQRRI SNPVQVQGSPEDAVNMSGTTILPSEPETDLDPDMMIETLPSLEREANDVLHILVPGSV DPVSIVNTAKKLRDPQNPQRKRLKRLMSNLDAETQYFGHQTYIDTKQVNPLLISALEK KGTGTSREWSPDPILHKVNCARLALEVLLADASSGKKTESQRKAIHDLEGRFPSPFMN ELGKGLQGGHGQSALEKDTFDLALEIRTQSLLVKLETHQNEDRFDPEAIVSGVFFDDV MGDEDDSYQDGQEPPRGFNIKLFENENGRLPERFTEAVYDRVNEIRVTLAEEDDDGIR GLQGAYRWQKFVLRVAQWIRKRENEINRDLKSQSDAETVREEYFSEPQRESSSFSRWS SSPTAVREVSTIQTVPVKAPKEPVQKPEQKPVQEPVQEQTQEPVEPAQELGPVVGPAK SERRKSYKSQWLNASMIERISQRQRRQTDYVRPVSQEKSPEASNRRQTLSGPVTAEPT VPPESREIPASPENPPTFLQNEEDLFVDSSSHLQPHRGEPAPEKSHSPPMRRQTISIP PNELPSSEEVWNAATKATPRLTANPRRKTPAAFVDRQEHARRVSPISLSDPHSAERRE RPQPPASRKRQRTAEEDDDDDDEFSRYERAIDPSVKRAQKPDQSRHLKRPRVDDARRR ERTSPPSSTAAAATTTTTATTSTDSKVRVRWSSEEDKRLMRLIRECGTSWSDLVRQNH AEPVQEGEVRIEDRDQVQYKDRARNLKIIYYREGRQDELPKQFEYVTMSKRDKESLRK RGIEID ACHE_70369A MKNVAILALTTAASAAAANNCYQSLGAEPLVLPASTFLSNAYCQ ALCLSRNYAFSGTKDGKECACVKELPSKDLKVDEKECSVPCPGYPADKCGGHDAWTVT VPQAPSSGASSSSAAAPTASVVRRSDHPAWLDSGSSDSSSSDSSSDDSSSSDSGDTPV AGVGPAVSATPSSDSSSSESTSSASSESTGSASASVEGGIVVDSEPTSSASSDSGSDA AAATSSSSDSSEEKTTAAAAATTTAAGAATSTAAGSSASATGGSDSDSNTTQTTSIPN TLLTAPSSTGSSSGASASPSSGSSSNSDSSSSSSSTSDSSSSSSSASASATPSGENAA GRVGSSFSMVGAVMGVMVAYLL ACHE_70370S MRSLLVILLPTALALPSFPFSASAQRPLQDTSEISCPLAPKIAP DSDGFLSSLHFIQDEGIRAQQVERLSRAVQVDTSVGDAVTDRDNEAFAPFVDFQDLLR DLFPLVHKTAQLDHINTHALLYTLPGKLPHLQPILFLAHQDVVPIDDPTDWTYPPFSG HYDGTYIWGRGASDCKNTLIGLLSAIEDLLNQGFKPSRTVIFSFGFDEESHGFLGAGH LAPELEKRYGKDSIALILDEGGNGVQVLPDDEDVVYALPAVGEKGALDLILELAVPGG HSSIPPAHTGIGIMADIIHTLERKDLFVPELNEIHPTRKTLECQVVHSPEHIESWLGH ALSTNDYRSLAEKLGQSRGDNFRVTLQTTQATDIIRGGVKSNALPEKISAVINYRVAL HQTPDTVISRAVDLITPIVQGYNLSFSHPYSSGEGEGTINHLTLRPLSSPLVPAPLSP TDVSADQIWTRFSGVARAVFESVPALKGKKVVVSGDVMTGNTDTRFYWNLSRNIYRWN PSRERFLNNIHTVDEKQSIDTHLEGMMMYYDLIRAFDQWEETNEFAHEL ACHE_70371A MNLYRLGLLVASLVSAVTAESTFTPARPPSLPLAVKSPYLSTWL PAGQDGGNGGYLAGEWPRFWEGQIIGWAGIIRVDGNSYTWMGLPGTTTVNQTAYEYTS TKSIFTMNVGGEVQLNLTFLSPITPNDLKRQSLVFSYLNVEVASLDGQSHDVQVYSDI SAEWVSGDRSTAVQWDYGVTDDEVAYHKIQRQTQLLFSESRDQSEWGSWYWATHNGTG VTYQSGNAEDVRSAFRKNGKLSNSKDNNYRAISTNWPVFGFSIDLGSVSSQTADRLFT IGLDQQDAIQFSGATGTRAVPSLWTSYFKDGLAALDFFYHDYEEANKLSSEFDDRVAR DSIAAAGQDYLTITSLSGRQAFAATQLTGTIEEPYLFMKEISSNGNMNTVDVIFPAHP VFLYTNPELLLLLLKPLYEIQESGNYPNAYAMHDIGTHYPNATGHPEGNDEPMPLEEC GNMVIMALAYALKSGNTTYLSDHYTKLTQWTNYLVQDAIYPANQISTDDFAGSLANQT NLALKGIIGIEAMATISSITDHPDDSENRTTVAQDYIDRWQTLGIANNTEPAHTTLSY GDNSSHGLLYNLYADRELGLNLVPQSVYDMQSSFYPTVEATYGVPLDTRHSYTKSDWE LFAAAVASNSTRDMFIKDLATWINKTPTNRPMTDLYETSTGDYPGITFIARPVMGGSF ALLILN ACHE_70372A MSLQVNGPWSRGRSSSRTRERSKSRGARSRSRVSSAVPRTSKSS LTSLDDNPPRSRSRGPRSSRAPSAVRGHRSKSRSRYDIDTEGGDGGNASDYYRRGTSR RPRREYYHSGDEGDDGQEASYYLKSTPSTGSKFDKKAEESDDSSVDERLAYGDMPGER KKSSNRRSKFWSTLRDSATELAQQAVAAQAAQNKPESPKPNLPPRPGSQPLPEAPGPG YARPEPFKYGYASPQQHNYPTYPPTSSAMPSNWAPIPECEMPGFVPPSSQGEEHSSMP GAFPPPETSQPATTGPPMPQYANPAPYTNAYVPHSAHYSASPVYSSTTGAYNPGSYAP APHTSSGEGPPRPAYANLPPFQYAQIDPNIRYGSKNSSRPFSYPAVPQPSRPPAASSS HQKPAPPPAAPAPPASRPPAAPAPPAPAAPTPPPKRPAKPQISVSTGQAPTTSNDHHY VEITPGGRTRPPSNSVSSANLTVDRPDPSQRPASPLQEPYQGTYQTISPMPSPIVIPA RPEDDFSDSETLDPKRTHRRKKSRDDRESKDEGSSRRERSRVRHERHSSTQSLEPDNV LLISPGVSQNKRVSFYNPTRDALAMQEALSHTRHIDHKTLIHILPRLSDEEILDMRKE YKHHVKVHGKGVNVAKHIHLKLGNTPFGKVCYATALGRWESEAFWANCYYQSGSSRRE LLIESLMGRRNSEIRAIKESFRDSRYNDSLEKCMKSELKADKFRTAVLLALDEGRQSE RQPMNEELIDRDVEELHRALISRDGGETAMIFIIVRRSDSHLREVLRTYEKVYRHNFA KAMISKSPNLVGETLAHILNGAINRPMRDAMLLHQALHESQTGKERSELLISRLVRLH WEPKHLEQVKHEFRRRYRERLEEAIAEEVLPINGGSEWGEFCIELARSCNKE ACHE_70373A MLFRKTILWRMTLYLRVWWNAAWAVWTWFIPLLLFFVRNLCILD SHSEIAVPQAGISWYRPYEHMPSVILRDSLVQGSTKLFRDSPDGIHVHLCAIRASLLR PTSFPRPIAALLNGPSVSRRPKTA ACHE_70374A MATASPRSSTPGRGQELPPITENHSNSTSNSKDQRKSSSLGFLR RSKSTEPLGERKLSGSRTKRSKTQAMEEERRQREAMPKQPPRLPDLSPTPRLETFGGD DRPDSVAMFSARPYENTAAPGTALSSPAPSVYPDPYARTESMTHRGRFSYASSAVSTS NNPRRLRRRKDPTPYNILVIGARNSGKTSFLNFLRKSLTMPPHKHPSRLPEEFEEIQR HSGSNDGFTSHYLETDFDGERVGLTLWDSQGLERNIVDIQLRGVTNFLEGKFEETLNE EMKVIRSPGVRDTHIHCTFLILDPVRLDENIAAAERAANGKPKRSDSPVVGILDKYLD LQVLQTVLGKTTVVPVISKADTITTAHMAYLRKAVWNSLKQANIDPLEVLTLEDQEYT SSESADEEETTPTESQHEGTQPEATPEATPEATPEAENPDQDKEASAMSKSEPVPSDE KAESQNPPSERSRKSSGSEAVAKSLPFSILSPDPYSLEAGDEPVGRRFAWGFADPYNP EHCDFVKLKNHVFNDWRSELREASRLIWYENWRTSRLNRNGIHAAPPQRKAYGGRMGP FDGRRTR ACHE_70375A MDLFGATDGLLGVFGSQQSNFSMYLTTMQLALKSLMFVSGVLSG NTPPTDLSVTPIPSYVLEYAPLIWLHSQDPYRPSDIAQQLVHTTPMINWTAVQDLPAP VTLSNLDSLNEHGNTSVFLTSKEGIDADPQPAWLYGDTFDQNNNTGDAVSSVVITRDH GNGTLDAFYFYFYAYNEGNTVLGMEFGNHIGDWEHNMIRFQNATPEAIWYSQHASGQA FTYDATEKQGKRPLGYSGNGTHATYSTPGNHDHTIPGLNLPAGFLVDKTDRGILWDPT KSAYAYSYEPATKTFQAYDPSFPVNWLYYNGQWGDDALPGGPELFGQKKWAAGPNGPK FKHLEREEVCPSHPCVVLPFRSWHEGEGVPDAKGAFDD ACHE_70376A MTDQFAYTYPSPLEGYENLEPLPEERNDDGKSFKNPQHGILSKA YEEFPDPLSKGRRGGFDIHIYHFQNNPDQVTYARALWERIRREFPELRIYTFFDRPVG PHPVAMFEVNLFTPAQFGAFIPWLVINRGPLSALLHPNTADSEEERNHTQRATWLGER IPLDLRVFKLMKEREKREEEEREWEKKAVEGRL ACHE_70377A MPPRPGAIGDLSDDDYVAQVLATEARDNSKRYALEGLGAYMPKK PSGAAPKPNTRFLRHIIRETDNHNAALKRKEERDARERMRQLREQQAASSTSRDTRRH RDQDQRESGGRNGERERRDDRQRGHRSHRRRHRSWSASEDRDGARARRHRRRHESEYE RTKYRRRDRDDERDYSRRRRSYSRSRSRSPRDGQERDSRGSRRRHGRSYQSRSPSRSR SRSPERTKRRHKEDGDRRNEHRSSLEHRSTHRSSQHPRRSSPTTTDRNQASDHDSDPL EDLVGPLPQRDDGTAPMRSRGRGAYKPSHSTIDAHFAKDYDPTLDVQPDDDDPSSGNR STRRPVAGLMTEDDDWELALEALRDRARWKQKGEERLRQAGFSESTVDRWKNNAAFTG GDMEGHIEDVKWSKKGEGREWDRGKVFDEDGHVDVRASW ACHE_70378S MTEPNASEALSHLQSLENEHGDIKTHLSILRISEPISLESETES QERSPSKRGSDVSALDNPTPSSLEADLTHYKELFSKLRFSYVEQVTKEKFLRAIVGDP PLVVDNNENLRLETQLAEVKAELKARKEDVRVMIEEMEKKGRDLAARYKHIQLQGTQL SELPESIANIESTIAGLRAKQVANMDDASSSQRLPLPATLSLLAEREAELAALNRQLA TVQNALPRKTREAEAIERELGVLERRKTEEIAQAREAQRKKQQGESDGLEEMGRWYRG AEETLKKIVGVRE ACHE_70379A MAPVPRVYSKTYKVPRRPFESARLDSELKVVGEYGLRNKREVWR VQLTLSKIRRAARELLTLDEKDPKRLFEGNALIRRLVRIGVLDESRMKLDYVLALRIE DFLERRLQTCVYKLGLAKSIHHARVLIKQRHIRVGKQIVNVPSYMVRLDSQKHIDFAL TSPYGGGRPGRVQRKKARAAEGGGEDGGEEDEE ACHE_70380S MGHSHGLRRGTRYAFARNFKEHGQIRLSTYLKTYRVGDIVDIHV NGAVQQGMPHKVFNGKTGVVYNVTKSSVGVLLYKIVDNRYIEKRVNVRIEHVKHSRSR EDFIKRVKENAEKKRQAKEQGVHLHLKRQPAQPREAHTVEGATPETIAPVAYDTHI ACHE_70381S MSLPANPNTGTSQAYLATGNQSPQIGNDKLVQSRETGNVVPQRV RPDEAETKPKPFAHFVAGGLGGMTSATLTSPLDVLKTRLQSDFYQAQLQALRASHPVP PSSSITALPRLAILHFSETFQILRSIHVHEGWRALFKGLGPNLIGVVPARAINFAVYG NGKRIISDYYDYRDAKETPVGVHLTAAAAAGIATGTATNPIWLVKTRLQLDKSNSEAG KGRQYKNSWDCIKQTVRHEGIRGLYKGLSASYLGVTESTLQWVMYEQMKMFLGRREEE KLVNPNHEYGYWDTVELWGGRIASAGMAKLVAAALTYPHEVVRTRLRQAPTVSTAEGK VEMKYTGLVQCFKTVWKEEGMVGLYGGLTPHLLRVVPSAAIMFGMYELLLRAFGATS ACHE_70382S MNPDFQHIGGWVNGNTKRDSKREITSPADPRAPEMPQQSPSSKN RSHMMDRFIEEGPKETPWSSAKMGSKP ACHE_70383S MPTPRACWRCSKTVGSWKEWFECIANHCRIPSTESDPLSRRGSR DHGNNDGNNSGRPGGGEGNPPGKTFQDHVTGAGAQFDFFSDTGFSGTDCNYYGAAGTY TSSGQTFEDGTNSDESSSAGSDAESPFFSFEESEPRPMEFLGSGLLHTGELPSTEHRS RPNNRDPHISEMKRLSRAQTQFPEGISPDQSRSEQALVHKSADACSPTEASTSTHLAK NERRPKLREKANVIRQLLVLQSAAAARSRRVTEVTEEIDVSLLDPKPNLTWLPKPATD LIVLEPQWLLKQLIEPFLAECDASEKPSPFWLAASRFLESIVIHE ACHE_70384S MVPRLQIEECQSYIEKLDNRKGDPLEPEARLYLLTLLIVQYQGS RETEERVTLATETWNHARIFSEKVVRMTLQCYQEFQSLCNKVQVLDVPHPSPTLS ACHE_70385S MSSQKFSRREDDTGVLIYVAPTKALVNQIAAEIHARFTKKHPSN SGQSVWVIHTRDIRFNDLMKCQVLVTVPHMLQIMLLSPANAKTGAPRVKCIVFDEIYS IGQADDDLVWEQLLLLAPCRIIALSATVGNPTELADWISVTQKSLGIDLKLVQYGQRY SDLGKYFSTPPKKDQVPGASSNDT ACHE_70386S MVKHSSAEFPVPETLRPENHMPSSIRRSDVLEWERCLKDHLATW MINPQSSFNEVRAELDTKFSSSDRLPKETATMGKDDMCDSLFPPLVNLHQQNALPALL FNYERMACEQITESVLNKLCTAEGFYKDDTAWNRKMAEYQKYQASKAKKACEAGKRKS SQDDLNPDPAEYERYAFDGFNPERSLEQFSFAGIRGQDWEELKKDIHKLKRFNINPVL LEALTRGIGVHHAGLNRRYCHFVERLFRRGFLCVVIATGTLALGINMPCPTVVFCSDN PFLTALNYRQASGRTGRHGFDLLGNVIFHGISLLEEYQVISSRLPDLNGHFPITTSLV LRLCILLHNSDLSPYAVQAINSLLAQPRLWLGSVDSRDKMFHHLRFFIEYLRRQKLMR RHGEPTYLARSVAHLYYTENSAFVFHALLREGYFETPKPQSLSQAFQSAEPETHLSGH SDDFTSIKDLCKTVRSEVFLEESVIPHLTVYPDGDMPLLNVYLYDFVCHGNPQPLEVA NNILGSDAWFLLNDFSLILATIIVALESEY ACHE_70387A MATEDDNFDIDIYGDGSGYTANEQGDIKHDEADIILDAPDNAQQ GNAQATQQQAGNAGNATTNGQQQIPSSETVNQDQTPKETPTPQQGVKRQEDRPSDPDA TTALLISDLFWWTTDDDIRGWVNEAGVEDELKDVTFSEHKVNGKSKGQAFIEFTTIQA ATAAKHKIETINATGQTGRKHSLTYTSPHMNPFRTLPKDAPMRKDNQARSAAGFNSPN PNMNFGMNNMGGGGGFRGGRGGFNNRGGMNNMGFNRNFQNPMGGFQAAPMVGGFQPNP MGGMQSYGFNNRGNMMGGGMRGGPGGMRGRGGGMAGPNMMGMPAMNPMGGMGMNPMGG GMNPMMGGMGGNMGMQGQGGFQGPNPAFNQGFSFPNQGVGGDGAWNPHGAKRSRQE ACHE_70388S MSDNFQELADIPKDFVRDGSLFIKRCTKPDQREFIKISQAVGMG FLIMVWKIAPSALRLDQKAC ACHE_70389A MSSGFPPAGDQRESSSREFGTVWHPAREWLEQGENDDEQDLDYE PWGDMDDEMDEEITSEHAEAEYQAYLDFTGDPELRNIDIEFTLDGRDSEEEDEEYPVE RVSAARIVNVMSYHGLRPLMRHSRISDEDDDDGSGYFVYGRPRRPRQDRFPKVPSEAG RDLMGSGDFGSNSHYVDELKKRKRALTTKLMWRELGIDVNGARRRATQSIFQDLIPRS VADKIIHYDSRCYSGQFSDDGNFFYSCAQNFKVRMYDTSNPHDWKYYKTVEYPFGQWT ITDATLSPDNRLLAYSSIRNLVCLSSTDPADQSDPTILDLSNLPGARSEREIYGSSHF GIWSLRFSGDGREIVAGTSHKTVVVYDIEARKCVLRLPNHEEDVNAVCFGDKSSPHIL YSGSDDTTLRVWDRRSMGDGREAGVFMGHIEGLTYVDSKGDGRYVLSNSKDQTMKLWD LRKMMTTAKFDTIDAKSYTTGFDYRFEPYPDEYYEPHPHDCSVVTFRGHRVLKTLIRC HFSPPGSTNSRYVYTGSEDGKVYVYNLDATLVGTIDVGMATLNSRPRDPDLLMNAYDF GLRSGELLWRTCVRDASWHPSAPVLAATSWNGWGLSSGTCTLHSWNDCPTEDEGNPPV GLSYDARLDEEPDFNHYKQTMQAYRRGGPLPRMRHRFISSDEDEDEDENEDEFE ACHE_70390S MYTSYEIVCRTNIPAFKLKHSVVRRRYSDFEYFRDILERESTRV TIPPLPGKVFTNRFSDDVIEHRREGLQRFLQIVAGHPLLQTGSKVLASFIQDPNWDRN AW ACHE_70391A MDYNGVNNYHNRPLDESLIRELPQLQNLRIAPLRHPVSSRALSV PSPLEPNASGVCESKASSAAVSSGSAGSYTNLPTVTELSNNTQTKKNDDSMLNLEPPP KPILPAFVNLRALERFPYSSFDEDFHLIRKRRRLDVHPDAFGEHLQLPIPQQQKEQRP PPFGPFAILNGLNEPPPNAALLPPIEAGSITHLLSKPTKEDAVVEPTLLTTNNATDSH TSERREGKIEEILASPVDSKAGHVDRNNVEESFTDRVRTSKTGSEQTNDTSPNKGAPP PAEKSPEPPSPKTRGRSRKNLRKWTEEETTDLLRGVIKCGIGNWTAILAQSEFRFNKR SASNLKDRFRVCCPWAYRAADPNEATRQVREKLAETLQRTETEGDGAAVKIHLPPPQP SNSGSDSNWSEVRPVLHTNDSSSSGCSLTTIKTDTSTSEAGYSSSRTISNESVSTLAS LGVTEPHYTVKPRRRARRPFTSAEDEALVKGYAVHGFQWTLIQQDKRLNLGHRRATDL RDRFRTKFPHAYREGGSISGNSLQSEAQNPPPTDETSQVTGTKRSRRAERRPASAHHG KADNAHHTVSAMPGPSGSAFPHHVPAPQGLSENSTVGVPPAGPSFPFHLDSNSTAISN AEAPWTDSTLAPMVWDEIP ACHE_70392S MVSTIFPTWPHLLFGVIEPISLIAGWALPIIDLNWFILDQAPNP DLISDAIHPSSIALAYQLANLYGLLFLLGVGICYTTTEPKVLRNYLFCLAVADFGHIY VTYLAMGAEATFDVAGWNLLTWGNISITGFLFVNRLAYLAGVFGDAKSPLEDKKRT ACHE_70393A MSSHLLQFPSAHHNPSQETTLYLLPKVSPRCATDYTINNAINGK AVFRVTGKKYGSTPGREFRDESGLPLFKLTRGAVLSRWPWRITLPDNKEKDLGRVCAR DPSMKIKLHIARNCVIGDRKREDEMVRLEVRRTTTLYMLGVLVEDGDNEEKWKVADIR ECVERNKTVGHWPGGPYDHVPPKRVLDMKVAEGWICRF ACHE_70394A MNFVISPYEKTAFGPIFTTAEDTSPYLAVDNGMDSFISLTPQSP LAESTFVPVQSTYPSLFRPSAERPHFSVSYKWWEDEATTVLWAFDAGEIQRVIRYGLF PDENLPRTALQSRNESTVDSFLFTLVQPHERPFIANLSHVQKVEEILRRSKGTSPALV PWSWFPSVMSREQDPEAIAKAIDAESRLHFTRIPFEEWVRYSLGYRSTLVDSFLEEHT KLFHHLLHYFDGLPEELKRYAEVEKHLRKRSPFAHRALVQSLLTVQSGGEQRPPLSPV PGFDFVAGPIQRLFKEHPPSLTAILKVLCVLEVRFRSMYLHIPEMDWNESFDTTVTFF EDVLASTSAVDLARTLTTTDEGHFSELDEQGLMTEGPVARQIIVEWHKLSMAVWEACS ALPDLIAYIQECVQALFLIRNYHSLTAMLNGLQKYSILAMTFNNVNSITGTVTLGPVL PADLFYLLNPFHNYLAYRQQFHEAPGIPFLLPHIREFKQHGQGVLRQLFQEIQIPLP ACHE_70395A MPRGPTKHQKRLSAPSHWLLDKMSGTYAPKASPGPHKLRDCLPL IVFIRNRLKYALNGREVKAIMMQRLIKVDGKVRTDTTYPAGFMDVISIEKTGENFRLI YDTKGRFTVHRIQAEEAEYKLGKVKRVQLGKGGIPFLVTHDARTIRYPDPAIKVNDTV KIDLATGKIVDFVRFDTGVVVMCTGGRNMGRVGVITHRERHDGGFNIVHIKDAVENTF ATRESNVFIIGQDKPWISLPKGKGVKLSIAEERDRRRAHAIAQ ACHE_70396A MAPAIAKKKCGVLGATGSVGQRFILLLAEHPLLELHALGASERS AGKAYKDAVKWKQARPMSEALSNLVVRDCKAENFKDCDLVFSGLNSDIAGDTEMEFIK NEIPVFSNAKNYRKHPTVPLVVPTVNPQHMDLIPHQRQQFGLKKGFLICNSNCAVIGV VIPFAALQAKFGPVEEVEVFTEQAVSGAGYPGVSSMDIVDNVIPYISGEEDKLENEAQ KILGSLNADATAFEEQSSLRIGATCTRVGVTDGHMAFVSLRFKNRQVPSAEEVKQALR EYQSEAQKLGCPSAPEQAIRVFDEPDRPQPRLDRDISRGYTVSVGRVRDGLPGGYFDL RFAALSHNTVIGAAGSSILNAEVAVIKGYI ACHE_70397A MRLPTVPRRLPPHLRLLYRRPQICQPTRVARLYSTTSSSEGKGD YNNAVRSESLADNASSPSFWNTKTSLIAAAAAAGLGYTYATLSQPSHSQSPSLSQSQS AKKPQYGSIQDYEKAIVELRAKLGDDAISTEEDDLELHGYSEWSSVNADRLPVAIAYP QTTEDVSEIAKICHKYKMPMIPYSGGSSLEANFSAPYGGMTIDFALMNRILELHEDDM DIVVQPSIQWMDLNEKIKDSGLFFPVDPGPSAMIGGMVGTNCSGTNAVRYGTMKDWVI NLTVVLADGHVIKTRRRPRKTSAGYNLTGLFVGSEGTLGIVTEATLKLAVTPEKTRVG VVAFPTMRDAASTAMQLIRKGIPVQCMEILDDVQMDVINRAGGTGRSWKVLPTLFFKF SGTNAGVADSISLTTELAKGNNASSFEFAQNEREGNDLWSARKQALWSMLALRKEGSD VWSTDVAVPISRLPDIIDISSKEIAGLGLFASILGHIGDGNFHSSIMFNRADPAELAR VEKVVHDMVDRAIEMEGSCTGEHGVGLGKKGSLKKELGLDTINVMRSVKASLDPHWLL NPGKIFDFDNES ACHE_70398S MQDRLVSLVREVERVVTAPYVPSLQDLYGIVCQVSFPAIDSWSF CKPCQVAALVDVLVDGLSYSNVALELIGIFASAAAFRDALLERYPAILDHFLQKAVET EESKYLSTCTALLSSPLSSGFTAPARLAGFITKLVHRMAKCPNADTIRPINNLLTGLQ TSPKALYDIPAETMTTLQGELLKTLRNMDDHMGNLLCLSTYACIASSQKLDYEHEYGP QPPSWLHNVRHFFGSKRGLKTLDLVVLRVILACSANCNNLAPSEAAESVRLAIAVCDT VEPEQKQVWISGNASKIAKLCEKVTREGINYEVQMMGVMFLISLLPTNTLPPQILALG LQGLLSNDSLQVLEAIPLGLIPRLVEANAIISGKSTFHDSLNYVLSALKSPALEMNTI QIATLILSGLQISEPRLSSAVTEVSHALVRDSMRELIESFPRSPRQSQCNESKICYAA LSTAENGLLIDLFDLYYCASMSQNVEDDGALRVEAGLISKFIARVKNTMCESNCLLAT VKPSDAYDKLSYLEPRQVSPNPRRDWKAEVSNTLRSSARMSHDGLMKKVEEVCRDLEH RCYNLEAPLRVIEEERNNASSEAEKLRQQNFELETRLQQAFSTINDLQQNMSYLEGHA EAASTRIEKLSTSLEAAQKELEDQRCAYEESSQSEKEKARTKELDFMATLTEKEDLLE ELQAENHEQRAENEKLRKTLDLVSKDNGSTLETVASLRKEVSRLEEFSVSNQQLAAQK DEEILVLVASKEQMSSEIGNLQQKLENEISGSNRLRNTLRDAEDHFKSETDTLRKQHE MQLSEASAENAKQREDNKALHESMQTAASNATAELQTKDKRIRHLERKIQSLLDERAA KAREFSVAQEHIGRLMTVMGFKPDSETKLSSKQQRSRSTLEPTQITPVQRAINPDDDG TQSQADHLFGDSFGFEIPNPNGRNPKRPRGNSIPLDLAPAPRNRNHDPTPNGLPSRSH TSLPQRERRPLHDVDENSQAASQRTPRPSQAQSQFPESQVDINRNKLQELDLDMDVEF TKDFLFTSTSLSDANDPASP ACHE_70399S MRRQRLEFHEQALETHKVNEKNHPTIHQYPAAFEVMDTQSSVSF SSSDFSSSSFPSHPSRRRNARRGRSEGNILHQAAGPHANSPAPGFHTSSSSSSSSSPL DDYSTFSSSYPSSSPSPLVDTSDKSVLVDGNANMLTLSPPRSHNHSFSRGRGRGRGKS RRRVPRMTTTTTTTTTLTTAAATALPPLSHPLPTTATTTRPKETAGQPSSQPLPWWKH WETVAVNLANVPLEADTFALWKAFSKEGSIFSIDLFTDIHGNRDPKGKIRFKPAPHTD FWRKNTYIITLPDGQPATIFVTLDNKQQVCRIPSPVQHNISFPAEVKLPIVSLDIGVL INETTMMSMRTVGTGLNEQPSLVLDLKRRALFIYFQLPIFNSIYKPTSSADVYQEYRL KIPFPQLTRIFQTRDSLSGCTSHFTFLKSPAIYHRRIKNIQSTFVDETSWRDEDTWYR QTHVVHNPQAQATLPISLRKQNPVIDIGRWNAFKIMYPKNSDDKGSLMLACNILRDYN VAIEDTDRFTQCDSTQQKNPPIWRWIDLSESRNKALSSSLDDLIDEEYINLPFSVRYQ LEVCLSNGYLSEFTMPREFASKLLELGEKDAKKLLEHVAMKEKVFHDPMKIFDFKFIR GMTNAKIPPYCCYMRSARITPSTIYYSTPSVDISNRVIRYFIEYADRFLRIRFTDEKL VGRINSTNDNTMDEVFTRVKRTLANGITIGDRHYEFLAFGNSQFREHGAYFFAPLPNL TAANIRAWMGHFNDIRNVAKYAARLGQCFSTTRAVAGCPVQVRKIDDVVRNGYTFSDG VGRISKFLAQMATSELKIRTLTGHVPSAFQFRLGGSKGMLTVSSQAQRQEVHIRDSQD KFAAVHNGLEIIRWSQFSLATLNRQLIIVLSTLGIRDEVFHARLRTMLKSLDEAMASD PQAIHLLKKYVDPNQMTLTVSQMVLDGFRKSQEPFLTSILRLWRAWHLKYLKEKAKIV VDQGASVLGCMDETGTLKGYFHNSVPSKRASYEEKLAALPEIFLQISRPDKGGKYEVI EGVCILARNPSLHPGDIRVVKAVNVPELSHLQDVVVMPQTGDRDIPSMCSGGDLDGDD YLVIWDEELVPGDWFREPMDYASNKARDLDHEVTVDEITSFFVTYMKNDCLPCIAHAH LAWADYLEEGVDNDKCMQLAHLHSDAVDYNKTGNPANMVRTLQPKKWPHFMEKRHKRK EQIYHSKKVLGQLYDSVERVDFVPGLELPFDIRILDCDLAVTEDLMEFSRKLKYEYDS AMRRIMAQHEIKTEFEVWSTFVLSHANMSKDYKFHEELGTISLSLREMYRKQCYEKVG GRNFQQLAPLAVAMYRITHEEMAAALSKHRQDNPPDGKLFHRSAPKMHKLPLISFPWL LPHILGKIALGHYESPGKPTEKSDEGPNVSKEASPNPDDHDNVVERVPGDQKSDDQKA NVTKITQSDPFGLFTGDDHLPDDPSPAIKLPTPGVEESFGFQDQLLDFGFSKAPPTIA GQANTDLGMFSNLLDLENLTPGCDEPTATTTATATATAASPKHDTENTGTTENVVEGT ETDCIEIVEDEGGLEPNAVDKLNTLLGF ACHE_70400A MFKPVASNERLVPSMASLWQDETRRRKKRLGIHEPGSSPFGPEE LISMSADPRSKTDGDWIHEEEFREMVLQRAAEEKRYSDGKDVNFENFLKKDSFEDNVK TALESIEDFFPDNIRQSRLEMYQARSTGERGDAEISVDESAVLSSEHDRDHYGSDGDL TDKLDDTDEAADPFDDELGDDLFGIGFEYLKGQQGLNTEDFPQVDTRNSSKNHLGEIS ESESELEPGNTNGGSRNATLKRPRFVKTDDDISRKRQRTPKEQHLHNSQTSSFQDRRQ PAHPSTQNVNDITGRAPGTQDRKLTPRSKPSYQTANRPKGSTQDIRLNFPVVKNANDP SPALAFNLEGDFTPKATADTLLTQESAISSKTDTKNSQDAHTGTYSQHQSFSTDTGLD IYSSPVYELASSICQSFNMLLDTRIYCFRAPCPTPDEVSSTLNDHGYPSVIYQKAHYG DEKDVPERPREYAGREFRLEGSSIHYLPDFDPSGRSPAMLGAQGAASVDRDNQGVIDQ QLRESTSCRVWEFAPVPPSRSEVVQWFENMMSDLKSQPAQANKTRIEPNLKPNVLSQI EGPTQKDYSTKYPAKGQSTSMEHQNQYTSIMSLEVHVNSRGVLSPNPEEDEVSCVFWC IQSEDEDLDPNGNIPGVHVGMIYQGEGDVPETKIIRALRIETDQQATELDLINRMVDI VRFYDPDIITGYEVHNNSWGYLIERARKKYDFDLCDELSRVKSQAHGRFGKDSDRWGF NHTSSIRVTGRHIINLWRAMRSELTLLQYSMENVVFHVLQRRIPHYSFQDLTAWYQSG KPRNLMKLVSYYASRVQMNLEILESNELISRTSEQARLLGVDFFSVFSRGSQFKVESL MFRIAKPENFMLVSPSRKQVGQQNALECLPLVMEPQSDFYTSPLVVLDFQSLYPSVMI AYNYCYSTFLGRARQWRGRDKMGFMDYQRQPQLLELLKDKINIAPNGMLYTKPEVRTS LLARMLTEILETRVMVKTGMKLDKNDKILQRLLNNRQLALKLIANVTYGYTSASFSGR MPCSEIADSIVQTGRETLEKAIALIHSVESWGAEVVYGDTDSLFVYLKGRTREEAFDI GEEIAKTVTDTNPRPVKLKFEKVYHPCVLLAKKRYVGFKYEHRDQKEPEFDAKGIETI RRDGTPAEQKIEEKALKTLFRTADLSQVKSYFQKQCTKIMQGNVSIQDFCFAREVRLG TYSERGPPPPGALISTKKMLEDPRLEPQYGERVPYVVVTGAPGSRLIDRCVAPETLLN DAQLDLDAEYYITKNIIPPLERIFNLVGANVRQWYDEMPKFHRIRRIEGTTTSTSSTL SSSLLIPQTTTTIGKTLESYMKSSLCLVCKANKISESTSSSHKNLPLCDDCLRTPHIS LLTLTSRRQVAEKRVLDLHRICRSCMGVSFGDDVNCDSKDCPVFYLRTRHMANWRHAR VVLDPVVKALEDWGDDDFAGNRNGSLDW ACHE_70401S MSSRKPADVASKERNEYIPSFISKKPFYIDDETTANDYLEHQRL HKAEKSDITKWYDRGKRAGPAATKYRKGACENCGAMTHKTKECLSRPRKQGAKWTGKN IEADEVLQNVELGWDAKRDRWNGYDPTEYRQVVEEFEELENIKQSTKAERGEDEDDDE GKNEEARYAEESDMGRQQSTATRNLRIREDTAKYLLNLDLDSAKYDPKTRRMVDAGAS EDDAAALVAEEDFVRSSGDAAEFERAQRYAWESQEQGKPQIHLQANPTSGEVMRKKTQ AESEAKRQAQRKALLEKYGGEEHMAPTPLRDAMVVENEKFIEYDETGAIKGAPKKAAK SKYPEDVLNNNHTSVWGSWWHNFDWGYACCFSTVKNSYCTGEDGKKAFLEADSLLMLP GKKEAEGPSYDPEEGDGSRESRDMGNQNGRKDASSSGKKRTLMEIQSGISEEELESYK RSRLAADDPMAAFTGKDEVA ACHE_70403S MTKGTSSFGKRHNKTHTLCRRCGKRSFHIQKSTCANCGYPSAKT RKFNWGEKAKRRKTTGSGRTRHLKDVHQRFKNGFRVGVPKGARGPETAQ ACHE_70402A MPTQPPLPPLLTPYVSSLPQSSLTLLSSVLGATGNWLVLRYLYA ALSASTNTTFGADGLDNGKKRKVVLVSFLRSWDFWRSEAKRLGLDLARLSDKGQFAFV DGLSELFYTPQTHTAAPIVLPAPAPFAAAGRPIPPRTALPVREPPGTSAPAPTPAVPG GCLNRVTTEAGPGPAKRLHLIGQGTSALDALEKDILDVVSQLKATGAENDSEEAEVLL IVDQPDLLLAATGPSKGIGATETGDWVMGLQQHSHATIVAVSADSPLIHNASEFVNHP ATPLEKEHAAFAVGLAHRAQMVVQLRNLETGAARDVSGVLRASKGGAWSDDGSTDAET KWEEKEVLYFIQRDGSVNVFGRGE ACHE_70404S MTSTRDSHAYACDECRLRKSKCSKERPTCVQCQQLGKECNYSPK VTRSPLTRQHLTHVEDRLQAFETALGRLFPGGDLDATVRSLLQDHETPPRTASSKSSS RHSTPAKTEDRSEAAPEALPQQADGFDWAEKEISLGGLTDGMAALSIKPEGSGYFGAS SSVVPLRALMKHGFDLSIPSSATKPNGGTDKVPLKSQLLNSAPSGLIEQAFMDAYFLN YHSSYPFVHEATFRAQFHEHIPRPHGLSWHILLNTILALGAWSIGDDNSDLDITFYQE ARGHLQQVSVFETGNLTLIQALLLMSNYAQKRNKPNTGWNFLGLAVRMAMSLGLHKEF PGWRISLLQREIRRRLWWGVFIFDSGAAKTFGRPILLPEDRVMDAKHVLNIQDEALTS STTTLPKESDGPTLYSGIIAQAKFHLLTNSVYQRLISSPALTPEETLSLQKPMEEWYN NLPDYFKQPASMPEPDSLALVRRRLMWRDWNLRTLIYRPILLRWAARRWTPTPGPPPE REDPLEGDCRMLCLRNARLTIASISEYMDNYICTRLGAWYMLYFLFQAGLIPIIFLMT DPTSTDAPAWLQDIQTTKQLLSHPSLSNNRLATRCLAVVNKLCTPVSYYCYPNNGNAN TGAAPIQGVPAAANKPVTGGAGEGGQQQQPPILMHFPDQLFNDPTFGGMFPDVDQELN LNMSGMDFSEWLNFTP ACHE_70405A MSLSDVSFAHFLPYNHNHYFPDYHGLSAANSIYQDRTFHSPAPV LSHGGSSHFSASVKNRSAAKSSFNMNTLSTEEMERFQKLSNEFEPDVQGPLVSTKQST QAIAMDYANADPTLATKTSTLALTHPTSRIMKGDGNCGWRAVAFGYFENLFALRDLVL IQNELVRIKSLSSLLDQVGHQDYLYEIFVDATEQVFTQLIQVIRDGVRDDSFLVDTFN VEYSSNAILTHFRLLTGAWMKLNPQRYQAFVPMPLDQYCATRIETVKSEIDEVGLQAL VDGVIERSGFAVEILYLDRSQGDAVTPHLLTTNQASIETIRLLYRPGHYDLLYRPEPT VNMEPVVNYQYAMTSNYSPWDQGALSFDVNPTLMSIPNLMMDPAFAMGAPPMSPAPIS PPAPSSPYRVSSPQEVYQAQIPTSPPPPVQMPSPPAPRMSAPPSGPPPPMSSLPNRSS DGPQIRLNPLVMKPNLSHSLPITTPFKNSPYNQAHFQNQDFEPIHWEPSDSRK ACHE_70406A MSDDDDFMQDSGDEDYDFEYEDADDDESGDVGIENKYYNAKQMK ADNPEEAIDEFLGVPALEEEKSDWGFKGLKQAIKLEFKLERYGDAVEHYRELLTYVKS AVTRNYSEKSINNMLDYIEKGSDDAKAYQCMEEFYSLTLYSFQNTNNERLWLKTNIKL ARLWLERKEYGQLSKKVRELHRACQREDGSDDPSRGTYLLELYALEIQMYAETKNNKR LKALYQRALRVRSAVPHPKIMGIIRECGGKMHMSEENWEEAQSDFFESFRNYDEAGSM QRIQVLKYLVLATMLMKSDINPFDSQETKPYKSDPRISAMTDLVDAFQRDDIHTYEAI LSKNPDVLADPFIAENIDEVSRNMRTKAILKLIAPYTRFSLNFISKNIKISVQEVQDI LSFLILDRKLDAKIDQENGIVVVESNSDVDRLRSLQEWISSLRTLWEATLNGEGFKAD EAAQLQGMAAGSMFPLGFGDDGPVGASTMRTRSRGGFKGRGGKVGSRAF ACHE_70407S MELCGRQKVVQRKMVLLGDGACGKTSALNVFTRGFFPTVYEPTV FENYVHDIFVDNVHMELSLWDTAGQEEFDRLRALSYEDTHVIMLCFSVDSPDSFENVA SKWVEEISENCPGVRMVLTALKCDLRKDEDLNDNPNAITFEQGLAKAKEIGAVKYLEC SAVQNRGIRETFGEAAKVALDVKTQGTKSSKQGCVIL ACHE_70408A MRLAHLHLPSITPFSRVSHLQETLTTRLLAYKKLTSQSPFQSPT PTPTSIPSTPPDPTIITFTPNPVYTTGRRDLPPSNTASTKTLSLPPGLEPIRPLLVPD GDGHSFSPAGERKAEYHPTLRGGQTTYHGPGQMVAYTILDLKRLGLTPRCHIRVLENS VVDVLRKYGITGVITEDPGVWVPSSSSSGTDAPKKITAVGVHLRRNISSYGIGFNVTE EPMWYFRQIVACGLEGREATSLEGQGVQSPIGDVADQFVEAFTKRINADFACGDGASG EKIEEVYNVREEDLLRA ACHE_70409A MVFCPLIKEAAMNDPYNPNPPLSYEASATTTHPHIATTLPPEVV SCLRNSRFLHLATCDGLIPHISLMSYTYLPSTPFDPHPTIIMTTNPSSRKTNHLLTNP HVSLLVHDWVSHRPPLRASNPGDREGSPPPAATRSSLAGMLLNLNTSALSSISTTLAG EARFLEPGSEEESWCKERHLENNTFEEEEMNLFGLQQQQQQQQSGETAGQRRPSMTVD DSARVVTVRVREGRIADWKGGVRDWTIVTDGQEQPATAPVNGVPSSSS ACHE_70410S MLASRAVRPASFLARPFSSSAAVFRTPSIRDITADSASEFNARQ KEFRENLEVARKRKEQQESQSVDASASSSPSPSPPASRDRFRDEQLKDQSPKRGPLSS LIYGTKEGQQLDKDIERSFSQVLARGKYVHSIVFHEVKPDKVDEYVDLISTWYPRMAQ MEENKLHLVGSWRTQVGDNDTFVHIWEYQRYDGYHSSLHSISQHPEFPEFDRKLKKLI KSKKTSLMQEFSFWPTTPPRRLGGIFELRSYTLHPGNLLEWETHWRRGLTARREVMEG VGAWFVQIGDLNTVHHLWQFANLEERKIRREQSWQIEGWAETVHKTVPLIQTMQSRIL IPMPWSPVG ACHE_70411S MVYIRQHQLPNLKSYRYAGVDHSFISRYILKPFYNNFVIHCFPM SMAPNAITLTGFIFVVINFFTVLYYNPTLDQDCPPWVYASCALGLFLYQTFDAVDGMQ ARRTRQSGPLGELFDHSVDACNTALGVLIFCSTMNFGQSWATVLTLFGSTMTFYVQTW DEYYTQVLTLGVVSGPVEGVLTLCVVFAFTAYEGGGSFWHRSMFDTVGVPKLDWIPEA AYDMSFTQWYLIYGALLLFFATGSSIYHVVQVRRERGQDPIKPLFGLLPLVATWIFVP AYLYLQPAILENHLIPFVLYVGLINAYSVGRMIVGHLVKAPFPYFNILQVPLALAVFD NTGPFFGLWSSVLGADFSSQVTFVFVSLGLAVGVYGSFVHDVITTICDYIDIWCLTIK HPHVEEAQATAREGVAVAKKAQ ACHE_70412S MSCLCYGDEDIVAVGWGNFIVVAVASHRPTVLAVDLLNPTPQAE ARKHKLKTLVPQPRSFFMDVKCPGCFTITTVFSHAQTVVICAGCSTVLCQPTGGKARL TEGCSFRRK ACHE_70413S MGSSGYLAYGQSTSYVNTFFIIVLFYCLFVRLVSMNAHAIAITT TANDESATRAAAATTPATLATHLSHDDFDMSYEGCGIGYGYCLGLEVRLQHGSGDLLL CWPESCPSTSVAVSSGRPP ACHE_70414A MSAEQLNVNLDKVDSQMGELLTAFESHPQMQPPATHPTIFFLFD FVRTTHRTLKSIDAEKFRTGDNEAREQAQEVLSRNQFANMLVSDRSGKLALMTGGDPT NPLDFGDDIRGKARALTEV ACHE_70415S MYNRRPLLLLSLQRRPFTRPSFRKPSYITYCFLSTTTPRPPPTM TSKNPRQPLKLLMLHGYTQSGTLFHAKSRALIKHITKAFPLHEVAAIYPTGPIHLDPA DIPGYEPSSQSPETEEKIEAYGWWRRSNTANPPLYLGIEEGLAAVAKVLKEEGPFDGV IGFSQGAALAAMVAGLLESGRKGAFEKYADARAACEGVDLSDSTEKDVASIAFPKSFE EVQHPPLKFALCYSGFRAPGPRYRAFYESPAIQTPMLHVLGSLDAVVDDSRSRALIEA CAGDPEKEGKIVWHPGGHFLPSQRPFLDAAVRFIQERLENKDDKGKEEDEDVNNMDLP F ACHE_70416A MSDGILKPEKDYSKDADQLIPEAEQLAKTDVQSAIDKLLGLEKQ ARQATDLATTSRLLVAIVTICKNAGDWNLLNDQVLLLSKKHGQLKQATTRMIQTAMTF IDQTPNMDVKLSVIETLRTVTEGKIFVEVERARVTRILSNIKKSQGDLNSAADILCEL QVETFGSMTRREKTEFILEQVSLCIERGDWTQATILSRKINRRYFSRKPKKTSEQIEQ LKKEAEEREKTRAPDEAPMEVDDDVTDLKLRYFEQQIILANHEYKYLDVCKHYREVLD TESVENNPEQLQAVLARIVYYIVLSPYDNEQSDLLHRIQQDSRLSAVPVESRLVKLFT IPELMRWPYVSEEFGPHLCNTDVFDAQPGQSAEDQAYKRWQDFRKRVIEHNVRVVAKY YTRIQMGRLTQLLDLAEEETEKYISDLVTSKTIYAKIDRPARLINFAKPRDADDVLNE WSSDMKNLLNHLERIDHLITKEEMMARILPAKAH ACHE_70417S MKDASCDNGPRAPLLAAVNVESQVHLIIGSNPLAAARCSKSLDA GAKPIIIAPETADLQYTLSERIQNGSAEWVRKEFQDDDLTTLGREEVDRVVDMVFVTL GGNHPLSPHIAKLCRRFRIPVNVSDAPELCTFTLLSTYSDGPLHVGITTSGRGCKLAS RLRREVSSSLPTNLGSAIDRLGAVRRRLWEEDQAAGLCDTAFEGDDDDATGQKHTFNT LATDDEVYMTRTRRIRWLSQICEYWPLRKLASITDSDIDDILKAYSSGNNNAREVNGV TARKGKIILAGSGPGHPDMLTRATYHAIQSADIILADKLVPEPVLNLIPRRTEVQISR KFPGNADQAQEEFLQMGLKALRSGKQVLRLKQGDPYLYGRGGEEFEFFRNEGHIPLVL PGITSALSASLFAEIPATHRGATDQVLICTGTGRNGAAPEPPTYVPTQTVVFLMALHR LSALVESLVNAPAEDSGARPRTPWPRDTPCAIIERASCTDQRVIRTTLEHVCTAFEAE GSRPPGLLVVGVSCHVLHRPNEQKWVVEEGFKGLDELRDPSIMNEEGWKDF ACHE_70418S MAGDNNKTYVSNGEVLEGPPVSVRISRFFESVYVFFGLYFTSLL SFDPYTAAQNSQFNVARRGDRLGGYSGSGAGGPGGPGGPGPRRIGRVGRVDDIRDPEC APCRGGC ACHE_70419S MTSIKTPNTNDEWAALISAMRDSLPSTYNVYHTPRPGIIYRSLD HTLVLDSATEEDVDKLCADAQFHRFASVCVRPKHVRQAVRGLEKAQEVAVDCVVGHPD GKEDTESKENEARVALEQGATEIDMVVNFSFLKQQRYTEVYADILEVRKVAADAKLKV TLETPVLTRDEVIAGCVIASLAGADFVKSCTGTKEPYPSTETIGLMRATVDAVGRGTK VKASGDFKTSEEVIEMMKAGADRVASSSSGEVLEQLNSEELHEQGASHSMY ACHE_70420S MKGPNAKKRKTSHDQNNGRNDNQDDIFTSKPTAVFTPKGGRSHT LSIAIPGSIVANCHSVEQKSFLAGSIARALAVFCVDEVIIFDDDEKSNNTKKNYNDSN DANDSPMTETKHQISRDGSQPGYFTAYSDPSHYLAHLLSYLETPPYLRKHLFPMHPNL RTAGLLPSLDMPHHLRANEWCDYRDGVAISETVVDTGLPQKVNVTNEQYFDNARVTVR FSSQDEPDNAEAVDSSAPRTEAGYYWGYSVRRCGRLSSVFEECPFDGGYDLSFGTSER GAPLTEVLKQDEGDGGGGDPGYKHLLIIFGGVAGLETAVRNDHQLREMGIRPTEAEKL FDHWVNILPGQGSRTIRTEEAVWLGLTGLRGLVEGTHRLRGSS ACHE_70421A MAFARRLAILPGGLGGLGSSIGKKLRQQGARLAILYAPFEADRR DELLEAGYAGATNTADDIRTYACDITSPESVQSAFDTLEKEMVAPSTSSPAERAFPSI LVNTAGYVSLNDMEHTPPAETMKHLTTNVMGPMLCSQAFARLYFAASKAAESSTSPPP PGRIVNIASQAAYVALPKQSAYCASKAALLGLTRSMASEWGGRGIASNTVSPTVAWTE LAQKAWGDASVKEAMLQAIPTGKFAMPEEVADSVLFLCQDSSAMINGADIKIDGGYTI R ACHE_70422A MLLPRNISRIPRITRPLRPPSIRPQVHHQNRLFTQTSNHQLVSP ALTRPQLPFLSTSTRLTPWPIHLRQHFARLMSTESRDYYRRRISRGLRIGLSFYAILV LFQVIKLGMYQEGIEHQWPTPEEWTWKSRWCLRSARALMHPEEIGRLMTNWPMVAGYL RELIERLEDLNGEGKGLEVGENGFDVSGKSEPWRRGYFQALMGAAKAAENLDGWLTDR KQRISAPAEYVVGPSNPRPKPMPAGQKKVPREEDSEAASPSAETFYIKILTTNGFETR QKVDAALAYADWLDYKGLKETAGDMYIWAMDIASTGLSVDAGKVVDRRTGVLKNNSNA PASDNIIRVSTALAVHHAKQGNLSNALSIFTSVLKARRSSPPGDTATIPAVPSPATAN NDAISSLFYSIRNMLVPAEYPPPPPSGNDPPLRNPSAICDEAALMTYIGEIIYASSSK ETGLAWTRDAVDTAEATVLELGSPTVDSDGNPRQRCAECLKVGLENWRTMVGNLVARA ELEEIQAADKAQSSWFGGEKSVKAKALERKRWEAEKLILEDRARKLRSIIEGETGFEG IIPGSSLFV ACHE_70423A MANSPHGGVLKDLLARDAPRNNELSAEAETLPAVVLNERQLCDL ELIMNGGFSPLEGFMNEKDWSSVCENVRLADGNLFSMPITLDVSQQTVSDNNLQPGAR ITLRDFRDDRNLAILTIDDIYRPDKQKEAKLVFGGDEDHPAVKYLYTKTEEFYVGGKI EAVNKLAHYDYVALRYTPAELRIHFDKLGWNRVVAFQTRNPMHRAHRELTVRAARAQQ ANVLIHPVVGLTKPGDIDHFTRVRAYQALLPSYPNGMAVLGLLPLAMRMGGPREAIWH AIIRKNHGATHFIVGRDHAGPGKNSKGEEFYGPYDAQHAVEKYKAELGIEVVEFQQVT YCPDDDEYRPKDEVPAGVRTLDISGTELRKRLRTGAHIPEWFSYPEVVKILRESNPPR ASQGFTIFLTGYINSGKDAIARALQATLNQQGGRSVSLLLGDTVRHELSSELGFSRED RHKNIQRISFVAGELTRAGAAVIAAPIAPHEHSRKAAREAVSQLGGSFFLVHVNTPLE YCEKTDKRGIYAKARAGEIQGFTGVDDSYETPTDADLTVDVSKQSVRSIVHEIVLMLE SEGYFDRA ACHE_70424A MPAKTPINYPSEEAIDARDSQTESGYVSGGSSDDYMPEIVFTKP HLQFLNRQLQFLEPQDVLRWCITSLPHLFQTTAFGLTGLVTIDMLSKLEVPRPQVVDL IFLDTLHHFKETLDLVDRVRRRYPNNNIHVYKPEGVNSEEEFAKKFGPRLWETDDQFY DWVAKVEPAQRAYRELNVHAVLTGRRRSQGGKRGDLDVIEVDEAGLIKINPFANWSFD QVKRYVKENNVPYNELLDRGYKSVGDYHSTQPVKENEDERSGRWKGQEKTECGIHNPR SKYAQYLMDLERKRQEEALTSALQTQLSTAQ ACHE_70425S MAQANPTGTSRPVRPTLRHTLSGTSVIQDHQQYKPQVPINQSIG DVLGSATEPARGPLTFNANPISPDPEKVTDSGIIHSIFDHQANPLPAGTPQLVATIYY KSSDPIHPHLHPDVSGNVRSGDKLPSPMVPVGSAPTVDIEKIPREPPAPEPEPLDHLY GPFVSQLCLTNFLQILETLPTPYQRMNTSHRCLDQDDQPRVVEVTFSPPPNPNYLTFA DLRKHESIWRFEREWNVEVVLQKESVFRRHKRLAVFDMDSTLIQNEVIDEIAKFIGVE KEVSEITERAMNGELDFSQSLQERVGLLKGVPADVFDKLKSVITISPGARELCKSLKA LGFKMAVLSGGFQPLAEWLAGELGLDYAFANHLEVDPASQTLTGKLVPTFPIIHAEQK RSLLHSLAAENNIPVSQTIAVGDGANDLPMLHAAGLGVAWRAKSKVQLEAPTRLNGES LTDILYLLGLDKRDVKELTGELVDDA ACHE_70426A MAAVSSSPFRLLNATSRVVPQVGAAVPIGARSYATTDPSPSATS SPTTLRRKTTFKDKLNAGPSFADFVSGGNDSTPLDPSEAYELKTALVGPAGKKKEMTR LPSWLKTPIPDSKNYQRLKKDLRGLNLHTVCEEARCPNISDCWGGNDKSAATATIMLM GDTCTRGCRFCSVKTSRAPPPLDPHEPENTAEAISRWGLGYVVLTSVDRDDLVDGGAR HFAETVIKIKQKAPNMLVECLTGDYAGDTEMVSLVARSGLDVYAHNVETVEALTPQVR DRRAKFQQSIRVLDAAKKARPDLITKTSLMLGLGETEEQLWDTLRQLRAVNVDVVTFG QYMRPTKRHMAVHEYVTPDQFELWRQRALDMGFLYCASGPLVRSSYKAGEAFIENVLK KRRAGAGSAETVSDKTIAVGEATR ACHE_70427A MVPSYANRNMPPYYMKENIMPHSNNFNHHNNSTSPSAPRYSPNL RPWRRQHSHGSLSFMTSPRLEQTEYTTSQQQRRPADGAPVCVSPFRSVRRMKQPFQLM LPTSPACESTATFAKEPRRLHPSPSLSDSRESHPSSSSTYFSPKPESESDNEPEFNIA CACAPGENICDNCNVQQSPQIQNEEQKRSVEITNVHMAHSTLVKQYGDVTANDRETTP TSAEIESTPSPNSEETGEEDTLGRLQRARAGTTSTQASWVPDNFSYCQNWFQGVETMD VKDEKSREHSRRKFQIVQVENKITPSSPPSNHDVKDVKLAVASRTKPKLVDISRQSSP LMSCSVPAHQDPVPSTPDHRQQEVSAFSPDTPLEIADSGYVTHLACFSLDDSRDDKED DEYTDASSIASESVSSTVVCEKPAINSDRPILPNKTDQPPNATLAPKPDASPGTSSNK SEREQLEKWWDHEWTIDQLEQSVKDFPESKLKLTSPVIMFLRESDEKSLIRQFEKVFP DAAEYQLDCLCASLIARNYIFELCSNHRRNSGLSRRLNVASEKNCPNLGIRFAQALPS QIKDQVLGSRSTELCKDLDAMVNDMLFAVTGKADETLKSAITQNMSNDRPWIP ACHE_70428S MLSECFIASTLTSGKAPASASLRDVGICLQEFQPAPALRSTFKK SSTAGNCLAVSPSHVFAAQSEKAIVHVYSREKGNQEATVPFPERIRSLAVAGGKNGDI LVLGTEGGRLILWETCTGRQVATTASHLQPVTSLVVDRTSNFILSGSSDASIHVWSLA NILSFIKPPSGRDRQQPNSPIRTFSNHRAAITSIALGHSAGRYNIAVSTAKDNTAVAW DYHTGRALRTFLLPSSATCVTLDPVDRAFYVGYEDGSVQCVEFYKNQSVQHPLHDPSL QSTPAQPSAEDRWLPPSSDTGAVQSLALSYDGTILLTGQHNGKVLSWNVARRKYATIV ADYTHPVTNLSMLPPNGLPHPSLDLTRIAHTIVKPRYDQTLSESSVNPGAVPADYAFQ THLLPTPKDTQKSKTKADPFSEALTHAFFPDSMMEEGLAELAALSQGQQQPGTQQPSS STVHSTQALEDTTAKDTQIATLEAELSILKKKSTVSETARQTTTDEATKLRSDLIHLQ DYVNELHQKQEQAQREKVQRQARKEKREAKRREAWFAAEKKGRNGDAVVKMMDLADGA QTSDSDDQSSD ACHE_70429A MNPNPMGNPGPLSELEKAPERKGSVQRARQMLEAGKRPRVQEPE SSKDRRDVCSISSKALHMTQWPLPDNSTMPGNFLDPQASLIPRRPPPRRPPRPDAPSP SIYSERSAPGFAPSPLHSREPRQSFSQPSPYQNPSRIPPRDSPSPSGRSNPHVSIFNE DLSDTDSVLSILNYPLPPQQGRTAGLVPPPSNSVRHTFGRRSSVSPIPEELTESPTNV DDSYASSRAIPSDYGSPTHESEILGTYLDDESDDCQEAKKPVEENGEGLVRSASVGTR GKPSLRVVRKPSSESPAPSEGQSRALEASTIGRALSGTPADEDLRAPVPSPKESYSSL SDRSYEFDLEKGAFVLDIGQPRPEFQHDPGNQPGLPRAAPTMSDKRPGARRPPRLDMD AVRDAQARGSLTSLPDLIRRATKLASHLDHGRTASGNDNLSSSNDSRSLPWNRHRNSG SLQDTLENFPPPPRRNTEGYSSWPMFLRRSTLHNIETLNSHSDHGEAEEKPAKRSRRC CGMPLWLFILICVAVIIIITLAVLLPIFLVAVPKDRANNESTCEASHPCKNGGFSVSS GSVCSCVCTNGYTGSQCTIAGDSSCTTTDIDGGSTSKTATMGSGLPQLFQDSQETFNI RLDPVTIMALFSKSNVSCTTQNELVSINDVSSKTRRFFPVQLDDLASQTLAARDAVAT INGIIFDDSSPTAEPLPTATDITTASPPPQETSTTSSSETSTTSAPTTTAQTTTPTTS PTSTSTSNPTSTATSVPQKALTFSQVAILYIFEMTGTLNAAIQSEEDIRHYLKSTYST SNGGNYDVDLSRSGVQANFALDFGEWTITMGNGTKAGG ACHE_70430A MAQILTPPASRHTSESPEVRKETEVDASAELLQSLDTLLERYLH LLDRHQKLQADLAKRLSSGFFSLAQANYTCPPGRRYGADYYDERMKATRKVSLRTPSK ETEESEDSTEAKDYGHTFAIEYTPDNRGYEQEKDKGTSESPSDTAPSDENPDHHKEGE NSTRKPDNASAPENLETEFTAQKPRPIKKFGSSDPITWYGILVPPSLRSAQKSFTEAV NEHLSELANVIVEMRAFEKKIEEVRNRLNHNGS ACHE_70431S MSVAALNRDTAFQARSLFRSLLRQSSQFSAFNFREYARRRTRDA FREHQNEKEDRKIQELFQEGLQNLRMMKRQTVISQFYQFDKLVVEGQETGKQTGGQGD IVRQKDTGWD ACHE_70432S MPPTFRSSRSGRHLGETTTARTRTGQIDHDVFEGLPVRRWTRQH QMVSQAPKPDEAESIFQGPGGKQTLPEHPMPRDSQLLTPTSKALLRAARAGCIYIRQA PKEAEDINLIVNEEKETTDAEEGGAAASGQSKAERSFVTRKWMTVPRHMEPPEVEFLA KRRPGLPSLYGAAATGVDGTPNVPMRRTRFKKMDPATGNVSVYEAWVPEGHRIEGEIT ADDQVVPGNAEATVNSEAPAPGTVVEGVGTVNAEGVVVAEAGSAAVMTPPKRRPPPPK RKGKGFKGRRKKVMFAPGEGVDASAVHGAGAAGDGVMGTDGTKSEDGDVSRGDQGGQE DEDDDGEEGEESDEGDESMMDAKTPETPAAEPAQPTQTPQPVPAETAPEPQAPGDASP VAEQTPAPVSDKPSDEKPAPADVTMTDYQPEPAASEPQPAPGTQQPTAPEQPAPAAET TTDNQQQAEQPALHAPEESTEKPQETVKTEPDQQESQDAMDTSTDQPLEQQQQQQQQQ QQPQEQEAAPKPEPEQQEHDTTTTENQDADVLGTLERSLDAPASSEQTETQPEPEAEP EPEPEPEQIQASTEPAAPTPAAATETGPTEEQPSTDNREVEKPAEEQQQPQQQQEQEQ QPKKEVEDRPPVQQSIEQPQEQEQQTKEEVEDQPPVQQPIEQLQEQQQQQPEGEQQLT ERFAAGPTESSKHSAEQPAESPAEQPEEEPEAQPQPQQEADEAQQRQEQKQQEQPPAP AQEGEPGQN ACHE_70433A MKETQVASAATSTLLTDLPQKIEIQEHTHIDEITGLETPEQLLT PENSRSETSSNNENASSNDEKEKPVARRRSTRVTRASLQAAQLETPETESNDASSATN GDTSVNAGLGGKRASSRLRHSIAVMEWSKASETNESQSHANTDKHPLTPDTSVSETSQ EPASEEADMSIQPRTLRKRADKSKEDTVEDKEEGTAESKDNDEEQTLRRSSRRGVAER VASRLAEQANTILGKRTRGAKAKEPDRRSSLRPRSIAPLKEEPAPSTNNDPSAKKRRV SDSDLPSSTKSQQEEVFTQKEEEEEEEAESAPVLRRSKRWLAHGLYSGQEPEGTRPTQ TKKNKTTFRRRQRDAPRKYLPMPMFAGDRLLQNGRDFQLPFDIFSPLPPGQPKPNEWR KTNKNVFVGEAGSIWRANKDMELSKCMCTADTGCDENCQNRYMFYECDNGNCGLGRDC GNRSFDELKQRTKAGGKYNIGVEVIKTSDRGYGVRSNRTFEANQIIVEYTGEIITQSE CEKRMRTTYKKNECYYLMYFDQNMIIDATRGSIARFVNHSCEPNCRMEKWTVAGKPRM ALFAGDRGIMTGEELTYDYNFDPYSQKNVQQCRCGSANCRGVLGPRPREKEQRSKERE EQKKQNASAKKTQTKTTTKRKIGSVIDESASRLNKKRKTVKTGVKKAVSRSRASVSRS TTTKKTEPATKYTTKRTTKATTKSKAATAIATATKTAPRTKRNVKLPKVTTKAKVKTT VCTTTKAKPAAKAQPSKTKAASTLKRPSAETKAKILAAAKGTGRKRGPYKKKTDAKEE VKPKAGRGRWGGARTKTAKAAKGTAPKKTGRGVKAGKK ACHE_70434A MADNRGPGDAINLPSSQHALGLPRPPSVGGISSRATDIISEDGE QSQSFTGASSQPPRSQPSVSRRGPPPARSSIAASSHVASRPGSSASRISRTHIPSLTA QGFFRPMSSQRLQAHRGRPMTKETFASASTDDWNDRASQKRRSLVSNSTHHQGSLPPV EHEAPPSRGTEFTDPIIPDRTNSASPTGNMTARSLGESARLLHERERIQQKTPPQQLN LPAANYRFPEPHDQPQKSPLSFLSMQDKPHPHDCRDNGAHERLSSNASSRRSIEKQGQ KPAKSHLGKNYEYFMGNTIFFARGRFQNSRDKPINIATGILVVVPSALFFAYSAPWLW HNISPAIPILFAYVFCVCFSSFVHASVVDPGVIPRNLHPMPPPDPTDDPLAIGPPTND WVMVKLATSEVAAMDVPVKYCRTCNIWRPPRCYHCRVCDNCVETLDHHCVWLNNCVGR RNYRYFFTFVSYSTILAFFLLGASLAHILVYREREGISFGSAIDTLRVPWAMVIYGAL AGPYPASLWAYHLFLVGRGETTREYLNSHKFVKADRHRPFTQGNVITNWISVFFRPRP PTYMEFKRPYEAGDQRLSNEKRKYRKPDLEAQTGIEMQQVPAEQQG ACHE_70435S MSSIAAMASRRAFARQSILRAPPRRFYSASKMEEADLEKAPKRD PELYVLLGVMSGAFLIAGWYFGRKPTSVTSESNVRIGQSAMPWHAAEEDGKVCKYQYH PHGDTSQPLRNAPSAMNTVIVPKVTLPEDLHERFNKLGKEEWDY ACHE_70436A MMTRSRDTLEVKPLPKSGRSPSRSPNSRSRKKESAGSSSYASDG VTDNNIFALPTKDYRVLAFITIVAAVVRLFRIYQPSSVVFDEVHFGGFASKYIKGRFF MDVHPPLAKLLLTLAGWLGGFDGNFDFKDIGKDYLEPAVPYVAMRMLPAVMGVLTVPL LFLTLRASGCHITSAAMGAGVLIFENALVTQSRLILLDAPLVFFTALTALSFTCFTNQ QEQGPSHAFGGLWWFWLASTGLCLGATLSVKWVGLFTVAWVGSLTILQLWVLLGDTRT VTPRVWFKHFFARVFCLIIIPIGFYCGMFAIHFLCLVNPGDGDGFMSSEFQATLNSKS MQDVPADVVYGSRVTLRHVNTQGGYLHSHPHMFPTGSKQQQITLYPHKDENNIFVLEN QTQPLGPYGEVPGIFAWDNITAENIYDGAVLRLYHPITHRRLHSHDERPPVTDVDWQF EVSAYGFEGFAGDANDLFRVDIVKSASQGEEAKKRLRTIETKFRLIHIMTGCVLFSHK VKLPEWGWGQQEVTCARGGSLPNSLWYIESNAHPMLPADTEKVNYKHPGFLGKFWELQ KVMWTTNAGLTESHNWDSRPPSWPTLLRGINFWGKDHRQIYLLGNPFIWWSSTVAIVI YIVFKGISIIRWQRHCGDYRNVNFKRFDYEIGTNVLGWFFHYFPFFLMARQLFLHHYL PALYFAILALTQEIDFLANRIKSLGLPSKPVIGKLLMGVFLTLSIFTFTLYSPLVYGN PWTQDACRKVKLLGSWDFDCNTFHTDLNHYVTQYVGKAAAVPTTQQQAPPIQVPPVQA PPAQAALVQEPPAEQAPAQQQPQEEVKEAASEVEDPSANVTPEALPSIRGKKARVEFR DQNGNVLPESLVAQLQQDGKVSVETRYEYRSRLANGHEVDVVDGKVKVAPPHPDVQGS NPETAENKEKHQPVEDIPAPIAEESSVAVKESGSPEPKPASEGNEATD ACHE_70437S MASTTNGNTPMPPEEVSKPPEGVVLPPKDIRAIVEKTAGYVSRN GFVFEDRVREKERSNPKFSFLNPSDAYAPFYQWRLVEIKEGRGTAVAAGRPGEAVAAP EPEERKGPAEPPGFYFSARMPIINAQDLEVVKLTALYVAKRGKSFMTALSQREARNFQ FDFLRPQHSLYQFFTRLVDQYTILLRQEGIDEATSEKKRLLELEYNVKNKFHILDRAK QRAEWVKYQEQQKQKREEEEEQERIAYAQIDWHDFVVVETVLFTEADDQAELPPPTSL NDLQSASLEQKAMISLNPMRIEEAMPTDLEEPTYYNAYPVQPEPVPAVQPAGPVLPQQ PIQPMPVPAAAAAATQEEEQRAAFQAQQAAAPPTGPRHAQQPMRIRSDYVPRAQARRL NNAGPTALCPNCHQQIPVAELDQHMRIELLDPRWKEQRAKAESRSATTNLSTTDVYNN LKRLASQRGDVFDSSVLPGAADPEEEARRKRMATGAPPAPGADGVPGMAPMQPMVGPS GAPPHPQNMNVEDQIRHLHERYKQ ACHE_70438A MLSISLLGAYMAVAMPIAILINVAYQLIFHRWNKTQPPLVFHWI LFLGSTISYGIDPYKFFFDCQEKYGDLFTFILLGQRTTVYLGVQGNEFILNGKLKDVN AEEVYSPLTTPVFGSDVVYDCPNAKLMEQKKFIKFGLTQSALESHIPLIEKEVLEYLD TSPYFQGFSGRVNISAAMAEITIFTAARALQGEEVRSKLTAEFADLYHDLDKGFSPIN FMLPWAPLPHNNKRDAAHARMRAIYTDIINDRRHGEKGHNITQTSDMISNLMNCTYKT GQPIPDKEIAHIMITLLMAGQHSSSSIGSWIMLRLAAHPEVIEELYQEQLANLNRDGQ KLPPLRYQDLGRLPLHQNVIRETLRLHSSIHSLMRKVKNPLHVAGTPYIIPTSHVLLA SPGVTALSDYYFPNANKWDPHRWDKGNQRDDNDDVNGEVVDYGYGAVSKGTSSPYLPF GAGRHRCIGEKFAYVNLGVIMATIVRHVRFFNVDGKKGVPGTDYSSLFSGPVKPAVIG WERRDDQPKI ACHE_70439S MITGLAHINLLVPPGTLDQAYTFYGGTLGLYAAPVPERQKGTIA WFNLTDDPKPQQIHVAFGTNEPDSTRHPCFRIESMEALQALRQRLWEHHLKGDAAAPM HVDKPGEKISGPSGFEYPNRFFARDFAGNLLEFSV ACHE_70440S MRASASLFIFAAALSGFHGASGAAIPPNGQSLADSSIHYHIGTP IQTPEAGAVKRDENAVLPTTTQGSMDEVNGVETNGGIERRGRGRGRGRGQGRNHNNNN NHINHNNKTTPKSQNSAKPSKTPQPSHPATNKPTLTNKPATNKPAHPSQPVPSQSAHP SQPAHPSQPAHPNEPAGPNNPAETDEPSGPSHNIEFNPEINLPDPTQDPQDPAQDPAQ DPAEEQEAPQNPENPEGEAPPAPARRDLSKRKKHSRPSKPANKPANKPSNSPKPNDKP SSIPKPTSKPTTTQHAQPTPSNHASSSAHPQPTPSNHPNEPSNQPDNDPDNEPGHEIS IDPEINLPDPTQEQEQEQNPDENNQDGNIARRDPRGGRGRHHHHHIELNPVFNVDPTQ NIGDDDNSNDDGQN ACHE_70441A MADLIAFHTYYSRHSFLSPSTMISRPNNHRRSLSSGNRSPSPDR AVTRAKSTDGLSRFESKKPAPAVRSTSVGNFTDSGFSTLKDPRLAAPVEESEELSPAS HHPDLNDEVAALSIKLVQAINNQTTLDDTLVATRQELEQAQAMVQTLELENEKYRRDI DQEVLIRKADSDQEISRLQDALAEEKAQRAAVEKGKKTIEQELETLTAALFEEANKMV AAAKLEREEIEKKNEQLRAQVKDTEYLLASHQDQLAELKAVMQGMNAAKDDTDTRTIA STAPSSPAARRQSLQGMVKKNQETAQLPDQDQPAQPFEDILPGPSTSFPHLVKTVCRT DHQAFEDFRDLFYVPQSRPPSRAPSGSYSGLNVMSLANFGSGSFGSTSSSPSKSQTHS PSGSMSSPQPGSSHSPLKEKRFYKRALVEDIEPTLRLDLAPGISWLTRRTVINGICEG SLLVEPMPPTGRDPCSMCGERRTAPEYQRCHRFRTSDSEAAQRYPLCSLCLERMRSCC EFTGYLRLILDGHVRAGDSEEEKDVWEETVRLRERMFWSRVGAGVVPLHSQASAPVNG LTGAQFDGPQPSILESTGVQTDAENDYHEYYNDDSDYDRASVYEDPFVSAGSASPAST AYSGMNADQPERLDLGQEIYEPTVEQVTVSAAIQSPKSGESDAPIKQLTTQTVEPVIE KETA ACHE_70442S MESERRSAILNQILEPEAVDRLNRIRLVKESRAADIESRLIMLA QTGQLRQKVTEEQLKDLLNAISENQRKAEEESKIVISRRKGGWDDDDDLLDL ACHE_70443A MSVPASRGALCIRALTRSTSLTPACCCVRSFSVLNRPPPKYPGH VPLTFVERGALAVGSAVGSLLNPRRGDLIAALGEATATPYFIYRLRDAMLSDPTGRRI LRDRPRITSENLPLPYLRSLPENSVGHTYAAWLDREGVSPDTRDNVQYIDDEECAYVM QRYRECHDFYHAVTGLPVFVEGELALKAFEFLNTVIPMTGLSLFASVRLKPAEKERFF SLHLPWAVRAGLKSKELINVYWEEVLEKNVDELRRELNIEAPPDLREIRKMIRQQKKR EKEQQMSR ACHE_70444S MKPTWWPGEQHESFTEWAISQGIIPNGVTPARFPGRGLGMIATR NIKKGENLLRVPLEAMFTINCVPESFSSKFPEGTPVHALFAAFFTNGNAEDLQRYNLW RSTWPSRQDFQDSMPIVWPEFLRGSNRDQTLLPPSISGTWNTFQKRKAEYPYDSSHQN LLPQQTKRLQDAWEKVISVFPDTDWETFSFHWLIVNTRSFHYLMPGEEPPEDRNDAMA LLPFADYFNHSDVIDDVKFNGKEYVFRSTESYVEGEEVYMSYGPHPNDFLLAEYGFFL DKNECETLYLDDIIFRDLGPSLQEELNLHQYYGNYQVTSTGACYRTEIAACIKYMTPK EWQNYVLGYSTKGVDDKKTETIIREWIKAYGEEANTTIAKLGDIRSSSETDGKVEMLL KRWKQIYDLCQQAVEAVSC ACHE_70445A MQDTNEVARETGTRPLSGRIHLLGIGNVGSFVAHSLAGRQSPPP MTLLLHHSNVYRSWISKKECIAINVNGLDDVKSGFDVNVKSSDSDTWYSLPWDKEGTS EERDRILSEKLKDGNIEKSLAQSEVDDSTIECAIVTVKANQTVRAVSSIRHRLTRDST ILLLQNGMGTIDELNEKVFPDPSNRPHYMTGIFSHGLYQMSPFQVVHTGIGTTVLSPA PSREVAATAGDNAADWAPTTKYLLRTLTLTPPLVAFAETPSSIVQYQLEKLAMNAVIN PLTSLMECKNGEILYNYKFTRVMRLLLIEISSVILALPEVQGIPGIEARFDPERLRWM AVQLASKTRHNMSSMLQDMLSGKATEIEYINGYIVRRGEELGIKCVVNFMIKEMVQAR VALLHQRESGAVPMDLSGFEDEQ ACHE_70446S MEAKELFPVLEQLDDDIDDVEEMIQPLLNRSLAETSKNLPVLDK AKFYVMVTYALENVIFSYLNLRGEDPKAHAVWRELTRLRQYFDKIKTAETGPEQRTMT LDKEAANRFIKHGLAGNDRIDLERKEREAKERAVARRKELELLMNSAKSEQSSKDHSG DSRSDANSGPDEDEDDDSDNDETTVKKSASDPKLVDDPHFEKTERTGKNKGKNKRFKQ GKKLDKEGLRKENAERKQKKKKNRKERNGK ACHE_70447S MPRQRRGAAPAPTPARSAPTRPTAAPPRPATAPQQQQYQPHSTA AHPSQSQQHAPHPPQVQQSQGPGLFGQMASTAAGVAVGSSIGHAIGGMFSGGSSAPAE PQQAAPADAQPMDNGLWQSNAATQSYENTPCATDIKNFRQCMDDHRGDMSICGWYLDQ LKACQAAAKPY ACHE_70448A MFYQGTLQEGIALAVSQSKAVVCFVRDDEELSNVWENEYLADDP TFARLFVEEAITLRLPTGSEGATYLTSFCPIPKLPGLVVIRNGMMREYIIPEISKDDF RARLRAVLEDERKSSQVPRQTQSDVGHNSAASPAAPTSGPAPVTQLATSAPQVAQSQP QTTPATNETKRHRDENERAGKPSGQKQEPPRRATPSKTPSQKPQQQQESRINQTKQPD KKTPQTRKAAPEPSTTSKTSDTEVRPKPALAPPKKYRLQIRLFDGSSIRSSFDPSQTI SKDVRPWLDDQMDEKRPFNLKHILTPLPNRTLTIADEEQTLQAYLGLGATANLVMVPI QSYTEAYTGSGSLPVRAVSSAYDLATSTVGAAAGYVGSWFGYGQTSASQGSPATEPSS ASDHTGRTASRLAGSRGPNIRTLADQRREQGNNQFYNGNQLNFQPRDNSDQR ACHE_70449S MRSIFSVLNRTAARSWQPPTRAFRSPRIASKLDSICARCRQHQI RHFSSSNRKMGEDERWLSVVDHPAQVVRVGRKHGPGLIILALIPIISFALGTWQVQRL DWKTNLITKFEDRLLKPPLPLPPRVDPDAITDFDHRRVYATGTLRHDQEMLVGPRMRE GQDGYVVVTPLERDDGQSTVLINRGWISKKLADQKDRAVGLPQGEVTVEGLLREPWKK NMFTPENEPEKGRFYFPDIEQMAELTGSQPVWVEETMVPDMVEAYDREAKGIPIGRAP AVNLRNNHGQYIMTWYGLSLATSVMLYMIIRKRPNEATRRVRQNRNW ACHE_70450A MSTPRPRERRSPFGTPGTSTPVGNSTLTGRSRIPRYPLTPSRLG AATPRSTSRFTPRRGALGAPSTPYGVRAMQRRAANTPGRDRRKSGRMQRETTFDILKN LGKALAPTSQPIRSSPQEKPPPESEPEPPKDEIEELDNEPEIERPRLSLPLDDVDEED EESPEIPAPRLSLAFEEEDITVEYPRRATSDRDRARLSMMSFGGPRLSENFGDSTRLE SGSESGGDTGLHDVGGPDETVISQGAFDRGGETEDLGRFNFDFNFPSPPPPGEGDQDE PLDDDEGFELPAMDLQPDTGPIPDEDMDIGGGFDDDDDDAAGGFGLELDIPHQASPES LAGGGLRDEDHIAPDPKQKQYSRHGIPVPKMPSGVVKKLATQFARGRAGSKAKINKQT LAAIEQASSWYFEQVGEDLAAYSKHAGRKTIDESDVTTLMRRQRHINNSTTVFSLAQK HLPKELLQDMRLAMPP ACHE_70451S MSKEESNAASGLSTKVEKKRKRQADEEPLTAKDATTPTTTNTNA TSESGPSLKKQKNKQKLKEKKKLKAQQAQQDDMRGEERKEGIDGSIGKMDGPLLADYF AQKAKRHNKEISAVELSDLSVPDSAFLDTSSYDGSRALDKLPEFLKAFSPNKGAGLSK ASEEKGTPHTLVVSGAALRAADVVRALRPLQNKESTVGKLFAKHIKLEEAKQFLQRAR MGIGAGTPARISDLIESGTLKLDELERIVIDGSFIDQKKRGIFDMKETHLPLLQLLTR PEFRDRYGAKQKKIQILVF ACHE_70452S MGDKKRKLTQNPVEEEGHAVQPEKKPKHEKASKDKKEKKEKEEK KERKSKSRDVEETVNEKETEEKAEGKAEENGEEVKEEKKEKKDKKEKKDKKDKKDKKD KKEKKDEKDKKAKKETSEGKEEKEEEVEDKAGPAAEEETADAMDVDVESTEKKDDEKK DGESKEETQEEKRERRKKNKKEKKEKKAAERAQAQSQQSEEQQEQQQQQEQQKSARFI AFVGNLPYSANNESIKEHFVKNPPVSIRVATEKDKPTKCRGFAFIEFENFDRMKTCLK LYHHSTFNDGKYPPRRINVELTAGGGGKSEYRQSKIETKNKKLNDERQRTAKQMSKEK DRKARHKNGEQEGDAEAAGGGDDNFAGIHPSRRMRLQ ACHE_70453A MASPATQSLKCVVTGDGAVGKTCLLISYTTNAFPGEYIPTVFDN YTASVMVDGRPISLGLWDTAGQEDYDRLRPLSYPQTDVFLICFSIVSPPSFDNVKAKW FPEIEHHAPNVPIILVGTKLDLRDDKATADSLRARKMEPVSYEQALAVAKEIRAHKYL ECSALTQRNLKSVFDEAIRAVLNPRPATKPKTKKCMIL ACHE_70454A MASEAINLVAIIYPKPDKAAELSALMAEVTQKVQANEPDTLLYY AFTNEQNKDEIIVVERYRNAAALDAHVKGPHFQEFVKKASALMAKPFELKKGGFLPAS AGVARL ACHE_70455A MELQFRGILPPNGFAAAAQGQANGHLISPQGPGSSDIRNNAGFP RPVTGYDFSSRNSEPCDMEKIPPMTGGSTGATTGKRRGGSRKACNECKQQKLRCDIVQ TPAAACSRCRRLQIECKVEPTFKRISKRRRNAEMEREIADLRRRLATNSDHPQTVEAN ASDDMSQCSEDVFCGPDSAVSNRTRPLSAPLEPQPLATPLTMRRDESIISQEDSLWRL EDVSLSRSRVARLFEQFFKYYHPFLPLLDPQKGPDEHLHRSSLLAWTIICVASRRAPS EPGLLSSLSGPFSRLLWSTITGVPQDYHVVKALCILCTWPLPTTSQRTDATFMLSGLM MQIAMQLGLHRPVQAEEFTTFRMEVQGEAMKDRLQTWVICNIVAQNVATGYGQPPGTI YDWALEPTSLKDADYHPPVDLRTRLRIEKFCDRVTKALYSSKPEPAEFISSEKLLIVQ LLESELKDMEVDFGRNVSHINMIHLRAAELHLRYFVFLGSNARSDDLTKLFIATTSFL GRVLDLETSPGELIGHATNYILQMIVSAAFALMKLLKSDFSRHIDFNHGKLLFNGAIS AIRRISVMEHDRPVRLADILAQMWNAGSSETEADEDGLQLKVRCRMSMSHVYDTVWRW RQRFRPMRSIEDQAMANPNMSTAAGPLSRQQDNSLDDPSLIYQANFDGGFISEAGFSE VFDSLNWVFDGIPDSLVAPPVM ACHE_70456A MSFKMLSIGLSLLGLMTGSLTVASKAANFDLPSATFRQYGCDEQ CEQVFNLAEKQDRQLFSSDFDYDFYATAPGFTSSHPGDLLKFELIDPSDLNITSGVTV YRLQYTSRALNGTFVPATGFIGLPDTSSRADNKHPVIAFAHGTSGVFRGCPPSTTPTL ADYSSWSLLVKSGYAVVAPDYAGLGNDYIEHQYLSFPAHANDLYYGVVAARQALPHAF TDEWMSVGHSQGGGASWKLSESPLHHRGAAGTYLGSVAIAPATKVYDTAVATMDILFQ RPDYASYDIIYELAWLPIALKRVFPRASVSFVAEKLRERISLATEAQSCMSGILSLPH GLKPKELVTDPAWVKHNRLLRRWQDWVAPANGARATGPLMIIQGLNDTAVLPEITTDS YDDTCHHGNEAHIRLYEGAGHIDVFHASAPDWLEFLSNQFGQKKGNFHGACSSATRDA VTDQEND ACHE_70457S MADVTLPDGAGSPREDPPSSAGSQPAAPLEAALAAPPVMSDELK GRLDKVIYSDIGITTLLTRLKQSVASARDYSTFLKKRAALEEEHAQGLRKLSRSLHDA AQRSENRQGTYGNSINELHRFQDRMADHGLQFSVSLQQMADDLHELASNIEKGRKQWK QTGLTAEKRVVEAETAAEKAKAKYDSLAEQYDRVKTGDKQGGKFGLKGPKSAAQHEED LLRKVQNADGDYSSKVLAAQAARQELVSTHRPQTVLNIQQLIRECDAGLTLQLQKFAT FNEKLLLGQGLSISPLKDSPTVGPKSLYEIIYTIDNQKDYHDYVLSHEGNPAAVTKDQ LKYECHPTLASSSGPVVPAAQTSTQNKRQSFLPQSFSQQRLASPQSSPQPSPAPPSAI AAPVDQKPALPYPQEPEPYSNLSFQPPYPVSVPPPAQEHPVAPPPVGAPPPSNGLPPI KPVFGISLDDLYARDGTAVPMIVYQCFQAIELFGLDMEGIYRLSGSATHINHMKSLFD NDSTQVDFTNPEYFYHDVNSVAGLLKQFFRDLPDPLFTTQFYPDFINAARIDDDIQRR DSLHALVNNLPDAHYATLRALVLHLNKVQEHYTQNRMNAGNIAICFGPTLMGANSTGA IADAGWQVRVIETVLINTFQLFDDD ACHE_70458A MASSSRLPVGSLLSAARPGSHSITIAAQTCQCRAFSRSSIAQRA MRGMPQTMSVKQPAQPSMKTRGKEMSKSEMPQDLGLLPGTFIRPLWRDMPSIFQLPRE RMQLEWLWIKQAFQNFLGILAYSKWLNKGLPLRLRERRQVACELYERMYTAYAEGDVA TLRKICCTGLANSLTSRITIRPKDERVTWTLNKYNRTPATFLTGVRVLADRATQIPEI PKSGVRQVVLRITSRQSTGKVKLPTNKRGVVDETAIEAQDAAPAKQRDCTEYIVLQKL MWFGEEQEWRIWGHATPTTVEDLESPFFAPGLSLSDRMEAMKAMMEGKR ACHE_70459S MGHEGWATAIIGSIGQDWDVERRFRVTEVALAIMWCCASAYLSY FFADCMMSRWLLNYTPPAVVIRLLTTNGLIAYITSWVLYLSGASSDPRLLLPAWISIT TVRSSINQKMTGRSQSHATRPHKLTTNLL ACHE_70460A MSIENLKTFDPFAEADEDTGETKQSQNYIHIRIQQRNGRKTLTT VQGLPKKFDQKKILKVIKKKFACNGTIVTDTEMGDVIQLQGDQRKDVQEFLTDKKEGL ELDAKTIKVHGF ACHE_70461A MAAKKDMRRLDLAIPYIEPPTSKNDADVSGAMSSTMPMAAMFTR NRMIGWVSFVFSLQNWLGETEDQKSSSSTPAYMSVFMSFMALVVTYFPIFLSPQNQRA GATPAPSAS ACHE_70462S MIPLSSAGAQPRGQHPLRAVNTASGQPNTFQVFAQSEANLPTPV SQAQFRQQPTVIDLTSGEDAQEREPPAKRPRLDIPTGTSSRTASPVPGVGGVGGGDLR SAPGGPTTPRPSSTTSWRGRPVWSFQSLVSETPGVGDVNGDNAAALAQGGKPASPPPL PTPPWRFALRPSSSRSRDYSPVKAVQTTPYRIETPAAAPALKGEKVADFSPWTGNHPE DVLNEQTAKQGYYDRTQVSQNESNTARPSLYAQLKHRSGLQMLSSVFASAFEKRQSHN TVVTSSTFKPPPRVTLTDNKRESWLRDLANPAVPLRRLSRTIPHGIRGKVLLDQCLGK WIPVARAVWLAKCVGANEIRAFKRKGTSGPLAIGLEAKWVRDWTVNVQQFLENVIAAC GTADWKMKMTYAVSLVARLFHENLLDHDHYIGWFLSCLEAAPLKILPVWLLMLGIYWD SIMRYRKRGCRLAELLLAKLTQLSKSEHAGALKPLIDRLSLYVKRLVREYTSSAILPT SWASYRDFVSSSLDLDDKVDRAIFQNIAERNVRVQRPMHCQSAAHHSGQQQQQHIIRL FDSIRTAHDISSVSVVCLDTFEDRATLIYKLLEWTATPFRHGLRRVYIAVRLLRKWKM AGIDVDSHILGFLSDSPKANENMDMVYHAISELVRSQTFSVGRYLQWLMAKGVSRDSL SDHSVIPGGVGLLSQLPVSHLPEHVSNLRDTLLVRVGIASSEEATIISQVKSSIATRL PKVFGGFEVDSIPESSYQSLTWAVKSEIGQWIRRAVAQHCRDPTKSISGVPFLADLKV SSLTPDEFYEIRSLLESFGDISMLADVVKQAASSDDNIVLASAADTVNYHCDSFNVIG AATDLFRRLVDAYSLLKRLGTADLDLIYSLIELGLQLPNEYNTVAILRQDLSRIENRS AQAAPSPLSDHIPDTVNETDSSFLEKLDQFLSSGSGMDEPTMDAIFDALVKILDMGDG QTKLSANDACRYLAHLRPFHPKHFDTKLVRWVCGALKMHDRAEFFKSLPPLIGVGCVT IQAFLSLVKKLLQVNPASIPNVDELQIDLFELLAPSATQDGCHDLVTYRFYLAQHEFR TKHLEETLEVIRGAVASIGRKEGLSSDPTRNHLETSMVILLCDLLTNEPGNAAQQSMQ KLTDQHPAFLVALQNALDRLLGLDSPSQAKNDLSEAERVISMTSDFSLPFCQLKLQML FNAASGEEVKNGVVDVMFKMAVEDSRSKRSNWVGLVALMNQDAVRQIRERAEKEFLSV PMLPLEASVDEDGSPSVNYTNAIETARIYLMIIEELAYSVPESGVPSIASVLVEKMDL LLNKFVIMQTNSMNFTENKNDTGEGGQQNIARSNFERGLAFWFSVLLRMVVIHRSAFT SSTRPTGLQEQTRILISIFSIALARFPNSLLRLFPTADYFPHPHQPEDYRPCPGILLQ THALDVAASLIDTFPDEARHHCARFLKEKCSPFAQFQNDSRFLYLLGPLPGYGAAANS AQPASLPSPASGSTPTPSQAQATAAAAPSPGLSVGVSSAEEPDCSLRLQHRGRIVGAY QIRPWELLEDAAPLAGINDTAISLRYFDARRIRA ACHE_70463A MPSLNPGVAPFIINTNADNSHTNGNNNSNVNANSIGNGNLNSNG NGNGNGNVNTNNNANANSNDCNDSSTDNGSSTGNDSSTGNGSGSSSPSSTSTSANVNA SPSNSSNNSRGGSSHNSPAIRPIRSDRMNCAPTASNAQGLYPPAACVFVGNLSTRVPL EQQGKELEKKFSTIGPCYVKTNVDRKKELPSAFVQFEKVEHAEIALTWHNTMQLHDRL LRVEVSKAIRAGIMGHRNGEPITLDLVEKVLRGRGALDYCILERTTSGAVVSKVVFSF VGDYQDAIRHFQNDHFIYLKPDHGIRPISSSSSSSSSSYSSSSSSSCSSSSSPPPPPP LPRYSQSQSPYYPPAPAPFLQTSYPQRSGAYYQGSYSQAPLPPFPCFPHYNNWTYPQE PYHPQSYAQWSYSQGTYPSYAQGTWYPPQNWSEPGYHAVKAPQTNTHVQALNPGMFFP PEPWSAAHYTASAPPASGFHSAQAQPAASQSTGNANGNEITPPSSPGNGEERTEEQDN DNTVAEEKTGEKEENKEKEAGNEKKDEKNRPLIVGATSRLRF ACHE_70464S MIPTARCMAAKPAGFFKRSADELSRLSKIAWNTEGLHTPTKPYT MLDFEDERAVAGCKTMADRAVGGFSTANLDYVPADPSSNTPAHARFHGNISTKLPNNW RVERTGYAAFRNKDRGLWLFGRLYWDVDPYAYLALRVKSDGRRYTVNIQTDSIVETDI HQHRLYTRHHRVQSRPTSDEHLPPYSSAEASESAESADTKYPGGIPPSLSDIPPESTV MSSASATTSGSTGWETILLPFNAFVRTNHGFVMEPQTSLLRQRVKSIGIGLTDRVEGP YDLRIHRMWATNGIGEQEAQEDRLICGADALPVDEGVKSGWTDKGIEGQQQDRPKAKG LKGLRSEWNQ ACHE_70465A MAEAFTNTDLQGALPLVARGKVRDLYEVDEKTLLFIATDRISAY DVIMENGVPNKGVLLTLCTRTWFKTLTEAIPSLRTHFISLDLPPQIPESLRPVLQNRS MQVRKLKILPIEAIVRGYITGSAWKEYQKSGTVHGIKIAPGLQESEAFPDGPIYTPST KAEQGEHDENIHPDQAVNIVGESYASTIASLSIQLYKTAHAYALERGVIIADTKFEFG LDLETNEVVLADEVLTPDSSRFWPKDSYAIGRGQSSFDKQYLRDWLVQEGLKGKEGVR MSEEVAQKTSEKYKEAWEKITGGN ACHE_70466S MSRYLTPSKVALLCLISIYTEGVVPNSSAIHVLSFLVSCLFPIE NTGTSTSKKWETDATVSISDLEKTLSAQPSSVPGRSVWDLFLKKIWSLDSCDALEVFF AGISKMLVKSREEQIRDRDNGLAPEPETGPMRLSRNSPLGTFVRRAQLEFTRLQFHDS VRLWKGFVKYRLPTYRIWAKKNPSSEQASIDMNLLELQLDETSYLAQVVYGNMADDTE YERNVSTKDVERLLEFQIGELQRLGGRVPDEMKAQLERIIASGVTLPNLTHYIKFLDA WRAGDYPSSFDNLHRYFDYTMHSRDRSAYQYALLNLAVLQADFGCHGEAVSAMQEAVS IARESHDVNCLNFCMSWLYHFGKAFPEQMKDVQNTGMLGNEKEGLAFLKAKAKETEMW SLLSTTLLSEAKLEMQNGESLASAIENMVRASHLNVTKNHVSSMGPQLLLQTALYARI GVTHLSWLSSEIFRECYANNAPFEDYLRSTFRNCQLLAQQGRYRDVSALMKQIAPEKL RSLKANQQWTCFSGLLQLQRQIYRDDKVAADHLVTQLQSIHLPDSDISPLISFLAIEH QIRQGNYSQAFETVEKAAQTMHQDNFDIHFQVKLLCLKARILEKTDQPQRGFSLAMRA ASIAHGSRLLPGLWEAICVLGGVLLSLGEFEAVSELIESIMPRVLETDDCDLAAKAHS LLVDANMGIAGVMWSQGQGNTPARKEYVNRALGYIDFAYDQYAEIEDIQGQCEMMAKK ATIMHLTGDLVLANDYAAKYLDLRKQHAVEV ACHE_70467A MFPQRNIFRFSQRAAQQLRVAGQRRANSTQSNFPWAVDNAFNRE RDAVKHHAAETSDLWRKLALYAVPPCLILAAINAYNLWEEHWEHWAHEPPLEERTEYP YQNIRVKNFPFGDGDKTIFWNSSVNYHNKDKPT ACHE_70468S MEREAVIRGIPCTISCRIPIDRRQAMFDTLEADIVVFQETKIQR KDLRDDMVLVPGWDCYFSLPRMKKGYSGVVIYTRNAKCAPIRAEEGIAGVLYPPNTST PFRELPEEQQIGGYPTIEQLSQFEVDPAILDSEGRCVILEFPAFVLIGTYCPANRDES RDSFRQNFIEALDARVRNLIAMGKRVFLTGDLNIARGEIDSAHAGEAIRKGAATEDEY VSTRVRRIFNQLLSDGKVIGERDEGREKPALYDICRAYHPDRRGMYTCWEQRLNARPG NFGARIDYVLCSLDMQDWFCDSNIQEGLLGSDHCPVYATFKDSVTIDGNPVNILDVMN PHGMFQNGERQQEYSTKYLLPISGRLIPEFDRRRNIKDMFARKPVQKSSTVSATPERT TSVQEKESQSPATSDGNNTPSAQVTDETKITKGITRKRNQSAPTASIKRTKSTATQLP APSAPGQKTLKGFFKPKSSPSKPSPTQISDDTPSERPESPSKRSIPSSSQPEDTPPQT KPHDPSTNEHAPSASQESETVIDPIVSKEDWSKLFSKKPPPTCDGHEEPCISLTTKKP GVNCGRAFWICQRPLGPSGNKEKGTQWRCPTFIWASDWNSTME ACHE_70469A MATVPYTTNPTTKSSDTVTELLWKDALRHLKYTNNEVLLPTNVR DMIGQDNVDKIKSRLSALLGAPVVGFIDESINAFRLMRTPTFSGSAVSVASHDTLVKV PKKGSFGSSTNQREKPPVSSKAAKVPRPPNAFILYRQHHHPKIKEAYPDFQNNDISIM LGKQWKAEPEEVRAHYKALADEQKKKHAEEYPDYQYTPRRPCERKRRASSRQYAKHSK AAAAAGLAAASAKAKSYASTGIGLGLGSIPESGAGTTTRHTPKAESPTSMTSGATLST VSTPAMQAANGMDHDLSEFNVFFGPSDLQDEQFNFDTVSFDAMVQQLRNDQNQDVFLQ PLNPTEQAAVDSFEFSDYIADCF ACHE_70470S MERMTNEHLAYLTQKYGYHFVFRVSPQMYYMIRTVAEEIDFWGH NPRGTEERIRTQSICYEAFEHQLRLHDVEKRILVNITNCSARDAMVFCTCFPPPVFFF FFFSSKLTSF ACHE_70471A MSRTEADLAINIRKATSIEETAPKRKHVRSCIVYTWDHKSSAAF WAGMKVQPVLADEVQTFKALITIHKVLQEGHPVVVREAQQHVNWVDSLMRGVAGEGIR GYGALIREYVFFLESKLNFHRDHPEFNGLFEYEEYISLKTINDPNEGYETINDLMNLQ DQIDAFQKLIFSHFLSGTNNECRISALVPLVQESYGIYKFITSMLRAMHTTTGDDEAL EPLRGRYNSQHYRLVRFYYECSNLRYLTSLITIPKLPQDPPNLLAEDDDRPALPRRPA KEVEREPTPPPKPRAVEPEPINDFWTTEAKRQQEEFEAEQARLQQQWEEQQRQQLLMQ QQAQRDFEEQQRLQAEQARLAQEQLMQQQYQSHTQGRLAELERENLNARAQFERDQLM LQEYDRRVKDLEEQMNQLIANTNMQNTSRDEQIRSLQEQVNTWRAKYEALAKLYSQLR QEHLDLLQTTKSLKIKAASAQEAIDRREKLERELKTKNLELADMIRERDRALHDRDRL TGSNKEELEKVKRELRFAIDRAENAERSKGTEISSLLSKYNREMADLEEALRNKSRAI EEDSSKVGDRQREHDLALQEKDEEIEVYKSGMEQALMELEEMKMNQGDTDTALDTQID HVLHGAVSKINDIIDSVLQMGVQRVDDALYELDSSMQAGNQNASPPYVLSQLEKASAS ATEFSTAFNNFIADGPNSPHAEIIRTVSVFSGSIADVLSNTKGLTRLANDDKSADQLT NGARKSAQATVRFFRGLQSFRLEGLDALKKTDVVINNNLEVQRDLQSLSKLVDAFAPK SSKISTSGDLGDLVDQELNKAADAIDAAAERLAKMKKKPREGFSTYELRINDSILEAA IAVTTAIAELIKAATATQQEIVREGRGSSSKTAFYKKNNRWTEGLISAAKAVASSTNT LIETADGVISGRNSPEQLIVASNDVAASTAQLVAASRVKASFMSKTQDRLENASKAVG VACRKLVRQVQDIISERNRDETEAVDYSKLSSHEFKVREMEQQVEILQLENNLSRARQ RLGEMRKISYQED ACHE_70472A MGSVGSFLFVYLLGGLTFFPLVLSLVFLFIYLSLPDTPTSPSQK QQKQQQECEHARVPDFLRRPSDDQDSLKSGTDALAEEFHRTHESDVAAGYFAVCREYV PGGVNGKPPERTTPAGEVIAAESPSVYQTMYRSLFDRKQTPSIEPAKTNGKSGKRARN VFYIVLRHGHLMLYDDANQVEVRYVISLAHHDVSICGGDKEEKIPEGELWLKRNAICL SRRLESLGDLGGPTPPFYLFSENLSEKEDFYFALLQNQAKMWDPDSRPPKCQQFDTRH IVTLVQRLHSSEEQLQTRWINAVLGRLFLALYKTPEMEEFVRGKITKKISRVNKPNFI SKIGLRKIDMGEGAPFITNPRLKDLTVDGNCCVETDLQYTGNFRVEISATVRIDLGPR FKAREVDIVLAIVLKKLEGHMLLRFKPPPSNRMWISFETMPNMVMDIEPVVSSKQITY GIILRTIESRIREVVAESVVLPFWDDMPFLDTFSQPFRGGIWEREIPKHDPNAVIPDE SQKQEGNKDESLNVLKSKDDRTMSMPVLSEPAPAAVKSRKGSKSSIPEDPNSPTASSS GVEKLAPLPRAIRSQTFSNAADPVVTADNAKIDKVYDGKSEEKSTATSAMIEISHRSP PSSPDKTAASTPATESLVPPQLPSTLPPNREPSSFESLDGVGELSSESRPSSSHRPSS SSVNLTNVARSSTTSVGTTTSIGSTKTKRRGTFDSLAKSISSTPSEDGKSQASITFGQ ATAVAKKWGLNMLGRGEQNAGQDAPRPAGTPEEPIGRGHPLPPPGTPLPHPDRYGGFK RNSVSTKRKPVPPPLPERSTHEGNKRPVPKPPLPRRKPVSNSEESVGQSDDLLVIEAP LDSGPDSPVVDLASSKGLLGQGAEQAVEDEPFESPVENHDIPGEADESLKCQETSQNS PPVARPSTPHGMEILSATDGFFP ACHE_70473A MKVRTSSASGLVLSSRAVPSTSICWQCLRNDLSNQIQLQSRKYH PSRRKDAPPFGAAVSAAQTIFKGLPKAPPGISVDPLRIVGKELKFLTKNIRQLLGSGH PTLDKVAKYYTRSEGKHMRPMLVLLMSQAIALAPRLSADSANALSVDDSITSSDVLSD MNPDTNPLVARSAEAKYDFEGDENILPSQRRLAEITELIHTASLLHDDVIDNAVTRRG ANSANIQFGNKMAVLAGDFLLGRASVALARLRDPEVTELMGTVIANLVEGEFMQLKNT AEDEKNPVFTDETISYYLQKTYLKTASLISKSCRSTAVLSQSAPEVIEAAYSYGRNLG LAFQLVDDMLDYTVTEVELGKPAGADLELGLATAPLLFAWKQNPELGPLVGRKFSQEG DVQRAREIVHKSDGVEQTRALAREYANNAIAAISDFPDSAAKSGLIEMCEKTMKRRK ACHE_70474A MPERRSLRSNSKSEIPCSANDNQAFCSSQYPSSNKDKPAPARST ANKSKSAAPSKKAGSTNSSADSNMGKGDQRQANGSDPTKDGAKGSEDVEMGEDTAVPP TKGSRLSGGQGPDKEGDVAMDEGDESKSEPKIDPKVKAIQDIKTNFTLLERAVTQFDP RFTLRVLRSISSMRKHITADVLSEVIVETYPPSSLTASFLLDAIDRSGAIESAVASSE MEVDSEKNKSSPKEILPEVDTYLSILAQIYLYDKKDIQKGAKFSTELIERLRTLNRRT LDSLAARVYFYYSLFFEQIAPLPPSPAATVTSIRQPLLAALRTAVLRKDADTQATVMT LLLRNYLSTSHISQADLLISHNQFPASASNNQIARYLYYLGRIRAIQLQYTDAHDNLI GATRKSPTSNIARGFYQASHKLLVVVELLMGDIPDRAIFRQPALERAMHPYFLLSQSV SVGDLDGFLNIVTTHTATFRKDGTYTLILRLRQNVIKTGIRMMSLSYSRISLRDICLR LGLDSEESAEYIVAKAIRDGVIEASLDHESGYMKSKEVGDIYATREPGEVFDERIRAC LALHDESVKAMRFPMNQHRLELKSAQEARERERELAKEIQEGDMDDEDAGGDFDAI ACHE_70475S MSLLANHLEQIALSSNAIADLSFPPPRIFTNSLLSSPDITALIR DTEAHERALFQIDPAAKAFNPSQRRATRRGTTFQPEAERESMASRIYAAKNNRNQSAV ARVLGSDMMEEIRRSAGTSTRGPRGEVNVEVLLRGAEILCNVYPVAGAQDRITSLRYR HEIISGSIANLEERVARNTVELDQMRGSYGDDDDYDNPALSQAAIPEITDEDIERELE EIRKLERRKRAMEARVTGMERDLGGLE ACHE_70476S MDGSQPQTNPPQNNQPMVAPQHSNLIRTDQVQKLPHLNDQQKAQ HTQIVRSFWEILNTRDPQSTEYQSAHLKLAQLSQTLMKGMRLFQANRQQQLQQQQAAA GVAQSQPVQRSQSTNPQSFGQLLPQIQQKVNSLNFFLPPNISQEQIQSWLPEARLRYG IALQKQELGRVRIAELRQQFSQRQAAGNLSQEEVQEFKNRQLASEKLYREGSDFLNKF KEQQEGFKAQQQQNQQFNRANIQNAPQAQVHPAQTGEPAAAATPVTAGSDNRPVNAAP GTMNQAPTPAPHTINSAVNAARNQAGQVAMTPTTSQPGQVPPTAQAPGAAATTTPAPS QQPTQPQAPQGTQPQMTYPQPPNMNGTTPTPTTAPQPMNQQQVPPRPLSHQAAMNQAA QTYANTNAPNNVNNAGNMTQPAATNQTASSTTTPGYIPNRASENSARNINMAIPKNLN VPPPEPVAMSPARPTLSGGPSHGAMGMMGQPAIQKHPGYVLEGEGQRVLSKKMLDILV RQVTGGGEGEGLTPDAEEFILQMADDFVDDVITAACRLAKLRPSSTLELRDIQLVLER NYNMRISGFSTDDLRTVKKPQPTQGWTQKMSAVQAAKVTQGKAE ACHE_70477A MPSFANDYHTATPPPVDSPQGKPHEKAPVGNTFLWTNNWPNGDT ASHRDAAAPSTDRLTNLKNASAYSVNGHRSSISSSHNRDLPQSKDGSMYSLGNGSARD PRDTGRPSTTLDRDIIAGTGSGAGSAAASISSQQFMGTSQSSRSLYNGRPTVNGDHTP RPSVDQLVASDCAANASMSALSSSQPEESFGRLSSDPGRLSPRTTSPHRYSSPPVPTG IDEAASGNPPQSPTQQQQQQDQSSLRQRHTLQVPRSASARRNSRDHSEDTAQSSGRLS PTAGIRRTSMSLARRTTRTNHSDSIADESIPDEDAARYAEAIKQRRASRRRRRDDDDD DRVIVGTKVDQNHVNWVTAYNMLTGIRFTVSRINAKLERELTPADFEAKHKFSFDITG NELVPSAKYDFKFKDYAPWVFRSLRAKFRIDPADYLMSLTSKYILSELGSPGKSGSFF YFSRDYKYIIKTIHHAEHKLLRRILPEYYKHVEKNPNTLISQFYGLHRVKMAYGRKIH FVVMNNLFPPHRDIHQTFDLKGSTIGRDLHESDLEKNPRATLKDLNWVRRSRHIECGP SKREFFIAQLQRDVELLKRLKIMDYSLLVGIHDLDRGNEEKLRDKTLQVFQPGGDQED SSQNMLMRTPSKLESERKARELRMLIKRERPVPLDKAAAKMPEEILDERKYHVFYADD GGFRATHENGAPGEEIYYLGIIDCLTHYGTIKRIENFFKGLSNDRTQISPIPPEGYGD RFIKFIRGITMSKEEAERHQESRALGRPSAERTPSVERTMQQAEKEASKDVSITHPRT LSTVRDPADANGPGPSSTLPIVDEAGEASSVGGHSQHSRQGPPPPAEKDLPPIPNQNG TEMSEKGKAAVRDENLRPNRG ACHE_70478A MPPKKTAAASATKKAAAGHASYRDMIKDAIVNLKERNGSSRQSI KKYVQNNNDLNNASPAVFDNQFNKAIKAGVEKGDFTQPKGPSGPVKLAKKDAVEKPAP KKAAPAKAAPTKAAAPKKAAAKKTEKAEKAEKAEKTEKAPKKATKATTATTSTAKKAT TATKKKTTAKPKANTAKPRKTPAAAPAIIEKPKIISTTKSGRVTKTTAQPESAPKRAA PKKKA ACHE_70479A MGIKQLYQVIAENAPDAIKTGDIKQHFGRKVAIDASMSIYSFMI AVRSEGQQLMSDTGETTSHLMGMFYRTLRMVDNGIKPVYVFDGAPPKLKSGELAKRSA RKSEATEAHEEAKETGTAEDVEKFSRRTVRVTREHNAECKKLMKLMGVPYIDAPTEAE AQCAALARAGKVYAAASEDMDTLCFETPILLRHLTFAEQRKEPIQEIHLKRALEGLGM DRNQFIDLCILLGCDYLEPIPKIGPNTALKLIREHGSLEKVVEYMESDPKKKFTIPED WRYQDARELFIKPDVRDADHPDCDFKWEAPDVEGLIDFLVRDKGFNEDRVRNGAARLQ KNLKSAQQSRLEGFFKPVARTDEEKASLKRKNDEKIQEQKKRKKDEAKAKKEAKAKPR AS ACHE_70480S MKRNRIQFEANGEHASNSPPQGAVAPEGHIPKISRRIRACTECK KHKVRCNMNPGESICQRCRRMNLECVVHKSLQTLLEDETDWKTTVELAMSDLLRKAQL PELSYYQTVSRTIDAPSKRQDRKGSTASTDDIGIATGSDRRTHPIDANVAAPTAPRNS SDYNFSRQPARYSPDREENGTSSLVTAPMGSLYEVTQLSDIRANSPGENESSDHSLAT DFISRGVVELQEAEELFQYFDQVLNRYLWDGIALVHKDLASVRNSSSMLSAAILAVTA LHMPNKERTFDTCYTEFAKLASGSMLDRHHTLDDLRGLCIAAFWLADVSWKLSGYAVR IATERNLHQCFRKATQGSPEHKEQARLWYLLYTLEYHFSIAYGRPPIIHEDPSITNHN TFVLSPTAPQCDIRLHSQVDLFIILTRIYHAFGPDVDLEVPESDFSKIDKFDADLDEW CTTWLPRIAGSWHVGAYPYRAVYLHYHFSRLQVNSVALRTYHSPTSTRIMSPERKKRA KLAVESAIGTLLTVLEEPDIQRGLVGVPLYLHSMITFAAVFLLKIAAKGCQGQRNSIA SADLHIDVAYVRELVGRIIELMVSCSKRASERHLSHHLARGLRKMLTGFEEWEKRNSY SQPFSRQNSHDVSPMFKPIIIPGAQPLGQRDTILNHPPPLLGVAPLSAERSNGFEPST VSEDEQLGLSEGSIDPMMGDLWGFDEEHFPMGVFDFLQSQMPA ACHE_70481S MNRFSLRAASRHFQSATRRPTPIAQGLAARNTSVRDYATFNWED PLVASELYTEEELAIQDTARQYCQERLMPRVLDAYRKEDYDRKILEEMGELGLLGANL HGYDCAGVSTVAYGLITKEVERVDSGYRSGMSVQGSLAMTGIYEFGSEELKQRLLPGL AKGKLSGCFGLTEPNHGSDPGSMETVAREHPTQKGVYLLSGSKTWITNSPIADVMLVW AKLQSTGKIRGFVVERSRCPPGTLETPAIKNKSALRASITGMIQMDECPVPAENMLPD VEGLKGPFTCLNSARLGIAFGAMGALEDCLARAREYSLERKQFKGNPLAKYQLIQKKL ADAATDAAYGTLAATQVARLKDEKKNTPEMISMVKRQNCDRALTNARVLQEILGGNAT SDEYHIARHAANLFVVQTYEGQSDIHALILGRAITGVQAFV ACHE_70482S MSYPRLPLRGVLVRCSHHPTTLRGGCRRYPRNMDTDYCLSDPPS SCSAGPLGEDLFHWQATIMGPSDSPYSGGVFFLNIHFPTDYPFKPPKVNFTTRIYHPN INSNGSICLDILRDQWSPALTISKVLLSICSMLTDPNPDDPLVPEIAHVYKTDRPRYE STAREWTRKYAI ACHE_70483A MAEYTIKDLASHKSRDDLWIAIHGKVYNITPYIRDHPGGPDVLL DTAGTDATEAYDEVGHSEDADEILQTYYIGTLRDAQEHRPKNKTVRVIQQPAKSTVEV SKTAKGPGLGSILKAIALIATSSAGVALPAYLFFSSGGTVDIHRFLPKSLPSWPSIAS LQQSATAGKGSFTTGFTTATLLMSTLAVLAIPKLSALTSPDSGFARYPPHMKSRRAPK PNPHLANGFLNPKGYKSLPLVRKDELAPNVYRFVFDLPKSTDVIGLPIGQHVAIKAVI NGETVSRSYTPTSNNTDLGRLELVIKCYPDGLLTGKYLANLQIGDTVQFRGPKGAMKY SRGLCKKIGMIAGGTGITPMYQLIRAICEDDADETEVSLVYANRAEGDILLRRELEAF AKAYPRKLRIWYMLDQPEKGWKYGSGYVTPDVMREKLPGAAPDTRIMLCGPPGMVNAA KKGLVGLGFQAPGAVAKMSDQIFCF ACHE_70484S MSQQFYLLGEPVSSARHLPVEKTLDLEGLQYVVAAHFAIVEAKG IGFQDEETIFSEVHDILASKNPIAITIDGHAVRDPPGPNGLPYVGNYFEVYPDHLGNH QRLFDTYGPIFKATSLGRTTYHTNDPQISAIAFTESDFFSKEINDAHPLSALKTPAAG IFLGDTDTEEWKVTHKFLPPALGPKAVRHYAPTMQTTVEDACKIFDELDKQGEAWNVY QYMLKLGSQAVGKLTLGLDFGHFVGPDAPLHEMVGAIAEMLSLNKKVTSKGDWYAKLP FGEPKKLQELRKRIEEMVEESIRNAERGGVEDLPLQDAALRAANMVDYAIRATDNKGE KLPKTSLVWSLVVATAAGFTTTSSLLSWLIYGLCTYPGMQERLLQELIDNEIDENTQI TADVIDRLSFLDKYIKETQRRHNPSFQPGRTAQLDLILPGGYKIPKGSVIIVALHHIH NNPALWDNPARFDPDRWDTDAVKNRHRAAYVPFGTGQRMCIGFNFALQEIKVFLPKLV YRYEFRREGDGAIEYDPMFQLIRPNNLYVRAERRTKWPANPKNFTTE ACHE_70485S MSTWNAEDYRNRPVTILGGGVLGRRIACVWSSAGYATRIFDPSP DQRQAAIMYITENASTYAQRTYAQPSNDIQAFDNLGDAVSTAWLVIEAVPEKLALKID TFAELATLAPKDCILASNSSSYKTSEMLDKIPAEAEARSRILNTHYYMPPGNMIVELM TDGHTSASIFPFLVERSKEAALVPYVARKESTGFIFNRLWAAVKREALMILAEGVSEP SEIDDMWKRMFVEGGAVPCAMMDNVGLDTVAFIEEHYVKERGLSGEKTVGFLRENYLE KGRFGSKCEKGGLYPPKQ ACHE_70486A MSTRRYRSAIACESCRRRKVRCSLTVTGIPCIGCAQDRAECVVD ERRSLTLSRVQERRARQSHSQPHSPGHRSNDALPSSQPFNSHFRHVEPSESPSHASDR IQDEERNGLEIAAAALGQREGVGQVPFYTGDQTGITSTLDLCSPEQHLPRHLLLPMHM PAASLSDSLSDQDREYLASKGVFTLPGKEACDSLIRAYFRHVHPIMPIIEADGLLGAV AAGRFEDYNVLLVWSVFFAAVNFIPIPICQSEGYESRKAMKAAMYSCARSMYNHTTDR ITLLQSSLLLGFWHSETDQHAAPWYWTGISVNMCQILGLHRNPDNTNSTSTTRRNASI TDRQRHLWRRLWWTCFFRDRWLSLTLGRPMRIDLNDCDMPMARAEDLLSDLEGVERGV KEAFLPRDLERMAGFWVMLVEMSKVLGKVLKLNYQALRGRPGLGEVEALEAQILSCRC PDVGDDNGSGLSREAFFYVYHLQLHYQAILIMFYRPYGTESPEGLHPAQQQKWQHRMR READTAASRTNDILDMLAQENLLEFALPMTPPLLIPAMQMHLLNCNSANSLSRRLRLN KLNVCMMVMEEFQKVYTVASIYRGIFAKAIQQFYARDAGVMGMPSPTWLAANAGASVN ATEPPALVPVASVPMAVDAGAGGGDVQAQAVSVPFEGDLNMNTEMTSDLIDALVDEAS TFNFWETWGQLWVDQ ACHE_70487A MAAPTQLAFRTVKGIGILDAAPVYESLPGFTRPEGNLRCAAYSP CGRYYAWASPDKVTIIDPSVGHAVSTIPAENVFELAFSPLGSYLITWQRPSKDANGDA VKNLKVWRAVGDEHTVVGSFVQKSQTGWNLQYSADEKLCARVVTNEVQFYQSENLSTV WNKLRVEGVADFALSPGKNSQSIAVFVPERKGQPAAVKVFVVPQFGAPVSQKSFFKGD KVQLKWNAQGTTLIVLAQTEVDRTGKSYYGETTLYLLSSNGGFDSRVELDKEGPIHDV SWSPNSREFGVVYGYMPAKTTIFNFRGVPKHTFPLGPRNTILFSPSGRFVLVAGFGNL AGQMDIIDVDKDYTKVTTVEASNASVCEWSPDGQYILTATTSPRLRVDNGVRIWHVSG SLMYNEDMHELYDVFWRPQPDVQHNAGPADPLKNPPAPHPSALAYLSTRKAPVKPAGA YRPPGARGQTTPLAFKREDEGGAAFIRDGAGSGVASASSFGKPRRREVPGADPEYLPP GAAPGGGVPLPGSEQEKLSKSAAKNKKKREAKKKEADDAAAAAAAQKNGNRAANANGA SKGNKTNGTAKAVEQPAEPAPAPVEEPGAQDKKIRGLLKKMRAIDELKMRLAGGEKLE DTQMKKIQTEEGVRKELEGLGYNG ACHE_70488A MSTPTLINLPPPPSGSVTPSDMGPGTPNSGTTSLSALSTTAIKD GHQGQPQPHPHGRHAHHSSTTSLSSTNTLEAERADRISRLAGLERVATARASPTSQPG SGLAAQITPGYFDSQYNNKERSTVGSASATTASVGGRTTWASGSDFTFDGDKMSEDPD DGTSSVGNISDEGNASLVGFGEGASTVSGPISRPNLNRVSSGGRRTPSSLGSPTTSRA NTIAAYLQGQGDSPMMPSPLSPAGSSSNVPEQGGEDARMIDGMTFDADVVDTTARTPR LATPYNGEGS ACHE_70489A MSGLNPFRLSRKPDALPVTTQFVDDSLFAPAGAPVNDNENKNED IKAFDAQDNVNQNPAAATHTAESTTTTASTRDVDTTSVSSDDGSTSDPFRQASHLSDN DGDGGSYKETRRDSAVQPDSLSSAPFTSADTSVTKESNTLHPNSAKRASRPLPPPPTG SRTANNSSTDVSQLSTRSGTVNKRTSVTDIDTRSLGSRSGNKEKKPPPPPKSHHGKLI NNPAQPVPAESKRFSFHDSSSETSFYTPSTPSQSSKPSVQVDYFSSVSNENQNPNQNP NTRATDTLKRSQSQYKRPPTPPLSRRHSQMKRSKSTLSSKQNQNRLSLPVGSESIKPS PPPSPGATTTSLNPPVQRTSRPLSLQTDENTLKHTSSLKEQAPSPLSPTAESPLSRTT SIRRTASGSSSATAPPPPPPPRRARYSNDSARPTSYVQPKKTEEESTFQPQPSNANNI LEDLSRLQKEVDDLRGRYESRQSNH ACHE_70490A MPWTVTNSFKRGLMKTYGRPLWRVYDDDQNPAAKKRRVQAGDES DQAEANLQYAIRESSAAILSSPSRRNSIVLSEATQDDDLSTPPSSPPPRLSPPPANTR KPTFSFLKRKHSATKDGANGTPLSEVNSNSVRSSADPPKKKQKQSSQPSQQRQQQQPT LKQMQIDVGRDVRKTCTTCGMEYNPTNTEDAALHKKFHDMNSTGVDLGKAFMRANACR WVYEATRFDEGYVVIVDRKASPSAKNQAKKVLEVISKELSSPEIPDDVLWSQVEPPKD QQHESLEKVDRYKVFLHMKDSRCVGACLTERIWESKPVQKSATQANGVGAERPSNNSS SITVGDETHPAIVGISRIWTSGSSRRKGIAMDLLDCVVVNFIYGMEIPREQIAFSQPT ESGNRLIQSFFGPDEPWHVYNES ACHE_70491S MADTTQVAGKRKRARTQSCPPPELPQLVAEQHVPIPPHDKDTKR LIVVLSNASLETYRAASGRSGPGRDEKYSLLNSDEHIGVMRKMNRDISEARPDITHQC LLTLLDSPVNKAGRLQIYIHTAKGVLIEVNPSVRIPRTFKRFAGLMVQLLHRLSIRST NSQEKLLKVIKNPITDHLPPNCRKVTLSFEAPVVRTTDYVESLGPNESICIFVGAMAK GHDDFADSFKDDTISISNYSLSASVACSKFCHAAEEAWDIL ACHE_70492S MPLAHLTLTVRDVRAATSFFLACLQPLGYQFVGRHEDYIGFGQK TNEPADFWITEQKPGLPAGAAHLAFPAPSREAVGKFFMAALKAGGRVHGEPKLRDPES GYYSAAIIDSNGNSVEAVYRPGHSSARSAVSSSIDSTVSITTKSSSRASSRTQTTVPS RSEARSHTSRASTKVERPHPSEKPRDLREPRESRESRDSRDSRDPREFREARESRESR ESRGPRESREPRDMRESREPRESRGSKESTASSNSRRGSSTAQAQAYAAQQRDHQQRE QQQQSDGSSRAAKTLVGSLIGAAAGAALAYAWSGNNSQSPSSEDTASQPTQPTQPAQP TQSGQSAQQPPRERTYQQAPSSRSSSQTAQTLPIRAIEAGGSYFPPKQPDPPRPGLTR SVTSKNPRASTIYEGSEYGGKSEASRTYLDDSGRRASDGGAAFGLPDIANLPLRAIEY PPPTYSKANEPSRAIDDKRSMYSASTARPSNASYQYQYQDDRDRNRDSAYALSHSNSN NNKYNPNSTASRTPRNIPLPDSIASYRPSNASGSGTDTGSYTSARGAPLTEGSLASFR SNSTVPIPESVVNVDVDTDVTPDDSVSQISSNGHRSKAMPRQMPAPAPARSGVSASAL SKRSSRFEEPVRPDDSISQVSCNSRSTARAGGGERRSQAV ACHE_70493A MPSFPDTPLVRPRDDGLQLSYQLPHRVHAAKAYPILAPNGSSII IYGYENGLKVVWRGGRRFSVPKAASPNGDKSKKPKDDAVMIIDSDDENSPEAQKEETV YDFEEEETEVDPARPFEEILRQIDIPLGSKVLDLAVPRVLPEAARSVLDPFPPLLNRS IVVAAVCADFSTRIVTLPLKPPHTVQTDPSTWDIQMLSIAGGVSHQEIPRGVSITFTC QGVENNGNTAERWDLLVATHSAEASGLLLIHRITLSEESSHGQVSYRLSDEDIDSRRR YLPAPAQTIAFNPSGYPSTRHATLLVAFHGCVKIYSCFSTKPSKASRRTGPQNDYEIT ETEGRWLISLFPGFESTPSELPRRKTIIDADWVLGGRAVMVLMADGEWGVWDIEGAGP GTAKGPLQRQSSVQGVTGGSLTTFSVSGRILSPLSNKTDLGETEQRPKFAPLTPATKR VREDTLLKGSVGSSTNPSMCGELSVFQTNSARDALPDESILLRHGSQSAVIPSLLALW RNTVKAAGTFDSSNRCRVSTIQDVHLMGEHFTGIGHLPAASRQTRKTGDRREFDILVT AERRIMILAPQLVEPHYESASRPAEEPITTEVDQLMLRRGELDVNGMDRVLSGMASGN RSLRMGSPIKRARIFT ACHE_70494S MATVRSLGLHVPSALPFLIAENLLPPDPSEDQYSWKTCVHETQN GPVADELVWTKECVVWSRAGIVTRVFRLDLEKEEIRHALITHFAPGKAKKLNANGNYT WGFPVGAQRGPESKLAASGLTLGLRDGELQGTNGSGSTDGLPRALVVVLKSQAHIFFL TGNSHVIPLPFEVDSVFATPRGLLLQRKVQDEDVSSTIYPMAPPNSFVSRPGTASQSQ TKRPSLTVSPLQPSLKPKSKHANLPRVFSLMDPHSEMGLVVTSQSSRWLQSSTSSRHS GLDVLDPADEIVYVSPTNELSDSSQALTKGPLILVVTVNSHTGLYTIWTARYRDEENA TSSSSKKRERRETGGTRSRRRSSHFGMATGATTPVARPSTARESFGPRGDNWNTPGLS HSQYSVDGRPDEEDLASRLGQDLSDLGVPSKNSRRVSSLLARADLATNQDRIAFSDLA TGSQSTTVSHGGLRQSISGASTRGSFGFNPRGSLPPGSASIYSNASSAADGPVDRLLE ELNNDSLYEGFESMDLKGSASGLPEEVLLNKIESFPMKFSGNFQVNARSKSRRLKIST MTPSACGHSQNGLTAVLALCVVDQDAKSMAIVNLRADRIAFPKKDRVISKKTKRNVSP DERTLLIQATGVHHYSNVLDACKIVDGEVSRFAILSVADQGEHEISLQSPWCSPMTVE VPRKLMLYDPYGVSTNTSMNRPRESGLHRLMTDSSVTVTGLGHPSVRGRIDVIDDMER KHSLQIQMEPSNEVVRSIIRVCRFVLRDTEKAGEGILVGWWKVMKWLRARETNENDLE WTAMVIVLFSMAIPFISGHTPRTPVKTRRRRGLPRSSSGSYADLESWEAMLDQESGSA GVVAPWMNNSAWGWIVEQDAEEEDHSSAPLTRGRRTSTMDQLNSSRSTFRKNSYLLRC AALTREFLQSPQGTEASGPEGYLPTAESYNENSRRTALCTVFVGLHVLREEQKLSICE TEQSRRTLGLLAPVLAQIGRYLGWKSWAWAEDAYFGCEIASIDRWQFEDAEISTLDVP SEPFAPPSIFAYMENAWRQPSTPFLSLLDLISASDRSSKKGKLWQECFTLTPRMLALN GFLSEVHRCSTSLDKVKLLHRWGLTRSVIETFPEGVSAPLYEAIMQSQIRASTSWNAA LLELIDREDLNMSMNTDNSRPFSTAPQPAVLHEAVRDFHSLGNSALDIDTINSFEVSA EADRFSVTKLIFREDKRFVEAARVLNQSKPPAAECVPEPSWTDSDLLEAQKEVVQLVT LRTLSIPAGRAMLAFSGRLPLLTEKLPIPSFSLQCVMKPSNVTISADRASFSEEKVCW AFFHNGVSTGLAISKASKGIDTSWILFNKPQDLTNRHAGFLLALGLNGHLKSLAKWVA FKYLTPKHTMTSIGLLLGLSASYLGTMDTLITRLLSVHVTRMLPLGAAELNLSPLTQT AGIMGIGLLYCNSQHRRMSEVMLSEVENSEQEDSSSTSNEDLRDEGYRLAAGFALGFI NLGKGKDLRGMRDMHIVERLLAIAVGTKNVDLAHVLDRATAGATIALTIIFMKTNDVF LAQKIDIPDTTVRFDYVRPDLFLLRTLARHLIMWDSIQASEEWIIKSLPKIYRRRYRL TGVRRLKSDDMPFFNIIAGLCFTLGLRYAGTAQTHVRDLLVSYLDQFMRICRLPALNY DGRLTRNSVRNCQDIVALSAAAVMAGTGDLVLFRRLRSLHGRVDPDTPYGSHMAAHMA VGMLFLGGGSYTLGTSDLAIASLLCSFYPIFPTTVLDNKCHLQAFRHLWVLATEPRCL IPRDIDTRRPISIPITVSTKHNGRRKLTAPCLLPDLSEVSKVEVRSTDYWPLVLDFGP NQGLREKFRQGDQSVYLRRKTTYNPTGSSVFVSTFTGLSDIQDKPSAANPASIVTLAN NQMTLGPLSLSIDSQTGKPSSPSAKSLWDWIFHLSSLRGLDIRERSLVMPSSFPTMSG TRKGTLSSNAPWLRMSAVDTKLVLEHNVSNMVKAAMSARGAGPDEVRDRLWQLRLLFG WVDAQVEKAIVDVDDDEDDTEVEGEDEDRDSQMVGSEITATSRKGKGGEGNGLWLRKE FIEEVRWKIWGVQVGEDGHV ACHE_70495A MVFPGERFVINLDDDNELAPNIPSFDDTASPSSLIGEIQERAPT AAAPPAPKPPTGTGFPEHKKRFQRSAFKQRRADKQQQQQEQQGQGLATPVSDDKKAID EENRRQLASMSDAQIQQEREELMSSIDPGLLERFLRRAKIDDPEPQPKQKPESSTKPT KSVSFEVPEPEPQTKQPSPPKPEPQLSQQTQPPINEDLPPPTPPSDLHPASELPPSFH FPTPPSSSSSATLPPLDPSSPSFLSDLQTHYFPNTPHDPSSLTWLQPEPSAEEAGIDT SRTSPYDPESTAEAIHPAAIRFSLTGTILAPSTSLSLPTTLGLHHHGNDPQAAGYTIP ELTILGRSSFPAQRCVAWQVLGRILYRLGRGEFGERGSPLVEGLWEVVEKEGAVSIML AEADGLNTNTNEGDDRKVPLKGAGIGRHASATAWAVEGVWLWQKSGGGDRGVLKEGTI RPR ACHE_70496S MSTESDHNPVAQEQDATIDTETRAEDALKGRRVSAGTRRSRRKK DDDNTTEPEDKKKETKPRNNRRKSNPASTTNNTTSSRKKPKLENNDAATLPPPYQPAP QQHQQHPQQSQQQQPPPPQPPAFHHSYPNNHHHAPSQIPSSYPLSHSLPPSRPQSQPP PPPPSVPQRTSGQNYDPIRSALDDTASPAPTPSATSPPTRSAFRASASPAIASIIDPP STTTTSNPQPIYSPVPRRASPHVPSNLSSPAHPKAPTPSHPSLAPSPLPSSSSPSHPH GSLLPPHHSSLPPALQPLQSSHSYAHQSPYPPAQQQQQPRYEEQKPPQPESARPVAES QEHAQAPVAMDVDQPEAAVSQPTRTTKKDKEKEKDNQKEKKETSSKPPSPKFGRAKDK DAPSKLPQGSGLISNALFGGGDNTDSSDAPTTPNIILHVPLNGTTNRIINFARLAEEQ YGFAALHPRLAAHKEQLARVAAAGAMLERNEKSAKGLLSAGESADEDLSLDMERDSDL DGDVTMTGAANMNGAAAADGAEGKKKRRKKIEDYDRDDPFVDDSEMAWQEHAAASKDG YFVYSGPLVPEGEKVQVERADGTIKRGRGRGRGGRSRAAPATSHQVPLAAAVPISQET GLPLRGPGSRGGSTTRRPRVSKAARQQMEAEKEKQGNQGNHSSPSSNGRGGGAAGRGG GPGSRGGKTPSAANASNGGSNTNTNDLTPQPNVGPAPPGPSPLSGPELVMK ACHE_70497S MVVITGLPDYDTERQSSVAPGIPPFAGRLGGQQEYPDRNDPRNT KLLKEIPDAAPRMHLSEVFDLRGFVSLDLWKFALLECVGSMMCTFMTAWVTTHAPSKT ESPKTEAGIYHTLTFFSPLFGGITNVLLIPLLIYTFSPSSGGHISPTITLATFFARMI SFPRMVLYLGGQTFGGALAGFAMRTAYGSREFTVGGCYVDTELVPVKDALVIEFMSCL VLVYVAFGVALDPRQASLFGHAASPWLVGLVLGIVSWGSAFTREGYIGASVNPARCFG VYVASSFPGYHWIHWVGPLAASIGHGLIYYLDPLWVDPRPKSA ACHE_70498S MIRTNLSIAAEILRREIKQMIPEAAGPLPTIPENQRQQTHVDVD VDEDTYGNKNYTGSLLEIKCTQLSKAMGVGEKDWGYRVTHFPGNTTIKNDRKEMYLVP LSKGFILTPGGLLSEGTYTYVTHDMVISEGTAVFIVSE ACHE_70499A MELSSSFAALNINSPHAEQFFHHFVKEMPDILTLPTEENESLVP VIVAIARRDKMISKALLCVGASHLINNTPAAETRSVTEERLKLLQEAEKELSSRVAAM KTADPEALFAGYLLLYLYELSEGTGNGAWRVRLDGARSIIFNMLGAYKEPSREDLEDL GINQSLVQFFIYHDTLASVTLQQPPRKILISKKPSSNQSEHVFGVNNGLLNFIARVSA LQSEARAAGAISSPIIAQAFSIWKDIDKWRPPAYSSDDEESFDYRNMCEAYVAAIFIW LFVIVYPDNLADDKVQVMVRKGLENLDAIDEPWLMSFGLFPAFLIAVACVHAQDRELL EDQLDRIEEARRFRNIQVCRNLIRSSWACYDAGERKSWDWICLMKAQGLSMSVT ACHE_70500A MRLDINEEENLARMRRGELYYAFTPQLVAARKRCARVVSRFNSA GELSRREVAEYWKEITNDDKPLPPPASATATDTDEDATLHAYPWIERPINIDYGTNIT VGSGVFINFNSTFVDTCQVSIGSRTLVGPNVSFFSGTHPLDPDLRNGTNGPEMGACVT VGEDCWIAGGVIILPGVVIGDGCTIGAGSVVTKDVPAYHVAAGNPARILRKVERKYKA EQENRQIESQQQ ACHE_70501S MSRATYNHARLDRNIIPKTSRPRYVLKAPERQVAFRQEHIADEK TTLILKPHGDAQSENAYKITHEEGAPAFTATGRKHSDRSCREVRDASGLPLFDIYKKP LSSPFSWVVTLPGSKPSADAAIAKATPQWSWGSINLSLEFRNVAAVETKDEEDKKLSL MVKKHGMALSFFDVVDGDRRIADIRESVNHNEKLALRRGSRGGSHRPALDLIVAPGMD ISLVAAIAIIVSDWFFGSD ACHE_70502S MTPKPLKVIIAGGSIAGLSLALMLERNGIDFVVLEAYPTIAPQA GASIGVLPNGLRILDQLGCCNEVVDMAEYPVEKVLFRDSAGQTFWSLDDFGREMVDCH GYPVVFLDRRMLIQILYDKIEDKSKVLTSEKVATVKNTASHVTVTTQAGNSYTGDIVV GADGIHSTVRKQMWQEAQQTDPTCIDPSEEKALPATYACVFGISKGVPGIEKGTLSSV FNEHTSYLIPSGPGDRTYWFLVRNLGKTMYGSDIPRFTKREEEALVKEHWDDYVTPTV RFSDIYEHKISSVYTSLPEYVYKKWYFQRIMTIGDASHKFEPITGQGGNNAIETAASL TNHLISALNANASQPLSTEEISSVFGKVQQQRHDRVWDLVKASHARQRFECMETPTLK FVAKYVFPLIPKQAIRDQWIQTYCPAVSLNMLPQPNRPRKIPYHDELLRAPVSRGPLQ FMVYVAYISLAWLAFQLLFVAMEGNGTRDLIRDVVIRPMMTEKEVPLRQDYTGFQGID RIMQVLVAMFFSTVTSPSPQQIVQILYFLTAVLPLITIFTVEGFRLKNKWTLLALYVP ITVSLLTAEN ACHE_70503A MFQAQALSTPKAPSNMRGRTCQFPTSISEQQTQQRRKQIREAQR AYRSRQQSHLESLKTRVAQLEDVVAQVGQVMGIFHDAVVKQDVLLPQSKLMQTVILLQ EEVGEHLKRAEKATSPAVRGCEFQGKINRVLSEACQFTHGPANESPPELPLTSDFWRL FFNSTNSILPPIQTTTSSHDDNATYPPLTPANQTIPYTTTPFTQKLYHACAKSGHRYL TNPTVTDAHMWPEFGLMLEHLPRQEVISYFERVLSTSPCNPVLDDRFPFISLGNAGVR FSSGMHNLLPFQGTNGVRVIPSEEEWFDVKDVEKYLFEKGIQLVGCYDPASYTGESSL IVPGQVLMSNMIASLDEATLIDGLSHLCICMGCVAGFRRRDVESFIWQNVQWTLD ACHE_70504A MADSFKPRTLKRKNVKGLALNAAPKPENPPDGDSQAPGAGGNSE NRTDTLEIGLEFRLDLRSEDLVVLKELGAGNGGTVSKVMHASTKVVMARKIIRVDAKE NVRKQILRELQVGHDCNSPSIVTFYGAFQNEARDIVLCMEYMDCGSLDRISKDFGPVR VDVLGKITESVLAGLVYLYETHRIMHRDIKPSNILVNSRGNIKLCDFGVATETVNSIA DTFVGTSTYMAPERIQGGAYTVRSDVWSVGLTVMELAVGRFPFDSTDTAAGNRASAGP MGILDLLQQIVHEPAPKLPKSDAFPPILHEFVAKCLMKKSDERPTPRELYDRDAFLQA AKRTPVNLEEWAVSMMERHNRKSYLGPAAPRSLKDKEKEKEKEKEKEKEKEKEKEKEK ENEKKKEEEKEKKESSRPAPPPKPVSSQLSRTPPSDEIPLKINDTSSRYNYAPSSSSS HSSRSNRSPLDHAPLVTRDDDPRVSRPTYSSHGSPTATLDVPARPQMASRSASSSNMR SQAALHNTTLPIRAAPAPNGSSTSAQSSSGGPWRRMRDMMGAAHGS ACHE_70505S MSANKFIEGEAMLDDDEENEQEPPDDYDEEVREGPAKPPRGYAN DSSEEDEEEDDEEAARAVQEGFIVDEDEEIEDRAQRRQEKKKRRREEREREEEHLDEE DLELIGELNPAIQPPVASESKFKRLKRGHKERDRPRPSRGIDDMFNSDEEEEAGEYAR PRRPMHDEMDDFIEEDVFSDDEQIQREREDDLEIARPKARVTGLGPSDMAGLDENALE DMRAAFGDGNEYAFALDLEDQEDEQEEHEERHLDLKDVFEPSQLAEKMLTEEDNHIRL ADEPERHQLSRKPYTHVVLTDDQLREEAGWISNLMLLKKRIEPDLHEPFQRSVAKVLE LLVTDDWEVPFIFQHRKDYMIHAAKVPTDGAMDGDGQYKIQAEKLLNMTDLWDIFDYD LKFRALVDKRNTIQKAYDNIQSLFDVKDHVIEEMLPIAVTMEELQDIQDYIHFQYATQ LRDMNLANGDANGDVQRRKASGNSFFERIRNSRAYEFVRAFGITADAFAQNAMKQGHR QYTEDPAVRPDEMADGLLDSDFSNPSHVVKAAKAMFAEELALSPKVRKVIRQAYYMNG AVDCFRTEKGLRRIDEHHPYYEFKYLRNQQLIDIARRPELYLRMLKAEEEGLVEVKVR FENFEHFRQRLYPDIESDNYSELADAWNRTRRDVLDMALGKLERLINRSVKENIRQEC ENHVAKECREAFSQRLDQAPYKPKGMVLGTVPRVLALSTGTGIVGREPIHWAYIEEDG RVLENGKFSDLSIGDRDRAIPDGKDVEGFVDVVDRRRPDVIAVSGMSPEARKLYKLLA EVIDRKDLRGAPYTDDRDEEVSDRLEVVIVNDEVARLYQHSERAKRDHPGFAPLTHYC VALAKYLQSPLKEYASLGRDVVSIQFKPGQQLVAQELLTKQLETALVDMVNLVGVDLN EAVSDTATANLLPYVCGLGPRKAAHLLKIVNMNGGLVNNRVELLGVNAQYPAMGIKVW NNCASFLYIDYENADPDADPLDNTRVHPEDYDIARKMAADALELDEEDIKAETDENGP GAIVRKLFREEAQDRVNDLILEEYAEQLERNLNQRKRATLETIRAELQQPYEELRKPY VFLETDEIFTMFTGETADTLYEGMVVPISIKRITDDHIDGKLDSGIDALVPESEMTDR YDIPVRALYSMHQTVPAKILFLNRKHFTCNVSLREEQVSRPSGKPNDQINGEWDHSQE HKDRESLEEKTQDGGRAVRVIKHPMFRPFNSTQAVEYLGSQSRGDVVIRPSSKGPDHL AVTWKVSDGVYQHIDVLELDKENEFSVGRTLKVGGRYTYSDLDDLIFNHVKAMSKKVD EMMLNEKFQEGSKTETDTWLETYTKANPRRSAYAFCIDPKHPGYFYLCFKAGEKARLH SWPVKVIPQGYELQRNPYPDMRALCNGFKLLFTNMQAGTRR ACHE_70506S MAGMETLEVHSKSYLVRWVNVKSNHTISWSIQPHKKSLNFGIFK HPGHSALPGSDSHSTDSNENLPGSAVTGGRHSNNNLSVIEKLTGIGLKQIKWVGKCEA DKIAQGTYDVPQNEGGNYALVFDNTFSKQLSKTVTLVLLTYPTAVPPKSGLAPPASHA NGQPFHDGASTESLRPISRRRGNSNARPPTAQNGEAAAPSTVHRGLLHKRRRKRHQGW ARRFFALDFTSSTLSYYHDPNSATLRGSIPLHLAAVACNEKTREISVDSGAEIWHLRA SNDQEFVEWKRALEKASHRTPPEEEKHPQGEVLLRVPSQRAAPPATSAAEDREWVQVE TLVGRMSASRDMVRKLAKDTDPKYQSTASTLAPVPSERPRGRSSSPSPSQSAAELNNG DVGAQNRPFWKRKTSGSSANPSVRRERKPSSLISHPEPMEEVHDNLMGLLRDMDSVLS EFSSLITQSEQRRHPPTSAVSRRSMESDVSQEFFDAEAGDGNDSPLLTMHGDSDDEEV DNIPAAAAQQAEEEVVADDAPSDSEEEETPEPSNDEERDRLSSLFPAKPKSLTPLPLD KVSRRDNIPAPKVMPPSLIGFLRKNVGKDLSQISMPVSANEPLSLLQRAAEVLEYSSL LDKATSVTDPVERLAYVTAFALASLSSNRVKERAIRKPFNPMLGETYELIREDLGFRF VAEKVSHRPVQLAYHADGKDWSLTQSPMPTQKFWGKSAEIVTEGKIRLTLHATGEHYS WSSATSFLRNIIAGEKYVEPVGEMSVLNETSGHKAISTFKAGGVFSGRSEEVSTKILD PNGTETPLGLSGTWTQSLTLTKNSSGTGTIWSAGQLVPNAPKHYGMTAFAAALNEVTQ IEKNTLPPTDSRLRPDQRALEDNDLDKAEDVKVKLEEGQRARRREMEATGENWTPRWF TQVASDGEVVWRLRNGKEGYWEEREKGEWNGVVPVFG ACHE_70507A MRSKQQLSAAPENTKKMSRKAAANEYSAGSKATSRVASPNDLDS LLDFTDDEVNNENWEQEFSDVVTNIVDRKRSSVQGREEAYAAFGRLSKFHYVHDELYG RVGELTAALCKSVKQESSVRETTLALRAVELLALSANDNTVYENVEPVLTRAIRDSSS NLVKSSAIRCLGSCAVFAGAGEDGMLDQMNFFLDIVASYGESISASHDTDCIDAALTE WGHLATHIWDLSAESEEAIEIFAEQLGGNDTGVQIAAGENIALLYEKSFSPRANFGED EEEDDENDEAEEDKKNNQDEDEEALLNYEGPRLVQRYEPYHDTPEILNQLKSLATAHS KRISKKDKKSLHTNFISIYTSVEDARRGPMYNTAINYNTNRHFGSKTRVKIGRDGVVA VDRWWKWIRLNKLRRLLLGGFYVHFNQGNQTVLDSLPLAVLRLDSETGTGQWKTDKGR KPRDTRRFAIHHDEDDGE ACHE_70508A MPITIHPPVVEDVGTPHVDYDSMSVDSDGGVEFEDSRPNKRPSI GVLKVGTGIVTPGEVVTDDPQWMRGHGTYTNPLSTSIIATVAGNVQKTNKLLSVTPLR ARYTPEIGDLVVGRIVEVQSRRWKVDVAAPLLANLPLSAINLPGGILRRRTSADELQI RTYFSEGDLVVAEVQTVHQDGSASLHTRSLKYGKLRNGFFLAVTGAGGSGASNSTVKG GNGTGNTSGGTVVRSRRQVWTIESANGGGQVDVILGVNGYIWISKHAEGTDAASSATE NVSITRMEEMVSSSIYSSQNDDIAPQTRREIARLAQCIRVLVQGGVPVDEETVMTAYE ACLNVDLEMGDDEDDDEGTQEGREYLEGRKARSILEMVTSRQ ACHE_70509A MLHSIQAHRAIRPIPTGTSTALKLFNPSTRTYASPSSKTHTRPS SSAQPDSPATVPSTSSTSTTSPDDINPPPSTRPADINTPDSLPDDAAPVDKVKRYVAL GRAYLSFYKTGLKNVYHNYRASLPLRKELGLPVYLPISPPPKSKPIVSFKKAIEKTGL SRSNFQLIRRAAYDVRRMIPFTLMLIVCGEFTPVIVLALGSTVVPYTCRVPKQFTKDR AQKAARKRAALVAHCVQSTGSVTYNPDTGKELDLLAQYASPEWVDSASSEEVLRACAV FGLVKTHTRPPALVSLVYRTRLKRFAEYLAVDDGLIKRGGGVSAMKGVEVRIAIEERG GVEMVMRETGEGESEEWEGEREQRRWLEGWLERRA ACHE_70510S MFDFLKPSSRNTPLPPLSSSLPTALILLDNQAAFAHPSGPASSR SNPLFEVNLTSLLAAFRVAREMAQSPSQSPSILPLSSKDKDESNSKNDNENNLEVVHI FHTSDNPASPLHPQHPMKLIRPLDFARPLSSDKISGDDGGGAGETVVWKPLNSKPIGP ELEAYLRQRGFRQLIFAGLTTDHCVSTTVRVAANLGLADPGRIIVVADATAAWAKGGI DAETVHNVSIASLEGEFAEIMRTEDVVKALRRMK ACHE_70511A MGSFLNIAILAVLAAMLAGPLYRQVNLLGVLRKPIENNPYIEGH NLIKIEDTMQCEDLHYHAPANKLFTACEDSVLPRFEWFPPMKAFKKPSLATGSIHVID PTTMKSTRLTFEDNFSGPFITHGIDVLEDPERPDSVYIFAVNHAPHPAYRPGRSDREW DWDGPKARSQIELFHHVLGATTVKHVRSIRHPLIKTPNDIYAESPYSFFVTNDHGYRD GVRRAIEDIVPMAKWSSTIHVRLDELQAVDAEAAIDASVALTGLFNNNGFGHGKAADD MLITSAQGGMLYLAQPVRDQDGDTISVKEEIPLDSSVDNPTYFTDVYRTSEADDASGF VLAGLRRAVDMVTHHTDPTAKDGVMVWYTRPNTTASEHRSEREGEHEKNDDEKSSNNG RYETRLLFEDDGTHIRTAATAVLVPIPPQPEQGKKAWLFVTGFFSESMIAVQVDL ACHE_70512A MPAPAPSAASVGHDTLITVKVHYDGTTRRLKMPFKDMKAEVFPQ KLLQLLHVPSDAEVIIERYSDSAASFVQLDSANAAVYKQLSRAARAKLRLVISVTTVN NNPLPSPVSQESSDSSTEQETPARNDYLDTVLSHPLPEVPSEGTDATPMGDGAAKGTD NLSVEPSTTDTVAAPKPQFREFENSDSPVVAHQSPAGLFCIDCNNCGQTVPNEHYHCS ICDGGDYDLCLQCVASGISCPAEDHWLIKRCVKEGTVTNSTTEKIAPQNSKPKEEVKP EVAPQDAPEPIPEQSSSETLSPVPEVSAPTIAPVATPAPVPAPVQCDERICNACLKEY EESKMVTCVDCEDYDLCVNCLLENAHGHHPGHSFSLIQDRQFSLRSSVMSRCYPGRNQ YHAAVCDGCDKQITGVRHKCLGCPDWDYCSDCVPSAPLTHPGHRFAPIYGALSEPRVS AEVHSGIFCDGPLCKNQASTCIVGPRYKCTVCHDTDFCAKCEALPTNTHNHTHPLIKF KTPVRHVSVSTVDEGILSGQGNTLGDRVVQKSVSTQATAPSQPEITPTKDSEEKAEEK SDAAKEVPAPVIEQVTAPTVDATSGYQAFFVNDVVADGTVMAPNKTFRQTWKLYNPGP LAWPVGTDVRFVGGDTMFNVDTVHPSSLRSVASAMESNKLTAPLEPEQTADFTVLLRS PSREGSAISYWRLKLPNGTPFGHRLWCDIRVQAGASELEPQEVLPENEKQSEEPVVEE KQPTTVETDETEQTGSRMIFPTLDKESPSIHEETAALRAAPSTAPSESNQTNELDYLT ITDTMSMDDDEEVDGFLTDEEYDVLDASDQEYLEAKQSQ ACHE_70513A MAPHRRNIGASRRRRRDDEGEEEGSDGGLDDDSLSEGSDMSHQD DDADAETSDGSEDDEASTATPQGDQANGIQVNGSGTQSGQRSERRNATSPGEQGNNPT VSDTEAMLNGLQLSGDSSQVAEVHFDNTKEEQPGQQEGGTSSGPLTDPKRETFAEKKR RENERYVKERDKNPAFVPTRGSFFLHDKRSTEPGTNGSRPPNKSKSRPYGLIVDGNVQ RSQPKSDASEGLWTHDLHDTVAGDDPPASKPTSTAPNATSVPANYPVPTAPRSSPPNR SFSSTVLIGNVPVVVFLPGMARPTQARPVPKKQHTRLPQHRPPLRRDKPVRISLPGQN PRYIFPSTERSFIFIPRALRPNQQAFRGRGRGGFFGGRRSSIYANSAYSPSVALSRRS SLGRAPEGYHSPAASILSRATMVTTDNGKPVVRLPPPRPPGGFPQTTSVPGAIPPTVP PSMPPQPQHHIYRESHSDPIPMHQPRPQKTVSVADIETPETYTFNPPQPQQEQPFHHQ VPMPVNGAAYPPDAPPPTHTPFSHIPERAIHAQPFQPYDYSQPQAFYPPGYGPQGVYY PVPGAEYPPYSTAMGPGASTAPYPPPGQQVPYMMPAPPTSTTEQPSSQSQTVAHEADG MVYYYDAAQMYSNPNPYAVPAPPGPGGVVGMGGMMTPPGTAYYYPQPPVYYPSQ ACHE_70514A MVNLGEGNEKKRKLSGDELEIDVSAPEPPSKKALRKAKKQGIDL TAKAIEEQQKKEQAEKAAKTRSEYGIWIGNLAFSINKDDLRKFFTSNCSFADTTITRV HMPKGADKFNKAQNKGFAYVDFAHPNALKEAMGLNEQMLMGRRVLIKNSKSFEGRPDK PQDDGQGSKATGASGHAPSRRIFVGNLGFDVTKEALEEHFGQCGTLAHLHMATFQDTG KCKGYAWVEFENIAAAEAAVKGYVMVNEEEEEEESDSDSDSDAENRRSKPKKPKQRRV WVNQLMGRRMRTEFAEDPTTRYKKRFGKEGEGKARGDQSEAVEEDTIGGQSAPALPKK AKKPEYSRYDEGTVQKLSGAIVESQGKKVTFD ACHE_70515S MSGIHESQPVPGSLVEAPAKQSYRSFKKKYAKLKIKFELGIKES EELVREEMRIEELSKRIQAQNDQLLEVLLEFNDNLHLSPSLRFGLDAPDDTPSLPTPE REFPAFDDSESATVALRSAKADLEAGRIMPDDYRDLEDAVKRGRAFAPEKQYTSLLKV PHTASQAEEEYNAGNGNTSESLGYFNPDYENEYYLGIDARLGDGSAALQLERIPKKPA PSDRDSEVAVRNPVSVYSWLRREQPSIFRDDDNASEKSGLRPSNQRSSKKAQQARKEE DDEDSASIDTVPASNSKNKRKRDDDTGYRPKGGSSRSRKKKDDGGASSRRASKRASGV GA ACHE_70516A MTACVYTLVPLQSQAIQSRAMSGFDDFYHPQYYSLPASNRDHDH PQTVQDPDGDPVVTSSLMVYSQSGAYDFGHYQNVYDQSQEPSVFRDGIPSTSSSINTG HPTHANQMQTQSQQSSHHVGYPAQGSNLEGRLSNVNISYDPWSGAETSMLMNPHASSA FPYDFANAYSMPPQLTSQHMGLNPQSTYSTLQTQTPAQNTYWGMDGYRAPQQDEPVSQ GQYQNRQAYPQTYAPQRTLQSRAIQPKNQPFQDSFPSKVKSESHFEASPYTNIYSTSG FDILGVLARVISRPDPKINIGAVDLSCAFVLCDMTQADHPIVYMSEAFGRLTGYSEEE IIGRNCRFLQGPDGNVEPGAQRKFADSNTVYRMRTTIEERNETQVSVINYRKGGQPFM NLVTMIPIRWDTNEYRFYVGFQVDLVEKPDAVTKKNSNGTYMINYQRSQLPNYVVPQP DIRQSHPDLVVQFDHDQVSAILRSISASRPEYKHYLDRILVENADDVIHVLSYDGVFL YLSPSCQKILEYESVELVNKTLSSICHPSDIGTVTRDLRTSITTAPVSVVYRIRKKHS GYAWFESHGAWHVEQGRGRTFLVLVGRERLVYHLGQVARLGSEALGETDVWAKISASG IILYISTKARPVLGRTQDDLVGTSFKDLLGAESHSEVQQALQNSRNGQRTMFNHQVRH KKGHMVQAQTVLFPGDTKEGTKPSFLVAQIRVLKSSQSSSEEPMPTETTTLIPARNRP RSSTDQQQNLVNASSANHLPPDNQFTSPTEQITIFPELIPTRGSSWQFELRELEKRNR GLSDELQRLLTRRKKRKRKQTSMPVGKSCVICHTKNTPEWRRGPSGNRDLCNSCGLRW AKQVRSAAQLERSESG ACHE_70517S MAEAPEKISITICGDGGCGKSSMTLRLVRSQWVHEYDPTIEDSY SVTRTIDGLPYFLCITDTAGQEEYRGLWAASNLKSDAFLLVYDITNELSLSALDYFME MIHMEADQREEDNYRLRKELGASAQSVDVGMPPPIIMLAGNKCDLKENRVIRSKEGLE YARKHGCGFMETSAREMVNIEETFAREYTA ACHE_70518S MTARQSTPTSDHSHSDNVRKRVCKACDRCRLKKSKCDGASPCGR CRADNAICVFGERKKAHDKVYPKGYVEMLEQQQTWLVHGLQEMYRRASEGEGWPGEPL RCEANGHPLTHDLLTRLGALDHTKGERFEENTEIMQQELWRRNAMQRQDSSDASSESA AQSPVASSRFPSDVFGAATAAPPQQQLPPTPPSFSPSSSRTAQPTPVIKQEPQQQPQH QQMQSSMAPNNPAYAAQMSMQGVVNPIALQGPQPWPSNNGFGTFDEMDLLGSADYTNM SFDDPMSSPMFNRQIPISCIGLDNTKNDYEDFNQFLNPNPTEITSI ACHE_70519A MAPVPVHQQGRERRWIMGYTSKRRATVDAVKMDQVTGPNIFTPL RGLLKVLKRSSGLRNTVDMRDPEDPSQTYRTSYPVTALDDPHQSMPVDIKAAIREGLR ENFPALADRPLARTQICWYVVCTSPIILAFKPRVLTRRKFEQTTTSDFLICPRPRFSP RHRPFPAQLEIPPSIWRGCHRIYDRHATSARTEVGSKKGDIGPY ACHE_70520S MSQEAAFSFLPLGAIIQEFRIADQNIVLNFNTQEQYVKYNTPHF GATIGRVANRIEGAVIHNLNGRDYSLPMNNGHNSIHGGEKGWGQRVFDGPHTVKKDGK DALLFKYLSRDGEEGYPGTVELRVWYTAGKEDVNEANEKTVLTAEYEIEFVGDECEET VVNLTNHSYFNIAGTPTVEGTQAQLATNDYLPLDAAGIPTGEIAKFRKDLTSPFGLGP SVPHIDDVFVMESDPSKIALDTRTQPLKRLAQFHHPTTKLHLEVHSTEPAFQLYTGKY IDTPEVEGTPARGEGAGFCVEPSRFVNAVNEPGWRSMVVLKRGQVYGCKNVYKAWKA ACHE_70521S MTSASPYGDLRHLLPNNYKALITAWLEEDTPNFDYGGFVVGESE GEARLLGKSEGVVAGVPFFDEVFSQLGCTVEWHIKEGLSLNPNPTHHCATVRGPIRKI LLGERVALNILARCSGIASKSASLLAALRAHGWAGTLAGTRKTTPGFRVIEKYGILVG GADPHRHDLSHMVMLKDNHVWACANNSAAADGGNRAVGEESIAVAIPRAVQAAKSVGG FATKVEVEVRNLEEANAAIEAGADVIMLDNFTADGVKVAAKQLKEEWAAKGKRNAFLV EVSGGLTEANAASFACEDVDILSTSSIHQGTPTVDFSLKVSLR ACHE_70522A MVVDDHHYLRGDQLPTEDNNKNNNNHSDDGNENHHDTSFMMDEN NDMAEKDVENHTQDEQGTERREEHEQERQGQGQGTDPNPSITGLEDQNDHDDSFLPPP LPDRDDSSLLLLSSEDDGDHERGENETFLEEREMRRKLMDMESSFLPESSTMDMNVAT NEDAGADDTYLVGALEKYANAPPPNPTQPEGQSQLSIGNETSHDLTSIQEDDTPAPQT PRQSAGEGNTTIDMETTTPAGHPPERQHEDEATILENPTSSPAAQAAARNENLNLSAS VSENTGLGQAYQEGKNPSADKSATPQSRRSSQQLRTSSQSGKSRIFNDSSDGEMAPRA TVRRGNKPKYLNSRQSAQRLSYSSIATTNTEETHSDATLGADYALQSGGAAPESANDA QPGQHGNLARSVSLGSVASGISGYSDENPLDKSSKNPAGASDSGLHTLSEEEGYTSQS RPSSRPAPGSPQQETSTEDTEPMTPKAKSSEHSFPTDTAITERIKDIQVPSAFSRQFR EELGHRALSPERRTGATSTPAFGRSGRSMTLKEQSSTIDRLSKENFDLKMRIHFLNEA LNKRSEEGIKEMISENVELKSDKLKLQKDNQGLKRKVRDLEKQIKDQQSDKESMVNHD PEGSDDEHRDPMQNEEILFLQERMESYELEIERLRSESIARESEKRRLAEMVKSLSNG RPVDSDVGSREERDMWKDMLDAETAAREQAEEENKVLRDETLRLRSEMEFALGSTRSG QRDPTVAGVSYYSSTTEREFNNRKSSGGTLVIELELLKQENAELRKEVSAQTSMLTSR NREKERLYQEIEELKLGQRRDGSRSIAGDSIFDRSASRAHGRSSSRASEMAPEDEADR EELELRNGQLRDQVSTLKLENQTVHDQLEECAQELEALDKAYQADVEQAEEEIQSLQQ ERDQALQMAEERDAAFQDLRAEAQEELDALGGELEQKVEECQRLNEEVRNQDESLQVL QAEMRSAGEGIIRLEEDAQNNLERYKAVQQELEDSNREMEQLENNLYEANTKVQRLTV QIESSQNEIAFLREEQDGDKIKIGDLESELKTYEINLGSERDKTRELEARLAEERHQR EVVGGKEKQEVQRIVNELNREASTAKDELRRLRKALSAQEVETATWRERLMDLENNLR ETLGDLTGSRSSLITNIVKLQKELESTALELESTRSKLDEKESLLRNRDALLESHGLE SRKLSELLDRERHARRADKQSFEQAIKSHHQTSRSITQSNSRITELEQARSKDHKRFT GLEQQFKDQLSERNAMLLTIWKRLSAMCGPDWAHSNSLINGNLPSQEVIGNILFWPGF SRNLMLAVKTMEAVIGGFKNRIKGVERDLAKQYQNLEHTFSLRVKKLDRLEEGIMNLR AQQHSKNQSGMSPEIAKLRGENRLLKAELNLIQSHSRSRGPVAGSSAQPTSPTHESSN GNNVDPDVQRGLVRSSTTTGIPQPSHFSETTLAEQQGALVHGYRARHGADAGNSEKWI QRLRELEKRLKAEREARLLDRGGARKRLEERDAENQRLRAQIERQQRARRSIAAADEQ YAGRIQSGDQQDDDHSSDEGTGFYVDIEV ACHE_70523A MATPLAAVLSTLNLPLLALFYLPPQLRQERDWTYRQALMNKLLK SFLRNYTAVHVKQPLSLKPRLEGDRFAVIEPASPELYTGVAEDKEIVPETIGGTWYPV PYQVNESPVKDQHVALHLHGGSYVLGDGRTASCQSLVKTLLSNTPAKYVFSLQYRLAC NPNARFPAQLQDLITAYSYLLHTLHIPPSRIVISGDSSGAHLTIALLRYIVDHPSILP APKCSWLFSPWCDIPEATDTEIWRKSPNYQTEYIPPTFPAWGASQFLKDVEITERVER YVAPLWHPFTVPSPVLVVTGEREVLAPEHIKMAQSLQNMTKDNNSRVELFVENKVPHD VLMVGWILGFREEAKQCASRAGKFVGQVQTMPEKDQLDQSTHVPGSPLGEINQH ACHE_70524A MNRTKPENGKAPQRDPSDLSPPRTPPSQMSRYQTIRKSPQGRPS LQDAFPDDTTATDTANSSNESGDERDPHDLSLSPKHAARTSIVDNMLQSLDQFSAGTS VLDDYRLFHSVLESDFYNRDSPASSSHGRYRGHTFSSSLSSETDLAYEEGVSHYGIQT ANGRRSNSLRGTSSVRGYEGLYSRTQPHAGSSSLVYRPRKGSKGSSSSNYDFGPSSSR NRADSGSDAQSASIDYDGRAPFPPFPEDYEPLRYDDLDAAPTPSIPAGPRKYHSPSQS DYQNTLNPQSSMTPIASRRNSIKSPPDQGKKKHRPENIGTATIRRPVDLELEPPPPLG DSLDPPAPSPTISYNKPIFPPPPDPNPPKERPGFFRRVFGSAKNSTPDDASYLSHHDN DFPKVGRQPSKIDVPGPNTSVRSEGRQVVNKKSSFFRRRKKSVVDSVPPPISIPQHLA PKALDLMKPEPSPVSSLRQVMNPYISEGVPAPNRSSREYHGRETSAEDADHAKSASLA SQKKRGSSVPPSSGSRYKYPGAYPPVPSGGLDTSFLANSSGDEASTTKSVDIGVTSPL SPPVSRDTDSESLGSPTQGRLAPPLPQISVPGTTLSPVVEDFPQKTPETPEAANPAKM PTPGTDSVLSETSNYFTAASTPVIQSEEPKPAESSDTKQDDTPKDGEGSHPTIADREQ AQKLFDSQDEVVGNEPAAAWLGDPDRAVIREAYMDLFDWSNMHILAGLRSLCNRLILK GETQQIDRVLDAFSTRWCRCNPHHGFKAADVVHTICYSLLLLNTDLHLADIDQKMTKA QFVRNTLPTIERVAIDAAPGDFENGNKNQVSSSSGADAPSKLVNRLSRADLSGKTSMA DFENGTGPLVNQPFHGTMRAWEQQVETVLREFYSSIQKERLPLHGAQPERDTPQASSN NLLSANQNSSLRRSPSTVSKSGSDIYPRGRSADSRYGTARWSSKPRSRARLYPPSAMG SSRTSLDDQSSLWSPSASSTWSKYSLGKLTSVSVDSFGSDFPRGEYQQSIGFANALSQ AIIREDGASIASTEDPEAAPLLEDETLQLAGAPWAKEGSLKHKHHLDSVDKRAKDRNW NDCFAVIQQGWMRLFSFNPATKSGKQQKAKQRQAGSVVVGGGNWLSNAEEVWKFTLRQ TIASQLPPPGYSKSRPHVWALSLPTGAVHLFQAGTPEIVREFVSTANYWSARLSKQPL VGGISNIEYGWSDAVINSALIHADSNNSNSPNHNTNGKSTPTGTRTSIQSSIRSSMDQ QGVRPKLPADRVNISDWTPPQQSMAASNLPEEDQLKALQAYVKNTEEEFQRHNERRAA MILAFSPRHPNASKAMANFERKSSYLLREIVKFRTYIDSLHGALEQKKRIYPPGEENK ESNPTDNDDSKAGDGQETPTKVSIETAHPA ACHE_70525S MNPHISLPRQHASSTFGVSAPASRGSSIRMPRFFKRLFKFPQMD FEMAIWEMTSLLIAPKKVFKSIYYHKQTKNTWHRPDPSFTHLLSFFLLLTALAWGLAY TPSFGSIFRLSFFFIFFHFIGSSLLISTVAFFAIGRLFGPDGAAASLTGFRGSRGRRR GAAQGLFTQPGEKDQLEFGYCFDVSSRAFFPLYLHLYVVQFLLLPLLTRSGNFLAAFL GNTLYLSAFTYYTYITFLGYNALPFLHNTELLLLPILVFAVLWLVSLIAGWGVVLQGH SVEGLFWGV ACHE_70526A MTTQDRSFAQGRTDRNADELNHETGGKHFSRDAQPTDKDGNPVS LWRETQDEIPSSDEEEQEESGSGSGSDEESSEEEGEDKAGPSTSAAANMTREERRAAA KAKKQAAITKRKQMALQPGDLPPSDSEDENDVKGKNGSESEGKGEGGELPANPNHTAS SRKQLEKTDEESKPKKDLSQLSRREREALEAQQERERYMKLHAEGKTEEARSDLARLA LVREQREAERARKQAEKEEKEALAKEKAAAREALLAKKNTNKKGGGKKK ACHE_70527A MASSSQENTPNSCQNANDEKPSTDDQYVDAIDSPRDEESAIDYN PNNPDITESKDLTKTRTNGSHIGTLTARTLSLVRTRESGKDIGPPPDGGFQAWLQVGL AHMVIFNTWGYINSFGVFQTYYVEMLGHPPSDISWVGSIQIFLLFFVGTFAGRATDAG YFKLTLAVGAVLELFSIFMTSLCTKYWQLFLAQGLGQGLGCGLMFCPTVALMPTYFTK NRAIAIGISASGSATGGLVFPAVVMRLLPRVGYGWTMRTLGFISLATLSPSVFFLRQR LPPRKSGPLVEWGAFKELPYLLFAIGMFLNFWGLYVGFFYIGSFGRDIIGVSQTVSID LLLVMNGVGLLGRLIPNLMADKYTGPLNMLIPFSLATGVVAYGWSGVKSLSGLYAFAV FYGLSAAGIQSLFPATLSTLTTDLKKTGVRMGMVLSVVGVAALIGSPIAGALVQEGDG EYLYAQMFMGSAIVAGSMTLVTARIAKLGWTWNRV ACHE_70528A MKPLLWSLFLSVATALPKFPREHLGVEPQLLTDPTVLANTTVDY IIAGGGLTGLTIAARLTEDPNIKVLVIESGYFESNRGPIIEDLNRYGEIFGTEVDHAF ETVQLAVNNRTEIIRSGNGLGGSTLINGGTWTRPHKVQVDSWETVFGNQGWNWDDLLP YMLKIEKARPPNQRQIEAGHYFNPQCHGFNGSVHAGPRDTGEPYSPIMRALMDTVSAE GVPVRKDLCCGDPHGVSMFLNTLYPSQIRADAAREYLVPNYYRPNFQVLTGQRVGKVL LDKTVPGSPKAIGVEFGTHRTRKYEAYARREVLLAAGSTISPTILEYSGIGMKSVLDS VGIEQVVELPVGVNLQDQTTVHVESRITPAGAGQGQAAYFATFNETFGDFAPQAHELL NTKLDQWAEEVVARGGFNNATALRIQYENYRNWLVNDNVAFSELFLDTAGKISFDVWD LIPFTRGYVHIADKDPYLRRLYNNPQYLLNELDVLGEAAASKLARELSSKGAMAQYYA GETVPGFDHLPADASLRDWAKYVKDRFRPNYHAVSTCAMMSKELGGVVDSAARVYDVE RLRVVDGSIPPTQVSSHVMTVFYGMAEKIAEAILQDYHARK ACHE_70529S MKSSWFTAALCAFPAMAMASRLYAASYAGIVSTLSLAPASNGTG NLSVIAESTGCGPSPSWLMLDGHHDILYCLDEGLNVPNGSIASFHTNANGSLTPIKHI ETISGPVMSVLYSASGVPGRKFIAVAHYDGSAVTTYSVDPITGDFNRFQTFNFHMGSP GPNAERQDAPHPHGAYLDPTGRFVLVPDLGADFVRIFKINQHTGQLKEVSPLVTKPGL GPRHLAFWTPKNASRAQVANGEVEVYCYLVSELMNSLTGYKVAYSESGSMEFTSVSEE STFGGQKPGPDGSKASEIAISPTNNHVIISNRLDSTFGPKNDSFAIFSIANASGTAFR KPSFLSLEPAYGINPRQFEISPGKQQHLVAVALQDGEEVVVTTWDEKEGRTGALYAKK AMKGQIPAVVWDH ACHE_70530A MSEHETPRVPDGQPNPVMVGPGMKTLGDDAYTKASTVTPSLNVD KKHPVASDAPSYFSDNPGTRTAPPPDGDSDVTTPATPEQAAKNAKSGRELLRRLSLVG GTPQTIPEPDPREQHPGLRLSGRIISAAFCIPYKLYFQSGSDWELKTRRGTSALFDSF AYLGSDSTKWSHTLVGWTGEIEPIENEKSPLQHIPINVGAKPQGLSGATTGPVPLPVN KAAAPVPVDASQKQPAHPLLEGIRVTKKDRDRLDAQLSCCRYGRIAPVWLTDETEDQE PEDTLLLEDQGRWRRYAERELYPLLHYKQHGPTDGRCERSWWADYVRMNRLFADRILE EYQEGDIVWIHDYHLFLLPIMLRQRIPNLFIGFFLHAPFPSSEFLRCLAKRKEVLTGV LGANMLGFQTFSYSRHFSSCCTRILGFDSNSAGVDAYGAHVAVDVFPIGIDAKAIQDA AFGAPEIEKAVAGLRRLYAGKKIIVGRDRLDSVRGVSQKLQAFELFLERYPEWRDKVV LIQVTSPTSVEEEKEDPENKIASQVSTLVASIHGRFGSLSSSPIQYYPQYLTPHEYFA LLRVADVGLITTVRDGMNTTGLEYVICQQENHGPLILSEFSGTAGTLSNAIHINPWDL AGVAGAINQALTMSPEEKKEQHTKLYRHVTTNTVGSWSKQYLNRLLTNLSSFDQSEST PALDRAKLLRQYRRARKRLFMFDYDGTLTPIVKDPQAAIPSDRVLRTIKSLAADPRNA VWIISGRDQAFLDEWMGHIPELGLSAEHGCFIRKPRSDDWENLAEKTNMGWQKEVMEV FQHYAERTQGSFIERKRVALTWHYRRADPEYGAFQARECRKELEETVMKRWDVEVMAG KANLEVRPTFVNKGFIATRLVNEYGTMPGKAPEFILCLGDDFTDEDMFRALQKFDLPS SHVYSVTVGASSKQTEASWHLLEPADVIGTIGMLNNSSSNQEY ACHE_70532S MRLVGRLPGLRPHRLPTLFSFGTTSSCQLHGSTTHFHPRDENAT EVFPESLQKTLEAHRASNRASLFRVVNTTVPPKNHRPTTQRAIRKPPQKQGEEQKQVT PESTQKPRISRRELDRQIRSRLRSDYEKPPEWVNQQLRWSVSLLQNRPEQWPWLSYHA EAHTSDAMAQLNAEIRALDAYLTPTSDEQNGVDGLVSTVSKLLEDVPHRPQLAGSWRT GVASTHSDLDFVLPIPDIGWSKDDIRKPSSTRPQVLEAYHNLLERVQQTLWQTPTFKD KTFLVYNRIPVLLAIHHPTGIRVRFQCKEGIPSSVEYINDYLAEYPAVRPLYRTTRLI LEAQGLFGPHHSSIGTNALLMLLVAFCKMNHGRFQRPRSLGVQLLAFLRMYGIDVDLA ANGVAVDPPGWFNAESVRSADMNWSWPEETPAHIRGQRALMNLKRTAGFKGNEPIADH LCIQDPTNYMNDLGRFCTRTRDIQNVWAAAYARLRTASDDWDASGGSVGQSILTHGLR ANFEDFERKRHGLADIHGLVS ACHE_70531A MADSSIITNPEATVHRPDMKKPDPIPPSNSNAPGVASPVPSPAT DAKSRPSSFKNDLGRDGTIERAGGGSGIIKENDENGEADRLDMVTALGDRSEPLNTRP PNHSGDGLPGHRHHSSLPGNPSSSRRPSVQFTRDASDNELPSELPHSRPSSVLGEDAD PTSKGKQSIFTKLKAFAASPSFASHSRSASGATIGESRTMAGDLATPGSERGEFRFPD TLEEEGSDIDADAEESAGEQRTRLRKKKKQPRRRQQPPENDSAPGTAPTTPRTSRPSF NRYGSFTPFENYRHNFFPRRASAADIHQQREGVSEDEGRDRLNRNRAMRRRSAWLANA RGLSYGLGANAEERRSGNLRRFAGLAGPSENSENLAARRRNRGDRGSSLSTQRWKQIK AGLKLIGQRRKADNTVDHAKSAELLAELTSGVPAALILASMFQRDEHGSKRIPILLEQ LKVRVTDSRIDSNSGDRHLVFRIELEYGSGMTRMKWIIHRTLRDLANLHLKYKLHFGT QKYIQLRNPEGGHTRLPRFPRSAFPYLRGVRGLESEMEDEEDEVGYETEANATSGNER GGKKKKQNQQQSSRRSSAALSRKKSNATNPQEGENTAAEAGPANKKESYPEKQRKKLE AYLQKMIRFLIFRPDSNRLCKFLELSALGVRLAAEGSYHGKEGFLIIQSSKGLDFRRA LTPAMVKKRHSPKWFLVRHSYVVCVDSPEEMNIYDVFLVDPFFKMQTQKISLRNQKAK ELAKSAKESARHPQHHTLRLENSERKMRLLARNERQLTQFEDSIRFMVSNTPWARPNR FDSFAPVRHKCFAQWLVDGRDHMWMVSRAINQAKDVIYIHDWWLSPELYMRRPAAISQ KWRLDRLLQRKAREGVKIFVIMYRNINSAIPIDSEYSKFSLLDLHPNVFVQRSPNQFR QNTFFWAHHEKLCIIDHTLAFVGGIDLCFGRWDTPQHQLTDDKQTGFETADMPKDADH CQLWPGKDYSNPRIQDFYELDKPYEEMYDRNTIPRMPWHDISMHVVGQPARDLTRHFV QRWNYILRQRKPTRPTPFLLPPPDFDPRDLEALGLDGSSEVQILRSSSMWSTGTPEVT EHSIMNAYVKLIEESEHFVYIENQFFVSTCEIDGRKIENLIGDALVERITRAAKNGEA WRAVIVIPLMPGFQNTVDSEGGTSVRLIMQCQYRSICRGETSIFGRLRALGIEPEEYI QFFSLRTWGKIGDQSQLVTEQLYIHAKCMVVDDRAAIIGSANINERSMLGSRDSEVAA VVRDTNMIWSTMNGQPYLVGRFPHTLRMRLMREHLGIDVDELLEHDIAAEEEKQMFEM AEGNSKAPEAREDAETAAMMLEKQDERDMIERRHQMQDEFLSRSEDMHSFNHDIDWEQ GNNPNLKTSKKMTTDARVTQNAEHRKDVDGDGPDRLNSATQAGLGDARDSKFVDSRKE VLVSPVSAEGKGSLQQPRQTGQPQPPQVAPNEELQEQAAPASPETGSALSPIIEGLPS VLRPSSASNVDVESSHSGAALGSKERYDAAKYPQPLSSELKHIFVDKDCMRDPVTDIF YLDTWQAVAEKNTKIYRSVFRCMPDSEVKSWKEYKEYATYGERFAEMQSQHSAKAFQP PQQRASGPPGADAATTTPAKPPQPNTELVDKKGSQSPDEKSSHNQEPINEKSHDHGTS ADDLEKSQSNPPPLVDYSDALNRNATLSRKRRRRATTLGSKRDFLASDEVMDKERSEE LLHKVQGHLILWPYDWFASLVMNVFLGVLILTDSRLEKEEQGGNWLYALDQISPLEI ACHE_70533S MTDTDPLKTFDSTSPSAPPQISEKSYPIAGILTTVFGLDELPPQ TTDVACLWLLHPRLATKDRMTGIAQSAIADWNRRIAGSQQPAKGLIAVSFDQRNHGTR LVDTQGNESWKKGNPRHAQDMFSVFQGTARDTSVLMDYLPSFVFPKSDRKIVENLVLG VSLGGHATWSCLLHEPRVSAGVVVIGCPDYVNLMADRARLSKLPEWTSTDPAGAQFLG SEAFPTSLLDTVSKYDPASLFFSHTSVKSTAPGPRREGPLPEPTESEKESLRALLTRT IAGKKILNLSGGVDKLVPYHRGEVFLTWLKKAIGPDGWFADGAVSLEDVIDENAGHEV TPKMVDEAVRFISETLAASNDGSKGSGSVRESKI ACHE_70534A MPTRFSKTRKSRGHVSAGYGRIGKHRKHPGGRGMAGGQHHHRTN LDKYHPGYFGKVGMRYFHKTNQQFWKPTINLDKLWSLVPAEKRDAYVSGQKTDTAPVI DLLPLGYSKVLGKGRVPEIPIVVRARYFSRDAEQKIKEAGGVVELVA ACHE_70535S MMNRPPQGRGQPRLGATWYPGGQDDFYMPEVISPSPQRVMPEVP QTMQHNIAHLEHEARNPHRSQYGQVQYDRAQYPQRTSSAAVVHGPQSSSGYDAGVYEQ SVVYDTMDQPNFSPFPILRNPPPNVPPTDEQREASLETARMAVLSSNDPEMQLAWAQD ALAYVEVAIQNEARLALVEPPRPQTPPVEHQLKADAMNIVRFLADQFHPKADFIRGMW REFGKFGFAVDKKEAFRAYSRAAEKGYARAEYRIGMQFESAGEPEKAIRHYRKGVNLG DSASYYRLGMMMLLGQHGQSQDYQMGLDYIHYAAESCDENAPQGAYVYGMLLARELNQ VSVPEQFLPLDLNAARVNIEKAAYHGFAKAQVKIGAAYELCQLGCDFNPALSLHYNAL AARQGEPEAEMAISKWFLCGHEGVFEKNDELAFKYAQRAAQSGFPTAEFALGYFYEVG ICVPVDIKEARSWYSKAAASGNKDATNRIDSISRSKTLSKKDHEKVAIARIKSRYGSQ RPGNLGPTPENIEMPDPSKMSLSDNSPASSAAPYPDRPSSRARPPYGAAMPDPRPSSA FTINPNIRANAPPYNRAASYGAGPMGHRQPSGTRTPTTATAPTSPSSMRSPSATPKLD VGYSAPLESPGADPRRRPPRLDGAPDRKPARTPVSPPSQGSVASPRPAISSPSAASFS SQSVQTPAKESAPSTPKPPTSSSSTSASQKPGLPGKGPKTFEEMGVPTVQKDNDCIVM ACHE_70536S MDMHRLTWIQPGLVGKQNRPYSAIDISSNLHNKVTKAYAAKALR ELHQNKEIEGRVAGKQTVYHALQDSSDEDTSEVIANLDEKIKQLEEKLTTLKTDEKNL RAELATLRSKPLLSELRQDIGRLEKEKESILARLDEFHGHDSSAQVSPEKRAEVEREW KRWQRQVNMRRRICRDMWMKCSEVVPEGMTREELWESLGLEGDCKW ACHE_70537S MEMQVSASQNPPPAPFSPLSASASSNNGPRAQNRLSGELRSRGS QPPLPVPSGAPSAQNGHSRNLSGYDMAARSPPNQSNTKHVPCKFFRQGACQAGPACPF LHSTDAAIDYAPCKYFTKGNCKFGAKCALAHILPDGRRVNRPSPALGMGGGHLNLGGR INPQAYINQDSALTNSVLSQQRMMSNQDPRYVSQLPPQEEFASLHAQQQQQQPQQPAA PYDAIPSIDAGLAPEAGSPVDDVRFPMSPNNHLTALDAPLPASFDSQGISHAARYGPV AASMPSKFGLDLSSPPAQRVGPSDALRTLRDTTYAPDLRKQSLMGSSPPGVQDEAIGT RLLHSQRAVRPKMLSASVPRPTMLDEWDENFPMEEDYLPMNLHDDVLTPQEKLRRLSR TEHELGSSHRDMSGLGMASSSFSKMGSPLASSPSRFGALFAKQRQKKEEEGHATGTSL PQVGSPLRESSLRESSLNLGTSPSLGPIGSRSAGSPARQSSTSMISQQLSNMSLHPGS ARHSSSMGGSSRLDRTVASPVNTSTIDEEEQSDLVFSMEEEENNKRSSTSWDTNKEDS NDEVSAS ACHE_70538A METSQEPVKSIEDDNKGDGQNGAPIPDDLWRIMMDVVLTIYEVR EEDGHDPSRLFHRSVNKRYVPDYYDVIKEPMALSILKQKINKREYRRFSDFVRDCALI PHNAQTYNRPMSQAYEDALVIKDVFAQEFNKLAKQGIVTAQEAQLPDLGEIPEPDPLP EEEEEEEDDDEEDDEDDSDDDGRQKKKRGPKPKRDGKDDGQKEPEMRKKRGRPPRVDT PMEARIKAVLKGIRKLKDPSGQLKVRHFERLPDKGTYPDYYMEIKEPVAIDLIKRKSK RKKYQSVDHFMRDMNLMFNNAKAYNQPESQIYRDAVDLQVESRKLAELEKKKPDSEYL MEDGRLPLPDGILYKGEMWKVGDWVHIQNPNDVTKPVVAQIYRTWQDPEGDKWVNACW YYRPEQTVHHYEKHFYPNEVVKTGQYRDHRIEEVIDRCFVMFVTRYNRGRPRGLPPEK DVYVCESRYNEEKHKLNKIKTWASCLPDEVREKDYEMDLFDVPRRIKKIPSPIKHLLN KDAKETDDLPKAAWGAENAPPVVGAVHCRPRDENESPPPEPTPSPPPSLPPSSLPPSR QPPISQSPVRPSVDSQGNITMAGVPPVSIRSPAAPVVPVQGSPVPMPSASYQSPNMAA AQVYQPGLQRRPSFAPPTPGVPYPGAAVSSPYATAQATPYSPYQTNRLASQPTVYNPN APRPIEVFQLSDAANAAIPADIREQFHCDDHGRVLFFSSLPMDILPSSRQKLGHSLKY LAAKEERRKKLEERKRKASEQDEREKAAKRARADEESTLATRVEALTNRAVETMTNQI VSGTDKIYEALYQDQADAAKSADAKVREQRVVIDRATQEQTAGIKAHSQGISLVTLRG NAVYMNDIEPRS ACHE_70539S MRIRVRGPEGQSTVILDDSATVDDLRTQITDKTGLTAFDVKYGY PDIKPLELESYSGSQKVTETGAKLNGEQLIVTRKEGASQPGPIGEDSTGTPVAPSRNL DQPLSLSRKPHEGVSDDPPEIPSPDHSGTFVLRIMPDDNSCLFRAIGSAIMGGMDTMN ELRSIVAQTIQANSDLYSEAVLEKKPDDYCRWIQNEDSWGGGIELSILSKHFDIEICS IDVQTLRVDRFNEGPPTRCVLVYSGIHYDTIALSPSDPPFTHVYAPPDFDTKVFDAAD PVILEKSLEVCRVLQDKHYYTDTTGFRIRCNTCGGTFIGEKGATQHAAQTGHFDFGEA A ACHE_70540A MSAHPAPAGIFELQRTDSLTHTRATGPNYVVEDDDAATDSDVDN QLQEQCDFEDEEDIDDSVKEDMRKLEDSFPGISDRFRLVNRIGEGTFSTVYKAEDLLY DHYVNDWDMFQDSQNDTWESPPSKRRRTEAENGQSRSAPVKQKKPRYVALKKIYVTSS PLRIQNELELLHDLRGCRSVCPLITAFRHLDQVVAVLPFFPHSDFRVQYRTFMVADMR HYFRSLFTALQSVHKHGILHRDIKPTNFLYNPDIREGVLVDFGLAEREGSEYTGTCLC TSSSVTRRTRILHSYYNTHCNPGSLSAGYPKNDSRPSRRANRAGTRGFRAPEVLFKCT CQTTKIDMWSTGVILLTLLGRRFPFFNSADDIDAMIEMASIFGTRRMKAAAALHGQIF ETNIPTIGEKGYSWEKLVKWASCVEELTESEKQATRLLAGLMELDPHKRLSAKEALQH EFFTDPKDHDVAWGGHPDDSGDSGEEDEGEAEQDEADEVAML ACHE_70541S MEDRIQFDINESLKFYLSDPTSVPTPDADSELLDCETDPDQLST TLIDNVLNSVVDGVAESPEGLTRASFFDSLQFLLKYSTFVPTRSLSKLLDLVVSGLSV EADIIHGDLESDEQDAIQHHKQLLEMYGFLLQWALSAVEIKAAEKPAEAAPARRGTGK SKSKASARGDGHWDWTPQIQMSMETMCKVMKLKLGRIFLTTSDRDTFINLFTRSVYLM LESEQRVKSMAIRMHAFKVLCIAVKHHGHAFGAQTSIVQSLTYFEHLSEPMAEFLHIL AEQYDYPQLSDEILKELANKEFNSNDTRGPKSVSAFIVKLSELTPRLIIKQMTLLAKQ LDSESYTLRCAVIEVCGNLLIDLSKQEEPSENYKTQINAFFDVLEERFLDINPYCRCR AIQVYLKICDLEQKFPKRRQAVAELAARSLEDKSSNVRRNAIKLLGKLVATHPFSVMH GGQLSYQEWNARLEAVDAELNALRPPETPGFDGDEAMQIDSELLDDATQLPEDSPSKA PRMTEEQKAVAMQKAAEQAATSELLTRLQLTRKYYSEAIRFIEVLHTASGTVIQLLSS KNKSEVIEAMDFFVMIDAYKIETARGGIRRMLRLIWTKGNSDEGKGVQTHLIDCYKGL FFEAPDSFSSNDAANYIARNMISLTFGSTPAELTCLEQLLSTMMNAGHISEAVIAKLW QVYGVQKKEISKTQRRGAIIVLGMLALADPEVVIKEIETMLRIGLGGLGRADLVLAKY TCVALRRMVPGRQAKSKDAGIPKLTNDHPVLSKLAAMVEIVSDSKEWFGVAEQAASAI YALSKHPDVLCSDILKRKTRFVFQPQLQRPSPSAEAADGDEQRPGTASSDGQGARPKT TSAALSQLLFVVGHIAIKQIVHLELCELDFKRRKAEQEKNKPVSQAAQNNQSVEDDEL DLIGGTTEDDFTDAMNHIRERELLYGENSLLSNFGPLVEEICANSNTYSDRNLQAAAT LCMAKLMCVSAEYCEKNLPLLITIMERSEDPTVRSNAVIALGDMAVCFNHLIDENTDF LYRRLNDDDHSVKRTCLMTLTFLILAGQVKVKGQLGEMAKCLEDSDKRIADLARMFFT ELASKDNAVYNHFVDMFSLLSAERNLEETSLRRIIKFLIGFVEKEKHARQLAEKLAAR LPRCETERQWNDVAYALSLLPHKNEDITKTVTAGFNKVATAATAPAAATAA ACHE_70542A MSSASAATAPEKAPDDSSKLKTFLSILRKFVGVADIASVRFSLP AHLLEPTPNLEYWNYLDRPETFASIGKSDDELGRMLEVLRFWFTKDLKYIKGKPCKPY NSTLGEFFRCKWEVEDSASGISVPSGPNSQNGSASVNDDGGGGVGGEPVKVCYLTEQT SHHPPVSAFYIECPDRGVSARGYDQISAKFTGTSVRVVPGEYNRGIFVNIEKRDNEEY QMSHPAAHLGGILRGALSITVSDTCYITCPRTRIKTILQYQEDSWIGRAQYKVEGLVF RYNPEDDTATKIKDVAEGDILARISGSWHGQIHYTLAKTTDSQLLIDIAPLFPAAKTV PPEETQLSNESRKFWSGVTEAILDKRYSQATKLKQDIEERQRQKAAERQKNNETWQPR FFTSATTASGKPELTEEGRQTLMGVRNGEYKLEESEKLGA ACHE_70543A MSGRARRRRLSTQSGEEDSDEPSQPIELKPDEWNGFCEIESEPA FFNVMLREFGVKGVKVQEVVSLEEELLAFLNKPVYGLIFLFRWREDDPEKQEPSCPEG IWFANQTASNACASVALLNIVNNIEGIELGENLQQFRDFTMSFTPALRGDAVNNFEFV KRIHNSFARNMDLRNADLQLKNEATSRRRNKSTRDDEADAGFHFIAFVPAMGKVWKFD GLERQPQSIGNCLENDWLDLVRPNILTRMEEYEEDQIEFSILSLGRDPLLGLTEKLAV NVKSLGLVNKRLGSTTNSETAAADGEDNTILGPDASLSLTREAIDHAEIPANQKEAYE SCSPEELNQFQRKLSEEQQDLRAAIRLEQESEHADDDYAAGRRYNYQGYGPAVAKWLR FLARKKYLEDQLE ACHE_70544S MNGTPRLRSAFPQTPQTAQRTRNYGSYGPSTPAFRSPRPREPRE LNPTPKVPQNVDSSPSPLVPTNLIDAPSQRLYVVAFYIALNSWRLYESWSASDDLDST WLFMKWNLIDGIFLFGLQALRIPWLEWAFPTTLSIFLIHIVGNMFLMFRIPIPVAAWL SGVVRLAYDRELSISERSVKPGDIIHNSSLILGKQIVHILPEGSAVLNPEYAPLCLDS YRSSIDLPIRVNQTDPIIIELFRLDFDNGGNETISIPSKQLKQMKRQADKQLSNPNPG SHRDLLYPVRRPGIYRLQRVVDKSNLEVHMRASDALVVSCPRATIKHTHTHKCRGELS NLVLEVEGTPPLKIKYSRQVNNLDRGFSFQTIQPDSLRSPLLNQKRTGALFSSRQMDV SWAQSQRIEVPLNESLNAGGEWLYAIEEIHDGSGNVANYSTALDEMDRPSVKRSSQSH QFSVHERPRLSLSGCDDRHFLEVAKGDSIDLPVKYQPVGRGYNGDAPFSLTYSFSGTG QKTRQLSLKSLDLKPQIKDPGWYTLDAISSQYCSGDIMEPSACYLHNPPEPELGVRHE KIFDKCANNSVGLLVDLDFTGSPPFRLRYSIEHSRGVETKSLNVDGLRTQLDFTPSEA GFYRYRFLDVADAVYAPRSLKDRTPVLEQDVKPPASAHFIGSREVRKACFGEPVSVDV AFIGESPWTLQYELVHNGKKTKHVLESESDVASIVTDRLVSGGEYNLVLTNIKDRSNC KRILKDNIKIDARPKPPHVSFGQIDRKRSVLALQGSKVDIPLRLSGERPWTVKYKVED ANSPTLEKVFWQENSILTVDNEGRYELFGVTDASCPGTVDQYAKVFDISWIPRPRVTV VDGSPVGPDNNVVKPEVCQGDDSSVELRLAGNPPYSLQYEQQRKTLRGSSSVRRQSLK TAMSVASMGMDTSEAGSYTYKFTEVGDNLYDHDPRSSPLLISQQVNPLPSARFDSPGH IYGFCKEDADGKEYLPITLEGVPPFSLEINIKHHSKSKPETVTIPNIDSNRHKLAIPR RHLDLGQHVVSIRKVRDARGCQRTSDLDTSSVRVAVSDVPTIIPLESKTDYCVGERLS FTLSGHAPFDVFYTFNDAQRKATSRTSDFRRIAERPGVFTINAVSDTASGRCKAHKNI TKTIHEMPSVRISRGKTSIVDIHEGGEAELHFEFWGTPPFEFTYIRSSNAPRGRKPEI LDIKHDISYEHKKTIKTSDEGTYEVVAIKDSYCSFSSQATIEKSEKSRTGS ACHE_70545A MSDHSAKRRKISPSPENGQNGHDQVASKNANKNASSITTSTTKR GKNVTAELALASGFYKSSFFKLQMDELLTELRPNYDKQVSKIQGTLHKLKEIIERVPD REPKPALVAEKELRGEHGIAVPYPSPRPGKDTKYSVSYAKPTNVNVVGSFALRTGIRS QAAYTVDLAVTMPSSLFQEKDHVNHRYFHKRAYYIACLAAGIREANDLNVDVKFGFQD GDNLRPLVIVQPGENSDMPTRSQIRIITAVDDKLFPIARTLPSKNNIRQGSSAEKPDH EQSTPFYNAALRSEATVALYHKYIYSASRRCEAFRDACVLGRTWLRQRGFESSFQSGG FGGFEWTALMSLLFEGGGPNGKPILLPSYSSYQLFKATIQFLAGRNLSEPLLFFASDV PLPPGGPVLYDGKRGLNLLYKTMPWSYELLRHEAMTTLRMLNESRDDNFEKVFILKVN EPMLRYDRLVSLPYSDNGDILQTIRHQAAVHEVVSKALGNRANLVSLSSRSIEPWAVC GKPSLKKDGGNINIGLLLDSENASRVVDHGPSAEEKEEAASFRAFWGEKAELRRFKDG SILESLVWSDQPSSPSIVRQILGYILRRHFDITEQDIGYVGDEYDEKLRVGGDGIVSY NSPSFQLIADAFNSLERSIQSMDDVPLTIRQLAPASPIARYTALRAQNSDKPADIVLK FESSARWPDDLVAIQMTKIAFLLKIGDSLESSGAASSCRVGLENESSKILNNAFLDIT HETGVPFRLRIHHDREQTLLERQLKDKSISPRTKEEVAYALSVYKRHFIQSPRFTQAI RTLCTRFPLLSPTIRLVKYWFNSHLFAGHVNEELIELLTVRTFTQPYPWESPSSVMAG FLRTLHFLSRWDWQQEPLIVDLGGELNHDVIETIRTRFSAWRSIDPAMNAVVMFAASD VDTDGVTWTQYEAPPKVVAARMLTLAKAAMKLLREQGHGLDISSLFQTSLAPYDFILN LRSKLFSDRSSSMKFKNLTEYGSQGRRDKLAIVKSFVGDIQACYSESLLLFHGEDNCR IIAGLWNPQTAKPRSFNLKTAYSTSPVCTKQGHSDQVSINHPAILNEIARLGTSLIDG IEIQEA ACHE_70546S MLQDVGGPVPPNTDHAVSVSLPTWEANVAYEEGAEWLAKKMQCG YPRFFVHPIIQNLAREVVRRQGDPETELATLFPSPKTANVCHSFVLSRIRSEESSRVR IVNFVPCTRTDAESPAVTSLLSCVIYPKEYASIAKQVWQHTGNGVSSRRGEFCLKALE DNFLVEESRSAMADAAAQRPCKGPRRYQGKGSISGLSRGTGAHSMTPSASPAVDGAQE AQDGREYSQFIEERFGRNLNTSLADQAKLAVRRRIAGVMTADVELSEALEKASDEGRV AGLTEDDVYLFPSGMGSIFNAHKIALAARGAMKSICFGFPYIDTLKVLEKWGPGCLFY GHGSSGDLDDLESRLANGERFLALFTEVPGNPLLKTPDLRRIRSLADKYEFLVVVDET VGNFLNINVLPYADVVVSSLTKIFSGDSNVMGGSAVINPHGRFYQDLKNAFSKEYEDD YWAEDAVFLERNSRDFLSRIEKINKTSEDITAMLKESPLVKEIYYPKYGPSKPFYDNV RNPNGGYGGLFSVTFHTTPEATAFFDNLEVMKGPSLGTNFTLSCPYTLIAHYNELEWA SSFGIDFDLVRVSVGLEDIPDIRSRFQQALDAVASVKKS ACHE_70547S MAAVIVAPKRPFLTLPFLLPSLSESVAVESRRNQSSYRRTKQRL RVKPDASFGASSDQSQEQIIYNPPSSAPSVYHTPTKFLPADDIRRTLRTDASTDSHNA KELPPVFKSTSEKKYHLNQSDIEEIRRLRLSDPMAWSRWKLAKRFDCSPMFIAMVCEA SPQKKEIQRQVLEAVQSRWGTKRRMAREDRQLRKESWGRDE ACHE_70548S MPLRVPVSLAKQRLHSTTQHYPAWCQQQFRTPSHFTYSRTPFFS SRRSFYGHISDQKMAPQLEPYFKQVDGLSGSFIERLRKAVAIPSVSAQDENRKDVFKM AEFLSSELEALGAEVQQRPLGKQPGKEHLDLPPVIIARYGNDKKKRTILVYGHYDVQP ALKEDGWATEPFELSVDEKGRMYGRGSTDDKGPVLGWLNVIEAHKKAGVELPVNLLCC FEGMEEYGSEGLEEFIQSESKGFFKDADAVCISDNYWLGTEKPCLTYGLRGCNYYSVR VSGPAQDLHSGVFGGSAHEPMTDLVKVLSRLVDSQGNILIPGIMDLVEPLTEEEKSLY PDISYTMENLHESLGSETSIHSTKERTLMARWRYPSLSIHGIEGAYSAPGAKTVIPAS VIGKFSIRTVPNMESPDVNKLVFDYIKSEFAKLNTKNTLDVWLQHDGKWWVASPKHWN FTAASKAVKQVFGVEPDMTREGGSIPVTLNFEQATGKNVLLLPMGSSTDAAHSINEKL DKRNYIEGVKLLGAYLHYVAEEPMSA ACHE_70549S MSSHLKRSQSSLGFENNNELGDDDTVHAPGSSHSRKRPRTSASP TSEENEASDGDEAASITESLSEDEDELELRATQAIQQKYSSSNNEENVPAEHGILERV ECYNFMCHDHFAVDLGPLINFIVGKNGSGKSAVLTAITLCLGGKASATNRGQSLKSFI KEGKEHAAIVVRIKNQGDGAYLPDDYGKSIIIERHFTKNGTSGFKIKADNGRIVSNKK AELDSIIDYFTLQFDNPMNVLSQDMARQFLSTSSPAEKYKFFVKGVQLEQLDQDYRLI EESVDQILEKLKSKKQDIGILENRKDVARQRLEISDRHESLRNRIRNIRNQMAWAQVE EQEKIRDALEDELAQTTEHIAAAEAELGRFDDAFREVEGESETAAQFVRRAQSKVEQA ENEREEIKNRSDQHMSERHDLQAQQRQIREYVKAAETSINEAQQKIAEEAQRLADVSG GSYIRQQEQYEQAQSEANGAKEKYEQLQQDTGRLRQQLEQAGRDAKSAEAPIDKKKAD VQQAERLLQNLTEEGGVNYSGYHEKMPMLLRAIQQERSFSRHPVGPIGYHVTLLKQKW SSILETSLGTTLNSFIVTSKRDMNILSGVMQRINCVCPIYIGSDGHIDTSQHEPDADF DTASRVLQIDNDLVRRQLIINHGIEQMLLIETLEEASSVLFDGARPRNVKRCYCIDRR DKRRGIHLSYSRMGEPSQAPVPAYSGSPRLKSDLDSQIRHQREIVEDLRRGLNSQEQE FRLARSRAENCKQALVRHERTIKQQQIVVQRAEDRVEELKEALEKENVGDGSIDILRS ALVDAEAGKRLNERQYNDSVEAMKAMMDTLKETRREMKEKDAQIAALQEELRVAQSEE QMVKEKRRQILGSKNAVIERIDLIKRNQEKIRRQNEEAVARVLDYIEKASMVSTRVAV DEGETTHSLDKKLDRLHRDLQRYNREIGASREELAAEASRATVAYERAEKHIRELELL AKIFAETLRHRKDRWGIFRSHISSRAKAQFTYLLSERSFRGRLLADHQGKLLDLQVEP DITKEDGAGRGAKTLSGGEKSFSQVCLLLALWEAMGSPIRCLDEFDVYMDHVNRKMAI DMLMLAARRSIGRQFILITPGSRSDISLAPDVRVKELAEPERGQTTLPFTL ACHE_70550S MADTLASQLNSTSLGDDAKWKENLNAPAKDARPQTEDVTATKGL EFEDFYIKRELMMGIFEAGFEKPSPIQEETIPVALTGRDILARAKNGTGKTAAFVIPT LERINPKSTKTQALILVPTRELALQTSAVCKTLGKHLGINVMVTTGGTGLMDDIIRLN DAVHILVGTPGRVLDLASKGVADLSECPTFVMDEADKLLSPEFTPVIEQLLSFHPKDR QVMLFSATFPLIVKSFKDKHMRNPYEINLMDELTLRGITQYYAFVEEKQKVHCLNTLF SKLQINQSIIFCNSTNRVELLAKKITELGYSCFYSHARMLQHHRNRVFHDFRNGVCRN LVCSDLLTRGIDIQAVNVVINFDFPKNAETYLHRIGRSGRFGHLGLAINLINWDDRFN LYRIEQELGTEIQPIPQNIDKKLYVYDSPDTIPRPIAHSSQPRQAIGPVPNANNGEYP QQQQRRHNEHTNGGNYQFNRGRGAYRGRGQGQRRNMQGDANKFVASQG ACHE_70551S MATASKDQKSKPSSLRSIVAGSTAGAIEIAITYPAEFAKTRSQL NRRLPDGKKLPWPKFGPQWYAGCTSLIIGNSFKAGIRFVAFDKIKSLLQDENGKISGP RTVLAGFGAGFTESLIAVTPFESIKTQLIDDRKSGNPRMRGLVHGSKVIFQERGIRGF FQGLVPTTARQAANSATRFSCYTTLKQLAEGYVAPGEKLGTASTFAIGGVAGLITVYV TQPLDTVKTRMQSLEASKNYKNSFVCAAKIFKDEGVLTFWSGAMPRLARLIMSGGIVF TMSVYPNPAPSRLLTANLWEQV ACHE_70552A MHLLLRSGIFFPIKSIKRRASTQESMSTVPWTCSRCLRRLPKIA LNSRPFFQRRTVNTIPDTGLSPALLIRARSLADEHSALSSRLADSFDAKTAKRLGELA PVAECLKKWDSANESISELQSMLNDPDTDSELRSLATEDLEGSHSTLPAISDKLKESL IPRHPFAGLPCLLEIRPGAGGDEAGLFAFELLRMYISFCSRRGLQSSLIKKDVADGPA EDRLSEAVLEVETDGAYEILRTESGVHRVQRVPATETKGRTHTSAVSVMILPSFPETG SGMDSELNLEDPNSDYYINPQDVRTEKMRASGAGGQHVNKTESAIRLTHVPSGTVVSM QDSRSQHANRKKAWQVLRAKLAEARQEAREQELVQLRRGAMGGVARMGRGDKIRTYNY GQSRCTDHRSGITIHNLDDVLDGGDGLETVMDSVRTWLVDREVEAMVAEDAAKKQG ACHE_70553S MYISFESFTAFLACLTVCHGLQASQIPSDTPLSSLISSAKTHLA NGSPRDALLFYDAAVSRDPTNYLTVFQRGATFLSIGKNSQALQDFDRVLELKPDFESA LLQRARLRAKSADWIGAISDLEKAGKKSSSEYQEILDAQNAAQLAQDAEKQGAWEMCV TQANTAVIKATTSLGLRRTRAHCRLEKGEIEEGISDLAHVLQMSPSLVEPHLQISSML FFALGEGDRGISQIRKCLHSDPESKPCNRLYRKERQLLKRLEKLRGALSSRKYSNAAN LLVGVGEDSGLLNDVKEDVTRARDEGHIHPAAPNDLYMSLVENTCEAYREMHMSRRAT PYCSEALELNPYSLQALLFKGQTAIDEERFEDAMRTLNTAKEHHADSKDAQDLLQKAH ILLKRSKKKDYYKVLGVSRDADERTIKRAYRQLTKQHHPDKAASQGVPKEEAEKKMAT INEAHEVLSDPELRARYDSGDDPNDHESQRGNPFQGSPFGPGGGQQFFFQQGGPQFNF KFSGPGFGGFPFR ACHE_70554A MSLKVDRAHYAPSRPYSDSPQPIGHDATISAPHMHGHACEYLID YLKPGQRVLDIGSGSGYLTHVLANLVTESPSSDGHPGGQVVGIDHIPELVDMARNNMR KSDQGRKFLKSSQVKFITADGRLGWKEEAPYDAIHVGAAAKELPSVLVDQLRAPGRMF IPVDTEDDDSSLGALGLGGGQYIWVVDKKEDGSVHKEKVFQVSYVPLTDAPRG ACHE_70555A MASRLSLNRLSEQQMKNVFRITHVPRNLGLGGRRSFASAALRKG VVSAGRPASGLLRVSASGANVFLSNYPRLGGSQVRTYADSIVKVPQMAESITEGTLKQ FTKQVGDYVERDEEIATIETDKIDVSVNAPESGTVKELLVNEEDTVAVGQDLVKLELG GAPETKKEDAGEKPKEPAAPEKSQPSEPEKPKSPAPAAPEQPPAPKPETSKPQTETPK QEPASDAKPAFGSREERRVKMNRMRLRIAERLKQSQNTAASLTTFNEVDMSSLMEFRK LYKDDVLKKTGVKLGFMSAFSRACVLAMKDIPAVNASIEGPNGGDTIVYRDYVDISVA VATEKGLVTPVVRNSETKDLVGIEQAIADLGKKARDNKLTIEDMAGGTFTISNGGVFG SLMGTPIINLPQTAVLGLHAIKDKPVAVNGKIEIRPMMYLALTYDHRLLDGREAVTFL VKIKEYIEDPRRMLLG ACHE_70556S MSTNTVVSLYRRSLKLALDWAVHRQVWRGQAVYIRSLFEANKDV RDPRQQKVLLRETEKLLDTWKHPDPYRMPTAPGGNKYERNIPARQLPLASDHAESH ACHE_70557A MTGGKSGGKASGSKNAQSRSSKAGLAFPVGRVHRLLRKGNYAQR VGAGAPVYLAAVLEYLAAEILELAGNAARDNKKTRIIPRHLQLAIRNDEELNKLLGHV TIAQGGVLPNIHQNLLPKKTPKAGKGPSQEL ACHE_70558S MPPKAAEKKPSTGGKAPAGKAPAEKKEAGKKTAAAASGEKKKRG KTRKETYSSYIYKVLKQVHPDTGISTRAMSILNSFVNDIFERVATEASKLAAYNKKST ISSREIQTSVRLILPGELAKHAVSEGTKAVTKYSSSAK ACHE_70559A MNSVDLGRCKRIVQYLWDPEPKNDEEPSSPIWCLGKEYNRHVPV TTSKGDTRPQMVAFEEDGHDPEDLAQDATTQTHETALGWPKAFVRDFESRIWITYRSN FVPIPRPQDHDANPNMTLSVRLRSQLMDSQGFTSDTGWGCMIRSGQSLLANGLSSLLL GRDWQRGSKADEEIRLLSLFADFPDAPFSIHRFVELGAERCGKYPGEWFGPSATALCI QALCEQCQEPKLRVYVSNENANVYQHKFMEIARDDAGYIRPTLILLGTRLGIDHITPV YWDALKAALQYPQSVGIAGGRPSASHYFVGVQGSHLFYLDPHHTRPALPYRPAHELYS EEERDTYHTRRLRRIHIKDMDPSMLLGFLIKNEEDWEDWKKRVEAGRGKPIIHILGDM QPDYGLGREEALDEVEALDDIDGMVS ACHE_70560S MQQAARNTEGRNIWLPSSQLRHKAVHFVRGGDLEPEETENKSST TPEQESCKSTPKTERDIEKDDIPPDNEDTPHELSFFIDRSSQSIAPTGLPNPVSRLRS LSPEDSSGDEIVFHGRNRYTDQNNSSQYPTTIDDEFEPQSSDTPVNIRNLTLETSIEA GHSSTTRRHGQTVFNRESTNHDENDILADYIANIDVDYEETDTSSDAQPENTDMNKFA LSPRPNEDPDSVSLPSNENMKRFKESEASGSEVQSDEDFFDEEVDSENMESLYKIASG WNTSAKSRKTKFPSASAFADALESDPYHGFDIMDFNRPSLRKKAKGRKGPPDLMLSDS ELEMELERAWRNDREKKKSKKQKREELRSQGLLGRSIGRPDFKSKYAHGMGVDDLKSE IRSFLLSSKDSFPLPPINKQLRKLVHDMANALSLKSHSRGKGPSRFPILYKTSRTSKY NQHNISQADKILSHGRFRHRTIKTGDQSAKKSAETRGGRPDTSVSYMDGDIVGASAPE IGAENKGRAMLEKMGWSSGTALGATNNKGILLPVAHVVKKSKAGLG ACHE_70561A MGQFHSTSHGGPDVPEEQVKNDYYELLGLQWDACEEEIKKAYRK KALELHPDRNYGNVETATRLFADVQSAYEVLSDPQERAWYDSHREVFLGSGARTESGD YSYNTRMTTTDDIFKLFSNFSPRMEFSDSKSGFYGGLRETFSRLACEEKMACQWENVE YVDYPTFGYRGDSFEDIVRPFYAVWSSFSTKKSFAWKDVYRYSEAPDRRVRRIMEKEN RRLREEGVREFNDAVRSLVSFIKKRDLRYKPNPQSETQRQETLRQSAAAQATRSRAAN QAKLREYIAPDWAKSEELQDYQDDSSESEVEQFDCVACDKSFKSQQQLQAHERSKKHL KAVKDLRREMAIQDKQLGLQGNENGNTMFEDAHLVQTKEHIDDNWMATAKSGRESDVR ESDDVIADNQSGRRRFINYGLDQLENPDISVSDNGISHDLESQSRCLLTKEDDGSIDT DNVLAGMSLTPGQKNSPEKMGKAKQKRARKAAQKQTNPSADLTCARCHSAFNSRSQLF SHIRELDHAQPLPNVSRNRK ACHE_70562S MVLSLESLPEEVLYSILCYCHPTSSAALEQAARRFKNVTNEPLL WRSYCKTHFKHWDQKHRLPEKLAGPVSLLDKIIASQTGRINKFRTIVDFGYDIKDTLL RHLSVGPETEDYLARRYYAQALLTQLNRGLAIAEWEKIRTGGIVSLERALGAFDLFIP KDGDGGLDDITTKLNDIAIQFISEYPNIRQLSPREKALAVASYLRRSNLTGIEPEREY HLLEHNFLGVALDDPNHNALPLISAAIYCYVAQKLGLNARPCGFPLHVHVIVTPAPGF DIDGNELDFAEQGEPMYLDPFNSQQETHISNLQNQLLLLGASNIERSNFLGESSTPQI VLRCGRNILASIQRMHRFPNVRQAEIDLPSAKYAAFWSFMLFSGSNQPAELRHYLPWL MELFTTDFPSDVHLVEQHIVPLLSGLPEYEDILESLHDIRTADEMPRQVRRRTTSNKS VRYRIGQVFRHRRYKYKAIITGWDMECEAAENWMRTMGIDHLQGGRHQSFYHALVEDR TVRYVAEENIEPIDRSLDELPLNLAAVAGRHFKRWDAHTRSFVSNVRDEYPDD ACHE_70563A MSIPKFAGMSGNLLMRTVTACATMGFLLFGYDQGVMSSIIDAKP FNTVFPATDNDSTMQGTVTAIYEVGCLVGAAFILGAGDWLGRRWSIILGATVMILGVI IQVTAYPGHVPLAQFIVGRVITGIGNGMNTSTIPTYQAECSRTSNRGLLICIEGATIA FGTLIAYWIDFGSSYGPDNLTWRFPIAFQIVFGLLIIFGMFFLPESPRWLLTRERYED GERVIAALMNRETFHHEVQLQKNIILDSIRASGQAGKTTPLSAVFTGGKTQHFRRMLL GCSSQFFQQIGGCNAVIYYLPVLFKQSLKQSEFMSMILGGVNMIVYSIFATMSWFLIE RVGRRKLFLWGTIGQCASMVVTFACLSPGTPSAAKGAAVGLFTYIASFGATWLPLPWL YPAEISPIKTRAKANAISTCTNWLFNFLIVMVTPIMISNIKWGTYLFFACVNACFLPV IYFLYPETAGRSLEEIDLIFAKGYSENLNYVKAAKDLPSLSDEDVERVAIQYGFGPAD VDSSSDGATQEHTQVETEKSTFTQSSQV ACHE_70564S MDPFQELRNEFSSTIRALQNEIESVKNEPKPLQRPKPCLPDPEK FNGQSLKFDTWLASMKAKLRIDAPAIGDAVAQFYYVYLNLESKVQALVLPQLSYAEDT NTWDYNTILDQLSLVYDNPNKVQEAEDYLLVLKQDSGESVAAYIAKFERILYEAKGKD WPDVTKISAFRKGLNPTLRGRLNQQLNLPKSYTDFLRVVQQLGSHSFSSNSTNVSHSQ SHQSGSHTKSDPMDLSVININSLSAASTSLDERNRRRQQGSCVRCGSSDHWVKDCSMK AHKESNKIWNQQMIARLEANRLDDLNDLDD ACHE_70565A MIIPIRCFSCGKVVGDLWERYLQLLDEGIPDGDAMDQLGCKRYC CRRMIMTHVDLIEKLLRYNPAERDRAKAQI ACHE_70566S MSGASQSSRLSKRSRASDAAQSQVAHTDESRTKRRRFARRKSDS EKGSALPVSKGLQELSVIDGNMSLTNTHESSRTSWSSSQLGAGQYTDLEPVLTPDEEY LFLAVETAIHIYSTATSHLVRTLQTQPNQSIIGYKLCPINKDHIYVFTSTGSISKWEW VTGKQTLHWDTCRKTSSIDIIEASGEYTRILFYSICERKSGKREIRVFTFHDGKPSET VVLETDIRIGRIRAAQQGRAVLAYGGQNLLLGIADGSGFDSVENVQYTWREAILPVNI TCLDVCENTRQNVQDAKARKVSEQLDLAVGEMAGSILIYQDVLGFFVNNQDIRDGGKS FAPRRLHWHRGPVNALRWSKDGNYVVSGGHESVMVLWQLDTGRKQFLPHLSSPICNIV ISPTGNSYAVKLADNSIMVLSARELQPYATVIGLQVSPKMVKSRGQFGPNASSSVAAA VLHPQYPDQLLVAVPASRQMSQDGHYSAISPVLQTYNIHTNNHISRQALARTNTTVLK ISPEGSEITTPDIKYLDIVQDGKWMATVDCWSPFPQDTKALGLSNTGDGRANSREETF LKFWKWNGSSNLWELVTRIDGPHFLDNGPTPILGLASRPRSNEFVTIGADAVLRVWCP NPRPLSGLQTGPDAAQQLETWKCRSIVDLKGSSGPNKTDYLNTACLTFSEDGSVLAVC LPSTSSANPGPVLLLDAQKCTIRYNRPGAYSDDPCAVRFLGRHLIVASKRAVSVWDTV DDLIRIPASPVDGLSAGRSQPLLAVSPRTRTFAIALHDLQQTKKSRTAQFHVQVYDIE SLLPVFSFSVGKCPLVLTSDPRSGDYIIVDAGATVQRFGCPDRTPQTTTRPRELPSRV DSGLVDLFGSQTSALQNTATLDTERASSQSGGLSGVFGEAPSFVLPPASVLFRNVVQS LSVR ACHE_70567A MVYDWDGKREICYQMYIKDKKALEEIMDYMKTAYQFAPSKRAFQ TQFRRWGFPSKQNPAHKNAELVARVKQLWERNTGQRDMLRILNDEGFEIKERELMRVR AKNRWLLRVPNGMKSQSNMQTPVQQPEDEGLLALQQEVYKTEGPFDDTGTLPSEVALQ RSPSPGLSPEVLAKRKERLDRLKAESAERWATRKRRRRTRGWAGLPADPPGPPRFPSE TTIDESKKYLNLDNAMYRQVRDQFQEICEQAGFIKKTVAGPERWQEAKDRLIRESPHL QQVFWADQLDAKALALDVVCTDVTKRMRTLERRMTIAEAKNVLGINPEESRQIRNAFY ETLKADHFTSKLEAGDAHWNELKERWIQNSRLLQQILAPGPADPNHAARLKALEVLCR DVMKRLRDDQTKRDPSRKQSASHSGAQSPASNDSNVNHTFNGGISNGISNGISTLASQ ALASAPITTSDLGDMQIDPSLLQAANDPSFAATGEHDTENSFEYVDPMLESTVLHTPV YLRISPQGQHGSSKTWVEKLASRSVTELRQFVVARYPGSSIVKIEGVDKDENGNEVSF LISEDSELDAYLTHVHGRKALFSVGLKDMI ACHE_70568A MSSGAVISDQMPGKRPLWSRRLTDESTLNTSFDNCNHGSQRFKG TSKPRIYTRPLPKKTSLDDAPSMTLINSSRSAGDRDSLGIHTTTERRNQCDPFTGSGE FNPHSRLPSTTNQITACNPSTRKPTSKYIDSSRHAPRTYAPVGQSYQTSLVESHCSEG KNSFDGESLARSSSDTFQPFVRAQAPRLSLQIHDGSSTPLPTISQTNVTGRSSFGYAR DNGSALDTPSPISRSSLDFVFRSKTRTSVDPVSRAATIQAARQAFEEKQEAKNRKLEQ QQMKEEEKQTRRREKQYWRTSMRDDEMHSSTPEEKTDPAETPHATTASQQQSTSISWK SRSKNTWMIFIVWLRTRVFKLRRKIRKMQ ACHE_70569S MGTSFQSLCLTLVLVLAIAGQAHAFGAGNIASLSRIEGQNWRHG DIEDALLTLFLARIAGGKKFTKLDVQRVYFGNWLRDYSQAVDIGTLKYVSAEAIRILI WVLGFMTFGYGTNEFEVTTERLGCYQPTEHIDNPLGYGEGLDARDYDRRLRGPVDEER ELAIDPRTGLKSYIASEDMDLATSACLVRRVFRRSIELGRRYARSNNQDDLHEALRLL GTGLHCLEDYAAHSNYTELSLIELGETDVFPHVGRNVMLDIEGARDPVYPIVTGTFGG VDFFHSVLGEVSDKVTQSELQTLEGVISDSQSETPSQSLLKDLLTKIPDGLLEDKDSD AEKVDGFKAKADDAKQHNEHISPREPEEWTQFLTDVQRQIYPVLEWHDELIKAINKAI ESIPVLPDLIEQVQDQMNIFVFSILAPYVLPIIKQIKTELQTGSSEVIQSSREQQHIV FNDDDSSNPTHSMLSKDHFSNVLNEPAGRIASQVVKWTVPQLMECWDDEDIDIRQTLD RIVTGVFHHPAQREYGRDGAADIRAIMFGTVEEWWNEKSDRERDSLREQLSRDGVFEG RNHKEGVHDSGHGCGKPLSLPKHRGGSGGNGSSGGNHSPASGIGKVAADAAGGGAIGG LVGGLVGGIGSIILNNDFKEDGGSKTDRHEHSSSAHGHSERHHHHKEHRRHESRGDYR SEIRPSHASRHEYGHEQNLPSRRDDYHGYSQESRDDCPSADSRRREEYREHRSRFGED DGANRYSRSETETYKTQHGRHSGYSELHNESSNSRPSYGYGHEGSDYGGYERREPEYS SGYGGHKSHDSYGSHGGYGVGFQDSQAEYSGRHQRHDNEQSYGYGSSSERHQEYGHGH DGGHHHGYEQSYNSERDYDGVERRHGYGQAYDDGRHYGYEQRYGSSERPHGYGHGYGD GYGGRGYGGGYGY ACHE_70570A MVRPSALPLRVVLRAARPRPTQIQFANQQRLFGASVRREADAKS HSFKNQLYESTQQRLKRERAEQERFAQYQTQSPGGRYAAMMFALVFFTTGAYFLGSVK PASLPTSSTTLLSDVEAPQHNISQSNLQAAWADFVEILGQENVSTAAGDLEAHSGSDW SSYSRKDEEKPFLILYPSTTEEISRIMKVCHQRVIPVTPYSGGTSLEGHFAPTRGGVC IDFRRMDQILDFHKRDLDVVVQPAIGWEALNEELAKDGLFFPPDPGPGALIGGMVGTG CSGTNAYRYGTMREWVLSLTVVLADGTVIKTKQRPRKSSAGYDLTRLFIGSEGTLGLV TEATLKLAVKPKSQNVAVASFPSIHNAAECVTRVVEEGIQVAGLEILDDMQMKYINAS QATSRQWKEAPTLFFKFTGTPAGIKEQISLVQKIVSGTSGRSFEFARGDDEMQELWSA RKEALWSVMAMRRGPEDHVWTTDVAVPMSQLPNIIEATKKDMTESGLLAGICGHVGDG NFHAIILFNDNERRTAEAVVHRMVKRAVELEGTVTGEHGVGLIKRDYLEHEVGKSTVD AMRRLKLAFDPLRLLNCDKVVRVEQPFPGEVKQW ACHE_70571S MSTQTATARNLSFVLEGVSQVKFEDRPIPELKDPHDVLINVRYT GICGSDVHYWGHGSIGDFVLKDPMVLGHESSGVISKVGPEVTTLKVGDRVAMEPGIPC RRCDPCKAGKYNLCVDMAFAATPPNDGTLAKYYVLPEDFCYKIPDDISLQEAAVVEPL AVAVHIVKQAAVSPGQSVVVFGAGPVGLLCCAVARAFGASTAIAVDIQATRLDFAKEY AATAVFRPAKTSHSENATRLKEENGLHLGADVAIDASGAEPAVHTGIHVLRAGGIYVQ GGMGRSETGLPVMVACTKEATVKGSFRYGSGDYKLAVDLVASGRVNVKQLITGTVTFE NAEQAFKEVHAGKGIKTLIAGIEE ACHE_70572A MVRQRGMSNASSAISSSSSPGQNQELESMYDYLAKIILLGPSGA GKSCVLHRFVKDEWRVLSSQTIGVEFSSRIVKLGTGSQRVRIKFQLWDTAGTERFRSV SRSYYRGAAGAILVYDVSSYASFSALPTFLMDARALASPNLTVLLAGNKSDLASDYFL QSQGPDDFTAKYPQTPSSVSSKQSSFPLDSGGGSFRSASNIGATGTRMTATNSPEGRQ VSLEESSRWASQSNIPVAIEVSALTGEGVEQLFNRLARIILTKIELGEIDPDDPQSGI QYGDGGLYGHGTSDASSIRSRITLDDNAVQLHRRNPKRKGGGTTHLRSGMYEWEDVLR SSGSRQGKNRGCC ACHE_70573S MVSFLSLFSRGRRATQDVTGDTMNATPQDSLKSRPQPSKEHMAD DSIRKEPPSYHDSQYSSSKALPPAQGSAGVPETNPPPYHNWQDAVPDTSTFPPAPVIS YFNSGAGNASNSDAERAHAFCDSTPLWRPVKPSPAVLQSIQQHDLRPMLSTEFRGQLS PISPGRWKGSTRDMNGDCVALTHLPLYFPVEDSPLVTGRAKTIYFEITLLGLRDGPGA ADSSGFSIGFVAQPYPSWRSPGWERGSLGVFSDDGCRFVNDSYGGRHFTHEFQVGETV GLEMTFSLSNKVITKYVGKKLIVDVFFTRNGRRDGGWNLHEQVDAEAGSVEGLEGDYD LYGAVGLFGGVDFTVCFDPTGWLWRPT ACHE_70574S MAFPFMRAFLAVFLYRYLRLVVNLFSFWTFKPIPPPETPKLTAQ DVTVIIPTLEGCGDELVETIRTILENRPYELLLVTIEANRKKAENMLSSMPASKSRIR LFTVTHPNKRRQMTRAIPEVRTAITMFADDDVSWPRTVMPWILAPFEKDERYGGVVTC QRLRRATSPTFSQRIYNFLGALYLERRNFDCASTTHIDGGLPCMSGRSVAYRTKILQD EVFTYAFTNEEWWFGKYQLNADDDNFITRWMVSHGWETFMQYHPEAEVQTTLEDNPKF LKQCARWSRSNWRSNLTSMFHEKHIWYRQPWSAYAVHLTTLSPPALISDLLLLLLCHK AFVTWGEEWHTLAMQALGLWMFVSKFIKLIGHYIRYPVDVLLLPVSILFGYLHGIIKI YAVLTLNVVSSKIHSPPFPSFHFSCSALSSWGAG ACHE_70575S MTILTRAPATLSSQLFSTAVRRNASAARFFHHSPLRTAIAHPIT AHGPPPKAPAPAPEFGEQQEQEQPPQQQQQQAAEEETKIAETEKETPVRPSKISALKK RFWKNVDVKKKPEEYQVLLDTRPVRTPTKDILSIPPTKPHLAHAIALEWDVMTSAQQA LKHHMIPLTSLTARGADIAREDTQGQTTTREQIVRTTMRYLDTDTLLCWVPEKNPYSP PEVDEKGEKVESLRDVQERVAKDVIAYLSTKVWPGIEIKPILDEGSIIPASQPQATKD IIKTWIYNLQAYDLAGFERGVLGSKSLLIAARLVAEWSENFRHAQNVEQRRFGIEEAA EASTLEVRWQTDMWGEVEDTHDVDKEDVKRQLGSVIVLVSGETR ACHE_70576S MTERGEGHKRSRSSLALSILHRDKSRGEEIPEEARGSLESTNPP STVNNDGFLGSPITMSRNNGRRMSRQETTTSPPTSADGSLDPAASSQNGGDTVEKLPS IPQGAEGGSVSLEQSVRTFRLFEILRSGDTTAISKAIKEAKDPQGAGTLSGTTVLHLA IQCAEPQVVEYVLSAGEDVDINARDRDGNTALHLAAQLGRGPLVRELLNRPAVNDSLV NYRGQTALDLARTPEIFQQLQLARSLFIDSKTQEIQSIITQEQHEKLEKLLEEPRVEG TIDVNSLELVTDPATTQSGGTLLHEGARKKDTKLIQILLMHGADPFRRDKKGKLPQDV TKDDRTKSILKKSPAAAIAQRGIQEKAILGNNTAQALAGRSSIGEPPLAGKDSREMRG YLKKWTNYTTGYKLRWFVLEDGVLSYYKHQDDAGSACRGAINMKIARLNMDPQDKTRF EIHGKSSVKYHLKANHVVEAKRWFWTLNNAIQWAKDEAKEEERRQNQDAEALRQAKLD QSEGRGLEPSGETKGLAPPSLELPNSSGSKLSKYTSRTTAESGLGDEEGSIYDPFEQG GPQSDVRVASHGPDGEEDDYGDYASSREVPAGDKDALNITAQSAKIQLELLANVASSL QTEKSNNPEMTISDPAVEQALAAYDAAVSSLKSLVQNLLRISRDRDSYWRYRLGREAH LRNMWEESMARVAQEHEELQSKMGESEEKRRRTKKALKEALEGASANHTRLAAGVSPQ VQVTSEGETPVDAGAQQARAEENAEELPGHIEEDPKKGTRSQVCSLYESDDDEEDEFF DALDAGEIEAEDLTKSEEKEEKEQPSDANGELRAVKYREIQPSFKGYEEPIRKRLKMD YDNRPRISLWGILKSMIGKDMTKMTLPVSFNEPTSLLQRVAEDLEYADLLDIASDRSD SMERMVYVAAYAASEYASTIGRVAKPFNPLLGETFEYVRPDKGYRFFVEQVSHHPPIG AAWAESAKWDYYGESALKSKFYGKSFDINLLGTWFLKLRPVSGGEELYTWKKVTSSVV GIITGNPTVDNYGLMEIKNWNTGEVCYLDFKPRGWKAASAYQVTGKVVDSSGSPKWSI GGRWNDKIYARHTPGFEAQVSAQDPESAKTFLVWQSHERPTGIPFNVTPFVITLNALP ESLKNYLPPTDTRLRPDQRAMEEGEYDLAAEEKHRVEEKQRAARREREANGVEYHPKW FTRAQCPVTGEEYWAHNGKYWESREAQDWSASEDIF ACHE_70577S MTGEYTCGRCLQVLRRGVRPRGPVVRPQNWANSRRRCNNAPAFS VRSFGSHSRSNNGNERSLPTGTQEPLKRVALSPSQPITPANQSVVQKATASTVSGTAG TRELLRPNNLFHPFSRSPSRAIRQRAEFIKQNAFCPHPSHQQTRLPVSPHDPESRKSA QHASLPPAHSHFECPDCGVPIYCTEGHWMDDFEAHLEICETIRQINEDDHDLHSGRFF PEFSYPGVQDDTYVINMTNWDTFLYTREFEAINDDRSLRQVTRMLTYPMTIGSVVHEL SPYSVRKNDRLTVEGLKSLSALRYTLHPPKTGEGTDIQGLRLKAPPVRIFILGARAES SLPRDVWLQLSYIFPRTLFHLIFIGPESMANRDEEFPLPERTPENPFGGIVEDRLGGQ MKITTYVDYFHTMYKANYFQPFDPYLDLVVLFHPGLGHPASSFEWEETLPQLLETKVP IISTGYTQWDMERDINWVREKCGGEVDILLEPGENIFRSLRWDLNDLDPHDVSCGNWG LWAFRGKRYEAALKD ACHE_70578A MYFTKALLVASAVLFAPVALAAEHADAPAPTSTTTVQVVLATPS TSTTTTPSSTPAPSSTPAASSSSSWLISHTPSSSSFVKASSTSALIKASKPTASATTS TPAVATGGASPVQYSGAMAAGVVAVAGLVMF ACHE_70579A MKLSAGISALLFLSSVANSAVIPKRHSTDLIDPAITPASKLSVW QALEKRRGGGGGGRGGGSGVGSGGGSGGRSGSGGSGSRGGSSGRGGSSGGSSGGSTSS SSNRGGTSRSGSGRAPSYGGGSYYSGGSRTPYTAGSRSPGGLTPYILPAAALGLAGGA FAYGAYAYPYEHDYNYTNSHSHKEESLPVVCVCEQYMECGCDDNNSSAFYESLFNGTE PRNGTNVRVVDVNGTQKIYVNGSLPNGTTAAENAAPATVQMAQTSGYLAMAAIALGAV YAL ACHE_70580A MSTSRGTSKFQNLPRAISGPLECPLEGTSLLHSSAFNKGSAFPA EERKTFKLHGLLPPNIQTLDEQVRRAYEQYSSRQDDLAKNTFMASMKMQSEVLYYRLL QDHFKEMFGVIYTPTEGDAIQNYSRLFRRPEGCFLNITDQDRIEECLSNFGGGEDVDY IVVSDGEEILGIGDQGVGAILISTAKLVITTLCAGIHPSRQLPVVLDCGTNNKTLLND ELYLGLRQPRARGKEYDEFLEKFVTISRKRFPKAYIHFEDFGLQNAKRVLDKYRSRAP CFNDDIQGTGCVTLAAIIPALRVSNVKLGDARFVMFGSGTAGTGIADQICGAIANETS KSKEAAAKQIWCLDKQGLLLKSQGDQLTAAQVPYARDDSEWDTKETPDLLSVVKTAKP HVLVGTSTKPKSFTEEVIREMAKNVENPIVLPLSNPTRLHEADPHDINKWTGGRALMA TGSPFPPVDRDGGKYEIAECNNSTCFPGIGLGVVLSRARVLSDRMLVAAVSALAARSP ALKDAKRPLLPDVEEARSVSVDIAKAVIQTAVEQGHAEETDIPTDPDELEEWIQVQMW DPVYHPLKKV ACHE_70581A MRAIQLREYVKGPLDLTVTNLSTPSPSPDHYLIEIHSAGTNFFD LLQIQGKYQHQPPFPWIGGAEFAGTILAVPTATTVSQQRRFNVGDRVFGATQGAYATH ILAPEQALLPVPAGWSFEDAAGLFVTAPTSYGGLVHRANVQAGDWVLVHAAAGGVGLA AVQIAKGKGATVIATAGTERKRQIARDFGADYIIDYREKNWPEEVKKLCAANRSGNGK AGVDIVYDPVGMIEASLKCVAWNARLLVIGFAAGKIEKVALNRVLLKNVSLVGVHWGQ YAKFEPQTVEHVWQGIFDLVARGQFKGTAFKDESFVGLESVPRALQALGGRGTWGKVV VKVIDDEAKSKL ACHE_70582A MKNSIPSDVWEKKRALIAKLYKDEEWPLKQVIKQIRSEDFNPSE TQLRSRLKKWRVTKPSRQTRKKSHDGQQEATGEESDLDDASTKDRTSTASPITQRSSQ PAAKNPSTSKVDWHVVSLEEQDFSSSWIPGNPHHRDLSNSPSIMNQFPNVVPSTSSYD PSQPSTPVDGVLLNPSVTMAPPYSSPTYTMAPDVCLPASSAPTTSLASVPWSVPPWFS IPIDPMSSQHHSVPFYTAAPSVGSSVAGSPDPSGRIYSPQPMQYHGMLPHGGMPEFMG DSKQWRRAMSLQCNSPGVMAGGINTGARDDARKYMERKASMPAKTSSQAYDMGNPSSQ FFLGGQHPMMCAPLYPYPDHESLVHKPPGIGF ACHE_70583A MQNGTANGTSHQDDRVTSLSDPNTHEQPPSADFTNPATRDSIPS ELQNDPVPTTEPEGPPSKKRKLGGPRRSLSRAPSPPWKKAGADGPTSFIENGRRKSSR VNSSRSDMQPPSDKKPAQEVQKQVTNKNGVGGARTATSSPSQLERAGKSRGGKASANG SPMTSGITRGANGRNKRVSQSPLPKQVHSRTRSRSSGVHGPLSESVNGSSPNASRSRQ SPSTPNGLDDIEKGLYGDQDQVDESGQRVPRLRIKVKKPSLSAQHPSHVLLPRKYGSF REWLESDDAKFKDDGILTPAEAAGEAEKRRRIQEAAKPGGLLSEEVCSAYLPEAQEEP PPQYSHQDHLVAHALYFKKLLDQEHRRHRHTAKLFAQWCADAWRKRNKDPEDILRERQ EEMRGKRKQLAKDLQKMFDLARAEVDRMRLARWEEERKVEDQQALDRAIKQSTMLFEK RRQEILGETGSDVPGSSEGEEAETDDSSDDNADDESNMSSDSDSEESAGGIDDDEDLT AEELRLKYANLPQNRASVNSAETTSPDDEGTLVDLESSAEQVELDEVDPMLIDDSDAS TDMDDDMGDSDGGGESEDAGSEEEEVDEGPGLLGFFSSKDREVDNNGGDDDNVDVHGD DSGVVPNYEEDDDEDEEDEEEPEDADEVFLVPNNAPAEPEAIFQDVIPHDSPDDTPLP PPFTTLEPAKESKPESDTVPVPESEQTSKSGPVPDTEPEQDQEFPETEMPDVAVPADN ETPLEPDSHLPTEMEVDHDNKHPEARQPSGEEVSSQASPGTLATKPSDPESFSSYEAP PEQKEEIEEVEKSVQVTGGNGTTTPGMKTPIPQLIRGSLREYQHFGLDWLAGLYSNHI NGILADEMGLGKTIQTIALLAHLAVENEVWGPHLVVVPTSVILNWEMEFKKWCPGFKI MTYYGNQDERRQKRRGWMDDTSWNVLITSYQLVLQDQQVLKRRNWHYMILDEAHNIKN FRSQRWQALLTFRTRARLLLTGTPLQNNLTELWSLLFFLMPNDSGDGTGIEGFADLRN FSEWFRRPVEQILEHGRETMDDEAKRVVTKLHTVLRPYILRRLKADVEKQMPGKYEHV VYCRLSKRQRFLYDGFMSRAQTKETLASGNYLSIINCLMQLRKVCNHPDLFETRQIST SFAMPRSIATEYLIKESLVRRRLLYEHPLDKLDLDFLNLVPISRENISRRLADDSTRL MAYAPFSTLRERQYNRTNWEMEFNGSTALSTLESLENDCRKARMAELERCLYFESNRH GRRPVYGNSLIEFLTADSKDRPTSNGPLRKQSLADWLSNRSSVLASMILSIEERSQMM EGYVQRFACVTPAAIAAGVTDAALTPIESRSLTKAERLPPYDPFHEAQMRLSIAFPDK RLLQYDCGKLQRLDKLLRDLKAGGHRALIFTQMTKMLDILEQFLNIHGHRYLRLDGTT KVEQRQILTDRFNNDNRILAFILSSRSGGLGINLTGADTVIFYDLDWNPAMDKQCQDR CHRIGQTRDVHIYRFVSEYTIESNILRKANQKRMLDDVVIQEGEFNTDYMTKLDPHEM FGQDDALDGHQDEATAAMDRVLETRVSGGPSRGFEHVEDKEDIDAAKNAQKELEHADE GDFEEHNVPGRRPGQTSPLGTAGPEQDGTTPGAQLLTTPQVHGPDDEAGVDWQPGDVD EYLIRFMQWNMKDEPLVLPADKSKKKSKKGKEHRLKRRR ACHE_70584S MLSQRILTRRLPQLAARTTVPRAPFSQGRSLSASAELDDPLQNG NYQNPPRVKRQFRDPYGGWWDQQERRNFGEPVHEENEILGIFSPEEYTHVTPRKGLFQ IGCFVAAVFGLSAVVAQFYPDKPSVPRTFPDGLEKELGGAHAAPARKPGQESW ACHE_70585S MSTASNQSRGINRRINSLQNEARHSRSLSGSRRRPVNSSAAYSY ALRVAYLAHLLQPRSRRVQNVQTPHKPKRASTSFHDLMSDFSLVRDSKSTRFPHGFIA ELEKRLTGVLIGKEKRKEYQDALVVRTFAAFLNTLKEQSFKKRMEKDRRAEDLVLIFY SNATKELSKGKDPDDDHWKFMVDRHVALFVRLISLILKDNDWSKERPELSNRLSVLEN KLLSQDQDLVQTNGSDQKTTEVVAPLSYDVKDMPLVQHVAKIFGMTNSQVQSDIDKNR AVWTAQAALQDLKSYQAHLSLKTRKTLAQEDFESDEGYEHWKKSEGPDLSQMMLAIVQ SNPELAKNTPGVLPRFNPGAADYSSDSSPVELTRSNSDRPTSYIIDQPVDLSSLALSD NGDASDESDTYTYIPSDPRAAYRFILTQTLNHDLRDREIEASQADSEAPSFKLLSKQS TELLNEICLRWRIPSFSRIALFLDVAREKFVDNEIDLDTLDSAFTFVKQSPGSEGKKR SSYMSSVLYDRKKWTIHDLLLMQQLLSSLHEALLRELYDVMMDCFETKPRPIGPVMYV LESHVQMDPNYTEDAEDIDRFRTYVQEGLSQKATEKYQDILGETVPVEQEIWQPDHVI QLGETIMKLGQKIRKRYRNNPEIIGVNPYTTLLANVLPIFAEDAHEMVVRIMEHTKAK GEEMDMQDGFDLYKQLAAIRRVFAETLPDVPFPFHVESLLEDFVWQWLRLTEAKVLDW VEQAIRKDNFGVRGDGSEETVPEEYRHSVSAIDIFRSFNQVVEQLVQLEWDDDLGYAK FMTALSKSISNGVAKYCESLEKMFSREMDRLSPDQEAAMNQTAQEKLMQFAKDTWTNK EKIEPFQFFPESMVKLNNIEYGLTQLDKLEHEINVDGCAEVIAQHAPPQLSKIRKSTT YVFTIKVVEAEDLKACDMNGRSDPYVVLADEYQRRIAKSRIVYNNLNPRWDDAVDITT QGPLNIIATIWDWDAVGDHDYVGRTSIKLDPVHFSDFLPREYWLDLDTQGRLLLRVSM EGERDDIQFYFGKAFRNLKRSERDMTRKITEKLSAYISHCLSRRTLKSLLSRGLSIST VSNFLNRNRGQSNSAIPTAADVENALTPLFDYFNDNFAIMNKTLTSEAMKMVMARLWK EVLATIESLLVPPLSDKPSHQKPLTMQEVDIVSRWLVLLLNFFHAIDDETGEAHGVSI DILKSPKYHDIQSINFFYFEPTDSLIRTSERMASVTISRQQANKNRVSAPPHLSAGGT GGFLGVPSVRRAKSIMLSRNLGTMKKLKEEKWREAQAEPNDDMILRILRMRPEAAGYL RDRSRQKERLAAAAAADAIVKQSLMAGAGGRMTGTLGRR ACHE_70586A MLRSTSRLSLLLRNLSYRPPVRTQQSPFFSTSVNMSMTPVSAKE ACPPAGPYVSPSTLIQCPWRKPIQNHPCESQSLAEITNPTNQISPYKQSQAIKANGQI FVSGQIPADANANLIDGSIGDKTQACCDNIKAILDAAGSSVQKIVKVNVFLTDMANFA EMNATYEKFFVHKPARSCVAVAQLPKGVPVEIECIALE ACHE_70587A MSPTPVVPETQQNLQLQTLAKAFEALLLTVHQLNNKERSLQRRL KYAHEQYSKLADRIPGGTDTHTKIVAEKIIRCPPDSIPPHEQSLKASDVVNTLAESAH VGEPIVNAIREGLDCYQSVPRPSDDNLLTYLNSCVVATRADTPARLEKDFTTKGTQGS LRCPFAKINNKASENGALNGVEDAFDSKNDDACGHDVDPIKAEKNERRSSQAGSARSS TARCPISKCPIRFLDQHTPEEVAEYVERHKHEVPRSHAICVARYQRDSSSMRQLDAKY GNLISMIRGLSVKHQAFLPGAENGVPGSSSSAERVEKWAEDVGNTPKQDMHPTIREEE GEGHQEEERQGHFDRPLRDIRVGESPSRPWGIPVPIPPDPLPASPSQSPSAPVPPPQT QPNISPDHPATDDASVAPLRETTPNESDAAPPPRRCPFGHGAPKAPAPKPEKAEPETQ TIRNDDSKGQVPGVAVDPGESPNDPQLNKSAPSPPANIVFNGPVFFGFSPEQTAILLQ QLQQLGGLGQT ACHE_70588S MSQSTAPDATTTQVSGQPPAHPSRTTELASLKVRLRSALRQFPD FPSPGILFEDILPIFADPSLHEALLRCLELHILESAGGQKPDVIVGLEARGFLMGPSL ALRLGAGFVPVRKQGKLPGPCETQAYEKEYGQDFFQMQADSIKPGQKVIIVDDIIATG RFLYTVFQAEMLTE ACHE_70589A MTSDNANPPEKITLTTTETTQDPKSIPLPPHDNAVHPLKPKQAP QPNPSTPLYFAYGSNLSPTQMRSRCAFHPSLSAKPVALARLDCWRWFICNRGYANVLP PEELRIVHGGERGSVGDGSDVPKSGEEDTVYGVLYEMARDDEGLLDGYEGVDWESEPA GKDGKVPVSVRPREQGVGEYNKWYLGARVTEWLDEEQKRLRSGKEEQVVLVYVDEKSL RVGPSKKEYVFRMDRAIREAQELGFPKKWADDVMRKFF ACHE_70590A MRTRCWSSQMLDLRSAWMAWVLLLSLWLPLAQGMKDNQIKELRQ ETEHMFYHGFDNYMRHAYPEDELRPLTCGPLVRDRDNPGRADLNDVLGNYSLTLIDTL STLAILSSSPENGEKSLSEFQNGVKDFVRLYGDGSDGPAGQGERQRGFDVDSKVQVFE TVIRGLGGLLSTHLFAVGELPIRGYNPAETEAAFARAWDKSSFSKKTRGIKWKNGFVY DGQFLRLAADLGNRLLPAFYTDTGLPYPRVNLRHGVPFYAKSPLNAGISCEESEREFC GKTSRSAETTENCSAGAGSLVLELTTLSRLTGDGRFEELGKRAFWAVWSKRTDIGLIG SGIDAESGRWTQPYTGIGAGIDSFFEYAFKSYILLSSGERPSHDNQSQWHSLDYHYPS LSEYEHSPDAFLQVWQESHASITRHLYRGEGYQHPHLIQGDIFTGATRAFWIDSLSAF YPGLLSMVGELDEAISIHLLATAVWTRFSGLPERWNVATGNIENGLAWYGGRPEFAES TYYLYRATKDPWYLHVGEMVLRDLKRRCWTKCGWAGLQDVRTGELNDRMESFFLGETA KYLFLLFDPGHPLNQLDKPFVFSTEGHPLIIPESTISTSRKEPKKQKQTIDQLNELTC QTAPPAPNLGTSSTAARPDVFHAASLARLHFMPSRGAAEGALLEYASDHPSVSISDLS SPTNYTFYPWTLPPELVPFNATSSPMTIRPTLDISFPALPGMIMGPGSLERVRDGILV KTIGGLRLSMVQDVALPTGADNTDEDGYRVQVLNNVPLGKDEKVYLSRDITFDVLDPT DPNFTRIRDTSMVDLVIDVIPELPRRRNDSDAHRESRAGEGEAEQGPSFDDGDGNNSG NGNGNGGHADGDGDDDEPLAASGVKAAWSSLMSSVSSLLREEMQGHFTWSSSTQKKIP SLRLTLPAAISTGIGSAPLPDVQDASTVSLPDNLSSQPLSWSTIYFADELCNDRVIRQ VVQNHQILVVRRGGCSFSQKLRNIAAYPPSRSALKLVIVVSEDDYLDGQDATATGPGG SGPPLSRSLAALRAEPYLVRPFLDEVQMTTGGIPRRHLISMVMVGGGQETYELLRQAT GVGIKRRYTVHSQGVPISNLYII ACHE_70591A MDSALLSQPAPSHSNTTAASTRQRILFDSLNTTRNETNTHIDSE RPYTAIEDTSAGQRSNGRRDHGLFRNRAERLATRIPMLSRTEPAGSQYSPGRSYVQID MQSVATENHWGDRAPSSSNGASRERERTERAHDRRSRRRQEEQPVLHERSCSSILKAN HLRRKLFTLATAGLFFIIVLAIYVAFTASHAKMGRELHILLIFMLLILAIVFCHSFVR FVLAIARGPTNRSRIPSRSGPRGYAEPDRPIPVVLAADEEIMAEGAREKLASPPPAYG LWRSSVRINPDLLYWQRVNESSAPRRTPSGKVTNQRPPSYASDDGVDYVVEAQPRSFV PNRGQPGPSRIPEISELP ACHE_70592A MMSGEKRPAQAAFGSSNQLVVKRKKSDNELNPSTSVVKSSAQNG SLIQAVPRTSGLDAPIMELNGHSGEVFSVRFDPTAQHIASGSMDRSILLWNTYGPCEN YGILSGHRGAVLDLQWSRDSRTIFSASADATLASWDLETGQRVRRHVGHEEIINCLDI SKRGQELLISASDDGCIGIWDPRQKDALEYLETELPITAVALSEAGNEIYSGGIDNMI HVWDIRKKAIVYSMAGHTDTITSLQVSPDSQALLSNSHDSTVRTWDIRPFAPTNRHVR TYDGAPVGLEKNLIRASWDQTGEKIATGSGDRSVVVWDAKTGKLLYKLPGHKGTVNDV RFSPNNEPIIVSCSSDRSLMLGELGK ACHE_70593S MSSTTKTARIGEELWKTRVDKVNAELVTLTYGTIVAQLCHDYDG DYTEVNKQLDKMGYNIGLRLIEDFLARSSVGRCANFRETADMVAKVGFKMFLNISPTV TNWTSDNNQFSLIFEENPLADFVELPDDGRAQDELWFSNILCGVLRGALEMVQMQIDA HFVSDVLRGDDTTEMRVSLVRYIEDEMPPDEE ACHE_70594S MNQMNMPGMNPGMGGPVGGVPMINNGSTAPRNDGNVNNIPETMI TNLNTYIYDYFLRRGYHDCARALVQDDSFKLNTDPAAKTSPGNRDVNGIDGDTMMTDG KDGDKVKIPDDLPRPSLSNESQHSSFLLDWFSLFWDFFWAQRNKGNRNDVRQYLHHTQ NIMRLRESQQNPLLRPQPMMPGQIGQLNNIRRNNMVPPNLQKTVLQNNTAGLSQQQMA HLQRSQQAQMMQMQRDQSEMDMNGHRPQSPSSADNAPSPSKRPRLDGGPMNGQQLAPN GRGQGQGMPGQPNPLMMQNGLNARMAPQAQAAFQQPAPGAQQKSIQGLPNGMMNPGVM PNQPDLVAMPDGQGMYPMGNEYYGANGQMPQVRAGMQTPGGQHGNHALQDYQMQLMLL EQQNKRRLLMARQEQDSMTRPDQPPMPGQQALPPGTSPGSRAGASPNPSDQMKRGTPK MPQTGLPGSPSAADAMGQNRASPASMNFNPQLGGPDMTGQFFNPNGMRPPSSNPAFSA AQMGQAVPAGAANRVPSGSWPQQGGPQGQPMVPSPASQPQTGTPQERGAMPPPQAPPA TGPNAGRTPASPQTAAPPTPQQAHKAAPKKKDTKDTRKKSAAAAANANTAATPSSEAE HPPTPTPSTPITPQHPNSFNKNGANATTGAPQQPTSAPAPPPMVPQQQPQPSQPPQQQ QPPPPDPNQPFGDLNTLTDSSAFNLDFGALENPDLLENFDFDTFLNTDADTAGFGFDP NISYAADGVETGAGDSL ACHE_70595A MNNHWQYGLPNSTARIDDEQGRQSFSYWGCPAWSENALYASIPW LGIGGTTLPAYASSPSSSCTFYPSSSVTVYPSSSATTVSETQQQLPIKESHLNAVFES AQPAELKREVETDHNRRSSISLQVDELISALMPLPQSASSSGTEAGTDFCAVESFERP EAALSSPRLDSLNINEITSSQAIFYFGVLAIQSLLLPRFHYSKDHGGKKWACTLTMYG RTIVRSFLFETQMEARIEVCWEALKGLQSQFPGWLVPYEPEGGSNSPGWNWVELLQDY CVQNGLRNPQYTQYIHEGNYRHEVEVEGGSFFGLQKQCPDALSSKNAAAHMALHVLLV YGNSVLDFPGPFTMKMSRESLFAHVPKFPSRACAISSSPESPDRRGAIKKKQRTRSHH KKLSPRKNANLLPLTESKLPDLEMNPVKEKRRWNISPSELQNRLKNLPTPFARLKKAC RLLSLQPPEIRITRLDGCPVDTEGEYRTSAHFKGDPFLTRAGAIGSTKGQRASKAAAK ELCAAEVVRYLIKMVSEDTELEEEEAAKREQPKHWQENTLRMYASQGFVGC ACHE_70596A MEMPQDWKLLADDEVVMVNGEVVGSEPKGGSVAVHSLAIKPAYQ GKGVGRSLMNAYIEYMKSGAVHAEQIVIIAHDHLIRFYESVGFENRGPSACQFGDGGW FGSGVYYTWADLTLFRF ACHE_70597S MSTTRYDAAPADPSPLGQPLEFSFSGRTAPNRFLKGAMSERLAS FTMADKANRGIPSRELINLYQHWGEGEIGLSLTGNVMIAPDQLEAPGNMIIPSDAPLS GVRFERFAELAGKAKANGCLIVAQVSHPGRQTAAAVQPSPISASEVPLAGSPLGIEFA VPRAATREDIAGVVEGFAHAAEYLDKAGFDGIQLHAAHGYLLSQFLSSTTNKRTDEYG GELKNRMRLILEIREAVAQRVRKDFIVGIKINSVEFQEHGFQPEEARVLCETLEENAF DFVELSGGTYEKWAMDERRETTSKREAVSHFPFPSLQT ACHE_70598A MHSLLTSRPQSPEYIALSQWNNRTMSTHSKQNEESPFSALEPLD PESTTSPSLPGDSNGRNAAYTAKATALNRAIQDIGMGRYQWQLFFVIGFGWASDNLWP IVVSLILPPVSYEFNATKPPILTLAQNIGLLIGALFWGFGCDIFGRKWAFNLTLGITG VFGLVAAASSSFAAICVFTALWSIGVGGNLPVDSAIFLEFLPGSHQYLLTILSVAWAF AQLLATLVAWPLLGNMTCNEDSGESCSKSGNMGWRYFLLTMGGLAMVMFICRFVFFTL FESPKYLMGKGRNDEAVEVVREIARRNGRRTSFSLSDLQENDAEDEEDVGLSTLPALS TRNLIRMRLEKVSLEHVRGLFDTPRRALSTSMIMLVWAFIGLGSPLYNAFLPYIQQSR GAEFGDGSTYITYRNSLIIAVLGIPGCLLGGALVEMPRFGRKGTFMLSAVFTGVFLLA STTATSSNALLGWNCAYNFMSNIQSAVLYAYTPELFLTKDRGTGNALTASSSRVFGIM APIIAMFADLNTAAPVYLSGVLFIAAGVVVMLIPYESRGRASL ACHE_70599A MYFNLFILAALLPLATAQLSGSVGPSSPSSTKANTKTCNVLDYG AKADKSTDIGSAIDSAFKECSNGGVVYIPPGDYAMESWVTLSGGKGWALQLDGVIYRT GSDGGNMFMIEHSSDFELFSSTSKGAVQGSGYEFHKEGSLNGPRILRLYEVTDFSVHD VALVDAPAFHFSMDTCENGEVYNMAIRGGDSGGLDGIDVWSTNIWIHDVEVTNKDECV TVKSPAKNILVENIYCNWSGGCGMGSLGSDTDISNIMYRNIYTWKSNQMYMIKSNGGS GTVSNAVFENFIGHGNAYSLDIDSYWSSMNEGSGDGVQLTNLTFMNWKGTEEDGSQRG PIKIECPDAVPCTDITIQNFNMWTESGDQQSYTCRSAYGSGFCLESDSDDLSSYSTAL TATATPSAFAAPRMPNDLSTAFGTDSAIPIPTIPTSFFPGATPYSALASAGSSGATRS SSMVERRFLPEQTGAYPDFHGKNRRMVRGAARRFPYGYFD ACHE_70600A MGREGIYDERDEKFSEPIQQEKVSNIITIDNFQVLGLDPDDATF YMGFSEERRRKVIRKVDVRLVPMLAVLYLISHLDRANIGNAKIEGMLDDLGLDGIQYN TALAIFFIPYVLLEVPSNMLLKNFTRPSIYLGILILCWGIIMTLTGVVQGYAGLLVVR VLLGIFEAGFFPGAVYLCTFWYMPKNLSSRLAFFYCASALSGAFSGLLAAAIAKMNGV GGYAGWRWIFIIEGLATVVLGVASFFLLIDSPRRSKWLDADEIRFLELQRFIKEGGEL KKENAQNRFRWKDVWGVLGNWRLYIQAYVLLCQSACSYGTKFTLPTITKAMGFSSTNA QLMTVPPYVAGAISAICFSFLSDRFYWRMPFVAIPVTIIAIGYSIIISFHGALADNIG PAFFAVILTCIGIYPTHPATTSWTANNLAPANRRAIGVAFNICIGNAGGIIGSFMYLD SEAPAYYTGFGLSLAFGGTSLVLVLLLEMSYVWANRRKRRLAEGEVRNMYSEEELLDL GDRSPLFKYTL ACHE_70601A MYDLPSLPAKHHDFISYVQSHQDKPIEALVRPYNEFDAVARKVF AQEPSHPALKDNHLNLVPLYDESTGSNNVQVRARNLDAEPQELKDQYLLPLKNQDRRP TGSPAVVPTLEEFQKNFTLFSEGALSDLEWSNVVVAGSAVVTSLLPVPEKHRGSKRAL RQFYHEKFAPASDVDLFLYGLTDEEAVEKIKHIESRIKNTILYETTTVRTKNTITIVS QYPTRHVQIVLRIYKSVAEILTGFDVDCSCAAYDGKQVYASPRALASYITQINQIDMT RRSPSYENRLSKYSHRGFEVFWPSLDRSRIDPTIFERSFSRTVGLARLLVLEKLPKSE DRENYLMQRRAERGRPLANRYAQRTKQLPGNIKDDWDDEAPEWQEEDEISDYNHFSIP YGPRYHAKRIERLLFTKDLLLNAEWNRPKDRTVRLHRHPAFFGDVEDVIHDCCGYCPE PVTEEDKEVAEEESKIYISGDVSLFIKDDPGRQEIGSFNPITDTDWTEMAYIGNTEQL CQAIVDHDLEAVKQWLLQESADPNTRDYTGRTPLHLASLTSTPEIVQCLVDHGARMIS RVADGRTALHFAATRGNVDIVRVLLIKSEQNEEQEAKKEDLRKSEPPQEKGKPTDQPE DDDIEMIDIDDGSSRTSASFVRVEAPESGDVFDGTENELEPDVYDVNVLSWDSRTSPL HLAILNGHVDVVEELVSSFGADVLLPIKLLGSENTPTAAILTLVLALQLPLEKAKAMT QKLLQLGASPAQADLKHNTPLHYLAASNYSELLDLYHHHDEPAVKRAINHLAFLGYSW TPEPYSAFVAAINSKNPVGAIKLLEAGAEPTLEFSHFVQSAKAVFEAIQSNSSEKNTD IFRHNVPQPIILAVKKELPELVINLLERGVDPNTLTTEGCLVVDDEDVRDYTKGASLL DNVRDKIKNLQAYKGEKCTSLPPRPLETDDKAYLDGIKEGTYAMLTARQQVKEARQEY EKNLRYHQDAVNEARNCKGVDRKLAAAKDLAEEFQKVEAVLLEKGAKTFVELYPDIEA PREDEEEEEEDETLKKDKFKVTYKFRVPDLTKTKRNGYLELYEAAWNGDLGTIKALTL TTWGPNNEEAPLQIAITDRHFFSPFSIAVLRGHLSVAKAILGIVQAQYKPMEQKGHKR YTADYDTDQPRIYSEIVDDQFTVDNIGEVATQVECPIKPLDVLRWECSARLFIENDGI SDSARYEVQSGITSVLQNYFSTWRLDDDASRIPQHVLDYAVWTDNVPLLVFLLELGQE LTSQDAEKDTTIYTVPERVFVMAIGLGRLRCLEELIKRTGAGMPIDEFVEKSGVKLAE RPEFYQGLTIRGKKRADWARAERWQGGQEKSKSSPLLVAASYGKLDSTKWFLGKEPGQ QYVAFTKAYEHDKRLKHLEKSAKGVEGALMNWLKSRSGLSLHCAVLSKPNEESEQLVE YLAKQYPGGLETKSQEGHTPLALAFSLHRASFAKILIEAGADQTTRDRSGNNLIHLLL CDKNGEARENPDNMESLLALLDSRLIPSLLTERSSERPGSLTPLARWILKAYGFDSYQ SNSIETDGKTAVLRIILDLAQPAGQKHLEMLDGAGNTPIHDAVKGELPRALKLMVDSR ADLLHRESATGTTPFEMAIDKWVHKATEGPPRIPSAETSSWNDEDAPYRGVLKQQPEY FVEEKQTQDVRREICELCRERAQTGGQKRKLVTLFEANEVAKRLAAKSHGDDEGTKVE VDEVSTWYERAAHEREE ACHE_70602A MPASAVRIVEVGPRDGLQNIKEPIPTATKVELIRRLERTGLRTI ELTSVVSPRAIPQLSDCQDVLSDTSVEQLQADPDRRFPVLVPNLKGLDIAIRYGVREV AVFVSATEGFSKANINCSVEEGIQKAKCVVEKAAQYGIPVRGYVSCIFADPYDGPTEP SAVLNCVHKLLAMGCYEVSLGDTLGVGTPSKVHSLVSYLVESNIPIDQLAGHFHDTYG QGASNVWRAYQCGMRVFDSSISGLGGCPFAPGAKGNVATEDLVYMFDNAGVKTGVDLS GLVETGTWISETLSRKNSSRVQKEICANERLSTTLRHVGSEGALVKKPILC ACHE_70603S MLDGYPNPSTPIQTIGLREICQVNNHHFRRLRGTETWIEYTPPT SSSTDPPTSSTTRRDNSGDQSTSPIYLSLSLESQSPSEPNHWSLFLARENAPGKLYQV TGDAESMTYEPSIQDVDITRAESFYTLYQLAEISDEQVRIVEEIAEGEMPPKAENRAS VRENCQGWCVRVLGRLVGRGIVGREKVEMVRGLMEPV ACHE_70604A MSFNDRYFWGPLINADKSPAPLLEQLCLGIAELMISFHDCGTTD LTPERLAAFYHKVGGNYDPLFLETKPAALSFIYQSLGCFHSLQPSTNAFEPPSLPALL PSGFVRWQTIQILLDPNEHVRYLQNAVRMWDIRNPFGGFFPKSLPRDSFPPGPDMEMI QWHEQVSRRLEYDYLKRSLPRSPPPPISEQYQHRFLPSQSALVPVRKEEPEEDRALVR TKNRTVPQYRYVEANDTPPRVPNGRRTSAEHPPPPSHKVPPRLSPPPEIKPRAPSPPV WPEQPARSRRRQRASSIPEPVFPEAMPGYYPSDVSSEPQSPAPEPSPRYHRRYLSPHR GSRARRHSHDAYSRKPPRDLSPDYPRHYTPQYSHRHSGGWHDANVPPRVHWAYKDETP PPKHSGVRFREYASEEPVTVPSSPESPVFVPVHPRYASSGYMPPHAPDPIEDPRRRSY SGGSLPERPRFAGPGVAGPWPPQAPVRMYPAQGPPTAYVPVPVPDMEYVAPRRVYR ACHE_70605S MFPPFDYFTYRRIRDSKRQARANRIASLPPGYHAPFTAFDKSVI NKSIEELVQDVQADSVSAVDVLRTYGKVAIKAHEKTNCVTELLVPEAEKWAEEEVNLE GPLAGVPVSLKDTVMVKGFETSVGYTKFCGQPEKEDGAMIKLLKDAGAVPYAKTALPA TLLSFESANALWGTCKNPHVPAYSPGGSTGGEAALLALGGRIGIGTDVAGSVRVPAAW SGIYSLRCSTGRWPKSGGRTSMPGQEGVPAVYSPMARTLNDLAYFARSIIGLQPWKYD YTVHPIAWRDEEENEARSKKLRIGVMSNDGVVPPSPAIERTLSTTIAALTAAGHTVVE ITPPETADTFTGFNLASQLLNSDGCATFNSFFHSFEPSDPGADQLSRIANLPRALRYL YYLYVRYIKRDVKWATLIQSFSPKSAAENWKLVYQRETYRATWHAWWDAEPQQYDFIL CPANATPALPHRAMRDAVSSCGYTFLWNLLDYSAGVIPVSHVDAVKDALVAPYKTILK RLGSNHAIAQGAWMHYDAAKMAGLPTAVQIVGRRWQEEKVLGYMAAVEQALEEYRDPQ TGEGGKYTLLEID ACHE_70606S MASSSSKDAASWRKRNQNQNQNQKDQIHFVNARPSSETEKLKIQ RMVRAHVGKWISDQTRDRSAEGSSAPGLENGMESIIQETGRDEGMNYGTPGTSRVVFV DEYEGLSRSSSSPDVVELSSHSSSDSNAPVAVPDSSFEQRLVDRPVVKPQSRTPHRTP TPQQMSTSIHENPHTYNHIQDVIRSRLPTPSIDRIGVSAWDPFHTYPSRYSPEFIRLH GGYSLSVVWPFLTPESTNGSGVIGNSTWFPLSLTDATLFTAFLFGSLSHQCVRWRNRS IPAGAFKPQDLRTLQMVEIETIKSINEAVQDPARAASDAVLLSVLCMAHNTSNTSSQQ RADSTPFTAPLQRLQWLDVYGSLPPNLVHIKGLIQMVMLRGGVEKLELPGLASILSFS DILTASAYLSRPVFAWMPLEKHRRGHTLQTLLNFTPLDIHHGFGRFRDFGFTPEMAEI FQAARVYTNIAEDYFKGRIVKPDLTMLVDQRNLVHFTILSLPSASELQQRHTYRTHEI IYETCRLAALIYGVGVVFPLPSGSTPLPVLARLIQTILQCPTSSCIWDYTDASTALTW VLALGGIAAENLPQRTWFVSALGHTARQNRICCWNDFRNTVGTLLWCDAACEQPGQRL WLEVARSFQLGC ACHE_70607A MSLSRIPYLEWLEGNSGASREDVDRASVTDSDEDAYLELPDDSI ASSFSSLSYVPIRRSTKPVAGLGSNESGEVEAEVGPPDSGTREGTGIGSEGLPLDEPD GLLKTTGAFEVYSHKRIAQVCIAVLSCFLSGGIIFGYAAIKPILVKESVYRDLCSQEE LHQGVSVCYGQDIRLNIMFTTAAVATNLSALPIGTILDTYGPRVCGLISSSLLTIGSL LLAFARYIPFDAYIAGYLSLALAGPFIYIPSFHLSNTFPAHSGLILTMLTGAYDASTA VFMAFNLINEYTDGFSTQRFFLIYLLMPLFVLTTQVTIMPATSYKTVGELVLQTEAHI ATEANQTVREVNHHQETITKIQSFLTFPNSTHRIMSSINRWTPVLPQDQNNHTPQTQT QTHKDPIQGTLHNLSARAQILSPYFILYTLFTALTTLRINYFLATIHHQYTSLLHSPT LANYITQTLTILLPIGGILAAPLTSIILNILPTPSITLILVIIGSTLCLMDTISSLPA AYITILLISIYRPFFYSASSDYAARVFGYRHFGTVYGLVIFVSGVVGFAQVGLDTLAW KEGGVEVVDWLFTGVTGFVGGLWVGITFLGVRRIVEGDLESAERERESLLVDPVAENQ YGSTDYP ACHE_70608S MRGCLTCRQRHLKCDKTGVECLRCQRSGRRCIPAPAKPEEITFR HGQNPSLRAKGPPRYGESDLAFPDDQTWVETPPDVAFEDETDRTAADYHVIGPEAMPF GAETTSDSRSSASLATMTPPVVPTGLLPSFSPGDLAHPTALPVSRPSSVNSLLPADTL VARPKLASLNEAFLLRHYRKALGAWLDACDFERHFSTEVVDRAPLCALLLYACLAISA RHLCHTTKSVPPHVADEYHERCIAILLPVLENKDYNISIEILLASTVILRFFEQISSQ HPSCDLQRHLLAGSVYISSHVDCAISGGLAEASFWVFVIQDIQFALAYQNPLRLTFSP FEEKLRQAWASQTLLDDRCWTHRAIWILAETINYCYSSNTGESQLDAIDGEALREKIC SWESEHPAEFQPLHFSPADPRNNRPFPVVWYTSPWHATAIQHICMAKALIREHDLRVM HMGPDSDRHARRLNEEVMENLSVLFGIALSADDDPSARTMACHALCACGSWIRDAQAQ NILLDLLRRTEAENGWPWTFMMQKLTQDWRLTVR ACHE_70609A MSSKPAPWLDTSEKGTSFENLDLEMHGRVCHLVDLGMHEDIRDA EPDVLISR ACHE_70610S MQEGLMALSGTQNGAYIAHHTLPLGQNISLARFKQACQVVVTVQ PILRTRFIYPEVSGALQAVLRGKIEWQSGESLDDYMKDGKQHPMRAWDALTRYGIVPD DNDGWTLDLLFDRLDKARTPHLGKPIVRSC ACHE_70611S MTGAEQFFIRMLAGSEVTSMINPASKKSANVMNTVIMEAIPFVA FRNHGITAATMIKVAWTLVLANLAATSDVVYGYTVSGRNLPLEGVESVIGPCLNVLPV RANMNNTNTILDLL ACHE_70612S MGFRQIIDKCTDLPSRTHLSSVFQYQQFSGGEKLAPGRSIPVEG ILKCAPGFICPAPDDCGLSILATPVNSQNVVRMEMIFSNHAMARGFADDAMKRLALKI EMIARDVEASLSVEELYAQETRIPLTELPTLNGDVNGSLVEGVVNGINGTTAGANGVH EEPGEDHFVKEDISLLASMPMS ACHE_70613A MTFQLAVVPALMGLTAFTMYRYTKPTTSKNKFHQSIDIDRCRSI IAAEKHPNGLANHLTPYESRALPNERLQIAFGIKNAFTGMDEEYAKRFANHAKDLVNL SSTEEWNELSGSLRDAVKMWTDDQEQIRLTSMIQALSLRMILPVFFKNRLFYAEADAP FVDLAEAINRVWMETKNKDDILRFEDNVELQECLATIFPDSNFSDPEDNPLNLILPGF ETMWRVVFRLTLEITFTTGQKHPEWADMLIAFANNPTTAQFTEIHPDNKSHISISPKH LVNEALRLYPPTRRVYRAFKTSQNATIETLSADIEACHTSVDIWGSDAMIFSPGRWTE RTSQQRQAFLPFGSGPFVCPARLAFGPRVIGLLVGCLVKGLRRGEWRVEGNGVNVGLS GGRLSNERGAYHDMYLVKVD ACHE_70614A MDIDPRLRLDGRHPQSPHRPHYPSDTRPPPPTTTAPTATPTATG DYTSPALTTGSTSPGTNSGVGPSPHEYPDPPAHTPFHPHHPADNENDNDDPYSDLKRP RACEACRHLKVRCEPDPNSHDGTCRRCAKTGRQCVVTVPTRKRQKKTDSRVAELEKKI DALTARLQPGSSTTGSGIATGGQGQQAGGAARRWLGARQDVHPSSPLASGVGLGLSGV KRRFSGEVKEDRSSGYPSPAARPSRWAGFGEAPISSSFVDVIDKGFVSVEMAAEAFDR YMNDMVQYLPIVVFPPGTQMHEIRRIKPILFHAIVAVSIGVIQPDIQPHLIEDFYKII AERVVVKGEKSLDLVQALIVSTVWYIPPDHFEELKFYQLTHMAVTLAMDIGMVRWTKT AKRPFNLMRDVIGKKAFFLDPDSPETRRTWLGLYYLSVQVAAALRRIPLVRWHSYMDE CVEILETSPDALPSDKALVQWVKLARIMEDVCFHFVSDEQDLNATCTEPKTQYTLKVF EKQLEQWRKDTPPEHYSVIMKQTDYLLNIYIHEPTMHINCNGNEYKSPENEYQSTTIN TAYINALSTCLTCTHRALDVILSIPPPSILFLPTYVLARASYAMVALIRLYAIVSAPD SQIGQVIDPPSLKIDHYLGRVVEHYKAAGEHVGGRSPGKFSVVLGMLRNWFVKQRDQS PSLKDALDGRGRSASTSANNMAEGGQEETNRMGPTPLHLLSEVAMGEPKTRQGSNPTH LSPFPSRTSGYYTSTPHPASIDSLVSQTLPPSTSTMDQQQQQQQPWAQYPQMQSTYPD PSAAPIATTTAAGYIPELGLPVGVGFESENLFTLGDMLGDGFFNFPFTVDGNMGQGW ACHE_70615A MERRRADTLSAPDRKRSRLALSDGEPSSSSSSSSSTSRAGSSFT TPIDLTSSPPSPPRQPSRPRAPPRPPSQSQSQWPPSDGTDYMEYVRPRWQPDDEVDEC PICEVPFSFWYRKHHCRKCGRVVCASCSPHRITIPRQYIVRPPAMHRPSVPATFVPIR VADGGGDRDGDRNGDGHTAAGAGVGGSEGEAVSPAAINPALGGGEEVRLCNPCVPDPN PEPPRVFVQPNPPRHRSYHSMSVPRQRSSYGVGVGVGIPAGESSSRPGRRTVGSNDYP CFGGLGNLFGGSGSYQERPPRFGSLPSSSQQRLPSIRDSSRPPRPRRQISERDICPIC NSQFPPLSEGSEEAREAHIRDCIENHGPRARSSSLAASGNANGAGTGTGRTGPGPIIQ PQLPVRMVAFTATEKDCLGHDTEAQECTICMEEYEVGQPLVRLECLCKFHKRCIVEWF ERKKECPVHKS ACHE_70616A MSTSHDNDGWQQREDDKKRRKKISSSGMRNVRGGGLQSWEWPDP LRGRRRRRRLHRLGFGMGWMVITVMVVMLSMLSPASAVFLDFDNCLDATIINSSPLQL QFVPFDVDVWFDLTDPLRPLNITVYGNVSGTADQRSDYPAPDDPQWLNASNTVGKIAD LSVSNNKYSTLLKSVDVVSFTPYSDASRFCDSVIQGECPLGPVFYANSSDISALRAFT FQEDMQSSYHFATLSSQLLVKSGDASAAQLACISVEITPDLGSSLRNTLTFVPLVILL LVGLATVVAAIYSPWGTTDSFRWTSNYGRDEDVLRLVTPGFGDCLQYIQFAVLTGGLS LNYPGYYQPVMSQPAWSALMFNQSFVNPGKGRNPVIDGIYAVNATYGLDRLEHFVGMA SAQDIWPGMMVWLLVIVVSITFLIQIAFALRWVHHQIANIPEEDLRAKNMPFTVGNVV RIVFNFLFLPLISLSFFQLVIAGDSPAYCVVFAVVVILILIAFSIWTIRLIASTRPKS YLFDDLSTVLLYGPLYNTFNDDAAAYAVVPIFISFARGVAIGALQPSGIAQVVLLAIC EVVSILTLVAFRPYPSPTSMNLYHICFSIVRFLTILLSVVFVPSLGVSEAARGWIGYV ILLLHALVLVFGFFLNALQTLIEVIARLAGAGGNEGNITRGGLVKVFGMRQLSRREPR QDLGTRQSMGSEAGMLTPIDGRLSSQLDSRARSMSGSSALLLGRAGASDGRASAMLDT GSAQGGTSSRANSSGLQSPITPKANAAFPPPVGSTAGSSVSRGSPLFSMQSHDPYYRP PRPRKKTLDADASGSAAANTPRTTHFTEAAEDMIDGPSSNRGAPVPAYLSGPKDDLDL DDPRPPRKDKDYAVREVDFYYRVRGPPLSHTGTRKLKTGPADPTGPVSSATGWFRNLF RGKTKESGKGFEVVRSARAPPQGLFVEGEEFHEPYRDEPDESGAANATRQAPDQDTSY HDSDGEGHNKNHDNDNTESNGNEQPADGVPSLPPVDSGGGIELPSRMNSQHSSHAPSI SISRQHSTRQPSMSSTGPLGAVAEDEPHDPTQLQPTVGMGRFPFSATSSPSRDRDFSV ASSTAQSTTSSAIGRGDSGRSRGGRPSSMGYVTSHRTQDNIHEASMDQMSLSGSAAEW VDEGVAELEHEHEPEHGHQHQHQHSFDTER ACHE_70617A MSQKYTPVGRTPSVSDWAFPSYPSGSPGASSARAAMCTNLMTGH NAELLILRARNGRLEDQNRKLEERIRELLSKQTPASIQDLNLEMISSRLSETFDIPCE RDSLSKCRGIIRGVMHKARFIGQIHWIVTEFRCDIFETMNNHLREPIEPLVRAEEVQM IEAQRMSFTSSLSTPDLPPKELPTN ACHE_70618S MSQTTQTTLDKTMAKLERQESLLSNTSELMFDPNEVVEDDEFLQ DAQIVTADSVYMPVVNLEASFMQPYDEEKLKQELAQKEEELMRAMRTRPYRQRFLSEY KQKKLNRGTDADRKLIALCETACTIADCKLDADLYATGEDMRTDEHAYICLYGLHPSV VNGIDYTPTIAAIDFYAKMVASKAHLVTPIFHRRFADFLRALEESGYESGYADRDGQS SPVYKAYSAYVDSMETEIVNIPDKMWR ACHE_70619S MIRSETQYIPIGYSAGASQSPKQHLFGRLSASTTAGGCLSFFSL CVSVYLFFACPVFPCLYPHSSASQAHLISEAKVATSLTNGFGLRNPLSSDSKRPPL ACHE_70620S MAGDDPFAFLASTPAVPESKPAGKRSLWKEKFFNRDKNRSSTDQ QVEAFLGPTRTASQSQGSSATPRSTASKWPSPQEVINASAGSDSAHYTMMPPKKKNPA RQGLKVSFTTATPELIGEGGDESDVPTIEVSIQRKRARSQSQSVPQTNDIPAPPEPVP HKEGTPQLRVDTSTVGPNPPDVTRIIPDSKPPLLQNPQDADFLMTLSLGERGSRLSFR ASPESNTFAQRVRQKMQLEEGRALQTRFEDDPPSPAEEEIPPASDQRPGSPASVGSLY ETPPFSAIDPTPPANNALQGSPSQGSDSVPPEPPVHKEPTLPFGIKPANTGILHRTPT KAVKAQPKIDDDSPSETSSRPTTRETHEGRPTTRDSNASPYQPQAPRISMRAVANQAG DAAFVEFQQYVARYTNLFRMSAESVKPLMETSLAEWMRAAVWWFLQGRKALEAYARSR GPASPGGGSTIDARQAVLDLGKSLWINEAIFTQHEELTRYGASSVDALQEIVMSTGDK QMADVLGLHQGILNHLRSLSMSIKKNNILAKIVASEAFEPGDNLESNVWLRYPFFAPD VSAVLSGAASRSMLVDRSGDGPSMFTMMPLGDTPRHFSYGTMFVDVYVSSAEDDTQQF AVPCALSILRERTDWYVFAAINSQSDLVNVMIQSDRKKGPTWDDVEWQVRSNSMRVRL PRGFELDVMFQEADFKMIWNIVKYTMKTEDSLKPEAGERVIFESTLKVFQYMDPGMPK AFPAEPIERCRLRLFERSTTVTEGTGTRNVHQGFRITVLTSPKVKVLSNARHILGYGS PVVFGLLRGEDGAPAFVLKVTEEGRTRSMLMTFHDVQERTMMHSLLLGVLAKQNEHKT SDVPIRAFSIEQPYDRVSGRAAIIHLQFSAGTVIVIDQQPGMVEHQYGPTILSEHLRA LITSEWGSVTDRINLGPGELKLGLDVNNRTGLSLYRPAQQDLTLSVAENLVRPEMPGS LSDFLQAAMVKPMIRRFDFASLAALHDFEAAVTGFRVLYDSIATSFIISRRRMVVPIH KKWEATLTRIQIVRQEKVFQLLAFFNDFPHGKCMNWVLKGTDNMESFMRSGKFWIRFV DAKFALPRKDDDASADFVCLDMPEYPIEHDDISIAFDSETDRANFQAAVPGSVREPSR MGSLRR ACHE_70621S MHSSSENTGPSTLSLHADDPVNVVTDVAPPLHVATTFRYPDNPE HLVPVADAENYNEDPHTHIYSRLSAPNYTRFEFILSSLLHGHAVSYSSGLSALNAVLV LLNPKRVSIGAGYHGCHGVVEMFSRLTGLKELSLDCPAEELQSGDLILLETPVNPHGT AFDIETYARKAHSRGAYLMVDSTFAPPNLQDPFAWGADLVMHSGTKYFGGHSDMLCGV IATKNEDWARQLRKDRLFLGSVMGNMEGWLGVRSLRTLEVRVQRQSQNTDKLVTWIHN ALNTPNPAPDSDEAAVQANLESVYHASLQTEPFVRKQMPNGFGPVFSILMKKEDQARR LPSKLSFLQHATSLGGVETLIEWRAMSDPTVDRRLLRISVGLENWEDLRGDLVGAFKE MA ACHE_70622S MMFCSRAARTATAARTIRSFATAATQPPVTSAAKNHKVVVIGGG TAGLAISHQLLDTGKFTQDDIAIVDPSEWHHYQPGWTLVGGGLKTKQELRRPLQSLLD PKLKLYRGSVGSFAPKENTVTLANGDKVNYEQLVVVPGISIDYDKIKGLPEALADKDS LVSSIYGYDTVDKVFGTIQKMEKGKALFTQPAGVMKCAGAPQKIMWLALDHWKQRGLY NPTNPAGSSINISFATALPGMFGVPKYSAKLEELRKERGVEGLFMHDLVAVNGNTATF SVGGKEQVQKKFDLLHVVPKMGPYSFIKNSPLANEAGFVDVNDNTTRHKTFPNVWSAG DASSLPTSKTAAAVTAQAPVLVRGLVQALEGKEVDAGSAAEGYDGYTSCPITTEYGKV LLAEFKYGNVPKESFNEWFGWDQIEPRRAFYHLKKDFFPWVYYSALVKGSWGGPKGFL ACHE_70623A MRVSRSTLAAAAATTLPLAAQAASLQSVCTTSNVKNALPLDVAE GIELDSSSVTTNVVTNYSVSDENYFPDATFDFCNVTLAYSHAGKDDHVLLEIWLPAPE KFQNRWLSTGGGGFAINSQESSLPGGVMYGAAAGQTDAGFGGFSNQLDSVTPIANGTN NLDAIYMFGYQAIHELSLIGKAFTRNFFSVADKSKVYSYYQGCSEGGRDGWSQAQRFA EDLDGVIIGAPAIRYGQQQVNHLFPDVVEQTMGYYPPTCELEKIVNLTIAACDGLDGK KDGVVSRTDLCKLHFNINSTIGKPYSCVASSSTEMLRKRTSTTSTVPAQNGTISAKGA AVASKMLDGLKDSQGRRAYFWYQPSAGFDDGDAQYNYDTGKWELSITSLGGEWVARFL NLEQADNLYSLDNVTYDTLVQWMELGWHRYEDVLQTTNPDLTPFQQAGGKILHYHGES DNSIPPASSVHYHESVRKIMYPNLSFEDSSKALADWYQLYLVPGAAHCSPSTSQPNGP FPQTNLAVMIDWVEKGNAPTTLNATVLSGEHKGEKGELCAWPQRPQWKNGEMECVFDQ ESFETWQYEFDAYKLPLY ACHE_70624S MATFQIHYFSTASSYTNKNTESLPAPLPVNYLFDTLESRYPGIR EKVLQSCGVSVGDEYVDIDTEGNKEGEGRVIQPGDEVAIIPPVSSG ACHE_70625A MHALRATFQIARTFQFCALIAVIGLTANFIAEIVKINARPPNIL IGTITVTCIAVLYCILTSIILLDNVLPLLYCAIIDTLLMTALIVVAVIIGPPFSYLQC AGIPSLMRRGDDTSAYAFATRLSEYLSNLNGGNIDYGNWIGVSREVCLEAKSTWGLSI GLW ACHE_70626A MSFKGWRALATFFLALAPLAAADICSKLETENIEIEQSPSLDYT SELHDYWSLACGDLKPACIVYPSSAQEVATIVKELHQTNDFFAVKSGGHMPNTGFASV QDGVLISTKNLNQVVYHDDDLTADIGPGLSWEDAQKGLDGTGRTIVGGRMGGVGIGGY MLGGGLSFLSSQYGWAANNVVDYEVVLANGTVVHATEKENSDLFLALKGGGSSFGIVT NYQMKTHPQDHKVWGGNYFFSADKTPELLEAVHGFTANYPDDKAAIILTADHTPILNT WIMFLFYDGESPPDGVFDKFKDIGPTDATKTWDSYYDLLKNNDFFILKGQRYVIGTET TPLPSKDAASDVFQTYYDHWMNVTNNVLDVPNVIASMAFQPMPRTITKKAKELGGDLI DFPTDQDYIILELDFSYAFASSDTKIDGAHQKLYNGFDRIISNYVDEGVLPDVYRPLF MNDAYHRQDYWGRLRTTETARKTRERYDPEGFLQKRTSGGFRLE ACHE_70627S MSLALSRTRIFQRIPDGGYVCARAASRATIPSVRVVSGRPVICR RSDFQMQSFSNTYQSYATLQDIGKQTASIEEIQLKNEFEANRDIRDYLVKWQKRNPNV LDPVREPEDMSSQWRGNMLNDNREADGASIDELHSEAEAVDFPNIGDEGEGIDEFLEP GDLVALYSTDGSLTFGIYVRSVQKQQQFYTERGKWRIGFHRDLDYVLKGFAEPEDMEP LLAYFPNAVAELSQELQSAIEGGVPRSLGAHLLESMSSFSNQILDFYRENSTRLDSIH ELVADEVDSVGYTIEELAMKALDMEQEELNDVILFAVHRAAIQNSFLIQNDRSFVFSD YYLVQPKRIARTLQTVTTWVHEHQEMLVRSTMGEDTPKLKDHPIQDFTQKARRLVQLS RKVRSPTKMANVGPTSQRFEPEQNENPLVYREVVTEKFNQFDRKIIEFLQLWCIPPRR MTAGSLRSSGSHIIRTTGMYGGLEVDAVTAPLFLQELGVVSPWENMRLLDLALGLPGH GISSRNDKMLKEVRMESRRLQTEGMTDTMQDLRTDWGELPIYCVDDVDAQEIDDGVSL EPVPGSNDEFWLRVHVANPSAFISHDNVIMKYAAMRNQTLYTPERTYPMLPPTLTHKN FSLAPGRPTLTFSARMNRQGEVLETDVRNGTAGNVIYITHDKLRTLFEPESPPQPPLT VGGTLTTQSTRENIQNTLTPSDEQTFHTMRDLMLAFRSAYRLKNGAMDFPFTPDTPVS ISAGSAPFKPYNMRSTTVGKYILGDPIIQLRPQDDNPHEVPDLTKRNLISLLMNLACW VSGRWCAERNIPAVFDGTWYHPEYAPLTNTNMHEYGGESWLNIAAPRGISSSTPLHHT TLGLDAYVKSTSPLRRYSDLLAHYQIEAALRAAATNPSAGLSETLPYTHDSVETYLHR TRWLRTRIRDVSKASAQHWATHLLFRAFYFAECTLPETFTCILHKPLSQTALVGTEYA QGYAGTITSLGVKCSVVFAEGSGVAEEIGEMGILSTVEARIREVDLGRGIVVMEGVKA GVGFTRVGEWA ACHE_70628A MPSTTLLSLSRGIRRLNANYIASYPYPCLTATSRATARTLSTLP NIPLFRALKNHDPTSQAVIHSASSRSFTYGNLLADVLAAKEKLRIKSGSGSLAGERVA FLAENSYDYVVTLLSILAHDAIALPLSPGFPVGELKYIMDNSGASVLLATERYGDKAR EVLGAGLDNQIVLDVREKILHGGSVSEKVSLEEFGQGLKGGMMLYTSGTTNRPKGVLI PQSALTAQAESLIQAWKYTSNDRLLHLLPLHHIHGTVNAIVTPVLAGSSIEFMYPFNP ATVWNRLAAPFFPNTTAHKNPPTRQEITFLTAVPTIYTKLLSTFASLHPETQSAAQKA ISPQILRLNISGSAALPTPVKQAWQELSGGNVLLERYGMTEVGMAISCGLKFGDRVDG SVGWALPSVEARLVDTDTNEVIRPGEEIDPVTGREREGEIQLRGPCLFKEYWGNEPAT TEAFVPGDDGKGKWFKTGDVAARRDIRAAGKGGSGEWARGPMYFILGRRSVDIIKTGG EKVSALEVERELLSLPQITETAVLALPSEQWGQKVAAVVVLHPDAGASGKKGKWGVMD MRRALKDRLAAYKIPVEMRVVDAIPRNAMGKVNKKMLIREVFSA ACHE_70629S MYLRSSLSSLRRPLFSTVSSTLPSRTMSSSATPVEDLIREKLTT SLTPSTLLIRNDSHLHAHHAPMQGSTSRETHFHVTITSPAFQSKMQAARHRMIYALLK DEMSREGGIHALQLKTRTPEEEQRELEKQG ACHE_70630A MSYQNLEGGQGGYGQYNPYGAAAQPNPYAQGNAMEQGNGNYEMN QVQPGGGTTGILNKCKEINDGIGDLRAKREGQLSAAQNALLDSSTEKEDQTARQTLDY IEDEINNGFRYLRDLLKRIKQTPGSGDARVQTQIDVTSRNLRREIEQYQRAQSDFQKR LREQVRRRYEIANPEATPEELDQGVDNVLMGQEQSFQVAGTRSRQANDARRAALERSA AIRKIEQDMIELGRLYQEIAEIVHIQEPQVEQIHHGAEDVVGNVQNANTQIDSAISSA RKARKWKWIALLIVVLIIAIVVGVAVGVTQNK ACHE_70631A MAAEHEVEPAQPQDTITTVKDPAETTEKALGDTPDGEEPNEDEK KSLRHVAENLPISAWLVAVVELCERFTFYGMSGIFQNYINLPLDGSQGRGALGMGHQG ATGLNTFFQFWCYVTPILGAIVADQYLGKYKAIVLFSLIYMAGLLIMVCTSIPASLEN GAGLGGFIAAILIIGIGTGGIKSNVAPLIADQYKRKKMAVSTKKGERVIIDPALTIQR IYMIFYGCINIGSLSLLATPYMEKLVGYWSAYLLCLCMFIVGTTTVLLGRKFYVVRPP QGSIITDAFKALWIMVINRNMDAPKPTWQAENGGRRARTNLPWDDHFIDELKRALVAC QVFCFYPIYWVVYTQFSGNFVTQAGQMEGHGIPNDLMQNFDPISILVFIPILETVVYP LMRRLRIPFKPITRISLGFIVASLAMMYAAIVQHLIYSAGPCYEHPGCDASIVDGTTQ GNHVHIAIQTPAYVFIGLSEIFASVSGLEYAYTKAPPSMKSFVQSMYLLTNAFGAAIA EALTPAAYDPAILWMFTGVACASFCTGIIFFLTYHHLNAKEEDMNALDADDPDAPVAK EERKE ACHE_70632A MAAVEHAPAPVNDENTAPGVATDEKANGDVTVFHDPENFTVKHP LMHEWTLWFTKPPSGKGDNWNDLLKEVVTFNSVEEFWGIYNNITPTSELGLKADYHLF KKGIRPEWEDPQNKHGGKWSYSFKDKRSVPIDDLWLHAQLAAIGETLENDDDNEVMGV VVNVRKGFYRVGLWTRTVGKSVPGDKTTRTPAQGKDILESIGRRFKDVLRLKEADVVE FSGHTDSAHSGSTRAKAKYTV ACHE_70633A MLRWYQSKLAKQPIFTASVTSAILFGSGDVLAQQLVDRRGVEKH DIARTGRMALYGGAIFGPAATTWFGFLQRHVVLKNSKATIVARVAADQCLFTPTHLTC FLSSMAIMEGSDPIEKLRTTFWPSYKANLTIWPLVQGVNFTFVPLEYRVLAVNLVSLG WNCLLSMINSGDA ACHE_70634S MADAAPRGRGGFGSRGGDRGRGRGRGRGRRGGAKEEKEWQPVTK LGRLVKAGKITSMEQIYLHSLPVKEFQIVDFFLPKLKDEVMKIKPVQKQTRAGQRTRF KAVVLIGDSEGHIGLGIKTSKEVATAIRAAITIAKLAVLPVRRGYWGTNLGEPHSLPV KQSGKCGSVSVRLIPAPRGTGLVASPAVKRLLQLAGVHDAYTQSTGSTKTLENTLKAT FQAVVNVYGFLTPNLWTETKLTRSPLEEFADVLRQPKKY ACHE_70635S MEDHPDHWKSTLLGEDFWKDAEAFNRVTNDEIEAEHEQILHKFP FNLGPEQGPAPRPPGSAGQTLTKQHHHHHHHHHQQQEEEEYVQEQQHQPGRRRRRFSM NDAFSLSSLNLSPSHLLGRRSRSSSRSRSSHSPTRPPSVLSESRRTNSRLRFALTMAC NSKKKRDDDADTDSIYSIPLRGPKDPGTPEKPLMFFRGGASWNVLPRDMQEIGIDLFW PVKQDQEGDREVLHIEEMAPLCQFRGLRVLKITGMLQSYQKYIWQAAWLNTELEELEL GMAIGPRIRRNFPGDWPFIKGGWTLKQDTYGEPVYYGHMGTGNLQRTIGIGEYLDKTS IEKAKVRAMATGRTLNRLSIKTLTLNGFVVDADPILLWFDPKMLRCINFRDNCVDAGF YLCRPMRKVEVKFPTEIQEKTVTVRRVDVRKELKIVELRGGKKVSEAPYSGRESHDIG SGDGNGVQGVEGRDFERKTGELKLDSPEQEGIRTTTVVEIKNMPAYAHDNGEGASYAY YRNVF ACHE_70636A MIESFASSTLPPLPTSSPSVGPVPTVIPGPSAVLQEIHATGKRT LWVVTVLMALSSLVFYVLASRASLSKRILHTLVAITTTVSFITYMALATGAGLTWNHS IFSHTHKHVPDTTEHHYRQILWLRYVNWFITNPLMLINLALLSGLPGAHLLVAIVADL VMLSSGLLGTFTDNARVKWVWFVVSCVGYLVTVYQVGIHGSRAASSKDSQRRRFYGTV AGATLLVKVLYPIVLAAGGIALKLNVDAETVLFAILDIFSQGILGYWLLIAYESAAGT TLYLDGFWSRGIGNEGTIRITDEDGA ACHE_70637A MPELPRTLTRSWSSTLKLPKSTFPARVSPADQAKYLRRCTDELY AWQRHERPADRPFVLHDGPPYANGELHIGHALNKILKDIICRVHLGRGKRVRYVPGWD CHGLPIELKALQGEKDAGMVANGPVSAAVVRNKARKLAGKTVKEQMKGFRGFGVMADW ESHWKTMDKEFEKRQLGVFREMVDKGLIYRRFKPVYWSPSTGTALAEAELEYKDDHVS TAALVKFPLVSLPPHLAQNPLLCDKEVSAVIWTTTPWTLPANAVIAVHESLEYTIVES DTRGYLLIAQSRLEYLQNILKEDLSVIVPSILGSELADRTTYRPLFKGRDAQAQPMIA ADFVTADSGSGLVHCAPGHGMDDYEACASHGIAAFAPVNDHGEFTNEAMPIDHSRLSG KNVLGEGNAAVLEYVESQGQLIAQHRYEHKYPYDWRSKLPIIIRATEQWFADVGDIRD SAVKALQDVHFFPQPGKQRLENFVKNRSEWCISRQRAWGVPIPALYHRDTGEAVLSKD SVSHIMSVIDERGVDAWWTDDANDVAWIPATLRDASGSGYRRGTDTMDVWFDSGTSWT EIDGLAQDKGHLADVYLEGTDQHRGWFQSGLLTYIAHQLASGQTSVPTAPFKNLITHG FTLDEDGRKMSKSIGNVVEPQAIMNGTLLPPLKQKKGKKKQSENAVSVYDALGPDALR MWVASSDYTRDVVVGKQVLQTVNTSLHKYRVTFKLLLGALADFRMDRILPYDQLQKVD QIALMHLSDMVVASQKACDNFEFYRAVNAINRWANLEFSAFYMESVKDRLYTYGEDSA SRRAAQTTLFHIYNYLQEVLAPITPMLVEETWEHAPEAVRSQCDHPMKRIISAPAPEW QSPALETDYQDIMAVHSVIKTVQETARGKKELGSSLQSFVHIVLAGENVTDSVLQRYL SELPDLFVVSSVTLSAQSEPVLSSIQNAEWHYMEQFELPSGQKGTVYVYTPQQAKCPR CWRYAVPESPTAEQEEEKLCDRCDEVVREFDANAA ACHE_70638A MTSSRASAQAQIYPGKGLGFITLGASLHNVLSRVKAHPQTYPAI DLAYSSSDPLRKPVVLQLPSNGLRLRFDGPDQRLRLIEVLDFTKISMVYKNQDVVKGT KEQSPSQQGPSFRHVYNRLFGPSYPGEYIPPASHTPYGTYVLSYPGVAFSFPLQNSAY SDQCDFVALLSSSAALPATSMAIYQGSSWPESRSKLFTQPPQYPRSPALVGKNREAVP DEIEEFNVLGAGKIEIIRRSTPTTYISLSETTPQDLIAEFGPPDAIYRKNDRRISIHR AAGSGGRADALHMSSSPARGIDVTDTDQSSNNSVTDDSDEEISHGETLDPSSMPTECF YNYFHHGFDAFISYPTTPGPAFPGSDLSDPAPPTPSSQLVVTKIILHGNVPGSYPFNR HRRSRWTIYFDAHGDSVTSETPYDEISSRLRDVWKGSYASATEERALQRPMVLNRGWG DSPESSVEFLGGWEESTGRGPRAGGDSQDGGLGNTELFGFPGLLFEVMKNGAVSCVTI Y ACHE_70639S MAGPQRPASGLPTRRSALRPPTKRTTSTATDKSSSSASLTRPSS NARALKSPSEPSSVVSKRKERDFEREINEDTSIRVVVRCRGRSEREVQENSGVVVSTE GVKGKSVDLSMGPNAVSNKTYTFDKVFSPAADQTIVYDDVVLPIVNEMLAGYNCTIFA YGQTGTGKTYTMSGDMTDTLGILSDNAGIIPRVLYSLFNQLKDTDSTVKCSFIELYNE DLRDLLSSEDNSKLKIYENEKKGQNGSTMVQGMEETYIDSAHAGIKLLQLGSHKRQVA ATKCNDLSSRSHTVFTITVCTKRTTESGEEYVSPGKLNLVDLAGSENIQRSGAENKRA AEAGLINKSLLTLGRVINALVDKGGHIPYRESKLTRLLQDSLGGRTKTCIIATVSTAK TNLEETISTLDYAFRAKNIRNKPQINSMMSKKTLLREFTFEIEKLKGELIATRHRNGV YMTPDAYEEMTMENESRRIVNEEQRAKIETMESSLRHKVQELFTLTSNFNNLKKDNEE TQAALNNTNDVLEKTEIVLNDTKAILEEEEMLRKAHQDTEEQLKNVGTGLMSTLGSTV RDVEGLHAKIQRKTDLAALNQQSWKTSSGEVSDVTKQIDSRVGAFQDQHSTLVEAISN RISQFVETELKNVQSSQSQLEAFSSSFDKAEVEATAQTAGAHDEMNEVLEEIKVLREE VKGRVGEGLNGLSAAAARISKEVLGEFADFHAQLYESYSTLGKDMKSMFDGVVNHLHE QKTEISQLRSQLQQANRETIEANRKASSQLAQSMEEENATAEAERDQLLSQIKALMDE SRQRQYGRMKSKFDGVRADISSSGDALEQATTQHDRHVDEWVFKTEQFAKDVTASRDE VKTKMQNDWEAFDHRNASIQKVTESVHEETIRIVDAQMNDMGKQMGALDDFVAKARSQ NGRFHEAHLDSLTNMASNVRQSYSTVQNQVGGFGERVGQLQNDTAQDKDSLLEAAAPL TDEVRNPLSELRMNIQARSLKEYVATGITPQKRPYEYPTSLPQTESHEALIARLRNSK QLKDLPFGGEDKVTPLNSSSPTASPSKGFVYNDAEDGVETEPPAPTSAPITTTPSNTG LREVDANIVARAADEATLGLFSSVKLSNSEGEHHRPESSDEPPSKRHCSDAVVADSKL PHKAPNRKMAVVMEGRENVAPSPATRASRRLRGRPSMTG ACHE_70640A MSLPKHYTNAATPSLSSSPRQFVRDPSRSPASRPQTIDRPPTTQ PPEHDQQTYLDQIENLQYENDGIADIPTGHRQPFQPFFTLVEDANTGEYHHPTVHYIF SDDDTDILTEAAVRSLESEQDSSTGGKRPTSSRQGESGEDGSRYNEEDELSGLRKDTL LPPPIPGVRDSYIILDVEPTARTDTNPNNAGGTNSISTSPATNPAAIPQQRNQQPQQQ TPQFTVTSAQSLTPAWQVLNTELVPAPTFENNTSGEKPLNGGLMLKIHGTPGLSPSTA LKEKDKERGSQRLEDMMDQFAKRMSELRQVIEAGEHMHHESDMEQQQRDGAGEQDHVG DQQSADNDNNTTAGTTEQEPPGNLEPRSDAPGEARCVNTTRSTGETR ACHE_70641A MRDIVLPNGMTISRGTNIAVDSPMMWDPTVYLNHSAYDGYRFLR LRQSGNAGAALASISPEHIAFGIGKPICVGRLFASNEVKIALAKIMLTYDVRILDSVK PKVVEMGLRC ACHE_70642A MKGQSIVTDPKKILDATKKKSNQDSQCELFHEHLTEALREIWTD FDDWHTVDWPQHGIRFIGRISASVFVGLRLAYDAEWQGLILTST ACHE_70643A MNPQLLSVPGQTLYQRLYLQAYIHIPAKMKVTFKEWNAVATWRW DMPDDDVCGICRVQFDGTCPTCKFPGDDCALLLGKCGHSFHMHCLMTWIQQEASKGLC PMCRQKFEWKGNEEDGNASQNTQDITQDTTQDIQV ACHE_70644S MASSALRTSVPSPTRFVRSTMSQSLRQPAQCRQVSFSSYLVTPK ELNEALRKNPATRISTSPRVVPLCAAWFMPNDPEGRKGIDAFQKSRIPQARYFDLDGV KDTESPYPHMLPTCETFAEAMSNLGIRRDDNIVVYDTEELGLFSAPRVGWTLRVFGHP NVHVLNNYRLWVREGYPTETGEPAPVEETKYPVPNYDAKLVMPYSELKEIAKEHRKEG SKEAEILDARPYGRWAGTDPEPRPELSSGHIPGSKSLPFPELLDPETKTMRPAEELRK VFEERDIDASKSIISSCGSGVTAAIIETALHVSEYGNPNLRRVYDGSWTEWAQRVKPA DGLIRKAT ACHE_70645S MPTGRKVFHCAVDETALTTNISEIKKWTTNGSITLVVPLYTLER LHALKRAGSQVAINAREAVRFLDRVTSGKDNIAAERVVLQGPMEQYERWEDAEKFFLP EFEEEPEAIDHGVNGDGHVSPEKRAPDSTKDNKRNGASGSDDLSQMLLNKLNFKKDSD AVSTTSAGTHSGPASRTSSRSSRTSPECANSHGDVNGEGSKPNRAAHVNGHQRTASET AIPTVPLVLRPLLSAILWRLHDGPDASNVAKSCILISNDVVVQSWAQKFGIGVKNIHQ LRTSIQYEEREYKNRCKYVEKTQGAGPGAAAEPKSLLSYDDESEEDELVFVPRGRGKG TATKSGGSGSRSSNRKPAPSKNVAPPLEETMEVPTAPIDPDSFSRSIGGAVKQPQQTV DLSTQAGTSQGLARPSRGGRRGGPRGGRGNGRGRGKLWVP ACHE_70646S MADIDQTSLAASSIERRDSLEKHLQHRPDPEDLRNRHILVDTNM APSSATAFPSTLERRHTSDSLQRHLEQRPEREELVERNILPSVNAAPALQAQARELEK HMRADTLEQKIQNRPQPEMLIAQGILDESEDPRSPTY ACHE_70647A MTGTKRSWEGNPLVDKTKLTSTAKNNNTNPAMDEPSGKVLSIFG TFRDELDEHHDRRERIIKTSRDITALSKKIIFSLQRTRTIKSLLPPTIAKETTTRFDQ IHSLFTSLAPDLIAVNTWRYQRNISPGIQEFIEALSFHHYILTQEIITKEEVQAQLPR EVLVTEEDYLMGLFDLTGEIMRFAVTGLSSGAATSAKNQEKQKGNKGEGGAEGELRML PESQAGLVVDLRAMRALFEMLSVPRRHHMLRDLGKKMDVMQSSVEKVEKAAYGILVRG SERPAGWMPDLSHAVDVESY ACHE_70648A MSRFFQHSLSKEWSFKDSTDESEGAWMPVPSVPSVVHQDLLVNN KLEDPFIGLNELNAKWVNEKSWTYRNVFHKPEAAPGSRIILAFDGLDTFAKVKLDGNV ILESNNMFLAHRVDVTKALETDGEHILEINFDCAMLRARELRGQDPKHKWASFNGDPA RMSIRKAQYHWGWDWGPVLMTAGIWRDVRLEVYTARIADLWTEVQIAADHQEATVSAF AEVDCAAGSYKAKLKLSLQGREVASEETTVDKGNTAKVTFNIKNPSLWWPHGYGDPTL YGLSASLTDGQNEVHHVSKKIGIRTAKVIQQPDKYGKSFFFQINGVDVFCGGSCWIPA DSLLTNITPERYRKWVESMVVGRQVMTRVWGGGIYEDDSFYSACDELGVMVWQDFMFA CGNYPTWPELLDSIRKEATYNVRRLRHHPSIVIYVGNNEDYQVQEQNGLTYNYEDKNP ENWLKTDFPARYIYEKILPEVVKELASSTFYHPGSPWGDGKVTSDPTVGDLHQWNVWH GTQEKYQVYETLGGRFNSEFGMEAFPYLQTIESFIENEADKYPQSHVIDFHNKADGHE RRIATYFVENVRTATDLETYIYLTQVIQAEAMMYGYRGWRRQWGDERRCGGALLWQMN DCWPGISWAIVDYYLRPKPAYYAVARVLRPLAIGVQREHHDWSVTHAEPPKTSKYSLW IASSLLKGVSGKVELRFVSVQTGLEIRERRLWDDVRIQANGTTDIIIADIIDHIVYPE AHVLAARLWVDNDIVARDVDWPQPFKYLDLSERGLDVKVSESESDDLQKLRVSTRKPV KCLVFEERDGVRVSDSAMDIVPGDEQIITVKGLRGDTLKYKYLGQ ACHE_70649S MAVSIFTSPPLIITLSLALRATLLIYGAWQDAVSPVKYTDIDYL VFTDASRYVSRGLSPYSRDTYRYTPLLAWLLVPTTWNVAGISLFSFGKVLFAAADVLA GWLVAKCLRTHYGMDTAKAIKFACVWLLNPMVANISTRGSSEGLLGVLVAGVLWAALS RRVVVAGVLLGLGVHFKIYPFVYGVSILWWLDAQRTSSTSSKDEDGKGRTILQSLLGF ITPSRILLTFTALTTFLALNIAMYTLYGTPFLQHTYLHHLTRIDHRHNFSPYSTLLYL ASVDGGHGYGYKFESLAFIPQILLSVALIPAVLAKRDLAGAMLAQTFAFVGFNKVCTS QYFLWYLIFLPFYLPNSSLLRKPWLGLSVAALWVIAQALWLHQGFRLEFLGISSFVPG LFLAGLFFYAVNCWILGILVEDVGGLSAQEQVAE ACHE_70650A MAWEDDSDSYPMNHCNFYGASQNASLEDIFLHVQTHFPEARMLT LEGGHCKKPPLIRHFNNDPYGWSNTQSLAVLPNIQTFVMRGAWNIMRDYQHWKNMAEA LPSIREWDCAYAKPKIEAYETIAGILIQLPPTLAHANISLDGFYNKGHVHNLWPSDEM NPPHLCNLLGEVAPRLESLTFTGKICHCFFHGMANFANRASMSRLKSLDLVVKSCCRD RRLQPAFPFFDEVSGITNINFIRSFEKLVAAGADSLGAHRSLEYVRIRFIDLDSACPL LNPYFQLVNNQCTGIWSDRILENLAESRPQARYAELTEGIYPQYGPNHQIVGAVFPRA RPFAIYAANYRIIADATKF ACHE_70651A MLTTIPPRPVSVNSLASPLSSSLSYQKTGRSTSAEIQIHDDSAA PTSAPASADCQSPVDTEMNDNDTHSRLDDPPSYRSEACFDNLPIEIHEAILDCLFGER APASATVTHGKSAARSWTKALRHPRRKVLSNLSLISPVWRPLVQARIYRHSKYVVFLF T ACHE_70652A MWILDSEGDLLEGKRVWLRPGKQYLFGRVKQEGVRHVIQHNSIS RKHMVISVSPVQPGDGSHIYTRSEITVSDEKSKLGTTVDGEQIKGTSKKLTGVEHSVK LGKYKPALRIKWQPTVLTFSFSSKELKAKDPLAHVRSRLEDLDIKTIIPYIVDQTTHV VQSKRNTAKGLQALVNGKSIVDQSYVDALVYAATPSDLENLESLSPLEADFDTAWPDP SLHLPPPGKEPMQRPPESFAPNPDRINIFYGYTFIFSDVTQYENLADPINNGHGKALL YQIDPGVTTAEEIVQFMRNAAGNKGLGEEREGSGGVVLVRFRSQGQHEDWSIELGNQV AVMTDQRVIQQSEFLDAILANDASSLCRSLPPAPDSGPKSGIKAVTASQPAPTPSENI EPTPVSQISEKVSQIPNSQPSGRSKTPRVRGFVSKMKNFDDGFDLASIPAYVPEEGAG SSLPSAIDLEPLSEPPSQQPVQHPSQKPSQKFQQPSQRQNRLEDVEEAGQEEEDVMAG LLPGANAMKRRRAETGPGRRASPDAQPKEVERKPKRQKLDILEAARKHREAEENAQRR QEEEETRRDDLNDTPIEKLKGLAIVEEWDVPLRKPHEPREERWDERWNGRKNFKKFRR KGEPRYASNRVQRVIVPLVEVTRKDYGIGDHYWTASHESRIDSPAISHPREQQHADDG GDAEEDGLGGSTIPSASVSRVESEVPSQNRSQNWSQKRPREVRDSDSEDELRFRFRRR R ACHE_70653S MEPQGEESLEKGKEKEREEEQLGTTEDETLKYHLLGPSLTKAGQ DAVDQRKVSEIIYNASKGSKFFNHEQNRDHILTTKIERILKEKARLEKMDLSWDFRKA DEFFAELELTRDLSQYVVHVDCDAFFAAVEELDRPELKTVPMAVGKGVLTTCNYEARK FGCRSGMASFVAKKLCPQLVCLPQNYVKYTAKAKEIRAIMAQYDPLFESASIDEAYLN ITSYCVENQLDPEEAVQRMREEILEKTLVSVSAGIGPNAKIAKIASNRNKPNGQFRVS NDRDTVMDFMRDLPVRKVNGVGRVFERELDSIGVKSCGDIYPQRGFLTKLFGEKAFQF LAQCYLGLGRTKIQPVESYERKSVGTETTFQEIGDKQELRSKLWWAAQELEKDLARTE FKGRTLVLKVKLHSFEVLTRQTAPPRAVSVAKDLYAFALPMLSKLEKDIPNMKLRLLG LRCTNLVSTKKVGIEFFGVTSRSKPAAKCTANANAEREPGTEEAFEQAAHQEYQDEMD LLEQLSQEVSDSKEVDNAEGNSVDKQTESSTPEVVYWDCPICCRPQPADDKIFNDHVD FCLSKDTIKEAVQGVSEEVLPMPSKGRKRKTTPPHDPKQKRLFFT ACHE_70654S MTSDKQNPGHIAKPFTPTLSAAFHRSSNKSPLTPRLATPGGYRT PRRVAQSEHPASANTTPSKDGYHSTASYLSTNVTPRTNSRTSRRDGTVSSPTSTPGVP SAPNTPYSQSVVTPGSQNGGHHRTERSPARGGPKLEPPRTSRARTLTAESHHSARPMS SPDMSSSGSPMFFHASDARSSNTPEPEPRLKPPGKPSSPASFIYANGKKEERRLSADE SHPAAPAIKRRSTGLPRSVVGGKAPTSNSASPRLKTAKLAADPAPRLSDSAASQSGPP PTNDSSEPSSQRQASLPAIGPDRPPPVPLSIARHIKSSSLDSASNSNLPREALRPSPI IVSPTDPEVDASAAASEPIPGLRPRIFSNGSSGSADTASPVKEAKEQVNTNDPAANAR IERKIMDLEISNSSLLAINRTLEREMRKQNAELRRYRRLSRAGRIPVGPSSRSVSGTA LSTTTETEEEGMSEISSVQSHIESSDHDDGDAEDEDEDKDEEDEDDDEDDDEDEDSAD EGVMSPGSLAKHDAKHRARDEKRVFIDLAKHRELLTDSQKMNQSLRRCLAWTEELIKD GQKALEYNVHVNDIELGGRVLAPEELQDIGESARGLLSASNFKDDYSSVTEYSGPDSG NETA ACHE_70655S MSSNSGNQGSNPFNNLSDQFNKATGNAKDTINNATGNLGDKANN ATSGGQNVSDKFGNATGNLRQQARDFIGNITGGGGK ACHE_70656A MSRPSTQALHADDPLNRVTDVAPPLHLSTTFRFPSEPENLIPSV DPVDEFDGHNYVYSREFAPNATRFEAILSALIGGQAVSYTTGLAALHAALVLLNPRRV SVGEGYHGSHEVIAVLSRLSGLQKLPLDCSAESLEAGDVILLETPVNPLGTAFSIEEF AQKAHSRGAYLIVDSTFAPPGLQDPFLWGADIVLHSGSKYFGGHSDLLAGVLATKRQD WTKQLLEDRLALGNVMGNLEAWLGARSLRTLEVRVQRASQNASHLITWLQSALTATAP TDEQELIKSVLGKIHHASLQEEPWIKKQMPNGFGPVFAIILTSEEYARVLPSKLKFFH HATSLGGVESLIEWRALSDSRVDRKLLRISVGLENWEDLKGDLLQAFRALV ACHE_70657S MHLRPVHAEARIPVLQQLIRENPLGILTTAIKSPTHPFLQSSHI PFLLDVPENSSEDNPGTLRGHVAKQNPQAKALIDALTATQSEKNDVETLELPDEVLVL FNGPHHHYVTPKFYTETKPATGKVVPTWNYSAVQAYGKIKVYCDSKSDITGGFLQKQI EDLSQHAETGVMGYASPWKVADAPENYVQLLKKNIIGIEIRIERLQGKFKMSQEMGKG DREGVIEGFGKLGTETGEGIAKTVRERSG ACHE_70658S MINSCYTCRRRHVQCDRSKVPCAKCEKAGLECTDKRPLRWVKGM AIRGNLQGMSVEDASKAVARGDRVPVGLGDTAVGSLDRTSRFYLDYYNDRICKLFIVY DSNKNPFRSLISLAVNDKTLLKAVLALAAQHKANTGYSDQSEQSPSSLTTSHRDALAF KHQAIQGLSQAVQDVALCRQDTTLASIFLLIFLDLLESGSDRWNVHLEGAKSLISLNH PFGNDNPGQTVQEIRNFVAKQIYSIETLGATFVRPKLLSQFAPPGMLPQDTVEQSFLG CPEYLLHAIQCISHQRDVIAGLDTNTLDNVPIEIPIQNLTTVLDSIQQFDCYLWASTL PHQSQVHDINKLCILSQAYKLGALLYGQRVLDALTNQTTAQDDLLYELIGVIDSLRSD YSLFKCILWPICIAALESSWPAQREFLTACLDKFWQDTMCLNAVNAGKIVRQYWQWAD GQGQESRWIFHIGFLGGDWLLI ACHE_70659S MMNYGGHPFQAPPPPQQQHPRPTSHQQHPQHHSLQSPSMSGAGH PAHTHPRHANGSIPGGANPLFRGQPQPTTTDLTHSPDLRKMTTPVTSAPLVGLSAGGT FSPFPGNFQAQNSSEMLSRNTDSVGGVKDPYLSMQTLQRNINPMANFRPHPAAMNSAL SPHAHAMNISSSSQSPQQSSLERLQHPQVHHHRQGSHSATSASPMLAPSPRPQQPPLP PPQSQSQSQSQSQSQSQSQAQSQRPPHHSPYQTQRPQAQYTQAQHYSPAQQQAQYPSQ QAQYPQQLQYPQQPQPQPQPQQSSYQPTQRPHFSPQQAYQSQPQARHYQQPQQSLPQP QQPQYQTQQNPYAPNPYQQFPFQPHQYHHPQSQQHALLQQQQQPPQPPPQQQLQQPQQ RPAVTTAPAATTAPMSMPSTIGVAEILTTATKQEQDEEEKLAPPKKRSKPAPKQSQPS TSAPAPAPAPAPAPASVPQQLNGPVKSESAGGAAGTENTPRRRGRPRKADKADGTTPA QPKPKPKAKSKSTSSTPNTAPASVPYQHPHPAPSPHVQAAPPPPAQAQFAPHLPQHQP QFHYSTLHAHPQPPQQQPQPPPPSIPRPHHLQQQHTQSPQARPVQIQVQVPVPSPAAQ PQAQQQSQQSPEGQPEGPPQKRRRGRPRKADTIAAGGTTAAPRPKPKKPAGPPKPSKT GRPRGRPRKVDVAAQQQQQAQQQAQQQSGMGTGIEQGNQAAPAPAPAPTQAPPSMAMH MSTLNMGIGMNPVPKRDILHSIEGMR ACHE_70660A MCRQCVEQKITCTFLDVKRVREQKQLGTLGRKVERYEELLRELE PDVDIAAAKRIRKALKLDDGQEEEEDRGSETSSLGSLDAIDLVEEDLNRNEKTRATGY FGKNSEVAWMQKLENEAKHRSRHGIQDGDPAGDCSRGRPEVPISLMSYYLDDLDIPMF HEVDPSAVPSKHLADKYFSAYMLVFHPSFNVVRRKAFTSQYARFIRQPSSVRPPRKWL AVLNMIFAIGCHYCRLTGDDVGDDRDSLVFLARARKLSLTEDTLFEHSDLQQVQVEFL VAFYLLARGQVNRASKFTNMAFRSALSLGINLRFVDDWTDHAAKEARSRLWWSIYILE HSIAGLTGRVSCASEGLSSVPLPVPYEEEYFDRPDVLKLFQDINLRQKYFKPTLFQSD EESRAHGEWLEKCGPSPSSFFFCFVDLVFITQAIINKVYSIEGIRERSGQIEQRIRKY GIKLDNWLAKVPSVYRFTTTGGREALNGDINLLVTSEFDGDEGKEKESERNFAREKFS LAMHFYSSKITLCRPCLTHANARNIAPSTDIGPSHTANGDDNDTNNPKDADTDGDTDG DDDNSNRCTSDPISESNTSTYTTQADITRSNPTPHLHPIPNTSKARRVRFRTEMSLSC LRASCALLSLLPDEPDILSLTRLSPWWHHLHYIMQATTALLLGLSSWPTSPPAEEKYS TSVQAPVFGTAVPTEASADPGSEGDGGTGPRMNPLPTLQVATVIDCTKKALRWLYHMS VHGDTAARRAFVLSDSFMRRIAPNLGISVDDLPEVDALPVGSPAEGMPVPASEIGSGV EGVPGGREEAEEREREMEVEGREIVEKAAREVDREWDGVGGRIAGVYQL ACHE_70661A MDNHNHNHLRPYDPENPQRTNVMDIDLSEEAMPPSSAATSWPWD QSMVASASLSTGPMDQQQQIKSDHTMVDPYLMPGHNHNHLETSMFNPFPRGLSSPSND VPPPPPAHTASSRPQTPTLRRRAIAASTSSSYPSTRSQSRNPPNRW ACHE_70662A MNESQYYRQIAPGPSPTSEEAPSLPSPSGESRSSIAYSAGSTVG GPSSSGGGYGQAPTSGGSGRGSGSGGNSQWKKRVSTACLACKKSKRKCSGTAPCDNCR AFNRVCVFDESLDQRRRVAAKRTADELTYHRDLLNDLFKLIRAADESHALKLLEIIRK DASAEEIRTYIDETLAGLDSTSSHSKENNKEMVNKLEDVRQMLNVEGTSPSFRRKVMD IHFLCDEAPCKVPAKPWTTVTEDDDLVSHLISLYFTWDYPFYSFLDRDVFLRHMALGN LDSEFCSPFLVNAILANACHFSEFTEAYVVPGDILTKGADFLAEAERLRQQETAKIGL CSLQGTLCLYERYALSEDDDLGYLMLHQAIRAGETLGLIGDKRAKIIPEQLSFDMDTS LKRTAWGLFHVDTVIHTSFLRPSLVAKVNMNRMDRNASTDNDLWIPYPSHRNARPAYL SQYFDEACNLSEIALDISKELFSEDRSETSASQRRQVKGDLYERLKRWHNALPGIFGP ETKPPPYIILLRMRYYALVINVFCNADDDISSTTSDAPKTPESEPRQSPTSKYDAWEV TQSAARGIASLARIHRREYGMTRAHYFAMYAINLALFTMLESESFDILDPDFLSLSSA FSVIASRSRLGRNLFHIFRQSVRSKSQGKRIRESSVSEELKELFDEDVIAKGQNWFDD YARGLEKLNQDERYSGLGNGSHDGEDLQEYPGLGLFDMLDRYESLSLGKDEVASERTT CKQEEW ACHE_70663A MAANAIPSHLRAPANEASQGGFGKHHGKSQSHMAFENASTSVAA SQMRNALNALSETVPDPQEKKRFEAEMDNFFALFRRFLNDKAKGNAVNWDRIAPPQPS QVVDYNDLGNEASVEFLNKLAVVKLNGGLGTSMGCVGPKSVIEVREGMSFLDLSVRQI EYLNRTYNVNVPFVLMNSFNTDQDTQSIIKKYQGHNVDIITFNQSRYPRIVKDSLLPA PKSFDAPLQDWYPPGHGDVFESLYNSGTLDKLLERGVEYIFLSNADNLGAVVDLRILQ HMADTGSEYIMELTDKTKADVKGGTIIDYEGKARLLEIAQVPKEHVNEFKSIKKFKYF NTNNIWMNLRAIKRVVEENELEMEIIANEKSIPADKKGEADQAIYQLETAVGAAIRHF KNAHGVNVPRRRFLPVKTCSDLLLVKSDLYRLEHGQLLMDPNRFGGVPVIKLGSDFKK VSDFQKRIPSIPRIVELDHLTITGAVNLGRNVTLKGTVIIVASESSTIDIPPGSVLEN CVVQGSLRILEH ACHE_70664A MASQHGEEAELRQQGAIETAQIAAQDPQSNLRPEDVEKALLEET KKAGMPAYQFDPDASPEDKAAAAQARVPPNFHHEHKPKGTAVITDKDNGGADEYELPP MRSATDLLKREEAAAEEGKKEEAEAAVEEDMRWARDRTGWQPQFVHETTEGEQEEGTL LDHQTFLEGKLDDKFFGDWYHNAGVIVFACLGSWVIAILGGGLAWIFIVMAACSTYYR TSLRRVRRNFRDDINREMAKQRLETDTESLEWINSFLVKFWPIYAPVLCDSIINSVDQ VLSTATPAMLDSLRLKTFILGTKPPRLEHVKTYPKTEVDTVVMDWKFSFTPNDTMDLT ARQLKNKINPKVVLEVRLGKGVVSKGLDVIVEDMACSGLMRVKVKLQIPFPHIERVDV CFLDRPEIDYVCKPLGGDTLGFDINFIPGLESFIKDQIHNNLGPMMYAPNVFPVEIAK MLAGNPVDQAIGVVAITLHGAHHLKNPDKFSGTPDPYATVALNSRNELGRTKTVHDTD SPRWGDTVYVIITSFADALTIHPYDWNEFRKDKELGTATFALDRLEEQSEHESIYLDV MASGRTRGVIHADVRFFPVLEGRKLENGEMEPAPELNTGIARFTVEQAKDLDAHKSIV GQLNPYGVLLLNGKEIHITKKLKRTNNPIFQNASKEFLVTDRKSARLGLVIKDDRDIV ADPIIGKYQIRLNDLIKMNEKGQDWFHLHGAKTGRAKLTLQWKPVAVGGISGSAGYVD PIGVMRFHFKSATNLRNLETMGKSDPYARVMLSGIMKARTVTFRNNLNPEWDEVVYVP IHSPREKLAVEVMDEESMGSDRSLGSVELSASDYVHETETGEYDIDDEKQPVSSNLKI GNGQPKGTIHYTVAFYPSIPVVNPEEEAEEEEAEQEDTNGEPPTPTLSRRSTESRPKV SHSKSLSTDSKVTNGTTSNGEANGRASLETVGSRPTTAKDSEAMSIKSTQIPKTYVGV EDLHNYESGFIVYKFHEVQLAHSNVQLEAVMDDYMFPAYVSPKLRSKSVKLGDVGDAF VRELEFSKITLRLVDKNDPKDDSDEHSVAKLAGDTFTTLQRILYNPTELALRSEHGEV SKVTVSARYIPVKMKLDPSESINNMGTLRVDVLDAAELPSADRNGFSDPYCKFRLEDK EIFKTKVQKKTLHPAWNEFFETPVKTRIGSDFRVDVYDWDFGDKADYLGGTPINLETL EPFHSQEVSLTLDGKSGAIRLKLLFKPTYVMRARQGSSTFSGTFATPGKIVGAPVKGV GFVGGNVIKGASFLKHGIKSRFSKGGGDDASSTTSGDAAEEPAESEDAVGNLAPSAVL VEGPTPPGSSANSQRHERTRSVASHFSDRLGIGGGGGKGDTGTATISIVSASGFPSGA NIRVFVKKGNKDVHKSKAIKASGGAVQFDSSHETCRVHNTTEDAQYHIRVVDHATFGS DDLLGEALFFVSNQGSGAGQEKAVTVGNGSVSIRSSFSSPESASLRPTTSHSNTGDNV SEIMDSPDSKKLGRRSFLSKRSVSGA ACHE_70665A MADALKAEGNKAFSAKDYSTAIDKFTQAIAIEASNHILYSNRSA VYSAQGDYQSALDDANKATELKPDWSKGWVRKGAALRGQGDLLAAHDAYEEALNLEPG NDQAKSGFAAVKRAIDAEVKGGDADPSGGLGGIFNDPQLFQKLANNPKTSPLLADGEF MAKLQRVKENPNSVGQEIQDPRFLQVMSVLLGIDMNFGAPPEGAAPEAQQEAPKPEPK KQPEPEPEPEPEDEETLAKKKAQEAGDAEKKIGNDFYKKRQFDEAIEHYEKAWEANKD VTYLNNIGAAKFEKGDYQGAIEVCQKAIEEGREYRADFKVLAKSFARIGTAHEKLGDL AQAIEFYNKSLTEHRTPDALTKLRNAEKAKSKAEKESYIDPAEAEKARELGQQKFQEA DWPGAVDAFTEMTKRAPQDPRGFSNRAAALVKLMAFPQAVQDCDEAISRDPKFIRAYM RKSQALVAMKEYNRALDVCTEAQEHDDGSFAREIEQQSQKCLESQFSARAGETEQQTM ERIQNDPEIMSILQDPVMQSILQQAKSDPVALQEHMKNAQVRTKIQKLMAAGVIRLGR ACHE_70666S MSVTELASKLYDACLSNFPSDHLFYQQDLLGLGIVPKNDLPLLL QCTQSLVDQKLFRLLAGKNDRLAWKLISREDADKLQNLSPDESLVYNVIHSTGRSGIW VRAIQTRTNLHKSILDRCLKSLEGKNYIKSVHNVKFPSRKMYMLAGLAPSEDVTGGAW FTDGVLDANFINSVAGYIEYTVSRKSWYEVPSADGPGKKRLKTGAGSVAVKQELGERQ YLPFPAGYQGYPTASMITAAVNESGITPVRLGEESIVQLLQMLCFDNKLIALSNGEVY KSVKHPEAVKAAQARKPGGEDADEVDYLVKNGMTEAPCGQCPVFRLCAPGGAVSPETC EYFDPWLEKALGF ACHE_70667A MAHGAEDCASVLEQFVHDVANLPAEINHLMEEIQAKDKTIQDAR TAINTRDGTLQKFIKLNGSLAPNPKEEQYGKIILQNFDRSQQLQEEKIQLSEKACVLL DRQIKKLDIKIRDLQNDGVLSNDPPIPSLFNSNKDQYRDPPKIFFPDTTADSTASYAA PLNPTSGNANAVLGATQRLSQSLARPGGPAAFNSQISARSSAPATPAATATSHFQQRQ QRESSAGAVDSKRRRLNPSLSTLPNTSSNLRQSSLGPGTPRAGTPSTSRAGSAGPRTV GVTRKALTKKVAPHQQLKKLKSMGKASKRSSSASRIRIGMKKSPSAGGDDDEDDSMLS DADMSDSENASAGNDLDDDDEDEGNEDTKVYCTCRSVSHGDMVACDNDNCPYEWFHWK CVGLTREPVGTWYCDECRVTLGK ACHE_70668S MTMSEEPLPEGVFSLLDTDLYKLTMQCAILKYFPDVYVTYGFTN RTPQMKFTRSAYKWLLRQMDKIANIRISPDEIEFLRKRCPYFNDAYLQFLTTFKLTPS EQIDITWTPVNDTGSEEDVGGIEYLIKGRWVETILYEIPLLALTSQAYFMFCDKDWDY TGQEDKAYRKGCALLEHGCVFSEFGSRRRRDYHTQDLVMKGLCAAAEECRKKGWKGVF TGSSNVHFAMKYDVDPVGTVAHEWYMTIAAITDDYENANEIALRYWLGCFGEGVLGIA LTDTFGTPAFLDAFSKPIPKYTSAGVGAVSTSASGAATTTQSNIQSEAQTTPPITAPI HEKSGEHEKKTYADVYTGVRQDSGDPAYFVKMVRDFYDGHGITGQKVIVFSDSLNIEN CLEYKVIAEEANFKPVFGVGTFFTNDFINKANDEKSKPLNIVIKIATANGRPAVKLSD NMGKNTGDKDTVQHVKQKLRYVEHTWEQGDEANRWAKKS ACHE_70669A MLAAKRKSLDAGRYHEDDGEEPPAKRSHSLDFNARAPWLQSSRT EREWRTLGNRAVQPSLPLANMVTAVQDLIDPEFDPLIAILDDEPRFLKPLPSRMAPED LEFLRIRGALSIPESGLRNELLRCYIKWVHSFMPVLNLQKFLFSIAQNDPNGNISLLL FQAVMFVATAFIDFKHLHDAGYTTRKNARNAFYTRLRLLYSLDCEEDRVAIIQTLLLM TYWSDHANHPRRDIWDWIGVCNTQAQSIGLNRDPASAPNMDPSSKRLRTRLWWCLYSR DRLIAMGLRRPSQLNEGTSNIPLLKLDDFDFEAYHPSVTAMFHCRQLEDVSHQKRLAT MFIEKTKLCQCIGRVLFAQYSPSQCQFGTTTRTTITLVPRLASESELARCSQKLESWL NGLPKDAQFIPESRNTIKEGEGVLLLHSAMLRMLYHATISALYRPWATNFHKNQSKAR QELMNTARAKMHDSALGITHIVQGLNQLDLTRFLPQSGVTVILPAAVAHLTNSKSDNP TVRETSLYNFQRCVQVLQGLKDIYPAADMEVANIEAAVKVQSDNTNAFLRIMQYSSSN SDSGQKNDSLEENPENPPNKDIGEQHRKQSTVSDLQSTTATKPSPSPTAPTPAPSQQH RNNSYTDFNNHLDHLNLDTPPTDFLSLDIPNPPFDFAAPTNLDQNISPSDPDPYGIDW TQELLAGAMDSEYGGSGFENERRDAWPFSPDNGSTGIGSATGHTHTPADITGDLDRDL GLCQ ACHE_70670A MAPNQRIVVSGGGRPQKGFVGTLYDEITSSENATIVRSLLVFGA GVAFFHSSLGELLVPPV ACHE_70671S MTNVDELFKKPLSVGTGKRKLEPAQDPNELYKAAKLESNGDAKS KGKGPMVEDEEEDDGEAGPELPPDFEEDEPDDEEGRFFGGGMERQTAEAMLYIDQQDA EEGQAAPEKFDSAWVRRFALNFERKISKNAELRGKFENEPQKFMASEADLHTEIKNLS ILSEHPELYGEFSKMGCVGSLISLLSHENADIAIDAIQIISELTDEDVEAEQEQWDTL VNAMMDADLIELLSQNLTRFDETSDIDRSGVYYVLGVLENLASQQSIAEKIGQDSTML SWLLSRAQKKEVPVSQNKQYAAEILAILLQSSSKNREKFLGLDGVDTLLQLLSQYRKR DPEKDSDEEEYVENLFDCLICLVDEDTGKTKFLDAEGVELAQIMLKEGKFSKQRALRV LDHALGGVGGAPACDRLVEVAGLRTVFGIFMKKQENQTIEHLLGIFASLLRLLPGGSA ARIRTLAKFMEKEYEKIDKLVKLRRDYASRVTPVEQAIEKEKKSFDKEEQEIMAPEWL SRRFDAGLFSIQLIDVILAWLVAEDDGAKQKVVSLLKDHDEDLSLIRGTLQEQVEGLS EDEPGQKDHKEMLETLLQFV ACHE_70672S MADYDDAYEEEFYDDEEGITSEDCWTVISSFFDTKGLVSQQLDS FDEFVSSTMQELVEEQGQVTLDQTLPPSEDEVDPVVVRRYELKFGTVMLSRPSVTEGD GATTIMLPQEARLRNLTYASPLYLGITKRIMEGREKAIGDRDDDEMGDDDEDRKARGT FLSWEQKHLPEDQAKEETVFIGKMPIMLKSKYCILKDLSEQALYNWNECPYDSGGYFI INGSEKVLIAQERSAGNTVQVFKKAPPSPTPYVAEIRSAVEKGSRLLSQLSLKLFAKG DSAKGGFGPTIRSTLPYVKADIPIVVVFRALGVVSDEDILNHICYDRNDTPMLEMLKP CIEEGFVIQDREVALDFIAKRGSTQSSMNHDRRVRYAREIMQKEFLPHISQNEGSETR KAFFLGYMVHRLLQCALGRADPDDRDHFGKKRLDLAGPLLANLFRVLFTRVTRDLQRY VQRCVETNREIYLNIGIKASTLTGGLKYALATGNWGEQKKAASAKAGVSQVLSRYTYA STLSHLRRTNTPIGRDGKIAKPRQLHNTHWGLVCPAETPEGQACGLVKNLALMCYITV GTPSEPIIDFMIQRNMEVLEEFEPQVTPNATKVFVNGVWVGIHRDSAHLVNTMLALRR RNMISHEVSLVRDIREREFKIFTDAGRVCRPLFVIDNDPKSDNCGSLVLNKEHIRKLE QDKELPPDLDPEERRERYFGWDGLVKSGVVEYVDAEEEETIMISMTPEDLEISKQLQA GYALPPEEDPNKRVRSILSQKAHTWTHCEIHPSMILGVCASIIPFPDHNQSPRNTYQA AMGKQAMGVFLTNFDQRMETMANILYYPQKPLATTRSMEFLRFRELPAGQNAIVAIAC YSGYNQEDSVIMNQSSIDRGLFRSLFYRTYTDTEKMVGLTVVERFEKPMRTDTLGMRK GTYDKIDDDGIIAPGVRVSGEDIIIGKTAPLAPEAEELGQRTKSHTKLDVSTPLRSTE SGIVDQVLVSTSNDDLKFVKVRMRTTKIPQIGDKFASRHGQKGTIGITYRQEDMPFTR EGVAPDLVINPHAIPSRMTIAHLIECQLSKVSALRGFEGDATPFTDVTVDSVSRLLRE HGYQSRGFEVMYNGHTGRKLVAQVFLGPTYYQRLRHMVDDKIHARARGPTQILTRQPV EGRARDGGLRFGEMERDCMIAHGASSFLKERLFDVSDPFRVHICDDCGLMTPVAKLKK GLFECRLCNNKHRISQVHIPYAAKLLFQELASMNITARMFTNRSGVSVR ACHE_70673S MAAVQLKFNLRTSPNVKTVHLVGSWDHYDRQIPLSKDSSKPGAW VGKFRFQTSMLKLGGRYWYYYIMDGYHVSHDPAAEYTVEPTTGRKLNILDVPSGKATS SAPKTRRESDDIAKGRALSPSRIHHPKPSKPYASRQLREADFAPTMDDLTRRFAGSRM SDEYSPYSSYSSHSFSNSPPSSAGSSLSSRSSRSSGSTSPSSLSSMSDPPTPTCHCER YGITRKGDRVKLDCGGSRCGYVTESSEASCSESDSDEEYRQAKAAVRRQGIVVRR ACHE_70674A MKIFIPLITSILLTPTTATTAQFQCPDTSNSSPINVDIAILGGG ASGTYSALHLHKNYANHTLALIEARSKLGGQVNTYHDPYTGLTVEYGVNQYTDVPGAA EFLEYLEIPYTRSGTGGEGSGYIDIQNGRILDGPSEEEGNETVRAIQVYRELLGRYPE LEEGFLLPEPVPDDLVLPFTDFASKYGIERAVLPLSTGMGDLSTVPTLYVMKHYGAHV YRNQFLYTARKNNQEIYDKAQTLLADSVFLSSRPLAIRRNENNVQLCLQTPTGTQLLQ AKRLLITIPPTMVSLKGTGLDLTPEETALFTNLSQIGYYSTLIRIPGFLPFLPFRQNT TDITNNITLLENKSPTNNPLNLPKLPAIWNIHKTHIPDLYQVEYGAATPLSEDIVRRN IFADLARLRDAGLIIATAADTDTSTGSYSGVEEEPMVVRFADHSPFYPYASPEAIKDG FYKRLAKLQGEMNTWWTGGAFHVPDSSLLWRFSEGVIAGLVGG ACHE_70675A MKIATSFVVAFASLAACAPIVAKKATIVGSSTSIQTRGENDADV VFVVWNNGKKLQENEIEKRNDADADVVFVVWNNGSKKLEVSEGTVANQKRDDADADVV FVVWNNGDKKMLAQIEESGAQEQ ACHE_70676S MLRKRAWYAEGYEFDREMMNIDHIDHCIDSIRQSLMCSADVTPL TWAWDEEDQMLEPVAAITHTCRDFDAVREWAKENIVREFDTSVHVEPGV ACHE_70677A MGSPWYSRLLLVLAKQFLPRTCHSLVSSRLTRSPVQDQEEEIAQ LLGSLDLVLSQEPWAPVAALSPLPVPWGLLPGLGVLVPLSKAHWEDLLLAPVHLKIP ACHE_70678S MPLTATYKQVSTKITTDIYLPAPTSSPCPVLINIHGGAFMLGDS RMISIPQVDDCLSRGWIVVVPNHRLCPQVNIRDGPLRDVRDCLEWVYSDDGLDRFLRS NEEASRVSVDKEKVMAFGTSSGGMLACGLGYGVPRPPRAILNFYGAVHFTHPCWTQPI PTIQSKLPPDLTSSFLDQVYTQVPIPISSNVSLEGQSETGQSAGPDFSRPRDAFAFTQ IANGTVIQACCPDPDVRREIDPVLNVKKWFPPTFVVHGLEDKMVSIEVSRELVRVLKE AGVECGMVEVPGEGHTFAMVMEVGGRTWELQRQGFEFLERVISS ACHE_70679A MSFKKPYDPFDLDSPSTPDKSRSFLSNVSTTPAGPPPSSANNNS FIPRAAPPKFGNSPQSLFGKSGIENDSIFGSSIGSDHFMPPRKQDPAPAKPLAQSQSL FNTTNGSRLNESTSFGQSNSFTSSWMSEEGQEEMEPEEEYEEEWEDETMDVDKTKKSG GLSFMDSTMSTEPIRPTTALGHRKSIYSNPTNAKRPKLDERWANQSPVRKTALPPKKD SVLPSIIRNFASRSSLAPVDEHSDIILRTEDEVCRLYDEARQAEEQGKDFYVSLSEIS SALASAWKSSAERNGFSGAGFGVGRGEEAPNVVKAGFLGSLLLQLHHPPVNSTKTASP PGPFGRFAPQSLILAGPEESTFTPIPKVLLDWLNANHVSQSADIRALEEPGSNPTTSP NFWETITSAVLRGRLAEASAVLRSADFNYARSALEDGLRQSGYRGAQLQNIQKCVNKA LQVLDSCPGVQHEDWDVKGTEWSMYRRRVAAAMTELEEFAEGDETSPEPPVVKNQFQA VNFGLKPTTGDQGFSFTKSARMAESRVPWTIYQNLRTIYRVILGDPGAIMGLSQDWIE AVIGLTAWWDGEDENSAEDAYLRRLDLALGSATNDPTTGFRINTVSGLEVGLASVFEG NVDGVLRLLQTWSLCIASAVAEVASAGGWMDADDGARKLPGLSENDLIVLSYGQDTSA ATPVRRDDVLNTYASGLFERRSIKNDIGLRDGWELALEVLSRLEDNEKMLKTTSELLG KLPLDTAEQMDKVVLLCSELGLESEGRRVSERYGDLTVEKSDEYGLALVCYARAHSRR KVKSVVDLLISYSLVQSRAYPASGELDEQLRALIREPKTCLSAIAGSDEEAASILQFY FSGYATLRRFYETRDEAISLAEGQRPRFKPLARRRAAAQALVAVIGSAADSIYGGLYD PERDSAVQVDGLLALLGESLVFVGESNPILTPSQQLTILSAVEDLESVTSRVYAQCEE CFRSTLIAYNHSTSSGSRTTSDSYVLPPSPRALLKKSVSATTASSNFSLIGSDMLESA RTRSQSGSGSASAGSSGVLVPRPGDKDGPYERGWDWRKGLGEETRGEDILRMLRLGLA RGLSLGVLG ACHE_70680A MAGRPENPTLTPSQVNDEDVRKSVPIRKKPTQEKGIKIYPFTID KLCEENARYWFHVMENQLKAQFSWEAIEYYHEVGRKEFSTILREDVEWFKINLKADMI IEQGLQPVTILDIKDLDNAGLKWDRLKEIFLKSSNAKKAMKLMKMANWTWDSTRMNEK EAYREIKQLGKEFVDMNGGNKITIEELVVLWYLRGLGDKYATLRDTVMSSNVTLDEDY ILNRIDDMMHMKSGSTEKGSRVSNHGNKKKKGSKCYVCGRAGHFARECQSKHEDSESD IEWDQQKPKGRREGRQEHRRGGRQESRREGRQGKSSKQKGRLAGEQDDDSSQEELCEF SSYAAERSELGRFTSEKGSQANGSCPSVWCFDSGATSMSTGNRDIFEKLDMKSRGTLT IASGVQMPILGRGTVKFNLPNGSATVRLSNVIYVPGLTENLLSLEALHVAGFESRGSI RGYTLLKDGKIVARGRRIGKSTYLDTVSYTNALYVKPEQARKCVELNAKPDERTILQL LSRRAVRADDETEQRREIIHQRLGHPGRKRFNWCVETMDMDELKVRKRDKLLDDDCEI CVKAKQVKSQSHLPVPRARRPLQRVYMDYWGPYVGGVGEERYYLSLIDDCTRYSWVFI KKDRTSSSVQNTLELWLRQAERETGKMLLVIRTDNAKEFLALEPWAQLKGIQLEFTEP YTPPQNGVAERFNRFILEVTRALLFNSGISKRYWKYAVVTANYLRNRTTGAKGSGGKT PYELWHGYEPDLTHLRIWGCRVLYHQRSNDKLESRVMEGTFLLYGKSDKQYAVLPKGA DEIRLVTNPKFREREPGYLTMDKDSSAFEAPMMEPATNVNDAPRPTPMAIDVESQQRD AAPLGGKEASDQQGVANGQSRETNESTPEVDGSPLKSASKVDNAGNEADTQWEEQREV DAPLGEGHQKKVLLEGEKRQENLPQSDTGAIDEHQVERRHSGRTRQPSSTLMESRQTE KIYGRKRKAEGEDTGNSDRPAQRLRAHLARLAVATELLIGDREYEATEGARAAREKAG IRIPKSYNEAVNDPIYGSKWKEAIHKELSTLIGFGTWELKPRKEAEGTISSTRWVFDV KLGLDGRN ACHE_70681S MSEDIMQGPSLYHVYSTVFPRDYNVTAADKRHLYHVDNSIWTPK KPSLTLHAGTDNKAPIHAVCKYAHFSRHAKFGLGDPNQPNQVTWEDLTCQSWTRVKYR WRMPLRNHPASKHHAFVWKRTSNVGVTNSSPSIWAYDNYKLVDEKSEQVIAVFTSSSH KSVDKNGKLEIYVDYGQEFDVLVLITVIALIEKHRRAKHNASASGGGGGGGGC ACHE_70682S MALRRPHRKSRHGCLECKRRRVKCDEARPVCTNCSKRHAECEYE TTSSLRWTNEESQSRQTYSVPTPEGSPPDPTLTAANSLGIVGRLGEHNEAVSSGSLNL TDLELMMQWCNSTYQVLSRNESTDHVWRCLVPEEAVSHPFLMHGILALSALHLARTRN DHRRPIYSSLAVAHQNQALALFRELLGDINDTNAKAMFSFASLVVLYTFGFPHEPNPS NPWACIDDLSQIIVLIRGVQQVINTASLSLRESNFKPLVRPGDFEPVLPDDARLAFGE LLEANNACGAQDETHDTEIYEDTIDKLTEAIGLLNVDHSMTTIGRWAIRLKPTYVNMV REHAPLALVILAYYCVVMHSLRHHWCLDDWSVRVSRAIWVVLDDTWRPLVRWPMTAIF GQSFSNET ACHE_70683A MSSTDHGGWKAYEYNPSMAAAVIFIILYIVVTALHTYNLFRTRT WFCTPLVLGGYFETIGYIGRALSAQQSPDWTLGPYIMQSTLLLVAPALFAASIYMELG RIIYLVKGQKFALIRVNWMTKIFVAGDVLSFLMQASGAGILAKGSQDTGNNIIIGGLI VQIIFFGFFVICSIVFQARITSHPTAESVADCVPWRKHLYALYATSILILIRSIFRVA EYVQGNDGYLLSTEVFIYIFDATLMFLVMLVFIIIHPSEINCLLGRGRVMTTRGGLKL SEVSSLPL ACHE_70684A MSSPPKPYHGWVAHNATDPLTYTTFTPKLFTPTDIEIKVSSCGI CGTDIHTLSSGWGPTDYPCVVGHEIIGIVTRVGADVPTLPSSPASRDIKVGDRVGVGA QSGSCLKAECEACTDGRESYCPRIMGTYNGRYPDEERSKSYGGFAEYWRGPAHFVFKI PDALPSAEAAPLLCAGVTMFSPLRKYGAGPGKSVGIVGIGGLGHLGVLFAKALGCDRV VGISRTSGKRKDTLEGLGADAFIATDEEPKWARAHSRSLDVIICTVSSPDMPLSGYLR LLKTDGVFVQVGAPEQPLPPVMAFSLIQKGVKITGSNIGSPEDIRAMLKLAAEKHVLP WIQKRAMKDVNVALKDMHAGKARYRYVLLNDMETGTQAKL ACHE_70685A MEQHNLNAASSYINNVLLARGLLKGGTPIDFAQPENDEGGVDGT MARVINLVNDLVLRRDREADHRENLATTIRTLRANESQQSVEIGKLRTKTSEMSRSLA LAEAQERALRTNIASAESTIRNMKEQVQRMKTTVQQVRAQCANDIRKRDLELQKLKSH LAERQRGKREGLGVTTININPTVDRASRSKTLSGGEGINNPGYSLKQETNEFLTQLCQ TLSDENDMLIALARNTVQTLKDLQGLTHEDEENPSGTASSGTQKSSHDPVTILPTSCD ELSAHMGMMLEHLQTLLTNPSFVPLEEVEVRDEEINRLREGWEKMESRWRQAVTMMDG WHTRIADGGDSVQAEELKMGLGLSIDSAHASATNDADINMESPILEDQQAEEEEEEAE RKSKQSSQQRAPSKETKPTGTRETRTRRASSRALKERSDNIQSGRSRKVSFTPGLNGS PCVNEDETLQVKAHKSETVTRRALKKRVEANAPRKQDPPSRGRVTLSQKLAMAEDDAR AAEQDRKESQSRKRSRGTGKEARDRRRSTLTNDELDELMGRPSPAKVS ACHE_70686S MASRSLDGSRGLSSAGRDVGDRRTGGVPRGEGAVLLQHGRNLYQ QGDFAAAVEAFTEALKDRDSDLLSILDSRAAAYSKLAQHDQALRDTKQMIKCGKNDER GYLRCAKTLLLNGKPDKALEVYAYGLKTLPTSHPRRHLVEQLHNKLRDKMSAKLLDPF TVLPLEVAVMILQHFNFKQIVAILRVSKRWDQFLTSMRNTWLRMDLSEARGTRGRVHW TSVRSYIRRSKGMLTHAIVKNISSQSTQRVLEFISRCPHLEHLEFWDSHDPAAFYGLI KGSKRLKTLVVSAHMPMVQERIVGFLHGIPRLERIEIHNAKPSPGTKMEWPHNLPNLK SITLGSEDVEPASFGPALFVPPLYNHRLSHAIPNLEELRLDWYGHALQRPHVNLMSKD FPRLRRLDVSGHSFSNADGYFPPDLEYLRIHACVADIDVESFPGPHLANLKTLVLSDV NWLRARNLKQLISNTDGSLRALWLDSCMWVGNELNEVIQGYFGNITELSLSRVPKVED ALVGYIVAFMPDLKVLHLSYTDISGRAIKMLADARVTGDEKRTRVDRLYVKGCEGVSS DAVAYGRARGIEVFT ACHE_70687A MTMPKVTPTSTTLKRFPHIHDDPSTIPHSLDPFTITTSTGFLPY QTSPTTLPEVFQPLMSILDRMSVVREDGTPGLLATYELGPVVESELPDLTDEVDKLVT ADGLPDLYTITALFRDYSFLASSYLLEPCWENWRKNPDGGYGLGRDVLPKAVARPMYH CAQLLDIPPFMSYAAAYSLFNYHLAEPSKGLTYPNLRLVRAFEGGLDPKSSEAGFILT HVDMVKESGSLISGAVRVVDALEKGGPRSEINDGFREILIAMEKIEACMEDMWGNSKP HEYLSFRVFIFGITNQSMFPNGVVYDGVLDNKPLYFRGESGANDSMIPLLDHLCQIPM PSTPLTKILHEFRAYRPLPHREFLAYINAKAAEVNVREYAVKDVETAILLLKTLDHVR SFRWRHWLFAREYIIRRTPHPTATGGSPIVTWLPNQLSAVMDLMISIYDEYLAPALKS NGEKNGHAQNGSYESYSKQVGPMMEQVRDQREKLAKEVERWCKERGV ACHE_70688A MSKASKATLAMTGLGTAGIVWFVHWAQEQERAAMHKGVERDMEK QRIRQEREADFAMQKALQEQYLKVQTVSPSMDDPGAGQNSAGQGT ACHE_70689S MSAQNSAGIQTLLDAEREAQKIVQKAREYRTKRIKDAKSEAHKE IEEYRQQKEEEFKKFEGEHSSGFKKAEEDATKEAEARLADIKTAGSQHGDKVVDGLIH GVVDVKPEPSEKILASA ACHE_70690S MGNVIQDEYAIIRDNYETPRDPIVLAHGLLGFDELRLAGPLLPG VQYWRGIREALTMKGIEVITATVPPSGSIEQRAEELARDIAIGARGKNVNIIAGLDSR YMISHLKPKDFKVLSLTTIATPHRGSAVADYILEQIGAERLPQAYYALERLGFETGAF AQLTQKYAAERFNPTTPDIEDVRYFSYGAAMEPSIWSAFRLSHRILRETEGYNDGLVS VASSRWGGEHGYKGTLVGVSHLDLINWTNRLKWLAGEITGNRRKFNAIAFYLDIADML AKEGL ACHE_70691S MPVRFPHIHGGDHGIRAITVDMCLKWAPARSLRDCRNGSQQCGT IAGTQPTLQHHMREAHPGA ACHE_70692S MDPFQELRNEFSSTIRALQNEIESVKNEPKPLQRPKPCLPDPEK FNGQSLKFDTWLASMKAKLRIDAPAIGDAVAQFYYVYLNLESKVQALVLPQLSYAEDT NTWDYNTILDQLSLVYDNPNKVQEAEDYLLVLKQDSGESVAAYIAKFERILYEAKGKD WPDVTKISAFRKGLNPTLRGRLNQQLNLPKSYTDFLRVVQQLGSHSFSSNSTNVSHSQ SHQSGSHTKSDPMDLSVININSLSAASTSLDERNRRRQQGSCVRCGSSDHWVKDCSMK AHKESNKIWNQQMIARLEANRLDDLNDLDD ACHE_70693A MVFSCTRCARLGKTCVKSDDSDRCSECVKEGGRSRCVEMKPSYS DAEWRRLVRAQHSIKDEEEALLAKLLRLRKQERLLRERANEFISHEFQAIEELEELER EEKRTHEEQGKLQKQGEDVEYDTQLAPASDDPSLAQMMNSPSFWENFDSAVAGGIPSP TGGNQSSSQ ACHE_70694A MAGKLHSGIDRLLTDIKALSAIVERLSSTVKNMAPAIESLRVKV ASLSLAVDSLNAKTDQVATAIGCLSEEMDKIVTMVEVLKLGLQRESYFQGGQGPFVKM PM ACHE_70695A MSQKDWIPLDSGQVRSGLGLVSSRISERLNQVDRVRARGVGDHI ALPQLVVCGDQSAGKSSVLEGISGIPFPRQDGVCTRFATEIILRHEPNDQRNTATIIP HMSRAEDEKVRLSAFHRDINDLVNLPGIVDEAARLMGVQGANDSTDAPTFAADVLRLE VVGDTGLHLTLVDLPGLISVSENEEDVQLVGNLVNSYLENSRTIILAVVPASSDVDTQ SIIQRARRFDKDGLRTVGIITKPDLINDGTESRVAKLANNADRTKLKLGFFLLKNPRP IDLEKGMTMVERRKMEAQFFANPPWNNLGLNPSRVGIDNLRVFMQDLLDRHIERELPK VRKDVGQLLQEISKELMDLGTERTSPPQIRIYLTRIATNVQDLMKAGVEGIYGSRDGF FEEVDDGEESHRLRAAIHMENGKFANYMRQHGQKRKVVSAEHQEDTDPEIGQILVTKE QMSTWIKKIYDRTRGRELPGNYNHALLGELFHAQSSRWGDIARDHVTKIADLVFRFVQ SVLAFVIKDTNARENICRRVIATLDENVKCAFNELGKLLDDEAGCPITYDHYYTDNVQ EARNNRSKQDLGTSLNNAINEDWNGRFHVSNSSIEISRLMASLQNHGVIVDMEVRACY EAEIDLDAYYKVAMKTFVDNVCRQVIERHILAKLPNVFNPMTVSSYSDEDLFCLAAES SKLSKRRVEASQLQEALEDSLRELR ACHE_70696S MCSVFCSTHGIAFLGTPLWSPMEPASGVPLYGTSLTILAEIVTQ SIGLAKQKDIVDVLRCGFEAVLSDNPTDFDTMHEVRLARKLPPIKVVCFYAELPMEES NFVLTRDLATLPGCTSVGIHKNHMDMTKFATVDDSGFIAVRETLGPWIKEVDATGSSV DTANQYGSNNRQYNNFGEGRQMIIEGSRFKTKGDQNFTVL ACHE_70697A MGSLSTCVAAVCTTNVIKDLIRVGSNENMTINDVSTFVTLAYSL EGSDKSAFIEQAELAFCDLVKAKKPDVVLCCYQGNSNNGFIQNLRSLG ACHE_70698A MSKNSGSRKPVSSKNGCISGQMCNQSCLSFAYCREAQQYRCATF ALMEKIRCSDEVGIQELLQSIRKPKTLSEAMEETMALKYF ACHE_70699S MSSRKTKMAPVTQVPTPQTVHYNNHQQADSMDIDNQPAPTEGEF SIEEDTQGEHSEEPPTTPIRGGGTVKPPASRTRFSTGEGPGEASSI ACHE_70700S MTQATVACRTVCLAFAVRVLQCLLKLNLRSNQMPSQRVAPPGGG GVTFSFTEVKFFLQGRGGHQLAYVVSISKAATSGAD ACHE_70701A MGARRRMGPATRAQDRLHSMRLRSEKRLNKHNGKEDASMEDAPE VCKTPTAPPREPTTPQQSPEQLRCEIPMQAQHFPCNPPENQYLPTQPERDTPPTTPTH ESPQSQLGSELQSHIAAAVASKTSQIKTTGDEVLELVSMVSQKVIDWEKQSLQGAASL GRDIRTLVLNFGKNLTTGNPSEQENHHPRTRYTTAMPKLLDPHPPPPGHSPSYPRPHT SHHNQRNLYAFFSASPKITQPVKLARTPQWTY ACHE_70702S MEFFKHSIMGCSSSEPTDPAGVETPQHNTKINKLLKAEKKRMSR TIKVLLLGAGESGKSTIIKQMRIMYEGGFSNDERRQTRVIIYSNMVVAFNVLLDIMTA EKIVFETERAKYAANFIVKSQSIMACDGPLDIAVCNAMRHLWEDGGVQRAVVKGHELA FHDKICSKEVTDALQNVILQHADIRTFVRHYEVDVDVDVQGIIRKTGSQTPFVRFACS LSASIDQNRLYKLSPEESKSLNWLPVVRARQDTVNKRRRKWDDRQAKLDRASIAYQAA FGHLDEGALSKHHRHLWERLDLFQDRTMEAKRKYNKAVCELRNEKQQQQN ACHE_70703S MAPPKKQSKTLASTSKARKGAEDVDPAPEAPQANEQSENGGPLQ KLIHDDRIVTQLDSAYLGSVVVDIRALSHNERNRAIDERFIEKLSEAFKCGVRRFAQE DRLKVTTTSKMLETVLADHVTETTTLMDLHKSLTRKTSDPNELIHIQVLPEGTTFELR NGQHRVSAMLKILQEAIERADAGEDITRPEAHDYLWAIDLYDDDKMTEDTLAALMANR EVMHHSNSDGYNAVQILGRLESVPEKERGEIVRGSTFSDWVQTLFGLNLTHTARMGSV ISHEGFQPYVFRYGMTRYGERRFTWTLGGKMVSSKLDFIWFREFDKFLEFTTKIFGHT AHLVRCEDWELILSVEAGRPDYPLRLLFYPRREDYWLNNKKRKNPWPLPPNYRNEQLP RLSSPYQTNDYRFDWRRPGFLKDLSEEDYHFIFSRLMENRNLPCPCWNDWCNLEKAVD KVKRILRHIAIWIDPDWTYPAAASHDLKDFQWDLEIQDLLFGDKNFDRPFSLDADRLR LARNFIDELVRQVQTDGFWKDPKLNDLLKPPPDTLASASHSAAYFERFLHPNWAAIIQ HVVKSAGPVLTNAMSAYNDLGFLISNQMPYSPWGPVIGRTLKQNQAVCRTPSMLNKNE EEDLVQQGEIFGALWHYRSLKSKMLETISWGLKGGKKRPENLIGCEAEYEAAVVVLKD QAQVLERYGYTHAIDNFSEDLSAFSLDRSEPAAITIKETPGFLKCRPKMFTSQAEEIQ AKIKEHNRSIQKNSVIRQSETQKRKRNETEDVEPGEGVEPEENTEATDNEN ACHE_70704S MFVYITDSLAQTLDPDVAHGLQDALNTHDFFEHAMDSGLQAILS TPHHLPCLTHVIQLAVNAFLRELKIDAKNDDVVGIRWNDDDKNLREKGLTRTLEKCDM LMPALNVGKAFDDISEWIHTILKHLVFF ACHE_70705S MARDFLAVPASGVGVENLFSTARDVCHYRRNRLAPETIEAIMIQ MSADRFELKREYISVEDGDNDEQNDVGYVDFNVELDVNYISDEEDLGGFEDDDRDRWA DDDEEDGLRLPPLQSYQRPSAIHSPSMNAEAHSTTSQSEVINPHPQSATTRPRRVIHE PGYFQRLENGK ACHE_70706A MATNHSQWEYPTGDSEYASREVPPPGPPSGYEGYEDPHGKEKSD KKKMLAMGAAGLAVGGVVGAVAAHELGEDDEGAPPEEDGSSSSDEKDEEYAEEHYSD ACHE_70707S MSKPTYSDAEWQRLVKLQQQIAEERRDALAKVMRLERQESLLRS RAGDFIARDYKEIAELEDLERREKEESERLEKERKAREEQENLQKQRKDVEYNAQLAS MSDDPSLTQMLNSPSFWENFDSAVAGGIPSPTGGNQSSSQ ACHE_70708A MTKACRQRQNVRPQQQRNDNKQLHATQERGAYDTTGIVNPELRA TNDSGWHMQEASDEHGGPWRSRTMIVPNLSPLRRLMEEQTTLTTEEIDEIMSSSEEEY WPNERMVGSDSGSDKAHIRTDGSKKAINEPVHEKSLGELPNQDWSVNDFLDEEYGTQW NGHDPNVEELHEIPETPQNATPRNEEHEEQILAEDNEGYMKSSVYGPISKQQLWSKRY TEQ ACHE_70709A MEAQELCGGGISHASPPGVDNVASQFAETEDMPVTPTPIRWRSG NMMQPEFNEGSMESASMDENEGQEHWQTRGSSRAPSRAPSRASEARSGIRQRKSLQKT LIGRPKHQTSLLDVSKHAQVLVGALEAAQNQQQEMFQMVQEQVQAHLAEELSNWRAEQ QVHEGLYLERVTKLELEVSKLRTELTEAQNTIQRIKPMKQDTPTTTNAQSSQMNQHNS SKVPKIREATSQKSRQQPTFSDLATLLSTRPGGQEWQEVTKKKQKNRQIQAVAAVSQP DPTKLKPAKDTPKEARRFLFRREGGKAAPRSEREDIILAINRAVAKARFPAFIRVVDA GYTNTGAITILLEKGTLGSMLLPDYKDLLVTAARQADPVTALY ACHE_70710A MATLKSLKSALVHKATATESSLKQPLSDTQYSAGFDILLQGLGW ITYQDFIIPQLSQLLAPLFDSRTHISVLEIGPGPKSVLGYLPGRLRRKVKRYVAFEPN SLFATRVEEFLCTTSETDSPLPCLESPPDIHRIPFALNRKTENGTGTGTRESEEKFDF ILSCHSMYGMKPKAKFIERALEMLVERPEGGMVVVFHRKGTLQFDGLVCHRTASFPTG AISIANNDEVLDCFAPFLAGFVMQGVEADKAIRVEWRKVCRALSRREKAHPDHLLFSS PNVMAAFTQHATTLPELTAQVPLSMGNKVVKNREARLHHPASIFRPTEVQHVQQCVQW ALKHGVSLTVIGGGHSGHCLWSNVVSVDMAAFDKVHILAAGDSGGKSGSKSVSLIVAE AGCKTGDIIRKTMEAGMTVPLGSRPSVGAGLWLQGGIGHLARMYGLACDAIVGAVVVS VNSSEALCIGYVPSQHWPAGAVRLENESDMLWAIKGAGTNIGIVVSVTFKAYVAPTCL TRNWVVPLSNNHEARLKLSDFDNFIARKLPRNCSADAYLYWDIGQLHLGVTMFESSTA RLTSETPTPTPGPVDTVLGQKNNFKVVDGVGLFEAEMYISGMHSGHGEGRTSSFKRCL FLKHIGALNIADILVAAVETRPSPLSYLHLLQGGGAVGDVAADATAFGCRDWDFACVI TGVWPRDQDGTEVAQAAVQWVYNVARNLLPLSSGVYSADLGPDPRDAALATKAFGLNW PRLAHLKHSSDSHNVLAYACPLPKPQIEQRLIILITGENCAGKDFCADIWVSVFLTCA RKSLRARAVSISDATKREYAAATGADLDRLLRDRAYKEQHRPELTAFFQHQVQHRPRL PEEHFLEVVRGAEDVDVLLITGVRDEAPVAALSHLVPHSRLLEVRIKANKQSRRARQG CDSGDYDGDDNGDNNSGGSKLTALEYRPSFIFDNDTIGNEAAKRFAERYLLPFFHKDL QRLADMVHPVPGFPRPGIEFRHVLNISQQLGGLALCTSLLQTHFTGDWAKVDVVACCE AGGFVYASALASRINIPLALIREAGKLPPPTVSVLKSTSHISSSTSDNSKETIEMGRD LIPRGASVVMVDDVLATGKTLCAVLQLLDKAGIGAENVSVMVVAEFPVHRGRELLRQR GFGGVNVQSLLVFGGA ACHE_70711A MAEAAKKLLQYIGEDPERDGLVRTPDRYAEALLFFTKGYSEDIH EVVNDAIFEVDHQNLVLVKNIEIFSMCEHHMVPFMGKIHIGYIPNNHVLGLSKLARIT EIFARRLQVQERLTQQVANAINQVLQPKGVAVVVECVHMCMVMRGIQKTGAMTTTCSR IGLLQDDQETREEFNTLLNVRQ ACHE_70712A MVFSTLFSAGRNLTRGFWRRTSSLYRRAVSGFDWFKDNFWDLLK KALKFLSRPEVIGVITFAIFLRALLSLGFTADGIAAGSAAAAYQAFAFGAYTPAGGHF ARLTSMAMTNLYPLFAVVLSVVVAVVVAVIAKRFGQGYV ACHE_70713A MEPKISPELADSSIPANVDIAPADPRVIGLYGVSGCSKSYLMKQ LKQELGESDFQYFEGSEVINAVTVGGLNAFKKLDEHQKNQIRKLAINKIKSACAQSSN VSIVTGHFMFWDDEASEQPLRVCTQADLKTYTHVLYVNTPVEVTAKQRTEDTEKERLT VSIQHLHRWQETEIREIRGLCCENNILFATIYPNLKGKLAILVRDFQRHDESHNKSVA EQLLDETISVHYDELQTVLFFDADKTLAADDTGARFWKRIKETKGEDDPLSALFGGPL EYSYTAFRQAMLLYEESTMDDEFDSICEEVATYTQLYPQMFALLHQAGRYNHVCPIIM TCGLRRVWEKIMEKAGLSNVIKVVGGNRITDGLVVTPSVKESLVTRTQRVHAAYTWAF RDSPVDLPMMIAAHQAIVAVGEQQSRSKSMEHNLLTAMVNDGLQARQALLPNDLSPPR LDIVRLPVVNLTEKSFQDSIFQPYKSSGGLRLYHGTDSNAAKLLSTLMRDDNIRGPPQ QKAHSKAGSYLATRYLVELINVEEFTMRHPQKKDIAGYRLLGEERTLIVPLMRGGQPM ASGVNKVFPKAQFLHAKEPKDMKKEHLEGIVTVILVDYVINSGGSMVQFVRHIREIDS AVRIIIVAGVVQDQAVRGCSPIRAVARSTELTVVALRLSGNKYTGKGTTDTGNRLFNT THLN ACHE_70714A MPPKRASRTPQPAPQRVYDLRKTHIPRISPPPEEGYQGRKNTNG VYVPRAAATKAAAMRRRAAAARAARANEEADASPGPPQTRLRTPNRRSTTPQARRSAT PGKRVQFALQNAQPKPSSAAKSNASPQKEALHRRAASTSTEPETTEADEASEASYDEE EVYEEGVNEDHDENGPEDEESGQMDDEGSADEDDNLPSIDDRDVDTEEEASARALYDA KEKYRELIGRRVLDEARRRYPEGVQRQPREVETSDLEAALEDAMRAADYPVGIILNIR VNKKPYVKKSLPDSQRRSFNMENVEKAFLSAIAPTVGEEEYQIMARRVTVKHSSGRGG TTHHDFDDFDTANGSHILSIIDKHHSRHRTGMIEAHFDINVQCDAILPTPKRSRQPEP PSSDIPSSPPSFPPKKRQNRSSRLQEQHSTRLDTIRVAGNFQRQLMDRWRCHDPNCTN KDNYCFSDPTERTKHFNITAVQHEAWANAISNGEATIQNPPVKMLRYWEEHQGALNRQ SRQPARQTFIQQTKSSLERLAEMQQQMHERMLEARMYDQMDALEEKQERREERNERRR MEQERREHELAHARLMYMPPHYAAMPYSHGQSPRPMMPISGQYPAAQYPRAPITPQAA SRAPQKRRSSPIDETTDEYELLESFFYWKNVNTPNPRQKEKWNQVKEIVFQNDWTIQD LKDMEDDASPMYQRAIKAGISDGFTRLIQRELQAFKRDVRRQKEAHEEELQAIATLGQ LGHQTDIEGSEFMRYT ACHE_70715S MASQKPMLSSLLLRTKPPSHLEYTIAVSSISSWNLDIFQDESNR DSILMHADRVLSRLQKLFEGFQQQNLSQDVSERLVRGLVLPLIQDDEPLITVDQAFPL IGAALPSVTKSSSSCIREVAKILLDVDGLLDRLLSHELYIAVLKAWFSSKNTQLDKKL VKRFTDKLVGMCDNCTSDHGIQAACHEWHTVKALMESLTTLAHSIENEEIKQHQKSRD IPLLAGMRMLDREDKKTHRARQAKQRELSVPEPTLMQLSLLGINKPESLRALQIIQQE LESEKAMSILRTVIDTYPCRLCWETVTGKSSLSVYDFKVSENTKGSSVKSDLFGKRIG LWKVLLTDRAFKSSRKLARTGSFDRVERKLRELSSGQWKGKDISNRVGTKKQRHEMRI PILRAIVTRELSILWQIDVGFYEEQPWERQQVVKIWHIVDSEDEIPNTIYQIISLQQA YTTDDVQMCCQRPIQQSDGRFLPAKFDNIKNNRNYFRPEPAPAPKAASKQLIEMSIHA TGTEEVPFDLSPEKIEIVSHFNTSSLILGRSGTGKTTCLLFKILAKYRAQSMSEGPPI RQLLLTRSPYLASKLQTYARSLIRTQDHGKPLMDTSGNNDGLLSEEEAGKPLSLFSLE DKSFPFVCTYDQLLRLLDNTIQRVDRKNFLRGESSSLDIVAKGSRMVDFNVFKIEYWT CLAGIIPSQCSPELLFSEIMGVIKGSSSTAMSLEWLTRDQYLLRSYKTSPTFSGEADR ERMYAAFERYEKLKKQRNEVDELDRVLGLLRSIRNDPGVGQLMRQGFEEIYVDGKVQD LRCLDIVLLLSCVCDARGVHLAGDTAQCISKDSFFRFPEIKAVFFEYYDSVAVETGQP ELAKPTQFSLAKNYRSHQGILSLASFTMQMLWSGFPDAIDKLEPEIGQVGGPRPMIFA GFDSGILSAKMIGLVKLNDKVADFGAEQVILVRDDAAKERLQKHIGEIALVLTILEGK GMEFDDVLVYDFFSGNDLGSSYRCLHYLARGVKSQFDSQRHTGLCSELKHLYVAITRA RNQLWFVESNENSVDPVLQALRANGSEQIVEVVKQKHPDVRNRNQVASVKLVHFQVAE KVKVLRAGGSVDPEKWIKRGAHLLRQKNFPDALFCYKKASYKKGITESQAYLYEQQGR TCRAAGDLDGYSDNYEKAIELFIEICMIREAASCLESLEKYERVARLWKDNGQHLKAA FFYEKAGLFVSAALSYDMIWECEEAVEVLRRGEVFDELIKYIHRNSAKISENTIHRYS RLCNILLRQGRISPKLRAKTIDLLGSDMDKEQFFREFELFDNLRALYECKERYSDLFN ICVLTGDLVSAINVAVSYDLDYSVEEKSVEAVFHYVMAEAVFARRGLSQTGIKQPDLL LKCHKSPYLTRLASQWKAVFQILGHFEDEGQPYNISSLADGPVKDFLCLFVSEHLYLL G ACHE_70716S MDDDFQKRFLGRRRRWLENLIEELTVVTSFEQSPEATVLAQLHI RTNRQLAATAFCLEELVFYRLAKEWTTRQSLTMMLEQVHLARFLDPQINVRFSRALNY TVMDTIQHQEVDNGLEIAYHAVLYAEGIRNAMASNNFNIFASQIGEFLIRIENIDINA LHAYEALMSVFDFTATYLLSMINPGNAVAIPWSWAALHLPAIMHARNAKSGGVPDQAF FVYGSCLLHFTAGFCRIVGNLERAMSQYGVSFGRTRPAAFIRKRSYDFLATVILNLGN WPLPLRGLSEVIKQVQATLPSASASGASFLKQLQEDLLGSFRLYNGKDKICVITLDDK ATQPFYLSSFVQKGACVTKLSDILQKFQAIAKAAAASDEKCQNMNKSEDNEHMGFNPD TVVYLQRRWRIILTRIHDQRRIRETLHGQTLISIQKICTTVLDGERGTRTFSDILKIH TRAFLFTQGINILIEIDKATRTIRAIRDSWKEAIERPLTMSEIEVLERITSQIGGIES KLSLLTDMWSLHGLKKYILCISPEEWKVKGREAVHTLKFIKYEVDAVKTRLASTSDSG AWHEF ACHE_70717S MSKEVANGSAHNETQDSIPGKELLDALASMRFDSRYSDLTILCG DESFAVHRCIVCTRSDFFARACDGKFAEASTHTVRLDEEPALVKQMIEYFYTLDYQIP GYKENTSFQPKRKASSGNADEFLESSKLRTEPAPAFDPLCFHVLMYSLADRMFIRGLK ILSQQNVERELGQQLDAVSFPQAVLEIYNSTPPEDRGLRDLAIQYTMDNLTSLRSEED APAAFEDSLLKFAPQFSYDLLVAVMNKSVQDWIQYGACRKNWQGKYYYY ACHE_70718S MPDPKTVSQEFVKISRLDLESSVPAEAIITDVKHLASYNWIEAS TPTIAVPGSPAQWSPPLGNRRVKKDSGLVYIAQNAARHPDSPLEPLFRSLYIEQPTFD INSIDVVTDRNNIRKLLSFINPTLSKDGLDSFTIQVDTTARTAIFCRDETATHEVIGP DKFRGFGHEFEKAYTITQVKNSTGHHRIISYRLGGLNLLVRHETDGCVGDLKPGVKNN ESTGDNLANVLKSLCLSSDTTDEISVRSKLAIKREGRVVPRESTLEIKTRSFHRPLEL SEVAPQLWASQTPKLVRAYHQRGNFLTPKVEDITAAVKQWEQTHQDDIKKLIALINHI LRVTRNWGGSCTIRYNPVEDKLEIKKIGRKKMLPDDLYSRWTKTIHDAMAQKNSKHSP NFAQKKV ACHE_70719S MPPVTRHSARGHASGGPRYGDSPREQGLAALVKAREHRKLEKPD NQMEGGTGEPNASPPPPTPLFMDYEMATQTPSAMLEPQCPSKQLEFELRKNASIALQA RAKKAEEEDKEILEFLNILDKKLSSMKQKNLPRASSFGKALQTFAHNYFTQPGANANN QGHTANPGPASPPKTYANAIPTPKLNNTTGKKLVVSAPKPARPLRLFLRLPTDHPARH ASPHAALQKLRSSLDPAVTDAIKEIQHVPTGLAIGPKDVQNAEILLVNKDDIQQVIQG SVTAQLSAFMNIHCQHGKQCHESN ACHE_70720A MTSQHYEVMVYRHTTIRAGYCIECMWDDKSAATCRMRAFDRSNV LRAHLEEHIDQKSWPSVCSDHLCNHASMNELDYRRHLHDAHHYNKAICVRPGKGPKKR LYTELDKESVTDRNQLDQQKRPCKLQKNFSPPSHASTKDLKIIFWRHPETQPKAMLSP PANVEDEDQESLKNVTCQTANHFEYFSEMPCTRQDGNITCSTSSDVPELADTSNVCAS PFAVSSVPCTIPIDPQILEASSAISCQSDGGLEQLNGCSLSGKLEGYSSVERPGINIL SQMSHDDPTLGGIEPQGIAVLESENMPNHQSLTSFSLGKKLERGPTSNEPPTVAVPSP VIQSSFNMGTIGNKIGAEFASTRPFTRSKARERATKVFQSHTISQRSIQKAKPYSQEE DQLLKRLMKKETTVQDVAKRFSVHFPGRSAASLQRRWLVIQPPSRRSTRPRSIRHPA ACHE_70721A MAKRSDSWKASQLEKKRKARCELRLERGYNAKAHQQKDAERTGG RASMKTKNKYKEKVNKYAEFLIKEKDMPEGYKVGKGHPTPTLEELKEFFRWVINSTEG RIAPNGRPTMHTMLVWAQEFVPGFSLVTGKEISSRDRADLYYWIEHDLVEEGVLSAIR KPKYNFKLRDFERAILAFWSTDDPFFMSGRYRVQFHFITLQFLCTGARISSFTPTSPD KVGRGLRYKNIELVLFHADNAPWRIGWRLDQQFIKNNNDPENTVFGTAIWDCDKPIYS GALYLLALALADNALYGFSTPEEVFEQRIPEGQDELVLRWNEEAEDRCIVRGVTAEGV SEDPLTKETY ACHE_70722S MSASIELNGPHNHITNLDVITGRVVVNLPSEAAIGGIQVKLEGE SKTRLTGPRHPNGDPEKKRTELEVHKILYKVVTVFPTPAIFNPDSPNTAYTFAAGSYE YPFEFKVPFNNACSTHNNSMLTNLNITGLKVEMARQSNRHVKKTLPPSLNGFPGMADI RYYIKATIIRPQFYKENIRATTPLNFLPIEPPRTGDPNQETYARRQHQFTRQGSSSKA KSLFQKVSGSLDLLADGPRVSADARLPNPSILTCNEPIPLRVLVKKMSDTSETIFLQS FQIELVAKTHILAHDLNRTETGSWVIFSRSNMGAVLGKGSDPAGTEWTIDARMWNQLP LPNSVAPTFETCNVSRTYELEVRVGLSHGSFGNIKPQLIILPLRMPVKIYSGIAPPKA LLDAMTESGRIQEPAAPSLPARPTDSPTERPPMPPRPAAAPEPTSADQVPDEAPPSYE DAMAEILSPVDGPRREYNPPDDSSSRTIESGADSKSPVNPGRDPEASTAAIPYGNPAA MSSEESFDMLPSTPPETQSGSPPTSPVARQQSVLKIHKVPPQPEEDPPHYQSIAGESP QAQQSPQEQRQPRQGFRPMNLGVPSRKPVPRSPHPRG ACHE_70723S MRIHLVIQRHSLPVTRVLWSTSSPSLEQTASYRPSANSSAIAST RAPNALFANSGYTIAQLLEDVNEVVPLETEPKLFGDRSTGQWGLEDYVVEVAGSECLH FMDVEGLLRDGDEVVIRALQISDLRARRLSGRHQISVDGKHLIDGVPFGRPYLKRSTS SRPAINIPPRKKRRTVYGIGGGYEEEDTEWAPVDYEATGKELSHLPDQHGDYNEADDE DFYEDYHEGEDSEDDNEDDETGDGDETVIRHPVEERVEGKPEDAQVSESDFEHEAEAE DLTQELEELKEDMQSSGLDLLGVYDEQASRLRSTKSPTVQGSPSLKSPILRSPGGRQV SKSVRFESGRQVQQQESPAPHKVKSPVSAKQPSSAKSISSKESMSSDSDSDSSISSDS LSSSSDEDISNISSSDTSSESSDSSDSSSEFSEDRDEERKITVNRLKVNPPGLGSLRT KKSNHRNKLRRRLSKLKELGVLPEQADFSALREWEEKNGDWKFDGSALAKPLADEKRN AKEQEQAEFEAKRQKLLRDLAAGGVDVCEFTEKENAPSAQGVEERQSQISEEDREQAG FNVKRQRLLRDFEAGGVNVDAFTEKEQEQEQPKDGTTMDVQTKRRSLDVASSRRLLFG SLGVRTPKSKEEEEATREKLAGKVNNFQSQRPVEAEQPDESKDDKDENWEDKLIIRAT ECVFDDVELTAPPFPFEQRWDEKAHEIIRQRKGWGKKRKRKDRIQIYDGGGEEYGYEE GYDGYDGYDGYEDGYNGNWDYENGEMQLEYDDVEQEQPNGVEADGIEHEQTAPEKSEN VEEGDLPELPEDISTIPDLTEDDLKKNSVIAFKQLDMSKDTSWQPRVSDYRVAEIHEI FEEDNILKLRLAKRHRRQPKANNDEDDEDEGPRMYSGFEMPGFEDDEDDDGFREMSFA DFIEPKLLRTGRGVGQGDGNGDGYNDISPVVEESIENTRPDPAPSVPNEPIEGRRSPV ENDQKIDSQVDRVDRVDNSANEAAEASSAEEKNDSIARERSESPAVKSPKFEGFRSPR VEGQTDTSRVRPT ACHE_70724S MSSNGLWTQALPDNKSMVQDSSPVAQRKDDKQEDKDRKEGNPER GPHSDNDFVQNPFYEIDKAHEERQRRESEIAEDRNSPYNDDDPTFMGFSPSPSPRRTK REPNNPKSTTKSQEESQISSVPESQWQEASENKEHKTDAPTEEHQLPHASQFSDIDFV DLTQFSPPASIEGSDEDSARSQRLRKGPGWVQKNIPPTKRRTRKSKDVNVSSPSSKGR RRRT ACHE_70725S MHLPRSRIYLPLSSLKIVRYPPLSSSLFSLSSSSPSHQNTCHCG CRNSSICSCSCCVHPSLSLNQSRRYTSSSYPSSSSCCSSSLQTRPFTSTTKMPQEYLL KDLPTLSSIPNMEKVEAEVDGIQDGKVLVVRVNDQFHAMSPRCTHYGAPLKLGVVSPD GRITCPWHGACFNVCSGDVEDAPAPNALEKFEVFERDGGVWIRGDEKAVKAGQRNPVV KGSAEGEGGVVVVGGGSGTLGLIQAIRELKYKGPVTIISKEPNLIIDRTKLSKALIPD PEKLLWRPREWYENAAINTVSDEVTSVDFNQKTVKTKSGKDYPYTKLVLATGGIPRPL PLPGFKDLGNVFLLRFVTDVQAILNAVGEQKNKKIVIIGSSFIGMEVGNALAKENSVT IVGMDKVPMQSIMGDQVGRIFQSNLEKAGVSFKLSASVDKATPSPSDPTKVGAVHLKD GTELPADLVVLGVGVRPATDFLQNNPAITLEKDGSIKTTEHFAVPNLNEDVFAIGDIA TYPYHGPGTDPAGTHTRIEHWNVAQNAGRSVARSITHSPAPLSSLKPKAFIPIFWSAL GAQLRYCGNTPKGWDGLVLKGEPENAKFAAFYCLGETVVAVASMAMDPVMVKCAELMR RGNMPSKSEIEGGVDVLKVGVPEGVKI ACHE_70726A MAVDAMDLDSNPPTTIDLPERKRKHKSQDSTSSTKKRKSDEKKS KSKPSSSTKSKNAGTKKTQTVPDSPYTLTTATLYLPLSPISISPTHALASLLAEHLSP LLLTYYPPLKGIVLAYSNASISSTPPSASSAAQSQDPSPQPLTLATSANEYGVLYVYL TATFLVFRPQRGQILEGWVNVQSEGFLGAVVLNLFSVGIERKRLPTNWKWIPPGEEAP AANKTDDESESDAPTKNFDPEKELFTPVSLASDANPLSETTSKPAREGQEAGEDESAE GYFQSVSGHRVCGTVRFRVLDIDVIPGTDRERGFMSIEGSMLSPEEEKRVVEDEKNGV LSTATPRRPAASAITMSGALAIAPATATVTVSEPEKIEEDQEQEQEQEQEPAVETPSK PKAKKSKSDDKDKSEKKEKKSKSKSDDKEKKEKKSKSKKVKEEK ACHE_70727A MSQEKDILTICGGPNTQQNERPSKSPIAHFITSKHQPQILSSPV TRILAVAHEQQANTNPWCFYLQSSPNTSICLDYQPSYSVPSTNIQGGSKANLILSELP YLLPPDAQADFALEVVPGLTVVGVYDRLVEHGHHKYEFDSKGVGCRLLGHRADGCFAE RESHHR ACHE_70728S MSSILSFLGWAFLPNYATSLLQSIYYGITVRAGEPRPQPPSPRY GRHRRRIFILVITSYLLYTLYDTFHNVQVAGDFYRALGVSPLANDRTIKSRFRRLAAQ HHPDKLGHGAGDGVYGSGGDDYFVFLKLAQDTLLDPAKRFAYDRFGPSILNWGNEKTM QDFLYAAMQRSIIPQYVGGFVTIIFLNFTWWSDWGRYWRFFTFAALLTLELTLITHSH LAVFTPASLLPEGLRNALNISDSPSFYLLPFQVLQIARQASIILHIFISQLTPPELAK KTSSTPGERLPTETIQKLGQVVNLSRATDLEATRLLQMGYAPFRGDKEGVAALRKGMK EGLVLSGVRSSPEVQQAVAEVVERRKRESKDE ACHE_70729S MQGIPTLYYVNGSNYTAIKPYRFSAYYKELDSAEISIPQNLKLL AGNVSAMSHHQLDKNAGVQWFCDGSGVEGDSENDTDDAEFPTKTCREHLQVLLLFPDC ANPKTLKYAYSANPDWVDGYGENRCPIGMYRIPHLRFSIRYDLRSILPDGWSGAPPLK LSCGVSYCMHGDFINGWLPKAADNMVKDAPSNDREYFHVHGPKDEDDQGSECDAQDAD PSRGTSDYWMSVRMMGASGNSTMSSRHSAYHRLGL ACHE_70730S MASAPNGRSSRTGSTKTVIILKLSPELLSRFPSDSSKSTPTQAT DSNMNTMNASSPVKEKEGSPASSMADAPVPPSDNASEANSTPAGAGSTETPRRKGLPG PKPGAKRGANQIDSAPKPRGKPGPKKKQKLDDGTVEQPKMNTGHRLGPKANAGAINAG LRALDRTGAPCRRWERKPLQLKSFTGILWQLPSWRAPKPPKVEENGDSRAAVLDAAET DSKVNNSASNVPSEKSNSGDGGLTPVPPPMVGASSPAIPMAT ACHE_70731S MSSIPSSPPGATSLSAGGSRAAPPTVTTATPSPLSNPPPSYLLS SNLRRLSYASALSGAATTSENTTTDRLSWNPPDNHRHHRLYLPPPFRHQLQDHNHNLL QNPFSDGQTSFSPLLLNADMQMNSAAWRSGMTATYPSNNNTTTTTTERPLPPYSRKFA SYPPYNNYNNTFLHGPGSLHDPFNPSPFFTPSYLQNSSYAARLQSDHRARIARIASGE NDDIPLPSNPFSTLSSSSSSASSHANLPRIAPSHRGMTHEVIEREPPSIDDHVPPLPT RWSETDKYPTLEMMHGGLELRYTGPLNKHEHEAAAARTDYPMPPQCGIYYFEVTILSK PKDGMIGIGFSGGKASNERLPGWETDSWAYHGDDGKSFFGENQGQGRPYGPTFGVNDT VGCGVNFATGCAFFTKNGVFLGNAFRELKNMKLYPSVGMKKHPTVHLISNFGQQSFMF DIDGMVKREKAAIYSDISRTSASNLRPPLGEDALLHELVAQFLAHDGYIETARAFAEE VASETAALNDHGDPLKKYEVEEDLEAINRQKIRAAILDGDIDKALKYTNAYYPKVLED YPHIQFKLRCRKFLEMMRQSNDLSMAAAAKRSKSASNGVSDGNAGYGQEMEVDDQTQD GDGWEADGMDTDDPEAAAKFQTLLTEAVQYGQQLRMDYLTDERGGNKKMLDDIFSLVA YPDPRRSVHGHYLDPAGRVAVAEELNSVILVSLGKASSAALERVYQQTEALMNEVSDE GGAGAFINVRNDILL ACHE_70732S MEYKYEHHHPIDNDGNIRCCCGQPECAFLRENQLALEAVEKNLE TAARLGQALLHRHESYIAEAEEDRRRLLDNIDALERERRQVQSENSRIIQENRSLVEQ LDALNNTVADSDAHVNSLTIALENTEAELRTVSASAARAAELEAQLNRMEAEQSRLQE SLVSAREDEKSASQRWKKADSTLRELQEQVVRLEKEAREERESHADLVQRMERQRTVE RELDGAAGRLKGAATAHHQVGRQPQQTSVVSRFVRDILQDNANLQIGIMELRDMLESS NQEVQNLRDQVLSHHPLNHDDGDGEDRRPSSEAQPALGKRLSQELDGTPTRVSPEYHI HHHYHPPPSTPKKEKPKLGRRFTKKRRSLGNPALMHSARQPSVSSTSTILSQTSVSIP PSSHRWSAHSSATESLASSPYSGCHNRPTSIFDRMERGFESSQPTSPELSPMFKGVAR PGKTLEPPFREDSFGRAIEDELANLDALNDHCTTVPAIPEEREDYTQENNQRPVTPDG YRRRRRGSHDSLLSVAGMDIHTPSRRRSRAGGPGPIPIAGIHRHNFPSGAEVYSTPPV IATTTVTADREAPSKNPHSPRSLLASVCRSQAQTQTQDTNSAPAADNPPSRKPSITRR VGGWVRGRWGTVPVAAPPQPGEPASQTQPPSPSPAVNALTRNQNKAKAEYVPAPAAAF RFRHPGVNQKGPIMGFRPSSHPPISVHAEVVDEGALRESLAE ACHE_70733S MQFKKRHLAALLFSLSSLIPTATAIDCYSHNGVNANSSEFYNAT RDGALIACGTGATTCCLESEYCDVDLLCHSRSTGEYTRQYCTDPDWPEDACSQLCPSY GAAGIALTACDNAGTKFCCGPNADDCCKAGNYTQINKKNGQIVAIGTSTVPTTTTSAT PTSSSNATTSGSGASATTTAADTNAADSGDGLTEQSKLGIGLGVGLGVPFLIAAGVAL FLWRRSLAAKTGAGAEKNTGATGTGAAGAGDPNAGAPYEVAGSAAPPYGHGLHRHRYR GMMLLGTGVRVCISLRLMRRGWSWRMRGFMKCRRRFALG ACHE_70734A MDTTIPTLIAQYNQQVPAPKLPLPDGKTLLQPTTQSTIYERMFN EDNPQAWPLPPVGYRMSVLKMILGRLEDAIRDPEEDEIMDELVETWGDLITLPKPSQI QQAQQLGYIKYTAPSPPSQSPSASECEPRTVVTSESRGLILSSGTTGFRTWEAALHLG TYLSSPSIADTLIKGKQVLELGAGTGFVSLFCAKYLGPKRVVATDREQALIESIRDCV GRNGIGEEVLVPGIWEWGNEMAVDGGEDGFDVAFGADLIYDEDLIPLLQSTLTTLFEG YNLQQFIISATLRNEETFQAFLDACQMNNFKVERIPFESPPEDKQTGFFHSTSIPIKM FSIRR ACHE_70735S MADAPVTLRTRKFIRNPLLARRQMVVDVLHPNRANVSKDELRDK LAGLYKANKDEVSVFGFRTQYGGGKSTGFALVYDSAEALKKFEPHYRLVRIGAATKIE KASRQQRKQRKNRSKKFRGTAKTKGPKKSKD ACHE_70736A MRDMTASTGALNEAMAHQLLPQDEKINLHHHNHHIKKEPFPSAR RSTRHGQQRDALKQSISRVSPSRERENKDPNKRRSRLACARCRQRKIKCSGENEDGQA CTNCRNAGEETRCHFLRVKSMHCPAVGGAANPSPPNVNMYAQMHQKLAAVSNVRYSPY SRSPNYYMGPVDTHSAFPRQSYGVEHGVNYEDPSAAVYNGQAAAHVLPSTPQNVWNDY YGITWNQRGWNTNEAAFPDQGTENPLIQPAYSYMLAGQGTHRNVPNPTSQPQLMTPSP EVTSGMPYPPASGSPWEQKCTDATTHRGSMQSMTNESFPTSPETQIKPDPGTQDMVLG YVPTILSQNTPTIPNGTYPALDTVNPVMAGDFQTPPDTQFMRTFSRDSRLLSIGTSSD YSPSDSYHYSSNGSNSGSERGRTHSEASDSSATTLVNGFPYSPMKQVDSHAHAQHGLQ FNLMASDSMADYHPEMQRALQGY ACHE_70737S MSVLLETSLGDIVVDLLVDESPKACENFLKLCKVKYYNFSPVHN IQKNFSLQTGDPIGPDSPESDGGSSIWGLLQGPSKRTFPLELPKLKHVERGTVSMATI PASHDPDQRLAASQFLITLGDNLDYLDGKAAIFGKVVEGFDILEKVNEAFTDDRGRPL KDIRIRHTVVLDDPYDDPSGLVEPPESPLPTKAQLDTVRIADDEDLDDDMDEDAMEKL RREREARAQALTLEMVGDLPFAEVKPPENVLFVCKLNPVTQDEDLHLIFSRFGQILSC EIIRDKRTGDSLQYAFIEFENQKDCEQAYFKMQGVLIDDHRIHVDFSQSVSKLSESWR NATITKRGGPRGGFGGVAELERKRQYRASPEVDRRDDDDDRYGMVFDKREAARRRPAS RERSLSRSPQRSSQRDRRVSPSPRRGGSHRDRYRDRSYSRSPRRGDSRRDNRYRGRDR YDDERRGRDRRDDDRYRERRRR ACHE_70738S MAAAIKETVSNLVGKLQPHAEPALPEPSAEDFQKLQQKYTEAGQ DQVFAFVDELQPSEKTQLYHQLTNFDPTRVNELAEKALSPAAAPEGPPTLEPLPDVAL ASILDSDPKDIQQWYDAGLKAVSENKVAVVLMAGGQGTRLGSSDPKGCFDIGLPSHKS LFQIQGERIAKLQLLAQKVSGKEAVIPWYVMTSGPTRKPTEEFFQKHNYFGLSKDNVI IFEQGVLPCISNEGKILLESKSKAAVAPDGNGGIYQALVTSGVRENMRNRGIQHIHTY CVDNCLVKVADPVFIGFAAAKDVDIATKVVRKRNATESVGLILQKNGKPDVVEYSEID KETAEAKDPKQPDVLKFRAANIVNHYYSFRFLESIETWSHKLPHHVARKKIPCIKPET GEAFKPEKPNGIKLEQFVFDVFPLTPLDKFASIEVRREDEFSPLKNAKGTGEDDPDTS KRDIMELGKRWIEKAGGVVVTEGQAVGVEVSPLISYGGEGLDFLKGREIKAPALVEKE E ACHE_70739S MLLSRRACYKCGNIGHYAEVCSSTERLCYNCKQAGHESSSCPHP RTTETKQCYNCQGVGHVQADCPTLRLNGGTSGRCYNCNQLGHLARNCGTPTPGAGRGV GGPRGGFTGGFRGGFGGYPRAATCYKCGGPNHFARDCQAQAMKCYACGKLGHISRDCT APNGGPLSSAGKVCYKCSQAGHISRECPSNESTQAAESNPAPAAAAVAAEASTEAAPV TAAPTAAVA ACHE_70740S MSGSDAGDEFNDDLEDFIVDIDGIQAHGISAADITKLKANGFYT VASVHGATRKTLLKIRGFSEIKVEKVKEAIQKCLPSASGFITAMELNHQRKRVTKIST GSKQFDAILGGGFQSMSISEVFGEFRCGKTQLSHTMSVVAQLPKEMGGADGKVAYIDT EGTFRPERIVQIAERFGVDPDSAQENIAYARALNSEHQLELLYTLSKEFAAGEYRLLI IDSIMNCFRVDYCGRGELADRQQKLNQFLMKLSHMAEEFNICVLMTNQVQSDPGASAL FAGADGRKPVGGHVLAHASATRVLLRKGRGDERVAKIQDSPDCPEREATYVITNGGIN DPDKI ACHE_70741S MKKESPEIAGYTVLPVQLPPTPAFPKPATHYLYLRRHEPRIPDP DSPRSLFIVNAPIDTTETHLRHLFAAQLSAGRVEKVHFENVPTKKKHSPPTLSASKNN KKRKRITADDLQSQLDAIDLPSTWDRQLQKSGAHAVVIFADKPSMENSLKAAAKAAKK GTQIVWAEGIEDRVSPLGLARYQNHEQLRYPDRATLLHTVNDFMVVFGEVAEARKREE ARKAQMPDDDGFVTVTSGPKLNNVAQQEEMRELVERQRKKEEGLGDFYRFQSREKRKE RQNELLRRFDDDRRKLSEMKERKGKIRPE ACHE_70742S MASDSAGGFMHPSLPSPAPSTMSTSTATPSLLPQQRTHPLKAGS MKETAVISHLDKSILGINRRHAKKFSSSYGGGALNGVGEGEGEGQDERGYESFKEMVR DIESLVDVVWVSGTPTLQIPYIISLAVLVNSSLPSYPFTPQSTFRLLRKLDSVFASLL TGEDADSGAPLAGFETKRNIVSMTEKVRIKSIAEACRMVVMEVRERSDDDETTEEDGD ATGESEVDSEEEMVDVLGNVNANVDAIVNAVDEYHETPGRWEMEAARVYERTIQLLGD ELGRQGEFGDDIGQREITPPCAPSTAQ ACHE_70743S MSFQNFDSFQNQADAAAAAAPAAPADTAMAGQDAAFQGPAGDAA AAAVPQQGADGKTTLWMGELEPWIDENFIRNLWFQMGEQVNVKMIRDKFSGRSNAGYC FVDFTSPAAAAKALSLNGTPMPNTNRVFKLNWATGGGLADRSRDDRGPEYSIFVGDLG PEVNEYVLVSLFQSRFPSCKSAKIMTDPISGMSRGYGFVRFSDENDQQRALSEMQGVY CGNRPMRISTATPKNKGPGMMPGGMGMPGPAGMYPPMGAPPMGFYGAPQPMNQFTDPN NTTVFVGGLSGYVTEDELRSFFQGFGEITYVKIPPGKGCGFVQFVQRHAAEMAINQMQ GYPIGNSRVRLSWGRSQNNSGPAGSPYRPAPPPPPMYPSMGMPPAHQYGGFAPMK ACHE_70744S MRYENWDVLLFPGDSKTPIQEFKTQCSVIQGRESPYLNDMGPVL GPQSFQMPPGTQTLLPVLGSYIPSLPEGAPFRASIHNWDQPVPSRLMDSLMQPDDALL YHAQVYIDGEPAS ACHE_70745S MWMHAQQQPFQYNSGRTPLDDLKEPKDAHTHSPSKYRRGAALPG AHVQSPPPFYGALSRPKGFQLPSTQWSSDAPGAPIWVHQEPQVVGDPFGDAYIIDHHR GPRSSQSDITMPDYQSSSSGSSRATSGFSGISYEHSKQPSIAGVLNEDQYSRVFRNFT PPKEPLSVPSFVPVGYKSSAERHSQNASRQPLPSMMKSVSLSGIRDISGHSNKSVITD PFQSLAGSETTEQFYASPEVQSKSRQASLVQGEETPRKGCDRIQQTPTKTPLHTSASL ESVL ACHE_70746A MQLLNSPTAALLATALLGLDPAAAATASSSSASTSAAASAGASA PTGATYASNFDITTSWGNLSPYADSPGFDVPNGVPHGCELAQVHILHRHAQRYPTSSD LDGLGMQKFAQKLKNYTALHPHRTLARGPLAFLNDWEYMMGEDTLLGSGAATEATSGA DAWGRYGRLLYRTGAGVVRWEDGLNVYPNGTRRAKPVFRTTSQARILESARWWLSGFF GNSGANSSYSQYDLVVIPEVDPFNNTLASYDSCPGDSREGYQGDTAAESFLPALTHSA ISRLSPYLPHDFHLTTSDILAMLNMCPYEYATYSTHPSPSPFCTLFTEQEWKDYEYIL DLQFYGNYGFGASTGRAQGIGYILELAARLQSNLITSSDTSINYTYDNNEKTFPLGQP LYMDMSHDDIIVSVLTALGLEYFKYGPAGLPAANITHAPANRTFNLNKLTPFGARLNT EVWSCPSPASIGHLDPVLYSNPDLSTRNTTEYIRFMLNNAPVPLDGLKGCEKGVNGFC AVGDFVAAVPGLKRDAEYQFACFGKHDAGGRQVGDGKPE ACHE_70747S MTTNAPEDEVCQSVLDFVADGAYPESEKVVGSEFPALALSKELE LISKAREQVEAEISTLSRENDFDADSWISQAKQLHADIERSRHTAREIVSMHESTRPL ELKVVDAAAKVNLIQTEITFNEAVTITLEEVERLCQQLEAGQASLHEGGIMEAIDILE ATEADVNAESLFADTNVKSILSENVTGLRQEIAGFLIARWNDLLSIDREQHKLLVAGD GYRVMLEETIAALSRLDMLDAANDKLQKDLLSALINPILLPSVPLQSRGVRVDVSGIT VETEPSTATVSEVLGRIAQVLGYLQQNLPTSILTPFSNYFIPNLSSKVISSWLSSAIP TELSGLGEFENTLDHVLKFTKSIETLGWHGHEELVSWVNQAPRLWLTTRRVDSLDQIR RVLTAARGATKQVERVEKQQVSQADEVLLENGPSDDWDAGWDDDNEEETNEKHVAQPE EEDVSAWGLDDDKDDVPETKPDAAAPAAHDEDDAGDAWGWDDEDDETSQDKPSQPPAA AATKPANGNDSSGHNASREVTLRETYMVTDIPDSIVDVVLQQITDSQTISQPTHHNSR VVSSGAALLALPTLILAMFKATASSFYGFKLNAGQMYLYNDSMYLAERIRNIVEEYQL SRLTTDIDALEKFGKAAYSKEMQTQRTIVTDLLDGTQGFGQCSEQPFLGECENAISAT VDRIRDVYTEWKPILSHSALLQSIGSLVSTVINKIIIDIEDLGDISEPQSQRLVSFCN QVSQLEDIFIPETTDGTETVPMTAVYVRNWLRFQYLINLLESSLADIKFLWLEGELRL EFSPDEVVDLIKALFAESDYRRKAIAEIRQNS ACHE_70748S MDVDQDPATAQAAQRQVRVQLTSKQEDIALPENTGPILVPTGLR RYALSTLVNNLLQNDKPIPFEFLINGTFLRTSIDEYLTANGISSETTIEIEYVRALIP PLHIASFEHDDWVSSTDVLSATSVPASWASATVRPGQERILSGSYDGLLRVWNMSSQI VATSTSVTEGGHSASIKAAKFVSPNQIVSAGLDRTVRFWKYAEEKGGFSGMLSPQLEL YGHKSGINSLAVHAPSSRVLSGSSDNTVGFWSTKKNDAPAAPENLLPSAASRSSKKRK LNSAVSTPQRGPLSLMSGHTAPVSAAIFDAKDSTVGYSTSWDHSLRTWDLVTSALVDT RTLSHSLLSLEHLPEVHLLAAGTSARHITLIDPRTSATTLSAMTLRGHTNAVVSLARD PHSTYGLISGSHDGTCRIWDIRSTKTDKDGVVGESVYSISRKSLEEGGKSDSKRVGGE GVKVFSVCWDQTVGIVSGGEDKRIQVNRGEGVLSSAK ACHE_70749A MVFILGVNFPERNLVKKSLESFFGIGHNTSSRLLSRFYINPTCK VGELANKQVLDITAALSEMKIENDLRRQTLDDIKRLKETGTYRGRRHALGLPVRGQRT RNQIKTAVKLNRMERRL ACHE_70750S MQFRSILFSFFLVFALGVALVHGEEAKQPRGPKITSKVYFDIEH GDKPLGRIVLGLYGKTVPKTAENFRALATGEKGFGYEGSTFHRVIKDFMIQGGDFTRG DGTGGKSIYGNKFEDENFKIRHTKKGLLSMANAGKNTNGSQFFITTAITAWLDGRHVV FGEVLEGYDIVEQIENVPKGRGDRPAADVKIAKSGELKEEKETQGSHEEL ACHE_70751S MADGLKMGNLSLNESQHAPQPANPGRAAYIPPHLRQRNTGMNMD GATPPPGPSSFSSRGGGRGGNWANANDFSPRGPNGGGYGSSSGSGTQARGSGDGQWRD GKHIPGPPNPRLERELFGLPNDPSKQNTGINFANYDDIPVEASGTNVPEPVNAFTNPP LDDHLITNITLAGYVTPTPVQKYSVPIVMNGRDLMACAQTGSGKTGGFLFPILSQAYQ NGPSTAPAQAPGQFSYGRQRKAYPTSLILAPTRELVSQIFEEARKFAYRSWVRPCVVY GGADIGSQLRQIERGCDLLVATPGRLVDLIERGRISLMNIKYLILDEADRMLDMGFEP QIRRIVEGEDMPRVDDRQTLMFSATFPRDIQMLARDFLKDYVFLSVGRVGSTSENITQ RVEYVEDHDKRSVLLDILHTHGTTGLTLIFVETKRMADTLSDFLLNQRFPATAIHGDR TQRERERALDMFRSGRCPILVATAVAARGLDIPNVTHVVNYDLPTDIDDYVHRIGRTG RAGNTGIATAFFNRGNRGVVRDLIDLLKEANQEIPSFLESIAREGSGYGGRGGRGGRG RGANATRDMRRTMGGGFGAAPSYSSGGGYGSGGYGGASYGGGGYGGGAPSYGGFGGGY GNPSGPTGPSSWW ACHE_70752S MAEVDTSAIADESFAHSEVPDDQPTPHPNTNGTPTTSNNNTNND NSAATPSTANVTVGTRRQANGTIGSVYSGNKIKHLKKEDGIPLWRKDIQYQFLKLVFE DRTKVFTRQSDGQKGMDFADIYMDAMARSSKTSKILKDKLQNDKPAAISMAMVCLLVN FGRMNTTLNFFPEMRAQLRTYHSIPSLQAHQDPHAYKQLQDAPRLKSILKGASEDFDQ PNTLEKIRRHAVPRTNPVNLIFILAQYAPKVSELHFFPPRDFFDLVMRSTLSSASRAR AFLWLMWWYLESDFSAEAALNNPFGAGQEGEGTDGLPIKVPDFESLTEEQANEENIDT PEELEFGEIKRLERKRILEEDEPLPRVNKRSKKDVYDDDQASGVGRSGVSTPLHPSAR HDEEDDHTPGQFAQSRSKRPKREGSPDRSVGQQRLILKTKGEQTPDASSPAPSSSNAV VNRFVNEPAGPQPTSSRRPRPLTQHQIAVEQNRRERIGYLLSKRKDEAHKKLRIQRES EIPLARSARLITGLPDGYDSDDSRAWGPGGVILNPWRREDYGECPNFYLSAIRKATRR LDRWDYDNANGPKRDRKKEREERQRAKQQAIEFDNALSHSKYLTAQKRARATREARKK AQSEVAEQIITQHEAARASAAAEGQVDATPTTTPAAFRSKGTKARTPAAKPTSAPLAE DAMDETLDDIDRELLGEDQPAEAGMDESFVGDVDGALSSDVDADEEDLDEAEVDVEGD DHSSASDGEGDDVRNGDVGSEVSSAVGEPAPTGPV ACHE_70753S MTSTASRGPMPQIPITPTNPNTTSSTTTSSTNVNTLSPLTNWKS LNQTAYGSRHIHDPAPFTLWNPRAKSFRDPTDRELSWLFSVYNAKRLDLSYPVITIVT DTIPDPVPLTIACVAVRLVRSAEVGLFREGVVETNACDYADEGLPDHVGFRLRMWKSP TEAQVERIVRALGDAGANVKAVTWAGPWCFVELVTGDRRVYGKGFLPGIIAGRTVAYH HSERGLFEDVRDEASLRDLKDSNQTTRENPGLHLGGVLGNSFTRLVRSNEVADGAWCS ANSLSTGKVYFQCIGIRAVVRASSSQSQTETKTPGVNYEIERVFSVFNPSQGRKIQNL RGVPMVEESSLLERYKGGGVFGFFRDGDYDMAFCTVLDDIVDAGWELC ACHE_70754S MSEDRILRPRPRRAFNLTPESSEAPTPAEPANPDFLSPKDAELN NMSRNGSIMNLTSSTLFGIYSPTAFDSARDESSPWGTEAHTPGAEHPKPEFKLDGPDR FTLERTRSRLNHGLFRGVILPRALRSAFLFGFGIVYGVITVHLHENHWITPVKLENIH YYESWQYLGFWGLTGIALGNVLPWLDVFFEGTVPDTVRRTKRATGRDNEDRTSTWVAA VRSVGAFVGIAFAMRRLPWESTTQASLTLALVNPVLWYLIDRTKTGFLLSTVVGVAGM GLVLGLKPELMPSSTESPPTTFGLNSTGLEFAFATGITQEGIAVRTWIASVLFCACVC FGNIGRQLAIGGAKEALKG ACHE_70755S MAQKSAIISVYDKTGLLDLAKGLVQQKVRLLASGGTAKLIREAG FPVEDVSAITNAPEMLGGRVKTLHPAVHGGILARNIETDEKDLAEQKIAKVDYVVCNL YPFKETVKKVNVTIEEAVEEIDIGGVTLLRAAAKNHARVTILSDPQDYPEFLKELEAG EINDASKQKYALKAFEQTADYDSAISGFFRKQYASGEQHLTLRYGTNPHQKPASAYVP QGKLPFTVRNGSPGYVNLLDALNAWALVKELKQALGLPAAASFKHVSPAGAAVGVPLN EEERKVYMVDDIAGIESSGLAQAYARARGADRMSSFGDILALSDVVDVPTAKIVSREV SDGVIAAGYTPEALEILSKKKGGKYLVLEMDETYNPPAEETRTLYGVQLTQARNDAVI SPQKTFNTIITPKNTESLPESALRDLTVATLALKYTQSNSVCYALNGQVVGLGAGQQS RIHCTRLAGDKTDNWWMRFHERVLNIKWKQGTKRADKSNAIDLLCSGQTPRNDAEKVE YERVFAEVPAPFTQEERDAWLSQLTNVAVSSDAFFPFIDNVFRAARSGVKYIAAPSGS QNDGPVFETAEKLGISFVEQGTRLFHH ACHE_70756A MHPPSIIRTRHNQPSRDRKQQDSRFRTTDPTSPTGQASAFTPAC PHAYQGTRAADRQCCKGLGGSGIYFVHAVDWRIDMVSLAISLARKPYVIVATPGAVVG SFGEYEGVFVVEIERASLTGPVRVSVSAKSQTSATLLQYYALIPFIWKDVHFIHLLNE HAGQMTIIFTRIIRETQRLAIVLRNLGFPAIPIHGQLSLSARLASLNKFRARSQNILT ATDVAACGLDIPSVDLVANYDLPEDSKTYIHRVGRTARAGRSGIAISIVIQYDTETYS RIKAVLGKMLKGYEISEEEAMLFAERVNEARRAAAVQLREQGQRGQSSKEAREAETTW TKMRGSPLT ACHE_70757S MAPLPIKFTELVNLTNAEIAPSSIGFNSCTLESDHYLCVRQKLS EDDKPQVIIINLKNNNEVIKRPINADSAIMHWSKNIIALKAQGRTIQIFDLSAKQKLK SAVMNEDVVYWKWFSETSLGLVTDVSVYHWDVFDPTQSQPIKIFDRLPNLSGCQIINY RVNDDEKWMVVVGISQQQGRVVGSMQLFSKDRGISQFIEGHAAAFASIRVEGSPLEHK LFTFAVRTQTGAKLQIAEIDHQEPNPRFQKKAVEVYFPQEAVNDFPVAMQVSRKYDVV YLVTKYGFIHLYDLETGTCIFMNRISSETIFTTAPDSDSAGLVGVNRKGQVLSVSVDE STIVQYLMENPAMAGLAVKLASKAGLPGADHLYQQQFDSLVAQGNYPEAAKIAANSPR GFLRTPETITRFKNAPQTGQMSVILQYFGMLLDKGGLNKYESVELVRPVLQQNRKHLL EKWMREEKLESSEELGDIVRPFDMNLALSIYLQANVPHKVIAGFAETGQFDKILAYSK QVGYQPDYTQLLQHIVRVNPEKGAEFASQLANEDAGALIDLDRVVDVFLSQNMIQQAT SFLLDALKDNKPEQGHLQTRLLEMNLVNAPQVADAILGNEIFTHYDRPRISQLCENAG LIQRALENTDDSAVIKRNIVRTDQLSPEWLMNYFGNLSVEQSIDCLDTMLAVNIRQTL QAVVQIATKFSDLLGPGRLISLLEKYRTAEGLYYYLGSIVNLSEDSEVHFKYIEAATA MGQITEVERICRESNYYNPEKVRNFLKEARLTEQLPLIIVCDRFNFIHDLVLYLYQNQ QYKSIEVYVQRVNPSRAPAVVGGLLDVDCDEGIIKNLLSTVDPALIPIDELVTEVEKR NRLKLLLPFLEATLATGNQQQAVYNALAKIYIDSNNNPEKFLQENDMYDTLTVGKYCE KRDPHLAHIAYKKGQNDLELINITNENSMYRAQARYLVERADSEIWSFVLSENNVHRR SLVDQVIATAVPESTEPDKVSIAVKAFLDADLPGELIELLEKIILEPSPFSDNTSLQN LMMLTAAKADKGRLMDYIHQLNEFSADEIAEMCISVGLYEEAFEIYKKVNNYIAAVNV LVENIVSIDRAQEFAERVELPDVWSKVAKAQLDGLRVSDSIESYIRADDPSNYNEVID TATHAGKDEDLVKYLRMARKTLREPAIDTGLAFCFARLDQLAELEDFLRATNVADVEA SGDKAYEEGYHEAAKIFYTSISNWAKLATTLVHLEDYQAAVECARKANSVKVWKQVNE ACVNKKEFRLAQICGLNLIVHAEELQDLVRQYERNGYFDELIGVLEAGLGLERAHMGM FTELGIALSKYHPDRVMEHLKLFWSRINIPKMIRACEEASLWPELVFLYCHYDEWDNA ALAMMERAADAWEHHSFKDIIVKVANLEIYYRGLNFYLQEQPLLLTDLLQVLTPRIDV NRVVRIFHASDNIPLIKPFLLNVQTQNKRAVNDAINDLLIEEEDYKTLRDSVDNYDNF DSVDLAQRLEKHDLIFFRQIAASIYRNNKRWEKSIALSKQDKLYKDAIETAAISGKSD VVEELLRYFVDIGSRECYVGMLYACYDLIRPDVIMEVSWRHGLHDFTMPFMINFLCEQ TRAIEMLKKDNEERKSRETTQKKDEDNTPILGGSRLMLTQGPAQAPPPMASPMPYGQA NGLTPQATGFRPF ACHE_70758S MAASLAHRQAESAIDDVEFLEEHHEKFQDSVHRRLRANSTIMQF QKILVANRGEIPIRIFRTAHELSLQTVAVYSHEDRLSMHRQKADEAYMIGRRGQYTPV GSYLAGDEIIKIALEHGVHLIHPGYGFLSENADFARKVEKAGLVFVGPTPDTIDNLGD KVSARQLAIKCEVPVVPGTPGPVESFEEVKAFTDTYGFPIIIKAAFGGGGRGMRVVRD QSELKDSVERATSEARSAFGNGTIFVERFLDRPKHIEVQLLGDSQGNVVHLFERDCSV QRRHQKVVELAPAKDLPTDVRDRILADAVKLAKSVKYRNAGTAEFLVDQQNRYYFIEI NPRIQVEHTITEEITGIDIVAAQIQIAAGATLEQLGLTQDRISIRGYAVQCRITTEDP SKGFSPDTGKIEVYRSAGGNGVRLDGGNGFAGAIITPHYDSMLVKCTCRGSTYEIARR KMLRALVEFRIRGVKTNIPFLASLLSHPVFIDGTCWTTFIDDTPELFALVGSQNRAQK LLAYLGDVAVNGSSIKGQIGEPKLKSDIIKPTLLDAAGKPLDVSVPCQQGWKNILDRE GPDAFARAVRGNKGCLIMDTTWRDAHQSLLATRVRTIDMLNIAHETSHTFSNAYSLEC WGGATFDVAMRFLYEDPWDRLRKLRKAVPNIPFQMLLRGANGVAYSSLPDNAIYHFCK QAKKYGVDIFRVFDALNDVDQLEVGIKAVREAGGVVEATICYSGDMLNPSKKYNLDYY LALVERIVQLGTHVLGIKDMAGVLKPQAARLLIGGIRERYPDLPIHVHTHDSAGTGVA SMIACAQAGADAVDAATDSLSGMTSQPSIGAILASLEGTEQDPKLNLAHVRAIDTYWA QLRLLYSPFEAGLTGPDPEVYEHEIPGGQLTNLIFQASQLGLGQQWAETKKAYEAAND LLGDIVKVTPTSKVVGDLAQFMVSNKLSAEDVIDRADQLDFPGSVLEFLEGLMGQPFG GFPEPLRTKALRNRRKLEKRPGLYLEPLDLAGIKNQIREKFGSATESDVASYAMYPKV FEDYKKFVQKYGDLSVLPTRFFLARPEIGEEFHVELEKGKVLILKLLAIGPLSEQTGQ REVFYEVNGEVRQVSVEDNKASVENTARPKADAADSSQVGAPMSGVVVEIRVHDGHEV KKGDPVAVLSAMKMEMVISAPHSGKVSGLLVKEGDSVDGQDLICKIAKA ACHE_70759A MQTTAKPHLYSLSHRGYIQGLTFSAAATDTPLCHFFGNLRYGLP PSQRWRRASSLPYDYSYGTKEEPGKCDKGAGVCPQPAFLGPVDKSGWSEDCFQVNVWV PVGDSPEGGWPVLFYIHGGWLQFGSPNGFSGVGLLGDSPVSAIIVMPAYRLNVFGFLY SSELEHDAATAGETTGNHGFWDQRLALEWTRDNIRLFGGNAENITVGGYSAGAYSTFH QLTYDLSLPPQQSIIRKACIWSNSPAAQPKHPPEAQTQFNALLSHLNIPTTLPDSEKL SRLRALDPDTLLTAATSTQFHQYRPTSDSTFVSKDLFKSLENGDFARRFKNRNIKLLL GETCNEPKLYSLWFPPEANTLDALRTRLIAEYPTSTVDALLPLYYPDGKLPPGQENWD SDAFGRVYADMQVYKMQRGLIHSLVSHGVPDSSIYRYRVEMRLKCAEKQIPIEWGVTH ATDHFIWFWGNGEVLQEREKGIVERALIGPLARFLNGERDIGWGTSSHRHMRVLKGNG EVGIWEDAFWDEAMRVWGAVPEIRDGRGSARL ACHE_70760A MDRRTSSANTASNPSNQNTVPPNIGDRRRSSNAVLFSGLSTQKR NPLDTNMATRRESWKEQSNPGGFLSKWWDGYTKGH ACHE_70761S MHDTSHGYQLGVDVGGTFTDVFVFTPTGHTLRAKVPTTIPDQSI GILDGIAKGKGARTALVVTAGHRDILALRRSHILGGLGAWIHYTPPEPIVPLERVVEC RERMSVLGETVVDVDVADLRVRLREMATRHRPEFLNSHGNDKHERVVEGVVREELGSD VAVICSADVLREVGEYERTVTTCTNALVKPVVQAHLRNLAGKLATESETIRVLKSDGG LTSLTLAGELPVNILMSGPAGGAKGAADTVAQYTPYKKLITLDMGGTSTDCTLIYDGK PQLRRETVVDTLSVRSPAVDVKTVGAGGGSIAAYLELTETLCVGPESAGAKPGPACYG KGGKQATVTDANLVLGYLPKTLLGGEFAQDVKSAVSAVESIATQMGLPVIQTAEDIIK IVNETMYGALRLVSVEQGYDPREFALVAFGGAGPLHANAVGKLLGAWPVIIPQSPGTL CAQGDVTTKLSHEQSATFIRLLADATATELREQYAKLEQHCRETLQRSASTNSWPVTW NTKYQADIRYKGQELTVTVDLQADELALDTEAWHAVLRGKFEQQHRQLFTYILTGFEL ELMRLGVVLEDASPNVKMQQLDKATSSEPPADAKLGEQTIIVEGKKQQATLWDRYKIS KDGIRVIGPCIVTEMDSNTLILPGYYGEIDSIGNILIRPIGEETTSSIQQHNDVSPTE FVRNTPLIPTLVSAALSSIRGEMDKMMLRCSMSPAIREQQDEFNVITDSQGKMLVGQF ASFITQFLEAWHGTIEDGDVFITNDVYQVKGAMSHLNDVIVLLPIFYEGKLIGWASQF GHLTDVGGMVPGSMSINATSVFDDGIQVPCIKLYSKGVVNSDLLELPCRNSRQPAWCR SDITAIIAACSMAAIRVRELATRFGCEVYLAACDELLYRNRTGFAKIIERQFDDKEST FTDFVDDDGHGVGPWALTCSMKKVGNHGLKFDWSGTSPQSSHSINFYLSETMFKMFIG YYLIAAAAPGRVINDGFHDLIDVYIPEGTVLKPVRPAPISCRTHFLGRTLDMVQALIG QKDDAYQAAAGFSDSPHFFYSGFKPNGEWYQLYQIGFGGVPARQAGDGPDCHCLFPAI KSIPTESIELNYPLRIEANGSVPDTGGPGFYRGGNAQRTLYRFLSRGEISLHDDRWFT KPWGLKGGKPGNDLGRPCTDALPMIQAW ACHE_70762S MRAQSDEVSKYDRGGDLGVLRESCLEETGLPAPTPQWEVELYGP HAGLDYVRRWYADMEQEKG ACHE_70763A MSDGKDKSANPMRELRIQKLVLNISVGESGDRLTRAAKVLEQLS GQTPVYSKARYTVRTFGIRRNEKISVHVTVRGAKAEEILERGLKVKEYELRKRNFSET GNFGFGISEHIDLGIKYDPGIGIYGMDFYCCMTRPGEHVAKRRRCKSQIGVNHRITQG ETIKWFKNRFDGIVR ACHE_70764S MAANRQGKMQNLINYQMRVTLNDGRQMTGQMLAFDKHMNLVLAD TEEFRRVKRKSKPAAGPNNAPLVEAEEKRTLGLTIVRGTHVVSCSVDGPPPADPSSRL GTSVPGAAAAAATLAAGPGISKPAGRGLPIGLGGPAAGVGAGAPPPGGFGFPPAGFPG GPPPGFAGRGGPPGGPPGFAPPPGFAPQGAPPGAFQPPPGFQPPGQGRGFPPPGFGR ACHE_70765S MAAAIKAINAKIRSNKVLDYVCSTHFWGPVSNFGIPVAAVMDTQ KDPEIISGTMTGALTIYSATFMRYALAVSPANYLLFGCHLVNFSAQATQGYRYLSYWN WGGREAQLAAKGAQTGKEATEAGA ACHE_70766A MAQPQDNGEGSSRRPRSITPVSISGVRSDSRSVSGRPRQSSIAR LASPIPSSPGLSPARQLPSHPTVPGPDQDLQRVENQSSLPGPGQSMIASGLQDVPGRS SPRLESQTGRTASPALRPVKSIYGSFDNRSNTDSPSHAGAPLEDPEVVKRHLVQPHQG SPSRPTSSWQDSTSNGDDEFSSLKLQGGDVTRQIYKWAQQAEEGDIAGKPQRSKSFSH TRPLPDTETMDINTIRVPGGFRRDHIRRTVGYPYEESISGSSARPVPAQPHLPTSNFL EFLTLYGHFAGEELEEDDEVLGPNEYFGSDTWDEGEGEGIGEETALLQRRDAAGKRVR KPRGVTGTNDRWGAAMLLLKAFMGTGVLFLPKAFFNGGMLFSSLVLLGVSLLSYYCFV LLVNTRLRVDGSFGDMGGILYGKHFRNIILSSIVISQLGFVAAYTVFTAENLQAFILA VSKCKSFIDVKWLILLQVLFFLPLSLFRDISKLGFTALIADLFIMLGLVYLYYYDASH IVSQGGVADINNFNSSSWTLFIGTAIFTFEGIGLILPIQESMKRPEQFPGVLALIMII ITSIFLSAGALSYAAFGSDTRTVVLLNMPQDNKFVNAVQLLYSMAILLSTPLQLFPAI RIMENGMFTRSGKYNPRIKWQKNCFRFFLVLFCAVIAWGGAADLDKFVSIVGSFACVP LVYVYPPLLHLRGCARSRRQKFLDIGLACFGILGCIYTTALTLSNWVSGEVEKVPGYC DS ACHE_70767S MADSQSMSRRTPNFSTRTPEEDVSRLAPSDSAHASPEESSGFLQ SLSLRLSFRPYEPLNSSSRPINRQHHSLPSNFFSGLFRWWDKRDNGEDEGQERARSHV SLSAGPLRESSRDRSKVQSRPKAEGSDKLGTFSGVFVPTTLNVLSILMFLRFGFILGQ AGLLGILGLLLVSYTINLVTTMSLSAIATNGTVKGGGAYYLISRSLGPEFGGSIGIVF YLGYVLNTGMNAVGLVDCFAQNFGTKSGTWANFLQEGFWWEYLWGTVVLILCTGICLA GSSIFSRASNGLLVVLLVATFSIPVSVLVMQPFSIPRLGIEFTGLSLRTLTENLKPRF TKNAAGSQIHGRENFQDLFGILFPATGGIFAGASMSGDLKNPSRSIPSGTLSGLALTF ITYTLVVLAMAASITRASLYNNANVIQVVNLSGVIILLGEFATSFFSSLMGVIGSAKL LQAIARDSLLPGLSIFGQGTKKHDEPIYAIIVTYVVAQLTMLFDINQIASFVTMTYLM TFLVTNLACFLLKIGSAPNFRPSFHYFNWQTAAAGTLVSGVSMFFVDGLYAGGCVGIL ALLFLLIHYNSPPKPWGDVSQSLIYHQVRKYLLRLRQEHVKFWRPQILLFVSNIDDQE RMVSFCNSLKKGALFVLGHVLVTEDFTAAVPEARRQQSAWTKFVESSKVKAFVNMAVS PSAEWGIRNVVLNAGLGGMRPNIVVIDQFRRGHSLVETLNANREESAMSCKSYVTVLD DLLFKLRINVAVAKGFEHLQFPRADGQNTKRYIDLWPIQMSAALGADSESKQNVLTTN FDTYTLILQLGCILNTVPSWKKCYKLRVAVFVEYETDVEDERIRVEALLEKLRIEAKV LVFWLACGDSKMYRAIVNGDRSPGMEEAREKAHEVLRDEEWWQEIQRIRRSAKGQRSS GPNRPGRVSSWHPSSHDGGSKLQSPKIDRLKRFIKSSEGRRRSISSFRTTGNVNFGMQ THHLLDALVDYDITDIPSDSSESSSSDSDESAFEPYVDDPGRGSDDSNDDDNRRDEAD RNTMTGVQAPRFSSPSQSAPSPGTVPQGGEAEAFDSAGPSMVSVIPPSEEGNISPQSQ APPVRPKPSRSPSSNRFSSSPIPEARVNADETGGPSIMFAANSPPPRSLPAGKADSIY TRHLCAPGSAPSGTAGPASGYPGQAAVPLSFNDLPSRAQHLILNELMIQQSAETAVIF TTLPSPSDGTSHSEEASESYLSDLDVLWQGLPPCLLVHSNSMTVTMNL ACHE_70768S MPSRGGRKKNASFKAKHRRTMSSQNTIKSAVVSNCVREYDSPDS LDSSDGDVSSTTPCTSASSTTTTTATTSASTPTISSSHNTLPNGVSISSSAKEGTNRT NMAARKASSPMAPAFMVSAPGKVIVHGEHAVVHGKAAMAAAISLRSYLHVTTLSKSHR TVTLNFRDIGLDHTWDIDSLPWDLFHHPSKKKFYYDLVTALDSELLDAVKPHAEAVSL GTPDEQRKIHVRSATSFLYLFLSLGSPQSPGAVYTMRSTIPIGAGLGSSASVCVCLSA ALLTQIRALAGPHPDQPSDEAEVQIERINRWSFVGEMCIHGNPSGVDNTVASGGKALL YRRGDPPVVTPVPNFPEARLLLVNTKQSRSTLTEVAKVGKLKAEHPLVTDTILDAIDK VTESAHQLIREQKDKGETLEALGTLFRINHGLLLSLGVSHPRLERIRELVGDLGWTKL TGAGGGGCAITLVRPDVKEESLQKLEAQLNAEGFEKYETTLGGDGIGVLWPAVLRNGT DEEGGEEIDQLKFENAVGTEGIERLVGVGGGDERREGWKFWKRASPAPFP ACHE_70769A MRRVKKSRNGCARCKSKRVKCGEEKPHCNRCTRLGVRCPGYVQS LRWVTNHNGIQFENATRTAESESATPRTARSPAPQSPRAPEGLIKSLPTSPSIALEDA NNPTTIGTTTATQEPPNPSSLEVGETSILCENLWDLNGDSLPELDDLSPPSSVAASTS EESIQQTDSPFAANFATTDLSNLFSLAAPAFQDNSRNYGHFSPTAIVRSYPPPSMRPQ RIPQPLNGNSSWTLIEYYFKEVAALFSSYDSQMNPFRTTVSRLWGSSLAMCRTMQSMA AATLVHDFPQFGPMGLIMRNEAVDILTKETEMDDKSLLALLMLGQTASWHDPKDLGIS FFNLLRKHLNGKSSGAEAFLSRHSKNYQFFEEALIYWEMLLSFVTDNDSLSLSNDASG MDDSLVPQRMPHPWTGIARDTQSAVQEVGRLVRRERKRIRAQRFTSQEDISLAQRAID QAQQLEERLLGLAHPTEAEIISPGDDDTPVWHLLTMAEAYRCTGLIQLYRVFPDLLRC RLPLPNTSTTNPDRDPFLSDQILGDDCNLDGFEFPWLDANSPKKTPTPPAPGTTHKIK AADSYYNTWLTEFTLTTLSRLKTIPMESRTRCVQPFLFVASSSELRLPSVPMDESNTN PNINTNTRDHNNEGNVSHAVEVLRTRKFLLGRLTSYLHVLPPKPIHVCLQLVKEVWRR MDIGERDVYWMDVMIERGWETTMG ACHE_70770S MFVSRSHFWQPFLVSILAVSVLSSKALHVFQHVHSVPRYSLVLY LPTFFAQDVLLCIAVWFLLHKTSGVKSVAATAFAGIIVCIALAAASSQIGFYLVTGSE IRWNTAKSVGNDPEGRKLMMSGLRPVLAVSGCLTVISWFLTPHIYALITRWVSALFDG TTELEDSTSVLPGPDTKQQVRTRNPARIWTLCFTIAITGLWIVRPSVPYNHMSGTLPV VLMQVFIPHHHSSHGDIEQQFPFPDLLESEFWEAPHGHFPGWAPTASHATPETYIRSQ PWWSFGRLPRGFGRWADPTNFAKSGSDGNKDEATPYYNPVTDPLKISNLDLPVLEPLK QALQDHDIPINHVVFVLLESARKDLFPFKAGSPLHDKILASHDTTDEAVVQKVNEKLS KLTPVAEQLTGQSSGFFAGDSAGQSKLGGIDIEGVSTGSSLSFKSAVMNYCGVQPLPV NFMKEAESDIYQPCIMQILDLFNQMKSDRTDAVHDRKWKSVFLQSITGRYDNQDVLNE NMGFNESIYKETLIDSHSRHYHVPMEKINYFGYPEPEIYPYIQDAINDAVENKTRLFL SHFTSTTHHPWGTPNAFEYNDYFSKDSVLGNHQDMNSYLNAIHYVDTWLGEVMKLLDN SGISNETLVVLVGDHGQAFQEDAPISGTYQNPHISNFQIPLVFHHPLLPRMQITANTT SISILPTILDLLIQTKSLNTQDSDIASDLLHQYEGQSLIRPYKATHNGRQAWNFNVIN PGGEILSVGSAAAPYRLIIPMTGDFEYRFVHREKDPEEVEAITEWEVEMLAEHVRHVY GDGAATWVAEAEKVGGWWIGERWRLWDYDGE ACHE_70771S MSSPWKVSSNLVPLTIIKGAGHEFIPLPQGQNATTADFHSVRTK TTESPAHFTSGFYKIEAGPQRPAHYNFEETKYVLSGQIDVLDEATGITHHLVPGDFAF FHVGSKVKFSTKSQGFAFYAVTRPVRTPHPNLEGREEGKAKL ACHE_70772A MGLSQSVEDGVQSTPEALSYILAERFATKCFTPLELTHFKDNFF SRATDQNGLKYWNEKTLSDFLGIPDSSETECPLDAGPVIFRMVSYLGAFPFQKTLAPS VLTFDAMVKVVVLLTERYGRVLRRGRRDRIRLLFGSLADVGRKDLGPSGQDEENGDGN ASKGRHPFGYDIDQPANDDEEDEDGDDLALAALESLDAIEVFKHDQRVDRTVYEAHIS TDTFRRLLMLLLVIAPLKTLDDVRTYTGDLSAERMETVRNEADHILAAFIEDETTGSG SGISYKSFSKMVSNSLQHLFDPLTPLFEHMLFSKNLDLSQKRGRTDSMQSVHTEEQSE SDTSVLPSPPPVLLSGAFESVILNLSLISHLSFFLPSTSRGLSFLQSGAQLHPVFSTA AHGSSLTSFSHNVLTWQAPSLMILNGVTEENKTITLGAYLPSPWSSFHHSSSSSKTPD TLPSLFQLSPKHLLLPGNPSPSFHQGATTPTAYFSTATGLALGCKLPPSSRTSIAQPI PHGAGSLLIDSSLESADFHVSTVGHDGAFLPPEIVSTSPTSEKTHIDIYNLEIWGVVQ PEDSSASTGQRQSAVEVQKAKWEFEAREVERRRNVNLNAGVGDSARESARWMLESAGL VGESGKYGGSV ACHE_70773A MSDKRELEKKAPEASNTDPANTSANDAGASKPAPRMDPKLTEAL LELNPALKNELATMDKGKAAEALHNLDIAELLTGLSVGGKNPKDMASYKFWQTQPVQR FDEDFSKEVAEGPIKVIDPEKVSKEPDRLLEGFEWTTLDLTNQEELQELWDLLTNHYV EDDNAMFRFRYSQSFLHWALMSPGWKKEWHVGVRASKSKKLVASISGVPTEIRVRDQK LKVTEINFLCIHKKLRSKRLAPVLIKEITRRCYLNGIYQAIYTGGTLLPTPVSSCRYY HRPLDWLKLYEVGFSPLPHGSTKARQISKNHLPYVTSTPNLRPMGAKDIDAVQDLLER YEKRFDLNQAFNREEIEHWLLHKPEQKDQVVWSYVVEDPESHKITDFFSFYNLESTVI QHPKHDNVRAAYLYYYATETAFTGDQKALKERLLQLINDALILAKKAKFDVFNALTLH DNPLFLEQLKFGAGDGQLHYYIYNYRAAPIAGGVNEKNLPDEKKMGGVGVVML ACHE_70774A MGSSRTYPLFQRSLLLRRFSTSCTMSRSYGDAIAALNSLQTNFA AIQRFNQTRSRDDMNKTSISEMVEWLRRIGYQRSDLNRLNAVHVAGTKGKGSTSSFIT SILSQYASPSSKVNRVGLYTSPHLRFARERIGIDNAPLSEEKFAKYFFEVWDRLEQAA VAAGEDPKGPLAKPQYFRYLTLMAFHTYLSEGVNAAVIECGIGGEYDCTNVIERPAVS AIASLGIDHTAMLGDTIEQIAWHKGGVIKSGAKAFSVSQAAGAEQVLQRRAEEKATQI SIVSRHPDLESTDIKLGLAGDFQYTNATLAVATAAEYLRKAGVEGIPEDIMSQPLPVE FRRGLELARLGGRCETRHEKNVTWYIDGGHTMESIKVAGEWFVSQVKANSSSTETADR KLRLLIFNQQTRDSNALAKALHNTLSAALEADRPFTHAIFCTNVTYKEAGYRPDLVSM NTSATDIEKLKVQNSLAETWKEVDPRTEVQVYSTIEEAVDYARELATKEQGIVADEAP LMTFVTGSLHLVGGFLDVIETKPGPQ ACHE_70775A MAPPVLPFRDINLHASSSHYAFTSPSSPDAPTLILDRPTGDLRL HDGTLSGAKRISSIAGILGIIKLKLDKYLIVITKAQPMGRLRGHMVYKVAATEFLPLR ERPLHDYDEDTYLALLKELLRTGPMYFSYSLDITNSFQRQSQSNQSLPMWKRADDRFF WNRFIQSDLIDFSLGEHDNTGVRYGPQPGVDPYILPVMFGMLRITPAKVKSTSFVFAL ITRRSRHRAGTRYFSRGIDEQGHVSNYNETEQIVILNDTAGGLSGFAGGQSMKDEKAG QDTQVLSFVQTRGSVPVYWAEVNDLKYTPKLQVRGVETAVDAARKHFVEQIELYGENY LVNLVNQKGREERVKRAYEQMVRALVSPTAEDTEANGATPEKVHILEAKQIQKELDRL HYIYFDFHSETKGLKWHRAELLMDRLVNGLSRGGYFRGVENPGAPGGSLDIRLVQTSV VRTNCMDCLDRTNVVQSMLGRWAITQQLVEAGYLSPGEHATADPAFEDLFRNIWADNA DVVSKSYSGTGALKTDFTRTGNRTRAGMVQDLSNSITRYVRNNFLDGPRQDGFDVFLG AYLPPDSTLGSFQLFFDRRPLAIQSVPYILATSIFMIMVSIFTKRDPDSAVWPLRLFA IFWMVVAAWCLRFVSGHGMLYVSIYSSLRQSCYSVLITLTQVNWPKLNTPSASAEGYQ DALAKARSDPIIGHWIPIKRHQRGYSNARLGFLEEGKTRIE ACHE_70776S MASRAAAGARPGARFAQFKLVLLGESAVGKSSLVLRFVKDQFDD YRESTIGAAFLTQTISLDENTTVKFEIWDTAGQERYKSLAPMYYRNANCAVVVYDITQ ASSLDKAKSWVKELQRQANENIVIALAGNKLDLVNESPDKRAISTADAEAYAREAGLL FFETSAKTSSNVQELFTAIAKKLPLDQAGPRNLRTAPRPGVDLRPEAPGTQGAGACNC ACHE_70777S MLRSSILQSRQLLSSPIRQRTASQWLSRAGASNRLSGQRFFADE KSPATGSPTPASPSSESTIPPENIAKAEEGSHVPPPPAPKTGRFRRFLLYLIFTSGIA YGGGIFLALKSDNFHDFFTEYVPYGEECVLYFEEQDFYRRFPNASKHQHRIQSTPKDA GRHVTIPSKSGLFSKVTEEGDAGADVSQKGPHMSALHDKPASAKADEKVATVGKAKSD KAAKEQKAKDEKKKPAAPIPTIELAQVQEGGEAIVQDLVKTFNDIVTVISADENAGKY SSSIAKAKEEVQKIGEKIVAVREEARKAAQDELTKVHATFDESARELIRRFEESRAAD AAQYREEFEVERERLARAYQDKIQTELQRAQQIAEQRLQNELVEQAIELNRKYLHNIE DLVEKEREGRLSKLNELTTSVSDLEKLTTDWREVIDSNLKTQQLQVAVDAVRSVLERS EVPRPFIRELVAVKELATDDHVVEAAIASINPTAYQRGIPSTSQLIERFRRVASEVRK ASLLPEDAGIASHAASVVLSKVMFKKDPVADSDDVESVLVRTENLLEEGNVDAAAREM NSLQGWAKILSKDWLADARRVLEVKQALEVIETEARLQCLRVE ACHE_70778S MSQQSNDFHPPPSVVTNSDSSHASTPSRQPEAYGGAGRQKDTQM NEGRASGELEGSTLGSSSRDGERGGTRGQRRMGSSSFLLDSSFLHKSKSLRASQHQRP RRSEPDRKEKRKPPEAENNVSRKKSRLPWSRYRQSLEESLSETVDTGLRAVREDPQPS NAAREEEPHNEPDSTQGEANQGEANRGSIGLDQDSIDIVNLALNLNESRRRGNIGRSA SHRVSGGAWTARQPPVHYTDSDVPAVVRAGLENRSRTQGRRSFTHSFADARPRPLDSY AQQSPVNEQLSVSNLLPDTVNDGSLPHEISESTLARVDKARRHFELFNEYLRLLPSLP PLRSHAAADSGHESTRVHNPLQTIRNRKVRYREKCPINVEREGWDDVATVHEWVNIVE QQYGHQSHQPNECLELPRLGQRTSRAPQGEHDDAELSAIISPSDSAGPGRRSSSAKAR RPRFDWVVSSAELLADAAWVEDGLNKSKMTDKDGNSLYPDPMELLSSDTNFDSSSVQR ASLSGKRVSLDVPSRTSFSDRGVSHDIQGVGRGRSRNRFQSPSSPIHSSSASAKAGKL ERRSRSSSISMGAGFSERSGFSSALSTNVRSTQDPDSPLHRPEKGSTWASADEKRPSI SSAASTDDRCRMSLDAMQGTASNSPMRPGPFPSIAVNLSPQTSRSPSPPSKKRLSRKI AARRERSKSKNRAREQYEDLPLDSQFLRKHPSPAYLDVTTPSGTDRSEQLETSALPDQ VSSPHQDDRPMDDTQGQKGTGQHESKLRGIFKGKGKVTAKVGNEVSKMGNFILKKDHP AHSRQSSFATSAASDDADLETVNAGKSVNPKGFLRRFPTLSDDNGRFARKDPEKDAKA HVPPIPPFTSLPENGHDEQRETSDLEYARDTREVHKVDDIQAAQPGKFGTHVSVDPNE NSGIGPPLRNVQEQSKKKQIKDPSVPFSMTRPPVTGLAQAEASAPPSAQSKRPTLSGT WTISDRSIPTLADLGVSEKREIERTRALLLSSGIKAREITRRAETVRDPPPEFLTNTM DNACAFPRVTRLREFDLAAQNLQRRFEKTQLQFQQSMDRFPNETVSPLKSRLSNLENL VSHSLTPRVQAVASDAEGLSIQLNTTSTLALKQLSDTLDKGVRKRRRRLRWVRRAGFV MLEWALVGMLWWVWLIVMAFKVLRSAFRGAISGVRWVLWL ACHE_70779S MHRFYGKSSNETVKLPRTPSPKPGQPSMETSPLEPPRLRRSNAI KRIGEALVNCRREGARAESPTPTGRIRPFSSTRTDGQDRINAGADHVHNANRVIGDID IPPMKDEWTWEDMRRLPGILISFVKSGMPADELGFYLDKMLYGRDRSVGGVVTTLVNG NVRYHEIAIRTLKLLDLYDDDGHLLSEQVAPAESGSRRRPNRPLHYKVTHRQIQMQTP PISLYDCNEELLSYNDPDTTGPEIICPRPRHVALERECIQCSLAEDADAHATSSEDED EDGEQDPFADFDFAFDNTDNINANAYAGNAYDPNTSSSSTSPFDDDVAMQDAQAIRYI NPGPARICSQCYDDGSSMAAQANTNSKQHNASGVPEELIPGMAAKPELKRERFLID ACHE_70780A MSSHRTSSPDRVQIPSPSPSLSESEAQEDKNISNVDRDVMGVTT QGRPPRVSRRRRSEHAPTWQRAQAHRHHGTTGSKYRSTSRH ACHE_70781A MSFLDLFDFYASQHDGSDPVPVNEVPATPLRKDLSKGTLEDGSH SDSVTRRRRHAVYMERPPVWLLSPDPPFNDQDLSGGYDDILCGRCGNSMKQSPGKCSA GVCPRDFVQDTDTRGVAGEETTDEQEVSKRELLCDIAAAVAEAQATEADSNSEPETPL LSPFSEPTKRQCDYFFAANDQSDFPNSSEENLPNCLQSPLRQSKAGLCTRLHSYRDMR LEVGEKWAIQEGSLVVCVDPSYTQFIRQEKLPDEFDITSGDFYIVCSLYADLWALCLK LSFEQLADDNKDETLAECSTHLGFLPLCAVTLAANFSSFIRRCQTVNGTPRYPGNGLP VMPPERSHSLNASKQFFQGDRLHIGLPSTVYDTYNTLSLEAIDTDFIPLDSTLQQLFS SIGGRRDRVQKLGKRMSHWKLWRGKSSESGLPDDAPRERQFSFRDYSGSRSSGSHRWS SFSSTASQGRKWFSPRAPFNGHRRGIRDLMRGHDRFRHTRGDSTASDPLGYYS ACHE_70782S MPSAKDNNPSVIEQRAEPAGTVEPTPGSNEGYMDSVKNQINSTE TGNSLADLGNNASAMIGESFNEVKNRVSSISPGEVVDGVRERIQSMTNGNGNGGDAES EHAAKQEAENAPSHEEIQIIEDMESEKIAEFLQEKHMSNANLRRGK ACHE_70783A MTSKITLYFDIVSPFAYIAFHALQNSPAFRNCTVSYVPIFLGGL MHSCGNTPPIRIKNKDIWINQERIRWSRYFRVPMIDHFPNDFPPLTLGVQRALCAISQ RYPEKLVPAVASVYYAFWADGNSKVIQPEIFGPILEKVLGKEKTHEIIKDSTTSDIKS LLNENTDRAFRSGAFGLPWFECTNPQGEMEGFWGIDHLGQVADFCELERKFEPGFRAL L ACHE_70784S MAPIPVTIVTGFLGSGKTTLLLNLIPQLPQSYRLALLKNEYGDV AIDSQLASTQSISGVRELLNGCICCNLVGQLGDALNQLRETVQPDRIVIETSGSAFPA TLAMEVNRLSREEEKEGEGFVLDGVISVIDVENWEGYEDTSYTAKLQARYTDLIIFNK WEGVSERRFDTCLDRVGDLEVETPYVKSDRGRVDKDVLLGIDGAMFAKENADVVLGQA HHDDHEHGHEHKHNHQSEVEVLSVTLKSDQTVNVASLEQLLSSAPKEEVYRIKGILRC STSAPPVESSEKLNEPRPMPQSDSPPSQYYILNWAFGRWTFTPSAMVAESADPGMAAR LTFILARYESGKWKKRLEAGGLVQADEGAELSVERLV ACHE_70785A MAPTACVPPVEILATKHKPEIIHSHNNFNALGNHGGDESLQSRL TAKDLVLDVLKKRAAEVDVDRCGAGEEDAFYVADMGEIYRQHLRWKMQLGRVKPFYAV KCNPDPEILRLMAQLGNGFDCASKAEIDLALATGIDPSRIIYAQPCKTKSYLRYAAQV GVKQMTFDNADELYKIKACFPDAELYLRILTDDSTSLCRLSMKFGASLDVARQLLELA AQLELRVVGVSFHVGSGAEDPSAFVKAVQDARMVFDQAMEVGHELHTLDVGGGFSHDT FEKFAGYLGEALDAYFPPHIRIIAEPGRYYVANAFTLAANVIARRDLPDPTDSTRDAY MVYLNDGVYGNFSNIIFDHQHPVAQILSCANGIDRSAEGVHYSIWGPTCDGIDVITQH IVLPGMLDVGDWLFFEEMGAYTKCSATRFNGFSDNHEVIYISSEAGASALLDY ACHE_70786A MVFYIRFLKTPRFQKQKGVVSVSALICITTDLGDAFLAEDAHLL ATLSVNQTGKSLYKEPLKWTAGKRELPISLGPFPEHLARQTVVLGVNAIEPQRAEMRW NDAIMGGTGVPLVISGWSAPFGGSRSLVAEKLVERRFGPTDRLILRIWEETGNSIARH IWDAALASVIHLEQIVQGGSKSTLPVFSELLQNPRDKPLQVLELGSGCGIVGIALAEL LPQCFVLLTDLPEVEDIVMQNIAVARPAQSSKIEYQNLDWDESLPENLCHESIDLILV SDCTYNADSLPALVSVLDRLVRSSPSALILVALKRRHESEAIFFDLMQSAGLCNRHQV QTVTLPAQHDQLDCIELHCYGRNPQPGSG ACHE_70787S MMRPRDPRIRQTLNQISSNLESVNETAQEGIYAFSQNYISPCFA TIGNCVHTCTAPCLPSREDQIRRRRRGRAEANFDFYDDWDNDIANDSLLGWGSDELDR LLAGSGLARGTADQPRRQRKMSYGTRGTRRKSSVLVPENRNDPTVIPSSSFLGFLERF PWRLGARGQKYRPSAADLQEHPGGRRFDREEEPLLETGDRSEDPDSYGNNGRDRSATQ SSRETANSLSSRGDLIMSDEEEDAVPLDDEFALALGRRGTGLDSDDQSGRKSLMRRST SGTISSTTDASLNELRMKKKRGSRILSPRVIAVGAQTLDAQSLDDLKREEEQAELKEE QEIIKKRFAAQRLASNRGLDQDKSASRPSLSARSTTVSSDAQHANAVYSPRGSVSDRK LPDDLDNSQTEPFPSLPQTPVSSTGHESWPDMKASEDNPGSPNPGSDRATDG ACHE_70788S MASQSGFPSSYDPSKYYDPEIQTVREPARTIFKEYSKIPNERIA NHINEVRKRAFAVTPYPCIGLFRFLELDLHRMSIYPEILERVRTGDKFLDLGCALGQE LRHLVHDGAPSTNLYGCDLNPDLINVGYDLFNDQATLQSQFIVSDIFNYKSDLITRFT GHFDIINAMSFFHLFTWDQQILVAKCIITLLRPQPGSLLVGRQVGKVKHSEGPEAGES LIGYFHNEESWREMWEVVARETGTRWRVDVVEEKWGETASEEILRLVREQGQIKVRFV VRRE ACHE_70789A MLRPFLGASKCRVPVLRQQLAGSRRLRLNYDLSRWGQIRKASTV TSLDNFPRVGEKLHGFTVQEKKHVPELHLTAVRLKHDKTDADYMHVARDDQNNVFGIG FKTNPPDATGVPHILEHTTLCGSEKYPIRDPFFKMLPRSLSNFMNAFTASDHTTYPFA TTNPQDFQNLLSVYLDASFYPLLKEEDFKQEGWRLGPENPRAIEQIEGKLTPEDILFK GVVYNEMKGQISDSNYLYYIKYKESIIPALNNSGGDPEYITDLTHKQLVDFSKRNYHP SNSKIFTYGDMPLGTHLKQIGDVLNGFDRSQADTDIKKPIDLKRGPVNVTVPGPIDTF AGEDKQFKTSTSWYMGDATDVVETFSNGILSSLLLDGFGSPMYRALIESGLGSSFTPN TGLDASGKTPVFSVGVTGVSEQDAPAVKEAIQKVYQESLSAGFSDEKVQGFLHQLELS LRHKTANFGIGVMDKTISSWLNGSDPMKELSWNEVIDEFKARYAKDGYLESLMQKYLM NDQCLTFNMVGSPTFGKDLDDKEMVRKAKKFNQLVEQHGSVENAVAKLGEEELQLLKV QEEAQNVDLSCLPSLRVKDISREKERKPVRESRVDDVDVVWREAPTNGLTYFQALNTF EGLPDDLRLLLPLFNDCIMRLGTANRTMEQWEDLIKLKTGGVSTSSFHVSSPTELGRF REGLQLSGFALDQNIPEMLEIFSALITETDFTSPYAPAMIQELLRLVTNGALDSVASS GHRFALNAAASSLTRSFWVQEQQTGLAQLQATASLLRDAETSPQRLAELIEKLRLIQS FAISRSSNLRVRFVCEPSSAAQNETTLQKWLGGLSQTRAPTATPEASSMKLGVDKAFY DMPFKVYYSGLAMQSVPFVDPSSARLSVLSQLLTHNYLHPEIREKGGAYGAGASNGPL KGIFAFTSYRDPNPANTLKVFQNSGIFARDRAWSDREINEAKLGIFQGLDAPMSVDDE GARYFMSGVTHEMDQRWREQVLDVTAKDVNEVAQRFLVEGSRKSACLLGEKKDWADSE GWEVRKLSMNVEEGEVDVASA ACHE_70790S MSSAAMSKKNKGKKVADPNETSKLLAAKISQLEQDAAGEKDQEA EIEREVKKATRDLNQLLSNIESPMTRLETVHKKYTELLADMKKLDRDYAKSKKRSDQL QKDQDKGKSELNKTVTMKDKLEKLCRELTKENKKVKDENKKLEETEKKARLIVNERLD SLLYDIQDVMAAKGNPRNEKVDIDLDEALRAKIKTIGEKFEMRELHYKALLRSKDAEI QCLTAKYEEQRRAAENEAARCRALSSQVSTFSHTEAELRSQLNIYVEKFKQVEDTLNN SNELFLTFRKEMEEMSKKTKRLEKENLTLTRKHDQTNRNILEMAEERTRNHEELEKWR KKSHHLEALCRRMQAQGRGQGLPADLEGDDEGTESEYDEDYEDEEDDEDISDDEYELE HAGRKLNGGNVPQQPEKPVFGPPPPPQLLEARAANGNKAMINGCH ACHE_70791A MSLQTPLCPLLNIQHPVLLAGMARASSAPLAAAVSNAGGLGTVG GLGYTPSQLSEMLTELKSLLRDPNLSFGVDLALPQVGGNARATNHDYTHGQLDELIEV VIKHGAKLFVSAVGVPPERTIKRLHQAGILVMNMVGAPKHAEKALKLGVDMVCAQGGE GGGHTGDVPFSVLVPAVVDVARNFKSPLTGQPALVVAAGGVNDGRSLAAALMLGAAGV WVGTRFVASEESGASRLHKEAVVGARYGETKRTLVLSGRPLRMLPNEYIKEWEKRPDE IARLTAKGVVPIEHDFENDKEVEIPYLMGDVSAIVQGIKPAGAIVREMVQQAVAMLRQ GGSYITSEPASKL ACHE_70792S MDLLNTAESGTVITDAKTTRDPRPYIDHHSDGSNFSPTATSQQS NKKEMRMANGNANHDFLSPPSDEEYAPAYRNPSYYNPLHTFKLPSGQEKHYQQQYGDM YFLRLARLKPAAEQVAAETWDGFSIAGERARRVERVLDVRQGELCWVAGTIYMDMPLK PNILEDLTKENFTLAPAPRRTYIDSSNPESTQYMLEDESGRLRLTGSLLRSTQLATGA VIAVLGTENANGDFEVIDIKVPDLPRQPHRWERDHAPETERKGKIAFVSGLGITGTSS DTLALELLADYLLGYTGTSNEDANAPPNASAITRLVIAGNSLGANVTAAAASTENAAA KKSGTKKYGYDASAYNASPITQLDSFLAELLPSIPVTLMPGETDPANFSLPQQGIHRA MFPQARAYCGPPPSADNQTPEPGWLDSVTNPWEGDVEGWRVWGSSGQNVDDVLRYLDF LDDDGNEDAKNGDIESRIRVMESMLRWRCGVPTAPDTIWSYPFQTHDPFVLHSCPHIF FAGNQPRFKTALIEGEESPLALNGNGADTEMADADQEAATQRVRVLSIPRFQETGELV LVDTESLEAEVVKFGVFRGQEEAQ ACHE_70793S MPRPTNISIRFSRQKWLSNITNTVNRANSNMLLNEHTAISTTPI LLVPYSHWHVPRYHEWMKDEEIQQATASEPLTLEEEYGMQRSWRQDPDKLTFIICQPV SSVPVPGPGVHRTIRAREDDAEAKMLGDINLFLRVDEGDVDEDITASTERLIIGEIEL MIAEKRNHRQGYGRAALLCFMRYIIEHEAEILGEFVPSTFACGTVGEKSKVLLTGDGK GRWKFSCLSVKIGKGNKASLALFESVGFRRVSEEANFFGEIELRRERGDLGGDRVREG VEGGVGGYVELDYVQ ACHE_70794A MAFSKIACILASASMVAGHGYVSGVVANGQYYGGYIVDTYAYND NAPDTIAWSTQATDLGFVSPDSYSSPDIICHEDAKPGALTASVKAGSKIEMQWTEWPE SHHGPILNYLAPCNGDCSKVDKTSLKFFKIDQQGLIDGSNPPGTWASDNMIENNNTYT FTMPEAVPDGNYVLRHELIALHSAGQENGAQNYVQCVNVKVTGGGNANPTGTAGEQLY KASDKGIKFDIYSDLSSYPVPGPALFNAN ACHE_70795A MPREVSDIKQFIEISRRKDASSARIKRNRKTQQIKFKVRCNRFL YSLVLKDSDKADKLKQSLPPSLKVVDVSKGDKKKAL ACHE_70796A MAFPGPHHPPQMPKPPKLPVPLTTDYFEQRLRPIFILCVATLAL TLTVSVGYVYVSTLLSICRYTDQYAAADSYRGDDHTASTAERRRRGVNGHLPQATGRH LVRETGLISRATRTRSTALAVLLQAH ACHE_70797S MPTPLDRAMNSKNLFLGFAGMISAAAVWAIWGNDMFPAEQDPIG DPEDWTAEELKRWLRLRGLLPNARATREELLERVKANLRVPRASGQ ACHE_70798A MGPPSDDVLTKVSTDAATEFVQSFYPALQKNRAAIASFYSQPTS TILFNGNVVADGNAVQDIFVNQMPPTHYEVQSFDCQIINQAYPTPTPTGTKAPNETTV KDMSILVIASGYVRFGESRDLPQRGFSETFVLVPNPAAEGGKGKQVKEWLIYTQNFRL VV ACHE_70799S MALPRREFRAISWASQLLAYRRPSWKCASCRNVRPAGLGSPRRF ATTTALKDEAAKKKPYYVTTPIFYVNSSPHIGHFYTMVIADIIKRWRVLLGEKDAQLL TGTDEHGMKIQQAALAAGMDTQAFCDRNFKTFQALAKAAYIDNNHFIRTTDPAHRAAV QYFWEMLNHRGYIYTAKHEGWYSVSDETFYPPSQVQNSLDPSTGRKRMVSVETGKEVE WSSETNYHFRLTAFQDRLLELYKNNFVTPGNYVPDIIKSVTTNLQDLSISRPVERLNW GIPVPGDGTQTIYVWLDALVNYLTKAGYPFPPGQEGQFGWPADVHVVGKDIVRFHCVY WPAFLMALDLPLPRNVLVHGHWTMNHEKMSKSTGNVVNPFFAIDRFGVDTMRFFLAYQ GGLDGDSDYDNAYIIRDYKKILQSGVGNLAHRTIGCAKGNLRSYIIDAAADKLPPATA LDQEHQSFLEQIPSKVAEHMENLNPRSALQDIVSIIEKTNKYFHAAEPWKAGPESQRS LYTVAESLRISAILLQPFMPNKSQDLLNILRVDNAKRSFTDAGFGSDAEYGEGIKKSI LFPPLVIEE ACHE_70800S MGSIAPEAATTPIFQTPWIQTPLVESASLSRAAGCRIFLKLENV QPSGSFKSRAMGNQILSHLKNPANAGRPVHFYASSGGNAGLAAVCAARSLGYPCTVVL PTSTKPMMVERLRTAGAVSVVQHGETISEAGEFMKEVLMKVVEEGESEDVVKIALHPF DNEPIWQGNSTIVDELATQLPTSASAESYHDRPLPLDAIICSVGGGGLLNGLIMGLER ERQKKHLPVSKKDIHLLAIETQGTDSLAQAMAQKSHISLSKITSQAISLGCVRVSEKT FNYALSPPPGLKVHSAVLSDADAARGSLRLVDDERLLVELACGVCVEAAVGDAGRTRA AAISKKRKRSASDEGYGDDRSSVENESDPEEYNHSASSSFSAGSLPSSVSGEAGLLQS KLKQLVPDLNSESRVVIVVCGGSNVSVDVAVEWRKMLREGWGEQ ACHE_70801A MAGRYSSDPEWANIQPIPLNDGSDSGALPLATIAYATDYLEAMS YLRAVMAANEMSERALKLTEDIISLNPAHYTVWIYRAKILFALEKDLLEELEWLNGVS LTYLKNYQIWHHRQVLMSSTAHFPTLPQKEPAFLMEMFAQDSKNYHVWTYRHWLVRHF KLWDHPVELADVESLIDADVRNNSAWNHRFMLRFGPRDDQPAAGMSNGDAPGSEKGRM AVVDEDVVDGELGYAKAKIVRAPENRSPWAYARGVLRAADRGLEEWREFAGKFVVVKE DGEVVVKSTHALEWLADVYAQESKEEAVRMLTLLKEKYDPIRTNYWDYRIQMISSAA ACHE_70802A MAEKRKLPARERREPAAKRRASEATPQQIPQTQSSSKKKTSTPP PPPEPAVVATPLPIKIKDGEGLPTVPTPQQVASLSDKDYQSIAESAVLLASLERSKKK WLSDGILVRYWTKPKKTKREQIEGKNPPKESMSKVGPCHIMVGPHLFDCMLYTVKDPN APPPIQYTPPQRPMVHYGHPNNFQQYHPYPPPPPPQHPLPPQQYHPQTIPPGPPPPGY QPRGQPMRPPSQQGRAPGQMSPPAHAPPPGPQQRPSPSQPAQPQPAKPSPDPVIQMLA TRAASDPELKALMRVVASTEATQEQLRAFQAHIDELNAIIRAREQRQQQRQQQQATPT RSYTGPSPSPAPPVQSQPPPPPQQSPPAQQTPQPKTQSMQPVEVQIPRHSPSGNPPPQ TPNQQSASQPETPAPQTQVKQESGAATPTQPTSVHVPPPGPNPGVAPSAPPVHPNSKQ PSPAVRPMPQPPPPQAAGPRPGAPYPPYQQPPYQGQPPIHSRPPQYGSPATYYRQAPP PPPPRLNYKSVVFEFTSPLTPYGSSTSGHAGSGDRYLFPEYTILDWLPGGNTVLASFL VVRKVDPNTPFPLETATEIANSRAKGKSTKSKKSDKKKKGDDKGKEEPKPNPDPSSTA PDSKPTTEDKSTEAQDRKDQPTTTDSNNPDPTPKPEDKKEPILKEYYQPVTFRITSPN PKVLEPLARVVKPADEVRKYMNEIMDRAERAPDGFLAIRLPREGSQHETVYAHEGEKG TPVVSGSRKSSRGRNVVVEQEDAVDTENEGVEEEEEEELKDYYGPPTGLSL ACHE_70803S MELLPVFKRAYWTLAAAGLFYVCFIISMTYPTVQRFVLYANKIN PTLWQDVNDVEPFGFLKTQVQPFHLKTPDNETLYGWHLLPLHLCHEHEEELAANEPSG PAEDYTKTSAFKLLANDPKARVVVSFHGNAAHLASAQRPEIYRMLLGLSNPSDPVHVF AIDYRGFGMSTGSPTEEGLITDGMSLINFLTSAPLNIPPSRIVLVGQSLGTAVSAAVA ERWAFGSSDPTAIQPAIKNPEPFAGVILLASFSNVASVVESYSLKGITPPMLSPLRGY PRVQKWAVSHILDRWDTAARVARLAGIGPTAQNDSDTGYVSKNLDLAIVHARDDVEIP WYEGRRVWVAATGEDRPDVVGRLVYEKKQKNGPGEVKIWENRSAKDSSVVKKVRWERV GYGGHNRVATFSVASLAVLRAFEN ACHE_70804A MRSPALARLQYRAVSGLTRSSARLQSQQFLTKRCASSTAALRNP IVAGPAYQTVCNSQWQQRRHASATAAAVLEAAASNPETLSQEAIIDNLDPVEAARLSK VRNIGIAAHIDSGKTTATERVLFYTGRIKAIHEVRGRDSVGAKMDSMDLEREKGITIQ SAATFCDWVKKNKDGEDEKYHINLIDTPGHIDFTIEVERALRVLDGAVMILCAVSGVQ SQTITVDRQMRRYNVPRISFVNKMDRMGANPFKAIDQINNKLKIPAAAVQVPIGAEDE FEGVVDLVQMKTLRNVGESGEEIHVSDEIPEKVKSLAEERRKMLIETLADVDDEMAEL FLEEQEPTQDQIKAAIRRATISLKFTPVFMGSALANKTVQPMLDGVVDYLPNPSEVQN TALDKKRNEASVKLVPYNSLPFVGLAFKLEESNFGQLTYIRVYQGTLRKGANVFNARN DKKVKVPRIVRMHSNEMEEVQEIGAGEICAVFGVDCASGDTFTDGQLGYSMSSMFVPE PVISLSIKPKNNKDAANFSKAMARFQREDPTFRVTYDTESEQTLISGMGELHLDIYIE RMRREYRVDCETGPPQVAYRETIGRRVEFDHLLKKQSGGPGDYARVVGWMEPTGKLED NHFEEQIVGGSISEKFLFACEKGFHLACDKGPLIGHKVLGTKMVINDGATHMTDSSEM SFKNATQQAFRKAFQESGPAVLEPLMKTVVTAPAEFQGDVISLLNKRNATINDSEVGV DEFTVYADCSLNGMFGFSSHLRAATQGKGEYTMEFSHYEKAPGQLQKELIDKYLKAQA DRHKK ACHE_70805S MRSSLRLLAAIARDQPSKLRKPAVSFDHFIQRQRVLGLWREIVR ALNKIPNSPTKVELRNYAREEFERHRNVTDLQHIRYLHSTGKSEFQTMRRYIDELVG ACHE_70806A MSEFTADITRKEPSTKTLLDQARERPDNVREELSDADPQNTTGV AADRSRAPVDQDLEKGSVQRTQVDRSSSLKS ACHE_70807A MSSEPLPSSFDDHPDFKEESSLQKFTRRLKEEPLIPLGCAATCY ALYRAYRSMKAGDSVEMNRMFRARIYAQGFTLVAIVAGGMYFKTERQQRREFEKALEA RRSQEKRDAWLRELEIRDKEDRDWRERHAAMEAAAKEAERKGAAKATLEQDTARSSLE PGDEKRTLGVLDAVRDMVSRRG ACHE_70808S MTDGSTTPLAAKVNNLALTEYAAAPTPPSEKVDQLGTPPDWGIA DSFLLPNGYPDYLRLILTSRVYDVIDETPLHHAVNLSNRLECKVLLKREDMLPVFSFK LRGAYNKMAHLPPERRWKGVIACSAGNHAQGVAFSARHLKIPATIVMPSGTPAIKHLN VSRLGGSVILHGNDFDAAKEEAHRLEKQHGLTSIPPFDDPYVIAGQGTIGMEIVRQAN LQNLEAVFCAVGGGGLIAGIGVYLKRIAPHVRIIGVEADDANAMAQSLKMGERVLLKE VGLFADGAAVKTVGEETWRLARDVVDEVIQVTTDETCAAIKDAFMDTRSIIEPAGALA LAGLKKYIAKNPSPDTSRELVAVTSGANMDFDRLRFVAERAALGERKEALLSVNIPEK PGAFAKLIEVVLPHAVTAFSYRYARAESADILMGISLSASTGQKDLANIINQLTKEGM SAKDLSDDELAKRHLRFLVGGRCEVPNERLFMFEFPERPGALAKFLTTLRPNQNISLF HYRNYGGNVGKVLAGIQCPESEKEELEAFLRDLGYPFSEHTNSPTYQTFLRN ACHE_70809S MMSLRSLLGASTGTLRQFLPQSLSRPTVFSRSFSRLSLANGLRN LRLRSEQKQTQIAGVGAVGAVGARKIEQVRGMKTRSSVKRLCDGCKPVRRKNRVYIIC SKNPKHKQRQGK ACHE_70810A MAYKRTLLECHPTLFCSVVRIEYKISTNMKPNLIWLAASAIGIA AATPLPRQNAEATRFFTFGNSYTDTTFSPNNTAPSASNPMGNPSLGTGTTSGGTNWVG YLTTTQNASLVLSYNLAVGGATIDNTLVSGNPKDLVSQVEMFQSTYADKGAVPWTGDN AVFGVWIGINECVLSSSVLVLMGRLVDVVSSIGNAYSNTDAETFTPRLIARYRSLVEK IYRDGGRKFLFLNVPAVSRTPEILSQGDETAKSHAKYLAVFNQNVESMVKNFTSSHED TTTVLYDSWSFMTRVLDSPQEYGFPNATCIDDDGHSCVWWNSYHPTSKYHRLQAEDMK AHLKPLGAW ACHE_70811A MHLSLEHVDACLPITALKALEVKDKRFILQGQGLYCRLIDENTG KLVAELKTFKRNNVHGFAVLNHTAQNAESEHVQLVAWGGQSVRIIDLAHGNQTTLSAA SAEFLAPDWILAGCASSEDGKQCAYLVTAHNALISLHAVQAGPSSKYENVLHLQQLQT SVKSILYSAHTVQLSPSHVLIAAGTVFGEIIVWSCFINNPGSLCSDAVSSIHHFFTGH EGSIFGVEISPTILNLGNNKPGRLLATCSDDRTVRIWDISDCDSASPNDPSAYSTDGF ELRSTGFSAVRELGSESCIAKAFGHIARIWGVHFMSTAAVNGKLRLISRGEDAQCLLW DLTWKQILQTPVFDLRESSSFHYHTGKHIFSLSMHEVDGRVVVHTGGNDGAIKTFRID KDGDASDQALAPAPSNTQSKKTKKEVPFKAFDFIAPDCFIATSVNGEVQLGLVGPKNL TGLGMQPEVSKEILCVEDDLRGYSVISGLPSKGLALLGNARGSIRLYDHQSKSLAKIV QTDQRPLGLFALDSEPASSSPSDAVSFVSSYVTTDRANLFTVTRQEGSEPHVQNVPLC LLYPSEVQCASLFCGGQYLVLGFKNGSLAVYRLPSSGDSFEDPLRPITSIRLIHGDEG VNSITPFSSQTHNDGSSIDYLLTCGRDGNYCVHEITTKSSTDSFNFQTVHRSSPAANQ NVEGAYFDKTSDNLMLYGFRGMDFVVWNEITQSEVARFFCGGARRNWAFQASLENAGD GLFIWHQSGFNCRRIHAEASRPVRAGGHGREIKSMEAFNATGSGSNGKKTIFATGAED TTVRLFAPMQPGKEDLWGAFKSLRVLKKHRAGLQQVGWSKNGEYLFTSSGYEEFFAWR IRWIPRFGVATLLTGVTPKEDPDSECRVTSFDTLEVREPDGQCGFLIVLTFPNSVIKI FHYSPSTENGFALLAKGTYMSNCLTQAQFVQKDSFMGLITASTDGHFTFWNLTPVLSP FYTITASNLSLKQPLESTITPTNISCESRYQIHSNSIKSLELVHVSENTLLIAAGGDD NALTLSLLHTSLTTTNTDETTTHVHTVSIPDAHTASVTTVKVISQRKSADGNTTVDLT VASSGNDHRVKVWSVKLDTAKEGVEAISVRNVVNHYSPVADIAALDVVRGDIDRDADE GEGVKLLVCGVGMEMVTVRE ACHE_70812S MGVTGLWTVVQPCARPIKLETLNKRRLAVDASIWIYQFLKAVRD KEGNALRNSHIVGFFRRICKLLYFGIKPVFVFDGGAPLLKRQTIASRHKRREGRREDA ARTAGKLLAVQMHRSAEEEAARHRNRAAREEEEVPDNPVYAEEAFMTDREKRQARQFR KKDAYHLPELEISLEQMGAPNDPRIMSHEELEEYAQQFYRGEEINLYDFSKIDFDSPF FMSLPATDRYNILNAARLRSRLRMGYSKEQLDNMFPDRMAFSKFQIERVKERNDLTQR LMNINGMNGMDAFYDSGQRIAGERGKEYVLVKDPTNEGGWVLGVVGNKDEGGAEKPID VDQYGQQEVFPDMRESDDEGGFEDVPIEGLNRLPRLSFLQKGAFDESIKAQREVPDAV EDDSLFVQSDLEDRHGIDNIFEDGNEDEDLQKAIAMSLEAATEAQEHDDLPEISINSN TTAPAPLGSTEPSFMPPESDGEEMDFAAALAQTKRPKRDAPHPVPANPFGGPLPFESI KLKPSAEKRQDKAIDEDAGGFVKEPAPSTGKGKEQLPLPPWFSAEHTSAEFVPDKVDN TSLEGYRDSIMTPNRQSLKDYRSPDVIDVDQEPESKEVIDLEAPAEKGTAEATGLPTA DKENVTNVAPEEAQILLPQEDDITDEKPYEGPTETKKPSPVVQEDIGRIEPSPSPEFE DVTIQPQKAPSIEFKPQPLAEFNQQDSLFVEEGDEEREQQETAIDLEDEDLMRQLAAE DEEHARFAATLNPTVPGQSAAEYEQELKQLRSQQKKDRRDADEVTQVMISECQQLLTL FGLPYVTAPMEAEAQCAELVSLGLVDGIITDDSDIFLFGGTRVYKNMFNQSKFVECYL TSDMEKEYALHRKKLISFAHLLGSDYTEGIPGIGPVTALEILTEFSSLEEFRDWWTQV QLGTDMSTSTHQTFYKKFKKHASKIFLPRSFPDTRVEDAYLKPEVDSDPSLFQWGVPD LYGLRNFLMATIGWSQERTDEVLVPVIRDMNKREQEGTQANITSFYHGPTGAGAFAPR VRNGGKSRMENAFSRLRQEAATGQIVNGDIIDADVDVAVDATSGDNPSPRSPSPGRTS GRKGKGKGTKRGTTTASQVDDEATSKKRKTRRSKN ACHE_70813S MHRTYSMRQSRAPTASQVENPPPPLSTTKTNRWLGKGGLGHAFR KNTAGAFGPDLARKLSQLVKMEKNVMRSMELVARERMETAQQLSIWGEACDEDVSDVT DKLGVLLYEIGELEDLFVDRYDQYRVTIKSIRNIEASVQPSRDRKQKITDEIAKLKYK DPNSPRIVVLEQELVRAEAESLVAEAQLSNITREKLKAAFQYQFDALREHCEKVAVIA GYGKHLLDLVDDTPVTPGETRQAYDGYDASKAIIQDCEDALTNWVSSKAVVKSNLSTR SRTLSQRQRENASRTREPVDLSSQDQPLKDRDSWVPADQHPGYQPEDDGEEAASTVDG ETPRGREEERAPVVSVV ACHE_70814A MADEQQQQHLPRQSAPSFDRLENFNFLLSRHDPALAKSRHYSFD ADSTGLAPPPLNNSVSMDYDQTEGMGGLSVSSYESLEDERSSLDLRGYPYADKSINYS LPTDQMLSYSAHPIYPPIPYTTDDLGHAPGALTPSDVSSSISPPNGQIGNTKYSTSIP SDRIASALGQEEHARHSAEEDRRRRNTAASARFRMKKKQREQTLERSVRETTERNAAL EARVAQLEMENRWLKNLLTEKHEATSSRAAPSSNETPEKNQKSTSNATGSGQKHIQPK KKGVGTDA ACHE_70815A MRSVSVGILSIGEMGLGIAKLLKAHGYRVLTVGEGRSEHTLARI RAASIESLQSDEELVVSSDYILSIVPPRDALTTARRVATASQLPSTSTKRQSIEDTDG LLTRRQPYYLDLNAISARLSAEVGSLFAGNQSALCHYLDGGIIGPPPSNDSPKAHWTK PSLIISGDVELPASFPRLAEVLNMKLVSAKIGAASTLKLSFAALTKGLTALSILSFST AQRESLLPELLKHLDEYAPAVGSTAKKGVVGMAPKAYRWVDEMQMIGEAFDTEGHWDG IGAGVYDSFAEVYRSIAEDTVLKKEKTGDRRRGTTVEDAAEIISSGREDSMKQEKVRS TE ACHE_70816S MQNPTPGLGTAQDRRFVPKSAAPNIKGHGPLPRRRNALTCDMCH KKKTKCELEGSNTTCVQCMRRNTRCNYTTRREKRENLKRSQYVKELEERVRRTESLLK AAGLLDEESARNELVDGEADQPDSESEHDNGRNEDISETADWGVISDNQPRHHALTGQ KLGSTTGNLDRSSCPPLGPKRSSTHHERRHSATGCSDLQHVPVLRADDREESRYYGRS SFMSILSRQGIEWIKEKTGDVKFLNCLTTDSNKDSPWDYWRPDVFHDLFASQVFKPLP SRAEVFSLMKDYFRTLNRLFPLYHEESFMRLVEWQYTQQTCDDAARWANINIILSLAY EYRFSNSLKPEKDKEKAWMYFKNAMSVFVELTLRRTDLLSIQALLGMALFLRGNSGTQ SALPIITAAMRSCHRMGLHRDLPRPHFSPVEQEQRKRVFWIAYILDQSTCVRSGSAPT QHVDDFDVDLPSEEDDDHLVDNFQSFFRQLCRLTVIKGRICCKLNCTKALDNRSVGEI FQIINELNAELEEWKKNGIFDLQLKMKPAGEDFLFGFATAGLRLVYYNSLIMVHRIPL IVHFIHARHIPPERQKASDLRLIANNSAASATICLQAARDTLKLVNSLPWGDIAWIWS LLYYVFLAVITIFAHVMEDSRHPKAKEDVNLLNIASTFLGTLIPADGSCKYARFMAQM SANFERIARASIERYERAGESFEYRNSVSATQSPLPGSGPDHGHNKTTSSSCTQGLQC QDSGYVTSPASNVNMEMNIARVENLPHSLAANGLAGPEAHNNDYHQPCQAMADHMSPN YTTTTNTQFYINPTNNLFPTNLTPTPTQTLSTDHLYPFSNPNSDPNPCTATPTPTDNC TATGAGPCFVPPPPNLWQIPLTADWEFNQFLNGMPPGILPGPGTGTGTTTNANAGYPF DLSTPGLGINPGPGPGPATVPQTPTTPTTSAIDSGNIMGYDYGTQAQAQAQAQMQNLN QGQQQHQLYQQFMDAQNAGAGY ACHE_70817A MASRGDSAGSVRPRSRRSIAHVPRSRLTSTIDKENSTTDIGAIQ PPGNSAKAAGKDKKSRSKSLGPGGLDALQNSNGNRRKSTTAFPVKGILKPTVPVSPVQ NIPSFEETRKQTPARGSQHNEGNNQEKEGLLIDLDTPARPPDSELENTANPFDDFNAS SAIAAAREREEKEQRERERKAILEQREARRKSMANRRVSFAPEATLHTWNVVEIPDDS TSSSTSNSTRRASSTPNAERQEPQDVPQQNDPPSSPFGDADSDIAFSPVQYPDLQELA NRPATAGPYEGSHDLSSSPFSGSSADGSDDTGVQSVARDDDDDEDDSVSDAGFDAEST AMSMDDITARTAESASSSSSARLNEALRQAAQEAGTKSVDYEDNDDMSMEMATQEITG AFQPWIKKGERQSFDWDDISAAHDQENVNPSKGYNEDAQEDGADDEEMSMDVTNAIGG ILSKQPSRRQSTARRQSSGTETNYDEQTMEFTNVVGGIAQPASPAKSLGADSNADDEE MTMEFTSVVGGVLNKNTSADEEPHTPRGAVPNFADWNNEEEDAEDMDMEMTGAVGGIL PPIEERTEPQDDDQTAGMDVTAAMGTILPSEVEQHGKDQENQEVDNESDSGQLGSSPF QEQVRQSPAKSPPKSPTKSPKSPAPFTIAAENGSPDLASVRSRRTRQSLGRAPSKTPT PQPSPSEEQTTPSKQSALQATQSSTPLKTPPSGNGLFQPKHQETANQPKSPATALFEH NAATGQSTPQFILRPQKRRSSGLGIDKEGLGSPRVAEMLDKRRSIGDEASQFIPQEQP KQGGVRFEDPLKLQEEVDREREEEENREDGHIPPWQPGERDATSNLKDMISSLTPKKN KLKGRKSLHVGAAKGVLGKRPKELDESDDEEGSDNTPKRLKGREASPVKNIRLPAHPA KDEPTGRLAFSPPQKLFGSPTKGSTTPIQEPKNVALSPLKDASGSFNSAADEPAEEEV AKEPEQKFEPIPLQDFLNMTNIHFMELTTTKRRHTTAPGSASRRSSVRRSGEGASKPA TFEDCVAAGFCTVPMLELYQHSCRELKSYISEGRQVIRSIEAETYAENPPLFREYMAA PSDIRSIMDNQFRNVKTHARLLSKATWYEWRMKLLEGLKEGLNRHADEMKADDEVLAK HEAVLNGVVPGLVEKHSSLEQEATSLQQLADEMENCDQDELRSAREKIASIEDEIELK KQELQELQTEVQGKTDTVEAGTELKAQFMAQIQEAERVKEECRGWSAKEINELKQSVS NIERQTGWSIISASASSSGDPSLTMSYRNQLQLSFHPRAFSTGNPSNMPLDLRYAPGA NDRKKSSTSTPLLTPIASLVLKSLQKHLNSITQSIIAPKHMLRFISKAWDLVLDLEEE TRMLEFCGVTKLKLLEVEDAPSLRARCTILGTVSPSSKVSKIPTKKGQANSNSSSGSG NGTRRIDIDFAVKTCINTAGDGDAIGAMDLETDVLASKVYGFGSGNESGISEAQMKDI LANEIGEKKGKLQLGNGVWSRAVRMLTGTVF ACHE_70818S MATELTVQSERAFQKQPHIFLNSKSKAKSKKVGQGRRWYKDVGL GFRTPKTAIEGSYIDKKCPFTGLVSIRGRILTGRVVSTKMHRTIVIRREYLHYVPKYN RYEKRHKNLAAHVSPAFRVEEGDWVTVGQCRPLSKTVRFNTLRVLPRTGKAVKAFNKF ACHE_70819A MDPPSHTETRRQINWNNAYRVFLCCLFRFFHRDQNAFSEVFRSL FDLNFTNKELYPRLSTQWREMRRKGDPIWHQVHVGVPFPPPEGPWSQMVSMIKERANA IGVSLVEKNIDVIDASSSHSNLRQVRQPAPLQILPLQTPIDTPTSTRTAFVGEDAPSA QAPDTQSSLSSLSTVSSELEGLCEAGGKVCFWCCHERSVSDEVQTAPEISTDVPPILY RWANVDSQGVNTKSLIISGLFSNSDQDFFAPNEIPREEFEQYVKKHVTIEKSLSPFIS TFQSLLAPVHRAIRAREGAAVIFIDTSKIETKIYSAKSLVWDLGINIKGYRGLGEYLV WGEVPTPAIICTFKISTLVSIAEEDAGIGEILQLDRIKSSKRNRNELQTALSKGPGRV DGSSGLIIGRLLRKLNVPSPYLEAVAVKISHSWRFARCKDTSDYLVGVQAGYHENGPS PSPSPVFEQPLLGTSSSSLPSQQQEESPVVPEEEDLNSILSDEDKENDIPFIEFETPC PPLRIQPFTTEAPRIEFFDPSNQRWSDNTAEMLPAYSFEESFAVDQASIFSNTLEPFE EAEDMAHMRDADLDVFMEDMMDFTQCTPYFVMDK ACHE_70820S MSNFEKSVKGATKVKLAAPKSKYIENILVATHTGDAGVAEIFRT LHIRLRESTWTVVFKALIVIHLMIREGQLDATLHYLSDNPSKLAISQYSEVQHQGHNI RRYADYLLARARVFDDTKTDYVRSGQGRMKRLTVDKGLLRETEMVQKQIWALLRCDLL VDDVENEISLTAFRLLVLDLMTLYAVMNEGTINVLEHYFEMSRPDSERALEIYKTFTA QTEEVVNYLGVARRFENATRLEIPKLKHASTDLTRLLEDDLNDPDFDLRRGEYLARKG GGRAKSSHGQSSRPPPSPKATPNRPQTAPRPEKKQTDLIDFFDSIEQPAAQENQLRQQ QYLQQQQQQQYQQAMQFQQTGFQPQQTGFYPQQTGFQQPQQMGFQQPQQTGFNQFGAN NPFGQPQAPQPLQPVPTGAGFGGYSPQPQSYGYQSNLAPIPQSNVAAFPTQQQPQQPQ QSQQPQQLQPQHTNPFRQSMLMATPTGTAMPSTAQLNRQSTNPFARRMSSVNLQPPSP SQAPAQPIQPQRTGTNPFARNSTVVPQQGLQPPAAAPLRPNPTGSTNPFRQSAFVNQQ TGQGWQVSGQQGTMGGYEQLDTVPVFPRPGMT ACHE_70821S MPAVSLITREWLHSSFNLCVPVTIENWKRKPQSGQRLIVRFPLP YHFGEAFRPGNADEKICCEAGTYTWLQQNCPDVPIPWLYGFATSTGGTFTHVDSPPFF LKTRPLALEVQDPENEDIPTDIPRDYTYNTVDSYVTDVLGMHDSRIQHQPNAINDIND FIYQISALIAIRATFPTFFCGELRRGPFVFALTGIYQSDVLVDKDWHITSTIDLEWGC SQPN ACHE_70822S MITDMDSQNLTARSLAPGNHEQVLPMLAKPAAWACPTTTPPSTT TPPTTSPTPPSTASSTANTSNVASASPEQQNTRPPPATTGITGTTQNPNAISKLRKPR KANNAGGRSSSTLFWVHTDPQSASEGTREETLKRIRSHVMSEHNRKKRENTKRYSSSK TWKHLAFQPVETTATAAVGNGGGKASSRSPPARGKSSPGSKRTSPTATTEEVDDSPGT QDEVVNAIVKDTGDVVAAQPWTYLGQGAKDPFSMAHTQLSDRMFHHLQNFLSNLTHQA YPLQHRSGPKLEAHWASLVRDDPASLHASICVAASSTALQTGEFPIIDPSKQASSALV IDAFHHRGETIRLVNEGLSDPIKAASDELIAAVSILLTIEIASGNPDYVKIHLAGLRQ MVALRSSFADVPPDVRFQISWTDIRVACMAFTKPIFPFLRSPRPTTFSVIPPTDEVSL LASRFISLIETSPGAFGPEMCSTIYDLRELTWYAEWIKGPQLQSHNFTDETEDYFNNE VLHVEYSLHRDRYTPAGQAKGDASLEGCVRLACLLFHNTAIWDFYPMMGPVFNKPIAA LRMALESTIAAGDYHTPSPSPDNQERGKGKEDLLIWLLFIGTCSSQILPNERSFFING LAAAVRMEGIGTWQELRGLLMRFYYVDRKYLGVLRGVWDEIMSMSMSYDPVV ACHE_70823A MSAENQFEKDVGPAANNDTINQNGDGSGAPAAPILSAEEHEVAR AAARFGYGPLSHVNTAEARLPPFGGEFQPGLYKPVEGRKFANPAPLGLSAFALTTFVL SAINMGARNITEPNIVVGLAFGYGGLVQLLAGMWEMAIGNTFGATALSSYGGFWLSFA IVLTPGGFEIMSSLEEAGGATMWNNSFGLYLMGWFIFTTILLFCTLRSTVAFFLLFFF LDLTFLLLGIGYIQRDSQNQPNKPVLMAGGFFGLLAAFAAWYNALAGLADNSNSFFII PVAHFPWSPTGRTRRGKTDREQA ACHE_70824A MNPNREERLQMRQRGAASRKTKEVDFGFSFGLAPAIPEAPPQQP TTAPAPAPEPAPQPQTQPAPQPPLSSPKTSLPGSQGSQRTPSSVRNARPQRPSTFDIP PDDDEIELPRSAKRRKIETPTKIGRLGAKSRQPRPQPTESRRNGDPMVAVVEKPNGMP GSVPAHDKKELANEAPVSAPTDVPQVQPEVNGADKAQTTEAAPSQEASQIQEPQKIQQ PQEPQPQEQPAPEAPQAQPVEAPVAASQEQEPAQEPHVPQEPPQERPQRTSPPRDAKE KRRKRDSQEQPDGVAEAAPETRPTQPQGETPPQRTISPGQDAKGKRKRRESPQEKAPA EPKPGADAQRATSPRQAEGKRGRRESEEQAVTDVYTKEPAQPSESAEAQRPSPHQANT KRRRLVSQEKAPEDTTAAATIEVQGPGPDTQDAPSKTQKRRGRPPASAREPQEQPEDV AEAITEKTQPTRPTEPEPEPEPDTPNAESKPAKRRGRPPAAQSSDKPGRDSDRRESPE EKPREDTTAEAATEEVQAPEPDTQDAAPKKRRGRPPASAREPQEQPAEPEPDTQDTAP KKRRGRPSAVQPPSDEGKEPEREVFDEAAPEARGRRGRNRRESKDMEEPGEEIEEPQP DDTETRPTPKLGKRGRPAKRTVQVEQESEEPEQPEQEPEQEPEQAEPSRPDDTQTTEQ TERPTRKKRTPRGETVPVTVHRLANITTLGRAPIYDSSESESDSSADELTQRTKHPTR GGVNQADVLNQICRETLEKTLTTLRTGITNETNPQRKAEWTRKKKAVEAFGSELEGRL LEMSEILDSNFVLGVQLRRAKREMMEMRSRLYHVRREREGIAVRTDSVRRRHAEEESG RMARTNINNSLHGLELAVERQRPGEEDEAPTSGLEYLVRAVGEEVSSRGDGGLLNRIK AFNAELERAARWLES ACHE_70825S MGWFWGNSNKDDPVKNLDPGLREYLEHEAPTKYVPTTQVPSPDP SKTVESPQSSQQLQSQSANESENHVPSASLFPDGRYAHLWKTYKPPEPEGAELKGAER VIGKEKDRKDTVHRAAMENCALEHEALTLCFQTGTWQKQLKARATMCSEENGTFSRCF TTQSKFLQALGYASAFDWDADREERVQMHADKLYHQMLDYEKKVEQAREAGLEPPPIT SLFNPQAQTTTAQAQVSKDKAIEIPGGESIPAGFKPSKPLDQLTPHERELEIRAHNSQ LEQRKLYMQEAAPFMKTQEDARLKRQEKMTSWFGETIGRWVT ACHE_70826S MKATPLLIAWHNDNAPIYSVHFEPNGKGRLATAGNDNNVRLWKV DSNKEERKVTYLSTLVKHTQAVNVVRFSPKGEMLASAGDDGNVLLWVPSELQTQGGLG EDRSDDKETWRVKHMCRSSGAEIYDLAWSPDGVFIITGSMDNIARIYNAQTGQMVRQI AEHSHYVQGVAWDPLNEFVATQSSDRSVHIYSLKTKDGQFTLTSHGKFLKMDLPAKRI PSSSPAPEPTSKPAPTSSAAITSPAPSTPGTPLASNLPMDPPPVSHSRRSSFGSSPSF RRSASPAASSLPLPAVKPLEAASPSINTLGIKNANLYANETFTSFFRRLTFTPDGSLL LTPAGQYKTSHVSPTDPSKTTDDIINTVYVYTRGGFNKPPLTHLPGHKKPSVAVKCSP ILYTLRQAPQPAKHITLDTSSGEDAFASLPEPVVATDSADKQSMEPPSNTKKAEGEGT PGSPSPVFSLPYRVVYAVATQDAVLVYDTQQQTPICVVSNLHFATFTDLTWSGDGLTL IMSSSDGFCSTLSFAPGELGQPHTATTAPQPVTSSATSNPLPTPTHAASPAAAPNQTS SVQVIPPASPARSNSTTPASSAPVINNPTPTLGSVPLVTATHSAQPPTLPLTTPPQTP MSSVSQNGSNSTTTANNTVLGKREESQDQQQQEQQPKKRRIAPTLVSTDGPDGGSDSA PAP ACHE_70827A MLHKNCKGLRTCNEDTAQNITFQNVTSEEFQKIETSNALAKFRY NTKTKN ACHE_70828A MNVEASTTAGGDGARKAVRDSILTLRKQGKSNTFSGIAIDPETG NTSPCDELWIPFEKVFRRSPEGKEKDFVMDREQLLDEAENLWGSIKT ACHE_70829A MTVFIASLFLPYTIDFQATELRNARRQSSTSSSSLVDGQIIGRL AEARRRRFSKATNVTLTPGATTDHEKIFKPYVSQSAGEIPSADDPKAPGLSEPRPVSW GQSRKFNQPRPAVASYPAPTIASSQDPVVKQSLPQFLDGVTDDTPLEGGNGSPRALLS DADWVVKAAEQGNGSLRNAVNAAEEAGLLADKMWVGTLGMPTDSLKDETRASIAETLE DEFESLTVFVDDREFVGHYSHFCRAVLWPAFHYQMQESPRHTEYDDYSWKQYVKVNEA FAKTIAAHWRPGDSIWIHDYHLLLLPSILRKKLPRAEIGFFMHAAFPSSEVFRCLNAR QALLDGLLGADLVGFQTEEYCHHFLQSCSRLLSLEVTVDGVQLPNRFIKVNNFPCGVD PKALDELRQTSEVRDWITNIQTRYNGRHLIVARDRLDAPGGIKQKLMAYELFLKRYPK WRENVILIQIASASELPELGAQVSSIAMRINSTYSSLTHQPLVLLRQDISYSQFLALM NVAEVFIVSSLREGMNLTSHDYLYCQDGKLAPQRHGSLILSEFAGSASIFNGHELLVN PWDYREVADTINKALEMSPEQKQRNWEFLLDRMAPHTALSWASSLQECLSKTHKAQVS REPQHVSSLSVNTLKKSYGKSSVRLIFLEDGGTFGQANSTSNKEPAVLLEHLIDDPRN MVYVTSNKSPEQLEPLIHMLPSRVGYIAENGCFVREIGSSHWETLVDMERIKDWRNGV RKVMDYFQERTDGSQIEERRCLLTFQYNDAHDSIVAANQASELADQINGSRGSEAIRV VLTEGAVTVEPLDITKATAAESILRGLPQTPDFLFVAGGSRGDEALFRYANRLHAEDK ISNVTTLTMGYHATEAKAVLPGNMGIADIVNMLTSPRVDGFEVVDGVLHGCTEVVV ACHE_70830S MSSNGDSLGDSRLLLVSNRLPITIRRSESGNYEFSMSSGGLVTG LSGLSKTTTFQWYGWPGLEVPEDEIKTVTQRLKEEFNATPVFMDDKLADRHYNGFSNS ILWPLLHYHPGEIVFDEGAWDAYREANRFFARTIANEAREGDLVWVHDYHLMLLPQML REELQALGKKDIRIGFFLHTPFPSNEIYRILPVRSQLLQGVLHCDLIGFHTYDYARHF LGSCAHLLGLITTPSSVKYEDRNVSVGAFPIGIDPDKFAEGLKSPKIQNRIASLESKF RGTKLMVSVDRLDYIKGIPQKLHALEVFLSSHPEWVGKVVLVQVAVPSRQDVEEYQNL RAVVNELVGRINGKFGTVDYMPIHFMHKSVSFDELVALYAVSDACVVSSTRDGMNLVS FEYIATQQRRKGVLILSEFAGAAQSLNGSIVVNPWNTEELARAYHQAVSMGEEQKAQQ FEKLYKYISKYTSAFWGQSFVAELSR ACHE_70831S MADGFHPHGHPVPSNIDAGELTHQFEQLMRNKRFNRLQEQSQQS RSHSPSPALSASPAAYPPPPPSRAPPPPPPMAHHQYPASPQPQHQSHRASPSPAIRGL PVVPAPPQDPPSLKFRNLLHVLSVTPTKYENPGLLDEALALIPLDRLYSEAEEESQII QAQAASIGGKPEWGYQDCVIRALLRWFKRSFFQFVNNPSCPRCFMPTIAQGMTPPSPD ETARGATRVELYRCSENTCGAFERFPRYSDVWQLLQTRRGRVGEWANCFSMFCRAIGG RVRWVWNSEDYVWTEVYSEHQRRWIHVDACEEAWDQPRLYTEGWGRKIAYCIAFSIEG ATDVTRRYVRSPSKHGSARNRAPEEVLLWVIHEIRNKRRGELGKTDQKRLIKEDEREE RELRAYMASGLAAEINNLFPLNQVPDEQKIRSSHQEAAAEWQTGGR ACHE_70832A MAKSIQGVFSWEDVLAQRYLNNHPKHQPNHIHIQQQNHETLDQT NYYPFQPHSPLLAKLSPELRLLIWEYVLGGKCIHIVQWANRRMGYVICPDPDPGDKDK KMCDVCQTGLPSRRACAKRGTETETRGLLGVMLVCRQIYTEAAHILYTNNTFSIPSTW SLPYLLSPSPSLSSPSSPFTASSNPLQNIRTLTLHYAFPGHWLPSKDPVKQIYFTSGR AQWTQTCAAVCSLTSLERFTLVLDGGWFAESVAKVSVFLEPLRDVTICNRGAKRGIGE EGGREGDWEVILPKQPYYVNEVGRLNAEVREKGLRCVVRV ACHE_70833A MEPREASHAGSWYSDNRRHLTRQLDQWLGQVPDKIQGIGRLPVP GARVVIAPHAGYAYSGPCAAYAYKALDLSKAQRIFVLGPSHHHYLSTLALPQLTSYYT PLSDHPLLLDTELITKLLATKATMPDGTTVSFRTMTRSIDEDEHSIELHLPYIHRLLQ LQHPDPSQYPPLVPIMVGATPAATEHAFGTLLAPYLADPSNAFVISSDFCHWGLRFRY TYYVPQAPKPGPRLPLSSDALPQPGADSAHIEDKLEMVSAGRMLQRRDKIQKHEPAIH ESISAFDTATMAAITTGDTEKFLETIQTTGNTVCGRHPIGVIMAAIEKLTGGKGERGK FHFVRYERSSDAVEVSDSSVSYVSAFAVL ACHE_70834S MLRTMSLKRKASFSTFPTPDMTPVVEGPSVTMDDAPHLNSRTRK RFRNGRPEEKIVYENTLRWLYSAQQRQESTTINTSTEDENENTDSEPLPTPETIDPRQ QTLHRFFKSAPSSATSSSRATRQSAGPIQMNNGFLQPYSHNMNSPGLSMGSDDNRSPS SRFMVADMDMDVDMDSGSDQSLGSKGDAGVFKWM ACHE_70835S MDTQQAVQYLDSLIGQTLRVHTTDTRLFVGTFKCTDAARNVILA TTHEYRYPSPSVVKEVASGANDPEKRSSGTANSQNVRANMTSRLIGLVVIPGQHITRI ELEETPEQVNVREALQKS ACHE_70836S MRNANARRTESGQQSVWWMMADIIILSRFSPFLCCCPVGSGPAF DSFPPWFPVLPMVDGVGDELRNGHNTRYSTLLTRSGTIGETKGYKLTASCIRYNSSGG E ACHE_70837S MGQSHSKGGSSSGDQLQSLPSFTRSDTQESRRSFRGSIRSKIRS SDSPRASLTGLSRTDSQTDKSDTGSVRSSKSAKSTGSRRDSNPQSPGSEDAGAQSDVP DPPPSPSMSNSLKKGHKDVNEMQASGEVDHVSDVPPTGGVPEKEKPKVIESILIKRDN QLNPILDFIMNAPLETSGSPGMGMGALKSIDLDDMISRLLDAGYSTKVTKTVCLKNAE ITAICSAARELFLSQPALLELSAPVKIVGDVHGQYTDLIRLFEMCGFPPTSNYLFLGD YVDRGKQSLETILLLLCYKLKYPENFFLLRGNHECANVTRVYGFYDECKRRCNIKVWK TFIDTFNCLPIAAIVAGKIFCVHGGLSPSLSHMDDIRGIARPTDVPDYGLLNDLLWSD PADMEEDWEPNERGVSYCFGKQVIMNFLQRHDFDLVCRAHMVVEDGYEFYQDRILVTV FSAPNYCGEFDNWGAIMSVSGELLCSFELLKPLDSAALKNHIKKGRNKRNSILSSPPA PVSAQSY ACHE_70838S MSTNQTVSIEYTGKTAIITLDNPKKLNALSRDDYYRLAALLREI AQREEILVTLLLGKGRFFSAGADISSQSPDLGTMDPRHFWLPALAVNNIELADAFYSH PKILVTALNGPAIGLSAAMIAHSDLIFATPEAYLLTPFSSLGLVTEGGASIAFVQRMG ISKAKEALLFSRRITAEELLRSGFVNRILDGGKDEGRFREMVRGEIEGWVGGHLLGSS VLGIKELLRAPGDREFGNQAVKEFMGGLRRFAEGIPQAEFEKIATGAKRHKL ACHE_70839A MLLNGRRRHAQLSHERRGATLILASRAGAGYLIIKYNIFISCAV TSSITQSVFQANNNPQDAPTPLGHGSPLLFDTTTISVVDMPLFIRQLRLACCYHAYFS LRSPSVRLDDLRRKFRFLLSVLSREDLTSYFESAIQARIHPENMVEWQEIPFFSVGGA GTHYPRGSSSGLSQNQVPIQEDPLSILSSEAQVGMYGSWFDIRDLEGFLQEKGARFLT GPPMEPRRGISNQAAVNVSSLIKSEDFRPCFGF ACHE_70840S MGNSAPIAYGGYAIALAIHAACKTAPDRFHLYSAMGHYLRAVST EENLLFTPIQLRKTKNFVTYRVAVEQRFPDSGELRLCMELLADFHKDEPSVLEYSARP TRKYSHWRDCVSWEDLVEKDWVTPGRISKAQAKVFNTLFGLSRDILENRPCPEGVTSQ NLLGLAKNAETTQDELSPTAKSSGDWIRAKHPLQTEGEQIASLGFIMDGALSFLPLAH NHMFFHDVSACSSLDFALRIFSPQPTMEEWHLREVVSHRAGHGRTYSESKLWDERGEL VASMTQQCILRVPNRAKM ACHE_70841S MARLQVDTYVSPAILVTTGSQDPTKQWWSPITSTLIHGPTSAVL VDTPIPINQTENLANWIKKTIPGKELKYIYTTHAHGDHYLGNPVLLGHFSNAKSIATS LVANEIKKTLAIAVPRWEVWFPNGQILKEGLVAPEALPANGAFSIDGCGFLGIDVVHS DTIASSFLHVPDLDLVVAGDIVYGDCFQFLAEATTAEKRRNWLDALDQIAALKPSIVV PGHKRASQADGPYLVDATREYILAFEEELSRLGMRRGLKRP ACHE_70842S MPASANIPPLILPFISDRAKKILDLVEKFVDEQCIPAHALFDAQ LGHGASRWQTKPAVLEKLKAEARQLGLWNMFLGRDHGAGLCSVEYALMAEYLGKSYIA SEATNNSAPDTGNMELLEKYGTEAQKQQWLVPLLEGRIRSAFLMTEPDIAASDARNIR CDIHRDGDGYVLNGSKWWSSGAGDPTCELYIVMGQTTNTSSENPYGRHSVVLVPTNTP GITVHRMLSVYGYDDAPHGHGHITLKNVRVPASSIVLGEGRGFEIIQGRLGPGRIHHA MRTIGAAESALEWMIARVNDERKAFRPSHSAHAMFQEWIAKSRIEIDAARMTVLNAAV KIDQEGAKAALREIAMAKVLVPHMALQVIDRAVQAFGAAGVCQDTPLPGLWASARTIR IVDGPDEVHLQQLGRREGQRMGEAV ACHE_70843A MGGPTTMKIETPSTRSDNHNGPLPDQTPSSRSGALPKPLGHAFQ ATTRRLQLKSVLAQLTLDRHTAAMAIKASIPPTILLCAIQSNAWINMFQTQAYLAAIM STCVLPTLPRARLIEYNFQLAFAITLSYCWVLLGGWSGLQARKDTTNGPEELKAYNSS AEAIGAIFLMFWTWCVFTLKSAFPTWAIQCTWAGIFAVITLPIVGQAPSMQEVIAETS NTFEAFLAGQAVGFVNALIIFPQSCRGVFRKDMRACLDGLVAVMQAQKRCIEDFRSKR ILTEGEDERNDSVRQLHNTLQLFINSIVKARADVEYAEREMSWDRLDHQQLEHIASML VDLIAPASGLGSAANMLQLAVDRAYHLSNDTGGVNNNAETDHKLKDEEYWHSLEGEMH EQSYRISEAIIEGVEHAKLRLELTKGRSLSGRARARKTDEENQKFPMNPGEARFLESY RDVFDKCCVLGHDTGGVDGEKLLDYYIRHRPQIEDLSQIASETHPNTLRYYLLLHSHT LLSLLGDEFLKFLLFTEECHARPKRLLIPRLRHLRYWLKVLFHIAGTRPGGSAFLSMD PQTNVELGSTFYNRKDPDHLPPANLMETVGDKIRKVNAVFRTNHAAYGLRGVCAVMTV SIMAFLRDSQSFYSRQRFLWALFAIVLSMGRTAGSSTFLLLCRIFGTIASMIASYIIW YIVDEKTPGILVFVWLWFTVIGFFMIKFPKFFSVWFVALITTIVMIANELQVRQLGAE TVSKSGQAVYAPYIIFPYRLAIVTLGVVVAYFWTLFPYPLSEHSELREEVAKSMYILA NFSKCTQQTILARLHGISGDLDDKTSPGFHLQAARRRIFRKYQTMSTSAKTYYTFLDW EFSLGGRFPKETYGEILAILERVSSYLTLSGYVSLALERPPTSTPSCWWAADQNDTAQ AHLTPGGVTTRMIILHSALSRVHPLPPQMRELEIPNLNELLTRDVPSEEGFAAAALIH TVNWYLIRDVNRLTQLVRELVGELDFSFAVDTPTLVTVSTVDTTKGDSQGVPRYPEKG KWANSLA ACHE_70844S MMPPAYKRISKDDCVFLFIDHQTGLIQLVHDFEPNEFKNNVLGL IKVADYFKVPTILTSSFETGPNGPLVKELHDSLPNATVIPRPGQINAMDNEDFAQAVK KSGKKQVVISGVLTEVCVAFPALSLIEQGYDVFVVTDASGTFAEHSREAAHKRMVQAG CQLLNWAAVSSELHRDWRNDVAGFCGIWRDHVPGYWCLMQSYESSVKNANR ACHE_70845S MDIHRCRFVPFNPQAINALAFSHPPSAGVAGRGVPTLRLAVGRA NGDIEIWNPLRGAWFQETVLRGGKDRSIEGLTWTLDPPEDGPDGVKLPGRLRLFSIGY STAVTEWDIEQGRPLRHSSGNYGEIWCLAAQPRWQATQRGKDGKLLPPAEGEYTGQHL AAGCADGSIVILSTADNDLKFLRLMRPSTKRARVLSVTFQNRNTIVAGYADSSIRLFD IRNGQLLRTISLGKGPAGGPKELLVWSVKCLPDGTIVSGDSAGEIRFWDAKNYSLIQR VQGHLADVLDVAVGANGDTVISGGADQRTVVYKKKEGEKGDKKVRWAEVMHRRYHTHD VKTFAVYETKEISIIVSGGPDATPIVLPLREFGKEHHRKLSNLPQIPQLASSPSSRLV MSFWDREISIWRVSRGPTSSYENVDGQRHRLVGKVLVQGEENITSAMLSSDGKILVVA TISDVKVFSVRRRKGDEKGALRVQKLDVPAAFSDDGARVVTVSPDSRWISVVRPNSDI YLARLNPASSPQEKPQILPQLTKLNRAARHTRHEKASHGTLGEFERTIRSVVFSDNSS ILAVGDLSGCIDSWVLEQTTESKPAPKINGAAESDDESSDDEDEQPIIEGERWRLAAA ESPIPRLKGGVVLLSFRPQSKADDKLLTNGTNGDAQNATENRLMALTSEHQLVEFEAL EGKLSEWSRRNPKAYLPAEFKGVKDRAMGCLWDLSEGRERLWLYGSSWLWMFDLKHDF PSPEEFEATEQAQEGAKGQVAKATSAQKRKRQAQEDGENDRKKPNTGAGDRIPLAQAD IYFDPKYRKVVGADESQGEWISMDKERPRGVDGDDEDAYDHDEAYAASNDAGLARLRR EGNMGDHAVTTPKKGKQDKRFPAINGLVADTPTATPRKAEDQELETPAAQKLANRISQ PPRRWWHTYKYRDILGIVPLADDAAEENEKNSSLEVAVIERPTWDIELPGRYVRDYS ACHE_70846S MAITKCEAPKVKRGSEMVPTATRSSILTINSQDKAITGVVIDPQ SKAVTPSNEPMIPIETMFRRSRLGTG ACHE_70847S MAFHRPASSYQPCDTFFVETYDDFRVPRMDPKEHARLIARERQY AIADELSKVTSDELREDIVSHMLDMEAATLPDVESIDIQTEIQWFMRPYLLDFLIEAH TAFQLLPTTLFLTINLLDRYCSKRVVYKRHYQLVGCAALLIAAKYGDKKDRVPTIKEL KSMCCSLYDDDMFIQMEWHVLQTLGWTIGHPTVDSFLQVAVMDSPYEPEVEHLALYIL EIALFHREFVSKPPSDLARASLALSRCILNRPQPRHTEWAAQYDSMTLVGLSQELHQP SQVLARKYSSAHYSRVSKLLEQFLARQASIASYTPPSPPSEVPAESKPYNGEIGLATP QKNNHLSDMAGYLTPPITPENEAFAHAGNSHFVKGAMCASPTPPPTMQYVTSQPYHQA EATYVQQFQPQPQIAFQTGF ACHE_70848A MSDSLEPEWSNSFRSSRTMSCLPCKSECLSESTSTFRTDPSTLD QHPNRSYFEQAQITATERLANLKQELRSLDAEAFWSCLMESVTSICGAQYGFVARRVL EGEPASGVGGKRPSLFGFAFYYNDGYHTVGMHRDRYLACGNPLSHMDHEKPCLIPDNL PSFVSFGLDRLPFTAEGYLAVPLFSDRKCIAHLGLMWSEPGLRKRSLSWPFLEMVLNS LEGLVVQRIRDANSGKAPQKEPKPIAAVQQTIDDLHTTLLHGSTDFSAQPLKPYARSL SHELRTPMQGVVGMLDVMHATVREALEGKHSMRTSSVFQSLKESIEMVQDSARRAVEA ADNVVHAYDLNMQVPQTPQVERDNEFFGGAPTQSPVTPYDGGRPNIFIEGNNIAVNPY KRRRSNPPEYHGMQPPKQKIVRMGSRKGLSPRSEEVKNAVHESDKIVHATPARQIEAV MANMVDPRPSLAARRSAPHLLLEGINVNLKGPAIRYTKLRDLLRLVINESLHVGGRPE FASSHATELGERIEIRSRSCNGEVVPKVINWSVDSALPDTLLVDDRDLATLISCVFLN AVKFTNTGTITVSASIGRKPNDVRITVQDTGPGIPEAFLPKLFKPFAREDASITRSKD GLGLGLLVAKGLARKMGGDLVCVRSCTTGPDHGSVFEIRVPVNQEACKGLMTPEKAMT PPQLIDPSRLSSGSTSSSSSFLSPCNRIPSQPIQQPSPSLTEEPSSSHSPVPKPNRSI NGDAYDSTLGQKHPLTFLVAEDNQINRRVLVNMLKKLGYREVYEACNGKEAVRVMQET LTSHRATLMLPGGPTMTPESPGEFPIPEKRNHQKNLKPVDIILMDLWMPEMDGYEATS KILQLIDGYHGQIPPDTRRNPGVSSNSDPFSNNTSGVQLPPSPTVLAVSADVTDEALS RASKVGMKGYMTKPYKLTDLERLIIEFCAGGSRTPGP ACHE_70849A MSSHVVVIDSTARRATIKTTPGKYLTDVLQEACAKLGADPSQCG LKHKSKQLDLSLAFRLTGLTSGAKLELVQQSRSPSVVTVAIQLPESESRGVPSGRLLD RFPSSTTLWHILRKFEAGVAGGGSTRNLTARGVPSTDNGSTGAGRLFYEIPVLQVLGR EMSTFTDLQKSLAQLGFNSGNVLLRLSFRRTEEPLEVAMVKIQDYFKAVEDDMAATTT AATATQDQLAPAQATSDEQPTGIEPSGEPPQPSPAVSEQPSHITPPLPATSTEPAANP MAASRPITVFSPPTSSTPQSAQFTYNDNDYVPSVEHAQAHQRRLNETSRPQRLPTDAE IAAKESAEAERLASVKEIDVKVRLPDQSQVVAKFGQSDTGKSLYDFVRSCLAEQFVRE KFLLAVFPTAGGLGPGAGKKLQNVVPDSERSLLIKNLNMVGRVLVSFSWDPSVPSAVR GNKTSLLKPELQGQAQQLKVEQPAAVPDEPAGGSRVEGTEQRQNEKPARKPGTLPKWL KLPGKK ACHE_70850S MSNPFSINGGACVAMVGKDCVAIACDLRLGMQSLTVSNNFPKIF NYAPSTFLGLTGLATDVNTVSDLFRYKVNMYRLREERNIAPQTFANLVSSSLYERRFG PFFVSPVVAGINETTNQPFICGFDSIGCIDFAKDFIVSGTASDQLFGTCEGLWEPGLS PDDLFETISQALLSAVDRDALSGWGAQVYIIEKDKVTKRLLKGRQD ACHE_70851A MQHALSPTPRYALRRAANQKSAKCIANPTAPTSLRLRQPPSATT VVANPKSSTVVMAQERSGIVVGLNKGHKTTPLNTPKTKISRTKGQSSRRTAFVREITR EVVGLAPYERRIIELLRNTQDKRARKLAKKRLGTFTRGKRKVEDMQTVIAEARRVGGH ACHE_70852S MEFAHVNLLNSSIDSEGDGAAIIDYLEWNIQEDPAMERCSVDDM AGDYIPSLVRWRHPIFIDKESVDSVLAGPALGTWDSEDYHNPTAYVIVMHTRWWDCQE EEEVEERHFTINGC ACHE_70853A MPNTITVSLPQIAKMIDYSLLHPTLTDADVLSGLQTARDTHVAT ACIKPYHIPLARQELDGTDVLICPVIGFPHGNSTTEIKVAEATAAARDGGAEIDMVVN VGKVLSGNWGYVRGEIEQINKAVVDNGATLKVIFENDYLKPEHIIQLCKICTEVGVAF VKTSTGYGFVKQADGSYNYKGATVEHLKLMKENVGDGVQIKAAGGVRTLDDLLHVMSL GVSRVGASATVAILEEAKKRGIGNEPVEVSFKPMGE ACHE_70854A MKRARLEGRGDSLTVESVSAVSLGSRAKGFVEMGGSTSTSNERL KLGESRNNKDNDNDDSDNGMSDDPQTTGNSAERLGHSSSTMSLVDGVFRLYKETTPEI MATDAIPGGQRSHHAVNDSMARTLPRMRRPLASTSGVAGLTSLFPKYEAAALLVDTYF DCVHWFMLLFHQDEFRQRWQLLYKQARSNHHSTAENLGFISTFLMVIAIGLQYTGVNR KRLLATYQVDCDALQTHIFAAIRERLLDIMALGTLEAAQTCVLLGTYYLFHGDPGLAW PVCGCALRIAQALHLHRKQQPLSTDSERPSMTWRNESEARKRCWWAIYEIETFCSMSY GYPHSINDADCDAEPLDPSAKLPAAQSPASFNEPLQCETTLLTYKYLMSKLSVITNEI LSQLYCVGSASANNLAVKPRLSSQHLVRKVVSISRKLEAWKAEIPPLLRDCWTSSSET PGYASREEFDLDIGASGPVFESHIFQLQALTLQLAYENARIIVNRPLLSFRLVTRSNT TADEPHSDHSNDPFTQSMQACRDAAINISKASSIPIMDLVADTYAAAFVGIHTFTAGL TLCILNSIDPLSSQSHEAKVGLQRLMVIQTKLKERSPLPGQGLEILQRLAKHVLEKEL GAMLAVPGVEPVRTSDVGRTGHHEYRRATADGGIRPQQLESLPSINPYLPAMAAPEET SPPGTNDTTAFQYIPDTALSQAIAEFEKAMLPSSPIHISQAEQGSDSLAWPLGDDVFP SLEQAWIWGLNSFTLPE ACHE_70855A MAPIANNGPPPSSETLTYDYRNSMRGWDIVTQVVCLVIGTICVA LRMCSKLFIVRKPGWEDLSCFLAWLGLIGYGVICFEADKHGSGIHQWLVAEIDARSFS KIANIAQIAYGPLIFITKLSILLLYIRLFTTPVKKTTTYMIIQLLIWFNFFFYLADTI VKIFECTPRHKIWEKTTQGSCININIPILVTSAINVASDFMILLLPIACVWNVKQMNR TRKLGVSAIFAAGSFGCCSSVVRLVFSVKNSNTADKTHDWFPEFLWTTAEVTCGIIAS CLPALPACFRYFFQKAATQLSCFSEASAGSSRRRHHNNANISPSQQEQQNKKPPRGWM ATEDSEVLKYSTWELEGNYSNHDDSNNNSKNGSNTNTTKSNSNANTNSNTNTNNTNTN TSSNNTTELRSPAESQSQIFQGASYSTAEAKADATPLASTGASPDASCVDIEKTYPSQ GILRTIEVDVESAPGKGQGQGQGQGQK ACHE_70856A MVSTTNDAVFQRRNNQIQDAIDAQNLKQALQLIEKRMKKGEDTR FLRAWKAHILFRHADEAHHKRGVTETLELCKAEPPATDLETLDILQQTLEKMNDQEDT KRGLWEKAAKAKPQELEIQMRWFTYAFENDDWKSAQKAAMSLQSNFPRTRKYYFWAIF LCHLTATDSKSSEAERKLFGTLAYRMASKAAESVPSDPKESPSPPKAIQTTEELLLLV KILETQDRHSEIVKLLDSESLGLNSPVVQNDWTFVGTKVDGLEKAGMWTEGLVYAKNL LAIPSDENEKKALQERDDWAVWNLLVASVRNINNAETTSGAQIFIKHFLNAVPKSRNA QLAQLDLIHWGVQSGSLSKDDLYSASKEYFDRNKSKLYCFGDLQKYLPGLEKDGLSRF VEYTLKTQESESDTSPFKGVAVINALKLEYCFQLSSNEASITTQKTEDYIGRCLKAYS ELHHPEQKSDESAIESQPSDDLCLLAAMSLMRFGHEGEQIPDTALIRAAGILDRLLVD SPHNYQALLLLVRIYLRLGAGSLALKTFSKLSVKQFQFETVAHNLFTRIATVHPHSAP PIEGAEYKDFDPQIALMQGLNFYRNADITTIRNRSNGLDYGSYVNVEGTIDLQRRLKN SICRKMYALEVRRTQRLVGGKPVGRYDEIANDESPMYDQRKFDAFMNCEAPGKPTFEE RMRLGPLPRESWMKSSRITDRLFGLLKELAAQRPVSMETALPSLDEILGSDQESDMTP AEIEATKNHLNLLKVVSHLGGSKSVTPEEVEESLSQMEEWLSSKTQYLAPSDNKASPI IFNTAITFHLESPSAPSWRFLHETSLILETLKAVSQLTTIASKKGTKSAKLPKDRIEQ LTASVRQVHESVRTNIRNLKSRISESGVLSALIDLVLSGTTGELRDALEKMLDTSALE VFAGELMESWEEGLEGLVEVTL ACHE_70857A MALNENDQGQALPVDDANKTERKFSHKSASEYYDPCQDFADRSL KCMRRNGFDKEMCGDYFQAYRDCKKTWMTQRRFGSSGQK ACHE_70858S MATAETMTATSVTRLQLNGAETPPKSPVSEIPQVTFDPAKHLQH TPPSKVYTMNELGYPNSRGVSHVGVSEPFPLFSEEAVEQMRKEVLSQEVKAKHEYSSD LAQSQLRGFAPDCAPFVYDAWKNPETLAIISKIAGVDLVPAMDFEIGHVNLSVTSEEE KARALAYVKEQAAAGVNWEDESPIVDWHTDSYPFVCVTMLSDCTDMVGGETALRKGDG EVAKVRGPQRGSAVILQGRYIEHQALRALGTTERISMVTSFRPRSAAIKDDTVLTTVR AVSNLNELYHQFAEYRFEMLEERCRNINRYMRDQKRANRAFDTRGVRDFIREQIEFLE HMEHEIVPNELVKKGVIGDSHLISDHTKQELSRRRGAAAGEE ACHE_70859S MPKSTSSKIAKSANANGTATTTNSEVESGLLQTLQGHVDDLRPF IQCGICIRPLYEPFTLACGHTFCYTCLSSWFLEGRSHKTCPDCRTPVVAQPAPAYLVR AIVQLWTSRAELLEKGETTADHVKNQREESDKIDQDKKNEHPREGGLFRGAFRNPPTT QPIVDLEDNVIRCPQCGWELEDDVECEHCGYIGDLESDMGESQWSGTEENSEMAEMAD FLDEEAQDDFEGADAFDGNHGIFTSFFPPGSFSRPSESLGWRNTRVIGDSEDEDPELG NEYDDTDMESFIDDDDHVEYETGSDPTTVVGGHGTQDYDSHMGSEIATTQEDGYASFS SMDDEDPGDNESDDGEEEEDDDDDDDEDPIRPPVTGLRRTVPGPNGSLRRSADMRGRL GNNQRSTRSNTAGSSAHNTIDISDDSNNSDGSNDSDDSDDSDEGPVAPSRRTRGRING AW ACHE_70860A MTIAYTSTIASDLFPNGGWDVHHHIFDPTKFTYAPDRHLTPPPA TIPQFLAFKSRLGITNSVLTHGLSYGADCTSLKSFVAELITTAPATKGVGVIDPSTVT GDELNAMHAAGVRGIRVNLYKYQAMHDVERQKTALREHARAIRDHEDAKRWSLAFTHT HPEFWGELSPVVEGVLVPEGIALVTDHFALLKGSSMLNSDPQNGKVNVTQQPGFREIL ELVRAGHLYIKLSAPYRVSNLAPGYEDLKPVVRAFVDANPERILWGSDWPHTPHMKVR TREEALRETEYLVVDDLAWLKSLRSWLSAEEWHLIMVSNPKTLYGW ACHE_70861S MPTETVSGKTKTTLLGSLGAGLLAGIAESVLVVTPGETLKTKII DDQAGPRIYRSASHAIRTCLAQEGVSGLYRGVVPVTLKQSANAMVRFTSYRLFLGQID AMFSRENAGALQSMNTGVAGALAGVVTVYATMPFDTIKTRLQALDGHERYCGSWDCLR SVIRSESVFALWRGTTPRLARLSVGSSPMHD ACHE_70862S MSASSTTSTSTRTSTTTIPLTTVFTQPTQCTTDWQYEPSAYNDV PNGLLMQNVASVVSSCFPTGFAMSGRAEAPQVYSPGWCPVGYTSADVHIDGKTTSAVC CYSNYSYYTSTMFYSNLPSAIFAGCLSSFPKTSSTIVSVRDPKTNQGTQVSGPITMWA QPISIQLQATDSSLFVSATTTGAGSTGTGATATSTSDSAASDSGSSGLSTGGKVGVGV GVGVGALAIFALLAFWFLRRRAASKKNAAPAPAIAAAAAPAYTNPYPSQGAAPYHGPV PAAMSELENTSAKFAPQPQPAELQGDSRRQNQVAELSG ACHE_70863S MVMDPPGNGPPPAKKVRVACRRCRAKRVKCDGSLPACGNCARAN VPCVDVDSRNNDLAIPRDYIVKCCSRIKWLEQKIRALDPSFDLTKGPQIDADLFEGSS LPWPPHQSPSSAVSDTPPRAASSTEMASAVEGPATGKRSHASMEESEIERPPSVEART VAMDLGMLSLQSDSRQKHYLGSSSGLLFMKLIGAGADVQTSGPAPSPATRRLRRMSSP HRPSGVYQSLYAGLERELPSPEDADRLLAVYFQYIHIDHPFLHPTSLINAYNALHACG QRGYDPARLDQNGWLHDIKRFPYNGKMDVVNGRAFTPISISFAVFHVFMVFSLAATVL TRKKNFDHPPIRFYRMAMLAASECFSNISVPALQGVLLLAIQGMTEPAGLNIWTLVHI AMSHCVDLGLHREPNDPSDLPPVALAVRRLIFYTVYSLDRSVSTIQGRPLGIRDETFD IRRPTMDDLASNDAPVTMDGDLNVHLPSAEYLAFTIRRSQLDLSISEIKLLFYHLPSQ VNSIIWPTDLDKIQQRIKTDIDRWLADSLSAVPRLDTEESLILHCENLKLELQYHAAI TLLYQPSQVFRSPTQQALSLCYQSSSRRLRIYHYLNNEEQLYYSWRNIHGIFSSGATI IYCIWASRNLQTTIPFADALRDLRTCSNLLSIGGQWWPSVRKGKESFEKIMDITMKGL RNLESHLISPTPMTGTNHPAPSSFVSTLEHVAPQSRLEPSAQISGSQDAHMSNTMLHG FESSIDNIDHSSLLGDGLSADGPEVLAIDPAMEHFLSEYLQGDWGWDPFSGS ACHE_70864A MAAPSRFVKALQGFASCDIGDSLVKLKVPHGGYLSGLKMYPPGL LAPNHKIFGPAYTVRMVPASDKTSPTPPTHFADSIPKDAVVFVSQPKGLISACWGGLM STRAQKLGAAGVVIDGRFRDISEHRELGMVLFARGISILGSNTFTRSSELNVPVSYGN VEVGGEVTIRPGDYIMGDVDGVVVVPEDKVEECMHLCQERYDIDEETRRCLERGEPMG PTIKRLRK ACHE_70865S MQKYLSRSVRTAQLGQCWNNAVSVRRFATHENQTQILGVNYGKQ IHNLDALKQGSKRPLTLTEKLLYSHLITTDRAWSLEAIERGETILGLRPDRVACHDAT ATMALLQFISAGLPRVAVPTTVHSDHLIISEKGADEDMQRALGEHAEVYDFLSSASKK YGIGFWKPGSGIIHTVIFENYALYVLATLACDDSWEKADSVCSDQAV ACHE_70866S MSGMPWELVAPKVVGVRLTGQLQGWTSTKDIICKLAGILSVSGG KGRVIEFFGPGTETLGATAMATICNMSAEIGSTSCIFPHSEAIARYLSATGRAYAASA ANGVKNVLLTADEGSDDYYDQVIEIDLTELEPHVNGPFTPDLAHPISQLKSAVSGSNW PKELSHAMVGSCTNSSYEDLDKARQLVRQARAAGLTSFKTPFLLTPGSEKIRATAEAD GIFEELQDAGAVVLSSSCGPCVGSWDRKDVDVRGKERNSVISSFNRNFVGRHDSNPAT HSFVTSPELVTAFAYAGRLDFNPITDNIPQEGNQEPFRFDPPVGRELPLDFETGAQTF QEPVADGSSESVIVDPQSDRLQLLTPFPPWQPGCADDMQLLIKVQGKCTTDHISPAGP WYKYRGHLENISNNMLTTATNAFLPSSPQMLGHTRHPLTSEVSVVPEVARDLQHHGIR WCIIGDHNYGEGSSREHAALEPRYLGGVAIIARSFARIHETNLKKQGMLPLTFDDVAD YDRIKDGDRIQLIGVDEGELEPGRQVTMRVTPREGEAWETRLNHSYHSGQIRWLRAGS ALNYIKGRAR ACHE_70867S MGAGGGGLSKDALQRVPLPARKSYIWLATIWASYCGGLHGFNTA NISGAMKLDPFVKEFGWTDIPEDTESNYKGWVVSSMILGQVLGVIIAGPLGERRGRKP VILLSAVFYTIGSILMAVNFGSLSELLVGRVLSGIGSGSGMSVGPIYISEVAPLELRG MMTTFYNVNIMAGVAGSYWINYASQSVIPAESNWQWRVTMILQLIPAIMLFLGLPFFP ESPRYQMMRGRIEAAKTSLSRLRGGLDENNEYFAKELAELRAKMTANAESQGAWDATK HLMKLCVHHPPTRKVVLFVTLIQLFFIFSGGNSITYYAPTILQSIGLNDRQVLLFTAV YGCIKLASVFLYAFALTDRFGRRPLLLIGSTTNLICLIYLAAFLGTSDISASPSPAAW VAIVAICIFAIGYGFGWAPAFSLTTSEICPTSIRGTVVSIAFIFQNLLNFGITRGFPN MTLSMHSYGPFALFAAFTFVGTVWVFFAFPECKGRSMEGTDELFSLPWWKIGFARVPE GGGGSGVVGKEFEGDLERQLSGVSVGEKLREERIENVVERRT ACHE_70868A MSSFFTVPASQKKRKREDRAAAPGSKKRGVDANGDSGAKGRGAR KQRDESVSGSDIDEDEASTASRSEDESGSDDEDETAAERRLKLAERYLENVRDEVDEV GFDAAEIDRDLIAERLKEDVDEFKGRGYRQIASDLAFSSASHSLFRADTQSTTSIAVH APFVYTVSKDKALIKWELASPENHTPSSENSKRPPRPQRKKPKKVKYVRGVQKVEETG EEHGHTKNILSLAVSPSGKFLATGGEDNKLIIWDAATLTPLKTFTQHRDTVSGLAFAR HISTMSSGEQLFSGSYDRTIKVWSVSSAGHAYVETLFGHQDNVASVAAMTIDQCVSVG ARDRTARLWKVVEEAQLVFRGGASKNAAYHENNLDCIAPLPPNHFVTGSDSGSLALWS IHKKKPLHVIPLAHGLDPVPPLEDLSSELDQQTAGTNSRHLRPMPRWITALTTVPGTD IVLSGSWDGWIRAWKISEDKKVILPLGTVGGGASSEPDTPSFQLKQNLAFDATNDDDN MAIDNFPVSQSSAAKEAEPLIKGVINDIAVFERRNDTARPDQPLESKSKSKSKPKPKE SETRGLCVVAAVGKEHRLGRWKCYANSSNADSIPEGRNGAVVFEVPFASDQTKKASS ACHE_70869S MEVLLGITGKDFVILAASKASMRGPTIIKAEDDKTRQLNKNTVM AFSGEAGDTGEWNIRKVGLSKLIHLSWIVQFAEYIQANIQLYTMRNDAELSPNAVAHF VRGELARSLRSRSPYMVNLLLGGMDPISQEPSLYWVDYLASLAPVPYAAHGYAQFYCL SILDKHHHPDINLEQGLKLLGMCTDELKRRLPIDYKGVLVKVISKDGVKEVDFDNNRI VTSA ACHE_70870S MSKRPADLPLEEGQPVGSPASKRARVEDELPEDDPRNGAVPAER ASGQDLEQHDRNGKNVLAAADKEGEALNEVARDDEDESDIDEDAPAIAAPKRQFEPME GYSDLYLDTVNRGILDFDFEKLCSVSLSNINVYACLVCGKYYTGRGPKSHAYFHALEV AHHVFINMGTKKVYVLPEGYEVKNRSLDDIKYVVDPHFAKEDVSKLDKEVRDAFDLAG NRYRPGFVGMNNIKANDYLNVVVQLLAHVHPIRNFFLLHEFPTPGTPQLALRFSTLVR KLWNPRAFRSHVSPHELLQEIALRSSKRFTLTQQSDPVDFLSWFLNNLHLSLGGSKKP SSTPTSVVQAAFQGHLKIESQAITAHSDTQNARLVFTESGTINSQTTPFLILTLDLPP TPLFQSSNRESIIPQVPLTNLLNKYNGITASEKLAHRVRHRLLHPLPPYLLFHVKRFS KNRFVSERNPTIVTFPSTRSLDMSPYVEPNPAIWPPGEPILYDLVANVILDPTVTAPG AREEAAEKGVNAASGVSSTGAGAGTERVNWLVQLHDKAMETENKRQASERGGEARGPE WLEVQDLVVKKAESETLFTKEGYLMVWERRKVPGMGNKKGKKAAR ACHE_70871A MGRQAYLNRLALGRSPYEPPEHAPSPPNESTAGQRESSIHADGY VQRYDDRGHPVNPESKALGKELRKAKNDILSTMGIVVSGEDGGDGAPNEQQKINMIAA ENDYGLVMATLDQVSVFLGSWWTSSLAGRIQTFKSYTHVPLMHIINYERNSIGVAGFY FAGIPAWTVSTCLSICRHHPLERLIVFLQSHLTQYSPNEFCTKIIRASFTTVHYATRG ALLVLAVQTYMYSLLQSLHLVHPYCMPSASFFVPFGELAPTQLLSLPANLSFGSMANL FLGILKTPSLLVYLYVYLRPIIEIRLYRLIRRRLPKPSLADELSIRVAFENDLIDWMV PTLGRRSEEENRRGSLTLYEDIKYELSVLRNWALSWFGFKRSQAPSRPGNAPQGEEKI ESLRQCIEELQNELGAAQTRTNQTRERPQPLAERTPGHGDAWPSSTAPNLSVQTSGPG SSFHGDRILQNEDNRVSQSPDAMSTDIFSDMPPLEGANAASNEDETHQITDAEPPRDQ DENRRFSRSNTLFSRPSSPETSPPTSPRVRASLIHQNSDIITMQLELLGNRNAHNQGQ ANIRPRNANLGDAPADRRSVTEFLDSLLSNTDQHLATIVNDAVDSDGLSNVTAGASQI LPDAPSAPTSQAQPAEADSGLANILTDNNEVQTLEEALNDPLGPEYDDENRSNPELPP PAPNAHPRQLTGPPGSPAHRVTILSSHPVDSLASHLASILTTVIFLPLESLYLRSLAS SFLSSRSSSSALRSDVRALGAWGGGGSRTDIVTYVGKMALMMSVQVAVNASTWGIISG AAIRIGKKFCGWGSL ACHE_70872S MSKAVVKTTFEASRTLRPIYTGGSTALDASGRLLAACVGEDALI VDLETGDQLVSLEGDGEIITSLAITPSASHIITCSRSMSMQIHALTRLDESSQFEATL VRSLKPHTAPVVTTAVDATGTLLATGAADGSVKVWDIRGGFATHTFHGHGGVVSALCF FEVPTSDSDNKSTSKKKKSKKGADSDDEDMADAAAGSTTGFRLASGSEEGRVRIWDLN KRKSLANLESHVSVVRGLSYSPSENALLSASRDKTVIVWDVRTWKTRRIIPVLESVEA ASFVADSGLCMIGGENGRLRVWDCDRGSEVTEEQEPAAEFESVVAIQYTPGMPFVMTV HADQTIRIHSLKSLSDFKPGSTLEPLTVTRRISGNDDEIIDLAYVGPDRSMLALATNT ESIRVVSVGPSEDRPSVYGEEYFGADVTHLEGHEDIIICLDVDWSGHWLATGAKDNTA RLWRLDPKTSSYTCFAIFTGHAESLGGIALPHVPPPVGTPAYKDPLNHPPSFMLTGSQ DRTIKRWDTAKLAPLTSSKPHSAKAAFTRKAHEKDINALDISPTSTLFASASQDRTVK IWSADDGTTIGLLRGHKRGVWSVRFSPKDTPILNTENRTSTNRGLILTGSGDKTVKIW SLADYSCLLTFEGHTNSVLKVLWLPPPDLSKKDDDDEDDDQAQQSGNTAAAQVRPLVA SAAADGLVKVWSPYTGELETTLDNHTDRVWALTSPTPSGSRADAKPSDNNSNNKKNNI TNTYPYALASGSADATVTFWTDTTSATYTASVNANSLRIEQDQQLQNYIRAGAYREAI TLALQLNHPGRLLSLFNEAVDAADDPYATQEDRDARANSLTGDPSIDEVLQTLDPSNL RTLLLRLRDWNTNARTARVSQRILFALFRSYPASTFVELAKSSMAKRGGDRATAAGME DILQALAAYTERHYRRIEELADDSYLVEWVLGEMDGGVGLGGLGEPVSGVNGEEKDVL MLGA ACHE_70873A MPPPEDANPPQKPFSLSLSSTSQLKKPSFNLKPSSRDPSTQNRA LPRRPHHLHHDSDSDNDEETLPAAEAVTGFDTHTGAAIAADGTKISAEKEKLVIPVVS GNNWRERPGVNIRARGKNLLPKEVQAIREAEKRGETAGDNVEREGPSMAYGISFAQPS GDKKADGDEDREMKDADKELAPATEERKPRTEDEIALQALIRETNGELQGSDLIIESA KRDEGGDTGPRYDETTSFRADVEARPEPATLDQYNAIPVEEFGAALLRGMGWKDGQSI GRGNYGSTANPIRPRIPERRPGFLGIGAKDASGGKGAEMELGAWGKSAMRKGARNAEK EGGGSTEGVYMPVMMRNKQTGEYITEEELSALQKEAKARKVDKDDEWKERRDRNLEKS GRDRDRDRDRDRDYKRHDYDDDDRYERRKGSSRRDRDRSSSGDRHLRRDRRDNDNRYY RDRGRDRDSTRDRERERERDRDRDRERRHRYRDDDRYSSRHSSSRRDRDRDRDSDRDS HRRRRDDR ACHE_70874A MLRDELLRSKNDEIARCLLSRKRKLSELYFATVGLAGATDGAPT DSRHHKEQAFLDANDLAKGRYFDESTLPPLPNYAALLPRPEAKEAGPPAADLGAKGPS TQLDGVPAPVGAAVDKAHQHPPAGDRPVAHAEQPGLLQSHAPGPAPETVQQAGVPSSA GTHPAQLSISERKVSVPGTPQSTHGFDSAGPTPTAALPEKIHDKRPSLALGHPGHPPD QQPLSPVSSAGHYSGHTSIPTTTSPDTSPAEEAIAAAKDAIHKPKHDTSVQAPPSLVP STPDEQLRLEEAQSIQQDTITASKSIGDPASDNIPSSNEVIQVSTPSAPKDQPEPLPS EVTKPDGVIEPVNDALAPTQPETPRPPVDAAAKATPSAPTTLKKAQTTPGPPPERMTT RVSSGAIRHKSVSEILGETPKPTVSPSEKESPRTVMTPEKSPPESPARMRFKDRKDRE KERSRLSTVVFPKQQQLQQQQQEKADSLDLVRQNVGDLVRLNEEQDYLFTLFQSKAYA PPRGTNLSTLVASAHKTLSTSNHLVEYQEQMDCRTLRRIYALQNANRWPLRQYKRSIE PPRQGTHWDVLLDHMKWMRTDFREERKWKIAAAKCCADWCAEYVNSDPEHQALLRVQA KIPSRKVQAEGSHDQKTDMASPPEMGDETMAGVSHPTPDLVPSTEEDSVDEAFNDEPR HDLHDTVAPAAIFSLGSDEFTFSLDMTPAAEKILNELPIYTPVEIAPDTKLPTFKAPP DAAWKTDILPVSKYATGKIRFSEEGPPRKRSRYEYSQYEPEEPVLLEWTPEQTNVALF RPENQPIRDRIHPGHSFRPPTEHPMPSVGFFESRQSSQWTYAEDDELRRLVKEYPYNW SLISSCLSPSSPFTSGAERRTPWECFERWIGLEGLPADMSKTPYFRAYHQRLETAQRN VLAQQQAAQQQQAQQPNAPPVRRRTTQPLRVDRRRSSKHITLLDAMRKLAKKRETMLQ KQQHASHLASMRKVNEANQPKPPITTPAEFSRLKYEREMKLQERQEQYRQQMIAQQRA NLAAQRAGQMPNQQPMINGQPGRNPNGMPNGAPGLPNGAPNGVPNGMAAGVGVNQGRP MQGMPGGAPVNGAMPSNQMAMKMMPQAGMQQAAGGRPGMPMQTSPDNARVIREANRLQ EQQRILQSRQQQPQQAQQQFHNQQQFGPQGSHSPNLNMPNVNGTPNNPAMMAALQANG GMQSPSFHNGTPQGVSTPSPRMGQPNPLSSGLVPTISNIQSQIQRAHPNMPPEQVTKL ATERLHQYQQQQQQRMSQAAMNAAAGNIGSVQANYQVSPDFHQSQAGANGGPGMQVPQ TQGYSPMMRVPQTAQQNRVNVGSPAMNGAVTQQSRSATPQAQRTNSAQGTTVPGSNKS PNAPPAQTATS ACHE_70875S MAKRKREETAKDVQSSDKSSKAIKPATSQESSFDPVITVQIVTG SYERVLHGFTAGVSATAFTSEDTKESAVGSSHVQFADTFLFEAHSSAIRCLALSPAPK PDSTEPPRVILASGSTDERINLYSVSAAPPAVNDQYPSIPTLAGSKVLENPKNRELGS LLHHSASISGLHFPSRSKLLTCADDNTISVSKTRDWTVVSTIKAPRPKVQGRPSGDTA PPGGSPSGVNDFAVHPSMKLMLSVGRGEKCMRLWNLVTGKKAGVLNFTREMLQSVKEG KWSSGEGRKIEWNSKGEEFAVAFEWGAVVFGIDSTPTCRVMPNPRSKLHQMKYVTVDP SVEDASDLLTISTEDGRVIFYSTTKLREPENDPDSSIPFAEPIAQLGGRANGLPGRIK DFEVFSLKNEPTVKKDAFLVVTANSDGAVRVWLVDGKELKEQNDSEKAPTVSQVGKLL NTYETGNRITCMKAFVMLPAEDQSTLEDLDDEEDEEGEEEESSDEESDAE ACHE_70876S MATNGDFSDEESQPGSPMLNANGQEDIEEQEPLEPQEKKPRKSA MKKGSAPAPQPQRPELPEQPNPDTLDLSTLNPLTPEIIARQATINIGTIGHVAHGKST VVKAISEVQTVRFKNELERNITIKLGYANAKIYKCDSPECPRPTCFKSFKSEKEVDPP CEREGCTGRYRLLRHVSFVDCPGHDILMSTMLSGAAVMDAALLLIAGNETCPQPQTSE HLAAIEIMKLSHIIILQNKVDLMREDGALQHYQSILKFIRGTVADGSPIIPISAQLKY NIDAVNEYLVSHIPVPLRDFTASPHMMVIRSFDVNKPGAEIDELKGGVAGGSILTGVL KLGDEIEIRPGLVTKDEHGKIQCRPIFSRVVSLFAEHNDLKFAVPGGLIGVGTRVDPT LCRADRLVGFVLGHRGRLPAIYTELEVNYFLLRRLLGVKTADGKQAKVAKLTKNEVLM VNIGSTATGAKVVGVKADAAKLSLTSPACTEVGEKIAISRRIDKHWRLIGWANIVAGN TLEPILS ACHE_70877S MWILEQLARLLDRPLFPWKTVLVGFSLGQYLLEGFLSFRQYKVL QRTKPPKVLENEVSQKVYDESQAYGRAKAKFGFVAGLYGQVQNLAFIYGDVLPKLWGV AGVALARYLPARFQGEICQTLLFLFGFNILSTVLSLPVSYYSTFVLEEKFGFNKQTLK LWITDMLKGQMLGVVLGTPIISGMLKIIQFAGDSFFYYLWLFGMFVQVFAITIYPIAI LPLFNKLSPLQPGELKTGVENLARKLEFPLQELYVIDGSKRSAHSNAYFFGLPWKKHI VIYDTLIEKSEPDEVVAVLGHELGHWSLSHTTKLFGIAQSHMFYIFALFSVFINNKSL YQSFGFFNEQPIMIGFLLFSDALAPMDAVIKLLMNILSRRFEFQADEFAQKLGYSEQL AKSLLKLQIQNLSTMDADWMYASYHYSHPILSERLQALGWKGGKVTNLKSEDSEEPVK AGDREL ACHE_70878A MSINGPSPLPPTTITTNTPIPQSMANAFLSAYLDRASTDPALQP NASISEHGPVSRTTASAPNLILHNLKRVQAGLAGEVLGRDLTMIRMEPEPETENGEGV YEAGQEQERVVEGEKEEAGDVDMDMGGMGGGGGEEGVDKEERKRKKKERRLAEKKAKA RSA ACHE_70879S MFCRRILPALRTSVLRAQTPLTSLNRSFSSLLTSRISPSLPTTT TAITPRTTPTSTFTSPLQSPLTSALNSQTRPFSASACLAGKRITYNPSRRVQKRRHGF LARLRTRGGRKILMRRRARGKKALSW ACHE_70880A MIARIRELQEHKNVKQPVVVLCYTLRGLSRARSQYTPSVLRRKF PSPFPKTDLPFPRSITNMSSTQSHFKLLQKFKPDYSPNEFVQYESQRTGMRVVVIDQK GPKVSGYFVLATEILDDSGAPHTLEHLCFMGSRNYRYKGFLDKLATRVYSNTNAWTAT DHTAYTLDTAGWEGFARILPVYLEHVIAPTLTDEGCYTEVHHIDATGNDAGVVYSEMQ GVQNNSAELMDLTARRLIYPPDVGFRYETGGMMEQLRVLTAERIRAFHREMYQPRNLC LIITGEVDHENMLETLDKFEDTILDVIPSPDSPFKRPWVDSKQAPALEKSVTKTVEFP EEDESFGEIEIRFLGPDTTDPIQSGALNVSLLYLAGSSASILENILVEKEQVASAVYY STEERPNIEIQFQLTSVETDKLEHVERRFFEVLKDAMEKPIDMKYLQECIDRQQKIWK FSTESSASALAEYVISDFLYGKRDGSTLLDVASMREYEELEKWPEHQWRDFIKKWISD APHITVLGVPSMKMSDTLKKEEEARVAEQKKQLGEKGLKELADKLEKAKAENDKEIPK EMLEKFEIPGVESIHFVETTTARSGSALKAGRPDHKVQKIVDADGSDAPLFIHFEHIP SNFVQMSLLISAQSVPVQLRPLLSVYTEAFFNIPIQRDGKTISFEQVVVELERDTVGY NMEGARAFGNSEMLRISFQVEMEKYNTAIAWLQELSWNTIFDVERLRAITSRLLADVP DAKRSGDDMLAAVHVMVHYAPESIVRARSTLVKARYLRRIKKQLAEQPEAVVARMEEI RNALFQSSNIRVLVIADLEKLPNPVSSWKPFAERLGAPAPLKPITARRPLMSEVGQNL GGKSYVVPMPTIDSSFAYASARGLDSYDDPRLPPLLVAIAYMNAVEGPLWVAVRGKGL AYGTNFAYNIDTGFVNFDVYRSPNAHKAFESSKQIVEDHLSGVIPFDPLMLEGAISSI VVSFANEQATIANAAQGSFIRQVMRELPSDYKERVLRQVRATSVEDVKKALTGIILPL FEPSTANIVITCATVMEENIQKGLQASGFTPEVRPLRDFEDDYGLKPEGEEEESDEDD EDYETGSEEDSEESEEE ACHE_70881A MVADTSYYDALGVPPTATQIEIKKAYRKLAITTHPDKNPGDETA HTRFQAIGEAYQVLSDDELRQRYDKFGKEDAVPGGGFEDPSEFFSMIFGGSAFVDLIG EISLMKDLTTTMDITMQQMEEDELAASAEEKLNIHEQETKTGAAPTEGTTPEGATTEG TTATAAAAAAPQEPEKTPPRRYLGQQAIMDKSEEEARMEAAGLSPEEKELRKKEKKKG GLTKEQQDRLAAYELERKKAREERVNTLATKLVDKISVWTETDKSPDVTHAFEEKIRL EVENLKMESFGLEILHAIGATYVQKSTSFLKSQKFLGISGFFSRLKDKGTLAKETWTT ISTAIDAQMTMEEMAKMEERGGEEWTDEKKAEYEKKVTGKILAAAWRGSKFEIQSVLR DVCDQVLGDKSIRLEKRVERAHALVLAGNIYAKAERDPEEEGDYMAFEQLMTEAMQKK GKEEKKKNKHKSKHEDIDEAPVA ACHE_70882S MGLIGNVLYYSFHPSELRAIMQWKVWHIPVHQRNEKDETETQKT CFKFLDKTSRSFSAVIKELHPELLLPVCLFYLILRGLDTVEDDTSIPLATKEPLLRDF KDFLEQDGWTFNGNRPEEKDRELLVQFHNVITEFKNLKPAYKEIIRDITDKMGNGMAD YARKAESDDASVITIEQYDLYCYYVAGLVGEGLTRLFIESEFGNPGLLKRDQLHKSMG LFLQKTNIIRDIREDNDDGRRFWPKEIWSKHVNDFDDLFKPENLDSALNCSSEMVLNA LEHVEDCLFYLAGLREQSVFNFCAIPQSMAIATLELCFRNPAIFQRNVKITKGDACQL MMESTQNLHLVYQVFRRYARRIHKKNSPKDPNFLKISLVCGRIEKFAETIFPSQSAEE AQRRLTGAVTEEEARKAKEEAEARQDVYFIMGLMGVVVLIVALTMTGFAWYMGARFDL AWKELKKGNFRPPQHLRGATGTHQEL ACHE_70883S MEWKMNGPTGAGDRTREGESLPPLSMKDFQVYNRLSVQMDQFHN HFRLVWNDLQNACAPIGKQKHPRQLILTGLAFCSQLDFHHSIEEQHVFPVLAKKMPEF RKELDLLQQHKKIHAGLVELERYLEDCRTGEAELDRAEVKRLMDAFGDVLWKHLDEEV QTLGAQNMRRYWTLKEMPGLQM ACHE_70884S MLGPCTYERGNYKCLCTQGIVPGVIDLNFNDAQTCDTCLHPIAM HLDYARPALPPAITAPALSGNASPDNTTSGNNPPKRRIRPYSLSSRVHPRTKLVNELI ERLHYYHIIRVRGTPASGKTTLMNIVVNQLFENHGKERPIYVLTDWNERMIRGQGGWD AYLEQETGVHGDQWLTYSAYLVIDEAQESYWDGALWADLFKRIELDSSPYILLFTSYG SPGRGFVGFNEEKHRKTPLIFAPEQQISLRADENVRGYNPFRAPFLTPVGLLLDKDEA MDVVTLITKQMQSRPSLTEDLKEC ACHE_70885S MPRGRPRTIAEETTLSSQDTITPEQIDTDLQAIHNRIAKLEELR VARENLARLEAEVINPSEIGSVVNRDHPGNHPPESHTEELKIKNISTFTLNFNLQRRQ DWLLDLRYTFRGAPRKYRTDGKKILAALNFLDHTCRHRWYRHVEEKSIEERQNIEDSW AYFEEWTLSLIRNTTTLQADIMDQIERTCQLPNQDPREFHAYLDTLEQHFPRQAEKER ALSFSLNSRATSRNIFENITLSCLKDERRWSPLPPTIGTS ACHE_70886S MDAERDNEIKHSTPLDLAIDAIKHFRPSQLSDAPRSVRGSTSPI EDQYQKEFYRCLIPILHGHVMLSPESAIGASTKGGGTIDFFIEQKKWGLELLRGRDRL VEHMERFEPEGQYYSMIKSREMEEYIVLDFTISRPVKARPEYAHRLYHIVFSERYRHV DVLEAGNLSTVSSFTLPENPDPLT ACHE_70887S MKFLSTFVTTALLVGSTLAAPRTLKERVQARTSSRQSNPNQRLA TTDADQRALTDIQYSNNWAGAVYEQPPPEGSWSYVSGTFTVPEPKAVGEVKEGSVTAG SAWVGIDGDTYGAAILQTGVDFYVEADGNVHYDAWYEWYPDYAYDFQLKFKPGDVVNL VVEAYSSSWGYVQIENLSTGETATQILRAPSEKAVLAGENAEWIVEDFQSGGELVNLV DFGTVMFTEAQAQAGHKVYGVKDASIIELKQGDNVITETTIISDEEFNVKYTG ACHE_70888A MLVLQIDNNPAMQKLLPKMLSKHNIAHIPVQNPRDALAYLSNPH NLRPNIIFLKMQIPVMSSQEFIHVLRTKPPFANDPLLRATPVIGMDSGYSFRPRREKH INEWGVDDAIPRPMKAKALQDVLLYWSRREVVPNQGPGDDVSIQPVWGPFPLRGYTGP RSLL ACHE_70889A MATEAKDQKSYALLTVTPKHDDIEEGQITSTLDEAELFLHEHGI SHAQLQEMLSDETRSKKLRRRIDLILLPLLCGTYVLQYIDKQALSYSAVFDLFDNANI TSDQYSWLTSIFYFGYLFWEYPASYIAQRLPVGTVISTFVLCWGSILMITASSHNFTG LGICRFLLGCFEAPITPCFMMIVGMWYLRQEQPFRAGCFYCCNGVGAMVGGLITYGIG QIDSFPVWKAVFMICGGATVVWGGVLMVFLPNSILSSKRFTVEEKILLIGRGKQNQTG ILNRSIKWYQIREALVDPQVWILFLFTLMNETINGGFANFGKLIIKGLVDSSLLTTAL GIPQGAFQVFFILSGSYLSTRFKNIRTIIMALYLIPTVLGISLLWKFPRSENQYGVLF GYYITGSFVSSLVLALQMPSSNMGGYTKRVTSTALVFLAYCIGNIIGPHAFLSDEAPV YPTACKLGLACAVTQIACALGLRVLLVWRNRVKEGEMSVEGMGEEILADLTDFENPRF RYVY ACHE_70890S MFSFKMLLLAVLPVILLLGVHNTDGYSVSSGLIAQFQNSASPWI PEPLREFVITRPRVTVKQGTVIGVTLRDTLKSPVDAFRGIPYALPPVGEKRFRPPVAI EASDDVLDASQFGARCPGKQLLKFGGDSRSSEDCLTVNVFRPHGETGDKLPVAVYVHG GAYNRGTSSMHNTASMVGWSEEPFVGVSFNYRVGALGFLPSTVTAEEGVLNLGLRDQL LLLQWVQENIEAFGGDPSQVTLFGLSAGAHSIAHHIMNLDTNGLFHRAIIQSGSATSR AVHPYNARLHEQQFEEFVQETGCANRPASEIMTCLRSQSPETITSASFTIFDRYNPSV RWAFQPVIDGDLIKQRPIDAWHSGKWNRMPILTGFNTNEGTYYVPASMATSEEFTSFF HTLLPAYTEKDLQTINKLYPDPALDTSSIYTETRNISVGAQYKRVEAAYAHYAYACPV RQTATLASTGQEEPIFLYHWALNKTVQGGANHGDQMVYETFNPEVREISESQAEIAGV LHAYFTSFIVRGDPNAVPGRYAHRPVWEAYDASKKSGKKIMIFGKGNDERAGGEGKGV AATMAGDDWSRRECDFWWTKSGMRMSHKLVHL ACHE_70891S MCIKMSVTIRRARFPEENSQVLGLFAAYAESLGVDLTFQNFQKE VASFPGKYASSQGGALLLAEITDTDSNNNDANADTNRLIGCVGLRYNTPTWCEMKRLY VKPQARRTGAGEKLVAAVIQQAKDLGYEGMRLDTLPDMVAAQKLYRRFGFEIIDKYYD TPLEQTVFMGLRW ACHE_70892S MSLPILLLDGGLGTTLGSPPHNITFTSSTPLWSSHLLLSAPSTL TAVHRSFLTVGTDILLTATYQTSFEGFTRTDPKFTRDDAARYMRSAIPLARNAFGTHP DKPVRLALSLGPYGATMSPVAAEYSGLYPEEMNSEDALRKWHGERLRVFAEDDASWGT VEYVAFETVRRPDEVKAIRGTVRDILPRAKERKPWWICGVFPAEEVDEDDVRRWVRAA LGDSDSLPRPWGIGVNCTRVVNLGLIVDIMLDELRKLIEQGQLVDEWQTTSGRPWLVL YPDGTQGEEYDPATKEWVQKRTEENPQPWDEAYWDAVKKVKPGDWEGAILGGCCRAGP EHIAALRRRVDRNTRFDKESNV ACHE_70893A MSIDPADPSPLEKDTTDDKKEQFAPRNSLPTSDTGQPSASHAED PEQADRETLKEIHRGGKRELLEDDCYDKLGFCFPWYKKWAILSVIFAVQMSMNFNTGV YANAVTGITEEFGISAQAARVGQMIFLVAYAFGCELWAPWSEEFGRWPIMQLSLFLVN IWQIPCAVAPNFGTIVVCRFLGGISSAGGSVTLGMTADMWEANDQGYAVAYVVLSSVG GSTIGPFFGGIIGEYLSWHWVFWVQLIFGGFTQALHFLIPETRATILIDREARRRRKN GEDVWGPNELKEPRLEAKDVLRIWRRPFEMFLREPIVLFLSLLSGFSDALIFVFTESF TLVFTQWDFSTLAVGMSFGSILIGYLIAYFIFLPDIWRQQHIRQSEGSGSRLAERRLL LLLFICPLEPIGLLGFAWTTMGPEYSPWIAPCIFACLIAMANYAIYMATIDYMVAAYG PYSASATGGNGFARDFLAGIATMYSTPMYENIGDRLHLQWASTLLGCIGVLVMIPIYV FYWKGPAIRARSKFAQTLEADRQQHQGRRSSYHKGY ACHE_70894S MDSLDESLKLENDMQKTLKLYNEGKPLFTRAVLEDINHHLEKNK KKVMIKDYLENEHIYDLEVPYWCADTADTVRIKYNGIEALTTLHPDGDKIPWGTRTMT ITYGSHYTADNITVEKVRAAFEHQREAWEQSKTCQHLTRQLENFTPGQVVGITKIVGF ALGPVTHLDSKSVCGIGPSATNALTQHMALLIIADILKERNGGRDVKCYTQDPINKGV GNEFLKTIGITPLDDPKGFLEVDDKTLVVSIHPNVSVRQVIADLQYPAAMLWDTVEEP VKREWEQKTMDDGHVTWIFPYSTDPVSSRVIRMAEQYNQLPFDDADDWFGKLTWYVRK DA ACHE_70895A MATLLDKVKFLCVAGIGLFSDGYLNLTIGLVMPMLGYLYWQDQG GEVPTVSSDIMKGSLNVGMAVGQFVFGVLGDALGRHKVYGKELLITLFGTFMVVLLPW NNFDKQSIVAWVACFRVVTGIGIGADYPMSSSLSAESTPLGSRAVLSLSVFAAMGLGN MAASIVFLVLVEAFQSSVESNIHYAEWVWRLFLGLGMIPAAITLYARWTMPETSPYEK YVSKDTSVKEVAKRGLKEQSRDFFVYFREWRHTKVLFATSASWFLFDIAYYGINLNQS VILSQIGYADGPTPWVTLRNTAVGNIIVQCAGYLPGYFFGIPLPDLLGRTRQQFYACI IVAILYAIWAGVTSHTSTGGLITVFTLSQIFLNMGPNCTTWLIPVEVFPTRIRGTAHG ISAAAGKCGAILTAFAFGTVTDRIGLPGVLGIFSGIMVLTALVTLLIPETRGMTISDI ENEFHFAEHRPLNIFKWPGPWKKEDEGLDDDVSPKLGSVAIIDQGQTV ACHE_70896S MSSADLRDHALGTLPAGNDVAREVSRGAKPPDVSRKITACVACR KQKIKCHMPQGQAPCIRCRKRGLSCTVNRSLQMLIEDHTTWKSAMMKKMQNFETALAK IGERLSMPELQCFSEPPDAVQLSPGSSNHDFEQPPPHCDPQQAWEVVMDPNCGPASIP ASCVSEVHKQASPGGSRSTSPSDLISCGVISIQQAELLFMTYHQRLDHFLYRVLGHHE SLASVRQSSPLLTAAVCSVAALHSDEAGHLFGPCYTEFKNTVSAQMFSRENGLDDVRG LCIGAFWLSKLSWILVGTAVRIASEIQLHRGIYQALQGDKEGYYQTRVYYLVYVCDHH FSVTYGRPPMTRECDSITAASRFLETRNATEDDARLVSQTLIWTVSTHVFDSLGVDVD TPLAASRLSQLRRHAISLDIWYADWSERFRPNEKVGNYPRKGVGLHFNFAKLYLCSHA FRGAPSNGSVQSDMPQELEDIANTAVLSATSILRVIVSDGELQAYLNGLPLYFDTMIA FAVIFLLKVATKYSEIVRIDAAKILDLVRQTVTVLRRITAPMHKQHLLVCIADGIEKL LRKSQELTQMSFDPSTSLENAQQTDPFANGFQWINNMGDFDILSSQTNMSGLGPWSFA FDLEGPSSL ACHE_70897A MASQFQPVTAVEQRARDVVRQLFDFILAQGDADYIGEPISQLEH SLQSAHLAREAGADDETVLGALLHDVGRFIPAADKMPTMIAPDGTYVGRASHEVVGER YLRHLGFSEKVCQLVGAHVMAKRYLTAVDKGYYDGLSNASKNTLRMQGGVYNETQVKK AQEDPYLEAKLQVRRWDDQAKVPGIKTPPLSAYQELAVRCLTNSSMPTVELHSTRYTL PHAPTVVVCVDGFDPEYLEHGIASGIMPTFKSFLETGFHTTAKCAMPSFTNPNNISII TGVPPSVHGIAGNYFLDPETKEERMIQDDTLLRGSTILQLMASRQVKVAAVTAKDKLR RIIGHGLSDAICFSAEKAGSSLLAEKGIENVEGWLGCPAPSQYSADLSLFVIDAGIKL LREKRAELLYLTLSDFVQHKHAPGEKESNEFFASLDRRLRELVDLGAVVAVTGDHGMS DKCTTGNAPNVLFLQDGMEARFGPGCAKVICPITDSYVRHHGALGSFAQVYLGSPELL QTALEYCQSLPQVQLALSSKEGAELLEIPHDGDIVVVSVKDAVIGSRNKDHDLTNLQG HRLRSHGGLAEQDVPLLMSRPVRDKARAATRDWRNYDVFELVLNW ACHE_70898S MSSLTLTSEQLDHYREKGYLTLRVHEHNIVNPDDLNAWEAEVHQ WPRVKGKWMPYDEINANGERQLMRTENFVDYHEGWKSFVCGKDLTWLLQQLSGEEMLL FKDKINYKLPFGNGFQAHLDAPAYDHIGRIEHITANVAIDAATSENGCLEVVPSSHRM NVELQNGGHISEAWERAQDWVPVPLDRGDMLIFGSHLAHRSARNKTNVKRASLYATYH MLSDGKDLRSKYYAHRRAHFPPDHEREPGESYEEGFKTYGFAAPFSKPDATAIPAASS QTVV ACHE_70899A MGLSLRYATEADAPTLGHINVTCFNRQELWGSAYPGLDDETILP AKAARALQKLADPAMHVVVAVETDAPGQPIIGYSRWTIPGAPSPVELSPTGQDFAGAD NLPEGANRRVLEGFQAKLKECRKEHLMEGDLILDFLATLPQYQGRGVASAMLRWGMEQ AAERRVGIFLEATMDGYALYRKYGWEDVYEVVMEYEPLGGRGSQRLMLMRRAP ACHE_70900S MPPKRASRTPQPAPQRVYDLRKTHIPRISPPPEEGYQGRKNTNG VYVPRAAATKAAAIRRRAAAARAARANEEADASPGLPQTRLRTLNRRSTTPQARRSAT PGKRVQFALQNAQPKPSSAAKSNASSQKEALHRRAASTSTEPETTEADEASEASYDEE EVYEEGVNEDHDENGPEDEESGQMDDEGSADEDDNLPSIDDRDVDTEEEASARALYDA KEKYRELIGRRVLDEARRRYPEGVQRQPREVETSDLEAALEDAMRAADYPVGIILNIR INKKPYVKKSLPDSQRRSFNMEDVEKAFLSAIAPTVGEEEYQIMARRVTVKHSSGRGG TTHHDFDDFDTANGSHILSIIDKHHSRHRTGMIEAHFDINVQCDAILPTPKRSRQPEP PSSDIPSSPLPSLQKSAKIDQVACKSSIVLGLIPFVLLATFNAS ACHE_70901S MLRYWEEHQGALNRQSRQPARQTFIQQTKSSLERLAEMQQQMHE RMLEARMYDQMDALEEKQERREERNERRRMEQERREHELAHARLMYMPPHYAAMPYSH GQSPRPMMPISGQYPAAQYPRAPITPQAASRASQKRRSSPIDETTDEYELLESFFYWK NVNTPNPRQKEKWNQVKEIVFQNDWTIQDLKDMEDDASPMYQRAIKAGISDGFTRLIQ RELQAFKRDVRRQKEAHEEELQAIATLGQLGHQTDIEGSEFMRYT ACHE_70902S MDGRNVFIGHFAEENHCSKLLTDESIVEEVIISKTEDYFHQGTW SDETMTFVLYKPQGGDSDWIGIDQE ACHE_70903A MHLLDLPPEVFRPIIEAYVASTCYECDLKNLQLVNKSFYREVTR AICTTRHLFAFINPEPFLPEYLQYVVYSSNKRDDYLARTIKNVTNQLIKYDISEQKGS SPDGRNQRIPLAVSRAVIDFMVAGLGYVIRGEPTRAVSPPCREDPDYIGHIDEYEFDR SMRLGVGQTCDALEHILSAAAYLGNISLVEHLLEQEGVDLNARSNIFGPPLRNAALKG HLEIVKLLLDKGADPDGGSYPRTEEDYQKVERQCGKDVLERCFPDILDCPGTALEAAA RNAHKEVVHLLLQPEFHISRSSSSYRKAIVFAAMGGNADILKMLIGGANFGTLSENSL QTYWDRTLRYAAFCGKTETIPLLLDKGAHINRQYEDEINLGFSTPLGLAAFNGHNETV LFLLQKGADINGGSLHPIYMATCHGFARTVTLLLDQGAEVHPVYSRFMEKAAEYGEAD VVRVFLARNLHQVPGRFDKGECALEIAKSTGHPRVVRVLEEFGVTE ACHE_70904S MKVIGRHLTKELRFGSDGGYWPPEVLDLLGLTKEDKYQSVSIFS NDQIKKPNLQRPVAQNGYIPSHGLILAKSNFKNQDHNEVKNQLLPAEIMLQVCYELAG NKKDDLHWIVRSNIVNEGTKSIIDGAYQKLGKSDKQMVKG ACHE_70905S MFKRPPYSNEDSNEDHSAWMPRRQTPGSDAKWPTLLLEVAFSEA LPRLLIVAKWWFASSEGQVKIGVIMCIRRTQPWIVVEKWKLQRKPRPSHAALKESTKA TYQAGNQDIEEDMEGTGNDDQKVPAKSQEIVITPGPNTTITTIGKPFP ACHE_70906A MTVDTSSESQSPDRKLHEDGVSTWDHDSRNPYNWPTWRKWLTMC ISYWVTILVGINATSFTTPAEALSAEFNIDNSFFEFSFFAVTSWNFTAAVVPLATLPM METFGFRIGYTGAFILFFIFIIPQCVAENYATLVVTRVFAGAFGGTVQNAADGIAANM FFTAQERILPLTLYAFALMFGVTIGPVLGALVEPLDWRWVFWVQLIINGATVPLVLFG LKETRGTVIRSKIMPDEKGDNDQPSAFTTLKETVVRAAMLLTTEPTVTSFTMWSAFSF GLVFISTQSVPVVYAGVYDWPIYSGGLVQVAIAIGEIIGVIAFLFQNQIYIRSAPHNP EKPGVPIPESILHISIPSTVFGLSGGLFMYGWSTLGSHWIVPTIGLTLIGFGIMTIVI SASVYVTDSYAGYAASAIAAVAFGENTFAAFLPLAAKPMYIRLGYQWASSLLAFVALA LALAPTVLLWKGRAIRSKSRAIQRMSL ACHE_70907S MAFSDSQLHLSPRGPSVPPLEHRIKRKPVAPANADNGNASDDAR QKPPLLHISGPSPRPKTSGGSSSAAPLLLSPRPPLTSHVSTSALPKVSLREGSTNSSA ALRPSTPSPGVPRAATDNDPASSSISAVQKAYGEACHFLGGLINHPTESSKHFTILRH SHGIVFYRGSTTSVTVSIFSDAPLPSDRTLWLQSKGYTGKTGMKAKALFRLHGDWVNV TPSVALHADQVDPASERAWQRDITKFRKKAPARVRDAHQLRETVMARIPVEAGDGYFQ LVLCQDVKKKVLCYSPVFRVLSTSADPSSIRGASLSTLPLEFGAMVLSSYAQTVAQTF LSPVASRVQNKVQSYQPSAVKQTAGQTAFSLAGLGDRVGSFLNPTGQDDEGTKAQGDP GNLDQGPVAPFPMDFKARWEPTAAPPQYELQDWPVINLTKVADTVLERLHGHFICWAR FHQSDEKGKPASDWHQSVLSVLNVNSSTMARVDMSHITKRTTTLRILEDIPLPPQVKV QVRVLCFIRPEMPPPNAASEKELLEARQAAAEAARFADTCDASYAQAVLDHPAWGPNI QRSALESRKENMSLLDRTRGGYAAAWTRLEKTPLHWIGVRSETAQKMDQQVTVNGFYI VR ACHE_70908S MKGALELLALSLFAPGIALARPQCKSSPLDASWPSIDEWQALNA SIDGTLIRTAPAASACYPGNPFNVSNSCDEVRKNWSYEEYQAALPEGIDSPMYANNSC LPPGVSGYNPSKGCAVGGSPSYIVEARTEHQVAVAVAWATKRDIRVVVKGTGHDYNGR SSGAYALSIWTHALRNIEYHPQWPLPDGNGTESAIVTGSGNTWSVLYGFANQLNRVVV GGGDHSVGLGGYLQGGGHGPLSSQFGLGADQILQATVVAADGQVLTANNVQNRDLFWA IKGGGAGQYGVVTEYVLKSHPAPSNVVTGSLSVYGARNASADEAWSAVTAVLSSIPDL MDSLPLAGTINVAAGATGKSMVGANYTVPGAVIAPSFYGYNMTKTQLHDVLQSLAAKA SAASNRTGSIIATPGAIQSYPSFLAFFNSTNSSPTASVSSSLMSSRLLGRAELTNLPM PDLKRHLQNFVEGENGSMLLFGLQGGRGPRTVPSHLRGAVNPVWRSVYAHVLSFGAPI NVTGVPSVELPKAGRWSETHREAYWRVWAPDTGAYMNEANTFNTHWKKDFYGVYYDQL LKIKMKYDPSGSLFVRNGVGSDQWDYDLDTGLLCRVD ACHE_70909A MTIDIRPLTPPGSDIKFGAVVDNVDLENLTDATFNTIHDALYKN LVLVFKNQHHLTPKAQYALTQRFDPSSTSYGHGKTLDSKRSILHPDLKTVPHQPQVQI IGHGHIDSYEGLEKIQLKHPHHRTFHRDPIPPEDDHDFTRFYRWHIDAALYDLYPPKV TTLLAVQVPKGRRQTLRYDDGTGDILDVPLGTTAFVSGETMYNRLSEEEKEFVRTSKI EYAPHPYIWMSPARALPTGLGLHSEGLELSDSELPPIDPSKIMTLPMIWKNPVTGNPA LQIHPSAVRKIHRDDGTVIDDLKKVREIVYNLQRPAISPRYVYAHDWEEGDLVLFNNR GVLHSVVGAFGDGEVRLFRQCNLAASEGVE ACHE_70910A MGDKGGPLQAIVEADLLDERYQTTQRGLKNRHVQLIALGGTIGT GLFVASGQAMAIGGPASLLVGYIIISVIIYSLVTAVGEVASYLPVHGASMSYHGFRYV SRSLGFAMGYLYWYSLAILMAYELTACSLIISYWNTSINVAVWISIMLVVVVVLNFLP VRGYGEVEFWFAGVKIITLLGLFLLSFILMLGGGPDHDRKGFRYWNNPGAMNEYLVGG NAGRFVALLATIQKSSIAFIFGPELIVLSGSEMVSPRQNVSRAVRNFVYRLVFFYILG ALAIGVICPSNDSRLTSGGAGAGSSPFVVGIKNAGIPALDHIINAAILTSAWSAGNAF LYMSSRSLYSLAVSGNAPKVFKTCNRRGVPYYAVGASSLFAGLAYLSVGSSSSVVFNW LVNFTNTSGFISWTCCCIVYFRFCRAVQVQGIEKPYESRLQPWGAYIGMAGSILLMLI NGFTVFFPSEWSISNFFTAYIGIPAFLALYFGHRIVYWRDPWAWKPEDVDMQTGLQEI LEAEEPPRPRRTLKEKLSVLVD ACHE_70911A MGVESSAHHGENISPAPQPESPAQKPMDVGVDTHIPWLTLRTFV MGAFVSIGGLLFGYDTGQISGFQEMSNYLERYGELQSDGSYAFSTVRSGLIVSLLSIG TLIGALCGAPLADWLGRKWSITLWCIILNVGLVVQISSPAGKWYQMVVGRWVTGLGVG GCSLVVPMYQGESAPRHIRGAIICCYQLFVTLGIFLSYLINLGTENLEGSTAQWRITL GLTFLFALALGGGMLFFPESPRFDYRHGRVDNCRRTLAKFYGIPENHNRIIEELNEIQ EQLDAETQEQKWHEFLTAPRMFYRIILGMILQSLQQLTGANYFFYYGTTIMQGAGISN SFVTQVILGAVNFGTTFGGLYVVENFGRRKSLIVGAIFMFVCFMAFASVGHFAFDHVT PENTPGAGKGMVVLACLFITGYAMTWGPMIWAICAELFPSKYRAKGMALATASNWLWN FLIGFFTPFIAHEIDFAYGYVFAGCLFFGIFVVYFCVIEGRGRTLEELDWMYVNHVAP WKSESYEIPRNELTYDTTPRKEQEVSHDENA ACHE_70912A MATMKALVYTAPGTIELQTRPKPTIEAPTDAIIRIQHASICGTD LHILKGDVPSIQPERILGHEGVGIVEEIGASVSKFAVGDRVLIASQTCCGGCRFCQRG IVSHCDEGGWRLGHRVDGTQAEYVRIPHANLSLHQIPAGIPGRIAIMLSDVLPTGMEC GTLNGKVTPGGSVVIIGAGPIGVGCLLTAQLYSPATLVMVDVDEARLEQARAMGAQTV NTRAPDAKERLDRLTDGQGFDSVIEAVGIPATFEQAQELVAVGGSIANVGVHGVKVDL HIEKLWDRNISINMALVNATSIPRLMRLVQSGMLDVSSLVTHSFPMVQADQAYSTFQA AAQHRALKVTIDME ACHE_70913S MAFSDGRSRGISLGLRIPALLLNILSIICFSYAFPEGMLIWLIL FSIVALWSLIDLILLLDYRDHHPGIDLGLDLLSWLILGIMGLIAIGLYFTTTGTAGLG LPDYCLIVLRVGAILAPIAAVFHLVLFIRACIHVHQTRREGKKLNYKITEDNRI ACHE_70914A MAQPSAGRTHSNVKGAPDYDHATYWDIKFATGQDVGEWLNSGDA VLEAVLAGLEDRYGGSGMEIAPRALHLGPGISKLGTKLQEAFTSRGWLSNGIVNVDFS AEAVRLGQEAEKDQHPSRAMQWIRTDLRSWTDVASLTPLAPFDVILDKSTSDAIATAE PQVFMPSPDPVLCPAVREIVEQNGETELSPVEVLALHLVPLTRAGATWAVLSYSMSRF DNIRYIGRYWEVASRTPLKAPTGGISSSAHTPEVFHWLYVLRRI ACHE_70915A MADAVMAESQGHGGHASADSNLENLGYVPELSRNRSTWQVMFMS FIMASVPYTISTTMTYTMTGGGPSNMIWGWVTICLFMLCLGASLAEITSVFPTAGGVY YQTFALSPPWCRRVMAWICGWCYFAGQVTITLSVNFGTTLFFIEALNLFKYADGTGFT ENFEAWQTYLIFLGITLFTHILPSIGNKWLTYVELFAVFWVIGGIIATIVTILVVADH GRRPGRWVFGGFEPHSGWPDGWSFCIGLLQCAYSLSATGMVTSMCEEVRRPAVQVPNA IVGAVVMNMVAGLTYMIPIAFVLPDTSMLSELSNPIPTIFLYATGNQVGAFCLCIPII ILGICSGITCVTSTSRCTWAFARDRAVPGSRWLKIVNKRLEIPFNALLLGMVIELLLG LIYFGSSAAFSAFSGVGVIFLTLSYTLPVACSFFLRGRKDLKYASYHLGKLGVLCNVV SISWCLLAIPLFCMPTAIPVSRDSMNYAVVVFVGIIIISAVWYIISGHKNYKGPPSEG LHIDSGESQEKFEPNKIPPPQ ACHE_70916S MKAFGVDKYGPIENLCEKELPKPSDPSPRDLLVRIKAVSVNPVD TKVRNGTYDDYPNYYDHVPRPFQICGYDAAGVVEQTGCKCSLFEVGDEVFYSGSPIRQ GSNAEYQLVDERSVGHKPNTLDFAESAAMPLTYITAYEALIERLEITGGEKAALLIIN GAGGVGAVASQIARTVLDLPYVITTASRPETTEFTKQMGATHVVNHRDDIPAQIAKLD LDVPLKYIFITSSTDQYMSTCGKLCAPFGKVCSIVQGQVNMYGTDFLSKSMSFIWCLL GTKPYHHVDIESHHHILEELARLIDSGQIECHLGTRLELTLDRLKEAHNIIQSGKAKG KVALEF ACHE_70917S MSITINPTSTKPPTRDNANPPAHLPVAKDAKQHPSKAGGENASV YFVGTATTILEWEGIRVLTDPNFLHAGDHVHLGPGVSSARRTNPSVDLHDLPRIDLVL LSHYHADHFDQKVEASLRRDLPIVSTSHAKKALSSKGDDSFSNVHDLEPFQQLMVNVK EETVQKQPRIRVTGMPGKHVAGPIEKINEYVSAIPPTNGWMVELGYETNDTFHTGYRI YISGDTLMVNDLKEIPEHYPDHPIDLMLVHLGGTTVPSPKMSPLAVMVTMDAKQGIEL LRLIKPDVTIPIHYDDYEVFASPLEDFQKLVQQEGLGGGVVYLDRGEKYQFCVRS ACHE_70918S MDSPRRVRNRVACRRCQRRKIRCDGNLPSCGSCQKAGVDCVNDG KQEVHRLYLANLENRARWLESLLKENCPEVDLSSAPRVSAEQPQTAVPSSYSEVDSGF AQSPPQGQQSRTDHVTMAHEPYREPSTAAIPRTVNDEPQQGHEIGLVSLSSGGGPCYI GPSSGYFFARRILCRPDRRKNRQGSVASVAGSSSLSAELLNSPATLPPRKENAIELST RYFQTIHLMYPFLHEPSHMDAIGRLYSSQENRPSDLFQVFMVLAVAALDLSRQYKVHL PVEGYYSAAMDYVEHTCGDGSVTGLQSLLLLMVYALHNPSCSLNIWNLNYQCLASVID LGLQRDVRASSAFSISVLEQEMRTRVFWVAYTFDRVICTMMGRPIGVRDEACELRFPF DIADSDLIVANDPQSQHIQPSPLSYSIHLFKLARMNSEIKYIMHSISRDTPAYAYPPI KDIFSWQRDMIHSLQDWLCQIPQRSGRDCDRMAQLCKIRYHEIMILLLRPSPAIPHPP EESFDLCFHHAVDLLRGFGELYRSGSLLYNRLVVHSILLSTFVMLYCIWKVPSTAAKC RVNELAADFNTSQNILSSIGEYWTEANRARDCVDEFSNVTLQRLLGNDQATTSRSSPI ANRMRPHLGSTHASSSSTEVHRDIGRENADYGQVGSNIHQQLDYTESTAGTHDYINLF DDFLQGDFQDWSGMPDIDGLMWEFFH ACHE_70919A MTLIHIVLFKFRPDVTHEHKQTFVAELKKLKSLSCVKAGRLVVG GPSVTDPIERSKGFEIALVSYHEGREALAAYQASDEHHRVTSTYMFPYKDDLMRFDFE VDQEDEHMFSFPVLNST ACHE_70920S MTSLFAVKHSTHNMASSEYANIAAERWTSLPAQFRRPETSGHSQ NQKRGRPLDSFLEGPVYVSTVALLFVTDIPYGRIFSINPVKAECSLVIEYDGEPNGTA WIPISRTIVIADFKHGILSLDPETRELKTLASSYHGERPKGPNDLVFSDHDTILFTDQ GMTDLYDPTGRVY ACHE_70921A MITPVALSSIGWKYYIVFAVLFASVPLVVIPFFPETMNRNLELI DFVFREAATIWDIVPMARSLPKGDSRTEV ACHE_70922A MFNLQGKVVLITGLGQTSDEGWGIGAAIATLFARQGASIYGGNR SLESAERTKRRIEEEGGICDVLSTDVTDSASVKALVDRCLQKHGRIDILVNNVGRSEP GCPATMAEDVWHSQVDINLNSVYLTCHHVLPIMERQESGGAIVNIASIAGLRYIGKPQ VAYSATKAAIMQFTKATAVIYAARRVRLNTVVPGLIYTPYTQDLAKRYAPGGDEEAYM KKRDEQVPMGRMGDAWDVANAALFLASDEAMYVTGQKIVVDGGITSSTGRV ACHE_70923S MLSRPIIGIINTASGFNPCHGNANQLLEAAKRGIHLKGGIAIDF PTISLHEGFSHPTSMFLRNLMSMDTEEMIRAQPVDACIMIGGCDKTVPAQIMGGISAN RPVLPLLTGPMMPGSHRGKRIGACTDCRNNWASYRAGTIDMEEIAAINEELAPTIGTC GVMGTASTMACITAALGLIPVQGAFAPAVSAARIRIAEQTGANAVAAAQNRRTPQTIL SAESFYNAAIVLQAIGGSTNAMVHLIAIVNRHPGVKGLINLNTLDDVGRRTPLLVDLK PSGDNYMNDFHNAGGMLCLLHRLRPLLHLSAKTITGETLGEFLDRNAFRDFEYSLSII RTLSDPLHVSSSLIVVEGNIAPNGAVMKASASKDKRLLRHTGPAVVFENSSDLASRLD DSDLDVTADSVLVLKGIGPIGHPGMPEAGMIPIPRKLAARRVADMLRISDGRMSGTAG ETIVLHISPESAIPGSPFGII ACHE_70924S MTESSSPIYHIRPSDLKTFVHDVLVGNGVPSDNATIVADCLVQA DLRGVDTHGSNRIPSYMERIRQKVLDASASPQLQQITPVVALVDGQNGFGFTAAHMGM QRAIEMAAKFGIGMVSIKHSNHFGMSAWVVQQAIDAGMLSLVFTNSSPALPVWGGQSK LMGVSPIACGAPAGKERPFILDMAPSIAARGKIYKALRRGEKIPEDWALDAEGKRTDD PAKALQGVMLPMGGPKGSALSIMMDVFSGVLSGSAFAGHVTNPYDPSKPADVGHFLVA IKPDLFMSMDEFKERMDYLYQRVIGCEKMEGVDRIYYPGEIEQITQDTRLAQGIPFTE TEIASLNKEAEAVGVGKLQHD ACHE_70925A MMTRFSNRLAISTSSLGLHPSHTLDQKIQAAAQHGFEGIEIVYG DLKIYSDRNNLSVAAGAEHIRRACENHRLAIIALVPFENYEGSKSPLRDRLTIAGHWI NIARILRAAYIQVPSQYNRDCLGDEILIVSELQQLADLASAAAPVISIAYEPMSWGIH YPTWESSLRLAELVNRDNFGICLDSFHVATKLWASPFEPSGQYPNGARDLAESLHRSI TQIPMDKLFYVQLSDGERFDPPFSKAHPWYIEGEAPEFTWSKHARPFPLETELGGYLP LGKIVQAWLIDKNYTGWVSLETFDRRMRDENFSPETAAARGQDSWRKLQALPFGSGNN SKI ACHE_70926A MYRISNIYVLAAFGTIGGALFGFDVSSMSAWIGADQYLEYFDHP DSNLQGGITASMSAGSFAGAIAAGFISDYLGRRLALMIASVVWIIGAVIQCSTHSVAQ LVAGRVISGLAVGVTSSQVCVYLAELAPARIRGRVVGIQQWAIEWGILIMYLIAYGCS VSVAGPAAFRICWGVQAVPGLILGLALIFFPESPRWLAGKERWEECLDTLALIHGNGD RNHPMVQAEFEEVQEAARVAHESQDISILALFGPRIWQRTVCGISVQVWQQLLGGNVA MYYVVYIFQMADMGGNQSLTSSIIQYVIFLVTTGVVLPFIDKAGRRMLLLSGSILCMA LHFAIAGTMATYGHYADSVNGNENLRWVIAGAPGKGVIACSYIFTGIYGLTWAPTAWI YSSEIFPLKYRAKGVGLSAAGNWIFNFALAYFVAPAFTNIQWKTYIIFGVFCTVMTLH VFFLYPETAQRSLEEIDYMFDTGVKAWKSHDMQVPQREQDVKKTEDVVHDERV ACHE_70927A MPLIACFHGGGSNGEIYRQQCAQLERLLEPEFRLVFFDAPFERS PGPGVLPAFRDYGPFRSWFTQDDTGTEVSDGSGYDRIGRDGVERVLDLMERQGGAEDW VGAMGFSQGTRVVGGLLRDQQRREILGETGRIRLAFGVFCMGGGAPMEAESGHRTNAS DPFDSITLPTLHVHGLRDPFLHLGVQQTETYFNPQSRTVLEVDYHHAMPWVRSEVQQL ASMIRSLYCP ACHE_70928S MVPSLLFGALAGFFFLVSCRRYVVCLARRQSQRRVVVKGHTFTD RADDESTQQPRRIEKVRRACFLNTGGENVSALTALVLAVRNPDVQFDVVDRDVRRIAA WNSDCIPVSEPGVDALLFDDAAVEETDEMLYSEEAHRKRRLANVMFSTDVTGCIAAAD MVFLGEDTDTNVSFSMLVVRKYADVQTIRTIAQVSSGHKVIVYKGRGNTKAIRQRLDK ATTASFDLIANPDFLVTGSIINSLLHPTRVVIGNLADKHSAEAATLLRELYSWISPEC IITTDAWSAELGRIATNAMLAQQSATFHALSSICSRTDANGPHVSQIVATDPRLTIRA IGEAAIIDTDQDILRKDVDCLVYLAQELGLAEVAEYWLCVMRVNDVTNHNVAHGMASC LPDRLGNVALLGFSNGEGTLAGVRLIRSLVQRGIPVHIYDPYMTRREILTSVGCNTGV TVMDDMHQAVSGCSAVVLHTDRGLDREVNWEAVAGLMQSPRFFLDPFQVMENMDQYGF RTLQMGRHYSRPTITM ACHE_70929A MAVTISNSANGINGANGANGANGANGVNGHYNKISEKHSMSGAA KLRHMLQESNDLIVCPGVYDGLSARIALNLGFEAMYMTGAGTTASRLGKADLGLAQLY DMRTNAEMIAHLEPFGPPLIADMDTGYGGPLMVSQAVQQYITAGVAGFHIEDQIQNKR CGHLGGKKVVSLDEYLTRIRAAKSTIDRLRSDIVLIARTDALQQYGYEECIQRLRAAR DAGADVGLLEGFTSKEQAQQAVEDLRPWPLLLNMVENGAGPVITTREAAEMGFRIMIF SFASLAPAYLGIRAALERLKRDGVVGTPKGLGPRQLFDICGLAESMQTDMEAGGVDYC II ACHE_70939S MENELFQKIPSLQVMICRQCKHGVRPVEVERHLKRKHQFKHQSA HQLAQAVQQWEDIEQDSAAIQIPCILNDPLPIIPCEPNGLLCQRQDPPCHYVASSMDT MRKHWRQVHQWSQQTRRGRVGQRERTQGAAELRRSFTTVAWQQIFPSGPGSHYIHIRF PEGHPPTTTTTAPRGPGPTGRRCHHHRMGSGSNGPGTTGRHPGRLDHRC ACHE_70940S MGQLARRSQQTVQRCGTGICMEAARTEAGQTPYRPLQAYMDETS VQKHVQAWQQVLGFIARTQATQAGQGMQEWCGPLPVYGMTARQQRKWQTLWQLAMPTM VRPQQAPHRARARAVHMFPGAGRILEQGGNPGSYRATEGRGVSPGDQPTAGHGVSPEH VEEAEETGNAGSTEPAWMMSPMERACLEFCIELLNQRHRAHEYESPLLARFMVVQKAL WLDPHVGDIIQMWQAQASTANGTVNGTVNGTVNGTVNGTVNGTVNGTVNGTVNGTVNG TVNGTVNGTVNGTVNGTVNGMVNGTPNGTPNGTPNGTPNGTPNGTPASPIAWPLASAD AQLADIDEGCDSASPTRHTPTTVHDRPSFHDHVQQMVSRFMIRGTHGPMQTLLDWRTY GLKIHYNSTAPGHVAWMGADELLYKDLHFTMGEFRGFIHGLVGATRELLCELLCIADG SSSAHTPSTMPLPAIPWQGLYDDPTQGHPGWNFLHDRRTRWPVDGRWWMIQRLRTERP VQQQFMRRGAIHGPLVAQYLARVARFKEKLAVAIHITAGQPARAPELLSVQYVNTPNN QFRNVFIEDGMVTLVTPYHKGFHASNDSKLIHRYVPRAVGELVVWYMWLAMPFIDQLT AWQAGTAHGTVNGTVNGTVNGMSNGTSNGTSNGTANGISNGTLNGTSNSTLNGTSNGT SNGTSNGTLNGTSNSTLNGTWNGTPNGTVNGMSNGRLNGTSNSTSNGTPIGTPIGTQA GTSNAMSNGTTIGTSNGTSNGTLNGTANGTSNSTLNGTLNSTLNGTWNGTPNGTVNGT LNGTLNGTANGTRAGTVDGTSNSTLNGTWNGTRAGTVNGTLNGTANGTLNGSLIGTSN GTPAWQPPSPYLWGPDPGMQRPWTPERFREVLKRETQARLGQALNIPAYRDIAIGISR RFLRASSTFTSDRQDEMEQAAALDADCEDGMDADQWMAHMTDLQAGHSSHVAGMVYGR QLMEQAGTTSHRRAMFRQSSVDWHQFLGFGCGTGVPGDVHADIDAGGLRAGLVDEGSC PSRRPGQEQVRACLVDDPGQERVRARLVNDPSQQGVRARLVNDPGQERVRARLVNDPS QQGVRARLVDDPGQERVRARLVSDPSQEGVRARLVDEGNRPIHHPGQERVRACLVNDP GQERVRARPVLGKRKRAPWQVEAEEHHMERRHQLQTMDMAAALQQMTGQAGMQFQGIQ APAMAAIQQGKSPVVAVMPTGGGKSMLFMLPAWAVPGGTTIVVVPLISLRQDMQQRCR RLGIPCMAWDRQQPCDEAAIVLVTPESAVTPDFHSFINRLVVMQRLDRVVIDECHVIM NQQKNFRSAMAQLGKLVRARTQMDQIDIYRARTSRGNVAYGVWRPPIPHTAPHGYGWE QDARIIQFLQAQLQWARARGEDGDICQPGPPGAGDGGGIGM ACHE_70941A MLGLITTHAPYMPLHITPITCLLVPVIPAMLPPVSIHRPIQIIV QYHPPASPTCHHPHVLLHQLHLRCAQLSLILRPPRRLDNDRLAGLPIPPGSPTFLCII Q ACHE_70942A MNINRAGHPPGPWRRYNIDPATAEPPAPQTPHPAMPGTVAGGIE CRPRPTGAGVGGGLGTGAAAGGGSGSGAGAGVGSGYGSGSGYGLGLGYGCGAGADLGS GCGSGSGCGSGLGYGSGPGYGSGLGSGLGLGYGYGSGMGYGSGYGLGLGYGSGYGSGL GCGSGVGYGSGSGCMSPLLC ACHE_70943A MVLANPPSLCLLTPTPTQPPDSPAAANKTPQTTGYGPPGCAAPT TQSPPQCPRCRPAIPPVAAAMPPECPPPMAHAASSPPWGSKPPSTDTGLLAPTRRISS AASHMKNTR ACHE_80001A MGGWWMGMVDGDGSEAVGQVWRQGLDGFGVDVEDDSGVVGFVGE EHGAAAWVG ACHE_80002A MRRGAIHGPLVAQYLARVARFKEKLAVAIHMTAGQPARAPELLS VQYVNTPNNQFRNVFIEDGMVTLVTAYHKGFHASNDSKLIHRYVPRAVGELVVWYMWL AMPFIDQLTAWQAGTAHGTVNGTSNGMSNGTSNGTWNGMSNGTSNGMSNGTSNGTLNG TRAGTVNGTVNGTVNGMSNGTSNGTLNSTLNSTWNGTQAGTLNGTLNGTANGILNGTL IGTQAGTANGTRASTVNGTSNSTLNGTWNGTRAGTVNGTLNGRANGTLNGTSNGTANG ISNDTLNGTSNSTLNGTRAGTVNGTVNGTVNGMSNGTSNGTLNSTLNSTWNGTQAGTL NGTLNGTANGILNGTLIGTQAGTANGTRASTVNGTSNSTLNGTWNGTRAGTVNGTLNG RANGTLNGTSNGTANGISNDTLNGTSNSTLNGTSNSMLNGTTNSIPIGTLNGTSNGTW NGTRAGTVNGTSNSTLNGTWNGTPNGTVNGMLNGRLNGTSNSTSNSTPIGTPIGTQAG TSNSTLNGTLNSTLNGTWNGTQAGTLNGTLNGTANGTLNGTLIGTSNGTPAWQPPSPY LWGPDPGMQRPWTPERFREVLKRETQARLGQALNIPAYRDIAIGISRRFLRASSTFTS DRQDETEQAAALDADCEDGMDADQWMAHMTDLQAGHSSHVAGMVYGRQLMEQAGTTSH RRAMFRQSSVDWHQFLGFGCGTGVPGDVHADIDAGGLRAGLVDEGSCPSRRPGQEQVR ARLVDDPGQEWVRACLVDDPGQEWVRACLVNDPGQERVRARLVSDPSQEGVRARLVDE GNRPIHHPGQERVRARLVDEGSCPIHHPGQERVRACLVNDPGQERVRARPVLGKRKRA PWQVEAEEHHMERRHQLQTMDMAAALQQMTGQAGMQFQGIQAPAMAAIQQGKSPVVAV MPTGGGKSMLFMLPAWAVPGGTTIVVVPLISLRQDMQQRCRRLGIPCMAWDRQQPCDE AAIVLVTPESAVTPDFHSFINRLVVMQRLDRVVIDECHVIMNQQKNFRSAMAQLGKLV RARTQMVFLTATLPPEMEPEFSQRIHHPQDQIDIYRARTSRGNVAYGVWRPPIPHTAP HGYGWEQDARIIQFLQAQLQWARARGGKMVIYANRVHQVQAMAAVLGCEAYFSGQVDR GGILGRFMGGDSTVLCVTSALGMGVDIPNIRVIIHLGTPRTLLDYAQESGRAGRDGQA SQAIIIQPAGWAEDERHLGTPEVELVQQYMGVVAGWGCRRVVLDDYLDGTVNGYRRQH CGDDGDEQACDGCNVQWRVGHVGGDEAGHEAGHEAGCVGLHHGPGQAVRPVLGLADDP DWRARSATRRSNGRGLRRGMEYDSSRAAGSCSPSRSRSHSPRRSPSHSPSHSPSPSHS PSHSPSPSHSPSHIPCRSHSHSPSPSRSPSRSHSPGRSHSPNRSHSPSPSHSPSPSHS PSPSQHRRHSHSPSPSHSPSPSHSPNQRQHQHPSPSHRQQQRQWAAADIQFRRQQSQA WLDEEFGEQEAQQWRDRCYICAMAQADDQHDLYSCRHARSQAAKQWMLQVRRRIQYSR FSGCFSCGMPQTICAGWEPGGRCQYRGVLIPMVAMMLHGPWGVGIRGAWQRRLVGLQV DGADIGAVIGWLGQRSRAGHSQLFEEFCWLRRVSQEVELGLESGGREMEDWSGP ACHE_80003S MVLTNPPSLCLPTPTPTQPPDSPAAANKTPQTTGYGPPGCAAPA TQSPPQCPRRRPAIPPVAAAMPPECPPPMAHAASSPPWGSKPPGTDTGRPAPTRRISS AASHRKNTR ACHE_80004A MGQLARRSQQTVQRCGTGICMEAARTEAGQTPYRPLQAYMDETS VQKHVQAWQQVLGFIARTQATQAGQGMPEWCGPLPVYGMTARQQRKWQMLWQLAMPTM ARPQQAPHRARARAVHMFPGAGRILEQGGNPGSYRATEGRGVSPGDQPTAGHGVSPEH VEEAEETGNAGSTEPAWMMSPMERACLEFCIELLNQRHRAHEYESPLLPPILSRMIKL ARFMVVQKALWLDPHVGDIIQMWQAQASTANGTVNGMVNGTPNGTPNGTPNGTPASPI AWPLASADAQLADIDEGCDSASPTRSTPTTVHDRPSFHDHVQQMVSRFMIRGTHGPMQ TLLDWRTYGLKIHYNSTAPGHVAWMGADELLYKDLHFTMGEFRGFIHRLVGATRELLC ELLCIADGSSSAHTPSTMPLPAIPWQGLYDDPTQGHPGWNFCMIAGPGGPWMAGGG ACHE_80005A MENELFQKIPSLQVIICRQCKHGVRPAEVERHLKRKHQFKHQSA HQLAQAVQQWEDIEQDSAAIQIPPVVDNPLPILPCEPSGLLCQRQDPPCHYVASSMDT MRKHWRQVHQWSQQTRRGRVGQRERTQGAAELRRSFTTVAWQQIFPSGPGSHYIHIRF PEGHPPPPLPPADQAQRAVDAIITAWDQARTAQEQQAVIQADRITDANPWLRRTGWAR YLEGVHPQDLLRLVEAPPRSPGSH ACHE_80006S MDSKNKNCAECTRRGRKCQKQFHSEREWDSLHRDQEKLAFDLEE AQRLWLEHSQKMQEAMSKIIRLQKQQRFLKERGGRMLEHDSKLMEQLDEEDPPSAEDL QELERLADEEEAARLAAVSNNPSLTQMMNSPSFWENFDSAVAGGIPSPTGDNPSSSR ACHE_80007S MVLANPPSLCLPTPTPTQPPDSPAAANKTPQTTGYGPPGCAAPA TQSPPQCPRRRPAIPPVAAAMPPECPPPMAHAASSPPWGSKPPGTDTGLLAPTRRISS AASHMKNTR ACHE_80008A MRRGAIHGPLVAQYLARVARFKEKLAVAIHMTAGQPARAPELLS VQYVNTPNNQFRNVFIEDGMVTLVTAYHKGFHASNDSKLIHRYVPRAVGELVVWYMWL AMPFIDQLTAWQAGTAHGTVNGTLNGMSNGTSNGMSNGTSNGTLNGTQAGTVNGMVNG TVNGMSNGTSNGTSNGTANGISNGTLNGTTNSIPIGTRAGTVNGTVNGTPIGTQAGTS NAMSNGTSNGTRAGTVNGTSNSTLNGTWNGTPNGTVNGMLNGRLNGTSNSTSNGTPNG TANGTSNSTLNGTWNGTRAGTVNGTLNGTVNGILNGTLIGTQAGTLNGTRAGTVNGMV NGTVNGMSNGTSNGTSNGTANGTSNSTLNGTTNSIPIGTRAGTVNGTVNGTPIGTQAG TSNAMSNGTTIGTLNGTANGTLNGTLIGTSNGTPAWQPPSPYLWGPDPGMQRPWTPER FREVLKRETQARLGQALNIPAYRDIAIGISRRFLRASSTFTSDRQDETEQAAALDADC EDGMDADQWMAHMTDLQAGHSSHVAGMVYGRQLMEQAGTTSHRRAMFRQSSVDWHQFL GFGCGTGVPGDVHADIDAGGLRAGLVDEGSCPSRCPGQEQVRACLVDDPSQERVRACL VNDPGQERVRARLVNDPSQQAVRARLVDEGSCPIHHPGQERVRACLVDDPGQERVRAR LVDDPGQERVRARLVDEGSCPIHHPGQEQVRARLVDEGSCPIHHPGQEQVRACLVNDP SQERVRARPVLGKRKRAPWQVEAEEHHMERRHQLQTMDMAAALQQMTGQAGMQFQGIQ APAMAAIQQGKSPVVAVMPTGGGKSMLFMLPAWAVPGGTTIVVVPLISLRQDMQQRGR RLGIPCMAWDRQQPCDEAAIVLVTPESAVTPDFHSFINRLVVMQRLDRVVIDECHIIM NQQKNFRSAMAQLGKLVRARTQMVFLTATLPPRWNRSSASAFTTHRIRSIYIGPARAA AMWHMGCGGHRFHTLHHMDMDGSRMPGLFSSCRRSSSGPGPGGKMVIYANRVHQVQAM AAVLGCEAYFSGQVDRGGILGRFMGGDSTVLCATSALGMGVDIPNIRVIIHLGTPRTL LDYAQESGRAGRDGKASQAIIIQPAGWAEDERQLGTPEVEGSWCSNTWGWWQVGGAGG WYWTIIWMGR ACHE_80009A MIKLARFMVVQKALWLDPHVGDIIQMWQAQASTANGTVNGTVNG TVNGTVNGTVNGTVNGTVNGTVNGTVNGTVNGTPNGTVNGMVNGTPNGTPNGTPNGTP ASPIAWPLASADAQLADIDEGCDSASPTRSTPTTVHDRPSFHDHVQQMVSRFMIRGTH GPMQTLLDWRTYGLKIHYNSTAPGHVAWMGADELLYKDLHFTMGEFRGFIHRLVGATR ELLCELLCIADGSSSAHTPSTMPLPAIPWQGLYDDPTQGHPGWNFCMIAGPGGPWMAG GG ACHE_80010A MDTQRAVGLAKFFGVPTRRLDTPIGTKGYDGGTGSTITHAIICH LLIDGRRFLNQPFLIANLGQHDVIVGRKWFDSQDVWLNVKHRKLVWPEQRSLLDEIQC KQYLVAPKQILQHSKPDPIHQADMERRDRQIEKKEQRERYRVPQKEESDRRMNMAKMS RALRGQSLLTDQSMESEDLSRPVMANQKLQRETTSHQVAQIDIAAIGAAPFQRHLKKK DTEVFIASLSEIDRIIEEKREEDRQKEDHYEEELVQQLLPKQYQEYADVFSKAASDEL PLRRANDYQIELEDGKTAEREVGYSPLYKQTAEELEAARDYIVDNLHKGFIGPSAAPF SSPILMARKPGGGLRFCVDYRKLNAITRKDRYPIPLVNELMERISGAKIFTKLDIRQG FHRIRLDPKSEDLTTFRTRYGTYKYHVVPFGLTNGPAAFQRFINDTLMDYLDEFVTAF VDDLLIYSKDVVEHELHVKKVLERLRAAGLQASIKKCEFHVTRTKYLGFILTTDGIEA DPEKTAVIRNWAVPTTIRGVQSFLGFCNFYRRFIKDYSRVAKPLNHLTRKDVPFTWIG QHQEAFEELKRRLTDAPILRHYHPELETKLETDASDGVVAGVLSQKHGDLWHPVAYYS KSMSDAERNYEIHDKEMLAIIRALQEWRTELEGLQLRERFNIYTDHRALEYFMTTKKL NARQARWAEFLSQFYFLICYRPGRENTLADALSRPSTEVQRKDDYRQQILLKPESVEQ PMETNQINECAEVHTINVLEPTLQVVDRVLSANRNSTTAEEHREEARTGRNDWNLQDG LLLKGNRLFVPDDDPELRTRLLDEVHAQVSTAHPGRTKTQQLIRTRYYWPTWRQDVER YVRNCSKCRRAENPRDRAPGLLQPLPIAERPWQHISMDFRSFPADKRGFDAALVIVDR FSKRPISIPCKKTATSEDVARMFIEHIYRHRGPPLTIVSDRGPQFVSAFWNEFCRILG VKLKLSTAYHAQTDGQTEIVNQHIVNRLRPFINRHQDNWSELLPLIDFAAATLPSEST DASPFLIDCGYEPRTSFDWTPVGEDLPGMRRLADDGRRKLSKEWRRHGQQWAIRLSKP RIDRRRKQTVDVALSILMWVIRCGSPFVNIKQTDRTRSLTARWLDPSRYLNRWVTPTG ACHE_80011A MLWQLAMPTMARPQQAPHRARARAVHMFPGAGRILEQGGNPGSY RATEGRGVSPGDQPTAGHGVSPEHVEEAEETGNAGSTEPAWMMSPMERACLEFCIELL NQRHRAHEYESPLVCAMAVLGWGETGWRDPDSYPPILSRMIVTAQLSAFMNIHCQHGK QCHESNRDKQLLSEATHNHI ACHE_80012A MAQMLVAQRAVQMADHGQVEHPADALEAMRERFLLPGVAAPFNW LTRLRTFGKRIQNTTTSLGYIYWSDDQQTLSYKELHLTMAGLRGFVRTQVELAQLELE GLFLLHEEETREAVVPRLALVELADDPTNNRRGWNFLQDHRTRAALPTTGEQWLMDRV VATDWLRAEWVGVRPHDHQVMWHTTVVDAYLGQVDQFLERLLLLMHLTAGQPARATEL LGIRHSNTVCGQHRNLFIEHGVVSLVTAYHKGYSMTGSTKIIHRYLPAEVSELVVYYL WLILPFARAVQALAHGTRQARSPFLWPRGPNLAAGAWDSGRLRGVLQREAHIHLQTKL NVISWRHAAIAISRAHLQCGGFKRDYSADDGLIDQQAGHGSWAAGTVYARGLQEAPGH IQARRVQYRAISREWHAFLGFQVSLGPRKRGWGREKGRSQQQSGSGSSSRM ACHE_80013A MDLFLYNDTHRLWICGPCGFAVRPAHLAAHLANRHPKHPSAATP ALRRAACALMLKRPCWDPAREPDRPVPPPPAPGSPPVPGLPVHPGYRCPHPDCAYIVC NPESLLRHRTRIHADRRPRGRQPPASQVSPLPPYRTVSCQRFFPSGAGSGFFQVTPPA HTERARQAATMGEVEFIRRQVAGALAEDAAAAEAGAQQVPDPDAKAPTEISPWLELTR WPEFLHGHAFTAVAPLAAPPDPTAEPLLTVFSASVERLIEAAYQSIKTRRINEFDQIR INSFLQRPRVWDRPILIQLRPSTYRAYRQVWQRLICFAYRTSRPNAAVQLGHQLTTAQ LAALDRMETAAAELLSLPSPPLCTPGPGAADHPPWTTGGGPWVVIQTPRGGSGSGPGG GPEDRAAPCSI ACHE_80014A MDTQRATELVKFFGIPTRRLNTPIGTKGYDGRAGSTITDAIVCH LLVGGRRFLNQPFLIADLGQHDMIIGRKWFDSHDVWLNVKHRKLVWPEQRSCLDDIQS KQYLEAPKQILQRPKPDPTHQADMERRDRRIEKEEQKEQYRVPRKEEADRRSDMAKMS RALQGQEISTITTNSKPQGRTTDRSAIQIDIAAIGAAPFQRHLKRKDTEVFIASLSEI DRIIEEKREKERQKEDHNEQELVQQLLPRQYQEYADVFSKAASDELPPQRTNDYRIEL EEGKTAESEVGYSPLYKQTAEELEAARDYIVDNLNKGFIGPSAAPFASPILMARKPGG GLRFCVDYRKLNAITRKDRYPIPLVDELMERTSGAKIFTKLDIRQGFHRIRLDPKSED LTTFRTRYGTYKYHVVPFGLTNGPAAFQRFINDTLMDYLDDFVTAFVDDLLIYSKNAM EHELHVKKVLERLRAAGLQASIKKCEFHVTRTKYLGFILTTDGIEVDPEKTAVICNWA VPTTVRGVQSFLGFCNFYRRFIKNYSRIAKPLNHLTRKDVPFTWTNVCQEAFEELKKY LTDAPILRHYHPELETKLETDASDGVVAGVLSQKHGDLWHPVAYYSKNMSDAERNYEI HDKEMLAIIRALQEWRAELEGLQLRERFNIYTDHRALEYFMTTKKLTARQARWAEFLS QFYFLIRYRPGRENTLADALSRPVTDIQKKDEYRHQILLKPETVEAPIQVNDLEPALQ VVDQILKANRNSATAEGYHKKAQEGKDDWTLQDGLLLKGNRLFVPDDDPELRTRLLDE VHAQVSTAHPGRTKTQQLIRARYYWPTWRQDAERYVRNCSKCRRAENPRDHVPGLLQP LPIAERPWQHISMDFRSFPVDKNGYDAALVIVDRFSKRPISIPCKKTATSEDVARMFI KHVYRHRGPPSTIVSDRGPQFVSAFWDELCRILGVQLKLSTAYHAQTDGQTEIVNQHI VNRLRPFINRYQDNWSDLLPMIDFAAATLPSETTEASPFLVDCGYEPRTSFDWISIEG SPPRDEKISRQRAQGTAKKMKNIWTAVAEQIKHAQDQQRKQADRRRRPVDFDIGDKVW LSLRHYQTDRPNKKLDSQMAGPFPILERVGNSYRLELPDSMKIHPVFSPDKLRRAAND PLPGQMTEPPEPIVVADEQEWEVEEVLASRLCRRRLQYQVKWIGFDEDRTWYPATNFK GSPHRIRDYHQKYPDRPGPPCRLQEWLKAWEEGVDEIEDHPDDNMPTQSLGTDSA ACHE_80015S MSERRPVECKRIPSTQKCGHCVRSGRKCERDVHAESEWKRIDRE RERIASQLEEAERQSDELLMKVMRLRKQKRFLESRNLKMLDNDFGALEGMGEESSVPD EDLQEFERLLDAEAAQLAATSNNPSLTQMMNSPSFWENFDSAVAGGIPSPTGGNQSSS R ACHE_80016S MASVKPPFTLESATLKVKAAQDLWNTQNPINVCKSYTADCIWRN RTCFFRGTEAIQTFLTAKWRREKSYRLRKELFAFTENRIAVQFWYEYQDAEDGYKWKR CYGMEDWTFDEEGKMQKRMMSGNDLLLGPNGDGSGRWFVDGADVNEVKISEDHW ACHE_80017S MTQPQLRVLIVGASIAAPTAAYWFAKAGAKVTVIERFPHLRTNG QNVDIRTAGVSVMRKMQGREKAVRAKTIPMEGISLIRDDGRSYGTIRATGNPDQQSLV SEYEILRGDLARFIFDMTNHENVNYVFGEQVVSIQQHEQEYGPVTVEFMNGLPTSQYD LVVACDGSASRTRAIGLGCGVRDHIEPVNL ACHE_80018S MWLSITRGVDWKWKQVVKDMFAANDFYGSEIVQVKTPTLSKRRF VLVGDAVYAPGPTGGGASLAMAGAYLLAGEIIKHNGYLAAGFRGFEQQVRPLINDLQK ILPLVPTAFAPQTAWRIWLRTMIFFFSFICWSRILEFFQKVFQWLIRSH ACHE_80019S MWTTTSGLRGRKLRIAITITSVLGFSLFGYDQGLMSGIISGDQF TQEFPPLYIPPKADPSYSAAYSQHVSVLRGAVTACYELGCFFGAIFTLMYGEKIGRTP LLVAGGIIMVVGAVISTAAFGPQWGLGQFVIGRVISGLGNGMDTATIPVWQSECSRAH NRGFLVCFEGAIIAVGTFVAYWVDFGLSYVNTSVQWRFPVAFQIIFAIMVTVGALMLP ESPRWFVMRGRDEEARHVLAQLNDADPDSESVLTDFNLMKADLRSTQENKASWKTLFT FGKTQEFQRMIVGCSGQFFQQFTGCNAAIYYSTLLFQQNLNMTGRLPLILGGVFATVY ALATIPSFFMIEKVGRRKLFLIGFLGQGLSFIITMGCLVHGTPENSKGAVVGIFLFIC FFAFTTLPLPWIYPPEINPLRTRTMAAAASTCTNWITNFAVVMFTPVFSDASPWGIYL FFALVNFCGVPFAWFFYAETAGRDLEEVDIIFAKAHVEGKWAYQVANELPKLNSEQIA QMSNDLGLSLPDAGVNYNAEKAEIAITSNDSQEKQVSD ACHE_80020A MHFHIFGQSISQSLSPVIHNTAFAALGLSHHYDIRDCARLSDVE HLIIDNEFGGASVTMPHKLSVGHYCNDTSDAAMKIGAINTLVARRDDTGVRTIYGDNT DWTGLYSIVVGYAPFASSQAPVGLVIGAGGAARAAVYALVQARMKQIYVWNRTVDKAR KIAIDFRDMCSVTAVAHQSEIIEAPDIIIGTIPGEVLPRSAFVDLFRKPKGLCIEMSY KPPITKLLSVARAHSEWMTADGLEVLLQQAFGQSELWTGRDAPQDVMRAAVKFAIGNQ SVENTGNARI ACHE_80021A MPSILLLNGPNLNLLGTREPHLYGTTTLKDIEQSSIELGAKLGA RIEAFQSNHEGHLIDRIHAARGVADAIVINPGAFTHTSVAIRDALLSVNIPFIEVHIT NVHTREAFRQHSFLSDKAAACIIGLGVFGYEVAIEHAARDLVNK ACHE_80022A MIQESSAGKSSDDKERCGLPASRKRTFTAMAGTNENDAQLSENL ASGSYETQTRRLGSVMDPRRGYQGNESLILVGFVGAGKRTLGLIASAALQRELIDYDI LFKQTTGVQPGDYIDTYGPERYQLLEYEITTDVIERKRTGAVLVGFFKVLRGKNSMTL RELCKTNPVILIRRDIDRHPDFLRYQEKFTRAHRVSNILYGRYSNFDFFNITQPDGEG IRAPLKLKQTERDFKRFLNSVFGQSSRLLHSADPLSISYTHALQVPLSWLGDVKSDLS ELECGADAVNVILDGDVENPNTLAHRISKHISFLRRHTRLPIIFDIGTSENPNNKYYE DLLEIGLRQAPDMVMVSLNFTAHADTLTAAKGHAKIIGTWHTSTWSHVWETTEWQAMY EKARMLGCDAIRITSASGSMLDNLECLRIIHAANKSLDIPIIGYNTDAQGRTSVCFNL ILSPVVLPSMPHNGVTLRQAQQALHSSYFLSKKHFTIVGKTVSYSLSPHMHNSAYSAC GMPHVYDSLQVDSLSSVQRLLEEGKRDGIVVSLPYKTDILKMLDEVDPDARCIGAVNT VVIEKDYISDQPMKRPILKGYNTDYIGIMNCIQLNLSPANSVKQGTSALIIGAGGMAR AAIYACVQVGIQNVCIFNRTRENAHKLTEYYDGLSTSGWGKSLSLSVLEILDSPWPTQ LRQPTVIVSCIAPDTPATFSIPEKWLCSNTGGVFIELAYTPLATPFTKQMQSKVSRGW ILVDGSEVLVEQGVAQFEILTRRPAPVYVMRDAVQRRHAAVHSGYPGNP ACHE_80023S MSESERQSKRQRVSQACDYCRKRKSKCDGAQPICSVCRLFKKSC TYGNSKKRGLQSGYVRGLESLLGLFIEHVPGSEMTIRTLLRSDYADASFVGGEFLDRT TERWRGSELAKELEQLLSSDDDDSGPSVSQGNKLPPLEGEQVHIQQEPAIRSSNSGEL QASIAPLSSNFPDTTSSLVDFYFQTTHCWFPVVERRDILQTLHDDGDDTPDVCREGNQ LCLWSIIVYTSRALESDSSGASAPGPDRIHAHICSRLMSDNPKIDLGHVQAVLILALY EIGRGAFKPAWVLASQAYRMRMIVDSHGVQTPGRTQHVARGCLYLDTIISALLDQTPL FPYCNYGKLAELDENGLEEWESWASPSQSATVSARRPLRVLSTFNQITQLMQKLSKVL DDSDRASSPDDTVRDLQEWRSNLKKKHHINNSALTPPMLTLYLTWDFVLLTSFRRAHA IERRWVPLVEGAVDSTLSMLVHYLETTGISGSSPLLRAFAMQAERCLHKIQPMVDGND LSSRVRLGDILQKMEIYQGRRKHTGIENPRPLAQFEQGTVLPSQLITQSGPETGIQNE SSIHNTSLSHPTHTGPQVHDIGAISSFPQMEYPPSEINEFDDVFDDMLTFVQTRRHSE DATAFAQNLGFLSSDFDFTTLTFQSTKTNPPNPLP ACHE_80024A MPCAPAIASMSLGRAWVHALPEKLARASEAGFKGVEIFYEDLEY AAKSYGEASAENLLVAAGEVRKLCDAHGLTIIGLQPFLFYEGLTDRSKHAKKIEKLKL WFRIVRTLGTDIIQIPTNFLTENVTGDMDLIVQDMVEVADLGLQESPPVRFAYENLAW GTYIDTWDWMWEVVRRVDKPNFGCCLDTFNIAGRVWADPTSVTGTTPNAARDLQDSIE RLAQTVDVRKIFYVQVVDAERMQSPLIEGHPFYVEGQPARMSWSRNARLFLYEQEKGG YLPVVNIARAILKDLGYNGWVSMELFSRTMSDPDKAVPFTHAQRGITAWNKLCQELNL ACHE_80025A MENDQREFAYLVGVGVSHSIAPPMHEFIARSLGYNWRFLAQECP TVENAMELFRKPTFAGGVVTMPYKTMIMAHLDGLDDYCVKIGACNTVYRATDDSLHGT NTDWRGIKSCLTSASEEGKGRPALIIGAGGACRAAVYALYEELGCTPIYVVNRDEGEV RTLLEDTKRYGDGLKIAHLRTVEEATSLAKVPYYIVGTVPDSEPQTSTEIAARDILVT ILSSSPEKGVLLDMCFKPRRTRTLKLGEQYGWTTVEGTGIIAHQIDEQYRLWCGEQYS KRIPRQEAWGVLQTAAEESPAINF ACHE_80026S MTTFSAEISDHESNDQTDGNMGDNIHSGGTTTPVPQDLVAVIAG LQRQLQQMEERRIEDLRRLKEELTSPPREPLPQPTIEEIAPQPMADPVRRPRPKLTDP EVFDGRNRSLYRPFRSKLRAKLEVDKEALGNAYDRMWYAFGRLTDGAAMQVLPWMERF AKKGATESQLDGMLDQMDFIFLDRNLEEKAVRDLASLKQNNKPFTVFLTEFNRLLMEA DGHNWPENTKRSYLDNALNREMNTRLETVEKKNGFEDYCRQLQQIADRMEKNQLRYSR NNKHTTSTSPAHPVNTTRASSPPQDMDWEPTTTTSARSQPRRVAKHVSREEMERRRQE RRCLRCGDSTHFISHCPYDSPRNSTRMARSHIHGPELEDEEEQLREQPKLGKE ACHE_80027A MDPQPEIFNLEDFTSSSPETDPNVEGIPVQLTNSKSHSLQTSKI EYIDDLPEYPTSHIHGYSYVVASRGRSQNEMEQLVHEIQYSRRQQHGPRRPVKCPFFN CLVKRWTWKCSGIYACEFLNPFLQSYHHTFVDEDCWDVIRNTQRNIQILEANIGKRNA YSYYRSKNEFFNKGYACIDQLPTCKPVFKRHTQMNVHGEFPPYIGCSNGSNEFLTKHH RGSIQGHTSIDLQFLEDLFNHDIMPPTEECGVFESLASRRKYCDRDHLQGPGRLQHTS CGVIFTALVPVDINECPYILFTSHGIHQHPPPPPSKAPERILQGVKRIIQQIQDPSLT TAQFLRSPQLEAFCQQYGASTLAEIHSSFCNKDRIATIIQKQRLLSYPNGQDINGLLF LQNRDSLINEYIQEKYHDSQGTMVFCAFKDQIDLLSTLSSFEIDMSFKRIRSKEMNEV LFATFMPDQCKIITLLRVFTSEDSTEGYYLLFKRAFHLIEKVSGRPVLFDPIHGSGIH GIIVDMDTKQYTGLGQYLSEIDPQHQDPTWQLQHIIIFCRVHFQRSILKAIGTKNKGS GLWSRMMSLLDCQSEAEYDELIKLLIQYESPAVQTWAIQKKSAVIKAGLNESCSKIQP HYFNILRNHTNAVEQSHQKSYASGKYLTLAEAARNSAKLDKDDILQYHNFQQFNIHHS YRASTMEANYLRHMSRERRGHKRQRLSSTAESERQASSQNFEISHENSRGSSSQVADN ESTTSSRNLRRVASTNILTIEQRRQELELRDLEAEVKRKEEEVRLKQLQNEQLELELI VMGRSLAI ACHE_80028S MVDLHSLPAGSRPENAIRNNGPDDLALERYKLRELAEGWPAYRD ACEWENLKSIFHPDAYIYTTWTGRTFYLDFIAASQRGMNNGAFIMHRVHGSTTDITPD AVRAVTKMKATITQRFVIDGCEVDAEADCRFCFFWEKNIANGEWRARFVRHWYEKDKL LPVNPRKVPQLDEKKLEEYPNGYRYLAYCQEKTMGVEVLRDMPGHRRENDNANGQKHD LLYWQCKQWLDGEAVDI ACHE_80029S MAKHHSSDKCSATVRVPPMGVHNVSQAVAFTNDHTKTLKYQICC GVDNQLIIYNKNCFKFCDTPTNQTEVLSCIKDAGVTKVEAYNSSQESNDDTSAAIPVS TNMMSKSAIGLAAMMVLSMAVGTL ACHE_80030A MYVDTSKLATVALATILSANSVLGAPIVGRSSNLLTRDPRGSHG STSHKVSEVTGAISDGTGAIADAMTLQEYLNQKRSPRGHHSGSGSSTGERVSTWTGAL GDITGMGADAATIAEAANNQKRSPRGHHSGSSSSTGERVSTWTGALGDITGMGADAAT IAEAANNQKRSPRGHHSGSSSSTGERVSTWTGALGDITGMGADAATIAEAANNQKRSP RGHHSGSSSSTGERVSTWTGALGDITGMGADAATIAEAANNQKRSPRGHHSGSSSSTG ERVSTWTGALGDITGMGADAATIAEAANNQKRSPKGHGSSHGGSSSSSSDKALDGLDA AGNIIGIGADAATIAEAANQKRSPKGHGGSSSSSSDKALNGLDAAGNIIGIGADAATI AEAANQKRSPKGHGGSSSSSSDKALGGLDAAGNIIGIGADAATIAEAANQKRSPKGHG GSSSSSDKALNGLDATSNIVGIGADITTIADAANQKRAPKGHGSSHGGSSSSDKALNG LDAAGNIIGIGADAATIAEAANQKRSPKGHGGSSSSDKALNGLDATSNIVGIGADLTT IADAASQ ACHE_80031S MASFPNSPLNAEDLDHQVPLIRLTEGAPDSLASDYIEYTTCLPD YPKTSTHGYSYIVEKKDSLNGKDGIKTILDEVQYSKDEISRKNIYCAFLRAPVLKVRL KCSGVKICEYLSSDLKSITHTSYNNSIWSQMRQAQQAVDLTEPDQNRRNTYTFYHSVL SRFERQKGCLQQLDTCSPGVQYHREPDVNGELIPFVGCVHYLNNPRYHFYRRLLGTQL NVELLKGLFDNNINPMPEECSMILHQKTRRLKCGINHPQGSGALLKLGCEVYFYLLLP IDQKTCPYYIFLSQGVHQHPPPPLTKTPARIIQCLEKIIFNTLTPDMTTSTFLKSPAL QQFCHDNNAFTLADVHQSLNNTDRVTAIIQRQKLLHFPEGQHYNGVAFEMQINPQIKE YIQAKYQDDSEFMLICALKEQLELLLTLKSFEVDMSYKRLKAAKLNEVVFTTYLPNHG KIITLVRVFTNQESPIGYYRLFKRVFQVIANVTGQSVCFWHIHQEGFQGIICDMDNKQ TSGLGKYLYELDPSRTTEEHLRSTIVFCQVHFHRNIVKAVGNHPNQQGVRQRMAGLLT CKCMDDYYKLLDLLQAHETDNADNVFHWAQHKRDPVIAAGLNKHCSLIPSEHWDFIRN STNTAEQTHNKSYAFGRQQLLLPAVKSAWILDKRDIQQYLGRETFSIFHANRTTNMET HYLRHMQRDFSRKRQHSFSSPTMDDDNIQLPSTSGIIPPSLRNEQSSPSVRQSSIRER SWSRQSSSRGRTPTRSSSSALRRVASANIEVQQAQLDIEKEKVEIERERLKLEQERVK LAREQAEVRQLELQNLERERELYKK ACHE_80032A MPSTLADVPAYKAYLDRVPAGTLSLPLIKEGENEETIIHVDELF CRVEDCIRGKKAFPGTNDLRYHVKHYHNVNVARPGTGRPKPEAVKAAVKFFKNIIEGP PSEPAPSPSESTSPEPTTPPGHTKPPFPLTKKGTVSCAAMQRWCKDNGHAVPCPSCAA KGLRAKDCCKNEGHCDNFSLFDPNSLPTDAE ACHE_80033S MSLASNERESNCNRFMAGMLTRPALLSNLFILTMMLLNRTFAAI VAVCTLLSGCLAQDPLPTTYKDPKTGISFDTWNVPGSSGTGGLTFGMALPSTALEDDA TEFIGYLHCAANNATTARGWCGISLGGSMVDSLLLIAYPDRGRVRTSLRFTSGYTMPG VYTGNATVTQISSAVNATGFSLTFHCRDCLHWSQNGTTGSASTSGSMLDFGYAQSIEA PVNPSCPDGIRLARHDSQGTWTALLDSAASESYDKWRALANHTVPTNCTNTRGRNSSV PSPSRLFGNRLFG ACHE_80034S MDHSTVNMTMEMKPDHGHGMAMPAFFTTGTHITLLFNSWRTASL TSYLLALLLLFVLAFFNRFLGVLKFQLDAEIQTLVPDVPIIAPPPASRYPSIPKDHMS PLPRYVKNHNAHDDSDHFPPPFSHTHSSEWNDLISGRSVKPQGSNTRFARMLSGLLIS RESWTWRRRSLHSLLEGARALIGYTLMLAVMTFNTGVLCAVIGGIVVGELVLGQYAQG PGWQDGVCHDG ACHE_80035S MSLFPTVPSAGDFAPLFRLLDDYDAHRSSRGHVSSLRSFTPRFD VRESDDAYHLDGELPGISQENIDIEFSDRRTLVISGCSEREFHNTTINDTPPQSHDVQ GESGDIVRPGEQSVTKSANNKHRYLVSERSLGEFHRTFSFPDEVDQDKVKASLKNGIL SVVVPKVTASGSKKITIE ACHE_80036S MGGAKKKGVVGATSPWPGHSYNYKDHDQCAHAAAILTETAELKQ ITDWTQGLPPRDLFIRFMSSVEDLAAKVRDGQGNNGSEDHDGLKEEVLQQSQSMFNKQ TEEMKALQHSTQTPKVIDSPPAYTPSGH ACHE_80037S MTFTQFPHSLAFLKNKKSSISEKTFFPPPSTPASRGLMTPGSMT PGTMTPGTMTPGTMTPGTMTPGSSDMGKQIQTTLPYPVANSNNVSITDAIGKERQPRP GLGGYLLSEIDTSWTDITLIICGFISGLVDGLSFNYWKSFSDMQTGNLIWAALAVGGK PNDPEGLWIKALIAVAAFLVGSVCFIQGSRILGPRRRINLIFAFLLQTLLLMGAAIMA ERHAISSIPNQNPTIWLQNVAIAFLAFQAAGQILASKWLGYPEIPTVALTALMCDLLL DDKLFQWPLGANPKRNRKFGFIIVLTVGSMTAGGIAKERGLACGLWLAMAMKGALTVA FFFWKEKPPVKEAGLA ACHE_80038S MSSAVLHRDTHFLPKKAIGGKGSYMFLEDGTKFLDSTGGAAVSC LGHGHEGVTQAIKDQMDQLSYCHSAFFGTPVSEKLARFLTDSTGGKLSKLFVVSSGSE AVEAAMKLARQYFLELPTPQPQRTRFIARLPSYDGTTLGALSVGGHVLRREPFEPILA KKTSHVSPCYSYREKKDGETDANYIARLAAELDAEFERVGSDTVCAFVAEPVVGAALG CVPAVPGYFPAMKAVCEKHGALLILDEIMSGMGRCGTLHAWEQEGVVPDIQTIGKGLG GGYAPVSGILISDHIVQTMDKGTGVFRHGQTYQGHPVSCAAALATQKTIQKESLLENV RSMGAYLENQLHQRFEDHPCVGDIRGKGLFWGVEFVKDKASKEPFDPSTRLSFYIQEK GMQPEYSISLYGCPGTVDGIRGDHVILAPPYNVSKEEIDIIVDMFEKVLAVVLKELGL ACHE_80039S MSERRPVECKRILSTQKCGHCVRSGRKCERDVHAESEWKRIDRE RERIASQLEEAERQSDELLMKVMRLRKQKRFLESRNLKMLDNDFSALEGTEEESSVPD EDLQEFERLLDVEAAQLAATSNNPSLTQMMNSPSFWENFDSAVAGGIPSPTGGNQSSS Q ACHE_80040S MAGRPENPTLTPSQVNDEDVRKSVPIRKKPTQEKGIKIYPFTID KLCEENARYWFHVMENQLKAQFSWEAIEYYHEVGRKEFSTILREDVEWFKINLKADMI IEQGLQPVTILDIKDLDNAGLKWDRLKEIFLKSSNAKKAMKLMKMANWTWDSTRMNEK EAYREIKQLGKEFVDMNGGNKITIEELVVLWYLRGLGDKYATLRDTVMSSNVTLDEDY ILNRIDDMMHMKSGSTEKGSRVSNHGNKKKKGSKCYVCGRAGHFARECQSKHEDSESD IEWDQQKPKGRREGRQEHRRGGRQESRREGRQGKSSKQKGRLAGEQDDDSSQEELCEF SSYAAERSELGRFTSEKGSQANGSCPSVWCFDSGATSMSTGNRDIFEKLDMKSRGTLT IASGVQMPILGRGTVKFNLPNGSATVRLSNVIYVPGLTENLLSLEALHVAGFESRGSI RGYTLLKDGKIVARGRRIGKSTYLDTVSYTNALYVKPEQARKCVELNAKPDERTILQL LSRRAVRADDETEQRREIIHQRLGHPGRKRFNWCVETMDMDELKVRKRDKLLDDDCEI CVKAKQVKSQSHLPVPRARRPLQRVYMDYWGPYVGGVGEERYYLSLIDDCTRYSWVFI KKDRTSSSVQNTLELWLRQAERETGKMLLVIRTDNAKEFLALEPWAQLKGIQLEFTEP YTPPQNGVAERFNRFILEVTRALLFNSGISKRYWKYAVVTANYLRNRTTGAKGSGGKT PYELWHGYEPDLTHLRIWGCRVLYHQRSNDKLESRVMEGTFLLYGKSDKQYAVLPKGA DEIRLVTNPKFREREPGYLTMDKDSSAFEAPMMEPATNVNDAPRPTPMAIDVESQQRD AAPLGGKEASDQQGVANGQSRETNESTPEVDGSPLKSASKVDNAGNEADTQWEEQREV DAPLGEGHQKKVLLEGEKRQENLPQSDTGAIDEHQVERRHSGRTRQPSSTLMESRQTE KIYGRKRKAEGEDTGNSDRPAQRLRAHLARLAVATELLIGDREYEATEGARAAREKAG IRIPKSYNEAVNDPIYGSKWKEAIHKELSTLIGFGTWELKPRKEAEGTISSTRWVFDV KLGLDGRIDRFKARLVVRGNEQSDDDFDETFAPVFRLDSLRILVAIAALFGLEAHVLD AINAFAGSDLDKPNCMEIPEGLQDFDPEATRGLVLELKKSLYGLRQSANLWHQKISNF LKNIGFKSITADPSIFLNSRGLIIAVYVDDIVIFGKDVRDINTVKQKLKEFHPMTDSG LVRKLLGIRFTWGRDRSIRLDQEPYAQQILEEFGMADCKPASTPIGPSVKLETPDSSL LGRTEHKLFRRLIGRLIFLVIATRPDIAFAVNQLSQYLAEPREVHLAAAKHVLRYVKS TIGYGLTFGAKGSQGLYAYADSAYANSAKNRSTTGFVFSINGTPISWISRKQSVTAQS STEAEYMAVSEAAKQAIWIRHFLYAIGKGSIFCNVPTTIYEDNQGAIKIADNPVDHPK TKHIAVRYHAIRDHIGNGEIQLAYLPTDKMIADGLTKAANHVSQGRLVEDLGLA ACHE_80041S MRVLVIGGSGRCGKLVIEELLNRGHQVTTLARNPATMGDARPGL KIVKGTPTNFDDVLAAFQADVPAVVIVTLNAPRATDSPFAAPISPPRLMADCNANVVK AMKQFGVRKTVILQAFGVGESWGNMHCVLRLLMSKSNMCHQYEDHDHTDREVRESGVD FVMVRPSRLVETDQTEVKVWPNDGKGVCLMASTSRISVARWLVDAAETTKWDNTAPMI TN ACHE_80042S MPCENCIKSGCPSDCMYNQSPASLDALPKAKRVQLGSEAVDQHP DHRVEPGRNAGVSIIEDLQLRLAKLEELLSVKSPANLGLLRDVPIRSSSSPQSGDITQ LASSPPFLGTLVVKGTRTRYHGQNNRITLLNQFSEAKEFIDQCAKDPTVAGLAKEVRF LQSKSKLSPSSPESISDLESSPELQQLRTYLPPKGVCDKLLGLYTLNFEKTPRTFHVP TFIRQYNEFWADPDNEIYRSGGFLPQLTAVLAVALPLEDQRFKTKHTPSWEYLHMPAV NFVRLWLRKLGRKERTELAMLQVEALIILARRLRLVAPEELWRETGTLVRSAMVMGLH LNLTEYAELSAFQIEQRRRLWITIVEMDLQASIESGMPVTIPEIDFGPLTPANLNDSD FDDSAVDLPPSKNVHEWTDSLAQVTLAMSLPHRIRAMMLVRAVGSGVDLSEIVKQGRR LEECLRLIPSPLKLEQAPKEWR ACHE_80043S MLLNRVLLDVYTRRPLLCLYRPIVMSESRDDRAFFEIQQSCLES SLVILSYQDYFDPSVADLDVFNSTSYWDIFQLFCKNDILWAALGVCGYMKLSTQQQST ALSSPPQPQPGSSPTPRAATHTKASLTRTVENTLDGLTRRIGETGSNLKDVLLLAVVL QSVRARELGQMKERWMQEGATKALSACRQHLLP ACHE_80044S MPLIASIQAILTGNCPFKKAKPVRIENVAIIGAGLSGLILALAL HQQSIPCTIYEARSAPLNIGGAIMLSPNALRILDLLGVYERIRYEGYDFDKLYFRSPA DQPFDEYEFGDEEKYSYRGMRIYRHVLIRELSAMVAEANIPIHYDKKFVRVVSETETD VTWEFDDGTTITATCLVGADGIHSKVRKYLYPELEPKFTNAMGVTAAVPTKQLHVPEG YDLPVTIMNRQHGAFVIAPQQQDGSEVLIGRQKRAPELDCEGWNQLMNDKEWCIDFLR QGASDFPEIVQWAVSEISPEKINLWPFYVVPKLDKWSSTHGRVVILGDAAHAIPPSAG QGINQAFEDVYTYALIVAKCDKDFLEKALKIWQQGRQERVDKVLALNAQIDKRRMPKP AGQEHAEDDKPFELEWLYKSDFNEMVDEWLRKDAQ ACHE_80045A MKTSTVLFALCSLVGIEQAIAAPASELQTRATGTGNDDCDPIQG TLNIRGEDALTYDADCWAMLCGGKDPVMQKIASSYADKHRQVAAGSAASKQPFKNPSS NGIKALPPTTAWGLNQDWDSAEEFPFASTVKGGQGALLFPANKKSQDEQKISLSAFYS SNKIKGYDPAKKGQAGAEDGTWFTIKKFTGPVGPYCKAYNDDDISVCHKKTADPRWGY DPAEYAYIYDHASKTFKYQGK ACHE_80046S MTRFSLIPVEILHSIFSLLNKSDLSRLSRTCKQIHQQATPRLWR SYCNYNQQPYNAFLRAIVTNPGRAKHVEELHTSNVSEDDPREISEDDIQSFQSAVSNL LLPDEFKDRLNEGIKEGYSDPMLALLLCKLPNLKNLFLYRPDICDLICELFGHANSGK FSGLDKLQRFSIETTDVSCAVGTVRDYGGVLNMAHEVQIVQLNDETMSPSRFKEGSSA VEHLHILESGMGTDAMRVFAQSCKTLRTFNYTFGNVDYYDDYFKPQEAVKELERHKDT LEELTMLYDDDRLKQSWYDLTAREWYMGTELQQFTRLKKLRSGMHSLLGLLHPQSAAM ETYPANPQADRERPELVDVLPASIEHLTILYADARIIPHLQKVGVACENRFPNLRKVI VGFCSESTEKDVQFEISGLELSVLYQTPEEREAYVYGRKPYSWVGSQAFRD ACHE_80047S MHHLHACMSDGNWHLAYARQLLQNSARPLEFHQSSTLDAFSAQF LHQNARWETLGLFFSAVSRATLDVSFFPSLYSTEKGQYSLRRMAMKLCDYILDICLSL DCLNDLQLLFQYENFIVHSHVDGDQSYHSWRRIGDVISSTFALGYHENLEAKPDVPPF LTEMRKTASARIYSADKNLAIFLGRPPRMCKRFCHLHLPSSWIGFETLAYGHTPNADG DEEIKATYCGDTRWSVICASLKEDIMEMLRDVKDDTYIERATAIQEKARAEWDSLPPQ FRLDGSLKQFAGNPFERDFVASVRLNHLQVLFLVHLLLLDSPAEPNVSIIEVAQEMLS LVTETILLRDRLVNSGTSLIWKVAHFGLPAAGILLLAMLRQGNNSSTPIPWTKTIQDL NVFVAEVEIGTIIRPEDPNYALLSKATQTIQRFLDSVHSKITPQSRNEDWTALLNQDL WDSEFAFWDNMAGHPSLDPLPEV ACHE_80048S MMSSTQDQRPKATAVPYQGEPLPNVPNDLVIPNVLSLDFDKRLW VSQAPDIWLRPLVFAVSQGYFVNLLRVRKSGILSRHRHTGPVHATTLRGKWHYLEHDW WATEGSHAFEPPGDIHTLEVPDGVEEMVTLFHVTGAYIYVDPDGKPVGVEDVFSKLAS AKTHYERVGLGANYVDQFIR ACHE_80049S MDNHGSHLTYEFIEYCEKNRILLYSFPPHATHFLQPLDGKPFKQ YKHYHGQAVTEAAILGWSDFEKREFLTVLPGIRKETFKTHTIQSAFRDCGIFPFDPSP VMDDLEKQAEPIPDLQIWDGDSTSSGSAQSSPKTIRQLRKEISKARASLDKIDGHLSA LSPGLNRRLERIFSGGLTQAESSDQTAMELDRYLKAAAHQSKPKSRRQVPGLSHSGVL SVQDANRRIGARKKAEEKKEGRRLGQSIRTSLATTHRRYDRLELWMMGIDENADQETI DSILNKNR ACHE_80050A MALQQDASEAELAVMNAFHREAHGCRDTAGQILEQEISPYYQQY NPSVHVAPYTTIIGPSGIGKTFLIQELARKGVYVAYASLASTESGVYPSRSKMADLVA RRDDKENAAVFFNCYIAASLVNIQMCRDIGITATGLFDAMIRVEFADVQSKLAEQVEK LYKHVSDFKPADDATERSQTFEHRVKKFANQGTLAVPLALYEENITSVFVNFLERLNR HEHRGKYVQPSKATKHFDPENTPPAIICIDNARGLFNPYNYSGEGGSFLAFQTALRDQ RVINPLFGLLVDSSSKIASFHCPSPDAKGTFPPIYEIDSMDLFAVRKDRGWEAIRTLT QSAHANVGLEHLYNLGRPLWGAVLREKSRESSLNEAQMQVLDLARTKICGHPSLRGRK PMSDTQALALLSYRMEFDITPDQRLSNEFVANHLRHIVHITGRDWFMRTYHPTEPVLA LASQVEMSTRSKTRVEVLRALYKHVTEGSIILRSTGDPIATLILMFAMDAAQPEGSHR PVKLAIFLSSLFSENVLESIRERSEASDGLRSLWQEGVVFFNQFVRLGVDPDEGTLWR AFHRAAAVLPHVGYNGPNIIIPIFLPNKNKMSYILVRVSNWREEEIDEAFKDDAKNSL KYDAISIDSEKKNDDKEDYGDEYNKDAKDEDEE ACHE_80051S MPQKHRVAVVGSGNWGSTIAKIVAENTKAHTDVFEEQVQMWVFD EDVQVPESSKHRSKYGDKTYKLTKLINETHENVKYLPDIRLPDNLVANPDLPSTVDGA TLLIFNLPHQFISKTLEQIQGKIVPYARGISCIKGVDVSDVTVTLYSELIMEKLAIYC GSLSGANIATEVAAERFCETTIGYDPPPMDLKSDDGSPKDNLIKVDEQRQDKTKPTHV KLQPVPQDYPHVDGKLWDLLFSRPYFKVHVVRDAAGVSLGGALKNIVALATGFVQAKG WGENTKAAVLRQGVLEMVRFGRTWFPQSVDERTFTVESAGVADLVASCTAGRNFRSAC HAVEKGVSVEEIEKTELNGQKLQGLGTAQSVWEFLSKHEKTEEFPLFNAVHGIIEGKA SVDDLPKLLQ ACHE_80052S MADIQPFQIDIPKEVDRLNRKLKDTRLPGRPIVADAGSHYGPPY EWAQRLYDTWINDFDWFSVQSDINKYPQYTTSIENLNIYFLHTRAERPNAIPLLLIHG WPGSFWEFSRVWGPLSRPSDDKDIAFHVIVPSLPGFCWSDGPHRSGWTLQDTARVFDQ LMKKLGYDQYMVQCGDWGHFVGRELASKYTDSCKLLHSNFAPSPLPDGVEYTGREKAT LERVNDWLENHIGYAVCMRTRPHTIGLVLHDNPLGILMWVGEKFIEASNPSNQNDRSW THAILTTASLYYFSNCIMSSMLTYYENVQHDKFAEFVVQPENRIKVPFGYTSFIWDTE PSSRRAVGRTGELVFYKERDDAGHFAALEHPSGLVEDLRELAGGHWRAS ACHE_80053A MAINILAGLAFFFFTIFQCTPINHFWNRLDQDYGKCVDIEILTD IAYLCSAVAALTDFIIGLLPAFIIWNLHMPRRNKIAAGMILSLGCIAGVAVIVRFPYL KYYADKQFLYKTAYIAIWSNIEAGLGITAGSLPTLRPLIRFFREATQGSYSRNPGSFP LSTTLGNNTPLQQSKMAQESAQQLWSGGKDVEAHGTHTVVLGNGRVATAAASEEDLST VMGSRDLGWMRKE ACHE_80054A MVLQGSNVLLTGASMGIGSAIAEALAAKGANLILFARSEDKLQN LADKIKKHHAVKVIYKAVDVQDYTAVEAAVNSSVQELAQVDILVNNAGLALGAPSAFH DLRVSDILTMNNTNINGLMFVTHAVLNTSMIPRAAGTILNITSVTGIEVPPFPGEAVY HSNKAAQEAFTNALRNELSGTNIRVLALRPGCVATNFHSQRVGHDQEMYNSFFEGFQP LEPVDIAESAVYMLEQPLNRSVKALDLVPSAQRSLTVFDRVWNKRNA ACHE_80055A MSESLHPVFRKGATALISGAASGIGLATAHFCYSRGMNLVLLDV NEHSLLEATSQLPSTSGIRTSMFHLDVADAKAWKVLQQGISTMHPSGIDFLMLNAGCS VEPEAGKTAWQDPEYFSRTLAVNTLGYTNGIAALLDTMKNGTESKPRAIVLTGSKQGI TNPPGNPAYNASKAAVKSIAEQLSYHLHSDHPEISVHLLVPGWTYTGFSAGRFPSKPD GAWAPEQVVEYMNRKMVDGVFYIICPDNEVTEVLDRKRIMWSAGDIVYERPPLSRWRP EWKDTAAMEIRDRITL ACHE_80056A MKRRGLNGPVRKRLRVTTGCKTCRGRRVKCDESRPICNNCARKN RLCKYDDAAPSSVGNRTSNPAPLRRSRRAYPQDDDGQDARDSSVSGQQDTSYHSFGAA SLQTHRPRGIERERSGVSLESQRDESNGIDASGVRGLEIPSSVYDSPSPNLAAIFLHV EKPMSFAPSVQSQPVPVISLGPTSRIGDSREDRSIALIVQEQIELSAAEVTIFRNYVE RVSRWIDSFSRDQPFYGKVPIMALRCPVLMNSCLALSMKHSTLKASGEEQRTSENVAI HYHQKAIKVLSTLLMDTECASRDEILASSIILSTYEMFDVVGENFGSHLGGVAFFLQS RRVYGDQCGLQGAVYWTWYRHEIWAALQTGRQMLLDEAYWQPPPLETFEGVCIEDIAN RVIFIFGQCVSFCNSGDTPGEISADEKTEARQRRVTSLDAALEDWELKLPSSMSHFFA EPTASQDQNVPHQFPSLWFMYPQSAIAYQVYHASKILLNLHRPPVPVESRGLGHTQSL WIRRQIERSREQIFLVSNAGVPDTWSLVSTQCLYIAGLVTEGLLERQRTLELIEECQE SSGRRTMCLADELRRLWAQ ACHE_80057A MAVKKKSKVSEDGELDLFLFGGAKTFPGYFPGYSKVLGGALNKF CWNLIKTHPRRSQAGSVTLCSADPRDLPEVNFRFFSEHDNQDRPDDDLTAIADGVEFA RSILKSIPEPIGPMVEEFPGTSIERVDALKQDIKDKAFSHHATSTCHIGADGDPMACL DSRFRVRGVESLRVVDASVFPHVPGAFPALPVYMISEKATDVILEDIELDLDDAVLGE ALEDMVLENSD ACHE_80058A MASNHKVELLPSDYEYVIVGSGAGGGPLSANLARHGHQVLLLEA GDDQGQSLMQKVPAFHIVATEDPVIRWDFFVKHYDDETQAARDPKMTWGTPDGKMFIG VNPPPGSKQKGVYYPRAGTLGGCTAHNALITVLSPDEDWARIANITNDSSWGPQQMKR YFERLERCGYLSEGTDGHGFGGWLETNHADPIVLTSKRTFVEAALHAVPSPSKQFKDD VNSREPQVEGAYELTLPMSERGRRSSARNYLVATANARNADGSKKYPLHIRMRSLATS ILFSGNDGKPKAIGVEFLEGKSMYKADPTFDPKHAGIKRRVFASREVIVAGGSFNTPQ LLKLSGIGPKEELQKFGIPVRVNLPGVGYNLQDNYEYPVIYRCDQNLSIFQDSLFGSA GESVSHPMGPGGQRTV ACHE_80059S MDRERSDVSPGASTPGTSRGKPRVSLACEQCRTRKVRCDGQNPC VGCRHSGTSCVYRNPRHEKKQAVRRANRRLPAVIVSSDNVGSSLDLAAPTLEAPRIDA APARLLNDPVHYKRQRELRAGIGVSNKDTGSFQFYGPSSHFCFIQRIYQRIKRRTHET LLTPQRSPVPESVGKWGLERFMFSLSPGNDSTNCQFNACFPRELGDAFIKSFFELIHP QMPVLVYSEILELWDRMWQPPSKRTPPKGEELLLMVLAIGARVSSFEGQQDVNVSEGW AAYFSKKADDATNLFENPSLLSTHFLILKAMYAFQVMRPNDAYLYFGHAARNAMALGI NRSQVVDGPNLAMHRLRRTFWVIYSLERSCTLYTGRPSAFRDELIDVPYPEDLPSPGV IGGSDVADFQACVAAKCGYIRALARIGKIADRIFVDIYSSKSPSNMTHITKSRQAVVE CDMELESITTSLPPYLHFFDPDIPVGDGWQEVQRILLGCHYYITRMLMHRQALVFATF FNSKAEAEERGGGAMHVHDSIEASISSARAMIDLSHNAYSSRCPKIRFDGSMASFLVS ACVTLLYDVLDPGTSQEYARETFSVVERGIQCLDQLQHVGPINGKAVSLDIMKVAKDA LQSSRADSQLDEGLVDLFPWLQSGNNPVNQNGFPVQGSDVSTPFVQDQTMSGPQNFMS SLPTLPEVNYMSHWLEAGFDPEDIPNSLY ACHE_80060A MSGDSKQSSDTHGEESDLPVYRPDGRSLGVSFSNITAVGASGSS QTVTDLLKIFTDILTWPVNTGRKLTKSALQSSSPIVQDITGVLFPGETMLVLGRPGAG CSTVLRVLANQHQSFQEIHGSIQYAGLSSAEMGERYRSEVVYCAEDDLHLSMLPVKDT LDFALRLRKPKNIPESATHFSKRMIRRILTSLGMSHTHDTIVGDAFVRGISGGERKRI SLAEVLAVNPAIACWDNPIRGLDSSSALSFLKLLQDMSRQTGMSNVATLYQVSEAMYQ YFDRVLVMYEGQMIFFGPACRAKHYFMEIGFHCPERQTTADFVTAITSTVERTFQKTY TGPRYETPEALAQIFRSSNDYRILQEEMVRYHWQIASNTSIISSFQSDVNGIRSKFSP KSASEPSSLGTQTLAALRRQYKLTWKDRSTVFTVFALIIVNSVIIASGYYMAPKTATG SFERSGALFFALVYFTLNALTEVPKTIQSRAILLKQRRMGYAHPAALVIAETLAEIPI AFLETLAFACCYYFTVGLNKTASSFWIFVLITFTHRACISCLFRLLGAWAPNLSTGFL MGGCAVPITCLYAGFAPPVPTMHRWGSWIRRLSPTPYGVEALMGSEYSDMALHCTADE LVPHGLGYNNIRYQGCPMAGSVKGSAEISGETYLTAQYGYNVEFLWRDFGIILVFWFL YVALTALGLSIMTREKSTSNARVYRRGAKRYEHGSSSQTTDLESQKTTAVTTPAAPSP ASASRSSLNTTVEEIPNQRTVSHSNQRTFTFHNINYFVHSAGKELHLLNNITGYVKPG QLTALMGGSGAGKTTLLETISCRKAEGRTEGTLLFDGRPLDQGFSRACGFCMQQDVHE PLATVRETLQFSAFLRQPAKTSLDEKVQDVEKIMELLKLDPIADAIVGSLGVEERKRV TIGVELCARPSALLFLDEPTSGLDSQAAFSIVVFLRKIAAQGIPIVCTIHQPSSVIFE MFDHALILAPGGRTVYFGETGEPLNGYFANRGAIMAQQDNPAEFVITTVAVSRNEENG TDWPRLWQESEQCREVNDRVLAMVEKASSEFKSDDTPAKEYALPLTAQIFHLTKRQWL SVWRNGPYNFSKLFKSIFFEMLVAFTFFKAGPDTMGLQNHALTFLIASWVVPSIATDI QEVWFEKWSIFEARERNGIYDYKALLTALIAVETPWQAINFSLIFLCSYWTVGFPSSS ASTGMIYFMYLLLSFFTTGWCYWMASMFPNGTMAGYANSLFWVLFTLFGGIVVPRNAL NDFYRPWITWADPLRYFFGPMVASSLHGVRAVCNRSDLAIFDPPPGQTCSEYVENYSS SNPGYLQNPDANESCAYCPYSVGDDYALTMDFSYDDRWRDWAVFLGFCLTNVVLVFLI TRLTRVQIQRQRR ACHE_80061A MPTERENIEEQIKNAIATYERDKSQKIRPLAEAFDVPYQRLLRR VKGLPGRNSTKPVNYALDKHQENALKHWIERLDQAGVPPTAKRIEKSANLILQRAHTD PTIPPKKVSKEWPYRFLERLGPEYTRLKQRPRDPKRLQSQDLGIIQNWYDRLEILLKQ YQIQPQDLYNFDEIGFMEGQGRGEVVITKYPSRAQHPGASFSRGLISVVECISADGSV LPPCIILPGKGHLEDWYTHSDMPGNWILGVSPNGYISDEIAFEWIKHFDKHTKQRCAG VYRLLLMDNHGSHLTYEFIEYCEKNRILLYSFPPHATHFLQPLDGKPFKQYKHYHGQA VTEAAILGWSDFEKREFLTVLPGIRKETFKTHTIQSAFRDCGIFPFDPSPVMDDLEKQ AEPIPDLQIWDGDSTSSGSAQSSPKTIRQLRKEISKARASLDKIDGHLSALSPGLNRR LERIFSGGLTQAESSDQTAMELDRYLKAAAHQSKPKSRRQVPGLSHSGVLSVQDANRR IGARKKAEEKKEGRRLGQSIRTSLATTHRRYDRLELWMMGIDENADQETIDSILNKNR ACHE_80062S MERSYQYIRKCFGHILGSLRSRYKGLRKRTQQPPSPTAPSSPPT SAFPHVPPSPPPASPQEQPQPQQESQEHREIQYQHPELEQEENSIQHHHHYHYHYHYY HTNRVNGQ ACHE_80063A MPRREIICPNCEMPVDLCPVFCGPPPEGSATTTEAEEGQKPPRK KRIKKKKQQQHHPPPSQAPPPPPPQPLQQEQQVQKSQLQKQVHEEQARAQEQQMDDEL EQRMAQLQLQEPRAQQHHHQHRHDDGRHVHYHFHHHVHYHR ACHE_80064A MMLAHLKALDLLMKFFIFALCLIPALAYPVNATDSLTTLEKCTL NPPLPTLQEARQHVKKLPKDKSIFYLGQCQMAASFYGSKHGMVMLANADDGSGWLQFE GGPFHEYVSRQCDDEPTWTEDEEWQAINIISQAFAAESSGVATVVLPYIVHDSKNHWD GEFETLKVNPNIHKVVAFDMKDCTADPQGQPRELWPRNEAKTAHAG ACHE_80065A MSTSGLSVQDEAENAAAAGNAMQLKECFSRGARVDTFVYLKALQ NGNVDTYKVILDNGGHIDYNLGTSGNALTSALRHHHDLLLEFLFANSVDVNNGHWGHM LPPVGVAVRMNRNIKWTERLLQAGARLNETGALHIAAIMGDLPKIELLLSFGADVNEV PACTIMGFVKYNKKGSPVHWAIHGGSADAVQLLLQYHPDLNVLDEDGVSVYNRLQEAH LPLS ACHE_80066A MGARRRMGPATRAQDRLHSMRLRSEKRLNKHNGKEDASMEDAPE VCKTPTAPPREPTTPQQSPEQLRCEIPMQAQHFPCNPPENQYLPTQPERDTPPTTPTH ESPQSQLGSELQSHIAAAVASKTSQIKTTGDEVLELVSMVSQKVIDWEKQSVTG ACHE_80067A MRNNFRMSMMQYLEYERQSIEFRGLEEGILSFSSLSMKMNYSSL RDQHKELSNAFDRSLGWYAGNIRAVWPHNKAGMGTLYELTSAELDQFRRLSPDPTQFE TNYMRKSGSESKNDKKSLTPLQSSQPSINSNNRTETTTLAQADLDIPGPIEVAAEDYT NGRVAQVSTNNFKENNRKAREIVLDNCLDLGQLPNPEIGPDFFVKEVVKIGVAYKFVN DTKKWLKQCKRRRPADEVEDYGTISEIRTVMHIYLLKSRGKSKKITAFADYICTRTTQ PSIKSG ACHE_80068A MDEFPRTLGDTQRKAIKVSLDNYVESVLAHNNAVVEYNAAVQQL QEARKNQKYCTDQMSSAANIGLI ACHE_80069A MVTSLDVSVKSLLKAAEYGYKAYKSTTVMKKDEDKDVKKDYVIN QITICGETTQSLMVLFNTKKDDTLELDDPGPGRLSPR ACHE_80070A MKLTDSSLSSSRGWRDGRHQWYARLADGSGGKPGAYEGGINVRD LGLDGRQTDLEASSPFALPDQCQMLLGQANELFFSNTDDGRQSASDVYRSLISRLAFL DTISEDHTASKNMFGNGPNWVPRLFFIYYPNSVDKQLPSLQQQEELTRSFEAGRRSQA KVEVNVDKSS ACHE_80071S MTRNIPENDVNHPRWLLDIKDWCIYPYAEVKSQVESTGYGIVSY TWGMWADFDKPAEYVPGNLLWNIPTVEGLPLAHCREVLDNTMDIRFMWWDWMCVPQGK RGKRVTLDDELEQVKGQEIAKQMAIYKGAKKSVVWLHRTKWDENPRLSKYLKGDFEPH QDFVDTLTDVRLCLEGIQKDEPWVTSGWTLQEGVLLEKTVLSDYYGRGLTGNFEPLHK YATVSHLSRTATALADSLSTAFVKHSQGWTCSKDMPQGTAEEVKWTLDFISASSSNYE YIATFLSHIIRSGFVCYWSGDATPLFLLAGANGRRFTVPEDKCWALIGALELKNLNPW YSKAKTEHAKQQDLRKIKAEFFVPLLQKYQWQLLLVPTVEDPKLSGMTWPERVVHGGA LPLGLYFIPDIDEDLPVLEYDQEKDELISNSVKFIKLKSPAFCRRYRQNSLDVHTGFV KVDRISAENVGRHLYCPLNTIPVPDVSIPKLQGWGEVKEGQRCVHIELPAGSETSGYF KGVVDLWGRPDAFEYLDFSTFTIRGSRS ACHE_80072A MIMATTNNPLGLDLDTMYEPTDIEEKVFDLLTAYLPPDSTITPQ QAADKVNSFFPHSCLGENDNYYPCQFLGEFWEVMFRIAPQLDYQGQPMQRYIALHKAL RELPDIFMGDYRVWQDRPLFSMELHERWSRMSGGYEVNGIAHQAWRNINGLLIHFTNE GIYDGKYHALNCIRKYFENDDRNRRNRFINVYAPVAALWFIHCSPKIYEACQNQEYLD RIPGGKLWKGPPGYNIPRWEFWRSRFEVLSTHPLAIEETRQACKAAVDGMDAVTKAS ACHE_80073S MVQIKANMLLFLLAGSALAMPAKTPANNAASPGKTVQCTTDGKT IKIPENIAKDIAKKAPSGSQFVEDECTEKPEFSTFSSYPHQYHNGDPFDWDNHVCNSE NVALLEFPIKDDNPAEMYPWKGIPRGDGKKPEKMKNIPCRVVYSATDGHYCGVMCHNS MKEGGEKGFHKCT ACHE_80074A MVSLATHYWNLLKPSRKRNWTESTTTSGQEQDDPKRRRYKYSAP YLKTKWKQPTGPDGKPYHCYICDATDHLASRCPKKTKIQSVLNNQNIQSEMGNGEESK ACHE_80075A MPRGRPRTIAEETTLSSQDTITPEQIDTDLQAIHNRIAKLEELR VARENLARLEAEVINPSEIGSVVNRDHPGNHPPESHTEELKIKNISTFTLNFNLQRRQ DWLLDLRYTFRGAPENTEQMGRKS ACHE_80076A MLIFIVTPHRPWPSGALDELYPNFTNTRDLILHTLLLIVQIVLI VTVLIFLATFLVFPVVVPVVFFGLFWLVTVVILRLLNGPPTSQSLVGVPSDGTPVNDE SELWFFINGIGTGKGLLLDLVECLIQRDLDYKTRDIRQGRAQIRAALKSQNTKKVVLI AHSQGGIVACSIIDWLFGELSHKTMRKLEVYTFGNAARHFRNPPLDASNANSKQQEEQ GDTEPVIKYIEHYANSEDFVANIGVLEFTSPMAKYTSTSLFSGAVFRREGSGHLLNLH YLDAMFDKQDDFMNTKIPVSRQDAPDGIIMKPIGELSRLFRYKNGQHPEE ACHE_80077A MPDTLPFSISRLKECVATGLQSPPASISLIRQPTIEGDDHMIFL IDSKPDYIVRVTKPREDGSRSYNGQEMQARDIALRRLVQDEYRARCLDEHIIPLSIGT WQLSDDGDYAASLETKLQGLGLHRAPVSELTVQGLESFLSVLKCANVEGLEERLGIKI PLIPFPDLKLLRESAIEAWTRLVERGQVSVKDIGNQGPINGLLERKTTMLEKIQHLSL EYCPALVHNDIKGEHILISPQSGRIIGILDWADAGIGNAAVDIAGLVLTVGNNLAKEI AREVGYGENQILQGVLQARCECVLRLDDRLNGDDRLSPVDLLRDQLFLSLKD ACHE_80078A MHRTGLEMIRRHPWVISMDCTYKTNRYGLPLLDIVGSASTGQTC YIAFAFFQDEKEDNYEVILRCLAEVYDSLNLAYPCTILADKERALVKAIKTVFPHTKT ISCIWHIEMNLLKKAHPRLSDQVAIARRDGASLPESLSFTLDQAIAFEQVRRATNQSS LYTKLTYLISSRAIKHVESIRHYYLPEGQGKPLIPPNCTCRSKETTGFPCIHLIKQYQ DTHQSFEPELFHQQWHLYKLGEAPPIDPLLLVRDPPPVRRRGRPCGAANFVQPSQALN LQQGTQQSTQQSTHNIIFDRSTQREPSAFEYILPPQERGHGGHGQCGRRRAGPGRPPG RSRRGGQGHTRGRGGRGDAVAIGNRRVLRSSQRGGQEDAEYQDGRI ACHE_80079A MFSKLFHTEHDWDYYTTEQPGVASRRFYWPRGRMLGGSTSMNAM IYHHASKSDFVEWVVRHGCEGWGYDDLQPYFRAMERFTPNAARPAIDAKHRGDKGHWE TGYSWLSEIGDKGFLPACKDVGIPLTADINTPEGTLGATRLQTFIDGKGRRSSFATAY LTPEVRKRPNLTIACSAQVTRLLVDRLSGPVPSIIGVELQTKRGGELFEVHAHREVIL CGGATNTPQILLLSGIGPEEELNKHGIPIVKVNESVGKNLKDHLVPTPISCKAKPGTT LDYLGNLLHALPALVRWLVLGSGPLTHNAGEAAAFIRSNEHQFPGRNTPKDNTSGSIG PDIEIVGAPMAFIHHGEEPAPPGVNVFTLGCCCLRPQSKGTITLQSKDAFDPPRIDPN YLSDEENNDLKVLLSGLRVCLRIMRSPALAKYLEPVSSDDNPWSYWWPYSSSDIEQIS DEDLTKWLKEKAFTLYHPVGTARMGTSPATSVVDTQCRVHGVGRLRVLDASVFPEQIS GHPTAPIGAMAYKLSEMIRAGENGRMTANL ACHE_80080A MSHKAFKLTIDLGDDVVWLCKARRQSRENHTPVQKRDDEKSNIN QQEKQQRTEDQNPALSQGKHQKLHFLNSSQQNQAERHNRQKLWNRCLRKRQQIHQYQR EVQHERLQKQEKHPQQQVHFEPFHQQLTDEMQKLQDKIKQEKLLQERRQRFKDQVQEQ LWTEQSHNEEECPIPPEYQKTQNLLAQQPVHSQYQPQQLPNRTQNPQTPSQYWQKQAH YRKQPPHVRHHPQPPHVDYPMPQYQVWHSPHDQPWHHPFPPWQPQPQGILWMLQAQYK HLGQQIQDLRWQQCVRSQSEKQQCEMQNQTRFQTWQQPTSPLPTEWQEAHYDARRERH QLQPQKGKQQSRAHDESDILDFPHSPFVTDEHSYDSEEQCSEGEYEFRDEINESDSDL ESQSQGSLEGEDENEDSSEECNESENESEGTADENEDKEEDNLESESDYVII ACHE_80081A MLKKETSNNQTPKKKVTVKTYLEGDVRHNRAQIYGCCCGKHLPE SNTQQQVSQAKPQKRVPQPPIPPKASYQRGRHPFHKKPALAQMPDLPRYAQPVKLIRK QEQDQAQNQQAQKQDKKQAAKLKSQEKPQKPQLPKMRPRINSTQELPPNWTWYPYASH QVQRDEDQSKPPLEKDRLAHKKDSLDLPQEPGPAYRGRRPFDSDVQMPTSRIQPPQER PQQQIWESNYLVLTPMSSRASSGVDENSNYEWHSVIGTPN ACHE_80082A MQTDGQRQTNKPQSQQQAQDNQNSEKPPQVVDQPSRNQLQPQQP LFETQKQVPNRYIPSAEGPKQRDFDEAARQRTQYRERLQRIVSHIDSIENKPKMSNYI PAQYQREDPKRGQDKECRQQKLQNLAERSSEGQSLPPERAQQQLPRDQLQPPQPQILS QNQPQSRQKQHKQPVEKRFGQAVRGLSQQRFRTQQLMDVPQGRSKSGQPLQQLSHAHP EPQRPQYIRKASVKSQALFQPPQPPQVQSLKETIYRKDAILPKSVRERSSLIDSQTLE ALFRPEPVESLDSFGAQANSLQQPQVQHQEQQAKQQLSSQDDSYPWKFVRYGPGQPPS EPGTDYEQLSFDGSRSPSIVSLSSDGDEDIVVIGKWKATEGDGSFW ACHE_80083A MFNRMNFTFNVPKGNWTESQHLNRRGKITKKYRMPNGLSFTFNL EGKPQPRSKDQGRCYHQHCNHKKQNWNFRGGKYPRNNQRWRQYKRNSKQQKAQRQRAQ SQFHETVFPSDFQSWEPLTPMLMSQGYTWQQSTSSTLPPQHQVEDEYPLQPPEQHEPQ RVSSQFHEMLGPRDFRNWDRLDCSNQGSQDRQGWQQPTLVTPRQQHQSDTGRVLDQVI EKMRQEHQSQTQLAQVRAEKQRQLQQYIDGKHRKYQLAGQAKQFNQRGTDTQSSCESS RSPTLSAASGIDEDDESVIFNGYRYL ACHE_80084S MYPLTRNTSPKLEEQIAQAFNNVNDLIIHTLVQAGHAIEENRSG WDYVVKLCAYFVALSDIQKQARENMVHYIRKWCPHHQPLFTMVGIESLPFPEHLVEFE VDVWIP ACHE_80085A MAPPKYLGLTGKKLSLTVSTIATMGFLLFGYDQGVMSGIISDPA FNAMFTATKDDDVMQATVTAVYEVGCLIGAIWALLFGDWMGRRWMVIWGAFVMIVGVI IQVTAITDEIPLLQFIFGRVITGIGNGMNTSTIPTYQAECSHAKNRGLLICIEGGVIA IGTMIAYWIDFGAHFGPQDLVWRFPIAFQIVFGIVIIVGMYYLPESPRWLVAHDKVPE GERVIAALQGVEIDDRQCQVEKNIVIDSVRVSGAQQSSFSDLFTGGPSQHFRRMIVGS SSQFFQQISGCNAVIYYLPVLLENSIGQTHNFALLIGGINMICYAIFATFSWFFVEKI GRRNLFLGGSFGQCAAMIIVFGCLIPGDSETAKGAVFGFFLYMCVFGATWLPLPWLYP AELSPIKTRAKANAVSTCSNWLFNFTVVMITPVMVARIKWGTYLFFAAWNGLFIPVVW FFYPETAGRTLEEIDLIFAKGYLEKMSHVRAARELPKLSQEEIEEKAAEYGIMDREEK VEERIAESDPPASQELGSFQPTQL ACHE_80086A MPQSSKRLISDVQRKALRDWAHNQPRRPTQKACIAWFYAEYNHR LSQSTVSDILSSQYQYLDSKSNPSTSIRKGTGQWHDLENILYEWQQTLNLRGAYISGD ILVEKARQIWTSLPQYRDQPPPIFSNGWLHRFKQRFNIKQYTHHGEAGSVPEEAEEDM KAIRTIAGNYNEDDIYNMDETGLFWRMPPSQSLSSANRPGVKRDKSRVSIICCVNASG TDRLPIWVIGKARMPRALRNINMSAMGAEWRWNKKAWVDQIVMREWLLAFYSHIGKRA VLLTMDNFAAHLAGLELAPPPPNIRICWLPKNSTSQYQPLDQGIIQNLKIYYRRQWLR FILHHYECNQDPLQTVTLLDCIRWLIRAWNHDILSTTILACFYKSTLVLNPVQLPIES PNLSSLYEHVQQSGRLSNCMDIANFLNPMEESSELAGSEEELSSETLLEQLISRASDT GDMCDDDQEDDSPEPAPLPKPSDALNAVRLLISYMEGQDVSRASLLRSLERLERDLDS EIIASRAQGTLDSWLR ACHE_80087A MAEDRVNVMRGYKATLHNPNTSQQAKQHAQSVLDDELGGDQPSE DVHNAQSGDKDPMRVAAGYKAAQHNPNVTDEGKRRAKEGLEHVPQE ACHE_80088S MSSTQTPATSPTDPTNKSKTSPERAASRRTTPALRIWMNPNKYP PLDPQGSRMRFLIQAQKDIASQGGQASGGSFRPGDPRAREAGHKGGLSGGQSQPEE ACHE_80089A MTLTANAGVKKSFRARACENCRLRKIRCDKESPCSSCSTLGIAC SAIGSPSASQSQPGPQPRAALNQYEHKIDLIQEQLLSLQRTVQDLARPPVPAPTPNPP SYQVSSTPAFEGQSSFNSETRLARDAAYSAVAGLQSDRPSEDVSAALASLKHSLDKHN PAQPQAAKKVTESSEDQLLPVAFVVAVVKKIKAQPPFCLVSHAWRDYLQIESLCQSIY FPADPIPAGSLTLLHGLLYFVVRDYLHEDDPDLAKFDASTYCKFCEDWFSAGLKSYEM MIDPTLEKIQALLLGVIKAQEESNIQLCWTYLALAFNMCQTMGLHRRSTLQHESLALA ETKRHVFWSLYTVDKHISLNLGVTSHFQDHDIDADLFTPSDKEQQRPWDLMTFVIVEF SRLQGQVYDRLYSTSASNASATERSDTIERLSSDLMAVRDKLLAIDVRQGLYSDSLHG MAACADFITYSILTIIHRAQTRPSNAMAISTECFDAATLALQSHLKCFAYFRDRKIHK QAEYVNWILLYPSFTPFVIVFTHAITTASPDSLSLLHETVSSLNLIKRLSRASLHLYE ICTAFVKTAQALLDSRQTLTGLEQHHDGLLLASADADTGDRQGLSISLPDVTGTMEGG MDGGWMSSAGIEMFLNDFIGSNRSAMGILGEGYLG ACHE_80090S MLRILLLYLCALAAAENGSQGWLRYAPVPCDKTCQDALPSSIVT LNRNETSPVYVAGQELQQGIQRIFNKTVPINYDNCAAPSSIIVGTLGDYNCSNQDIPE LGEDGFVLNTTGDTVQLLGHNQRGALYAAFEYLSMLAQGNLSQVAYASDAHAPIRWVN EWDNMDGSIERGYAGPSIFFANGTVVDDSTRAREYARLLASIKINGIVVNNVNANATL LEPRNIDGLRRIADVFRPYGVQIGVSLNFASPKDFGGLDTFDPLDPSVVFWWENVTDQ IYQRVPDLAGYLVKASSEGQPGPLTYNRTLADGANVFARALQPHGGILMFRAFVYDNN LNELKWKADRANAAVDYFKPLDGEFEENVIVQIKYGPIDFQVREPASPLFANLNRTNV AIELQVTQEYLGQQCHLVYLPPLWKTVLDFDLRVDRKASPVRDAISGKMFNRPLGGWT AVVNVGTNSTWLGSHLAMSNLYAYGRLAWDPMTDSEDILEDWVRLTFGSDNQVLDTIT KMSMASWAAYENYTGNLGEQTLTDIIYTHYGPNPASQDNNGWGQWTRADAQGIGMDRT VGNGTGYAGQYPEEVAQLYEDIDTTPDDLVLWFHHVNYTHRLHSGKTVIQHFYDAHYS GAETAHSFVDMWELLCDKIDQERYDDVLTRLVYQAGHSIVWRDAIANFYYNLSGIPDE TQRVGNHPWRIEAESMALSGYKPYAVYPSETASNATAIITTSNSTTGTASTTLSIPSG AYNVAINYYDLYGGSSLWTVYRNADQIGQWTGDTKLGHIPSIYLDGHSATRVTFRDVE FRSGDVLRIVGEPDGTEPAPLDYVALLPEEVVD ACHE_80091S MSALNPLLGSSMDRLKDNHRLTYLKVEDPPDSAPNTPRPLSPNE QNAFEQAYAQSQIAPGFWGAGWSWEIVSCVIAIGALVGIIVVLYIFDGQSTPDWPYGI TLNALISVLVTVMKAAMAFPITEALSQLKWSWFNEGNKLSDLALLDAASRGAVGAVVV LFRFIPRHLVTIGCFIIVVASAIAPFVQQVIAIDQRPVHSPEHSSIQICNSSEYNDWG EGSGPGMNKVPLSTTGAIYTGIFESQSPNSNSITMNCPTGNCTFAPYQSLGFCSKCAN ITDSLDLNVTSFSTLQNYKYTLPNNWNFTTSYGMMYLMNATSDRNLVQIDPTGWPLIN NFTAITAAGYGIPPSVSATECTLSFCVKTYQASVKNGRFSEKLIGTDTTSNYTYGSGT ENIALTPKTCYYNGSTYHQPHDNENCTFNISWLSLLSMSNSLRPLLKGKGSLFVSNRP EWSSETARAIYGQQGNLTEITSKFDSLASSLTTHARSKVCTATVNGTTWTVESYVHVQ WLWMILPIALVAFTLVFFIATIWNTRNQFIWKSSPLALLFSNVDAPTAMDVHPELSKM EKTSQRIKAKLETTANGVRLRHHP ACHE_80092S MDQGRSLNSDSTIIAKSFFLSLFGLGAFVSAHTPPSDLALIKAH QHVTQKCSPKVGQMKLAHTLRRCRLSIDSGTAETDYTVHAQAPKYDFIRNQTSILTPR NQ ACHE_80093S MCNPHPRPSPYKLDRPLQRHLVHGPIVSTGQIFIDEKLEQEIMA LEPYANRTEIERLKNVDDGIYATESSTGAMTLLDTEPLDGVDYKNGAVVYTTLMVLML TFGRAESKPKPEP ACHE_80094A MAPDKPVKMGNKRPEMEFGGPLGVTALMIGFPLLMYYMYIGAML YDGQPPLPTSDESISHFLARLIDLAYTHAYPTKKAWAMYWTFLVLEGIGYLYLPGVYG KGKRLPHLDGKQLDYYCSAVSSWYMTIAAALALHFSGVFKLYTLVDEFGSFMSVAILS GFIVSIIAYVSALARGTEHRMTGSHVYDFFMGAELNPRLLGWLDFKMFFEVRIPWYIL FLLSLGTALKQFEGLGYVSGEVGFLLMAHFLYANACAKGEELIITSWDMYYEKWGFML IFWNLAGVPMSYCHCTLYLATHHPDTYRWNRLALCALFAAYLFAYWVWDTGNSQKNFF RAQERGIPVDRKTFPQLPWKYVKNPNVIRTKTGDSILCSGWYGLARKVHYTCDLFFAT SWGLITGFNSPFPWFYSVFFAVMIVHRARRDIHRCRERHGEAWMEYERRVPYLFIPYV I ACHE_80095A MEGCIALGVSGVDICAAAEQKLHHLNLPQISCYDVESRVAICVG DGHVGTMRNKGIEQGGVDGLAVQGPES ACHE_80096A MTTHKNQSSKLFEPLTINNGNITLDHRVIHAPMTRNRGVPLNEN STPENPNRIWYPGDLMVEYYRQRATKGGLIISEGIPPSLESNGMPGVPGLFTPEQIAG WKRVVDAVHEKGGYIYAQLWHAGRVTIPQMTGSDPVAPSAIPWDSPDERYSHPPVGES VPPRYADHPPIELSVSHIQRTIEDYRRAARAAIDIGFDGVEVHGGNGYLPEQFLSSNI NKRTDEYGGTPEKRCRFTLELMDSLAQSIGEENLSIRLSPFGLFNQTRGEQRLETWSH LCRVLKQTLPHLSYVSFIEPRYEQIFSTEEKDTFLASWGLTNVNLDGFRQIFGSTPFF TAGGYNDQNAWGVLESGRYDAILFGRPFTSNPDLVNRLRKGIPLTPYERSRFYGPFED NKLCYVDYEPAQEA ACHE_80097S MTQFSVENPTDAIHTITLVTQYLCIPIVSFFVLMRFGIRTWYKQ PFTVEDGACYVAWVLFMAYCAVAIVMAQNGAGYHILDITDEQAIQFRKWSYIATVIYC PMALIVKIALLAILIRIFGPYKTKVTLIYVFLGALCIYYIIAEVIKIRMCDPVPAFWL GPTGPGVKCFDQAAALIADSVISVVSDLIILIVPLPLTWSLQMSRNKKLRVMGMLSAG GLATAFSIYRLVLVVKDGQSIDQTIVFTCVVLSGNAEGGVGLICACLPAVNIVIAKLR KYGSSHNRSYGHQDSSVPLSKMRMGASKGQSKASKNDPEGTLTDYGNDQSHLISYAGS AQGGGGIHKTIDVSQTVEMLEERDERNYSSHSQSP ACHE_80098S MTPPSSNHFILSFPKPHVLLVTINRPKQRNSIPSDAHWDAHTIF SWFDNEPSLLVAIITGAGDKAFCAGQDLTEQSQNATAKREKGGTQQLHHPPTGFLGLS QRKGKKPVLAAVNGFALGGGFETCLNCDMIVASPTATFGLPEITVGLYAAAGGLPRLA QITGLPLASELALTARRLSAQEALDYRLINRISASPVEECVKLAEAMGKFSPDAVIVT RQGLREAWETGSVEEAGRRTKQEYGEKLFRGENFRIGVQAFAKKEKPRWVASKL ACHE_80099S MPTPIIIGVADIKNRTNNAKEPAQLMLEAINAAIHDTGPSATLT SSIDSLSAVRTWTWPYDNLPALLAKRLGIQPKHTHYPDYHGGNQPAKLLDEAALRISN GESRVAVITGGEALESLATCMKKKSPPKWTPPSQAVDSIFSPTTARVAEDNIGTRHSV GAPIHVYPLYENGFRAYRGQSIADNHVESTKLYAQFSEVSSQHPYSWNYGRKDSEEKI GTVSPKNRMICFPYPLLMNAFNIVNLAAACVLTSVEYARELGIPEDKWIYPRAGAGYC DADHFWNRPNFHTSPAISKSLDHSLASSGLTADDIDIFDFYSCFPIVPKLACHHLGLP IDSRKPITVLGGLTSFGGAGNNYSMHAITEITRQLRSGKGRHGLVLANGGVLSYQHAV CLSTLPGNDAYPNGTRLVDADPSPSVDETANGSAVIETYTVDFNRDNTPARAYVIGRL ENGHRFLANHGDAQTLAQMASWTEESIGKTGLVKTEHGRNLFFLQRASL ACHE_80100A MSRPDVGHVVGWYICTIAAFVFMLARLVVRWTQFHKFYVDDFLI ALSVGGLIATLGIQHYMFDNGMSEMQNATKQETINMMKMIIPGSILYITSLWLIKAAM VIFYKRLADRTRYQTIYNITLGLLAATWATIFFHIIFKCYPPDRLWDLDHPERTCPAY QDKVAFWLMVLLNIFSDVFIICLPISQVVRIKMPFKQKLGVLSIFLLGFFVVISSIIR AIYSSKNEQLITCTVSMIETAIATIASCLPVLRTLFFGSRSRSNTGTGTGSRSRSRTF ELSFGQSQSTTHKAATSVSAERPRSQWEWEWQRMQSLQDESEDELVKNGNNNGRVTPR MGIAVTTEYEVGR ACHE_80101A MGLLWDIVDRALCIAVTTTALWTGHVGICQGEPTVRLDQGLVRG FEDNGTYVFLGVPFAETTGGENRWKAPQGVSTSVTKEIDATRYGPSCAQAMSGNAIVA QSEDCLNLNVWTPTSGTDLPVFVYIYGGAMVTGGNSNAQWQGYNFARKDVIYVNINYR ESIFASPAAPELEGSSESQNFGILDVELALDWVIDNIHAFGGDKSRIVIAGHSSGGVH VDHYLWNHPDTTIAGAMELSANVKSGPAYAPYGVALSQVAEDVQASGVTLDCDARNPT LDCLRKVDTYALQTSNFNSTTNTWFSPVVDNITRYSDYEGRYAQGKFPTSVPLLVGNS DREGALFANVYSFENTNFSYWINTFDADLAYVPDDVLVNAYNETDYGSVSAMSGASYG DARFFCPTDYMINLRASSQPVWVYRWFGQYENVLGTGLAASHGSEVPFFHGGNECFSK LSDVTDAEQALADNMNDWLVAWVKNPSAGPGWDRATPENGPLAKIGVPGNELEIEMGL TGEFNSRCQSVYKEYIPGYPVVQSPE ACHE_80102S MEQHAEKVIEISSKARYFHDRCWGFQIYNGSTQCTRGPSKTRTN TIDIRSLSRVLHVDTDERVALVEPNVPMAKLVAATLPYDLIPPVITGLPSLTAGSAYT DTSGASSSFRHGFFDRTVNWIEIVSANGQVMRASTTENADLFYGAAASLGTLGIVTLL EIQLVPAKPYVRLEYRPVSSVSQAISGIQRYTTDTDCQYLDGIMYSRDKGVLCAGYLS DRPAESTSVQRFTRLTDPWFYIHAEEISSRGNPAEEYIPLVDYLSRYDHGGFWAGKWA LDNLFHVSPMYHTMHKGGHFGKNTIQNVAVPYKNAHELIDHLDDSFGRYPIWLCPVRQ ATSSPDGLKVHGLMAQLHPTESTLKDPKILLSIGTWGPRPGTEKGFGEFDRSVERKVL TLGGQKWPYAGTYYTEEEFWSLYDQKHSEQLRRKYNATYLPTLYEESRVRIAEQGWME MWLLSGLYGLIHSAVRTEYLLGQKKRLNVISGDMAAWFIFLVAGLYYVVTGGGI ACHE_80103A MSVFAFLKAPVPNADEATIEPVTSARKKCISSAHIVSDLVAIHR SQWGIHRETASDMQYICVALFVLIDDLDEEKSHNAFLNLAKAAVSFGGR ACHE_80104S MRVMGLLGACGLATAFSLYRLVLVLKEGSTPDQTILFMCHFVRV CLHLCPSSEQDLTLARNAEGGVGLICACLPVLNSLLAHYQRSYLSQKYYTQSSDAQLG YRKSGNNSRSASRWEPPTPFNDQIHLISFVGTPYATRSAHTEDGIRKTVALEQTVDSA GSADSASADGASR ACHE_80105S MPQKHDESQVILALQAMQNDKNLSARAAGRIYHVDHVKLSRRRR GMQSRCNISANSRKLTDLEESTIVEHILDLDSKGFPPRLSGVEDMANRLPTTHNARRG TRWGKLG ACHE_80106S MALLSSNKWYKGYLQKVANGSLELPAYDLNPDGTIKIYYGEVFC RVEDCSRAQARFS ACHE_80107S MLGAEAEVPSAIGSRSEAEDEEEHEDEEEGEEEGEEEGEKEGTD GEEASERPPLPMKKNGSVHVTDMRKQVVLMGHKIPCSTCGNRNDCCKDMESCEYFHLF QHDMD ACHE_80108A MNVHGEYDPYIGCINESYGVLTKHHRGAIQGHTVINLQFLEDLF NKEIIPATEECGVFESLSSRRKYCGRDHPQGSGRLQHTPCDVVFNALVPVDIGQCPYI LFTSHGVHKHPPPPPTKAPERILQGVKRIIQQIRDPSLTTAQFLRNPQLEAFCQQHNA STLTEIHSSFCNKDRIAAIIQKQRLLSYPSGQDVNGLIFLENTDQHIKDYIQEQYHDS QDTMILCGFKKQIELLSQLSSFEVDMSYKRIRSKNMNEVLFATFLPDQCKVITLLRVF TTVDSTEGYYLLFKRAFDLVQKITGHPVLFDSIHGTGVHGIIVDMDSKQYTGLGKYLS EIDPQNHDVTWHLQHIIIFCRVHFQRSILNTIGTRNQGSPLWSRMMSLLDCKSEADYD ALVELLIKFEDANVQTWAIQKKSPVIKAGLNKACSKIQSHYFAALRNHTNAVEQSHQK SYASGKYLTLTEAVKNSAKLDKDDILQYDNFRKFNIHHSYRTSNMEANYLRHMSRENS RKRQRSSSTHSHETASGQSQSHQRSIRSHSQSSSQNGDNESRISSKDLRRTASTNASS LEIRRQELELRKLEADIKKQEEETQKQRLENERLELDLIERRNRIQESASDL ACHE_80109A MDPFQELRNEFSSTIRALQNEIESVKNEPKPLQRPKPCLPDPEK FNGQSLKFDTWLASMKAKLRIDAPAIGDAVAQFYYVYLNLESKVQALVLPQLSYAEDT NTWDYNTILDQLSLVYDNPNKVQEAEDYLLVLKQDSGESVAAYIAKFERILYEAKGKD WPDVTKISAFRKGLNPTLRGRLNQQLNLPKSYTDFLRVVQQLGSHSFSSNSTNVSHSQ SHQSGSHTKSDPMDLSVININSLSAASTSLDERNRRRQQGSCVRCGSADHWVKDCSMK AHKESNKIWNQQMIARLEANRLDDLNDLDD ACHE_80110S MMLCRLVENLGWTTSRKYCFSLLFIAVIWAKLLHIYSHLNSLPL GQFLLWGPTFFLQDALCVLVFRALTRNFYNRWLRVLAASIVIPASLGASLMAAANTSF YITTGAEIHWRQARSFHRDFASVKTLLAGLTGLLIVEALYIIASWFTTPYLYNCVNKV LEILGSSLSFRRKSRKSLPNPEIYEQVAHDNYDKIHSDSVLSLDASENVTRITKKSRL ARFAVLFPTVSAILLYCIRPSGHAYSFLSDSLLLTPFGGSKPHNGHASIDIPSSLGDY SWLENRTALAMPPKFDWLPFEELAGFRDWYAKSNDEEPRVHYNPTQDPLHISNLEGEI IDPLRETLQNGSVNIKHIFLLKLESTREDVFPLRKDSFMHNRIRQSYSGRIPADVEER LAHLTSTAERLTGTPSGFHGSAIKPYGGIHATNSHTTGTMTLKSLTGTICGLSPLVAD FNREYLHHIYQPCMPHILQALNAQSNGPKTDNFTSWPWHSAFMQSITDLYDNQNFLTP GHGFQRSVNADTLGPSTKAEKFNFWGYPDNELRSYFLDAINKAERNQERLFLTHLTGI THYPWDMPRNEFVEMVGSTYLPFNKKLSRHLNTIRFADQWLADILEILEDTGVANETL LVVTGDHGITLPEDGGVTPCDNPHISNFHVPLVFAHPQIPPIEIDSPVTSMQILPTIL DLLKESSSLDEHATRAIEDLLPMYEGQSIIRPLVPEVGGKQDWQFSTMNTGGTWLALR SAAKPYRLIIPLIPDVEWRFSDVSTDPGERNYLISFDLLSLISQVEVLHGSEAVQWVS DAAHIAQWWVAENWRRYEYTP ACHE_80111A MGLYPDVQRKAQEEIDRILGPNKIPSYVDRKNLPYIDALVKETL RWHPIAPMGIPHMCTQDDIYEGYRIPKGSLIMPNIWAFTHDPKVYPDPMTFKPERFLA TEDHTPQPDPHALSFGFGRRICPGKLLADNTIFLSIAQSLAVFNFSKEDLMEPEFLPG VVSHPAPYTLSVSPRSEAHEALIRSIETEFPWEESNAKEIEAIEYLE ACHE_80112A MGLFSFANVLLGLAALYVLKKLLSPKRMLAPLPPGPKGMPLIGN IGDLPPPGEKDWKHWAKFKKLYGPISSITIVGQTIVILNDPQMAFDLMEKRSAIYSSR PRMVFACEMVGWEHILAMQTYSDRFRAYRKAMHRVLGSKTAIARFNPLQEVEIRRFLL RVLHRPEDLVQHIRTEAGAVILKIAYGYAIEPHGRDPLVDLANDSMEKFSIAGTPGTW LVDIIPFLKQLPTWFPGMEFKKTANAWRRTLLTTIEKPYLLVREQMRRDNYSPSYLAS LLEGVSDKWTPEKELVAKWTAGSL ACHE_80113S MVDHDQCAHAAAILTETAELKQIADRTQGLPPRDLFIRFMSSVE DLAAKVRDRTSNNGSEDHDGQKKVLEQIQTMLDK ACHE_80114S MPRLRNTIASTRANRNEANDDDTNRNEANDNTNQENRRSSVFID GDDNEEQQMVTLEEFLQFVSEKPEWLYEKLCSIHQRYEECIDEQGVQRAERELQGRTK DGEIALLRCELEEVREQLQDRIDNDEHVQRELAETRSELSVMHIAARLQRWPCS ACHE_80115S MVHTRSGAKGQETTDKAGEPSGPARAKNIVKSDNGVMKKRTEGR KPKTTEKKKQQKELESIKKDVEEEKDRRPLEQSYKLLLDQQQQQEAEYDLMDIEEENT GAHQDFTSLDYKEGREDSGQASTSTAGSSPGGDLDKREKDKILFAHSTSIQQRRTFRL C ACHE_80116A MNSIPMANAESDIDRDGHHPDNEVGLRHRDENLEPAVDDEQKIA TTSAYAADKMSITHECVFIATICTAQFTTQVGLTQTLGILHQIGDSFGITNPGVLSWF IAGYSLTSGTFILIAGRLGDLFGHKRVFFIGMLWFALWTMVAGLSVYSNQYLFIFARV FQGLGPAAVLPNGLALLGISYRPGARKNMAFALFGACAPGGGVFGLVFSGLFTLAWWP WAFWSYAITLVLLAALSALVIPAQSRSRDQDKPLREKLQMLDIPGAVTGVAALVLFNF AWNQAPAYGWQQPYIYVALILGVLFAVSFFFIETRWSKTPLVPFQVFTGDITFVVVCV ACGWACFGIWIFYVTQFIEVLRGGSPLLNAAYICPVAVSGAFASVTTGFLLQRIRAAW VVAFSLMCFMVGTILVATAPVHQTYWAQLFVCMLIIPWSMDTSFPAATVIFSNAVKKE HQGMGGALIGTIVNYSISLGLGFAGTIEVHVNHGGTTPADILQGYRGAWYFGIGLTGI GIVLSLIFVVKVYWHDVKERRSLEARH ACHE_80117A MEIEGSLKASHEAAGDGSMVVNLDSFAPIPGNRTGPKLAADGHT ILIPQPRDDASDPLNWSAFKKHAILLCIAFGSFAGDFGMAIGIPAGTLQSEEWRVSAS VVNEPNNLAVLMIGISSLLWVPLLSSWGRAPVLFWSTVMGLFFTLGCLLAPNFHTYYA MRALQGLTQGTGSSIGLQLIQDMFFFHEHSRKIGLWYGIFLVSPFAGPMFGNFMVAGL GAWRPLFWLVFAWSACLTAMIVVFADESFYNREIADEKQPNRPMHTVARLSRVVGWWQ LEHHRDYYSPILPSYLRLFKVALQPIVLLVVLAYSLLFMWSIGINQSSALLLELPQAS GGYGMSARAIGYIYFSPVVSVFLGWWAGHFLNDYATVQYTKRHQGRFVPEAQLWVAYL AALVMIPGIVLVGITLQRHLHWVGILFGWGMFQGGVMIVSVVMAAYGLNCCPASSGEV SAFLNLGRAVCGFVVGYFQQPWGLKEGYDVSFGLQAVVTVVGFLAVGCIQAFGARLRR VSLCMGSP ACHE_80118S MASAYSLKESSYDASDIIKRDVCVIGGGATGAYAAVRLGDLGQS VVLVEKKDALGGHTEAYTSPATGEVIDYGVENFQNTTLLRDFFGRFGVNLVAYASDAK STQYADFSTGTVHRDFSPPTSNFTEYLNQLEKYPYLPYSWELPDPVPEDLLLPFGEFI TKYSLENIAYLVGMWGAGNGNILNQTTIYVLKTINKDYISGIQGTDVMADDNHAIYAA MEKHLGSNALLSSTVVAASRPANGSEMKLTISMPSGDKLIVAKKLLVTMPQTPSNMVP LSLDTTERAVFDQFGYNALYVGLVNNTGLGAFVDTMGVNFSNPYNLPGPAGLIYLQST SAEGVFRIWYNAETDVSEDTVKAESLAAIKRLTGETADFLVFGNHTPYQLSVSGDAIR KGFYNSLNALQGHRNTWYTGAAFLAHHTASLWNYTETLLPDMLAA ACHE_80119A MVSNERQVDVLIIGAGPMGLMTALWMAELGVDTCIIDDKGTRAL NGRADGFHVRTAEIWDSFGIHGVLQKFGVRFDEWCLWAPGDDGRLSRQQRQSMMGLDI SRMSTCTMHQGNTEATLIDAIRQRSGPEVERGVVPSSMELDESLVDDPEAYPVKLQLR HQRREDLAVWRTNSHSIRPGGVIQEETGKIQGVISSSFATKNDTIPSVSSEEGSTTTI QAKYVVGSDGAHSWVRRQLGFPMEGTSTDAIWGVIDAVFITDFPDFRKHCTILTRHGS ILSVPREDGMTRLYVQLPASFSNASVTDSTMGTQIVAVARKSLFPHTLTYSYCDWWTI YRVGQRVATSFAHQNRIFLGGDAVHTHTPKCGQGMNVSMQDAFNLGWKLAGVIKGQLH RSVLQTYQSERRPVAQDLINIDTSLASVLASETMSDPVGVGQVYGRLRNYASGADICY PASALVASGTRAKQHLASRLKLGMRFPSHPVINHSSAVPIESQSLLQSDGRWRVLVFA GDVTNPTQLERVNRLGAFISQLMTQFRSIHQGQPFVENLIFYYNRLDQVEVGDFHHTF FPLDPSRGYNYNLIFADPPASLSRGRPESAHVQYGISSGRGCMVAIRPDQCVGWIGDL EDEQELQRYFAGFMRELLFDIVTVIETCEVIQLAACLLPAS ACHE_80120A MIITPRFHLLELGDQPWCPEWLREYSHMARNQMWRTRAPGTKDS PAVQVCDLLLHHLPNLASFTFIDSCAGGGGPIPIMEATLNAKLKQRGEGPVQFILTDL YPSLEKWATMAKRSAHISYIDGPVDATRAPRLAAPGKKECRIFNLCFHHFDEPEAATV LRSAAREADAFVIFEMTNRTLSAFLNTTFIVLSPFLTTYLWFSHSPLHLFFTYLVPLV QLFFAVDGYVSCIRGRTPEEITQLIKKQSDLDLTGWDIKSGEEMMLPPFGKMYWYMGV KK ACHE_80121S MPVLLTGGTGKTSVRLARFLQNENIPFLLASRRGLSAAPSGMPA VQFDWLDQSTWKEPFQHRFAGGGTISAIYLMEPLVAEPWKPMNEFIDYARKEHGVSRF VLVAGSSAEPSQPGMGMVWQHFLDTGVDYCVLRPSWFMENLSDEAPSAVIRDQGKIYT ACGEGKIPFVSAIDIAAVAFRAITDPQSHNCDHRVLGPELLTYDEVAQKLSAALGRRI EHAKLSGDQRYDGLVRVGVSDYFARFLTNLETAAATGFETRLNDTVETVTGRPPRSLD LFAHENRGAWQSVTEASGALSAPPKKVVATTDLLAAIAPPKKQSKIPASTSKARKGAE DVDPAPEAPQANEQSENGGPLQKLIHDDRMVTQLDSAYLGSVVVDIRALSHNERNQAI DERFIEKLSEAFKCGVRRFAQEDRLKVTTTSKMLETVLADHVTETTTLMDLHKSLTRK TSDPNELIHIQVLPEGTTFELRNGQHRVSAMLKILQEAIERTDAGEDITRPEAHDYLW AIDLYDDDKMTEDTLAALMANREVMHHSNSDGYNAVQILGRLESVPEKERGEIVRGST FSDWVQTLFGLNLTHTARMGSVISHEGFQPYVFRYGMTRYGERRFTWTLGGKMVSSKL DFIWFQEFDKFLEFTTKIFGHTAHLVRCEDWELILSVEAGRPDYPLRLLFYPRREDYW LNNKKRKNPWPLPPNYRNEQLPRLSSPYQTNDYCFDWRRPGFLKDLSEEDYHFIFSRL MENRNLPCPCWNDWCNLEKAVDKVKRILRHIAIWIDPDWTYPAAASHDLKDFQWDLEI QDLLFGDKNFDRPFSLDADRLRLAHNFIDELVRQVQTDSFWKDPKLNDLLKPPPDTLA SASHSAAYFERFLHPNWAAIIQHVVKSAGPVLTNAMSAYNGLGFLISNQMPYSPWGPV IGRTLKQNQAVCRTPSMLNKNEEEDLVQQGEIFGALWHYRSLKSKMLETISWGLKGGK KRPENLIGCEAEYEAAVVVLKDQAQVLERYGYTHAIDNFSEDLSAFSLDRSEPAAITI KETPGFLKCRPKMFTSQAEEIQAKIKEHNRSIQKNSVIRQSETQKRKRNETEDVEPGE GVEPEENTEATDNEN ACHE_80122S MARDFLAVPASGVGVENLFSTARDVCHYRRSRLAPETIEAIMIQ MSADRFELKREYISVEDGDNDEQNDVGYVDFNVELDVNYISDEEDLGGFEDDDRDRWA DDDEEDGLSLPPLQSYQRPSAIHSPSMNAEAHSTTSQSEVINPHPQSATTRPRRVIHE PGYFQRLENGK ACHE_80123A MDSKAHLVRHAESVHNVTHDFSQLDPDLTPLGLRQATGLGQLFP YAPQVGVIITSPLKRAVQTTLTAFPHILDKRYFDSESGHGVEDGAALFLDPDLQERSA LPCDTGSPTKVLEAAFPRLGFQDLAEGWQVKEDLYSAADEAVEERALRVRSRIAAIGQ DLQHQRRTDVVVVTHGVFMKVLLGDPDIDLPKAGWRSYAVGTHSSGVILSPLE ACHE_80124A MSGTRVLLFGPNLLSFNLEQYTHLRSTIQGNAQYGQVIVKTIAE LPRWWQSISRAFPHLQALPGAELLRAWHEDLTTSKPPQLPFPLPTTILAPMVVVTQLV QYLEYRDNNTQLASRPAAKTEETLGFCTGLLTAFAVASSATPEQFEKYAGVAIRLAML IGGIVGALEAPDSLGESVSYSILWNNPQTSQKIDELLLEYPQSTHISVLSDEKRATLI TSRNCAAGLVQKLKSIDVAVTDLGLRGRFHSRDHHATHVDAAHCFCDSNPAFQFPDAS QLVLLTRSNTDPGYITQGRLHHIALESILLHQCRWYQAVSSLNSDLAGSDFEIISLSW ERCLPPSLVSKLGSRVVHLADLQSSKHRRRHSETREVSDSDIAVIGMACKLPGANDLE EFWSLLCEGDSQHQEVPEERMTFETSYREADPKRKWYGNFIQDYDSFDHKFFKKSPRE AAAMDPQQRLMLQTAYQAVAHSGYFSGHPNPEVGCYIGVSNVDYENNVACHPANAYSA TGALKSFVAGKVSHYFGWTGPSLTIDTACSAAAVALHHACQAILVGDCTEALAGGVNI LGGPIWYQNLAGASFLSPTGPCKPFDSKADGYCRGEGCGAVYLKKAQAAIADGDHIYG IIAATAVQQNENCTPITVPNDKSLSDLFVNVLSKADVAPSQVSVVEAHGTGTVVGDPA EYESIRRVLGGSARSDTGPLALTSVKSLVGHLECASGIVALLKILLMLHKTSIPPQVG FETMNPAIKATPADKMEISTTIKPWQAEFRAALINNYGASGSNASLVVMEVPRSLSPA SKGHAPSMNPLKSHPFWLSALDEPSLQAYCTALLSYVGSVPCSVSLADLSFNVAVQSN RSLPQNLIFSCETMQDLEQKLRSFQSGHSFSTARRPERPVILCFGGQVSTSVGLNPGL FENVGILRLHLDECHAVCQSLGLDGIYPEIFQKSPILDTVKLQLALFALQYSCARAWI ECNVQVAAVVGHSFGELTSLCIAGVLSLHDAVRLIAGRAQLVRDSWGEEKGAMIAVEA DRSQVDSLLDQCNQAEGLDLASIACVNGPRSFTLAGPAKFMDRIQALQSEPRFASIRM KRLNVSNAFHSTLVDPLMADLEKVGESLVFHPPTIHVESATEFKSAAPSSAIYVAEHM RYPVYFNNAVQRLAKQYPSAIWLEAGSNSSITGMAARALGDPSSSHFQAVNLVGESSL FVDMFTALWSQGLDVNFWPHNRQQGTAYSPLLLPPYQFEKFNHLLEMKAPHKLPADAL SKPAPREDSLWSFLGYQDSQQRKARFLIHTETKRFEEYIKGHTVAHSAPLCPSTLQLE LAIETIQSLHPEFAGQDLQPQLQGLDNHNPVCVDPTRTLWLDVEAQGPEHHLWKWEMI SQLTSNNKNNNATTSLHVSGNILFRSTEDSKFLIDFGRFERLVDHQQCFSLLGSDDAD DIIQGRQIYKSFAEVVDYSSMYQGVRKVVGKGNRSAGRVVKQYTGDTWLDCPLCDSFC QVAGIFVNCMSDVPSQEVFISNRIEQLIRSPRHPVMATPPDSYDVLAIHSRPSDKIFL SDVFVFDPRNGELLGVILGIQYQKVNKVALGKLMNRLTPGMAGAEPTSIAPVAKSPYA AAPSTSGIAAATPEKKASSPDDVYGRVVELLANVSGADPAEIHERTCLADIGIDSLMG MELARDIVEVFGCTLDPIQLMELTDCASLVRCINTSRGIVDDGSSTSEDKSDSQNGMD VTPATSSEGEEDEPRVAVTESQAINVSMDVDPKNSQRAVDEYVRTYTQGFVAPVHLAT SETLVAAQQSVVLVTGGTGSLGSHMVAHLASQPGVQAVVCLNRHNVSDPELRQKQALE SRGISLNAKDYAKLKVFESDTCKPILGLPSDLYQELARTVTHIVHNAWPMTINRPVKG FEPQFQTMRNLIDFARTAAGIRPRGFKLAFQFVSSIATVGLYPQWSGRTLIPEERMTV DSTLPSGYGLAKLVCEGMLEATLQQYPDTFNAMVVRIGQIAGSSLSGYWNPVEHLAFL FKSAQTLNAFPGFQGELSWCPVNDVATVLGQVLLQPGPAYPIYHVENPTRQSWPEMSS ILTQELGIPSDNIVPFDQWLNRMHQWSDLTENPALRIEAFLDDHFIRMACGGLILDTK RAREHSTHLQKMRAISTDNVRKYVQQWREMGFLK ACHE_80125A MGFYYSQFLVTPSYPTQSFLGQTVIVTGANVGLGFEAARHFIRL QAATVILAVRNVVAGEEAKRKLELSTDRAGVCEVWELDLASYASVQAFARKAAAQLPR LDVLVSNAALATTTFSLAEGHERTITVNVTSTILLALLLLPKLRESATKHPDAPCPRL TFVVSETHAWTPFPEYKAANTFERLDDWSTADMGNRYASSKLMEILILREMADRTSDA EPQVVVNMVNPGLCHSGLAREFGWGFWVFKQLVARSTEVGSRTLLAGASAGAESHAKY MTDGKVDDGALSGFVRSPEGKTAQQKLWTELTTILEGIDSTIMQNL ACHE_80126A MMIINMKHRASERTINRFSIFCGPMAQLCFVLFLPASLMLPPIS PSLSPEDTANHYRQNEAGMKAGATIMLLSGIFWPIFCAGVNRQLARIPNLNPTLLWAQ MAGGSLGGVSMMLPAIFFAATVYRLERDPVLTQLLSDLSWFCFSLLFPPFVAQDLAIS WAILSDARSKPLFPHWLAYTTSGLTLTLYPALGVHCVHQGAVAWNGALGFWLGAAGFG IQVGLLVSFLLNAVARPDDDDEAEEQGE ACHE_80127A MATSDAACARCRERKIRCGREKNGCANCERDGVECDYSTPGKRV NHVKVLCNSVSQMQNQLSVIEHGMAHILSTAKSHHHSNSHSNSYPQASPGNALAGDVP CTVRHPKQTEDDKAEGDSSHDFITPTHLYHNDRYDGPGTLLALCDRFRRTLLESNGDT TNHPVQEGMEQHLNLLCSEAGSEESMSAARLTQMTAMQLPPKQLFMVVQSQFFQHCHY ATNIFVPSRFRTKVEELYARPLMAGDEAWTICLHALTLLVLGPEATVMSQELCPGPSG LLAVHAAVADPGILMAPQLINVQTLTLLGNLAQQYYPLHLAETIHALVCMLARTMGLH RLPSREQVGLSAEDVQERVQLFRCLYMQDIGFGLTRGSTCWLHSLDCVPRCELTEAAK ADPRIQLTLIQDTFLQALYEKNSSKSELQRLVPQSLERLEQWAIDHHIFTAAVLGDFT SVDLLQGFLATRIAVLSHCFSSKYLADAIVDARVACGILLLVCAACTPCTAEKLAPTD TYPVVGAESNDSSATLYSQMGLTKFTDLPFLATQLVEQFPIAVFFLLATGLANMRALS RDTDLELLHRVSLLFSDLGARRPANNHIHKMGRVFRLVLDAVRQRCPLDHDTEILFPN SGQDSSSHSSIASTQGPPTPELSAYPWEPSTTLYSAPDGILPHQLLLPSHVGANAATD ATMTTATSLSQFPNMRDLQPGGTVPVHDALQQLAWMQVPDALRDSFWRQQSTLSNPGN YYHNLQGDLGARVLLPEQVNPVPDVDLDFCLPDIDPNREPV ACHE_80128S MDGSNKNPYQQPADAVWFVTGCSSGIGQSLAQLIAKYPNRIVAT ARNPATLSAIPDGANILKLALDVTSVSSIEAALSQTLTKFGRIDILVNNAGYTLVRY ACHE_80129S MRDQNPKGGGQQGGVVFNISSMGGFIGLPGSAFYHASKFAMEGW TEAIAKELPTEWNIHLCNIEPGGVRTNYATTSLKTMTRGRHPAYADPKYPTNALLGYM SKEENRRSWAEPDAIATAIYLLVSRGQRIPIRVPLGADAYGMITMDLEGIKRNLDEFK NISLSVGEAKQLDSISFLQKA ACHE_80130A MDRCALLLNSGTLLGYATITAAIDPETATRSSSETPFLQLASRN SNIKIYPQTLAKRIIFDEQKRATGVEVQTNSVTLNGH ACHE_80131S MSRIWLITGASSGFGLELARAAARQGDRVLAASRTPEKLNALVS SDKVKPVYLDHNQPLSQIQSAVRDILAVHGTVDIVVNNAAYVQTGMLEEVSPEDTLRQ FQANTLGPLNLYRALLPHLRDKGSGTLVTIGSMAAWYPMSGCNLYNASKAALRCTTDA NFAAFHGAQLGDPVKGAQIIYDVVTSSGAAAGRKLPELLPLGSDASEEISKSASGTLA SVEEFKTISALSDIPKA ACHE_80132S MVRPEDTIRVPRLYHGNTEEDTGSRGTPEPNVPPHPSTNDGRLK SESETSQGNGHMGKSGNRTSGSARPHPGPKLISQEETTELARRVVENEIQETKRSLAG NEAVSDVVKPKLTIDLGHSNIVSIPESVVDIIKDEVERLSLSNNHIFHIPFRFSECSH LRYLNIRINNFQVFPKGVYKLPLLEILDISRNKISHLPEEIKELTSLRVLSVMQNRLE DLPFGLSEMSKLQVLKVAGNPLKAPLLQALEDSESVIAPSMSDNEKEVAVTAELKQFL KTRQLGVHRSERPALALGEPRRVHRSERPALALGEPRNTLSESR ACHE_80133S MTTSTFLKSPALQQFCHDNNAFTLADVHQSLNNTDRVTAIIQRQ KLLHFPEGQHYNGVAFEMQINPQIKEYIQAKYQDDSEFMLICALKEQLELLLTLKSFE VDMSYKRLKAAKLNEVVFTTYLPNHGKIITLVRVFTNQESPIGYYRLFKRVFQVIANV TGQSVCFWHIHQEGFQGIICDMDNKQTSGLGKYLYELDPSRTTEEHLRSTIVFCQVHF HRNIVKAVGNHPNQQGVRQRMAGLLTCKCMDDYYKLLDLLQAHETDNADNVFHWAQHK RDPVIAAGLNKHCSLIPSEHWDFIRNSTNTAEQTHNKSYAFGRQQLLLPAVKSAWILD KRDIQQYLGRETFSIFHANRTTNMETHYLRHMQRDCKYYISI ACHE_80134S MDDDNIQLPSTSGIIPPSLRNEQSSPSVRQSSIRERSWSRQSSS RGRTPTRSSSSALRRVASANIEVQQAQLDIEKEKVEIERERLKLEQERVKLAREQAEV RQLELQNLERERELYKK ACHE_80135A MPSTLADVPAYKAYLDRVPAGTLSLPLIKEGENEETIIHVDELF CRVEDCIRGKKAFPGTNDLRYHVKHYHNVNVARPGTGRPKPEAVKAAVKFFKNIIEGP PSEPAPSPSESTSPEPTTPPGHTKPPFPLTKKGTVSCAAMQRWCKDNGHAVPCPSCAA KGLRAKDCCKNEGHCDNFSLFDPNSLPTDAE ACHE_80136A MSTILLFCTAQMPARVINCLMTDYALPEQAANIFSLVRDPSQEI LDEWQSDPPIPDFTIGFKGASDAEIRCYARNLLEDLTSHHASSLSTRWIAVLDDKSPT EDTVVIHHNMRKSSWVELLEEREEEVFIPGQAEVNEADDSIWWKWRIPCKSTFNI ACHE_80137A MESFPGQIGETFLLPGALRVVNGIKDEGIFVEKTFKAHVADFYF DFRTNAVEPLGAFVGKLCQFKEPMLLRRATLRCNVPGAETTPVHYDQIFLRAGPPTSI TAWIPLGDCSVTGGGLMYLEDSVKIGKKIEQDFAEKGKDLTDEERISAYNKNMMAGGF LDRNAATFGKFWGRRWLITPYEAGDVVFHNPFKIHASCRNESEEGVVRLSTDLRFVDK AEPFDQRWLCDAYQQNDPNVASRQKKSHM ACHE_80138A MADIATSEIQIYDLPTKTVNLTPMGATVVREITTKIQPFRQSGL NEITILGLDPKVDVDSIRIEGSGPATVTDIQTEVVPRRLLFEDVYGESDNDDPSDSED PKLKSIRDERTSAEERLDKARNDENMAVRVLSLMDSYGNRMQPQYTESDKFQDFLERY VERYTIESERHRKAKAQVLKEEKEIVGPISDKLLKLEIQHQRRRDKNQREREQGKSQE MRKRQEQRHFWTYSVGQVVVHLDSQSPFTPGSSRRSSFSVVEQAADSPADSSEREVTL RLSYVVPGAKWSSRYEMSINTPSSTARVTYGAEFQNRSSETWSDTRVTLSTSQTAFSG LHETIPSLQVWHVKLINQDDSNEQPSWEKIVCNVSEGGRPTVDPRTAKQDYQMQLQIM EQQNRYRFQIARHDQDTLAREAPQTPPPPPQQPQVGETSLFGTAAPRPFGGNVPNPFS SPGTGSLLGGTAPQATPEGQADQFVSRMQAQSAPINMMQQAMQQAAPMAASAPPPPQK LAAHSYENNDGNDTNDENNEENYDDGIPEPPNFEYQDSTRQEYGLTTTYDLPGRRTLT PSSVNRRHALAKLDLKSITLQHVIVPKHRAAAFFRARIRNTSSIQILRGKVGMTVDNA FLGTTTMPNCAPNDFFDISLGVDPSILVTYAKPTVRRETSGSFFGKEDTAIFRRSCWV KNTKTTPANIIVLDQVPMSNDEKLQMNISEPKGLAREGDKVAIAVEETKGKGDAFLGK DGEIKWVMQLEPGKDVRLVLEYEMKAPRGNDVSVS ACHE_80139A MVTLKEFLQHASNEPKWLYEKLQVTHQRYEDHLDDRKARLAEEE LRGQTKDGEIVLLCRDMEEVKQQLTEVKTECDAFGSHIAD ACHE_80140A MSLELLQILVPAGLDVNYKEDRVGGYVAATASCNQMDLTRYLLR HGADPNRNPLADLNPALNMAVKGICMEMAELLIQYGAKVNGLGALAMAAEYDRFEMMK LLFQHGADVNDDAKDRAEECIDYIEGVTALHQAAKVGRIDAVVFLLNHGANPDLKDED GRTPLMVAQENGHPEVIELSKKIATVM ACHE_80141S MPLNRAIVSSLLRSPVQTEEDRHPWGLKSSTDLDKPLLRTWDKF SGSKPSSTGIMLSRSPRERLDTPRARKEFLAIHADHQKWDDTPFISFTQSPQELQDTA ELREPRRGYQTITVLNPNVRAKKGLPILNMDAEMRYYGIPDPYGKSNKYYKNHYVCLW EVTEEEFIGNWLWEELLRTDRWYEQVILPAFERHNDKHLVNNGALNMSDLRDALLETQ APSIKALAGPYRCFSRDVETSSEDEEYEVWFEEESDSYDEVEERNAMDDALKTLED ACHE_80142S MILPITLSRHEKELTSYAMLDTGAEGKRFVDKEWAQDHGLKLLP LKRPIRLETFDGQEAESGPITHYVQMHMRINDHQEKRACFLVTQLAHYPVVLGLPWLK IHDPRIGFAEHTVLFDSKYCQEHCNVPTRPAKIRALHDIPRKTRPKHLPARPEGLEHQ DIAAISLSACSAYARKNYRMFTVTVKDIEAALNPTPDEEDPTTKLPPEFQDFADVFSP KEAERLPPHRPYDHDIKLQEGKVPPFGPLYPMSREELKALKEWIEENLKKGFIRPSSS PAASPVLFVKKPGGGLRFCVDYRALNAITVKDRYPLPLTKETLNNLKGMKYFTKIDII SAFNNLRIKKGLEYLTAFRTRLGLFESLVMPFGLTGAPASFQRFMNDTLRDYLDVFCT AYLDDILIYSKTREEHIRHVRLVLEKLRDAGLFAKLSKCEFAVPETKFLGIIVGRDGL RMDPDKVKTIVDWETPTCVTDVQAFIGFANFYRRFIKDFSKIITPLVNLTKKGIQFKW DTTCELSFNALKKAFTTAPVLRPFDWNKEVILETDASDYVSAGVLSQYDDNGVLHPVA FFSKKHSATECNYEIYDKELLAIIRCFEEWRPELEGTPSPIKVITDHRNLEYFMTTKL LNRRQARWSEFLSRFNFKIIYRPGKQGAKPDALTRRSEDLPKEGDERLLHQSQTVLKK ENLEPAPDNSPVTLNATTRARDHSAESSVENPPRIPAQTRRVRFADETNHDVPEPPQD IKNLLDNAYSVDETVLSILEALDKDATRHPQITLADCQRRGKYLFYRNRLYVPDNGEL KAELLRQCHDKPAIGHPGRSKTYELLSREYYWPGMYQYVEQWTQNCHTCRRIKPSREA RQGILRPLPVPERSWQDISMDFVTHLPPSRGYDAILVVVDRLTKMKHFIPCKGTCNAE EVARLYAYNVWKLHGLPQTIVSDRGPQFVAQFWKHLTRRLQITNLLSTAYHPETDGQT ERTNAVLEQYLRAYVSYLQDDWSEWLPLAEFAANSARSESTHVSPFFANYGFHPRMGF EPVLPTNRPARDAEEFACRMELITEFVRTAITSAQARQEEQANRKRQPARRYQVGQYV WLDSRNIRTLRPQKKLDWKNLGPFRIVEIVNPHAYKLDLPASMRMHPVFNVSLLRPAA GNPVPGQRQEPPPPVEVDGLEEWQVEDILDSRWERRGRGGPRLKYTVKWTGYDDPTEE PAAYLEHAQEVIANYHRRYPHKPGPGLNGARP ACHE_80143A MRLARFMTSDDIADYKTLFLEAGERWKREEEQWKQAEEQRREAG LRRRAEEQTRRTTFDEEKVPSKTAPVDRISNPAGGQMSLQWEAVEHRARHSKSPMLNM GILLLEKARPPHGGRSSCAKPRYIVSCSKFRVLQFLFS ACHE_80144S MTTTSSDPPWVMKKAVLVSIPNSEIACSARLRIFHDINTNKGSI SLKIRADLANLRGILQDLTLSILPERVEECALSLTNNDGLFPPGLVPMLPASVQDIRA VSTLSLRLGTTGIVLCPHRMEYLSPANPEDKKFYAFAKICQSKSLRLHFSTRQFVDDE PDRLKTFSLALNRKDFEAECFKRPPPGVVERNWTVFSLSPDPPPYDEAEQVKQVDPPL YSGGSKPEQVIRKRCRDQRTMSPDRNRRRKRLLSSPQPPGSATEVDTPSTCSSSPSSI RPTYFTRAFSPGCADRNKLAHLEHQLRGVSDHLICKLLIRTGRRHLLARPEEVDSDLP SNSEKVSFAKAEPTLKQYIDEMIERCLTPVVNKIVDSAVSDGRDQITDGCKITEAEFR EQVDDYNSEVRMTADECMKEMQEQAQRYMDKIEEQAQWYMDKTEEQAQECMDNIENQR SEVEMSMEEKVAKFERWFNASAPSLLDGKSSPTHELGTDARRCSI ACHE_80145A MAKRSNSWKASQLEKKRKARCELHLEQGYDAKTHQQKDAERTGG RASMKTKEKYKERVGKYAEFLIEEKGMPEGYKVGKGHPAPTLEELKEFFCWIIDSTEG GLASQTGQCCSPWMSWDGEVCYRYSTSQSGECPPDTTRTKNNRCEKPSGGHAFCPPGL RESKGQCVARGPFCPPSTHYKARLKGCVEDETPACPDKDSKLENGKCVLKTTPECPKG SRQEGNYCVNIIKPYCEGEEEGTVHFDGTQCVSNELPECENTDFNFDGEECITGRKPV CDEANGFFLQGGRCVSTKTPECPDDGKLTANGECVSRSKPKCKTGNLVGKHCVVGSVT CPKGTWDGKHCVTEDKIKCPPNHSWVACKNKCVSFEKTECGPGYYEKNGECVSKNDHI RCDDGAEWDPKTQTCLGTKPECPEGSVPEGGECVAQEIPKCPDPERFEFNGKKCVLKK GPDCAPGFRLDGTECVSEKGPVCGFGQVPKDGRCVLASGDCMEFEFCPTYKPLL ACHE_80146S MAEPLLEFGQYTSFLSPAQFVTALQKAPPSPRIIPVAAGRAAQH GESLRRQHIPGSVLFDMDIIRDNSSPYPQMLPSASHFADCVSQLGIMPDDVVVVYDPF EVGFYSAPRVAWMFRLFGHTRVYVLNNFRLYVGEGHPVAQGEMLMPRRSEYPAHDMDE RKVIAFEQLRDMIQQGTDTDVQILDARIPGRFTGVDPEANAALSSGHMPKSINVPLAS MLDTEAQTMLPAIQLKGLFAAAGVDPAKPKILTCNSGVTAAALDMALSESKFDAAPRR IYDGSWSEWAQRAGPNDGLIEKSVS ACHE_80147A MISTLLILLETSLLSLTSASAAHERSYFYVGGNYSLNSDDEHIF TDQMYIEKLVPAGGVSREYPIVFVHGNGQTGTNWLNKPDGGDGWASYFLSQGYECYIL DQTSRGRSPWTPESGTRSVFTTEHIQEYFTAPERYNTWPQAHLHTQWPGSGVMGDPVF DAFYASNVPYVNVSTAQQSAVQDAGAQLLDRIGRKVILVGHSQGGTMSWVIADAKPES IHSLVAIEPAGPPFRDVAFGNAPARAFALADIPVTYEPAVADPESDFVYQTVQANSTD RFDCLTQAEHPQPRQLANISKFPVLLVTTEASYHAQYDWCTVLFLRQAGVKTEHWELA QLGIHGNGHMVFMEKDSDEVAQAIAGWLEH ACHE_80148A MWSAVSLLLALPWASANPVSASVPSAAPSSTPTPTNPKPLLSDF DALGAWFDGVASIAQTPNLTAAETAKLRNTSIAIIGGGISGLSTALFLDSVGVYNWEI IEASERIGGRFRTKYVGNSSEWAEMGPMRLPYRVRWDDGEVLEYSDHRMVWQLVEKLN RMNKKRGEEERKVDFIPWVQHHPNELIAQGTNRHVDGSIPTRGEIEADPSLEEDTAMS TEQYNTTQDRMDAILKDKETLKSIQRDPWRAHRKAMDQGLDDWSEQAMMRHVFGASEN VTDQIWTDSDYDVFWDELHHNSNLGLDGSSGSMGETEWMCIDGGFDRLSDAFLPHIKD RLTLNRKIRKLEPITAADGKPKTKLSWYPSVSNRTFESKEYDYTIMAIPFTQTRFMDL PTYSSVLDRAISEAGLRFKSACKVALLFSERFWEKGPKPIFGGYSKPPSNAMGALYYP VYGHNESRPGVIIQYRGGDWSDRLVSFSDEEYVNTVLDAIVSLHGDQAREYYTGDYEK LCWLEDQHTATSWCRPDVEQHKLYIPAYHITEHNTVFVGEHTAPTHAWVSSSLHSAVR GVVQVLLELGLVSEAKGVNEEWMGRWIQRD ACHE_80149A MIPLATAKYDWILALTTIAFVFSAFGNGANDVANSYATSVAART LEMWHVGILAACTEFIGAVALGARVTDTIKSGIIDIDRFEGNPGTLMLAMGCAEVGSA TWLMLATKMGWPVSTTQTTIGALVGVGFAVQTSIHWEWTDGSVSQTAASWGIAPGIAA GFAAIIFGTLKYSVLERKDSFKWAMRLIPVYLSVTGAILALFIFIEAPTVGDLEEYGA GKVVGIILGVFGGCLLISIVFFMPYFYRRLVREDARVLFYHIPLGPLLLKDDPWLYFP GKGDSPVINYYEDAYGEVRAGQNDQEKTTSPDASENRQSSVSKAGLNTNDVERTFDSV QSSPEIQPKKKHLEPHERFIAPVEHLPWFNPAKWLGYIKWFFLQGVTRDVITHDSELL RAIHARAHRYDDRVEHLWTYCQVASAMMMSIAHGSNDVANAVGPWAAVYETYRTGRTD ADAPTPVWFLVIAGLLLGTGFWFYGFKIMRAMGNKITQMSPTRGFSMELGAAITVLLA SRLGLPVSTTQCLTGAALGVALMNYDLGAVNWRQLAFIFGGWVLTLPCAGLVAGLLCL MALNTPHF ACHE_80150S MSSLATNRGPAVLTTLWSLTSASLLFVLARLLVRLRILQKAGLD DILITISIILAYIYDVILTIAVQFGYGRQQSTLSSEELSNSIMFIMAGFAPGLMSIVV PKLAVVALLARTMNPTPRQKWFLWGTVLGSGVLLMGCVVILYLQCRPASEIWEMNFAK GSCWSMTVLVNYSIVVGGIAAAVDAYLAIYPAVIIWNLHMGIKKKLGLSFALGLGACA CVMAVVKCTRIPTLYNMANITCTPPSLPSHKTKKRKNNKNQMQQPTSSSGPGTFSPQH QSKPNLTRNSIESNTIIIAASVPTIAPLIERTLGRRVLGTSRTTEKDDRTESNRHLAR PQAQQVQINQNQKRARTWLSAINIEQSYHECSIQRGSSSGSLEGVEGLTPTEGVFGNG IQRRDDVIIEYGRWPEGIWGRERYIEKI ACHE_80151A MTASETETRVDRRTTDEKMEEGAEQREDTVLLLSEEEALSKARA NPDEALNIYVTYAEHDSDNPRNWPKWRKWWITCLVSSLNIVTTWCAGGISSGATGIQK EFGVSAEVTTLCLSMYVLGYAVGPVLLAPLSEYFGRQPVYAVSWFLLFIFQLPLAMAP NIGTIIVCRFIAGFVGGAPLTNTGGSISDLWVRNESGGPMAVYGLSSTFGPPMALVVT GYIALNPGWRYIFWVLMAITGGFWVLLVLTVPETRHTTILDRKAKRVVKTMKKENLQS AHTTVSAHSANKKGLHELFAITLTRPVRFLITEPITFFCAIYNGFLYGLVYLFNEAFP LVFGEGHNFNVGAQGLSFLGLAIGPLIAFCAYPLQERYYLRRVRENDGKGVPEARMWM ARLGAIFIPVSLFWFGWTSYSSVHWIVPIIASSFFGAGIYIVILSILNYVVDAYQTYS ASALAGVILARNLVGGGFPLFATQMYNKLNYEWASSLLGFIAILLVPIPFIFFYMGRA IRLRSPWARQHFDQTDDNPH ACHE_80152S MPYQGHCMCHNIQVTLEERPENTLRCHCRNCARSGGGSSLNYTV DEPDVTIDDPQSFLKDYEDNETTSGNCALRQFCSNCGSPIVARSRFPGKTLVKASLFD EISRPVIEAYTDRKQKWLEAVEGAEQT ACHE_80153A MSLPGPGAAIEPDDYDADSTYEGSLGDVSYTTSITSSAMRYTYE NGRRYHSYHEGEYVLPNDEQEQDRLDLSHHIYKMLFRGALYRAPIDEPRRVLDIGTGT GIWAIDFADEHPESEVIGNDLSPIQPSWIPPNCRFEIDDYEQPWSYSKNFDYIHGREL EGFIRDHDHVFRQAFEHLAPNGYFEIASFEVNTYSDDESHLKAKCLVEAVNLMHQSSK EFGKPMSTTHTWKEKMEKAGFVNVTEEIYKLPQSPWPKDPKLKDLGRYHQVNMFEAIG PYCYALFTRVLGWRKEEIEVMVAGMRRELRDLSIHLYTKVHVVYGQKPETAS ACHE_80154S MSDSASFTSGSPATSTHHGYKKSLSSKADPNTALNEHQPMANIG SVSTFSLRSIQHTDHEGRLITEPDLSNPTRHRFERPLDTIRNFEAAIDRRRREMY ACHE_80155S MKLSLLSGAALIGSALAVDPIVIKGSKFFYSSNNTQFYMRGVAY QQDVSSDDSGNTTYQDPLANPTACKRDIPIMQELRTNTIRVYAIDPTKDHSECMNALA DAGIYVVSDLSQPDESIDRSDPQWNTELYSRYISVVDEMAQYNNTLGFFAGNEVSNSA NNTDASAFVKAAVRDMKSYIKQKGYRTIGVGYATNDDSDIRVNMADYFNCENSDESID FWGYNIYSWCGDSSYQASGYKSRTEEFKDYSVPVFFAEYGCNAVQPRKFTEVDALYSS PMADVWSGGIVYMYFQETNDYGLVSVIDSTSVSKLADFTSYSQHIASATPSGTNKASY TPTNSALQSCPSVNSAWEAAATPLPPTPNSELCSCMSKSAKCVVKDSVSSSDYSDLFG VVCGYTDCSGVSANGTSGDYGAYSMCSAKDQLNFIINHYYEKQGSKASACDFGGSATT TSTTAPSGTCKSLMSEAGSAGTGTVTSQPTATGSSESESASASSSSSRGAAAAGVTPS VAIGFGSVQFGAYAVTAVLAGLGMVLL ACHE_80156A MPTERENIEEQIKNAIATYERDKSQKIRPLAEAFDVPYQRLLRR VKGLPGRNSTKPVNYALDKHQENALKHWIERLDQAGVPPTAKRIEKSANLILQRAHTD PTIPPKKVSKEWPYRFLERLGPEYTRLKQRPRDPKRLQSQDLGIIQNWYDRLEILLKQ YQIQPQDLYNFDEIGFMEGQGRGEVVITKYPSRAQHPGASFSRGLISVVECISADGSV LPPCIILPGKGHLEDWYTHSDMPGNWILGVSPNGYISDEIAFEWIKHFDKHTKQRCAG VYRLLLMDNHGSHLTYEFIEYCEKNRILLYSFPPHATHFLQPLDGKPFKQYKHYHGQA VTEAAILGWSDFEKREFLTVLPGIRKETFKTHTIQSAFRDCGVFPFDPSPVMDDLEKQ AEPIPDLQIWDGDSTSSGSAQSSPKTIRQLRKEISKARASLDKIDGHLAALSPGLNRR LERIFSGGLTQAESSDQTAMELDRYLKAAAHQSKPKSRRQVPGLSHSGVLSVQDANRR IGARKKAEEKKEGRRLEQSIRTSLATTHRRYDRLELWMMGIDENADQETIDSILNKNR ACHE_80157A MPVVTIIEGLKDLLKEPNGCEDDPDPFDIYPDDKILQYILKPIA THWIQTMLTFAFTVFAILGRCIVTDYDCVCEIQLHFFFLPSVVFWVFLGVYLFYLAYK LNKL ACHE_80158A MEAAMQLAASIVTLCAQYDSSNKDIKLDIVRLTSQIRQLRTALE KAQESAESDGFSPISDKITDEVKVLLVPLKDRLKIDKDELSMTPFGLRSYSWPLERKD VEDIINQADKSKTTIQMDLQTMALQRLSINDNNLIGVLEKDNEPLKDGVTFDNCLSIL SRSLKKDICDISRPDTLVEEVGRDRIETAVPQDLQYACHHWVDHLQKEIGDDSLDKTE RFLKKHVLHWFEAMSLLGLTSPCVLMIKQLQSTVSTHYSHRQTLSTLLYDCWRFILRN RSIIETTPLQTYSALVFSPQNSILRTTEALVDNFPAWLSLGESILANWDPALQSLQGH RSRVSSTAFSPDGKWIASSSYDYTVRIWNSSTGVLYRVLDGPTYLFGEVAFLNNHRVA CKSLITYEYWTWDLETGKSQDPQLSAEEEAMLERNSLVISQDGNLYLEDIGSHVRFID KVDGCLDGLYLKDAHSGAILSTLLHGGDIKGAFSPDDKLIVSDGSHYTVRLWDTKVPN SERTYESHSDRVFHIASSASSELVASASKDKTVRLWDPMKRNTQHVLKDKRMQAAWIV EFSPDGKLIATGSEDSTVRLWDTATGSLVRSFNGHSRGIWALTFSEDGSQLASASIDN TVIVWNAATGDKIEQFQTEVVKDDAHGNPNITYNEDFDAVHQPGVLFNGTTSYAICHH QRLRLMNRKDWKSSKMIQFTGPVVWSRISHEQKSVYSKQGVLQYQAASPGASSCISPS DLKLDDGWVSWKATRLLKLPEGNVPSTIAGKGNVLVLGYEDGEVRFITIKPEEIH ACHE_80159A MFHNLVSWAMSERGISILRRTGLAIQAVCVVNAFWAYYSADKEL EQAQKEANDTKKGLERLLIATTFLLKLEKALRRRQEKVLKKALQNTGVLSETVVEDAI DKLFTEVLPTADIKEFPDLFHEIFEGEEEDSNLCP ACHE_80160A MARQLPKQQEQEETPSTPDTTGYQRNEILNLSMMAKVLRDREED VVRTMLQETNVLSNAVIEEAIELLFTEVIPDTDFESFPDFMSIIAQELEETY ACHE_80161S MSNYKFEGWVGLDKSAAEGQMVWQEFEPKAWEETDVDIKVSCCG ICGSDLHTLRSGWGPTMYPCCVGHEIVGTVVRVGSQAEGNLRVGDRVGVGAQGESCQS RKGDCEECASGREQYCGNHFAGTYNGTFMNGGTSYGGYALYHRAPSRFVIKIPDELSS SEAAPMLCGGITTYSPLRQNGCGPGKRVGVIGVGGLGHYAIMFAKALGADRVVGISRK SNKKEDALKLGADAYIATDEEAEWATKNSRTLDLIISTVSSSKMPILDYINLLRPSGT FIQLGNPEDGTLDIPVPALIMKGVKLGGSLIGSPSEIREMLQVAADKKVKSWIQEVPM KEANRAILDMDAGKARYRYVLVNDA ACHE_80162S MPSLKSIILILALGALTTATLKPATSNTKGKCPASIKCSAAKSS TTIQAAECSHNTRTSKTQTFAVFKIDHQYDSSHGAPYGTCEAYTCTAPTNSDLTDLDE DCWVFFWNSNGEDEGTGTGCIRSPDDGSCGCENSDGTFVAGSSSCS ACHE_80163S MSASQDRFHQAETPIHGYFYWMVELGVLRFFIEYHIFQAIPDSG ISISDLATKTGIDARLLGRHANFLVAVEVLKSPSPGQIEHTSLSKKFQEPLATLLYPH VFDSFMATAVKWPEYFKQNGGSEPQRSNRAPFGFAVGHPDKSFYEVLELIPERAKSFN SAMALSLDDMPITGIYDFQWVGDYRSQTDVSSRPCIVDVGGGKGQALKAILEENPTIP ATLCVLEDQAEVIQQASTEASPVLEPVRRISHSFFDEQPVKGALVYYIRRVLNDWPDD ECVQVLSRIRTACAPDSRVLISENLLPCEPLLGSAAIDLWMMNFGGKRRNENMFSELA SRSGFRVSSVAKDKATNMGIVEMLPV ACHE_80164A MVFEEQYGFSTGIAGLTYLGLGVGMILGLYANRLTVDKIASKLA ERGTRKPEHRLPMMIFLGPLIPAGLFWYGWSAQAHTHWIVPIIGTSFLPAQTYLVDAY TRYAASAIAANTLLRSILGSMVPLFGYKMYESLGLGWGNSLLGFIAIAFLPLPVLFYK FGERIRMRTTVKL ACHE_80165A MPKEKGSVYPRNTTSLENGENVPHEGRLYASTSSDQNMKDPDVI IIDWNGIEDSRNPMNWKPFQQYSQVFVVSAITLLTSLAASMFSPGVSQMMEEFHSSNQ ELGILVVSIYVLGLALGPLVLAPMSEQYGRLVIYHSCMALFLVFTIACAVSKSLTQLI VFRFFAGCSGSAPIAMGGGTVSDVFPPQARGAAMSIWAVGPLLGPVIGPVAGGFLVCV PLEP ACHE_80166S MSAEYLARQRRRRVKLQLSCYPCRKRKVRCDRNKPCENCTRRGE VAGCTYATSSVTTPRPSQSDNVQDRIGRLENVVGSLVRELSSPVANRTTVDIPSPLDT TQQPIENSVGRIQVKQNETNYVGSEHWAAIADNLPGGQKTNIEQSSQFPDLLLGLRTG STFKSMLASVPPKNEVDRLISKYFNSLDLAELVTHAPTFQKEYENFWDSPTTVSLNWL SILFSMMCLATDILVQCGEQMARDASKPQESVTLFRQCSAQCLILSDYTKPNNHTIDA LLLYFFCELLRFHDTHFGLYLALSMIVRVAMRMGYHRDPSHYSNISIFAGELRRRVWA LLAQLDILVSLQIGLPRLIHEHDSDTASPRNIPKEEMDPEMTTLPPSRPESNGAVLSY MIMRMRLMSVLGRIHCHVTSIHPLSYDTVIQLHEQLNTQYDSFPSSMKVQKNPSVTDS AAVVMRRLSLDLLFQKARCVLHRLYMKPQNLLSWETCIDAALKIIHHQSFVYRESQPG GPLRGHLWKITCVATYDFLLAIMILCLGLQSGLGSESDSSSAPDRDLSRRQPEALLSA LKDSYAIWAEWSSEMKESRQVVEIVRIMLDRVKESDLTSHVGYPNNGTENLQVIQNNG YSGADSSINDGISYFSTPSDLSNAMSMRPLSLQADNIEKAPSSYLGGIFDPMDEFDWA LWDSHLQENGIYSQISSSNHVF ACHE_80167S MDAPSSLGSSELLSGFSVDWPMWSSPWSEDIPGVHREQNDADLL DVNDHIASINTSSLFNHGKDFTPPQHNLIDLPPLAPTAEDVSRYPKSPQRSLESYAFQ ASSDLCNNMPCITEGSSGLFLPTEHNNIPDIVQLSGLVAEIHEALITLSGGHTSPNKA SALDTYPMGPVLKLARRFMALLRSFWAAKSTSVSVNDDSHYDKTAPSSSSLFPTSPIN HTDHSNTQTRVNDVPTMLLVLTCYASLLKLYMMVFSQIESSIEQLPDPTYSNCNTPAL VQGGKEDDRGLRLRELFSSPTNETCSRLYIAVQMVLDELQAVDDLVGCSTSLPIFKTS QSHYGDNNDLQGKEGHQRQEVDLRNPWVWFTHQLEMVKTVLNKDARRVFGIDDQNSSN GLFQQGHRLKALLRGRMNV ACHE_80168A MRVSLQNALVGTTDGVIGISHSMPIPEVFGNRVLIKVKAVSVNP VDSKMAGAYITPGAIAGCDVAGVVDKLGPDVTHAKVGDRVCTSVMGMNPLNPTVGAFA EYTTALDTLLLKLPPSMSFEQGASLPTSFFTAGLSLFHSLDLPGRPLEPSSKPTTVLV YGGSSATGTAAIQLLKLAGFDIVTTCSPHNFDLVRGYGAGTVFDYNAPDCASQIRKHT RNGLKYALDCISTMSSMQFCYQALGRSGGKYTALEPYPESISQTRNIVKADWILALQI LGHEIAWPEPHRRPADAAVIEFGAAWAVTLNRLLEEGVIQLHPLIIRDGGLGKVLEGV YDVQSKRISAKKVVYSL ACHE_80169S MTSTEPIALIGSACRFPGDSDTPSKLWELLQSPRDVLRQIPPDR FNVDAFYHTDGKHHGTSNVRHSYFVDQDPAAFDNGFFNIQAGEAEAIDPQQKMLMETV YESLCAAGQTIEGLRGSPTSVFVGVMCADWDGMLARDMETYPQYGATGVARSIMSNRI SYFFDWHGPSMTIDTACSSSLVAVHQAIQTLHSGESSVAIAAGANLILSPAMYVAESN LSMLSPNGRSRMWDKDVDGYARGEGIAAVVLKPLSAAIRDNDHIECIIRGTGVNQDGR TPGLTMPSGKAQTALIRSTYLRAGLDVKKPEHRPQFFHAHGTGTAAGDPQEAQAISEA FYSKDELDDKLYVGSIKTVIGHTEGTAGLASLIGTSLALQNGKIPPNMHFNTLNPRLK SFYRHLEVPTKCVPWPETRPGQARRASINSFGFGGTNAHAILEEYRVPEKEYEQIQNR FAVKTPLFSPLTFSASSESSLRSLLSSYSTHLQSNTHVSLHDFAYSLQTRTSTLAYRV AVTAFSKEDACSQIDAITNGEKNSTIGTRQLTKSFPKILGVFTGQGSQWARMGARLLE TSPYVTKRLSELDSSLTEAPAGQGPSWTLQEMILAHPGSSRMSEAAVSQPLCTAVQIV LVDLLRFAGVEFHAVVGHSSGEIGAAYAAGLISARDAIRAAYYRGLYAGLAESPNGNK GSMMAVGTTFEDATEFCQLEEFEGRIQVAAQNSSTSITLSGDEDAILEAIEIFKDEGK FARQLRVDTAYHSSHVVPCAEPYRKALEQCESDEPIPTGTKWYSSVREGETMAPGQLG PQYWIDNMVSPVLFSTATAKAWTKSGPFDIILEVGPHPVLKTPCLDTVEDIIGDRPPY SGLLGREKDDVQTFSSALGFIWTHLGPRSVNFDEFERAVSGNPMPRRFLPGLPKYPFD HSRKFMSLSRSSGLYMSTQGAPHPLLGRRCHERETSDIIQWRNVLDPKEIPWMVGHQI QNQTTFPATGYLAMAIEAIGVYARNSSIDLIAVRDFIIHRPLIFNDDNPQVETLVNLK IRNHADKAIAAEFSCYTGAPHDHNFVMKSTATGRVEVTIATPEPNRLPSIGIDDLSLS EVTPDRFYNFLAGLGYNYAWPFHGVKDIHRKADYATGTIDDQSGTQWEDRLIVHPGML DTALQTCFAAFCCPGDERMWALHLPTSIRSVLINPYFTPIGIGKQTELRYATVSRQDK SAKIYGDIHLFAGNSNQTFLQVEGGELVPLTPALPSNDVVLFSKFDYRLANPDGEEAA STSRYNRKDVELVIDSERISFYYLRRLLEDVTTEEVAKSLPHYRHLLNYASHMVPPII RGEHAHIPASAQFDTQDYINELVKKHHDNVSVRLLQSVGVHLPETVRSGSSILEHMVE DSMWDKLYEEGFGLDQMNDYIAHMVGQIAHRYPRMNIFEIGAGTGGATKRILQSLGSA FSTYTYTDVSGSFFSKASSLFRDFEDHMIFKTFNMDQTPESQGFEEGSYDIIIGSNVL HATLDLEGMMKNVRRLLKPGGYIIILEIVDNNCLRVGLTMGSLPGWWLGAETGRRFGP TLTLPQWDTLLSSCGFGGIETSTPIIHPLIPLHVFCAQALDDRVQMLRDPLTQLKALP ASTASQLVIAGGKTLRIHRMREQLASMLSPMFPNVSRVQSIQELNTSGLVEASTILSL TELDEPLFAANTPEKFDALKAIWHGAKNVLWITTGARADNPHSQMTNGVGRCIRSEHP NIILQILDIDRINSHTTALIAEHLTRLEMLSRWSAELNPGDLLWSLEPELYVENDTTF IPRLYPFEASNKRYNTTRRVVTEEVDPQQNDITFAIEEDSREVQRPSPLRMRSALPFS CEIKTIRITHFLLSTLSIVPGAHLRICVGVDATTQETFLAASPTAESPAIVPADWCIP LRDQDDPVTTLAALSSYLVARSIIQLATEADTLVLHEPHISVADIVQNMADSKSVTLH ITTTKSDTGLQWQYMDKNLPELIIRELLPSTATKFLDLSPDSGSSEAIARCLPRSCQT IDPATLLHAGVVLRPFTSKDEVARLLNIAWSNVACTVKRASDLPLVQLRDISTHSAAV GARFAIVDCTVPSVQAVVRPIDEGILFRSDRTYLMVGLSGELGQSLCTWMVAHGARYV VLTSRRPTVNPKFIRSMERMGATIKILSMDVTSRESARKCCETVVDTMPPIAGVANGA MVLIDNLFDNMPYDDFMKVTNPKVLGSMILDELFYDTPLDFFIFFSSTTAVLGNSGQS NYAAGNEFMCALAAQRKKRGVAASAIDISSIIGIGYVERDSIVNEFTFTKMGYRPMSE HDLHYAFAEAMTIGKVDYPGTCELVTGISPLHLGDQASDQFFRDLKFSHYILERPDEQ AAAGKTSSSQPVKVQLAAAKSKVNAVSIITESFLARLRQILAVSPDETINEKVTFVEH GVDSLMAVEVRTWFLKELGVDFPVLRILGGSSISDLLSDAVKLLPNSIVDISKLEEGK EIKDVQAKVSPAPAPKPPAVVDEIAQNESPADSTSSASSSDIDESPAKIMPSGVVTPR SPRDSEATTVCEYFDHESFIETPETILHDILSCPMSFGQKGFWFLNEYLADKTALNMA VMVKVTGPLRVGDLEDAVTMIGERHEIFRTRFFWSGEGTKREPMQGIASKSFLRLTEK RVASEAEAYKVLETVRNEIWDLGTRETAKMMLLTLSDQVHFFIMSMHHIMADGYSLSL LFRDLELAYTSKTLPPLPVESQYRSFASQQRRLHELGSSNQAIQYYRQALSSTAAHLQ PIELLPFAKSTKRQPLTRYDQEEAKIEIGADLKARVRSLARKNQSTSFHVYVCALQVL LFNLLPSSTQDILIGMADANRSDKQFINSAGFFVNLLPLVFRRSEPSATMDSIIQKVR DTTYGALSHSQVPFDVLLRELNIPRSNDYTPLFQIFVDYKQVYHDLSSLGDCKLSDET WRNTSTGYDIVLDVYENANVDAIIHLRLQGTLYSRANTDMLLRSYVNVLEYMTGATDA TVGSIPKWAPHDVQTALAAGQGTPLKRRWPSTVSHRIDEMIATSLPRSALKDGNGVTF TYEQMGSRVDAITNTLLTSGAKQGTVVGVFQEPSADWICSVLAVLRAGCVYLPLDLRN SIPRLVSIVKAAQPSSILTDHTTTDKVSLIGVSNVTEILVSDLKTPQKAQQSPNRAER DAPAVILFTSGSTGEPKGIVMKNENLVSNAEANSQIYAETPNLVVLQQSAFSFDFSLD QTLAALTNGGCLYVVPARSRGDPIEISKIMFEEKVTYTSTTPSEYDMWLRYAASTLSR CEFWRHAFSGGEAMSRGLALEFSNLKLPQLRVFTGYGPAETTCFSTKIQLDYQALPDP LPAGLMLPSYTCVIVDNELQPVPAGVPGEIVIGGPCVVSGYLNNFELTKQKFIPDTFF GTSTTMYRSGDLGQLLGDGTLYCDGRLEGDTQIKLRGFRVELSEVEKAIIKHAGGALS QAIVTLRGRGEEGYLAAHVVFVPEFPHEARERTIQSIRYSLPLPPYMRPAAIVALDDI PKTAHLKVDRKTLQEVQIEHLATAEGNGSSGTLSEAELKLSELWHQVMPFDPGLLTAD SDFFLAGGNSILLVRLQVLIRKSFATAPKLVTLMGAPTLAAMAAVVETSRPGHFIDWE AELQLPESLLQSQGVGIVPPTENADGITILLTGSRGYLGRHLLQILVTDTRVTRVYCL VREHDINDNDNSKVRVIQSDVSKPDLGLSDGIYAALVAETNVIIHCAANRSFWDQYEV LRPDNYNSVKELARLAVMAPRSVPLHFMSSGAVGSYDNSSTVPPQDGSDGYLSTKWAA EKFLQRFAAAADAPVYIHRLESAATVKDVTSASSEAAAVLNELTTIAARMGLRPSFDG VNGSMHVIPVDHVAQAICQTVRDSISTTTGQDGNDVVHMLHHKASIRIPVDDFEARLF LDEPLRLLPSFPLLDWFGKAKRRGFEYLVTAWELIMSSSSGNEVISRR ACHE_80170A MKVSLVFVTSLVACASAAAVDQSAEANLLVTRDCGAADSCQGFG WGEDLCNDRCKKCQGGSGYYKRGECCGMGLYTCCCYYD ACHE_80171A MSFGQDKDDDAFNIVNSYFIGPKAANLPDFRANINTILDELLET RLNYYPQDNERKFITKKVRRSEKFIKIRDNFSDVIRKVSQLLGEHSVPFWSPRYEAHM CTDLTVPGLLGYFMTMLYNPNNVALEASPLTTVAELKAGRQLCNLFGYNTDEGKKDEP LAWGHITCDGTIANLESIWVARNLKFYPLTLREAIKEGKLKFVGDTFKVTFWDKEDKV FKEGLLRDMDTWTLLNLRPETVLDLPEKLNRDYGISNQFLEGALKKFNIQTIGRDPLE NDHMRKNPTQYFLAKTRHYSWPKGLAIAGIGSGNITEIKVDNDAHIDLEELEDELKAC AKAQVAVYAVVAIIGSTEEGAVDRLSKIVEIRERLQKDHGLSFLIHADAAWGGYFATM LNRTPGDKPAKSDDGQGPIPALCLRDETEEDLLALQHADSITVDPHKAGYIPYPAGSL VYRDGRMRHLVTWSSPYLSQGSAENIGVYGVEGSKPGAAAMSTWLSNQTIGLNPSGYG TLLGEAAFTSARLSVRYAVATSTKEGVKKNFICVPFNKLSSEKHPEDFLNSTAVENER QWIRDNILRKENKDLIKDRQAMAKLRTLGSDLNINCFTLNWYDEHGNINKDIEEANYL MKRVVDRLSITSANTDPSNIPVYLTSTKFEQELYGDCAKNYMKRMGLEESDEDLFVIR NVVMSPFPTQDYFIGHLMDALEDIIEEEVDTIRKRNHRGNHVAKFLMQGTDNVFLVLQ TSFHCATLRQQLIVSGELDEDFKKTYVNFKNQNAEKSLILESTDPIDLHEKIDKLPDQ PLEFKAKIWKKEDLTSKEDENKPEPIAKGTVKVTRVVKSRPLNSEHREKNYPEDFTPF YLYGTPEQQHISHMLLRAPNIALCAGRVTLTRQVDGKDVKLDSNVLSQVPNGLILTLQ NCPEAAMQPFPEKNKDLPSRFFFKKDHKFPVKIWEDPRPNSSKGPGLLEGLKELCEAT MVLNKDVDVDAEWPNNDSHKYKKPDCDHWQKELENIDSVLNSRHKDINNTK ACHE_80172A MAVYAAPDEEKGLVPSNVDYFISLSLMALVQELDPTKHGRLVEF LCALQKQIATDPSTGESLTVDIDKEVLWTNLPSLRYTEAETWREFGGDYKDSSHGGNI MWFIYAANRL ACHE_80173A MAFESDSDRDNGIVMVEKNVNNEVSNAPNGIVKDWDAEEGPLRR KIDCILLPILGIAFFSLQIDRMNISAVLTSTITDDLGITTNQVNVGSQLLSAGIVVTE IPSNVVLQWLGPQRWLSIQLFAWGLVATFQAFVQSYPAYLATRLLLGMLEGGFIPGAL YYLSTWYKRDETSFRTTLFFFGQMFAGATASLISAGLLTLDGKGGLAGWRWVWLVEGL ITIFAGIIFTLFIPPKAGDGRPLISMGRWSYFTERESQIIRDRVILDDPLKAQGHIKI TGRDIWDTIRKPNVIQHFMVSLVAMSAYQGLTHYTPSMIKSFGFDSVDANALASVPVY CSMIWTLVLAYLSDWLGHRGPFVLLAITWNVIAYPCLRTTPWDSSQWHKYGVICVANV AYISMHILNVGWLSVYCKRPQERSVAMAVIVMAANCAGISGSQIFRTSDKPRYIHGLT AICALAAAAWVLAAVLNLYYFFKRRGKAG ACHE_80174A MKFAKSLLIAASSFSLLAEANKPDLQAPLIGSGSKAAKQPNILF IISDDQDLALGSTDYTPLTAKHIKEKGVFFRNHFVTTALCCPSRVSLWTGRQAHNTNV TDVRPPYGGYPKFVERGFNDNFLPIWLQEAGYNTYYTGKLFNAHTVTNYDKPHVAGFT GSDFLLDPYTYDYLNSAYQRNHDPPVSYEGRHTTEVITEKALGLLDDALESGKAFFLA VAPIAPHSNVNSSTFGGGAAMTEAIPLDKHKDLFPDAQVPRTGSFNPDEPSGVSWIRD LPLQNQAVIDYNDHFYRQRLRALQGVDELVDSLVMRLEESDQLDNTYIIYTSDNGFHI GQHRLPPGKSTGFDEDIRVPFYIRGPGVPEGEVQDAVTTHIDLAPTFFDLAGIPLRKD FDGAPMPVHNPIETTHEHVTVEYWGKAFLEGEKGGIGPGHSFNVPNNTYKSVRIIGDG YDLYYSVFCTNEHELYDLTTDPYEMNNLYSGDHSQRILGYSLEHVASRLDSLLLVLKS CEGRTCIKPWNVLHPDGSVQTLRDALHSQYNTVYQATPNVAFDRCENGYILDAEGPQA DVVYRDGLSWELWT ACHE_80175A MHRFLSILSLLPCVPATLAILGAPTVTISSPQATIAGQAGLKVE SFNGIPFAQPPTGSLRLKPPQPIESVLGDIDATGIAQSCPQFFFATNTSEFPGSVVGE IANIPLFQKITDAGEDCLTLNIRRPAGTTAEDKLPVLVWIFGGGFELGSSTMYDGASL VQNSIDLDMPIVFVAMNYRVGGFGFMPGAEILADGAANLGLLDQRLALEWVADNIAAF GGDPDKVTIWGESAGSISVFDQMMLYDGDIEYKGSPLFRGAIMNSGSVVPADPVDCDK GQNIYDTVVAYAGCDTAENTLECLRGLDYTDFLNAANSVPGILSYHSVSLSYLPRPDG KAFTQSPDLAVKSGKYASVPFIVGDQEDEGTLFALFQSNVTTTDDLIEYFSNLFFFHT SRDQLQTLIDTYKDTAENGSPFRTGIFNNWYPQFKRLAAILGDLTFTLTRRLFLKYAK EVKPDVPAWSYLASYDHGTPILGTFHGSDLLQVFYGILPNYASRSIHSYYFSFVYELD PNKRRGEEFPEWPQWTNEGVELMQFFKDKGELLKDNFRNETYEFLMENTQAFRI ACHE_80176A MLNSIFSGNTNNNGTTTPRSMRESPDAEMQPATHRTPNTPNNTR RRRIVVAMTGATGATLGIKVLIALRHLDVETHLVMSKWAEATIKYETDYHPSNVRALA DHVHNINDMAAPISSGSFKTDGMIVVPCSMKTLAAIASGFCDDLISRTADVMLKERRR LVLVTRETPLSDIHLRNMLTATQSGAVIFPPVPAFYIRAAGVEDLVDQSVGRMLDLFD LDTGQFERWNGWGES ACHE_80177A MANNIDKQEIDRVLQQRRKQRESRACYPCRQRKVKCDGTQPCRT CRRRGHPEICAYGVSHSRNRSASAGTEHPAGEVGLNLTWRPEAVPGLRSQESLPSPRD QNQGQAQVRVQSQENRPNIADGGEKEYIFSGDNSVVSILRQRTQDANGTMAREVGSVL GLQNTYNSYPFMDSKTPQERWSSLLQILPQRKEVLKFFHFYRLCAYPFNPILVDVDRF ESDLCSYLNAHAAGEFRDPNRISERWSAGRSVGHISLLLATLSAGAHYSDLEDPRRSE ACQELARRSFHALRLANFLFRPSLDTIQALLILGNTLQNNGQSDAAWALLGTTVRLAQ TLGLHTSKSMAHLPEYVQSRAKSLWLAIVWQDSLLCLCYDRPPIVTVSGWPTDTSIFS HPNLSYTEIMHYVCRMALDITKSDETEMQETSRSLDALATLDNVYSRAEPHLCSREHC RTFHQNLEHLALKMHLCFGVSVLCRPAIKRTQSRDVFYGHELLRTRAKASLLEASKAF LDFQALSIVPLRTWSMVHTVLSSTLLLCIWEETRHDPECRDLQQRVIEVFSATESASG DSGSESDNAQWLSEQHIRALVTLRNAVGSALDQQTEQTMPHGTETAPDANNFFPGFGM PNAFPDGLDISDVSPVTYIDSIMNVPLFDISQENQFL ACHE_80178A MSQTQAHLCFRSFVEALKADNDLVEIDIPVNADLEAAAITRRVC ETNDKAPLFNNVIGMQYGLFRILGAPGSLRNGAKDRYGRLARHLGLPPTASMREILDK MLVASKMPPIEPTILPTGPCKENHLEESQINLTALPAPMVHQSDGGKYIQTYGMHIIQ TPDGKWTNWSIARAMVSDEKHLTGLVIPPQHIWQIHQMWKKEGKDVPWALAFGVPPAA IMASSMPIPDGVTEAGYVGAMTGSSLELVKCDTNNLYVPATSEIVLEGTLSITESGPE GPFGEMHGYIFPGDVHVCPKYKVNRITYRNNPILPMSSCGRLTDETHTLIGSLAAAEI RKICQQNGLPITDAFSPFESQVTWVALRVDTAKLRSMNTNSVEFRKEVGDLIFNEKAG YTIHRLVLVGDDIDVYDGKDVMWAFSTRCRPGMDETHYEDVRGFPLIPYMGKGSGSPV QGGKVVSDALLPVEYTTGKNWEAADFEHSFPEEVKSKVLANWKKMGFRGD ACHE_80179S MFYQPGTTPHNLPHDPFKACVVPRPIGWISTKSHDGHANLAPYS QFTNLTFDPPYVMFSANQTPSQTRKDTVINAETTGHFVWNLATYDLREAVNISAEQVP YGTDEFARAGLEKEDANLMDVPMVKDSPVKFECVYHSTIRLPANPPMGTVDVVIGKVV GVHVDERVLTDGKIDVRKTKPIARCGYFEYAVVQDTFEMVIPGGKEILYGLEGSAKKN AEMPRLQPRAE ACHE_80180A MSNLPSVNMADTQTTDVVIIGGGISGINAAYRVQSAFPNHSYAI LEARDALGGTWDLFRYPGIRSDSDLFTFGFAWHPWNQNNPIATGESIAKYLRNTADEY KITPHIHLQHKVLAADWSSDENLWSLTVEHDGKTKIMNARFIVWGTGYYDYNQPLHSP IPGLDNFRGQVIHPQFWPEDLDYTDKNIAIVGSGATAVTLLPNLAEKARLCTMVQRSP TYILSLPNRNQSIWSYFLPSSWSRKLQRISWIWTSRLFFLFCQSFPWLARFILSMRTK SQLPAHIPWNPHFNPRYNPWDQRLCVSPDGDFYKALRKGRADIKTDTIDQVTENGIKF ASGDSLENLDILITATGLRLQIAGGASITVDGEPVHIGEKYLWNGVMLQDVPNASFVI GYTNASWTLGADATAHFITRLLRWMEDNSVVAATPRLAANTHLEDRRLLNLNSTYVTV AEKHLPKAADRAPWRPRDNYISDLSFAKWGNFNQDLHVVKKKDL ACHE_80181S MTQLTLRQKLDLIPAIASIWLTIIFAALTGFFQRRAKTWYLHIC YAVLRKATRRLSPLQMQWVSPSTSAMYSKYMAKTKQPPRTVSLESGGYGHWIGSPDAS HVLIWYHGGGFGLPANRGYFKFFARLLADANKSQPNSLSVFCLTYTLAPHAQYPTQLR QAVDCLRHVLETHTPEKVLLGGDSAGGNLVGGVLSHLAHTHPEIKPIKLSGAQIKGAI MLSPWTSLDSEFPDQIIDSRGDIITEYVAAPWAGAYLGSAARDFYTDLSRAPTEWYAA FPVERMLVCAGGTEIMLPLLEDLAGKIKAGRQVEFVVGERECHVAPVYHLYVGEGTET EQGREVKVFLRECIGKA ACHE_80182A MDQFKELTAHPQVQQVLQSPLAQNSLAAILALGFLRYTNRTLSR WSLNNWNSDSWQGEKELVLLTGGTGGIGRQIMEDLSQAGARVVIVDVKEPEFKLPKNV SFYICDITNSASLKTVGDKIRQAHGEPTVLINNAGVGHDGTILEEPEDKIRQTFEVNT VSHFMTVREFLPDMIKKNHGHVITIASMASFMALGEMVDYCCTKSSALAFHEGLRQEL KFWYHAPKVRTSVIHPLWVRTAMIKQLTDAGDQFNQPVLTPQTVSAAVVKQILTQSSG QVILPSRLTHYSLVRAFPSWMQEAARGIGSGILRKLRNCQ ACHE_80183S MLHLTGLAIIQGYIVQHFFFPEYHFRTIVFTSLCLNVFLYAFYR LLIYPYFLNPLRHLPTIPGRPHVKIIFDNPRGRIPLEWIRSVPNEGLIHFRNVFSQSM LLVTSHQALLDVMSSRTYDFEKPWRARDFLARIIGFGLILSEGQAHRKQRRALTPAFN IKNIRALYGLMWEKTGLLLDEMESELRRNPFEEVSTMGKIELSIWASRLTLDIIGPAA MGRDFQSLHNPENKVADSFTAILEPTREKMAFLAINFVLPQWFARRLPWSLNKVVDNE TGFLRDLCNEIVQEKRAAIVASGATAKELEADILGTMMMGGDFTDDELVDQMLTFLAA GHETTASALTWGCYLLTLHPQYQDRLRAEIRDRIPSGNAPITWSDLESMPLLNGVCQE VLRLYPTVPVTIRESVRDTIVANKPVPKGTRLILCPYAINRSPEFWGPTGENFLPERW IDTDPVTGKQTPNQHGGAGTNFAQITFLHGQRSCIGKDFARAELRCAMAGVVGRFRFR MQDPKQEIHIAGAVTTKPVEGMHLAMERVDSW ACHE_80184A MDEDDDDDDDDDEENEIVIDPDEALQNKRNHPAFSSLLRSKGFF WLATRPFQSGEWSQAGAILTISCGGKWFAEEDKANWPEDEDVRKSIENDFDGRWGDRR QEIVMIGEGIDTDRVTEVFDQCLLNDEEMKQWEGIMEGDGSREDKEDAMMDIWEDGFE GWNEAEEEDEHMH ACHE_80185A MARLDTTVTVLDAFNIYANLDTADFLSDRYGDDIVPEDERTISD LLVDQIEFADVLIINKTDMIDPPRLQRIKHLLTLLNPSAKVLESKYSKVDVREIISTG RFDFLKAASGAGWLRSLHEMTMQKTGNGDRMAPKPETLEYAPSPFICD ACHE_80186A MVINSGTDTLSYPVQQPVHQVTGKEMGEKRALPVTLLSGFLGSG KTTLLEHILKSPDHGLRIAVIVNDMSRLNIDATLITHHHVTQTKEKLIQLQNGCICCT LRGDLLAELARLAQKNDVQYVVIESTGISEPMQVAETFTTEFGAVFDQEELGELSEED KAVLVDVYVFPPWNWI ACHE_80187A MSTILTTLGLRAASGQQPPNYAAGYLVANFVLAYALMSTRGGKI RHGLDHNVAPREDLSKYGEAAVQAGKLSRTTLNRLKRQEAAHANAVEGFPFFVAGILL AVQAGVPSETINTIGVWYTLSRVAFGLAYVFIESEPLSFIRSLLWWSGNSACITALVL AGKRL ACHE_80188A MPDQCSYASYMSQWRDVDLHEKRLNSTPPVLATSASNHASLSSG MHVFDSRNRVTKPASRQDEMQELRGRVKALEHAIARQGAPIHTPDTLGDLSDAGRPDG VAEVVESLPDQCFRGSNGGTRYVGRSNYALFMSLFPHVGGFLHRESKNKNQRGDMEKL KHQMWSRERQNHQRTYRDHAFRLEEMVPARPFADQLVHLYLSTFETTHRILHYQEFMR QYEAYWTDPMNADTVFLAKLLAVMAVGSSFYSPETKPTGRESMHQTTSRWIMAVQSWV TSVFVGANINYDLLQIQCLLMLARQIDATDGDITWIASGSLTRTALTMGLHRNPRRFR HSKFWAEMRRRLWATIVELDLQSSADGGMRPSIDLEECDCDAPSNFNDSDLEEDMAED PAPKAGVSDGYFQAVLSRSLPVRIKIMEMVNSLKFTLTYDEALRMSDMLIRHMEEGLA AFEHGSGMTFAKSLYSFTMRRYLLILHRPFYFSVLQSPKYTYSRKICLESSLHILSML EEDAPHAHMRDLAPSMFRHEFFYAAMTVCAELQLQMEETSPGGQLTDLVRSQQTVMMR TVERMIQALQSRVYPNGKGGKAYIFLCMVFASVKAKLNGEDVHVAIGRASEEVLSACK AVMDFVEDRDKTPSVFDPVHVFPIDFSDLSALDFGTLFDLSDGPEWNGLVSGN ACHE_80189A MTIIVFIIVGIIVNCGANIQHTYFGDKFFYVGDAPFVSGIRGFA SVFVTASFAYGGTENVAVIAGETKNPAQTYPRVIRNVFWRILLFYVVSIIIISLNVPY NYPGLSSENSSTSPFTIVFAEAGSAVAGSFINAVIMTSAISAANHALFAGSRLLYTLA IEGYAPRQLSLVNRFQIPWVAVLTTATISALCFGASKIGAGQLWSWLQNLVGVSNQLS WVCICIASLRFRAAIRHQNLEHLLPFKNFTYPMGPALAIGLNIFLVLIQGWSCFSPKF DVVSFVSYYIEILIMLGMLFGWKLFKKTKIVPLDEMDLITDRYQLEAEETPLDSHGFG IAVKKGWLNNIPGMELLKGVGNWIF ACHE_80190A MPDFDAEKQAPLDATTPRAFSNLSHHAQIDNVNADRLARKLSPR QVQMIAIGGTIGTGLYLGTGRALATGGPASMLLAYTICGAIVFVTMLSLGEMVAFIPV TGSFCTFAGIRIDMELLG ACHE_80191S MAPMVRAIESVSSSASASLTTETGLLHVSGRPRSPVSGQVPADY DSQVLLSLLNLHRALLAANGSIANIVRLTLYIVNCNFQRHQHTRHLQRFLRSHNPVIS LIPVTQLAEPGWKFLVDAKASISHSFPRPLTTPEQKAWDVIILGAGLSGLTAADQLTQ AGLSCLVLEARDRVGGRTWSTSLSNGKGIVDIGASWLNDTNQSKVSQLAKRFEVKFIT QNTSGNCITQDKAGNNHVFAYGGLPVRSLPSALLLSDLLELTTAQFDIDIQKHLIEIR DLVETDCQQLDAANPQNPIHDAMTFLAYLHSRNASEVAIASASIWTRAMLGQEPQDIS ALYFLHHCKTGGGLLQMRSDRTGGGQYLRIRRGTQSISEALAASLPSGNILLSSPVTA IDQSNPNRVVVQTANRTFQASKVISTVSSPVLRTIQFTPALPARKQLLVDSYTYGYFT KAMLVFKNPFWVEKGFCGLAQSFRGPSSIIRDCSSPEDGGWVLTCFLCGDTGRAWSQQ DEKTRTDSLLEQVGLLYSDKDRVLSEYITTVWHDWTAEQYSGFGCPCPSLPPGVLTAA GDALREPFWNVHFSGTETSVEWKGFMEGAVRSGERAATEVSKQLVRARV ACHE_80192A MSFSSETTFDEYCANFSGQNSRWETFGLFFTAVCRASVDLAYAE PLYGSEQQRRKIQKLTLSYSDRCLDLCLPLDCMNDLQLVLQYENFISHSQVDGDQSYL SWRKLGDVAASLFALGYHQQQTETFRAAPHFLRNLRQTAFCRTYSADKNVSIFLGRPP RIIRKFCHFCLPGKHIQSAQEASRKTAVWDPAEKPDFITDSRWAALCAILKEDLLDLF TEENYDERVRQARLIKADAHAQWNAVPESYRLECSLKACDRRPVERDFMVNMKLAYLH VQFLLGLALLRPITTNPDPELFSISMDMLSLVVESIMLKDQIINSGTSLVWKVAYYGL SAAGLISLTLVNRSFATETLQTSMSKVFQDLSILVGEIERGTLVYIDSPNYALLTSAT QTIKSLLERMVFPSHNMTTASGNQPVLIESEQVAALDEDDGTWGLWDNNSLQDFEINF WHNLAGHPFLNH ACHE_80193S MTDSYPNRHPDIGYMPDYDKYLTRGKRRQETEKLDEHLPEGFPS SLSGDLVWDASTLANRYNWNYHLTAEDLDEINNALRHFKSLNKPMGELSPDTFPLPQL HAALRGISNEVHNGYGFKVIRGLPVDNYTREENVIIYTGLSSHVAPIRGRQDNKWQGK PADVIVAHVKDLSQSCNSKDIPGPVVTADKQVFHTDAGDVIALFCLNEGETGGESYIA STCHVYNVLAATRPDLIKTLSEPWPFDDFAPTGDVYKLRPLLYYQPATQTDPERLIIQ YSRRNLTGYLDCKRSANIPPLTEAQAEALDAVHFTAEKHAISLDFHKGDIQFANNLSI LHARGAFTDSSAKRRHLLRLWLRDPEYEWTKTKGLKERFDRVYAGVTVENSVFPLEAT IRSSNVAT ACHE_80194A MPAHFSPYLHCNPYASPQDGQDDNDVLSPPPSPPPRVHLSAGDG EAIADIHNQLTAQDTQESRPEYPEPHSSFDKFLEAEVQAGKKRSNLGVSFNSLTTWGG GESQVNVKTLATAFWRTLTLQDIYEWTVKRWVGDKEPEHGRALIRDFSGVVRSGEMML VLGRPGAGCSTFLRTIAGHHSSYLGVTGSIDYSGLSSEELKKHFRGQVAYVPEDDVHF PTMNVRQTLEFALQCKTPKRYRDRISRYLDIYGRVFGMSHTMDTLVGNEYIRGVSGGE RKRISIIESLATDSCVTCWDNSTRGLDASSALDYARSLRIMTDTAGKATLLTLYQASD AIYELVDKVLLVDEGRMLFQGPAQDAKRYFEELGYQCGEMQTTSDFLTSITVPERRRF RPGWEHRTPKGPVELEEAFRNSQAFANVQQDIQAYEAQRFARKDSQITLTNSDCGSLE DFKTQVQTDKSRFVSATSSYTISLFRQVALCTKRQFWQLKGNQKPTYIKLISAVVYGL LIGSMFYNQPQTTDGMYSRGGMLFYSSILLAWLQMSELEDAMQGRDIISRQKKFAFVR PSAVCLARVLSDVVVSLLITALYMIVVYFLAGLKTNAGAFFIDFLFIYLSTVAQTGQF RVFASLSTDYAVALRYCGVSVLFSVVFSGYLLAVDKLISDVPWVGWIAFTTPTLFTYE SVMIAEFRNTNFNCSPESVVPSGPGYTDVAYQTCAYQANRVGTTLINGDDYLATKYGF HSSHLWRNFGILCLFLVAYTLITCWLSEVMEWQPESAGTIQYKRSRKQPLKKGDNARD EENAPVGDDVSPPAYPGSVNDKLVGPLSGSKSSFTWDNLELHVQVGRETRKLLSGVSG YCKPGTMTALIGTSGAGKSTLLTALTQRQNSGHLNGTMFVDGRPVDRSFNRQTGYCQQ MDIHDDTSTIREAFEFSALLRQGRDVSKEEKLSYVDSVLETLDLVELQNALVGSLDIE KKKRVTIGVELCAKPKLLLFLDEPTSGLDSQGASTIVDLMRRLADQGLAILCTIHQAN QEQFEQFDRVLALSPGGRTHYFGEVGESGRAIFNYFTRYDQKPTRVTNAADYLIDIVA SGMKDSDKSIDWPTIWEQSPEAEEVKKEIAEMRGKHEKELPGTSDRELAPAPVSEQIF LLTQRTSRQFWRSPGYPYSRLFACFVHALINGLTYLQIGNSTTDMQSKAYSCFLIIIL VPDFINGISMRFIMNRDLWNARERPSGIYGWVAFCTAQVLSEIPYAVSGSVIFYILYY FLVGLPLGFPAGYTFLMIFLFIVFCTSWGQWIGALSPNSVVAATFMPFFICVCELFNG ILQPHEQMPTFWKYTMYYMTPFTYWIGGVLSAILKGTPVTCTQDELAVFQSPANMTCA EYAGPWLSQKGRGYLSNPDEYGLCGYCEYSYGDDYLSTIELGQSKIWPYFGIFVAFVV TNYMMVYLLVYLRSVMKIKPFWKRS ACHE_80195A MTSLLDMFDTGMAQSYFSKLISIDLELVCVDADPAMEVIYSDRI LPLLTLPRLQHFWVNRCLGDSAYHPEEGIPPKTMNFSSISLVHSCLDCMTLTEIILAS KRLKVFTYSVSVDIIENLENTDPINTEELIEALSCHAETLNEAHISMKERSRARAESV ERPTTFESFLPLRNLRYLDIEQAAIIDAPELPESLKMLRIKTCNRPIFELAEFLVKES RGRLCSLVAVKVAPRSRPCTGMLGMEESAFTEESLLDGRVFTEEPSKLAEFREQVQRL ETIIHGANFKLYVECVSYMFMSKRRDIPRAYSGTFLT ACHE_80196A MSSGTELARKVVQDVSSDDLDKWVRSSTLTNEVEESVRGHIHQE LTSWMFFRKLAADCFRTNIALHGYGMLWERCARECLIDMHWLEKYLIARGGRCKPTAI EATQIEWPDNPIEPLGPCMEAFRVQKKLIDDIVRLIALADKCEDASLSDALQTRFLRK HTRQVKNMGDLLQQTARVSKQPGVGLYHLDKELRFHKGIIPWTSTNDPDCQDKGMEDV TSLISEGLVLQGQTSQHGHK ACHE_80197S MQTTLYNALIRTHHITSRKKVSTLKRAADTYNCFVLLRSGGCPG IMYVESKEKDSVESWVNTVRNLRYKDFQLVTRPDIMTDEKGTLNRARIEPPRSKKTEK TSKAGLSEVDTVKEFGSLMEQRGVWQWWRKGMGYTS ACHE_80198S MTLGISQKSFPVLLLSYIGPQHGRLFCASLNEKQLVIRQSRIYS FETQAVAPLDFFSRVLLSRPALNGNAHECGGHGKAQKKRGAQDDIELRRHKTRKVTQQ AGNGNWY ACHE_80199A MFPGNESDHLHLVAGGTAFQRTMAEDTARKAIGTTCGIEIDRSN YWVPELYHKTEGGFELVDVEGIELYYMNRACNYTEDATACDTQNEVAIAPPDGLRMVA GNPFLRTYNDSNPAQQAISHMCIREDGSSNETKHLPQEPCSLLRSQVFFPSCWDGKNV DTHDHHSHMSYPAIGHYNQGVCPPSHPIAIISIFAEFLFDTKPYPDYDNLVYAMGDPT GYGLHGDFVNGWTDLDALRDALVTCTGDKGLTDPSCSVTKDQHGVLAPQSRPMEVHSP KEEREFGQNGPIPKLPGDNPVTGPGDEEEVGGKEGGEKDGKERGKNDGKEDNEQDESE NGGSKPKPKPNPAPGDEGSGKGCWFCGWGGLK ACHE_80200A MTTILEQVQIQNNPVLDAMYAQQTAMKTAQMPEKMRATSTQVAT RKQIKNKNQVNADCNCYESETVDYRSFIRKPEFIINMGSFTIRNPLHMTPEQSKSNLK YKVARAKKNARRACKDARKANKAAQKARAKSDKIHDSAVQTRKEIRDANEMAQTAWKS VDEANAAHARVMQWAAFVEREGEGVGESARGVRAKARFAGDGVEYCDHRFR ACHE_80201A MKRTSAFPLRRSSTSSEDEPDFFDLPEEPLLAVVKRQLRRIRTW VVLAIFLVLILYYRREQPKRPPLPHIQYDLVDWSRYAYSQYATSSAYLCNAVMVFEAL QRLGSRADRVLFYPENWDTEIADSNDRDSQLLVLARDKYRVQLVPIDMDLVKEGSGSG ESWDKSISKLLAFGETEYKRLIHLDSDITVLQNMDELFFLPPTTVAMPRAHWLLPESR QLSSLLIVIEPSYREFNALMETSKAAMFGQIETNDTHLYDMELLNNRYGDSAMVLPHR QYALLTGEFRANDHKHFLGDAQEEWNPDKVLAEAKLVHFSDWPLPKPWVMWPQNLLPE MLPKCKNNPGTPQESGCRDRQIWRHLYDDFRRRRRDICKILSYPAPDWPPVE ACHE_80202A MAGVNATVNFLLAAIAAVEIWQFFFRELQQNPGVSFWSQFRDIS GSVRVRWALPTVVISGLLLLAGDASIVKAYYLKSNDDKSGFYSMVDIILWIKIENSSI LIASCAHTARLLRVLINYYREGRYTGYLSDNDRAMEMRHCRDKSVSTVDSDYILNDMG YYRQSFFGQSFPRRYESQACRATPPTHVLNDCVTVVTDFIVEINKRQSRALHSSGGQF RPERKCFKDEEARVERYM ACHE_80203A MLALILRYALKTGIRWFLPRAFVLDKVWGLEDLLVFAGYGFDII HMTTVELRYCLDQMEMDEQY ACHE_80204A MNSPLDNPGIALAAIVTNPMSLGFIPVAVHFDLFTILTRAGRPI TPEEVAEISNAEIRERAKGEPELGVKLAEDVLYIMTGLGLVDQVFDNVFGANAITKHL ADYAGATHGALHFTIEGLLAGAFTYPKLKDTNFAYPFAEADTPMQYAHKRLGNEALSK KHTYSIMADEGRMDSFNLFMVQKFFKELKAPDRIKNLGYDLDAAISSTPHDSVAVVDI GGGHGHTLLAVKETYPHLSPDKLIVQDFYATVDTIPGLTLMKYNFKDTAPQPVQGAHI YCLQHILHNQPDLEAVALLQKTASAMNQDSRLLIIEVTKNANNAAMHAAMIAFYGGRE RSSDEWKLMAAVSGLVVTFESYPEFGECLVEMRKIKQ ACHE_80205A MEKLWALSICNIDGFEDTMLSLLGTTPESADRRDRVMSLWSDDG ASESLHESWKTSRLYSALEKMLSSNSESSPSQTSGKRSRADSASISSSEWGLRVDQSH STPRLDAPRVVEPSASPRLKRSRLSLPTDGRAAPQPHSNGLNTLQLPPQTSHLLDVYF AATHSWFPIVAKHNILRASYLYANSPLSVTNVSPGSGDHAALWAILSYTVSQSRVNQL DGPSGLLAKTKGYYSIARSLIPSENEHYELGHVQALLLLTLVNIGMEEWTAAWLMSGK AVRMAISMDLGALTDTRRSEELRQDKATFLGCFVVDSLLSFRLSRRPCMHPRDLSPVG LLEEDGLEEWNSWADVLPPTGAAQTKNPPRRGPLLALSCFNRLVELASVLNKIARDCS VGPNSHAFAQQMVLELKQWDDHLPLGCRLIGAESIYPERHSALLPHQSYLGLVYVATL LWLYLRIAPQELGLHHSQRPATEGAKKLLYRALPMISQHLDNFSMCGLPPVFELSLRT ITEQAFALRTMIESDSFPFSQWTEALVKRTKELAPTWPAYVTLLTTIERWYRSGSLSE VPSMALHGTMNIPGLSPGPVTVTGSHPKGNSMRTQANMPGAAKQDLSSSILGIAMPAD GQYMTPKDTAMENADLSMTDASSLQQVKTTPTLADKLAPTNGMPPSTQPQPPTPDSSV SNQMRTVPDRPSHPENMALNPGPSGSKIGEPQASNGAIDAIFEDLAYLDTTEWANNRE AGLKEFGFIDDSTFQAFCHDPDRLVGSQPLIHPPSIADIWPPPGFFPETFQDNSDDRM ST ACHE_80206S MNLRNGEDTHRKIDSDASLVLVGIRGCGKRSLGFVAATALKRRF ITEDHYFREVTGQTRQEFLKLHGSQEFQRRDIEVLKMMLDKHRKHCVIECGLGSLTRP VQEHLRLYCAMNPVVYLVRDIRHIQDLLGLEDQSVRLLREGDSLHRTCSNFEFYNIED RSSVAAQSDEGSPDRRSANYSFKLKEVKEDFTRFVHLVTGANANHSSYDSPFVLLETP PELRSYTHAIFIRLSDLIEEVIDLAELESGGDAIELCVDRWGPDMANILSQQVSLLRR NARTPILLSVDISSSEIAQDDDTSVYFDILEHGLRLAVEYLAVDVGQNQAQVRNTIRN RGTTKIVGQYVFEPSSDVTWEDDECLSRYLQVEQLDCQLVRVLRVATEREDNAAVIKF KNRVQSLPGTHPPLIAYNIGRLGRTSQVFNSILTSVTHPAIKRTTNNERDPQITSRDA VQALYQSYILDPLQFCILGAHVGYSMSPAMHNAAFRHCGMNHTYRIPESPSLAVLEQL GRDPNFGGSSVVQPWREQVYQKLASKSRHAEAIGAINTVMPLRGRADGTMFPLQEQAS RRNQAGPVLGWYGENTDWVGIMTCINRNLSPRNAISPLKTTGLVIGAGGMARSAIYAM LRVGCRKIFIYNRTLSRAEEVARHFNSWASSQSDSPDVVRILRSTEDEWPSDACPPCL IASCVPADPDMGEPANFEMPTQWLGSPTGGVILELAYKPLNTPLLRQMRHIRSETGRA WVLVDGLDNVLEQGIAQFELMTGRKAPRRLMTWEVLRNYVGENGPFDEKTIQSRLDGV ILGNDM ACHE_80207S MNQTGCFTDTISSAMPGILSEQQDSLKKRKRNALQEESTNPADP YSTTFIPAYLHTSNPSFRLNCPDLQKENPETSHRHRCIPRKRRVLQQPYSHQQNQQPW PGSDQPTSAKLIAPNLYIPPGSNFASPPVSPKTIVPFSSCPPNQQSQCTSAASLRPCH ICYRRPTTRELLDAYADCDLCGERACYICLKQCDAVDCQGCVNLGMSYLIKDGSDRIQ EDVNGRDSDIRQARKICSCCAVEGMTETGMEVVRCLECVRAHLPQWQAMPQAS ACHE_80208A MVSQRDQELFREDIRNRRRLSASERESLLKPYLPDPSDLPRRNS RKASTSRKTPVRTFFKSQVHQLTYTIIHIFFGIAVRLIQTYHAVVDRIFAIVYYHHRT PELIRKDVKGLKRLPEHLSVILSLRKEDDALAVLMDEVAELAAWSTASGIPTLSVYEK TGILKSCIPTLYELVTSKLSSYYGSPCQQPKLQLFAPHHPVYQTETPTGADTDKSNRE SLTLLLLSSTDGRETFVDLTKTLAEMSQNGKVSPQDITPELVDAEISEITTHPAQSGA DGDGDGDSVFVKPEPDLLLVFGPFLKLDGYPPWHIRLTEMYCTGDTSNGLTGYGEAVE YQGFVQGLWHFAGAQMRFGR ACHE_80209S MPDNASINSSNPASRSVYPKGPSFTLEDFSNRDFIVKEFIESLS DSAISNRRSTIGSASGNQPFDPKPLIRTFENAQRRLGELSGDLEIKENELSAAARRAE AQHAQNVSSLGRKLKQTIDSFQQLDTSLNGAGLPSSEVTGGTGNMAVETGKRLEELDR QRRRALDAHFLLECWDGVCNRGDLTLLENLRRSGSGEAKVRSAHIARQLLRISQRLDP KSWDESGGKTNGAFDSSSSLNEDADGGSKRNTREIIEKFSETLEKDLLKQFDDFYRKA NFEGMKDCATVLQDFNGGSSVIALFVNQHQFFIDRSQLVTEEVGVDPESWEKLADPDA EPLKVEPSLQSLIDEVKVVVQEESAIIKRAFPYYEQVLGKFLQRVFQQSIQQRLEMVL DNASSVSLLAFLRSLQSSRNYIGALVDDLKLHGLTEHPDPISSQTALVLDQQLEDLFI PYFAGSSYIEREKKNLEELYTSLLFKFTTFHARRKKAATTFMASLAKSGTEFLASARD AYINRLESSEYSPTQRRMLLQLAGLRESSDSQRPSEVKLTEEDGLLSLSDAKRMLKWL AEAVGRGLELSVNNETSRDVSALLNLLLSMMGEGYIEVCLDAALDAATSQETAKNEPD FAYLPGVRSAIGVTNLMVMCINTVLIPLAAGNITARREMEKKTNLTTMRIEEKINTIE QKTIDAVLTWVGKLLSGQKKNDFRPKEGDNAAWLEKLQTPTCASICTFLTRVQNLALS SLPSSGPNVRQLLTEIAFGARNLLLEHFKRFVVNGTGGLMVTKDMTQYTDLLKSWDID EQVKAPGGALDVLLEVGSLFVIGSEALRERIRGGANTGGSGNNAGARSGRGGGGGTTQ VGAGAGLNVQEVRAYVSRREDSNTAAVQNVLNVL ACHE_80210S MDPFQELRNEFSSTIRALQNEIESVKNEPKPLQRPKPCLPDPEK FNGQSLKFDTWLASMKAKLRIDAPAIGDAVAQFYYVYLNLESKVQALVLPQLSYAEDT NTWDYNTILDQLSLVYDNPNKVQEAEDYLLVLKQDSGESVAAYIAKFERILYEAKGKD WPDVTKISAFRKGLNPTLRGRLNQQLNLPKSYTDFLRVVQQLGSHSFSSNSTNVSHSQ SHQSGSHTKSDPMDLSVININSLSAASTSLDERNRRRQQGSCVRCGSSDHWVKDCSMK AHKESNKIWNQQMIARLEANRLDDLNDLDD ACHE_80211S MHSNMMFTEEEYESLPPALQRKFFSNTERLRMRLAHSDLSSTAN GGPDYPPSALASALPRRLHFQNLRRRPNHTTTTATPASATAGSAVRRRASNNKKKRSR LDKFSSHDSHNSSVTASSLLSPSSAQKPQLSSLQLAYLSAQVDSQCFQSLPPKVQQKF FSPEERAYLRQVYRDSVILDAADQAVYRLEQKKKQTRPSCESLPSDTTTTDLSQTSTL YIESSDSDWDTEAESDDEDNDDDMDSSLNDSFRWLDADGDLDLRLDDYHAHVVNTNPV PKQPPRRKPSFRRTMSFSANRHARKAAASISHSAVPGSSQSSTVPSSLANIVGRTSTS RPPSGYQRPTMHAPRSSTSSIDPAAQYYQDPEARLKLRVYLASPQKFDEAIEFGFPAL NDSKDDNSLSERPERVSEGLASPKVQKLTGTFFEDANGAVHGNRCSTDKTRRKSSRLS TVAKTPQTLKNPPHKRQSCTAAPPPALRRVPGNREMTLKMTLTRPDLREQSSPSVSPT SAEDPLKPKELPPVADSYPDIWDESDSEQQNVMKKMWRRLRKPKY ACHE_80212S MIPRWPLVVSCLLWALIWQPIAAKKDKGPAITATELDHEPVGLF YFEDTDTILFQDIETGDLLRSFDGGEKFDLVEGKNGEMKGQLLTIWPHPFDTNKAYVF GKAGKHWVTTDQGKSFDSFEVEMPPAIMPLAFHGWDSKKVIFHGEVCFGFMCANRAYY TTDDFKTVKPLRDGIVSCAWAVGDPQFAADLDIAEEIEDRILCVVPGLKIPLNSANRL VYSDDYFRSNEDGAEVKLQHGRPVSGVISTAAVKKYLVAAAQSQGTDEHALFVSDDSI TWHRAEFGNHRLEEDAYTILESTNYSIQIGVLTSSRSDGMGTLFTSNSNGTYFTNNIE HVNRNPYGFMDFEKIANIQGIVMVNTVKNPKEVEEGKKKKTVSEISFDDGRSFQSLKL GDEKLHLHSVTAFANVGRVFSSPAPGIVMGVGNTGDHLKDYSEGNLYVSDDAGVTWRF ARKGPHKYEFGDQGAVIMAIRDDDKTDEIWYSIDHGKDWESAKLKHKIYPRILTTTPD STSLKFLLVGGSSEGSKDGAHTIFSIDYSGLHERKCEKDDFEKWPARLNEKGEADCLM GHKQYFKRRKANADCFVDEEFKDPEPIFEPCKCSAEDFECDYNFVRSEDRKSCKPAVA LTPPKGECQKPDDTYKGPSGWRLIPGNTCIRDGGENLDADIERSCDDAKSAPAYGTIS VTKQTFDSRQPSEYYYLERQSSSSQHDETIIMTTEKHVLHISHDHGKTWKQPLKGEKI VDVIPHPYYTDVAYFLTEGTKSFYTDDRRNIKSFKTPTERGLLRMPLSFHPQNKGWLI WIGPPQCTAKDCHTNAYFTTDSGATWKPLLEYVKKCMFVGDRSEDKRQGDETRKKNEN LILCEQYENEKESNPVQLVYSDDFFAAHKDVHATNVVDFAAMSEYIVVATEDPEHSDS LRASVSIDGRTFADVEFPVNLNIPVQSAYTVVDSTTHAVFLHVTLNRNEGAEYGSLIK SNSNGTSYVLSLPAVNRNSPGFIDFEKMQGLEGVAVVNVVGNVNEVDKKGAGKKLKTL ITHNDGAQWTLLAPPSRDADNNKFDCSVKEGRGTDDCALHLHGYTERRDPDDTFSSGS AIGLMMGVGNVGDRLGSADDADTFLTQDGGITWKSVKKGRYQYEYGDAGSVIVIVSEY KPTNVLYYTLDEGETWEEFQFSETEVLVEDITTLPSDTSKNFLLWTRESGKDKFATIN VDFSGLREKGCHLDELEREGHSDDYYLWEPKHPLQADNCLFGHTEQYHRKKTSADCWN NWREPHVHSIGRNCTCTRADYECDYNYEPQSDGSCKLVPGLPEPDALAVCRDDPDEIE YWEPTGYRRVPLTTCQGGLNLDHHVSKPCPSKEKEYEEKHGISGTALFFAITIPIALA CAAGYYVYNKWDGKFGQIRLGEGAGGSEGWLSRDSPVVTVPIAVIAGVVAVARALPLL VSSLWRSVSGYVRVGRGSGRPYASRGSFAARRGDYAHVVDDEDELLGVDEGEDEEDEV ACHE_80213A MCCHDKIQIYNHLSLLFSDSNLNHSTTRKETNMANTITTQELEI LSNKAISAKSTAYCPYSKFRVGASLLTHSGEYITGANVENVSFPVGTCAERVAFGTAV VNGHKEFKAVAVASDITPGASPCGMCRQFMREFLTPSVPIYMYDGQGGHIVKTMGELL PNSFGPDDLR ACHE_80214A MAAAEHAVTIHDERTMELPLLPPNQALEALKDVFCGSAAGMAGK VIEYPFDTVKVRLQSQPDHLPLRYTGPLDCFRQSIRTDGIRGLYRGINAPLLGAAVEN SCLFFSYRMFQDILRSTWYSSTTEDLPFSALLVSGAASGSITSLALTPIELVKCKMQV PVNGAARAAPGTLSIIASIFRHDGILGFWRGQLGTFIRETGGGAAWFGGYEGVSGLFR MRAASKRQSTSEPVTLPIYQQMIAGAAGGISYNFLFYPADTIKSRMQTEDVVRPGHGG RQTFLSVGKTMWQQQGLRALYRGCGITCARSAPSSAFIFSVYEALRGFFS ACHE_80215S MSTATTAPSTAPAPVKGMRKNGKNWHDAKKPFRPAAGMTSYAKR QELRKQHEAIKEHERELKEEKEAERQAHIQRIKERRAAKEEKERYDKMAEKMHHKRVE RLKRKEKRNKLLNS ACHE_80216S MSNNDRKTFQSFNPTQQRHDERRSVDDDKQQDRRAISDNTISNA NLDPGKSRPFHKHKRSKSRDIRFPRTMSQIASSTGAGARALLPAWSGSGSKEKDREGD DGLLRPITRDTSRTRWGSDSTSGLGTGSRRGSFLEGIEQSDRIGPPRKQEIRSPEDLE QVKSRRKQAEEYLRSALVAIGTLATDITRRLDYTYYNLLEKITALNTTISSFQGLADS TSTIFNEFEREIAGMYQEINRQVGELGEFQAQLEKIEALEERMKRSREKAEALEGRLE KMKDEVSRWEKKEVEWQSRVNRRLRIFWSVVVTATLALVIAVVVQNWPLVDSSSQARL QSTSLDNRTSEIPLHRDSTAAIPGMNGQKSKPNLDHRQTASAAGASPTEADPLRVFDE L ACHE_80217A MAEVAESGPIEPQAKHVVYCGVCTLPSEYCEFGGTAKKCEEWLK DSHPGLHEQLYSEEAVSANLSNLSLSVRERAAKDAAKKEAKAAAAETRDAERKAASKI QIKRIERNKRKHVTVITGLEVHGLENKKVAKELGKKFATGSSVTKSAAGIEEITVQGD VSDDVQEWLVEVKGIPEANTELIEDKKKKSSS ACHE_80218S MTAVLTIPREKYSRFTSDPLQCPTTQRYILRGPDVSIKSPVSIH SEYLPTDCLSPISSSVTSPVPSSPTLSSVSPSIDDEHDGDNDEDEILFPSYDFKEVSQ KDVMSQQQQQSDELVSSTPTEPSIDGQRCALQTPSADDTSLEEEPSRHVDYLSHDWRE EDIWTSWRYMTRRKDVFSNGLRLENASWRTWAKVKFGLGTISPETLNWLKDCDVTWLY GPLKTSKNFGSDASPPPTHLTTPNMCQPRKPILKKKTASETMLQRSLSQHTLLQRAGA LLKAKEAANGQDQSIPRSRHHEDQTGSTTPTAIAGTPTTASVSDIGSPNERRHIHFNN EVVQCIAIEAKDEEEEYDKYRTRLDDSLFSGNVVVTNQMLSNTASGNMSPPRNNENKT IAPLPSTTLRGETPEPPASSLFERWFGSRSSPLPSPTPPKDSRRSSEPSANFLLDDDD DYDEYESNGGFDFSWQSNWQSKQVSSASTQIRPHSVNSEDDEDGLEMDRDFQLTYSDM FSLGEYSESPNAGIFDRVLDTVNTAKDIAHVIWNVGWRR ACHE_80219S MMEGINIPELVERLGSDEDAVRKMAVFKLQGNIGDPSFADIFIA EGGLSRLRYLTLHASGNTLAYSLTSLARLLEVDKGWEFVNQDVVERIVELIVTHPLVN ILRGAMSILVSVVSHPYTGGHSQSGTFGFRALKPAIAIYPQFLEMLVSRLSSADHALC ANALQLINCLMRDSITNDSEHEWPKFIQRLQDLGAIRAVYVLMQGTALQDLAHPLIEF QSLTKVLLRKWRDVYLDLEKPEHRRALKGFYVASNPEKNQDKAPDTGDETPRPSKKHH SEKWRRLGFESENPVSEFDGTGFLGMMDLADYVRNYSDEFQKMLLEQSTKSAEQRCPI ARASLTVTSILYDHFEVDKSDMEDAKSYLIMDSQSNLDRVFRPLLLHWTRLHVAGLHA FFRLWKATGSEVEDFDKIAELVRILIESVVGGAPRTKDVQDVEGELAEFDYRRLRELQ MEILELTYEDVWGQHLRQVRDELHHEALQFVKEQRIRCLLQGAWFFNGNPPKSETGSS FSKSTYRYAQLSHNRRYLHFGDFDTTGNRRPDLDALPDKIDLSTVSSVVSNVSAAPDD APSTVKTTPRQPVSSTKITIHGYVPSGTAAGAQKSHNRARSTSRATQKEVVLLTLRPQ SISTASEWLDGLLMLLNQQPITAETNKLIDLVSNYGLKIRLLNIRFDDAVFVGEAPQV PSREGLDDDYYYDVFGGA ACHE_80220S MDTRPSKRQRIFTVYSSDTDDDIEAQIETNDAKTASHAATKDSR NDVTQLPIGDSGPISKALPLLSSRPQTTSTSGYSNSRSHSSTPSPEKKKPGKPLNGKS TGDPLRSFFQPASEEQRWSSQKFEPTRPAKSTTEKAGDDDDLIEDDYDSFDELFTQHF INADIGSQSGTGAQRNSQDKSCQNPPSIKTNPTGKRASSTKRFLLPQSPKKKGQSRPS ATITAEDDGRPWAQKYGPSNLDELAVHKRKVTDVQNWLNDVFIGRNRCRLLVLRGPAG CGKTTTVSLLSDTLGFDVLEWKNPPASEFNTKGYTSIGAQFEEFLGRGDKFSGLELDG ADKTSDAGGSHDSASSQRRIILIEEFPTILSRNSSGLAAFRLSLQRYLAATAPSGSSN PAIPEGALNPPIVLIISETLLGSASSVLDNLTAHRLLGPDIYNHPNTTFIDFNNIAPT YMQNALRLVLEKEARHSRRVQVPGPAFLERISEIGDIRSAISSLEFVCLKGDKAGAWG GSINKKTRKSSRNGVGLTQMEKESLKMMTQREASLGIFHAVGKIVYNKRDEVSSTTGS FRPPSPPDHLRHHDRPKVSQVWVDELMDETGTDIQTFISALHENYVPSCECLLSTDCL DECIGALSDSDMLCADQRGAQRHGARVGGVGNFSAGVDMLRQDEISYQVATRGLLFAL PHPVKRKLGSGRGNDAYKMSYPKSLRLWSASEEIEGLMDLWMKRLLNPFSTAVSQLGG IQGWKNRSTVRDTNQYNDSETLRTVTMMPRNDALLWHLPYMAKISQNELETEELHKIT DFHIGAHGDLSHNSDNHVSDPLLGPNRLLQRRLRHTKFQGNNSAFGPQLPQPLEDQEE KLVLSDDDIMDD ACHE_80221S MSGRSSGTLKATSNDLTPNHTTDRSSSNDNKQDAHSRDAGGSLT GIGAAAAHATLPIWVNVVLMVSLIFGGCCANMFALEAIIKEEPRSGPLITFVQFIVTA LFTLPNFVSIPAGPRSLFIAKRVIPLRSWLAYTAFFLTINLLNNWAFAYKISVPLHII VRSGGPVASMIIGYLFNGKRYSRGQVVAVILLTLGVVGAALADAEARGQSMNIETDSN SHTIANTVTGFSILALAMILSAFQGIFADRLYERHGRNNWKEALFYSHALSLPLFLTS YPQLLSQWRTLLSSPPLLSKISAVSNLTSINLGGVSATTPLGVLSIPASVSGVISSLA LVVQRHQFFQSFLAHVPIQVVYLFMNAFTQFLCIRGVHLLAAKTSSLTVTIVLNIRKL VSLLLSIYLFGNQLAPGVLVGAALVFAGGGLYGFEGTRLREKSLKKD ACHE_80222A MASLGEDLLVTVNKLQDLVFNTIGNDSLDLPQIVVVGSQSSGKS SVIENIVGRDFLPRGSGIVTRRPLILQLINIPSERHDRPGSDEVHVPHTAASVAGQNE WAEFHHLPGRKFDDFASVKQEIEAETARIAGSNKGINRQPINLKIFSPHVLNLTLVDL PGLTKVPIGDQPSDIEKQTRTLILEYIAKPNSIILAVSPANVDLVNSEALKLARQVDP MGRRTIGVLTKLDLMDHGTNAMDILSGRVYPLKLGFIGVVNRSQHDIQAGKSLADALQ GEADFFRHHPAYRNMANRCGTHFLAKTLNTTLMAHIRDRLPDIKARLNTLMGQTQQEL ASYGNKQFSGKEHRGSLILQLMTRFASSFISSIDGTSSEISTKELCGGARIYYIFNSV FGNSLETVDPTHNLSLSDIRTAIRNSTGPRPSLFVPELAFDLLVKPQIKLLEAPSQRC VELVYEELIKVCHTCGSQELLRFPRLQGKLIEVVSDLLRERLGPCSGYVESLISIQRA YINTNHPNFLGATAAMSSIIQNKQEQERKAALAEDRKRRERRRNKELGGINGAAPQED EEDQLSEQKAQNIPVRSQSAKGNRSMSPNFGKSGENGIAAALNGAHSSPHAGFGASNT TRDSFLNYFFGKDGVQSASSLPPPSSHQNKQANYPSEPSISQSIRRPELRSPVMPPEE LAVPPEYGSDMLPFPNESSEPSLTDREVLETELIRRLISSYFNIVRETIADQVPKAIM HLLVNHSKDVVQNRLVSELYKEDLFADLLHEDDGIKAEREKCERLLETYKEAAKIVGE VL ACHE_80223A MATLNLSTNGPSISKSYQTVVNATLPTGPSSSPTYAQWAVFSVS SPLVNVFQQDSGNKESVLKVQSSGDGELLELIDEFSEGKVQFAYVKVRDPNTGLPKNV LIAWCGEGVPERTKGYFTSHLSAVSKFLHGYHVQITARSDGDLTPEGIVQKVADSSGS KYSSATEPPVVTATPKPPIATKPVFTPVRTGGAGPSTAPRISRPGVANKDVDDDGWGP DAPPVTRTQLEKVQPAYAPTKVNMQELKSGRQATTEKSVERPTQDSGDVVKGGYQPIG KVDIAAIRRQAREAGELKDDRPAPVKGAYEPVGKVDIAAIRARAQPSTDVTAPAGANT SDSAASQRGPEPAATLATVPGSSGRLTSLPKPKVSNRVAPSPAFTATKPPVPTDVVSK PPPAAAAQVGSASRTFADEGGKTPSQLWAERKAKAQGAGGVPTEQQGENANIDKVWPP VQTAHTGPSDSYGQATESVANEKADIETEVPRQSVGAIRDQFAQSASKPIPLDTKPTD TQSTRPVPIPNLPTGPTEEVEHEPETHQNIPSPPQQPRSPSPPSPPVREASPIRVAMP VGRGVTDAHDEQYSPPPALPSESLQQAVPDERDLEDSTHDTGRAVAEITAGHGLQAGG GIKALVQYDYEKAEDNEIDLKEGEYVTDIEMVDKDWWLGMNTHGEKGLFPSNYVEALE DDHPVEPALGSQEYGHEADLPAALADQSAAPSSVQDAVKGPTATALYDYEAAEDNELS FPENAEITKIEFPDDDWWLGEFGGKKGLFPANYVQLNDS ACHE_80224S MRMNYRRVQFEPNPFFPTHNFTHPFPPRRFTPQLIETARRSFRR DTSYDRYHSDPPKSQAEDTYIDTVGDQSSCSSLAHRGIQKSYPVNISSFSEITSDHSE SSSGLGLPSLSSSTSTDDSINRSKIEEQRRESCDERFSAYLLSLAAQSADRQLKKQTL AAFPDEQVPQNISHSSIDTSYNEKSVPYTEKERIATFHGTSSDDLSRKFQHICRRHMG ETKSTGLAMVEAKERGTSTAVHQIINTVREIQDNVDENWQSGAIMTCPTSPPLLGNDI VFPRSLSPERTINDIGNATRQRINLDQPCRGHGGLWSANLNVGNNRGDGLWMGTCRRA SESDGNIHELILTEPIIPVRGHQDESSASSDVTSEHGAHPFSPSIRHRYTDDVGRELN AQDEFNDAVHDEFVTQIYNYLSLGYPCVAHCYDHELSRISNIPINDLRQDDLHTDSKG YVGVVEGTPGNIWSTGQACLRWLALRVYIQEWTKEQPWVAEDDSDIIEAWGDWEC ACHE_80225A MSPSQASMSYTEGDVSRQNEDLSKSHDTASNGSMTVSSEDEIDS EEHGRSNAASMNPPGDILPRDRQQKTPTYDYAYEKSMSHAEAKLFYHHHQLASRGGDF ENLQTPASPNHHSGDIEAEDKKMPIMDSRDQLSAGAVNGLLEPQSVRPLQTLAPTGQQ TSPAPKSEGNLNAAEQLSKLHTSHSDINPDQKLYHSSAGGIQTPNPWLGPSQDTMNLR SDSDAVATELNAIYSRIRGLLDRRSHYIRLSVQGDGDNPKDHTGWRVYPPPPEPAWDG EKDTGNPGDTSHGSQKRRKMGQDIGEDFDMAELMPLPGESHEVFRLDQNSVYQVYETA EAADLREAIVQIPSLRDFYMDLEAVIDVSSDGPAKSFAFKRLSYLEGKFQLYALLNEY QEIADSKKVPHRDFYNVRKVDTHVHHSACMNQKHLLRFIKSKMKKSPDEVVLFRDGKH LTLREVFESIKLTAYDLSIDTLDMHAHTDSFHRFDKFNLKYNPVGESRLREIFLKTDN YIKGRYLAEITKEVISDLESSKYQMVEWRISIYGRSIHEWDKLAAWVVDNKLFSPNVR WLIQVPRLYDVYKASGMMENFEQVITNVFLPLFEVTKDPRSHPKLHVFLQRVVGFDSV DDESKAERRFYRKYPVPRDWDTKQNPPYSYWIYFMFANIASLNIWRKRRGFNTFVLRP HCGEAGDPDHLAVGFLCCHSISHGILLRKVPLLQYLFYLDQIGIAMSPLSNNALFLTY DKNPCASFFRRGLNISLSTDDPLQFAFTKEPLIEEYSVAAQIYKFSAVDMCELAKHSV EQSGFELSLKERWLGDDCCLPGVAGNNVAKSNVPDIREAYRHDTLLAELMLIARYSAS AATSGITDPANRNLPINLSQTTYSSPLIPNMPNFHASSRVTAAEGLACSSGRGTGSTP NNPQYPSQFGVQSPVTTANFLSQDLQTSQSSGHFLSESPMLTSGTGSVGPATGTDNLP EQKIFPGVVHERAQRSSKFNRPAAEDSKGQ ACHE_80226A MDFCTHIHSEDLKPPSPSQAVYREDCTQCFDSIDDELGLNVCLH CFNGGCTGDRNHSRLHYNRFDHPLALNIKRTRKKVQKISKLAIAAETDEDRYDTTTKV VCYSCCQDDIDKSSGNLSVVIDGMMKALTFSKREEVKAWEQEFVPCEHTLCLVQDNPR QIESQDLGQCSMCDLKENLWLCLECGNLGCGRSQFGGIGGNSHALAHSDLASHGVAVK LGSITADGSADIYCYKCNEERIDPDLATHLAHWGIDITGREKTEKSLMEMQIEHNMRW EFSMTNEDGQELTTVFGPGFTGLTNLGNSCYLSSIVQCVFSLPEFQQRYYHPNEEPPF SQNPAEDLETQLRKLADGILSGRYSRPDRDVIASPDSPEVPHQKGLAPAMFKHLIGRG HEEFSTMRQQDAFEFLLHLFKVISLSSHPVHLGNPIQTFRFATEQRLQCTSCNKVRYK VDEQDNISIPVPARRVSYRDKPASSSENNHSDQFEPVTLLDCLDSSTADELVEFSCPA CGSSDGFSKRSLFRTLPQQLVINARRFELVNWVPTKLDIPVEVGDDTVDLSRYLSSGP QDGEEILPETETDTFNPNQEILDQLLSMGFPGVRCEKALYATGNSDTEAAMNWLFAHM EDPDIDQPFAASRVAGESTKNQQDPLKVAQLSEMGIGDAHARKALAATDGDVNRALDW VFSHPDDLGEDADQNSGSEPDAPRETPGFSTTPAKYQLQSIVCHKGSSVHAGHYVAFV RKVLPGENKLSWVMYNDEKVVKVDDIQEMKKFAYVYIFSRV ACHE_80227S MASEAASHISVGALSAIFDDTKAQPLEPIVQCVQIKPLPPQQNQ QERYRAVFSDISNYVQTMLATQNNHLVTNGLLRKGCFVRLKSFQANLVKGKKILIILD LEVLQELGEFEKIGEPKALENKAEEDEKSQPTTISGNGFYGSKLQGQQMQAHNRTPST RPIAPASSHATIYPIEAISPYNHKWTIKARCTSKSNIKTWHNRNGEGKLFSVNLLDDS GEIRATGFNQQCEMLYDVFQEGNVYYISSPCRVQIAKKQFTNLNNDYELTFEKDTIVE KAEDQSDVPQIRFNFSAIGELQSVEKDTTIDVIGVLKEVGEASQIVSKTTSKPYDKRE LTLVDTTGFSVRLTVWGSTATGFNVMPESVVAFKGVKVSDFGGRSLSLLSSGTMTVDP DISEAHKLKGWYDAQGRDEAFVSHASMSNATTSAKPDRFKTIAQVREEQLGMSENPDY FSLKATIIYIKKENVCYPACLSERCNKKVTELDPGQWRCEHCDKTHPRPEYRYIMLIS VSDHTGQLYLSCFDDVGKAIMGTSADNLMEIRQNDDKADGDLFQDANCRTWNFRCRAK IDHFGDQQRVRYQVSSAQPINYSDEASRLAGLIDSYGLD ACHE_80228S MAKRDKSDERDFEHRPEKKSKTKKHAKESKSTDNDINSNAELDA PYVQSSELDEVPQLEIDQFLTEHSIKITDTSSEDTTVPRPIISFTHLPQCDSKLYEQL SSFKSPTPVQSATWPLLFAGRDTIGIAETGSGKTLAFGLPCLRKILESKKRKWKSARP SAVIVSPTRELAMQIYDQLSKFANPVNIGVACIFGGVRKEEQREVLKTAAIVVATPGR LKDLQNDGSVDLGKVKYLVLDEADRMLDKGFEQDIKDIIGSMQDTGRQTVMFTATWPP SVRNLAATFMTSPVTVTIGGDPSADPRANSRIKQVVEVVKPQEKEYRLVELLNRSQRG VTSPEKVLAFCLYKKEAMRIERLLRTKGFKVAGIHGDMSQQERFRSLEAFKSGSATVL VATDVAARGLDIPSVKLVLNVTFPLTVEDYVHRIGRTGRAGAEGHAITLFTETDKAQS GALINVLRAAKQEIPEDLLKFGTTVKKKQHGAYGSFFKDIDNNKSATKIVFDD ACHE_80229A MKPLSFLLLSILCIVSSALASPSTDKFERFQALSRLAPIDLDDS SYNDLTSKPRDYYAAVVLTATEARFGCILCRELQPEWELIAQSWNKGSKPDELKLLFG TLDFIHGKATFQKLMLQTAPVLLVFPPTVGPFARVDDTPFRFDFTGPMSADQLYTWIN RHLPEGPKPPLVRPVNYVRLVSAITLSMGAVTLFTVLSPYVLPIVRNRNIWAAISLIS ILLFTSGHMFNHIRRVPYVVGDGKGGISYFAGGFQTQFGMETQIIAAIYATLSFATIA LAMKVPRMADAKAQQVAVVIWGAVLFGVYSFLLSVFKAKNAGYPFFLPPF ACHE_80230S MALVGPEVVEDCQLAIKTAKFESEYAKISGRMAQLLDAERTRVK HMEQLLLQFENDTLRSELDQVNGRLATVMQAESDNCLQCHEAWKEVDRLRSIIKASSH EIEGLHEKLSSLGDTTFESRDLLAEKTRLSKDLSRLQTEFERMRSQNASLQDLLAEKR DLERQLSIQMEDDKRTHECSQARDMQQKQEIANLTSQLEKARKELAEGAGTVERQEHN SQRQSLEWTTQRNTYERKIETLTKKLKSTKSQLEEAQKPQQNRQADVTTDDTSKASHR GRAIPQQPMAQFNPDITIATPGAIQKHEKARQPSALPGDKSAFSITPYLSRTNAPLDT PVSSEDDMDELGAVDLKETIESPSNEAGSGGSKDVDTGKGLPRKTAKQKQTKLQAKES SAKGPGRVDSDDQLEDLSGLHAQKGTGHGPAKPKKRKLGGQRDRNLFDEEEDELHEYR KPGRKLALGAGRNLALGGFQPAGGLQAFSPLKRDRKRH ACHE_80231S MPSLVLTLSPLVPVLRAFCNSTCRTFTVKRHSSSKRWQARQQND RYTREATVQGLKSRAAFKLLQIDEKYRIFRSGQTVVDLGYAPGSWSQVAVDRTKPNGR VLGIDIIPAQPPKGVSTIQGNFLSAEVQRYVQDFLRDPRRGRPHEDGYIMPDRGPEDL SQHALDIKAGNSGANQESNSELRTVDVVLSDMMMNTSGVNFKDHAGSMDLCHAALQFG YNVLKTGGNFVCKFYQGAEDKELEKQLRELFRRVHRLKPESSRNESKEAYFIGLERRG ACHE_80232A MQYTPFASDIELPFYTALASLKINHDRLDDSARKVQGLYEIRPS DLPNVSSRMQIHGNALSSDEVPTGYYRAEGLIKNVNTIEEYRNTDKTHILQQAGKTIW DAINDGSVYSCPSLLSSFIILSFADLKKYKFHYWFAFPAIHSEPAWVPSDTSDDSVGL IQNEGNAKPLTMRNLTSIESSVLVDAVQSWGRDIDACQRGFFLARKIRKSASEADLPA NSVNILEFDWEIGPLSSYENGFFSKVRSEDSYVCFADPSNYDSAPGWMLRNLLVLVKQ RWGLDQVQILRYRDSHLNPDQGRSTILVLRSKHSASPKNIESQRSYSSMPKVTGWERN AVGKLTGKVVDLTEYLDPKRLADQSVDLNLKLMKWRISPNLDLEKIKRTKCLLLGAGT LGSYVARNLMGWGVTKITFVDSGSVSFSNPVRQPLFNFKDCLEGGAKKAHRASQALSE IYPGVDSTGHVLAVPMVGHPIMDNEKARAEFETLKSLINEHDAIFLLMDTRESRWLPT VMGKAAGKIVMNAALGFDSFVVMRHGAANYADPTSELGCYFCNDVVAPMNSVKDQTLD QQCTVTRPGVAAIASALLVELLVSLLQHPQGSAAPASTSSKDDRGDHPLGLVPHQIRG FLSTFENISIVGRSYKCCSACSGNIVNAYKEEGWEFVQRALNETGYVEELSGLREVQL TAEAAVDNVEWDEGSENEEAEIL ACHE_80233S MLIGLCGGICSGKHAIAKYLTQHQGFHLLGLKNRNYSQINDEPG NRVQLQSSEASGQGNTASPEVVFENVDLLLEFVTKRWRECWVTTDIWDGATLDRLIQR PFFLLVSVDAPVSLRWKRFTDRCRERQIEPPALEEFVIWNDRHLYDRDIGRAYLTDRA QARLFNSSSSLDELHAALKTLDLADEQRLRPNWDQYFMQLASLAAQRSNCMKRRVGCV LVRERRVISTGYNGTPRHLKNCNEGGCPRCNCGTGGGVGLSTCLCIHAEENALLEAGK ERIREGAILYCDTCPCLTCTVKIAQVGIYEVVYSQGYNMDQESAAILESAGVRLRQFS PPRNGLIYLQNLENNKPE ACHE_80234S MPTVHLLDYVAGNVRSLVNAINKVGYEVEWVRSPSDLKNAEKLI LPGVGHFGHCLSQLAEGGYLEHIKQHIESGKPFMGICVGLQALFNGSEEDPDVPGTGL IPMRMKRFDATTKSVPHIGWNSAVNTSSGDSDNQTFYGLNPESKYYYVHSYAALYEPG ILEKDGWSVATATYKDEEFIGAIARGNVFATQFHPEKSGQAGLRTLRAFLNGNQVQSL KQTSTTGRKEDGLTRRIIACLDVRTNDAGDLVVTKGDQYDVREKSGVDAGGQVRNLGK PVDMAKKYYEQGADEITFLNITSFRNCPLVDTPMLEILRRASETVFVPLTIGGGIKDT VDTDGTHFSALDVATMYFKSGADKVSIGSDAVTAAEQFYEAGKRLSGQTAIETISKAY GNQAVVVSVDPKRVYVSRPEDTNHHTIKSKYPNAAGQDYCWYQCTIKGGRESRDLDVR QLVQAVEAMGAGEILLNCIDKDGSNSGFDLELINDVKAAIKIPVIASSGAGVPDHFAE VFDHTTTDAALGAGMFHRGEYTVSAVKDHLKSKGLLIRDFETDF ACHE_80235S MSSSVHFKFKSQKEPSRVTFDGTGISVFELKREIINQSRLGDGS DFELSIYNEDTGEEYDDDTTIIPRSTSVTARRLPAARPGKGGAARYVSGKMPVNARSA PRNDQFVSSRATPGASQTVNTSVLELNNAQTEDEKINALFNLQANQWKEQQQEMANAT PVPFGRGRGKPVNVPDHPPPPGYLCYRCREKGHWIQACPTNNDPKYDGKYRVKRSTGI PRSLQTKVEKPESLTVDGSNEDLKNTGVMVNADGDFVIAKPDKAAWELYQEKAKASAA AAAEAAAAEYSKELQTRGLECPIDKRMFLEPTRTPCCQKTYCNDCITNALIESDFVCP NCATEGVLLDNLSLDHEAVSKIKAYEAERADSKKEKEKQQIVQEGKTVNKEDQGKESI AGSLSPVPIGETTQGQSKKRPAEDQGSRAESGDSNQSSSKKQKSDYSTSPPVPQNVQN NESQASFPSLPFNQQMPFGNFGFAQGSGMPAMPFPDPGFANDGMAFMNPMGGFSNNMD MAWNPMNAMNFNLLQGGMYGDQVSHGLGASNMYNGTGEQTMPMFPMSQMTGPMQQNRG FQQGPGMGPFLNQQRTSFSTPYAREEDTAYFRQPVNPQRHQARHRRIRPSDYREL ACHE_80236S MAKYLRNNSSNRNQFQQLINKASQTSVLASCYSLTESTSAVKGF HYCTTTGTGSQWYP ACHE_80237S MLHGERRLARQVLLEVGACQANQQGHAGQEHKHIQSWMEVWDYT SDAIYRGFVTETSGERTLFVFFEDNALGHGLKSGLIALFELAGMSAFGCSQIVACIQR SQDTAELEVVRNLGWCGFNLTTLGPWLPEDCSASAISPKWIFLCAEV ACHE_80239S MPNAHHFNLPLIPKFLLPPTVFRSRQSAGGDSPQPNDHELSKSE KYLDGHVSYLRCSRCAADVCLASQIVSKGFNGQHGRAYLVSLEPVASAISVSASSSPT VSLPNTILQKPVWRQLVTGGHTVSDMSCASCGSVLGWKYVAADEESQRYKVGKFILET KKITASSCWESPSRNIGSAAPFGTVNSGNSGAKTLGEDISFDSQDEDECEDLFAGIWN PDLAMRRRSRKPNHRSAVFGLG ACHE_80238A MTDTARPESNTAPMTNSAEEQRTRLGELVTRAAAKDAIKDYNAA AELYSEATELQAELNGEMSLDNADLLFAYGKALYNVAVSKSDVLGAKVAGEPHAQSNA GATESSTSVGSTSASDNLIQSAVANGMAKKEAGANEKEQFEKKQNTPFFQFAGDENFD ESEEEEEDEGAQAGAGDEDDDDFANAFEVLDLARVLYRKKLSAAEESDGKGKSTKMSS DVKQLKERLADTYDLQAEISLEGERFLDAVTDLRTALDLRLALFPVEDPAVAECHYKL SLALEFGAVSKTEESDEQDSHSRSKVHGDMRKEAADQMEKAIESCQARIAQEQRRLDN GDAADEDKAAAMKRKIDNVKEIVADMEQRLIDLRRPPVSIEEQERSDEAVLKGILGQI VGQSTAEQTARLDAATKDATDLSAFVKRKPASGQSSQKPGSSNKRPVEQSVQGNDVKR VRVSDDNDEES ACHE_80240S MDRTPRMNSCIQTESDAVPSSSPGFGTPVHPFRITKSTNGPTKA PILPILLPPSTLRPVAFRTFTRKHNLTISSSALQMLANFVGKNCGSGWREEGLAERVL DEVAKSWKKAGGGVIVEEGKGASLKAILQTLEGSMSGGRVITGKAADERTIPILSRNG VSEMGRDSLQPSLVSARATENQEDDETEVSLHPRSWIKVIGAFELPRLTYNVDKKYFE AIKARPALFPPPTHKTTLFRDRYNVIYQRLLRNESFQTSLSSSGPSLRRSSSSFAPQQ CYKLTQIANLLGRSGTSHLLLGMLSVSPAGDLSLTDLTGSVALDLGHARMIPEDGAWF APGMIVLVDGIYEEEENIRGSILGGNSGIGGAIGGKFVGVSICGPPCERREISLGTST QQSSGEVSSSGGFGWIDFLGVGSERARGSRMRKIQARCIHDDQEGSDTPTRPKMAIMG EVNLDDMKTLDGLRRVFNLYNDLPLTERPLVFVLIGNFVQKAIINGGGQAGSIEYKEY IDSLALVLSEFPTLLQHSTFIFVPGDNDPWASAFSAGATSTVPRQAIPELFTSRVRRA FAMANAEAERSGSAEPPGEAIWTSNPCRLTLFGPVHDIAIFRDDISGRLRRGAVSTKP DTNEPDIAMGEDLASNADPLTSEEAPEYAQQAGTNSSSPSPAVLMARKLVKTILDQGT LSPFPLSLRPVLWDYASSLQLYPLPTAAILADAEAAPFSITYEGCHVMNPGRLVPEGE LSSVVRWVEYDILKNRGRVKQDRF ACHE_80241A MPGVVMDNVNVGGAGQESGIHESKNGLSNPGGPGSSTELRNGAT HVNGVSGTASDQLWKEETSAASGEPPALVHITQGFFPFSMLVNRSVQQCWNDLSELIA ELAEMQVPSQDQPSFIPHGKSSGNQNSANVQKKLRLLDFAHAKRAEFIKLLVLSQWSR QAADVSRLIDLQGFIRTRHQAYAGALQWVGDMKRDLVMAQVANPDLKTALEVLSKGRV TAMSDLGYKPPKPLTAKRTLKKLQKLNKIISIRLTLHDQVPRAFQTYRVHDGRVTFSV PGEFELDLSVGEDNKSSQFFFVDIRFLFSPSSAIPKGRVLNELDIRINDVLRDSGLTG CFDLLHSLVLTFKVNVLFKQSIDLARGSWSDVLRVELLHRTLIVQYWAFKLGAKSWLE VGVKSGRRRGSHGNPGVPYLGLRWMRDGQEVDNADIEFDTENLSMECVLRSVIALHVS HILSSVYTSLSENSLFSTGTLSLRAQLSRIEPGDCQLDIQLTASRRLRVTIEPMSGAS ILSAAPSILDRPDSDRGPDKSLTDEIASRVARIRCSSAIEEIESNLRILGFEAVSPRS LKVEIRRIFPSNVIRFTFFRHHLWEPNWLIAATSSMDSDNWWVIQLRATLSANKHPTL GAQNTSMLRSAQVISSRFLAAQQHTSYAACADLGHCLTGILAIYANARFLLELQRIHF HPPLHKLQIETGLRTPDIFIRYEASNLPPALRIAQPAGLKKSYIKNTIRVSFHGVDPH KNLAILVAYGSLAMPVKFLGTLVSKWDRSIVFRQKGGGFAIRLLAPAGQVVIVDLIEK LQRLERILSIIESLQRKKMDVLSLSLSRIAFSYGPGKALRANINVNASGPSSSADTDL AGIPSKAESVFFQRLGIAFDYPNPHRRIQESLTTSLNSASSEAGLDSFFELLTLTLPL LRALDQITINPSHNEPLKAQVTVRNAKTYQIHYPIAKFRFQLVAGQHLNRMTWILKDV SGTQDRSHQNQITGSLREKLYNAKGDGWRGLGTGMVAEVDKVSNLILELDSCFSDSRS NIATPGHSAVGHGTRNVSQQGAVGQAGPAQTSEAGVPSSRGLPAVGAPGRKPEQKASD DVIMID ACHE_80242S MQGDEVIEASLLRPEYPGDDTRPTSRKELAGWYSYGWAAEVFTV CAMGSFLPITLEQMARDRGVLLSDKSTPCSATWKASRQVIGFESPGYSEQAAPNAGQC IIYILGTEINTASYAMYTFSVSVFIQAILIISMSGAADHGRYRKMLLVIFAFIGAIST MLFIAVSAKVFFLGGILAIIANTCFGSSFVLLNSFLPLLVRHHRSLLEGSGDEGVAPI DNHTPQDHINDDPTSPLLRPGPRENEMPGTQSAHIASMPTSQELHLSTKLSSYGIGIG YIGAVILQILCILVVVSTHQTTFSLRIALFMIGLWWFTFTIPAALWLRARPGPPLPSA RNGKQCQSWIGYMGFAWKSLGQTVVRARHLKDITLFLAAWFLLSDGIATVSGTAVLFA KTQLDMEPAALGLINVIAMAGGVVGAFSWSYVSRLLNLRASQTIIACIILFELVPLYG LLGFIPAVRTLGFLGLQQPWEMFPLSAVYGLVMGGLSSYCRSFFGELIPPGHEAAFYA LYAITDKGSSVFGPAIVGAVTDRYGEIRPAFLFLAILIFLPLPLMLLVDVERGKQDAL KLAADLTGKYEPPTLGYGTIPNLQYADEGEARINE ACHE_80243S MRSFAPWVLSLVGAAAVASAADANADAKSDVVSLTKDTFTNFVK EHDLVLAEFFAPWCGHCKALAPKYEEAATELKGKNIPLVKIDCTAEEDLCRDYGVEGY PTMKIFRGPDSTKPYMGARQAESIVSYMIKQSLPAVSTVSEANLEEIKTMDKIVVIGY FDENDKSSNQAFTTFAEAHRDHYLFAAASDPAIAKAEGVKQPSVVLYKDFDEKKAVYT GELEQDVLLNWVKTTSTPLVGEIGPETYSDYITSGIPLAYIFAETKEEREKFVEEFKP VAEKHRGSINIATIDAKMFGAHAGNLNLDPQKFPAFAIQDPVKHAKYPYDQEKELKAK DVGKFIQEVLEGKVEPSIKSEPVPETQEGPVTVVVARSYKDIVLDDEKDVLIEYYAPW CGHCKALAPKYDELASLYADGLSSKVTIAKIDATANDVPEPITGFPTIKLFPAGAKDS PVEYLGTRSVDDLANFVKENGKHQADAYAPKETKPEGGDEAASSEAPAASGDDHDEL ACHE_80244A MSSPAGTKRDAGHLKSPATDSKKTKTSSITSFFGAPKAKPTNAN AKPASVVPSNFNKQKWVDSLTLEQRTLLQLEIDTMDESWLARLKEELVTPEFLELKRF LKKEKDSGVKIFPPEYEIYSWTQHTPLNNVKVVIVGQDPYHNHNQAHGLAFSVRPPTI APPSLVNIYKEIKNDYPSFQAPPNKGGLLIPWAERGVLMLNACLTVRAHQANSHSNKG WERFTQKVIDLIARVRTRGVVFLAWGAPAGKRVAGIDRKRHYVLQSVHPSPLSASRGF FNNGHFRKCNDWLAERYGADEIIDWNLVPKTSTSTVTTTQSTTVSKEESTVPVEKPSE SKPVAESGKPAKPADEDEFDEDVDALEALVAEERKQEQVEQVSMKVTETETNAELKTE LKIESETKENNPPGSKDTPST ACHE_80245S MQRFVGDLNPEAVIRERLDGPSSKPLRDRIGLWISSAGQDDIDG DNNSYGRQQRQSSGMHFPSAAGLPSQQPIAAFLQQRYAAALQACERLPLSTRDRLIPI YFSRVNHMLPLLDKDFFLKAHSDGTASIFLERALCLVAAKDRAAGPALRLTIDGPVLS PRQFCTEIYRGLAVAMNAGLETDRITGIRILALMSLHCEGYEGAEAASMNICQAIHQA QTAGLHLNRPGRAPGDSLSKLFWCLWTLDKMHASIGGRPIILADRDIGIEKPDPKISA PRSAFDVWLAISDLLSTVISFYRPSADHNVGWEDNFPTFEQIVGDHMRDDLDFTTLGI LELYYHAVGILSCRPKLSDRSDGLEPSYVRQGLAAVRINSIVASECSQDLPPLPVVPY ALSLSMGVSYQQFRSSKLITHFDRAKRGLEACCALLESLGTYWYSAEAMARLGRKALH YIEGLKPETRGHGFESEKQTSAGKVSNSTVSASAPAMAWSFYHHPNDLVSSGEVVPRE DLATASAQELSALGSLEPSVGGPDVPGYDSFADIDMLFGDFLDLSLPTNFWDPVFFTE EGHNDGAV ACHE_80246S MAYGPAAEPPTRNTNEAHLVLFSPFTIFFRVLSPEWVTVLVDSS SLLVLKLNGQRNWLLNSRSVWSCPPNSGESDEGSPRNPGSLHFPHQRRRGIMDDREEG RKKYVSSTTAPIIIDLPG ACHE_80247S MAPISKTHEQHGVSAGMEKRKQVDSPAHPISQLQGPFDESIVEA IEGTGNEWTVELDALSRRRDLLENANYERLCGRRWRQRPGERYHPFWKLIAQMSFGVH LLVKGLAKSDTEVLKILQSHVDEMDGFLERTSEDFLIIQLDLRTRIQYLSLPLQNLDV FDGMLADRNFRFSMIAYNHLIEHAIERFSLTVKDALKDISKGKEAIRALRHYLWQSAT ENVPLPNHMQAVYDSMAANVEGWNNTLSRLRKKGTSLEAALVQLGRAVTEMQRRVGVA SRKDKVSSFNTSQSQSPPPSRSLREQLFEKGPSVSSRPLSDKPLPHDPGFARPGSSPG ISRQIGSRRITQKSVPNLRAAKVSDSPVTAESTSGRAQSANEATESHPAADPLAPKIH RSLSRKLSRVMLPKRSASGDCVTTQNRPSSERPNTPKYRSISLDRLKSIRTNRDTPIP EIPSVPPVDTQFPPRPSTRQENMKDQILQYFKTDQVANAWETETAEKKEKKITRRASL KLKEGPLSVFRKRSSNALRTSERPQSAVPFEKDLDKQMAWLQQETTALNTYSLKPKRE VSPRIHVLDVQSELTEEVEEGGRSTGDDASETGTTKGDAEPVITALPSFPLPPITEVR TASPT ACHE_80248A MNPSIPPSTAEYGGDEVSAIVLDPGFSTTRAGFAGEDAPKSLVP THYGKYSYEGQEKLIFGDDVYVSPRPGLSIHNPIGRDGIVEDWDMAEKVWEYSFASRL TGAKPGNPLHNGLNDVSNGELPTEMEVEETEKPLADSPLLMSECGWNPTKAREKTIEI AMESWGTPAFYLARNGVLSAFASGKASALVVDIGASNISVTPVHDGMILKRGVQHSPL GGDYISSQIRALFKNNSPQPITITPHYLISSKTGVEAGQAAQAKYKTFPPEKAPDASY RTLLEERTISEFKESVVQVWPGPTKLAAVGPGGVPNEEMAKTTPARPFEFPDGYNQLF GVDRYRVVESLFDAKAAIADPESPFPAPTQAQTVTELIKSALNGVDVDIRPHLLANVV VTGASSLLYGFTDRLNQELMQTFPGPRVRISAPGNTSERKFGSWVGGSILASLGTFHQ MWISKKEFDEHGPNIVEKRCK ACHE_80249S MDTEPQPAPESLFRPVKRRKFLRRRPDHEQEDIELNDDSGRDTK SSTSQTPQEIDDVVRLRRPQRTRKGGIEFSTTGSRQTADNDAQAVAQRSAEETEDERL RAMCDRFTAHTGQTVDVDKHMMDYIETEMAKRYRRDMPKDTSNSEATANEAICGSAGS TNFARREPATLGKLHEIDLGQETKLQNIARTEAATRRLAGSSDETAATPAENASEKTA VEQDRKTWRDRKGRSSRDIERDRLVEEVLRESKLDVYDEPDDEPRMDDMAADDRIAEQ FRRDFMDAIQSRRRVARQKNTTTAKAAKPEATKGPKLGGSRSARAAMRESQKTQK ACHE_80250S MRFTLFAQVALFTLGSLPSLGLAGGTLSTKGLSSCKTDSEIEIQ DLQFTYTRSTKEVVFDVAGTSSEEQNVTASITIYAYGNNIYSKEFDPCSSEYRMDQLC PVPKGPFSAGGSQQIPASFASQIPSLAFAVPDLDGQAKLELTSKKSGDEVSCVQSELS NGRSMQTKGVSYAAAGVAGAAFAMSGASAAGFVGHGAGAATGMGHGPGFAQTMGWFHT LATSGMLSVNYPTIYRSFSKNFAFSTGLIPWGSMQQSIDSFRRTTGGNLTDNSYDFLR NATIEFSNGSSSSSKAKRGVDMAVGVADLVKRDGDSGDGGGFHHFVSGIEAYAEQLTI PQANTFMTVLLIFAIVVASITVGILLLKIILEVWAMYGKFPEKLSNFRKDYWGLLGRT LTNLILVLYGTWVLYCVYQLSSGDSWAAKLLACVTLLIFTAVLLFFAFRIFLLARKYQ QTEGDVSSLYDDKDNWRKYSLFYDNYKKDCWWLFVPVILYMFIKGCIIAGGNGHGLVQ TAGQLIVEALMLALLLWYRPYVAKSHQWLSITIQVVRVLSVICVLIFVEELGLSQTTK TATGIVLIVIQSTLTGVLAILIATNAIMACIRENPHARRRREAEKMDRDIDDLTPLDA RQSLLMENPPRKEYAEMAKFNYTGPYEPYRDQVQIRSSPRGSTDKLVDAGDLESGHIG RSESRQSRDSQSSVEGHEPTKPASGLAK ACHE_80251A MADPEGVEDDLFADLYEADEPTAQATSATEAPRPSISAASAAPA QPTGHIAQSVENPHFEAEPPQNFYQTPQYQGYDASQAYGVGQLDGHGGATAPAAEPEP QGTGIKEDGKMFIGGLNWETTDQSLRDYFSQFGEVQECTVMRDSATGRSRGFGFLTFR DPKTVNTVMVKEHYLDGKIIDPKRAIPRDEQEKTSKIFVGGVSQEANEQDFKQFFMQF GRVIDATLMIDKDTGRPRGFGFVTFDSEAAVEAALSRPLDILGKPIEVKKAQPRGNLR DEEERRRRGREGYRDAGQAGVDSSQQQGAAGQAGMGAGITPQMMAQYWQRMQQYFAMM QQQMAVAAAQGQGGMGGMGMGGMNPAMMQQMQMKQMQQMQMGNNQQQGSMSPPSQSPT PQMQNMMNPAMMQQMQQMQQMHQGQGQGQSNNTAAAAGGNGSGVGGAAAGGNFTGNRG GPGYNAHEQIAFEQQKYEQQQARRVMDNRGFSPYQQGGPTSWEGMYDEVPQPNIPSGP QGTTPQPQGTPPANAPTGPKNAGKPGANYRGGGRGGHRGFHPYSRS ACHE_80252S MRKRPTKRSDVFQFKTVDPGGGAGGSRGTGSGGFETGGNAANLG YGLASGRFRANSADIAEERGTPIYPTARKGEGKILRPQYKEILRDPAHVLNLINHSPP PKNASSKENDEYSSRISRINKFKRLLQTSTVPLTELRNLAWSGVPEEVRAMTWQLLLS YLPTNSERRVSTLERKRKEYLDGVRQAFERGAAAAANPPPSTTGRGRGLDEAIWHQIS IDVPRTSPHIPLYGYEATQRSLERILYVWAIRHPASGYVQGINDLVTPFFQVFLGIYV TDLNVEEGMDPGQLPRSVLDAVEADSFWCLTKLLDGIQDNYIYAQPGIHRQVRALRDL TTRIDSGLAKHLENEGVEFMQFSFRWMNCLLMREMSVQNTIRMWDTYLAEEQGFSRFH IYVCAAFLVKWSDQLMKMDFQEIMMFLQALPTKNWTDKDIELLLSEAFIWQSLFQDSR AHLRPTGEKAPEDGL ACHE_80253A MPKVKANKRNSASKNPYADAAAKTKAANSVFKMNTDIGQHVLKN PGIAQAIVDKAELKQSDVVLEIGPGTGNLTVKILEKAKKCIAVELDPRMAAEITKRVQ GTPVQQRLDVILGDVIKTELPYFDVCISNTPYQISSPLTFKLLATSPAPRVCILMFQR EFALRLFAKPGDKLYSRLSVNAQMWAKIDHIMKVGKNNFKPPPLVESSVVRLVPKNPR PQISYEEWDGLLRIVFVRKNKTIRSSFLGQTGIMDMLEANYRTWCAQNDIPVEDGPAE DASGDAMDMGDAQDDAEDDQDQTMEVDDEDDVPDFFKEQANSRVQEALRKNNPNRKKK GKVAELVREKVRQVLEDETKLADKRARMCDENDFLKLLWAFNQKGFHFT ACHE_80254S MASGRKDFLNQPAPENYVAGLGRGATGFTTRSDLGPAREGPTPE QIQAALAKRAELLGAAPPTAYGAAQKGGKEEEEDEGGDDERFQDPDNEVGLFAYGQFD QDDDEADRIYAEVDEKMDKRRRIRRLVLSPPSTTSILFCSSGSPRLFWTADRLEHSPP YREARERQEQEEHERKNPKIQQQFADLKRSLASVSEDDWANLPEVGDLTGKNRRAKQN LRQRFYAVPDSVIAGARDAQQFDTTVNEDGTQTEIGGGDGAMTNFADIGAARDKVLKV RLDQAAGSTGDAASGSSTSIDPKGYLTNLTQSELKAGEVEISDIKRVRVLLESVTKTN PKHAPGWIALARLEELAGKMVTARNTMAKGCELCPKSEDAWLENIRLNEGHNAKVIAA NAIKNNDHSTRLWVEAMKLESDARAKKNVLRQAILHIPQSVAIWKEAVNLEEDPADAR LLLAKAVEMIPLSVELWLALARLESPENAQKVLNAARKAVPTSYEVWIAAARLQEQMG TFHKVNVMKRAIQSLARENAMLKREEWIAEAERCEEEGALLTCGAIVRETLGWGLDED DDRKDIWMDDARGSIARGKYETARAIYAYALRVFINRRSVWLAAADLERNHGSKEALW QVLEKAVEACPQSEELWLQLAKEKWQSGEIDDARRVLGRAFNQNPNNEDIWLAAVKLE ADADQTDQARELLATARGEAGTDRVWIKSVAFERQLGNIDDALDLVNRGLQIYPKADK LWMIKGQIYEAQKKYPQAREAYGTGTRACSKSVPLWLLASRLEETAGAVVKARSVLDR ARLAVPKSAELWTESVRVERRANNISQAKVLMAKALQEVPNSGLLWSESIWHLEPRPQ RKARSLEAIRKVENDPILFITVARIFWGERRLEKAMTWFEKAIVSDSDFGDGWAWYYK FLLQHGTEEKRADVISKCIITEPKHGEVWQSIAKDPANARRSTEEILKLVANNMN ACHE_80255A MQIDPAALNRADAAASTAIASSKGSATNAPATQKSSSKVLISVP RLDLEPAYTELKAAIGDNWSEYKQSTALFLLGHLNQSEYSSRVDHILCADSKTEHLHN NFVCAIIGNLTRDLPDHGVASWVSANDKPSVVSKPASGDAAEHRLKTEVMQLPPRDRR RIKAIQERDPNETVPNELEEYHLAKQIKLPSQVPASAGGLNKTNWELEIRKRYAQPLA SETGEFPDSESIYARMIPICYEESIVSGAGLPCADFMAIATETFVKEVLSVVFSRTRS NGPSGTINGMMMRKYRQQLEQEELAYTRGEIVKDGASGLLPVEAKEAAVRKPLGVRDL RLALELGNGVLSHMPLIIDQVMGGYLEDELETEKQDRTDDGAPVLTDDDTKAGFTDEM DLDEADSGWEGAGATDHEQLGSLLDECLSMAA ACHE_80256A MPSSGDSSSGSRRFSRPKLRQATFVLPKTGQRLKGLVNLRNAYT SPDSSANSNSELDERRGLLSGDIAYNNEGIVSRIRTKFRDGWLLVYEFLSSELGIGVL KCSLAYLLGSLATFIPAIASFLGQQDGKHVVATVTVYFHPARSQGSMYKASICAFLAF LYAAFVSITSMGVSMFFQDTLHLLPIGHALVLIVFCGGGLGFIGWTKQRLSDPLVNVA CSLTSLATITVLTKEGAVQSGDLSLAKVSQVLKMVILGVGATMAVSLLIFPVSARKKL RSNLTTVTESLAVMLGVLTESFLSGSDEELQSAEFVNAAARHKQAYSQLDNLVKEAKL EHYVAGTEKEYRLEKNVVRLLQDITHNMGGLRSAAVLQFQLLKQTRLGESMQLRSPEG DSSGNGQLPLPSPWILHDERSFLEPIDERPEEEVSSPGSSRRKQSSLNSLDSYRLPHA DIFALFINHLGPSMRSLAFTYMEIFKEIPFGPGPDYRVCINSRFHTSLDRALELYKES RESALKSIYRQKEVMKIRTVELSADLEEVSASCGHFSFSLLSFGEELQELLTILDELH LEADERPTGRSWNWLKFWRQRGPETGDGNELDAEQALIGNPTVTNGVTTGPSKPSASL PPSLENSPTKERLGYRIWKSFGFFRRDDTKFAIKVGTGAALYALPSFVSYTRPLYSHW RGEWGLLSYMLVCSMTIGTSNTTGYARSFGTFLGAACAVAAWYATSGNVYGLAVLGLL MATWTSYLNIVKKQGPMSRYIMLTYNLSVLYAYSLMQKEALDDDDEGGDNPVITDIVL HRVVAVLSGCAWGVIITRVIWPVSARAKLKHNLSFLWLRMSLIWKRDPLSTMAMERRL EAYMSPREKLEIERFQSHLESLQAAARSEFELKSAFADASYGNILRRTRSMMDAFHAM NLELVKSWNVSEGEISILRYTAQERKHLSSRISHLLSVMASSMKLEYPLVDVLPSIEH ARDRLLARIFRYRQDQEVSRVSTDEDYALIYAYILVTGQLSMEIVEVLGEIGKLFGLL NEDAVRLQ ACHE_80257A MPITNESIWAASPSTTRGQPTQLSSDAKGERLAYASNKSIFVRS IDNPTIARQYTEHKSNTTVARFSPSGFYVASGDASGVVRVWDCVGDGITKGDYSIVNG RINDLAWDGDSQRIIAVGDGKQRYGHCITWDSGNTVGEIMGHTQQINTVSIRQQRPLR AAAGGDDMGIVFYHGAPFKFNNAIREKHTNYIYGNAFSPDGSTLVSVGADRRIWLYDG KTGEIKGQIGEGEHKGSIFGVSWSGDSKKFATASGDKTVKIWDAEAGKVSQSWTFGED IRDQQVGVTWPAGRSDGLLISLSLSGDLNYLVEGTPEPRQVIQGHQKNITSLNQFGSS SDSQTLWSGSFDGRVCSWDISKGLAEEAEGAGHTAYIAGLAPTQEGAGRIYSVAWDDT VRSIDIGAKTYTGSSSKLSGQPVGVAAGNSTVLVGTSKSVEIYNDGSKSGEYKPKSPV SAVAAHGSVAAVGSEDASVQICDVSSTSLSPKTDIKAGKNPVSVLTFSNDGSLVAVGD SRGRILVYKVADGSLVTDRWTAHTARVTSLAWDESNTHVVSGSVDTNIFVWSLTSPGD WLQVTNAHKEGVTGVAWISGSSKVASAGADAAIKVWKVENLK ACHE_80258A MALNIFTRLPLRTFGASFAPLSTCRWNSTNADAISYLQSATRPT RGPKPYHRSTALQNRARIAEEQKKQAESRALERFQTREWRAGDVYAPHDLSPAQMRKW KVRHSPPTDAFDAIDKNPLDLYKNFSIMSEYMTPMGRIKHRSETGLRPVNQRKIAKAI RRAIGLGLMPSVHRHPEILAAEAKARLDGNSNNVY ACHE_80259S MTTHFCRLLAAREYNINMQSHLTKRVFRAILNNEPLHSSRCRYR LLHTIPSHRARSLGLPKFNHVQRRGLFAFNMKPQSSEPQATTLQSEIGLKTMRDLLRS LEDKSRGPTNDVIAKAFREFFAARADTPGFITGFQGRLLNVTWEHLNAQQQDMESSDW AAVFSTESLESVLFVLSEATCLPASRESILNIAHSVFLELCADQGFGPNEVSRPALTA YVNLVSSNGEPERAQEIVEKFWDKLQKAKPSPWLAVIKGYAVQGGRAEIKQIPARLKK HGKQYDAATQEELIKALIRENIWPAVQALYECPVSSGKEEPTYATKEAVIRYALFRSK VTLAQPIFNSLAHRPIAETINISLLWEAAQGGNAFDISEKAKAWIAGNPTAHLTTSCL NDLIRFANVMENPQLATEFSTLASQWNLEPDSQTKMLQLETRVLAKDVDGALELMREL PDLDAAVFEHLPLMNKLITMLCWSGQEDALFNQVSGLLDPFFENNVRLEPKTLAALTH LLLNRRDWEAVSELLRPRLGALDSGEATVVRDIVIKYILDASKGTDDVWEVYNLLRLA FPETGVNRRTEIMSAFFERNRSDLACLVFGHMRQAENPGQRPKPFTYALCLQGIARAA DAKNLELVHNMLKLDIEVDLNTQVRNGLMLAYASCEMSEEAMKIFREILASEEGPTHR TIGIFFKACESHHNGVQEAIKMMQKAKDLDIGIDRRMYVTYIVALAAHCEFELATEAI DNMYAETGYKPSRKTIGLFYNAIPYQYWKDEVETWAKTSYPELWDHLMELSRSEHEEG LKFDKLSLEYLV ACHE_80260A MAYNQVAECFAEYAIGTCFLLLRLFARVKMAGVCSLQLDDAFAV MAIIFFTLQTAIIYLLGVFGNNIGLNTVTAMQVPDSQVSKLELGSKLAFMNWIWYMYY IWCLKGVLLCLYNKLTQGTRRQHLVWAASAFCFLTWLVCILTHICICTPITRNWQIKP YAGDSCTIRGPLYIVIAIMNVISDIIIILIPIPILVKLQVPLHRKAILTAMFSSGIFI MICTILRAYYSLKSLTTLRIALGWANRECFVAAIVVSLPGIKPLFRNTRWIGSSNRGK QYNYTGPSSSGYNGFGSKSSGNTKTYITSLVSSNRTGHVELHDVPTTTTMGDRDSDGK RASTASKELILNNDDTHARGHDEEGAGTGSRGSGSPGENPPLAIRVTTEYTLAHEAGA RRLQ ACHE_80261S MGMPVHDFRTRIVFFVLLFRHCALSRKELGYGQTKEQGRKVPDV ICAAPVKWQVGPSASAPVLFAPQTEGLCPVCLIPSVRCALDCTAGAIIRCVFHSKTTV SLCNTIMTPKIALITGGASGMGLAVAKSLAAQGSWHVHLLDIHPDRGNEAAIGLHPHA TFHKVDVSSYSDLAAVFQRVFQRHRRLDFVFANAGIIERANFFENLPQQNTKQVPPEP KDLRVIGVNLNGVVFTSYLAMHYFRRSPDKGRGCNLVMTASCGGLYPSHYSPLYTATK HGVVGLMRSIATQLWQNDGIRVNAICPGIAQTDLVDAQGWASFPPHLFIPLETIARIV LMLIRADDGGTDHGMTDATGTFIPAAQGYGRAVEISGDKFYFREAPEFCDEGMQAIMA ATVLENQVGGVLNGTSRTSKL ACHE_80262A MGGETQVAIVTGATSGIGAEVAKFLAVRGWKVAIVGRRRDVGVA LAASLGPNVFFFQADVSQYESQAKVFRDVHRIWGQIDMFIPNAAVVDQSSFYLYGSHE KTVDDIPPEPDLSCTDADYKGVIYGVQLARHFMKFNQPHGGKIVVTSSVGGMFPHPSY PEYCGAKAAVNQFVRGVAPLLKQRENILINCVLPGIVATPIVPPEMIAAVTPQCVTQM ETVLSAFRTFLDDTTGMAGEMLECSGDRCVLYPMPEYGNGLVTKRAVTVWEPLFRMMH GDDSRLQDAIP ACHE_80263S MAVDQEKGFREPASDNNDHASQSTVNQVSPVIESQDPEPVVTLK TWIVSCILSCGYGLSFWPVPVVAAIGSTVSADLGEPNAYVWFTPAWTISITCAFLIFG PNTDLLGRRWFLVGGNLVCTIGHIIVASAKTNNQIIAGLAISGFGGANCQMAAFALPE LLPNKWRHIGVVIADFTVYIAVIIAPVTARYGYEFGTWYWNFGAIAIFQGLSFFGLLF LYFPPAHPTGTPYGEVFKSLDYVGAILFTGGAVSFLMGIVWAGVYDSNDTHVVAPLVV GAFVLICFALWETFSGTRFPLTPTHVFTSSWGRDFTAPAVALGVINMFYYSSSILWPN MITQFYTNGGAEWKYAVVLSLPQGFAIAFGAFLLSVLGGKIRHWQWQLTGSVLLMVVF GSLLGIVTPTNKGTMIAFIFLSQMGFGYGIYLSIAITQMGVDQKNLGVSGGISGCIRF AAGAIATTIYTTVYNNKLSEKTAELVPQAAIKAGLSESRVSDLLSAVSGGAAKLSETF GPAVATAATDALNDAYCKAIFVVAMVSMAFGIVGLIACICCKDVDHKMTNKIEVYLEN TALAERNKYH ACHE_80264S MLSTTPLKTPPKMRSGSTAATTTTTTTKPPSHNQTLTPKSILTL LLLITAPYMLWKLLCLITGSSIPVMVVISESMAPAFHRGDIIFLWNRSKLVNVGDIPV VWFKSQPLPMVHRAVQVFREGVVQHILTKGDNNYIDDVGLYPPGRSWVRRDEVVGVVK GYLPSLGWLTIAANEMPWLRGMGVVFACLIGMVSN ACHE_80265A MASPALPPHSDSNINNDDDHTLPTRQGPKLFASNDGSHSGTGTP MGFQRFPQNKHLESALQSSLRQPSPQPTHLGIPGGSHRVLSEEDPGYIAAKFEGKQKQ MEQVMDQLEEKGFFPSDFVVSETNWFYNMLGIDDTYFQTESVDAIVSHILSLYAAKVA AYARDDKKLEIRLDKEAEDHAVYIDTSRPGVAAVDGPRYEQRIDKKYVNGSTNDNSYR VETFRSPTPLPGDSEQQLRCYFVYKCQFANPNPDPNETNIDVIGEKRFLQKATPNTKA VYQDIMNNVVGRSGPVIEMFEIEGSREKRLVIAYRQGSAMGLFSALSDLYHYYRLTSS RKYLENFSNGITIISLYLRPSQPEVQSKFPPIEAAIHQIIKEVSLLYCIPQNRFQHHF ASGRLSLQETIYAHCGWVFVQQFLNRLGSEYNSLSALLDSNNSVHAELLSKIKKRLRT ETFTSEYILEIMNKYPELIHKIYLDFANTHYVKTQGTAGDDFLPTLSYLRLQVDEVLD SNRLKQLIATTAANEHDEMVMTALRVFNSSILKTNFFTPTKVALSFRLNPNFLPQHEY PQPLYGMFLVISSEFRGFHLRFRDIARGGIRIVKSRNKEAYGINARSLFDENYNLANT QQRKNKDIPEGGAKGVILLDVNHQDKARVAFEKYIDSILDLLLPPTSPGIKDPIVDLH GKDEILFMGPDENTAELVDWATEHAKNRGAPWWKSFFTGKNPKLGGIPHDTYGMTTLS VRQYVEGIYRKLKIDPSTIRKLQTGGPDGDLGSNEIMLSNEKYTAIVDGSGVIVDPNG LDIDELRRLATKRVTISEFDTSKLSPTGYRVLVDESDVKLPSGELIHNGMIFRNTFHL RTEQPYDMFVPCGGRPESIDLGTVGKLIKDGKSTIPYIVEGANLFITQDSKLRLEKAG SILYKDASANKGGVTSSSLEVLASLSFDDKEFVEHMCIREDGTVPEFYKAYVKQVQEV IKENATLEFEAMWREHEQTNVLRSVLSDRLSLAITKLDEELQKTELWDNVELRRSVLG DALPKLLLDKIGLETILGRVPENYLRAIFGSYLASRFVYEYGSSPSQFSFFDFMTKRL NQSKA ACHE_80266S MKKELKIAIVGGGISGCTAYLQLKKHLPGNHDITIYEAYSTAKN ATHTDWQDGPTHSSTLVVGGGLGLGPNGLHVLQRLDENLIRDIVRSGYVISHGNMKDK NGRLLVRMDTTADPKSSPVNQSTHVLGCSRHALWRCLRARIPDRDVVCRRIEGIIANP DGRNVITFVGDVNTAEADLVIGADGLKSTVKRALFPEAEDPYPPRYEGLVGIGGFVPA NQVREHVEPGSMNFILGGNGFFGYFFAESDPAAPHRDSPYYVSEPGKSLVWWSTYSAD ECPDPKAMNKDDVVRQLQERHADWKDPVIQTILHSLRVSNMYPTWTSPALPKWERFGV VLVGDAAHALPPTSGQGSSQALEDVEALTMFLKHYLSRIDDKYANTNDYGLAIRRATK EYEQLRQPHVANILKRAQQSQNSKRTMGWIEEYAMYWFMWVLGFFPGWMTGPVQAEYN YNVAEDVERRLR ACHE_80267A MPPKNTDFDKLSTNELVKHLAQFTGVPVPKADVKIMGKCNITGC SLVYSGTEQAFKEVGLSWGTGANLMCMKENYLKKKRKADEAGLADYESDKRLQAAFQA DLAQSDGTPRVHIQEGSGDTTDTQKSNQRRDEQFVRQVKVAQQQAPELRHTAKLSAKQ RRRVFDLFDRNFKGLDDAKSLLSSPSISVRLPFPFLGTEIPKRFMVRNDQGNYHYMGR EVFSGLVDAFDSMAEGFGHPNLWVYGLMGYGKSHLLATLTCFLTAYGYRVVYLPDCRI CLEDPTRYLQQCLLFTWSDLPEKVEEIVKLQDMNEVANFIANNWSTSVVFVMDQLNAL DDEDEASTKSHVRRWLKRCRSGLKTVLSTSANNISFHRMTQRQNYDDLFTVYGGFTRA EMDAWWSHHSAVALGDYTRDEVEDYTGCNPLLLVSCFEGGKFNLTCEEISRMIRHAQR FTGRMKDKCTEWGWSRYQSYVKACITERAVPDNIDPEHVDHRFFHERNRTGYCICGAV REAVIKELASLGTYLFSIEDSMRAMGHLISNPSVVGYFLENAVLRSIVTAGIPCLDII GPMPQFIFQEFPSYNLSHEKALYVPRTYNFPAIDAILLRLNNVDKKAELIPIQITIQK AHRNSEEQFFNNWSFWHDYLDDYDVKVTFLWITSDGGFKRTSMEERLRKTRGGSEKVV WPDYDSAYIPLKEVNGSVWRKYQEVKAKQALLLPN ACHE_80269S MADRPTLLIPQDISAALSQLPKAIIYDSAKDTTTNSSSSSSSKH HRQSSSSSVLSTSSPRGVEYYPPPPPPSPVVGLGASAFPWGAEKSS ACHE_80268A MSLDASPLAAPVPSANADSDSDSDWEYEYSTETESFYLNLDLTS VHGPLRPPRRRNASAPNNNNAANEPDADPDPDADADFSRPLETTESDANDPTRHAAAS ASASAGAQDRLQILGLHTLNPIVSYQNQVFSCSWAEQIGTELFFTRPDELITTITTTG AAENDLEPLARGKDFDLIAATSAKILGRKANLISSSEPSTTTPSTSTSNLPKSSMSSN QARFLDRLSSVKQAKGETDTVRTVYSMRRTQNLEDRVRGWARTEEQLTEVQRLQEAVL RGDEGAMAALEKVYRDLQGNDGDGDGGA ACHE_80270S MARKTKATIAFLSTLIIYVSLNSLAHTINPSAFIWSSEDREETP WIASSHSWLDRKACRWLGLCGTAHVQAVQTRFGHRKISAEAQVLPDSGESEPGNSDQN INGPWQTAWSTGPDLSDQWDDAERARRQIPAYVFEYAPLVHLFSGEQFWPCDIAEHLF HVTPFLNYTPIQSQAKHATLRDLDQLNRWQQNGHVFLTSNDNVEDRPPWMEGAHNIPD QTTAKEESWADWDGRVDGDIPGEEDRAQWFDTSDIREDEPCDEVDEGEDVFIQSDLAN ALADLDPERLFGDGEDSELEEELRKRYGGQRIHVEKTGGRSNAPAVLVVIDKGNGIVD AFWFYFYSFNLGNVVFDVRFGNHIGDWEHCLVRFHNGKPKALFFSAHSAGEAYSYEAV EKIGRRPVIYSAMGTHAMYATPGIHSYILPWGLLHDQTDRGPLWDPLLNAHTYTYSPA NDTLLASTANPSAPTEWFYYEGHWGDKFYPLGDHRQYRFAGQYHYVNGPLGPRFKHLN RHKVCQGPDNAPCVVKDWIYEEKGAKRWVS ACHE_80271A MMGATSFLNHLGNRPPRYRTPSPPRRAVEPITPSTNTTDFRASS TERNIHKHAGNDRDRLKSENGRYPMADDGSHHRSGHGRSSSTIDTLATIALATSPTFA PLSYRPPSQSSTPGMPLFPEMRDSIEPPAKRQRSEKGPSPFQPPPHPPTDAAPSHTNT SSSFFDSMKTDAELLLNFARPSNFPPIAQPPKRVSIDGSSHDYGNESKRQKIGSGSTY LAPDYEWSMYNASGNNLVPTSRTRSQSDGSTVARPVIRDVRPNTSSSTVPPIAWQEET EYSWNKPATSAQGNAGDNDVEGSGVPDAGVTQQPVDVPSKTEDETDVDDTNQATCAAC NLVRIPVETEDQGEVTWISCDGCKRWFHIVCAGFKNDREIRTVDKFICRGCWPVYGNT TFVRKSSRARTAIDYAGLNQGLVKAPSNSMEHHYIEPIRQGKIRFLPESFPRMHPDLV TAEYFERGSGMSEPIVIPAHLNTRESTTTVGDDFDALVADAPTQEMFDELLEHLPEEG ENYERVIDCGQDRLDMVIPEDLTVRTVAELYGPEERVEVIDVKSQQGEDKRWNMQKWA DYYESTGEKVVRNVISLEVSQSRLGRLIRRPKIVRDLDLQDAVWPKELQAVGDFPKVQ FYCLMSVADCYTDFHIDFGGSSVYYHILKGKKTFFFIPPKDKHLKKYEEWCNSPAQDN IFLGDQTKECYRVDLSEGDTMLIPSGWIHAVWTPENSLVIGGNFLTRLNYGMQIKIAK IEKDTKVPRKFRYPFFQKIQWYTALKYLEEDPVPQSVLDAFAQDENYKFHRDYPVYCE FGERANAAPAGSPYHNSRFYSQGELEGLPDLTKYLLRTALIASSYLVEGVTMDARNAI KRSIPKGIGDPVDTIRKFGVWVAWKRGNEKAAEWTRPGVIESNPKISLTEKKPAGRPS RRSERNADNQRMYAERQAVQLPEQQLQEPSDDYASANPAVSPENGVPAQVQPPSSGPV VKQETAPKPRNTNRGSGLGPKRVACDACRKRRIRCRHKDEQNDGASVKPMVLINNNAF GQGMGLQNQSSLGHDDAAAAALNSLAAVASEAGLQDGSSIVGLNQFEAAKYNPAVTNT PTVSKLADGSPEGANSGKKGRSKACDDCRKSKRRCIHDEYGRVDPVKAQERSKPRASN SAKRLRPDEDVSLAPSKKPKQESTSPLSRPSNLDRVEVPSSTVIHKAVGFDNTYPEAV NPNKPFFAANVTIEGKAGALGQDSYASPPAFQTDTLLSKEEPMPAQPTTTLVSPPTSQ ADEMDVSPDHVDGEGENPSAIHTPTSSSRHSSRQPRQVERYAPEVQIAGKTSRPASHP SSARQTSATSAAARKTPPGPSSGPKRPSSRLYGPATEARHAGHHGTTSASASPGQAGK KRTREEPDADSMRLIRELQEQEFGLRKRGTRA ACHE_80272S MPAGEEPNAAWPIADEALTQNLLDLVQQASHYRQLKKGANEATK TLNRGTAEIVILAADTSPLAILLHLPLLAEDKNVPYIYVPSKLALGRATGVSRPVIAA SITTNEASDLMGQIRTIKDKVERLMI ACHE_80273S MDSTLASLTAATTTTVLAATTTSILSDSTLSETQAASYAENNPQ DDGNGECRLLGSFSLIIQAALGALALLSLVYKRWRERPQRPVKVWAFDVSKQVFGSAM LHLANLLMSMFSAGQFDITSTYKPNPCSFYLLNIGIDTTLGIPILIFILRILNALASY TPLANPPESIESGNYGHPPRATWWFKQSMIYFIGLLGMKICVFFLIHFIPFIVKVGDW ALQWTEGNTAIQVIFVMLLFPLIMNAIQYYIIDTFIKKPMTPVDEMLAEDDDREGTLV DGENDQRNALLAGLEDDGILDAEEGHAKNGNPLDSPSHAKEALHGFSSIRAIYNHTVG RMHSASSSSSGSSSSSSSSHN ACHE_80274S MPKTAVAQKQKKAPKVKTSSRSPFESANVMRQEDSDVEMDNGAE GDADEEAIREKDATEKKLERMLFGDDEGFQGALKSQQDQGLMAWGVTSDEESAGEGEE GEGSDGEEKGLEGVDDSDLFFLDSGAGPVSTDLADSPEAPSDGESEDEGPPAAWHDSD DENLTISLASHQRLRKLRNTEADDVINGKEYVRRLRRHFQQLNPVPDWANPEVNQAKD KGSDHSDNEMDTDDEEEQVSTQPLAKLLQNATDLTRGVEENTGSGGKRKLRQEVVDIQ RLKDVGKDQPSSIDSLTFHPHYPVLLSSGPASTLFLHHISPSAPAPNPLLTSLHIRRT PIHTSAFSHPTGTKVFASGRRRYFHIWDLDTGKVDKVNGTADRKEEQKSMERFKLSPC GRYIGLVGSSRKGGGLINILDSGTAQWVAQVRIDGRGGVGDFAWWSDGEGMTVVSKNG EVSEWDARLNRIVARWVDAGAVGTTVLSLGGKNGPVHLGGDRWVSVGSSSGIVNVYDR REWIPNDKAAKSENAGIPRNPTPVRALDQLTTPISHLVFAPDGQFMVMASRWKRDALR LVHLPTCTVYRNWPTSNTPMGRISSVAVSPNSELLAVANEQGRIRLWEIRG ACHE_80275S MALMKTLEVLLSLSASLIPTCARRVDHPSYSSSYYASSAVNCTA DFHDLKTWWHATGEINTKGPVADVNVRQSHLYSVQVSRAHRDELYNSFVYETIPRSGK GQICYPGDYDTICPEGDGISIEDSIGTTMAWSQFLYSRDVVVNVTRLDGKNVSAKDVA IRPSSLQLNVTAQGSSALISVPYSPETHGMRFSVEFADDIWEYRNAGPGVNSHYVQNA NPSGDSYVPSYNESMPTVGREPLNALLIFASPFPPSDMVPSKRDDLYEIPRGYVSGLD AINQSVVVFNPGVYYFTGNAHAILSPSVKWVYLAPGAYVKGAVQYMNSDSPLKASGFG VLSGEQYVYQANVASGYSNNKSDATSLKMWRGDGITAGQSWTIHGITTNAQPFNAMDF YGDLENFTVDVADYKQVGAFYTQTDGLQMYPNSHVRDVFYHSGDDTIKTYYSNVRAER IVVWKTNNAPIIQLGWYSRNIANISVDRVDVIHSRYQGGSEYYPRALVGCAASYEDPA ATDTANTRNTIANYTVSNIRSEGISPALVGMNLMSNLDRFRIINAWIEEFSPATTQLE YSAVRGFTDPNHGNRTVTIGAHSANGTGLVIQNYTVGNEEVSLAAGNWNRTSTGHLDI SPSFRGKWTVESLSIG ACHE_80276S MPSSTEERLSAQLDEDITNGDFHSVKDFYESADPEKQSSLLTGI AERAAAKARVDILHCICAQFGYYSLGLM ACHE_80277S MAPGPSGRPSRSNQAEHHGLVEPQVLVLVQWYAAASAMTLKPPH PYQGWRRGKHLSSILVQPEPHPSRE ACHE_80278S MHLLGSILASAILVAAQSSSLIPTSSSSSAVPSSSGSAEACARI AKITENANHVPAKVAHDCLTSVPVAVEENEALIDQLKLMWEWHSETGWLKNTPKTWDR GSLDLIGELDKIKNNLSDYDSEYDVHLAILKLSIDTGNYHFLFGSDILSVFLFERGVA MTTISDDGLKVPNTYVAGDIIAQHADDDVSISPITKINGQDVQEYLEKIADWEQYTNL DARYNSLLFRKGNKGSFVSPAQVFYGVYDNAATTVTFKNGTTRRYSNIASISSAAEGI DWSDVTDGKSLFKVLSKLKTAAYKPDTSSAARKAALHLSRRTLVPRDYSNYPKPVVNH SDAMMAGYFMDTVDDVAVLQISSFDTSSADNATKSSHEFQNLVKKFLNKSQDEGKKKL ILDLRGNGGGDVDLLLDTFMQLFPAETPFSAQRYRAQDGYKRVGDALNEIKMNDTAYK EFMSNWYEDIATTHWDYEDYVDVNGNNIPDWGTWFGPFTYNEDNFTLTSRYNMSNSNR ESILDEGFNFENPPSGPPIFEPKNMVMLMDGLCGSSCASFHEELKNVAGVKSVVVGGR AKEGPMQALGGTKGGTILSFGQMSGTIAGMMNATEALGIKGFETPELKTLANPEVLLR RAGQDGQIQIQDQVRKGDSSESTLQYTYEDADCRIFWTTKTLLEPQALWAAAWAAHTD DSKCVNGSTKQPSSISGGFKPFGAGALNGSIEQDETATATSASSLTRNSSLIAYVATT LLSFLIFL ACHE_80279A MVGIGPKKPQSRKGSSVAELPQNLQHQIQEFEETFTVSTETLKK VVDHFEKELEKGLSVEGGNIPMNVTWVMGFPNGHEQGTFLALDMGGTNLRVCEITLTA EQGAFDITQSKYRLPDELRTGTSEELWEYIADCLQQFLESHHEGENLSKLPLGFTFSY PATQDYVDHGVLQRWTKGFDIDGVEGHDVVPMLENALKKRGLPIKVAALINDTTGTLI ASAYTDTDMRIGCIFGTGVNAAYMDNVGSIPKIAHLNLPPEMPVAINCEYGAFDNEHI VLPLSKYDHIIDQESPRPGQQAFEKMTAGLYLGEIFRLALLDVVDNKPGLIFRDQDVS ALRKPYLLDSSFPAAIEEDPYENLEETEELVERKLGIKATIAELEMMRRLAELIGTRA ARLSACGVAAICKKKNIDSCHVGADGSVFTKYPHFKARGAQALREILDWPAETKDKVT IQAAEDGSGVGAALIAALTLKRAKEGNLAGIRDMDDMKQLIS ACHE_80280A MGNEPSTLVDEKTPPSVLEARTVEALAKYVHEKRVRKIVVMVGA GISTSAGIPDFRSPDTGIYANLAFLDLPDPEAVFDISFFRQNPKPFYALARELAPGRY RPTIAHSFIKLLYDKGLLLKHFTQNIDCLERQAGVPGEMIVEAHGSFANQHCIECKAA YPEQEMKEHVEKGEVPTCKECKGLVKPDIVFFGEALPEDFFLNRELPGAADLCIVMGT SLQVQPFASLPGFVSDGVPRVLINLERVGGMGSRPDDVLILGDCDAGVRKLAAAFGWL EDLEALWEETNPDKDARDAEMAPLQTKDERLHDEVERLTSEVDRTLGLSGAYERKVRD HMDRQRAKEEAKQASGAQSADADDSEGSGLGHVFPHLAKKKPLL ACHE_80281S MAIPPATAVNGHAESPSSSFPPNSEKSPQPQPNSTPSSDPDIFN ASSVAEIKATLSHLHTQEASVTSRLDALVASQKDFSRELSRLDLLRANLGSQTTTTRS IRHGMLSSAAVTADRISSAVRRLDLEQARVKATLEVVEQVAELKACVLGVSGSMGAPQ DWETAASYLHRAAQIPDEVVKGAFAGEMVPTAEVPDPPNVTLDTAAESLCGLFLREFD KAVKEGNGAKITRFFKLFPLIGRSEVGLDVYGRYVCQGVAARARANLNAGTGGREGFF YANALTKLFEHIAQIIDGHGGLVERHYGPRKMNRVIERLQLEADVQGGIVLDTWGDER HVDRKLTDIKSYAFTFLVQSFLPTQRPGAPRSHSPARVATGGEDEGVDMKEIDGLLNE MGIMLGRWSLYCRFLADACNPEDEKHTLPQFLANSSLTKKITTRLATPFNTMTTFFVR RSVEKAFQLDESPTGLTLNPHKPLKSDPPYITSAVDDIMYIVNKVIQQTLATSQIAVV TNVIPTLARILGSDFIGMTQRKMRDECYPRPVVQGALPPEQTVLFFLVLINNLDIAVD YIQRIVTNNTEARTPLPSTHLNPNQPEETISQLDSLFPVPGEAKQASQALQSLSSSFE SKVSDLLGDGIQVIFNNVIKPRLRPILADAFRDIEYQPDHNDPSTTPVPIDDVEDDDM HTGPGPGTERPTTRFTTSWTALLTPLSRILTPPSFDKLLSVTTTYLSRLLEKRLWSYH GRVNALGATRLERDISGIVNAAVDVAGSGIGGGRYKHREAFGRCLQMVLVMGMDEEEW EDVVRGGETGDVVDRLSVEERGRVRGMVRRF ACHE_80282A MAEAPYAPDYASYNWTGAPSNYDALTTNELGGDSRTENLNKWFQ AGDQAYIITASAMVMVMVPGLGFLYSGLARRKSALSMIWACMASMSVVTFQWYFWGFT LAFSPTATNGYIGNLRSFGLMKTLANPSPGSALIPDLLYAFYQMQFCAVTAAIVMGAV AERGRLLPAMVFVFVWATIVYCPLACWVWNVNGWAYKWGVLDYAGGGPVEIGSGLSAL AYSMVLGRRQERMMLNFRPHNVSLILLGTVFLWFGWLGFNGGSSFGANLRATMACWNT NLTAAFGAITWVILDWRLARKWSMVGWCSGTISGLVAATPASGFLTPWASVILGIVTG IVCNYATKVKYWIRIDDSMDVFAEHGVAGIVGLIFNALFADDSIVGLDGVNTGSGDGG WVIHNYKQLYIQIAYIVATCAYSFVVSAIIAFIINAIPGLNLRASEEAELLGMDDDQL GEFAYDYVEVRRDYLAWTPQKQDQLEDGHEIPAAARYGIGEHSEMMLDGQPAPDSSRG WSEPDSGSQELKMPPAPRQVAEQHPVDEIHEASFPPSSTQVDEKAE ACHE_80283A MSRSATPALPLHNAPISESRPPSTTPLRPNAPSSTSTSTYSLLD PQETAERLQTSFVYGLSPAEAEIRLARDGPNELPHEEPEPLWLRFLKQFRETLILLLL GSAAISFFMGNYDDAVSITLAVTIVVTVGFVQEYRSEKSLEALSRLVPHYAHLIRNVP SADSTTINNAGAMPGGAEMELQEVRTKSPSSVSAAVKAASTVPATELVPGDLVLFSTG DRIPADIRITAATDLSIDESNLTGENEPVAKYFDALRKGKESLVVGSPKIVTPPRSPF YDAPASGAVGADIRLNEQHNIAFMGTLVRSGYGQGIVIATGAKTEFGSISASLQEIES PRTPLQLSMDRLGQELSYMSFGVIGLIVVIGLLQGRKLLEMFTIGVSLAVAAIPEGLP IIVTVTLALGVLRMAKRGAIMRRLPSVETLGSVNVVCSDKTGTLTMNHMTVTKIWHFD CDEAFEVHRDGTALDLGPAARTILRTGNIANNARLSRIHANSPASASSAAVLSSTVDS SSGAVKSRWVGQPTDVAILDLLDNLGEDDVRERISTRVSETPFSSERKWMGVIIGGTS SDAEFAYIKGALEQVLRRCDTYMTKEGREVILDEPRRKTIRQAAEQMAGEGLRVLAFA SGAVRELPRYRRSTPVSSPHVGSNEDDDRYSGLVFTGLVGMNDPPRKDVHKSIRRLMS GGVRVIMITGDAEATAVAIAKKIGMPVSDNPVSRTVLGGDEVDRMSTQELSQAISSTS IFARTSPDHKMKIVRALQARGDVVAMTGDGVNDAPALKKADIGISMGKLGTDVAKEAA DMILTDDDFSTILRAIEQGKGIFYNIQNFITFQLSTSVAALSLVLLSTTLGFKNPLNA MQILWINILMDGPPAQSLGVEPVDPSVMGRPPRPKQARVLTKPLIQRVLTQASMIMLG TLAIYIYEMGDADTLGKRVVTAHDTTMTFTCFVLFDMFNALTCRSEGKSVLRGELSLF GNKMFNYAVMGSLAGQACVIYLPMLQSIFQTEALGLGHLVKLVIISSSVFWVDEGRKH MNAMKKRRAVGVGYSANV ACHE_80284S MFYSETLLSKTGPLARVWLSANLERKLSKTHILQSDIESSVNAI VDPGQAPMALRLSGQLLLGVVRIYSRKARYLLDDCNEALMKIKMAFRLTNNNDLTTAA VVAPGGITLPDVLTESDLFMNLDSSLLLPQPLNLEPEGKRPGALDFGSQLLPDSSFRR SVSQEPARLEDHTLVDLDLGEEETSLGHDFSMEVGRDAPAPRPFEEDMISDAGKFNDD VDLPLDLGEDDAPLDKMDLGDEGPQDSTLNLQDDAMDLGDDHHDDVALENQEERQRES LSPLSEVSEDELRRQDAEFNQDETEIQGEQEEDDLTVQQNQRSKRRKVMDLDSVTDFQ NSQIKEQQTNRSGILKPTSFLPRDPVLLTLMNMRKNGDFVSNVLGGGRGRGWAPELRD LLSLDAVKKSGDLKRKRDSGISDVDVEAATAPQLDLGEEDAIVPADEGVDLDTTLQRS EIDFPGDEQGPELHMSDDEGMNQQLEDLDDTVVPAVDSGPISLGTKHAVHILRDQLGD STSEQKKAVEFQDLCPENKTTKADATKMFFETLVLATKDAIKVDQGTEAIGGPLKIRG KRALWGSWAEEGTNSEAAQPAEVTA ACHE_80285S MTSIQGTKESSSTPERNSTNNHDNRETNPEPDTDTLSPSSHPQR RQDSSSDTPIPEREKDSSEDTTINATKESSFTEKENEKDGDGEDEGTTFAPIKSSDEN RLQASRSIERSWSLNDGYSVHTGDEQSGEKIDEEAGVTGGGEVPEFVVGWDENDPMNP RNMNTGRRWLIVIICSLGSLCVTCTSSMYTVTYDQIMQEFDSSHIVVTLGLSFFIWGL AVGPLFLGPLSEFYGRRYIYIISFTFFLIWVIPCAVAQNIQTMIICRFFNGIAGSAFL SVAGGTVGDLFNRHELSAPMMVYTSSPFIGPEVGPLVGGFINEYTTWRWTFYVLLIWS GFMLISIIVFVPETYHPVLLRRKAQKLRKETGDDRWHAPIEKLKRSVAQTVLRSCYRP ILLLVLEPMCLNLCIFSGILLGILYLFFGAFQLVFGNVYGFSLWQRGLCFLGLFVGMV FAILSDPLWRRLYARLEKHHENAVKKVDDFQPEWRLPPAIAGGPLVTIGLFMFAWTIY PSVHWIVPIIGSAFFGAGTILVYSGVFTFLVDAYPTYAASALAANGFTRSTFAGVFPL FGTQMYNNLNYHWATSLLAFLTLLMTPFPFLFFRYGARIRKKSRFATR ACHE_80286A MRYSTPLLSILALVSSTVLAQDPGPSPTASVGCEPHGDHWHCDG PASTAISSVTETSSTMPSPTKSTGCEPHGDHWHCDGPAETGSTAASTSSIMPSPTESV GCEPHGDHWHCDGPAETGSAGGEEGSAGAMGVHASLLVGLSVIAAALNV ACHE_80287A MLRQIFLALICAAWIEFAIRFSPQIEQITHEIVAHTTTFFRDPV PFALHYEGEHARLLQSIQRSGGKWDSSHPRHRLLTALHGYARYKDSNLAEVDRWRNLY KRVPKRQRLLLESTTHYTRKLNIIDHLLDTNDNLASAIVDYGLQFYNISRSELDEFIK ENEAQHRSADRTSVSQGIKHFVRDWADEGHEERQETFECILKSLAQMPRTSSRPLRVL FPGAGLGRLAHEVDKLGDFEVIMNEWSMYMNLAYRYLSSLSDPDSVAFHPYIDWLSHH ALTADLQRSVTFPDQVIDRSSVLLIEGDFTTAFAKHTGQYDIIVTLFFIDTARNLISY LETIHRLLRPGGRWINLGPLLYGTSPFVQLSLDEIVALSARIGFEFQETDAMFGNITI PGMPVRGFEVAYGRNGRGLNKNAYQAQYWEAVKR ACHE_80288S MNSDAKDRSPAPSGDRNGSEDVEDAEHHRSTHNPTNGDGAGPAE NGQKPSSNAKDPSRPRRKKARRACFACQRAHLTCGDERPCQRCIKRGLQDQCHDGVRK KAKYLHDAPEGALMPGVGGNFYNPPPMRTNSLSISRNGATTANGVNAPPSQPSGPNFY PNPQSNSYNVYQENTMNQNPFATQSPVSPTFSLKTSPVGQNPTLSSASQPQTNPAVSV TNTNQGQNPVGGPFFDPSDPALFNFDLSSMNFENRYGALEFSMLGHMATGAGDSPSDS ATQRGSMGRSGSAQFSTTPITGGAGFGESPGSQQQPFMFGDPLLNDWSGGQAPGAVNV GGVYVPGHLGKPDAPHAFAIESGPNFASPSATASPHGPTNLDDNSNNNNINKNLAIGK SNGLQRPTISTPSLKQQSLHMGGVKRRQRNPSSIYESVKEPYSYTNGFHSLTAFIQRR FSPQKTLQIAKSLASIRPSFIATTKTLNRDDLIFMERCFQRTLFEYEDFINACGTPTI VCRRTGEVAAVGKEFSILTGWKKDVLLGKEPNLNVNTGGSSSNPPSGPSSRGSSYPPR ETGGPSRPSQPVFLAELLDDDSVVEFYDDFARLAFGDSRGSVMTTCKLLKYKTKEDME QAQSDDNQRWNNHLRKGGIAGEAGMNQLGFKDGKVECAYCWTVKRDVFDIPMLIVMNF LPCI ACHE_80289A MEILATLIRGSQDLWELHELIPCLSSHFNFKSDYARSTTRSRSV PFARLIYESVPQSQGLPLAYLRENEQDERQNDAAQGLGLNAYDIQQFDLPSMTDSQQY AEIYFSVSTFYPFISQDDFYTLLRLVMHLGTTSTWNSVIPVKLALAQVYLVLSLGARF LEVKLNSKFPSHDLYAKGMGYATQIKLHDSIEGVQVLLLLAQHSFYSPEGLNAWFLLH TIIASCLDLGLQRRDNCKKETEPPCHCRTRHLRSAIFWSAYSMDRTLTTILGRPLTLR DEAIDREFPQLDNNDEVESAATQWNYAGSDSQEVHGKPSPGLAPIPYTTCVYSLRFDR IVAEIKLMLYRVSRSPSRFPWPTNVTTWQHEAQNVCVSLLQKAQNQQQVSSLNNLGTL SGISLQRLELKYHHCVMLLYRPSPQIPHPSTEAIQACFSSAMDIISIYADLHRFLNME CSWLSAHSILVAAITVLYCLCSHPAVRGTTPVETCLKRAELAHELLSFLGQSWSVADE AGLKLDRLITATREAYNASIVGGGLADCQGYQDTGLMVPLQQSGSGSENPDMPALDGR AFLTDELGVLRDLFDLG ACHE_80290A MANNHTTYPASNLTWQILNHANEHNYAVGAYNCYNNDGIMALIH AAERKRSAVIIQLFPWTLHFQGPEFVHYVASSAHAASVPVAVHLDHCIKPEDVELALT LPFDSIMIDASTLDEEANIRHCKGVVERARALNITIEAEMGRIEGGEDGLPTVDMDFV MTRPEDAERFVQQTGVHFLAPSFGNIHGGYPAGGAEKAWDLPRLAAIGQLLSDATPLA LHGTHPVSDELFQKTIECGMRKINLNRTVRDDYTKFIAENAGLLELTTLKVQGVEIYA KSMERMMDVLGSSGRY ACHE_80291S MPANPENIINRLQKWGACDVADGLSKLKYPNGGFLEGLTMYSPE FQSGETKLIGQAYTVKFVPKTDKAAPKVQGNYIDKTPPER ACHE_80292S MSLRAKTLNAAGVVIDGRVRDLNEHRALEFPLFSRAVGTTAGGE VCHPSEVNVPVRLNSDTQEVWIQPGDYIIADLNGVVRLPEELAERVLDAIPGIVEADE KCAEGIRGGRTVEEVFKEFRRR ACHE_80293A MTDIMKPVEDVGTVVVKEKFLEDNRLAIAQHMSTEKFLETEKKL KRKLDVRLLACIWLILILNYLDRSNIATAKVAGISDSLSLTSTQYSTAVAILFAGYVL MQLPSNIFLARLRQSWYISSSQCRRILCTSVFAEVRGSCVLSRSAILDFILVQARRDG WNPQDLDGARGLESWRWIFIIEGSITVFIALCSITILPDYPSNTRWLSPTERAVAEWR LISDAGQVDEVDAGWLYGFKRAFADWRLHIFALTLLRIQVASATSNFFPTIVKTLGFN WVDTLPLTVPPYIVSVILMVLNNWSADRLGNSSFHVVWPLVLAIVGYVIAAASTNTGA RYFAMIAMVAGGHGANPVLVAWTQKVMIRPWLLSMHLGILLRYFLIILLKSVVLLHV ACHE_80294S MTMVHIVMFKFRREVTQEHKDIFVRELKKLKELDCVKGHRLVVG GPSITDPIDRSKGFEFALLSFHENLEELGKYQASKEHDWYLKNPSYCIGALGKLVHLR ACHE_80295A MLATCNTLPRACLRPSSQWAFPRVLGRMYIQSSRAPTVAVLFQD IDPPIINGVRKPRKPGGYQDSGADIVYTLQSKGINIVTPETSPQVSKNEGWCFPDTEE GIYSAVKSGATHLWANTILFDSHPLQISEKLTPYASDLRIVGQPPGMVENFDDKAYLN GKLGELGGYTLPHSWLLSKSDDLEALAQSIDRFPVVGKPVRGRGSHGVKLCHDQAQLK EHLAALLGESPLVMLEDYLAGEEATITVMPPSPERPEHWSTLPVSRFNHADGIAPYNG VVAVTANSRVVTEEDMKDPAYGRVMKECESIAKLIGATAPIRIDVRRFHKGSGFAIFD INMKPNMTGPGRPGREDQASLTAMAAAAMGWDYGTLLQKILASAQLLSTFRGYRSPF ACHE_80296S MESAIKRAEIYGIGMVTVNHSNHFGMGATYVLQALEKDMISLVF TNSAKQMPPFGGKETLLGISPFAAGAPSNNEIPYILDMAPSVVAKGKIRRAARRCESI PVGWALDADGNPTTDANVALNGSMAPIGGPKGSGIAILMDVMSGVLTGAAFGGEVGDQ YKDPRPQNVGHCFIAIKPDIFMTTDEFRARMDVLAQRVHGMTPAPGFNEVLFPGEPEH RLCVQRRKEGIPYAEAEREMFVDAAKQYGVAELPLSKVPLSLES ACHE_80297S MRPDGPRNPVTGPDSGPEPPFPVRLSGPVIKGFGRGSKELGIPT ANISADMLAEHPDLDIGVYYGVVALDPSRFNYTETSSSSPQGTSGKILPAVLSIGYNP FYKNKERSIEIHIMPPLAAPSPTAASNEQVKFHKLPDFYSTPLNLLILGYIRPEYDYV SLEALVEDIRVDCEVARKSLQRDTYARYLEEGRDGDIDTEDVKAHRQWLTTFNGNRQA PDRTSGL ACHE_80298S MERIVNFARRRRSSNTNVPRSRSRSTSDRSKEDEALRRLILTSD TPDFDQTIIRQFEAEGLKVKYLPFLGGGRDLERARKDLENQLNELEDDLEPGERYAVV AYRKPAYLLLAAHHQSNTTTNPLPRLCALVAYYPEPPFDPHDPQFQEYLFPCEAPSGA LNGVSTYSPHSFLPLQIHLADDQTPAFYENYTANPDKKRHRCHVFSYPESHVGFAEQS EKNYDRIDAQLAWSRTLDCIKRSFAPGPNWTVSDIETIWANHWHSMTQIDTPTRDTME VIVGGENGTEAPESHYYYKHSEGPTVNCVPTLIGAKRTNPSILRTFHKNTFFPSGPPS QHLRLISRTTGPDRVVDEVLLTFDHTEEVPWLLPNVPPTNRKVQIPLVLTGTFCAGKL ARQQVYWDQASVLVQVGLLDPGLVPGSFEAKGETRGGKRGVEKLPVWGREEAEGVLSA ACHE_80299A MLATQAAARFCGRRFCGRTAGVSAARAPRPTRCYHDFGQSKFLK VSEEIRDAVATGKPVVALESTIYTHGFPYPESVALASLLESIVRVNGGVPATIGILNG VARVGLDAEELIELASTAEKKNALKVSRRDLGYICGLGAAGKPLHGGTTVSGTMMLAH MAGIKVFGTGGLGGVHRGGENSMDISADLTELGRTPVAVVSSGCKSFLDIPRTLEYLE TEGVCVGTFADGRHGSVDFPAFFTRDSGIKSPKVIQNEAEAAAIIYAQSKLAVSSGIH FANPVPLEQSIPKEDMDAIIDEALHLAQVKGFQGSDNTPFVLAKIKQLSGGKSVAANR ALVESNVERAAKVAVELSKLEKSDKGHSDRHMPAISETVRADHTASEVKSNLKPVTEG ASEKVEKTEVLVAGSLAIDLSCDYSPLAGESTTITTPVPQTSNPAVIRQSLGGVGHNV AIAASRIGSSVLFCSVVGDDLSGRAALSALRAEKLATEGVQMLSASSARTAQYVAIND TQKDLVVAMADMGIMELPEDKLDFEGFWEPMISRTKPRWVVVDANWSPAVLAKWILVA KKHGARVAFEPVSTAKSRRLLAAIRDSDTVPNNTVSLAAPNQLELSTMYMAARERGLF ESDNWFNVIDAMGIPSSGSRERLVFMTSADLVDQGIPQQSIQLLPYIPCIISKLGAQG ALLTQLLRAGDPRLTSPEASPYILSRGIGSDFVGGVYMRHFPSAAVLEQGDIVSVNGA GDTLLGVLVAGLAKGAVDKQVEDILPIAQEASLRTLKSAGGVSDDLGGLGL ACHE_80300S MRLFTAVTALCGLLLPSSVVCADERVAALSEFNPPQVFKNTNLV RNTNLEKGYVRETVNVVVENVDKQPQSDYYLPFPSDVFDKVGSLEVRDKKAAEKGRFD VEAVELDFRSDLEYFVIHFPEPLAPKSQITLGISYTLLNSLNPRPAAIEQSDRQFLSY SFSGFTISAYPTVTQKTKLKFPSTKVPDFTVTEGLKTASGSDPERQGATFTYGPYDTS KIAPGTTYPITVRYEFTKPVITASLLERDLEVSHWGGNLATEERYWLRNNGSELTNQF SRVEWTISSYQNLPSSALRELKYPLKAGSVDPYFIDDVGNVSTSRYRPGKPNRDAHLE LRFRYPIFGGWNYSFRTGWNNGLSQFLRRAATGADSYVLKVPFIEGPKAPEGVQYENV VVRLVLPEGARNVKYELVEGSASNGLPGPNQIRSHLSSHKTYMDTLGRTVLTLEAENL SDEARDSQLVVTYDYTLLDGLRKPFTITAGLLTVFAAAWFIGNIDVSIKKR ACHE_80301A MPNSVPDLDAVGIKAEPDLADQFRREVAALLGRNNLNFPGAQPV SFSTKHLLELQREDYYVCEKTDGIRCLMYFARGDPDSDTPEIHYLIDRKNDYRFVPGL HFPRPGDKSFQSYHVDTLVDGELVNDTYDDGTQQLKYLVFDCLVLDGQVLMHRTLDKR LAYFKENVLKPYRAMYEKFPEEKQHRAFAVEDKSTQVSYGIEMMFRDIIPRVKRIHGN DGLIFTCRSTPYRIGTDEHILKWKPPAENTIDFRMRLEFPLLEPDSDDEADGITQPYR DYDAIPICHLFVMHSSNDYRPFGELYVSPSEWEDMKALGQPLDDTIVECAKDEEGRWR FHRLRDDKMDANHISTVQKVLESIEDRVTEDDLIRAAGAIKTAWKKRQAAQQAPPDVR GRPMPPVANGVKRKLEE ACHE_80302A MAANGDHGRGGSLVDASGYKFADKDTKPGKIKLKKPIKSAKAKK DDARPAASPNASPILPGLDEKTMAAFPTGKPREEDHIESVICKTCKRPILKQAAVDHI RGCLKAKQEKARKKKEARDAANRAKEKIDGKDDDDDKDGDDAMKGQKSAKKSAVKGMA EDGTKKGKKRKTDEDDKDKEPKKKKKKEEPKPKVPKPKGPVDVEKQCGVTLPNGAQCA RSLTCKSHSMGAKRGVPGRSLPYDMLLQAYQKKNQARQQKAAIDANAPLQDDMDNNGP VDSDEEKDNVMAAIGRSNPQPLATHAVISTRNKYRYVRIKEMLSHALGGARGGGLFST GDNAPVDGENLFQPDDSSLLDSPFANGVADNATATDAANDQSSVPVPANSITATS ACHE_80303A MRRVVVTGLGAVTPLGVGIRRTWSRLLDNHCGIVNVRNRDTRFA DLPCQVAAVVPSGSKRDGGWLAGEWVNRDEERKMARFAQYAMAATEEALEDAGWRPRT EDVEEREMTGICLGSGIGNFDEIYDTVVAYDKGGYRKVSPLFVPRILINLGAGHISMK FGFMGPNHAATTACTTGAHSIGDAARFIACGDANVMVAGGAESCIHPLAIGGFARARS LATDYNDTPEKASRPFDADRNGFVVGEGAAMVVLEELEHAKARNAPIYAELKGYGCTG DAYHMTAPRENGEGALMAMRKALRNANLPPSTVDYVNAHATSTSIGDAAENAAIKSLL LGAGGRQKASEVNISSTKGAVGHLLGGAGAVEAVFTILAIHKNVMPPTINLQRLGDGL DCNYAANQAQEREIGVALTNSFGFGGTNSSLCFARLRE ACHE_80304S MLKSLVTLLALSSSALSHAHHDHEEVAPPHVREELLKKWDQEWS FTGISSFAHLKPVKCLIEPDERYDIAVIGAPFDTAVSYRPGARFGPRAIRAASARQMA GTSFNTRAGINPYNSWATIKDCGDIPIMPFDNGVAERQMYDAFLELGSRPAITSSSSS KVKGISAGKTKLVTLGGDHSVALPALRALYQIYQKPITVLHFDAHLDTWNPARYSAYW VSEQSGFNHGSFFHKASREGLICNSTSAHAGLRTRLTGIDDSDYTNPGPEQGFLRIHA DDIDELGGPMGIVKRIVNRIGLDPEQPVYLSVDIDVLDPSTAPGTGTPEPGGWTTREM IRILRGIEKLNIVGADIVEVSPSYDNKGETTALAAAQVAFEIITTLVKAGAGDVGGWY GRKEDVADSVHQGTDKDEL ACHE_80305A MVVATIKCVVVGDGAVGKTCLLISYTTNKFPSEYVPTVFDNYAV TVMIGDEPYTLGLFDTAGQEDYDRLRPLSYPQTDVFLVCFSVTSPASFENVREKWFPE VHHHCPGVPCLIVGTQADLRDDPSVREKLSRQKMAPIRKEDGDRMAKDLGAVKYVECS ALTQYKLKDVFDEAIVAALEPAPKKRSKCVLL ACHE_80306A MPHIDIRSGPSYGTLDNMEQQRNDEEGDRLLHGESGYLDGTNHD SDDSSVDEIQEGVRKIEAINMTWTSRTLVVAYISIFLMAFCTSLESQTLMSLSAYATS AFSKHSLISTVLVVQNVVNAVIKPPMAKVADVFGRFEAFCLSILIYVLGYIQMAASNN VQAYASAQIFYSAGSTGLQILQQVFIADSSSLLNRALLALLPELPFLVTVWIGPTIAD AVLRHTSWRWGYGMWSIVLPASFLPLALSLLLNQRKARRLNLIKPKSSRRRGILIVIR RTWYDLDMFGLILLSSAVTLILVPLTLAANAQDGWRNKNIIAMIAVGLVCLVILPLWE TSKRFAPKPLLSLHLLKQRTAVAGCVLAFFYFMAFFFSVQPYLYSYLQVVQGYDVSTA GRVTQTFAFTSTIAAFTVSILIKYTRRYRKFVTIGCVIYIVGLLLMLLYRREGSSQVQ ILGTQIIVGIGGGLLNVPVQLGVQASASHQEVAAATATFLTSMEMGGAVGAAISGAVW THSIPRKLLVYLPEETRGEAEEIFGKLTKALSYPFGSPTRIAINRAYQETMNKLLVLA VIASIPLIPLSLLMINYRLDKMSAHEPDAEPVPISTEEVSDEDRHSKRI ACHE_80307S MSVRLLHPDEYELGTRSSLDSQGTFDLDEADFESQTLPKSRLLS LSHRWPILFRLLSSTYSGYRRLRPSRPLLSASKRPVGCYRRLLFRRSCFYLHVVAGII FALVVLTSIFWPSYTRPLSHYKSLRNAVEQSTAPGRGNPHNEKVFIAASLYDPNGELA RGQWGAEVLQLVDLLGPENVFLSVYENDSGAEGERALRDWEAQIPCDKSIVFEEHMDL QSLPTVTVPGGSHRVKRIEYLAEVRNRALRPLDDNPEKRYNKLLYVNDVLFNPVDALQ LLFSTNAGEDGVAHYRAACSVDFINPFKFYDTYATRDLQGYSMGLPFFPWFSAAGNGD SRNDVLAGRDAVRVRSCWGGMVAFDARFFQYGAKGSTGDHDWEKRNSTQELKSREESG ISPVRFRASRDVFWEASECCLIHADLQDAPSNVDDLTDTGIYMNPYVRVAYDSRTLSW LGTTRRFEKLYAFIHNIGNHLVGLPWFNPRRAEIFGQTAEETVWVPDPVGNGEGTFQT VERIAGKDGFCGRRGLQVIVEHREEGQKGWENIPVPP ACHE_80308S MTGMQPIPTEVSEWRLRVANVVHDVFSSFFSSDRLSRTSKNGES GDETTTRGSRGLETSRWAPQNANASANVSKTNASRPNTCVSSGRRLEDSRWAPKQVVQ RSRPAVRGLEDSMWASRVDTKKGTEVKGKGLAPSESPAHHSSSLPFTSFTSFTFDPSS LSSTKPQPLSSHSLLSSPSSSSSRVYSNDTRISSNTITPHTSPNKPVIMFRPANVDDT AGFMAAARARNQSSQVTTSAVVQKASSDQEIRPEPSTSFIGNPIAPEFVPLLPSSLAG NHGGSQGDAWTPAPKKVPGRKGTFSPVGSPTAPEFVPSSSSSLAGNVAGSQNGLSPTG SKISSFVGNPSAEEFVPSKPSSLVGNPVVEDALSVSVSKKGNNTADRVSQEDCGPDSF GSKPQLAIPMAAPSKEPATVISMDDTAGFMAAVRALNQSRNVSTVSCTTAVEQNPDLM NVVDDNSEPKQPTELPVVSPARHLFTPSPSKAEREPIVGFTTLDTEVSAQSIQPSNEP PNFEERPSTSFETINADDTAPIVVPPSIEGYPATPSSEKPANVDDTAAFMAAARRLRR TPAGDTGESTGTALDAVKSQANWLNSLSPVKEDLRSLDVYNVPQLMIPVQSLKRAEIG HPSMSPTEHFVSAASSPAVKTNNDLNGSANSYTVTVSKQEPAVNGFSAASNGIDTARS LSTTDNSNNPEPEAPKEKIAISVNDTAGFLAAVRALKERNRSPSISYTNAAPHERRPS NEYCSATRAHPSITAVEDEDRENLITFKSWGTPAPRDKPPSQVRRIILTGLPPSWAIP TKVLSLIHGGAIEKVNIDSFGNAYVYFCDHEACKAFYEKYPNGIGLGQSNVYVEIGQE VDVVSSQLTLSRSIGATRVVRAVGVDLKVTMDQLHQIATGSNRKIEKITDNYVPGEAR TVNFRFCSIDDANKFRAMLVRDVEWEHCNIQYGKDPCELASGFHMD ACHE_80309A MEPPSSRQSRMAIDSILNPSRSSSDSVSCTPPVSPAYYNYSSEG YYPSHRHSHHHQRQYPYQHPYPESTKASFSFSQIPINSTESSPSPYCRDRYDSVSSSS SLTAPTTSSVASRASTSSTATTASTGLEMGDRRRPPRPKYEEEEMYFIWYHRVDLCQE WKEVRECFNRQFPNRQRSGFQGIQCKFYRFIRDKKCPTLREQRRMRDGEFLQGPSSPQ HSSSGSEGMGPPKFGVVEWVGVWYPWMRENQEQVRMRKISR ACHE_80310A MSLPPEQINIKRRREEEPVETLYIQSELHQTKRRFTDFVFQRVT ISGKDGSRVGGDGDGDQDSGSSSPAIPPPGRVLKTPRSVSSLKVAKGPGGNPFAGLGI GCGNGAAGSAGGAGGVPLVRATSPGAELKEERRLAAARREKEEKVKRALHSSAPEHVP AAGPGFGAAPVPVKAESESPAAASRSRSGRASPASAVSSSEKGGKTGVSASVRRFQIS RSVDLRRSVDGGIQKRKGQSGDAVAVLVEKLRGKSHSRKASMVVDHLSQAGDGVKAAA AAAVEEPARPRKRPVVNQAEKKWREERKNAISAAKQNISHVMDKGEDESERLAKQFEQ IALELDGDGDSAVRDTEMNIAPAPKSYPTQPKPPLKYQPRTPTKSLPAETKTPSKSPT EHKTGEAIETDSDSDEDYVYDVYIRKPLPEAGLFSNPLTNLETDQDSWFRQNGIDTTR QDIGVIVITQEDEGYWENFVESDDDEEQWDDEDADSNAENNPANDYPDEELSWDDEDD DPSAVYGRYRHHAVSDDEEFDFDDSASEGYGASSRRYGRFGYTQEYSDDEDGY ACHE_80311A DLTDRHSRRANIPTFNTASAPGHSTADMATSGTFDMNFTPLLPS QLLLGSPFQPGTPSAFTSPQFTNFGGFSQPNAPAHPQNPQNQIGSPTQGTQNGLYSGM MPADGMGNQQYMNGPQSPINGSMGGLGNAAFGSPAASVTPGLLSGTSRTVYLGNIPAE TSAEEILNHVRSGQIESVRLLPDKNCAFISFLDSNSATHFHSDAILKKLAIKGNDIKV GWGKPSQVPTSVALAVQQSGASRNVYLGNLPEEMSEDELREELGKFGPIDTVKIVKEK AIGFIHFLSISHAMKAVTQLPQEAKWQAPKRVFYGKDRCAYVSKTQQQNAAQFLGIAP GYAHILNSADRDLITNALAQQSVAAAAVATTAGGVNNLGNRTIYLGNIHPETTIEEIC NVVRGGLLHHIRYIPDKHICFVTFIDPTSAASFYALSNLQGLMIHNRRLKIGWGKHSG PLPPAIALAVSGGASRNVYVGNLDETWPEDRLRQDFSEYGEIELVNTLREKSCAFVNF TNIANAIKAIEGMRNREEYRRFKINFGKDRCGNPPRQTGSQPNRNGAGIDGPQSPSPA LNGFHQNLSQSGSQSSPTHPALSPAPGSTGSQNGQRHHPLQTVSSPSGVLNVGANNPL TMYLNQMSAQQTQEQENRLNDPMSLAALQSQSQPRPPTPQPLYNGSSPDELTNGSSIE PPMHQHKPSANGYLNVSTGPSGPGHHATASTSSLSVPRAQHSRAVSLPSFSQEPFGPV SQPGHGRPGISHGPQASFSSFTSALGGLNHSGFGLAIQNDNHLPGWAEEEIGAK ACHE_80312A MAPSPLQQAVINFVFRFILRAQRTGSFTKREADLPTVIFEVTLS ESREDLYLYDDDDDDDDDDEIIAQTFQDDEMVYADVAASILLDDWVGPVTAAFEVWKN GGHGQPRLRHGPTSIEPAEDNPTNPVISSRI ACHE_80313A MRQVSPPSMTQSTAHTLHPSPFSSSHHHQHRRTPSNGSKLRAVR PALHRRGTTNYSIHKLGSGQAKQSVSVDDDCETEMAASFLNFCAMCERQITVPDNSLL YCSERCRRKDSCKPLSASCPSTASMPLSTSPPTSPPMSPRAIVAPMTPTRRPSAGIRI PGEHHDAKTDLDPTEWKPVIPMGSLPNGLSTLATSEAWHYLSQFHDEPMTPMRRPRAI HRTSTTSLSALSAPSASGITLPALTSTPSTVASSFSSNASDAPGLLHEPIHNRPLPPR HSSSNVAKGVQLVVPHVKTSAEDVTAPDMSDHGSIFPASSSIWNGSDKTLTRA ACHE_80314A MAEDSVTQSASDTERVESLIQGRAKRSTAGRHMSALLNAEADDD LALLFEEVEDDNEFYEDVDPDAGEDDDVLESSSDDEDQGPNAQDEDFEGEKELQKEAK AEKKRKKQQETFNLQTLRKRVKIDPAAVQSQTEPAPRPKKKSERISWIPTVEDGPTRS SSRRQTMQNKEVTHARLKDSEEKRVRLIATMEEAAKRKARFKPKEMTQAERLAEAERV EKQNSKSLNRWEEMEKRKADERLAKIEALQNRRLEGPVISYWSGLATWVNGRLTRVGN VDVIQKQKQDKEEKAKKSKKAVEKDDKNGHGMKPLPMTEVEISTSGLAPVPAPANAQG PVSGEGNASKQDQAPPNEQGDLSTIQAQTQTQTNGQANGESGDKTQEESALTVMEGPT DNPAAQPSTEASNNVPDNGNPANGVSDQKPVTGTEPPPAKDIPKGSTDNATEAPKGDP MDIDQKPEESEKEPGPETTQPSEASKAVPAGQVEEIKPDATPQEAPLSAPAQATPQIG TSAPAVAAAPAEITSAEGVPMPTPQEQLAPEFHMDQQQPVAADEGGSGSGIPAPPPTI EQTGRTLTILENFDDKTAYSREFNIYFNAKKPPRLAKISSSLCVITSLPSRYRDPETS LPFANAYAHKEIRNTVDQRYAWSPMLGCYVGPVGVAARGVPERFLGSSQTDAEGLSN ACHE_80315S MLPVSRPDGHMNLNYIPTTQSMSGRSSPSDLSSSAAVKSPFGPS NGLNNAAGSIGSARLGAGSPSHDLGTRLYSKRAREIQAEEGISPNIWGPPTSGHSTPL RENIPESPSQDGFPDLIPASSGSLHSTSRRARAGTVPSRFSPVGSLLSDLNAQPSISQ SSRPTPSTSPFRPAGVSGIDTGANPAPATGGSGTGGVSRLRAGSMPQRSNYLGGSSPF GPSLFSTNWSTGRERASTLASIRSSEGPASPSQSSFSRDGLADTDVKTLDYLGLAETP QQARATLVRPSVDVLLQQQQQQQQQQQQQASALPPMLAELAMMKNNNRFRSYSVNAKE KYADDEDLEYENRYSQAPSGTATPSAAATAAQLAATQAQIHQHNLAVQAFANHAAGQA VNRPRARTAGILETPPQRSSIRNYLATPSRLDNSFSAADLHIPEHGEYDELTEAVQMM QLAGGNGANLAVRPTAETADENNQDGPTRALWIGSIPVSTTVTSLEAIFGIYGKIEST RVLTHKNCGFVNFERIESAVQAKSLLNGKEIFPGAGPVRIGYAKVPGTSASGTPGANG IQSSPTPDPNFKSNVVGDGDRSELLVPQIPPLPELQPEMAQIVKEFGATDEDSVNISA SIQKAIAFQAFEDEIATIPEPSQTRMFDAPRLRDIRKRIDNGACSIQEIEDTANAMLP EIAELSSDYLGNTVVQKLFEYCSEPTKERMLGPIAPHIAEIGVHKNGTWAAQKIIDVT KTPSQMQMIVEALRPYTVPLFLDQYGNYVLQCCLRFGAPYNDFIFETMLSRMWEVAQG RFGARAMRACLESHHATKDQQRMLAAAIALHSVQLATNANGALLLTWFLDTCTFPRRR TVLAPRLVPHLVHLCTHKVAYLTVLKVINQRNEPEAREIVLKALFFSPGDEILERILS DQTSGATLIFKVLTTPFFDESMRTEVVKNVSKVLTKLKATPSQGYKRLMDEVGLSSRG GSRDHHRDNSGAEKQQHRPGSRQTNPNFPQPGMDRQFNGQFPPMLAQNLDGARPTDQQ PPFDPYTLNSLGSAGGVNPLNGLGAPNGGGFGQDPMAPLAQQQLQYQAYLAAQSRGVS PAGIYPPMGNSNFGYPSVDNMRPLQTQPPPSQMNSLNQQAYAPQQFSPVLGSAQMYQY PPQFYSPPQPVQGQQAAGGRRGRR ACHE_80316S MKQSSILYENASQTVFLIDIPTSITLSQELSRTQQLQLSQPEPP TSTKKRHLLSTSPLKEPYLSPPEPKTDAARARLLERIPLVEREYHANLITPLVRGGLS EIRGCYDQEWCLERVTVEAGEESRKRSCEDVHDDYDDHGYGYGHGADDSQPPVILSST CTNHFESMTEFSNAIVKNVSQQVALLVIPGESPTKPRVFTIPALSNFSLCTLSTSQPN PAQFLIPGLSQSYKFNLILLDPPWPNRSVRRSRHYNTQAYFDMDNLYRYIRVILRMHL LSPSCPPETETQETQSKECIAAIWITNAEKPRKAAYDAIHDAGLAICEEWVWVKTTVS GEPIMPAEGLWRKPYEVLVIGKRRNGFERGDWDGEIVRRVIAAVPDVHSRKPNLREVL ERVFFGDWGYEALEVFARNLTAGWWSVGNEVLKFNDEKWWVSE ACHE_80317A MSHLIHQLGLPQTFGFSDVFSIDEPDLLAFVPRPSHALLLVFPV SQTYEASRLSEDSPLSEYTGSGPNEPVMWFKQTIRNACGLIGLLHAVSNGEPRRNIVK GSDLEKLLAEAEALGPVQRADLLYESKALESAHADAARLGDTQAPGAEDSVDLHFVAF VKGSDGRLWELDGRRKGPLERGVLEEDEDALSEKALDLGVRRFLKTEAAGGNPDLRFS LVSLGPVFD ACHE_80318A MAQANDTIPILIVGGGPSGLTMGYMLSQLGVSSLIIERYPTRLD VPKAHALSPRSLELCRQFGLDVNEIRKLGTSRENGYWVNFNTNLSGKLVGRLPYERMD KEVLDATPAMIHNVTQPMYEDYVMERVRGSDFVEIRKNHSFVGLQDSGEYALTTVEDR GTQREYTVKSNYVIACDGAKSPVRNFLGIGSEGEDSYESMMTIHINADLRSVLKDRVG MLHWVMDPEVSGFIIGYDLSGNQVLICNFDVSDLREWLVAEINDIQAERHPVESWNED TCRRIVNAAIGAEIPYDVISYRPWLISRKVAKQYRKGRVFLAGDAAHSFPPTGGLGLN SGIGDVHNLAYKLVAVYRGFAADSSLNSYEYDRRQVAVVNSQQSLKNGKQIFGLLKAM GTTDPDVSKARENLYRNIEDPETMTKIEEGIEGQREHFDNLGLHIGYVYGDKEVPKNA SIYEPSCITGARLPHAWIKPLSPGLTKLPEIDSSYLAELSPEEVQAKQFSTLDLCPFD TFTLIVDEASASHWEKLVQYLRHSERLSFSPKIQVVVEGRDFIVQPGINGEKWVELMG LRKGQATLIRPDQHILACFGFGEEAHYVFQALSKYFEWDGMV ACHE_80319A MPQKLNEEHRNRLREVLTSNPKATNKKSLETIGYAVQKRTMQRV VQELKVEKKKEQKGQQKKPAESESAPASPCTSIPTSEPASINPVHTNDPAPTSGPGPT DNPAPVVDLVT ACHE_80320S MGVQKKTRKYAQMKLAIKKHDERLKNPPAAVPKEKKKEESTRQV AQAPTNMFFAANTALGPPYHVLVDTNFVSHTIRAKLDMLPSMMDLLYAKCIPCFTDCT IAELEKLGPKYRLALRVAKDPRWTRLQCDHSGTYADDCIVDRISKHRIYTVGTNDKEL TRRIRKIPGVPIMKVARGKYTIEKLPDSFD ACHE_80321S MTSQHNSGSLSKEAEDPYQAQNFSDDFGIGTRQDEAEDEAEWLR QHGASGFSGFSGLNNFEGSQSSGTGVKLAEPSERTTSGKYSYTHDDLIDPDE ACHE_80322A MVSMHLLSLVIISFLALWSRAIPIDARDVPVSELTQFNFWVEYA AASYCHDNYVAKTGHKLTCWADNCPQVEKADTEILFDFSNTTITDTSGFVALDTTNEA IVIAFRGSYSVRNWVSDATFIHTDPGLCDGCLAELGFWSSWTLVRDSIMETLNHTVSQ NPDYEVVVVGHSLGAAVATLAATDIRGKGHPSAKLYAYASPRVANSALAKYITAQDGN YRFSHIDDPVPKLPLLAMGYVHVSPEYYISSATNAAVGTEDIEVLDGEVNFQGNTGTG PPSLTAFPAHNWYFEKVDGCKGPGLPL ACHE_80323A MNEKSTNNNNDTPVSAQQTHTNDGPDIPAPGYPELIMMKIGVVK HPYLYALLHGIIILASLTV ACHE_80324A MTANTEDTAPEVLIPKFEIETLLKQDQNGRRIAMLGSIDGQQGI LIAERTAFATESLQVLKAFHAAIQRVNNLGDNDIYRWYLASSGPDTQGQDQSNASDLK LNLIWPCTAQHIKKYSDQILRMVTETPEIHRNHIRPYMQAKKEGGSLNWVYNILEGRT EQEDVIFRDPGQHGQDEGFLMLPDLNWDRKSMGSLHLLALVNRRDIMSLRDLSKRHLP WLRYVRKRILEETVRMYPELEEDQLKLYVHYQPTYYHFHIHVVNVMLEAGATQATGKA FGLENIISQLETMSGDEYASMADASLSYFVGEASELWTSIFGPLKQGQKPAIEN ACHE_80325A MATAEHICLTTLRITTALDATFDGENFTETSYFVVKHGSRDTVH SSVFLLVPLLPGYLLVEADLNGHGHRVKEWILGVLRQWKRMISRQYAHSNSYKLKIIL GCFANAHTEYRSTLCNWPLKLAGV ACHE_80326A MFAIRAPQKQSNGPETKNSTESCTPNILPCRIHHDGPVESLQRY WAPAADNEDEKLQTSHFRGRKLRGRRVAIPEGYQGVVAMLTERVLPSQTNNGGMADDT EMEPEEPVKILEKQGIFDEFVVWAHEEVPAVDDVYVKGVEEWLNLAEVMHSSESSIEE SQKKAST ACHE_80327A MDLKNLSSNWKKLQGTLQKKDNVSASAPKRKPSERESQNGAVKK RKREDKPKTKKSDRHTHSTKKKRMSEGGETCGASDSASRRNSAAVGTAPEKEDLPMAK INEGRSPSAELGNYVAIDCEMVGVGPNPDHDSALARVSIVNFHGEQVYDSFVRPKEMV TDWRTHVSGILPKHMVEARSFEQAQKDVSDILEGRVLVGHAVGNDLDALLLGHPKRDI RDTSKYPPYRKIAGGGSPRLKVLASEYLGLNIQTGSHSSVEDARATMMLYRREKKGFE AEHSKKWPVRVVVEKQETGGDQKKKKKKKKTRKR ACHE_80328A MSASLEAPKQFSQPSRKGRKAWRKNVDITEVQEGLQLLRDEEIK GGLLAEKSSDELFVIDSKGSSDIRKAIEKKHKPLKADEILAQRSAIPAVDTHKRSNSM VTDGVIEPKSKRHKSDWVTRKDWLRLKQVAKDATPAKKTEGDALYDPWADEADPTPVQ DPKFDYLEKPKPKVAPPTIKRAPISLAANGKPIPSVRTPGAGVSYNPSFEEWDQLLQK EGAKEVEAERRRLEEERKEQEKQRLIEEGKNNDGEVKSDDESAWEGFESEYEQPEWLN KKRPERKSKTQRNKINRRKEAERKAKWEARMKEKEEQAARAKAIAKQVKEQEGQQQDS DADSSEEGDETVLRRRPLGKTPAPEKPLEVVLPDELQDSLRKLKPEGNLLDDRFRTLI VQGKLESRKPVSQPKKAKRKVTEKWGYKDFKVPGAS ACHE_80329S MPVVKGGVWTNIEDEVLRAAVSKYGLNQWARVSSLLARKTPKQC KARWIEWLDPGIRKVEWSREEDEKLLHLAKLMPTQWRTIAPIVGRTATQCLERYQKLL DEAEARENDELGLGGPSGAEAAAPSADDVRRLRPGELDPDPESKPARPDTIDLDEDEK EMLSEARARLANTQGKKAKRKARERQLEESRRLAVLQKRRELKNAGINIKVVTRKKGE MDYNADIPFEKPAAPGFYDTMEEEAKNERQREMFDPRKQQLANKRKGDQDEDAERKKR KGDKNSNSSAFAAAARAGQMQKIREAEQSSKRRALNLPAPQVSEGEMEDIIKMGMAGD KATKMSGDEETTKGLIGNYTSIVGQTPIRTPRAPPEEDHIANEIRNIRALTETQSSLL GGENTPLHEGGSSTGFDGIAPRKQQIFTPNPMATPFRQANAVGATPIGGGAGPGATPL RTPRDQFALNQDGGGQLIGATPRDIKMHDRSVRTDIRSKLASLPKPKETEWELEELPS EQAEPTGAAEFVSEEDAAERDRRENEAREKAAQAELKRQSQVYQRALPRPSVLDIDAL MERASHVTDPINGLIIREAALLIAHDARKFPVPGAKVEGKAKKLQRLDDQLLESARAT VAAEVASSESQKQQEWQDNFETSWSSSHSSALPGLSNYADDEEEDAFQQEQRMIGAFD NVQASLLDTAKQGNRLEKKLALHYGGYQNRAKMLRTKIIEASAALEKSKDDLDSFNTL QVSEEAALSRRLEKLRDDVAFVLRREREAQEVYRGRKDELDELVAGMGTMANGWY ACHE_80330S MGVRDSHGEPTGTPDPVEKGFATLNTIRIGVKAMVQKDGELRKA EILSIKQRKDGLAFYVHYVDFNKRLDEWIAASRIDLTHEVEWPQPEKPEKKKTGAATK APSKNAQKRARTDSRDISAAPDLLTGKNINVAKPQRPSKAGGKENVMEDIQPEADGLP KTEPDDVDMTDVGFSDTKAEEQKASMSREQEIERLRTSGSMTQNPTEIHRVRNLNRLQ MGKFEIEPWYFSPYPASYSDADMVYIDEFCLSYFDNQRAFERHRAKCTLVHPPGNEIY RDDYISFFEVDGRRQRTWCRNLCLLSKLFLDHKTLYYDVDPFLFYCMCTRDETGCRLV GYFSKEKDSAEGYNLACILTLPQYQRRGFGRLLISFSYELSKREGKLGSPEKPLSDLG LLGYRQYWRETLVEILTEEDRESISENELALLTSMTEKDVHETLVVFNMLRYHKGNWV IVLTDYALDEHKKRMEKERIKGARKIDPARLQWKPPVFTASSRTWNW ACHE_80331A MAEQNGHDVVNRTLSGGEPSPSDVPASTNDKTPAGEDVGEIKNT ATTTQPDTRTNAQESYGERNVGDSLQYQKDMERNSGASTTTETSKQGPGPVASRALEM SGRTASSDGGDDTGSQGGSESDASRADSKQPSRVGSVKKPASFKPVSFAKFAVPKAPG APAAPKAPERAALSTATPLGVPQPSSRPRLVAKTTSSLRDSLSKTGTSATKPGGGGPD PNKVWNRNRPAQPPPSKHLTDEELKQQYGIHMTSRIQEDAGATEGKWADIEDDEDDWA PETIEWTDGTKTNLNNAEPPPQQPGPEVKPLPEPKEPLHRVEQPSPLKETARPVPKPT TSVGPNPTVLRLGANAEKQARSASISSKGINDKLGSSTSPAPPPSKSPWATLPPVEKV SPVVPPVQLQPPSRIPNREPPVSDGTSGPATGGFPPSGFSQPREIAADDFNRAWKDSQ TGAPRELYNSRSGRYEPVSETKRGAWRNEQTFRGPSVLQRPAPGEQTGPAEPSAAFQT HRAGGQDGAHWMRRRTSSNVSGGSGSFARRMSVGRPDGAQRALEGRRGSQVNGMTELQ SPNRELPVPKGAQLRDVSPGRQIPGPAWSTRAPATINDVPASAVSGAPQHSPPAPLEE QIAAPQAPQEDPVAMQERIMKEKRMEARQRRIEQEEREEAAKRERIRQKLEALGPAPE KPKRKESIEASQVEPTPATSHSPPKPPVPEPTGEPKQYGMMKVHHPESVKKLVAANER ERTTERTPSTSIAKRVPSPAREPQQDAPAANGLDKPIEFQPQVPAKHPEPQVDEQGPQ WRNNLHVSNSYSPWSPNNQFIRSPPSLTNPWKPLSNDKTLGNGIFDPGLGGFPAKDLP PLRGPLNLDQPPIVPASQPFSAPPETVSISPLPSPEVRHASYDPLSALGHPGPIGPPS SQQPHWPHEPRVTGPAAWNNFQAVAAKREAEENEKRRNEMNAFRDGPSSLQVNFNETW RQVRTGDQVGQRQLVGITTRTAEPAIPAPNPLPGLDNVGGLPFPDSHARPFVMPRSSR FFPQATEQFKKPAVEEDPSRSPSPPPPEEIWSHPVFASDSSRPLVHLPAPKPVVKLPP KAVAPPPAPPTFASMVAAPPRNPPPMSTATTWQEKINGLFGKKTTPEKRNALAVTSAT KEPLDVPSQIAAVSVSLPHTVEAEVQVGVGREIEDGVFISKQVEQAEEMFEDREIGSL PVVRVPNMAPPAAWLAAPPPAQSRPKPKNPAQVLSVEPYLFGFQDKDPSGNIQISIRF PGADAPKVLTLPKKAGSHNPRHRGPSTFKPRKGGKSREGPGNVNPKKSASSQQSSSGN VASPRQPRNGSWGSRTSNAPRQAAT ACHE_80332A MRLPCARNRSSDNPLLPSLSSPLLSSTLLIQQFESSLPKMSYIA RRGLSTLIPPKIASPNAIGAAQDAARMERVVNFYARLPRGAAPEVKPTGLIGRYQARY FSGKNASAAPLAHAIGGILIIGYSMEYYFHLRHHKNHPH ACHE_80333S MSFIRAGSAACFRAGRIVAPVNVRFTSNVSRAPGDPPVKTSPSA APTVPPKESSLINQETPAEAMARHQPDYDATIDHGTSQFSPVPKRVMDGSEPGETVPA AILSGAPTDLQARTVRIYRPTKPATQSGNWHSHHWRMDWDILQRGHRWENPLIGWQSS ADGMQGTHLKFKTKDDAVKFAQKQGYEYFVQEPNDRRFVPKSYANNFSHSPGKLKHIK TK ACHE_80334S MASNNTVLTLRKVITSESEPLARRFRALFSLKHLACLQPPTEQT LPAIEAIAAALSSSSSELLKHELAYCLGQTRNPDSVSYLQDAVRNTKEDAMCRHEAAE ALGALGFTSSLELLQKVRDDENELDIMRETCDIAVDRILWENSEERKAEKLKPSDFSS IDPAPPLPLISSQPSISDLEKTLLDTQLPLFQRYRAMFALRDLASPPDLPTAPAAVDG LAKGLKDPSALFRHEIAFVFGQLCHPASVPSLTETLSDQQEIGMVRHEAAEALGSLGD VEGVEETLKKFLNDPEQVVRESIQVALDMAEYEKNGEIQYALVPDEAAPAAVPAA ACHE_80335A MSAIGSLIFCTDCGNLLQESTGDANAVLLCEICGARNKDATSKT IVSESKPSDFPSALRAKRSAVQTLTAEDKKTEALTQHTCARCGRKEMYFTTVQLRSAD EGSTVFLTCVCGYKYACSPFPACFNSICLIATFSITGRPKTTRFQNLRYFTRIRICIS MALKIGKA ACHE_80336S MDPNWRPAVQVVINTANGYGQSYGPNAQTQQRQTRPLAVDEALQ YSPMSSAPVFGLDCVLRPDIGRPSNTTSINHILQAGRSALSDLDSDVKSGTDESSRLD TSREYLQQLLDGDQLTEFKFKLPPGAGVTQNPPSTSDGIASRRDNLGPFAKMLLDSTD IAFRYPESTAPTLKVTPKAESIGPPQKLASWNERARNLNKSMTPSIPSHAQLSVVIPT KTIPPDTPHKPGAKSGGDKLTSIRLKDQKEEADAALMKLQDLLHEIFEAEDQLEPGSD GPNAIFSVAQSLEVSGFILSSDVHGHIQKAIRKVVGFNRLQDIPSDYINRIQKLCEKP IVAAQSPDLKLDHPSNESGAQHWLKKPGDMQNALLAIATLLLTMSGRQSERDLCPEDL VEAIPNVLNQVFDNCIISAAESRSGGKDANYFEFFSAQKGVIGGLIHQSRKVLSLFAD FLTRVDVTEGTITATEFFAAKLIFVENAHTDKDSAIGFQRYESVRRGAMDVLAKIFSK YPDQRPFILDEILVSLEKLPSTRQSARQFKLADGKSIQLLTALVMQLVQTTALDTPVS RSARMKRRPPASAGDDNDESMEDAKSEADEGEDEDEKEASLERLATKVNRLYDNAVRS AQYIVKFIVQRAMTSTKTGDQPFRNILDLFTEDLLGVIGSTDWPAAELLLRIMASQMV GIADLDKSPATAKSMALELLGWMGSGISDLIVTAQHLLPAMEEFDGDLTDSLRQLFDE YSARSLHPQDLIVPEGPYRMTLEYFLQDKNVDASARGFYLTHWAKTACSVYYNSEDKE EIGYDNVTESLVGLFNKLFSDPLWLETNRHFGKVSNAHGRFAYILTVLNSSFCKAFDT ILKVLLNSIVSDQAKVRTRSLKSVIYMLEKDPSLLDRDASVMRVILRCATDASPMVRD SALSLIAKCITLKPKLEEDGCRSILACAGDPTAGVRKRCIGLLRDIYLRTPRTELKLA ILDSFLQRTGDLEESVATLACQTFEEIWLAPFYDLIDSAQDGPKLKVGLGEQVSLIVN LVQRSDTALETLGACLRKVLSSNSKSSSTNFKVCKAMVSTMFEKLVEDNDNSGKQDQQ ALLQTITVFAKANAKLFSPDQLETLHPYIGHLATAEDLFIFRSAVVIYRCVLPYLSSA HNTLLKEIQNDLFKSVAKLARSELNEVMACLWTINGVLQNTDRLVKLTISVLKPIQHY KNVDLSDNANSAVLARAKSYIRIAGCVGRHCDLEKYEPHFKSSFPSWNGGSVAGLMVD SIVPFTISKQPMELRVMALESLGSICQSWPGQFGRDESRQILSMVFKEDNPILQNIVL RSFADFFAMHEGRAEKAVLPTAEIADQENSTRLGGSMKASDNDGAAALIAQHFLQNML RVAQSRQDTYALTAIELIASINRQGLVHPKECAGVLVSLETSTVPAIAKIAFETHKML HQQYESMFEREYMRAVQEAFYYQRDVVGDASGALNRPYVAKLAPLFEIVKISNSRYQK KFLSNFCSKVNFELKKLDATGNPPEHLLMARFVSQNLAFFDYNQLAELVPTIGCMEHI VASTGTVVAHSIEMELFLPQLGLAEGMPPVPLADPNAPINQPPHQVKSATLRLLATAA AALSMLWEARTYLRRLYGVNAHVRNKEAKGGAKELNKSATKVPGVSGEKLWDAMARNM ATLDSEENMISKCREFATLLAIDDEFKVGEDEAVEDDSLEGAVDDDMGATMAAQRPMK RKSSVSGTNPPKKPRARKNVSAKKRASTEPDEDMDWGE ACHE_80337S MSAVLRCRPDGPALKRIGLLSNRSLTSRTPVSAGIAARRALHSS RRRPELSRCLARCQSPNTASLVRFKSEVAQPPQAVRRSSALSFLYKGFAYCGFFIFSS GVAVLAFFVYDATTYREEPSAEDIPVSELALNPPRGGPKNLPIAEVLIGEHDSEGMIE ARDKPRLVILGTGWGSVALLKHLTPGDYHVTVVSPTNYFLFTPMLPSATVGTLGLRSL VEPVRRIIQRVHGHFIKAEAVDVEFSEKLVEVSQVDANGNKQNFYLPYDKLVVGVGCK TNPHGVKGLEHCNFLKTIDDARQIKNQVLENMELACLPTTSDEERKRLLSFVICGGGP TGVEFAAELFDLLNEDLLYSFPRILRNEISVHLVQSRSHILNTYDEALSKFAEARFDR DGVDVLTNARVKEIQEDKVLFTQVEDGKKVLKEIPMGFCLWSTGVSRSDLCKTLSDKL DGQNNKHALVTDSHLRVLGSPLGDIYAIGDCSTVQNNVADHVVSFLRTIAWQKGKDPQ KLHLTFREWREVANRVKKRFPQASSHLRRLDKLFEQYDKDQSGTLDFGELSELLHQID TKLTSLPATAQRANQQGEYLGRKLSKIAAALPGLRANEIDYGDLDDAVYKAFNYRHLG SLAYISNAAIFDFGGLNFGGGVLAMYLWRSVYFAQSVSFRTRCMLAMDWAKRALFGRD LMSF ACHE_80338A MSPDTPSTTTPPWHQFERKVDEVKPSKTDINYLVMDYLITNGYP AAAKKFAIEANIQPKADVESIQERVDIRTAIHSGDIQSAIEKINELNPQILDENPSLH FALLRLQLVELIRKCSSTPDGDISPALEFATSQLAPRAPTDPQFLEDLERTLSLLIFP TENLAPSLAPLLHPDLRKEIATRVNEAILQSQGARKEARLRNLVKLRAWAEQKAREAK KNIPEKLDIGLDGNDNTVNAGENGAGAASDTVMTSNGDIDPMIS ACHE_80339S MESIPPVYSSATERQNKTYIPPWADLSIIGVAGSSGSGKTSVAM EIIKSLNLPWVVILVMDSFYKSLSPDDHARAHRNEYDFDCPDALDFDALVQTLKDLKQ GKKADIPVYSFAEHQRQPQTTTLYSPRVLILEGILALHPQIVDLLDVKIFVEADMDVC LGRRIMRDVRERGRDIDGVIKQWFGFVKPSYKKHVEPQRAISDIIIPRGIENKTAIDL VVKHIRMKLEEKSQKHSAGLQHLGLIALEHELSQNVHMMAQTPQFVGMNTILQNPATE QVDFVFYFDRLACMLIEKALDSASYIPTEVKTPQGTSYNGLNPAGTMSAVAILRGGSC LETALKRTIPDCITGRVLIQTHEGTEEPELQYLKLPPQIETHETVMLLDPQMASGGAA LMAVRVLMDHGVEEQKIVFVTCAAGKNGLKRLTAVYPKVKVIVGRIEEEREPRWMEKR YFGC ACHE_80340S MPLTEKKKSKKSGGSKDQAGTLITDPRFANIQSDPRYRLPSKRQ THVKLDKRFAHMLRDKDFSKNAAVDRYGRKLARDDTKKQLERFYQLDDEDEDDDEEEG DEEGGVEVARDEDVRKELKRLDSSYDPARDGGFLDSSSEESSSDEEDDEEDEETGDLE ELDFPDKQQGSVPMGEVTKRIAVVNLDWDNIRAEDLMAVFSSFVPSGGKVLKVSVFPS EFGKERIEREETEGPPKEIFAKKQDDDDYDGEQEDVDSEEEEEKIKQSMLKDDEGQEF NSTELRRYQLERLRYFYAILTFSTKEVAKHVYDSVDGAEYLSSANFFDLRFVPDDTDF SDDKPRDECERIPDGYKPNDFVTDALQHSKVKLTWDAEDKSRKDAQARAFKGSRDDID ENDLKAYLASDNSDEESEEEDVEVVDDTNGGSSQKVSKKEDERQRMRALLGLSTEPAR PSKSDRPVGEMEVTFTSGLAGEPNRDSIFENEPEKDETTIEKYVRKERERKKRRKEKY RAAKNGDEDAAEGAGEDSGKAAPKGDQGKEREQEEDLGFDDPFFADPDGKATAAARRK EEKRKKREEREAKEAEAAAHRAELELLMLDDKKSDVKHFDMNEIEKAEKQARRKGKGK GKSKAKGQDEAAVTDDFQMDVSDPRFSRLFESHEFAIDPTNPKFKATSGMKALLDEGR KRRRDRDEKPEEPEERTGKKKQKKGASKEAESEDLKKLVDKVKRKTQS ACHE_80341S MLGRLLNTAASTLNPAAYSSRNTTQLESVTEEEHTSGLLFPDAS LLRRSNTHAYPLQSAFNSPNASSAGAYDDRGGLELDPARDFRVIIAQNALGDRDACVL LDTRAPSADLASLGLGPDDQDPASRHGRTVSSVSRGSRRVYTSHSTAEPSALSNAANA RRSSVASTGAFTRARGRSSTLSPAGAWHEPGHTRGSADSSDSGLLNCIFGSSAFSYRG SSTKMHIISADEEPGRTPSASPKTRGPMSRAFTTGSSSSFIGPSRPGEDKPPAKVTVL LTRMFSVNLPEGGEPSSDQSDLAASMYQESFPDAGFPFPDVTKRKKIKEKKTPMYAVA ITIQIPLISRNAGRPVSRFGSQGVDSPRMGMSCSLDSDYRWRNSFLDDSLSAASPPAS LDERIDLLVDHWDVVTRTLSHMERLARKEILFLLKKVDSVSGVHPKPVKPPNMQRTNQ TIIHLPANILAVNSRLRNEAVRSTRRISTALQTPYVVTGQSRWGVWREEGRSIVRTLG DKEHSFFFLVLLTAFLGNHTEWLNMLGPEWYRRRHHLQQKAQQDVDPTISNRTVIVSP DKMIARRLIFLLSTFLPAKHRFEPLPSPLRPGTSTSMRAVSQSPPSVPVLRQESLRRA IERRSRAQRLNRDNDQHDRSVSVSSNDTTHRSDDTDLGPPPELQQYRRGSDTRSIRTL GIPMHTKDVRVKNTSSATTSTTTPSSTVPVPHFAAQQKRPEREGQERPTVDSLASETL LKNLQRSESSAISSANSSLPSTGGRWGSLFSGLWSSRQGSSTADGSDTIPAPDARRRS TSTAYIGPPRRGPPTLSQMVKEASGTLPEASPKTVGNISIPQPSAHDFGDEQQDLSTT DQVKESPLKLSVRGDDGIVDVDLPLPGFISLSSSGDSTVASPKKTRTSVTSVDAVAST HSSGSGFACAPKEHDGPNINVAGWMKNFHEDFMLQAVRPYNGLEADIKRAMQAEPTPS SSFSPDVDGSDRWVDVATTLVADVRTFTVKRLRLRRKAPLDTHSLSPSTRRPSQVFGP LTPGSTNTSFFTDPNRASMDGFCIIEAEEKFVEEPVMDLDGTLIDALERVLSQSGQSS LAHSRAPSPPRTRKGDSGRLSEPVKDETPPVEVPRAECRKTVLGALEEVVRSVTAEHC RDDVDGELGLADRERKRSLAGVDNTLREGVRRWLLDVEEAW ACHE_80342A MSFRGPMKRSHLRQVSAASLETLSSSRSVESPRQGSTHDLHSPA EEKFIPPGSVPLDRRQCTLWVHDETFSREEILFNQTAFSGTGVKTGDIIEILPARSST SEGAHPDLGTRSLRDGHVDSSSTLHSDMTSRSKFKTPLQNRCLFVVKPLPQEIKTRNP KLEISITSSIANIFGLKNRNQVLLSVVDRGQCSASHVDISFRDQFMVRSDMWRLVMSE LAGKVIFKGQKISFMGSIKATVKNIFIRGKKVLSGYFSPHTIPVFRSEAAKYVLFIQM SREMWDFDSEGTGDILFSRVINGFLPELFKRWASSEARHLVTIVLFTRVEYDVSAGGG VFSTLSSENFRSVSGPNHVPTRDFYRVVVNDMSSGHWTTILDELKRDFRTFLRDVSIL KADDTETPTKNDAPPKAPTATVAGRPSTALRGNILEAIHLASAHLAYDHIDRDMVHTG TSIIVITPGSGVFEVSYESLASTTEALTNRGIAIDLVCLSPMPLHSVPLFKYKEPAPR PQSSALGDINEGGYSPERRESFVNFANRTPNISPRSTFPGSLLAMNARNQSSPNSEWS YGIPHWLDISYWNPDTYRESRRILKNDPNAPIPYTVTKRSKVFVPRVRMYEIQMMGVM ESEQSNISIPYLLEGQGVSRGQTAGFGSGPASLAAPGRSRHQLSDSLRPEPYRQNMAN PKGPTPGGSKRRTQKSVLSWMDQYDDNIFQAFPKPQRKHTRPRKRFSEPEVQASGLHE RLSARSVTRLREHEIQSERDHSPHSFPRKIEPTGLATPVSPVSTKNVSPKKPSLKPLS TARTSKISRTISFALRGLGATPPRAQASTEVNVEHAKALPMFNQKPPVTTSADWRNAD SRSSSDAGSVSTSGSTATAEAQPPTPQRPVRNTAITPSRPISIKVPPKKPSGDGEQAD RSESLSSTVTEIPLHDARHERQARKLGPRFEMTMRDYSAKSPPGKALAHWVRSINPCN TPREVLRDTTWFGRWQHAYPRPPHVAVVKWKSLKSPAILPLTTEEFPTLNELSSDYLQ TPYRVFPNDDAEGFEAPKTRGILMRELISLRLSHGFQIVVGKNVAEASGQYALESLNV FDTSNLERDGTYIFLSKGNTIHRLICVDSLEIEVTRFTHQNSSALNRYRKGGRIPYSP AIRTILNSEYEVKNLELDSTVEEYNWNYADNYIAGHRDYLFNPAQQLHFWRVRYVLIP MRLQVTSRRHLQSFNEDNEEEIHLLGISQLTHIWQRHKYVPPENRFESSNKKHVQNPL NIMYQTANPSEVVAAELDRIILTDPGHDNPPAQLLPELLERSNITLSSLAQIIQEDKG VRMMDRRWHWRLHYNCFIGFEFTTWLFQNFDDIHSREEAVEFGNELMQAGLFQHVEKR HNFRDGNYFYQISSEYRVSRPESRGSWFPQIRPDKSAPTTPATEQFKDSPASTNTHSR TGSLEESTNSSQPPNTPSKSKNKAAIMLSKTMKYDVDPRKRSNRTEVIDLHYDRLHNP ENCFHIELTWMNTTPKLIEDTVMSWATTADKFGLKLVQVPIGEATSISETQPFRKPYR IRLKLPPPPKAPAPTIFNQNNNNAASFTQSSDSAPTTTTNDHLYFQKALLRKFDFVLD FEPRSAFPQDVEVSYSWGTPDYQYPQYIHRSGSILAQITDEGDFLLLANRLVSTRSPV STTSRSAERRNAAPEHPVDRISPRLSPLIRPIHDSPMSPQLSMHPHPHPHPPHPHQHL HPHNQQHQHQPTNPPIIDTANLYRAPEHILSSLADFCADAHRLESFYAESMHITTRPA STSAGPAALNTSSSSLMDASIPSLELPASVVSHHIAASHSGGLNPPAPVRVASDGIVS TVGAAGGSTSGGGVTRPRRDSKSYKGSPRSGGLRPLRLS ACHE_80343A MTDPSRRSPFSYPSYSSSDTRETDPTGDWAISQFSQTPVGFPVS FEAISGSTENPAGAFRTITTPSTTGERSVNAKVPIPRTTTSSNWTSSGRVSRACENCR EQKAKCSGHRPTCQRCQEAGVRCSYGDRKREKMAKQLNDLTTQVQAYDGLLRDIHPEL DSLLAQRVEQVLNEFSSPQTSTPPSAPGTLSAPVGTAVASSLGAIDYIDEDYNRDEKV QALGFVGEHSEIAWLYRLKRELDKDNLAAATPESVSLKDSWDRYSVASVNFFLDDSQI LVIDGVDLVQRPPQAVADRLVDRYFQIIHPTFPIIGKVTFWGQYRSFYSSPFVRPGKR WMAILNLIFALAARYSELAQESVDDIVHEDHVVYFSRAWKLSMSDVALLDHPNLQQVQ VEGLTSFYLLSVGQINRSWRICGISVRSAVTMGLNLRNESNSIGHISKETRYRVWWSL YTLDTSLCAMTGRPPSSNIDFCTTPLPVPFMEEQFRDETIAQLITDHEARNTLTGIMS TKRWGQSQTESSVTPEQSGNLTPNKNKQCEQLAFSAMETLTPNISLYFLYFVELGLTM RESVDTLYAPGAARKSWREVEAAISALNSKADAWLSKLPDTFRFTGPQGNVDFERQIS SLAFRYYSTKLIITQPCLRHAARQGGTSSIGNFCDTMTDQCVDIATQMLDLLPEPPDS SWLYHIAPWWCVLHYLMQAMAVLLTELFLRARPGTLQYRRVHESVDKGNRWLYDMSAR DPCSQRAWLVCNDLLSRYSPELAFQTDITLPN ACHE_80344S MTQNLGRRSRGTEETAESSKRQRRKFTACRRCHEHKIKCSGGEP CSKCVLVGRGEECHYEPRDRQVKVSESYLERILSENQRLKERSGTSANSTEPPRPPAT ATTATGSTEEPNEPNTSSSAQNPLIGDRAWFHPYDPSAPPIFIGEAACTAFATRFRRF LTGSNATAHIPRTQYVKEANIAAANEVNVQWPSPQQARLLVKIALHQIGHIYHLVLRK STLEKLEEIYQTGHFGCTVNQCKYFALFAFGEAYSLRTEPSSGCRVPGTSYFARALSL VQVLPERTSITHLETLLLLSLFSYYLNRRHSAYVLIGSAMRLSLCIGLNHNIPESQVI DPVERQHRVRIWWTIYIFDRMWGSKMGLPSQILDDDIHLDMPSNIEPQQLHEEQFSDT DYLTANVKLAKIVGETISKLYSRRKYTETFLQRVQKLLKALKNWVETLPDHIRLNQED PEANKKPITSLHLAFNQCVILTTRPTLLHLLIKLSENEPPSPSPIPQPVLTLSEACIH AARHSHSLILTKYFNGTLPVFGYFHAHYLFSSALALAMSSFVPVGNPSDMGGFETALE ILRSMSENGNLAAADFWQNLEQVKFCLEGYWGERERRRRGGARGMGNANAMAAIGPGD VSAASVQSPGDGSMGASSSAMQSSVGTVQSNAETVVNTPYPPIHNGNGVPLHDASGGF TTAMAFLEPTMQDFLAQSDFDLGLLNPVDTFLNDAESLYTCHGL ACHE_80345A MGKQDEPYTAQVTPDPEAQLAGAAPGAPEKALDADVSDQYNLPT WRKCIILFVVSWMTLAITFSSTSFLPATPEIASEFKTTTEILNATNAGVLLAMGFSSL IWGPLGNIFGRRYAYNVAIFVLTGCSAGAAAAINMRMFTTMRILAGLTGTSFMVSGQT ILADIFEPVVRGTAVGFFMVGSVAGPAIGPCMGGIIVTFASWRIIFWVQTAMAGFGLI LSLLFVSNVRDPPTMIAKKKAGAKFGALDMVNMFNPLRIVRQMVYPNVFLADLTCGFL ATFQYALLTSARALFNPRFHLTTALVSGLFYLAPGSGFLLGSVLGGKLSDRTVRKWIK RRNGVRLPQDRLNSGLLTLLGVLPVSALIFGWTLQEEVGGMAVPIISGFFGGFGLTGS FNGLNTYNAEAIPAKRSEVIAGKYIVQYIFAAASTAAVQPLLDAIGAGWTFTICVVLS LIGGFLVWAITKWGLDMQQWAERKFELDKKPGF ACHE_80346A MKLSLALAGGSLLLGRALADLPTIETKGSKFFYSNNGTEFFIRG VAYQQDYSTNSTSENDKYIDPLANSASCKRDIPYLKELRTNVIRTYAIDPSKNHDECM NALADAGIYLITDLSSPHESINRNEPKWDTDLFSRYAKVVDSLAKYPNVIGFFAGNEV ANDKNNTNSIAYVKSAVRDMKAYIKEKGYRESLAVGYATDDDASIRADLADYLTCGDK DSSIDMFGYNIYEWCGESSFEESGYSERTKEFKDYPVPAFFSEYGCIHPRPRKFSDVP VLFGDKMNGVWSGGIVYMYFQETNDYGLVSVDGDKVSKMEDFNYLSSQMAKVNPTGTK SADYKPENTARSCPSVGKEWEAAKELPPTPNPELCSCMVDSLSCVLKDSVNEKDMGEL FGVVCGSEGVCDGIASNSTTGTYGAYSVCSPKQKLSFVMDQYYKKQNKKSTACDFSGA ARVQDSSNPKGTCSALIKQAGADGTGSVTSIPTNVAGASASSTSEGAAGALVTPTAVT VGAWQFGAYMATAIIAGAGMILL ACHE_80347S MHIYRRKARNLAWYDQEGEPSTHNPFKKFRSRPRRSSSIKLESR LVPVRTAGEVPLSEERRRRRSMTDGLQGPEHSDSFPPESSLSRAEEGHTRPDTANISR AESTGDIEGPDPSMHSREPINVSFQDEQDGIELEAGPRKRRTLLGKWRNHGEENGDET ASASTGDGDGEDKEEDKQKFTLAGQLKATIFNSWMNIFILAAPVGIALSQVKGIDPVA VFVVNFVAIIPLAAMLGYATEEVAMRTGETIGGLLNATFGNAVELIVAIIALVKNEVV IVQTSLVGSMLSNLLLVMGMCFFFGGLNRLEQHFNPVVAQTAASLLALAVGSLIIPTA FHQWSEAGTRNVAALSRGTSIMLLVVYGCYLFFQLRTHTEIYNKPSPKVEKRRAKVVE GDASRGIAQIGKMSASMAGQNVHQIKLQDPDDEEEEPQLHIVVAFVTLGISTALVAVC AEFMVNSIDALVEDHPISETFVGLILLPIVGNAAEHATAVTVACKDKMDLAIGVAVGS SMQIALLVLPLIIVIGWIMGNEDMTLYFDGFQVILLFVSVLLVNYLIADGKSHWLEGV LLMMMYLIIALASWFYDV ACHE_80348S MRSSIACARCRRSKIKCVNAGIDTTCRACESSGRDCVYPTPAIS VGGAAAIKRDHGTLLDGEVINGDIDSPKRQRSRKSVSSAAKDASKSALDALDSSILTV KVWEAVFDLFQSHYATLLPFLHPATFLGQIRQLSHNSQPSPLSNVTTNVNSSNAPQDN NRDHSQSPPLPKPELNPLIPLGVLALTARFHPQLVAYHSPSSAGNPSNPFVASEFYAN ALRSRLAGLDGASLAAPDLTRVQALLMLALHEWSMCRGKSAWLYVGMAIRMSQAMGLP FELENELPARDGPRSPGGFRTDSKEQTSDDVIAQETKRRTFWACFTLDRCLSSGKYRP RMIRAKKLGIQLPSDNAFAFGERVRTSRLNEPIMRRPQSFGAQGVQIPSIRQSLGGLG DEKLQTNGPSDAMAWSPISRRKDSTEEEIDRWEIGAEESVLSRVIRITRVWGSIAKWS CSGRRAEQYPPWHPDSRFAKLRLILNEFQDSLSRNLQYSPRNTDTHIMYKNTLASYMV MHVVFFLSVIVLHRANLPFLPMRCNEPVGPLDEPPFSAEKTGTPEGFFRDSARELFKA GRQMMDLVLTCAERGMLVENPLVGFAVYNAGLLGIYAAHFPHMDPEGMLGPKPGAAVS DHQGQVQARKGLDILREMRMRLKMARGWFRTLHRMHSYFTKVKKDVRRLSRSKYDVLS DAMEAQTNGGRGGEDFRLLEKVLADFGSVEDRLPDEEDGNFNNITAASDRATNASDTG SNAAVRSPTGEASMGDMPLDGAGGRRESWIPINNSPLPLPVSDKERRPSLPLPPGRPL QSQSPYPLPSLQHHHPHHHHPTPDGPIFNTTSTNLPSLGPAVQSPPQQYAPGPPGPGN RLQPLNSWLPSRQAPYSQSLPPINAATPHSLPLLPPPGSVNHPTATAAAAASPPMTID GADGVPSSLPSTNLGGDDVLVFLEGCDSDQWPVTMQSEASGWLSSIWADLK ACHE_80349A MIPLIINNTDTLDPSSTNQTPTYQGATPSHTLDAISSAAAAFPS WSCTAPSHRRDLLRAVARLLHERGDELTATMQAEMHAPEVWARANVQFGIGLLEETAG LISDAMMGGIPVSQGESYAMVLKQPMGVVLGIAPWNAPVILGLRAVVAPLAAGNTVIF RGADLSPKSHYLLASLFRDAGFPPGVLNFLLNRPEDAPELYELTINHPSVRKCNFTGS TQVGRIIASKAAYALKPVLLELGGKNFALVLDDARIEEAAEEIVKGTFLNNGQICMST DLVYVTEATAPKLEAALLNRLHKMTDKPRLISCASKSKLTFLLEDARINGATIHHPPS FPSPTEDQNDATFPPTLLTNLAPSSAFHTTESFGPLVGIVRTPDLSTAMDLITNSTYG LSASIFTSSHFTALKLAEKMRVGAVHVNGMTVHDEPTLPHGGVGESGFGRFGGKWGVE EFLEVRTVILNP ACHE_80350A MSPFLLALYVFCLLCRGLASPVLHPRSDDYFQPNSTGLWMQHGF ETVLVQPFGYDGFRVRAWPFRPPTGQEANFIYDPPLEGPEDGEAHGMQFDTVSIGNHS VTIRNGNILIRTTGWGGNPGGYRLVFIRVEDDGSETLLTNEYAPLKSINPRYYSWPGS GSEFSAQFSFSTTPDEQIYGTGTQQDHLLNKKGSTIDLINFNTHIPTPVFMSSKGYGF VWNMASQGRMEFGPWRNRFTADAATVVDYVIFAAQPGDYDTLQRKLSSLTGRAPTPPD WSLGYLQSKLRYENQSEVVLLAQNFKKHNVPVSLIVIDYQSWAHQGDWGLDPALWPDV ASMAAQVKNLTGAEMMASLWPSVSDHSVNYLDMIANGYLSATKSGPGTTDSWNGSYIR NYDATNPAARAFLWDTLKKNYYDKGIHNFWIDQADGGALGEAYENNGQSTYIQSIPFA LPDVLYAAGTQASVGKLYPWAHQQAIEDGYRNVTNSTIGTACDHISLSRSGYIGSQRF CSMIWSGDISSVWETLGLQIASGLSAAATGWGWWTVDAGGFQVDPTVPWSANIDTPEY RELYVRWLQWTTFVPFMRTHGSRACNHQSAYTCDNEPWSYGEENTPIIVSYIHLRYQL KAYLQAIFRQLHETGRMIMRPLYMDFEKSDPNIASMTQANINATTQQYMFGPRLLVTP VTTPNATEWSVYLPQTGDNGTKPWTYWWTNQTYAGGQMVTVPAPLEHIPLFHLGSREE ILRGDIF ACHE_80351S MAAAITSWVLNPIQSLTMSRRRTRKLWCTLSNDLQQSFPIECAA DHDDIDTLKKKIWEEIREKIKNTIPHYSDLKLYSPVVQLNHEEKFRIDDGEFLRPRRM ITTNPLFPESKDPDVDIVVVVSGDTTTRKRKRSESQATESDLICPREHTVSELAATLD EVNIVHIRGTPASGKTRLSELLRDHYRREGRKAFLITDWVKLNPMDPWGSFVELVKNW DEELQDSPITSFTSTSSEPKHDPSWVLTTNTVILVDEAQKTYSDTVLWNTIFKARQKS FCVYNFRLCLFCSYGSPGTGPDQTFFTPVTLFNKQRISLTPQSQPGSPSIGLFYSKEE FKDVVSRLIRFLSKQKEKFNFDEGALDYIFVLSGGHPGAVESLVNVIFENYRCDIKHR HLRILTEDHVIWFLEDTARVFDKLSRESVDRSFPRIERCTSEVSNILNKITEEGSVPF DLNDADIRFCYQNGWIHRVALDGDDIAVLPSRLHEKYIEYLIGTMSKPLPARFDSLQK LCKEILSKFSIMNLRNSAEGKKMSTASQPRPVEAQYQAEFYRGFVHTAGQGVPISTEW SRTRDGRVDFYIPEKKWAIELLRDHIEVNEHISRFKEGGKYHPWLKEKMVKDWIIIDC ATSLPTKEFSEPKLWHAVFANDYSVLQLHNHQKALMMSVHLKN ACHE_80352A MPTPGDATMVKAARSYQCQRCSRSFARLEHLQRHDRSHTKEKPF VCNDCPKSFTRKDLLARHIRLSHSPSEGPVNHHDVTAAPITPTTTTPLTEEQSAISGT HGPFQPMTNAPAEGAAAALNDPFNAMGPSSLYDGDDFTSFLDSISLPSHPFSVAYQPL PFFPVFTTGDHNNLHSPLDYVPNVSSEKDSAAANDAPTPSRSVLPRHGTHLPSLQPEG SSLQHNKARQPKDFVPVTAQYRDRIVASLRDYANVLPPNPSIPSRHALSRCVTGYFIG FHDHYPFMHIPTFSIDAMTLPLFLSMAALGARYCREPDTSNSLYQVAKVVTLEHIRRQ FQSSKVTSQDDQNLLETVQSLLLLTSVSMWFEHNPPFQEALYIRSFMETLARQGGLNY LPDSDGSWESWIQRERVKRTKLIVFCFFNIQTIVFDLPPMILTEEIQLDLPCTEGEWQ ASNAALWQEECRQRKNEPKFQNALWSLFCRNPGGNEERGALESFTSLGGYVLIHAIIQ NIWSMQKTCRMPGSRSQSLSPTEIVAFEQALERWCQCWERNQESSIDPMSPHGPLSFT SAALLRSAYIRLNVDFSSARQLKTWNPRQIAQSLRDNVCVQRSERLTRAALHCAHALS TPIKLGINFVAHTQVVLWSNQHALCSLECAVLLAKWLEVATAPGMYPGGLTEQEAKLL DFVIEMALETKHEASRDWLLENNTRLSATVTRLWARLFTADYIWEMVSLVGQSLNSYA DILENESK ACHE_80353S MEDKSAEAQSLEIEHPYLEFETPLPTPLITLPPEPSQSPPPEAP KLEKYTSPFKWPKWRKNIMTWISCAVTMLAGLSAGEVSPAGSILADKWNISVVVANLS ITIFCIGFALAPMVLAPLSEFEGRRPIFLASGVLFVACLIASGGTHTFAGLCVARLFQ GAGASTFSTMVGGVISDIYHAEERNTPMVLFSAAALFGTGLAPLLSGAVVYHTTWRWI FYSHAIVSAAVVILMYFCFSETRGDVILRWKAKALNTYYEKLEEAGHYGVLFGGRNGP EKIKRIRWKVKSDENRASFIDMISQSCYRPFHMLFTEPVVFAFSVWISFSWAVLYLQF GSIPVVFENNHGMNIEQVGAVFTAMCAGVIVATFLSIGQDKIASRYKLLPQTAEARLY FACVESALMPIGLFWFGWTSYPSIPFIVPALAVGCATMGIFSIYLAVFNYLADTYHRY ASSAIAAQSCCRNLLGGVFPLVTRQLFTNLGYPQASSLLGGIGAGLTLVPWVLVFFGP QIRARSKLASELAK ACHE_80354S MKPIVPVLLSSLSLLTTTCAQSTPLSRAETALDVLQSWYNTSTG IWDTCGWWNGANCMTVLADLALIDDSSDSVQETAREVFNNTYHIGPKSNPLPFGKLEP NSPASPSATASSRVASLTAAAATPMPTDGSYEVGWKWIDGSYDDDGWWALAWIAAYDL TKERKYLDVAIGIYEHLNSTKKASCGNTGIYSDITNVYVNAVTNELFLSVAAHLANRA PNKEKYRQDAENLWNWFSTAGFINDKGTINDGLTNDCKNNGQTEWTYNQGIVLGALVE LHTANKNKAYLASASHIAKAAIKTFTNSTSNVVEESCDPDSCDANSTQFKGIFIRNLA TLNAVAPEETYEKVIKASAESIWKHDRDLENDQLGEAWTGPVNLVDASTHSSAMDALV AAVGL ACHE_80355A MNDYQSTVTAVPESLRKAATYIQSQVRDDGHWCAEVKSNTTVTS EYIAFLYALKLPVPDGPEPWISWLLSEQKYDGSWGPAPEIPGDISETVEAYFALKILG LDPENQVMRKARSWILANGGVARVRIFTRIYLACFGLFPWSAIPELPPELIFVPAAAP CSIYYMASWARATVVPLLLISHHRPVFALPNGRSENNTYLDEIWCDRGANKNVPYSDS LWSMAWQLDATSVACTIVDKALQYVNGMRKSPWRSAARRRCLEWILERQEEDGGWAGI FPPMHGGVLALYLEGHHSTDPKSPYQRGLAGLEGFIWTDERGKRMQACVSPVWDTILS SIGLLDAGLAGDNEYIVRSNAWLLARQDKDRGAQSPGDWRVLNPNLIPGAISFEYFNK WTPDVDDTAALILAFVKKDPSSIDSDSVVRAIQWILGMQNADGGWGAFDRENNKLFFN QIPFSDMESMCDPSTADVTGRVIEAFGMVMEQHSKSSGLIEKSLLTQSIRDAMKQSAN RGIDYLLREQEKSGSWYGRWGANYLYGTSNVLCGLANAHFIDNSKAGLINQSIRRGVD WLVNVQNTDGGWGECLETYSRPALAGQGKSTASQTGWALMGVLTLLPVTDLTVRRGVQ YLLSTQREDGAWTEREFTGVGFPNHFYLGYVYYPHYFAMMGLGRYASLCGLKVFEDVS K ACHE_80356S MQAVVERVLADGEPNLEEVRPLNIDSIDYEYIQGVIDGCCQILQ KVTQLAEIGALRFSPVQIFLCITSSSIFLMKALSLGARQAKLRESLDILESTIQALKS NALDDIHLISHYATLLDLHISRLRRSLLVSSKGKNSRGTTTTSSTCPPSRPDNGTANG NTTMVNSTPISRSMSDISFIPSLNDMAADDWLSLPSMAPFGISSGGQIPALEGGALDF IWNLPS ACHE_80357A MGLLSPRQTGLGSYGCPLPDRIENAGGGPFVGNLSFYQFNMIVS GACTAINNANRQHDPLLPILSFISICVPNSYIYLQGVTEVFQGIALYAFLMLLCDFLA PTDQGKVEFFSSLETKRQWQPKKKRNGLVFLSLTWWSVLQYPIITWIAAVAQVVTQLL HRYCLGSTAPHFAHVWITAATSLSTSVAINAIIQFYTNMKGYMKEHHPLTKLLAFKLI VGLVILEKILFLILEGVNVLHRNEILTYVDVMMGLPEMIICVQMVPLCFLVLYAYRTK PYEISNAPRTVALRPQEYQAVESDGDEDTLMSGFQKRYQGGWMGLNAWAAYLNPLGLL LDVISAHRMISKARALQKAQM ACHE_80358S MAMAEIHGSCEPAFESVRGILQDQFAQGNEVGASLCVNIDGKNV VDLWGGHADTEKTKQWDKDTVTGVFSSTKVVTGLAAHILIDRGLLHVNEKFASYWPEF GSNGKGDAKVSHILSHSSGVLAWEGVITPEEVQDVETSTKRLAAQAPWYRPGS ACHE_80359A MERLFQLFNYLEMHKADDNPKSKLGDLLPYYIKGDKEPWHFNGT TKWGDYASISAEGLGPWKLNEDDKIPEDILKNPPGGVFEEIIKTFREKLMQDKAASNK SSEEWEYLMKYDNHST ACHE_80360S MVEARKRHCWECLRRRLVCGFEVPGCERCAASGVDCPGYGETPP MRVKWLVPGTVKLRQRKDVSNRQKNSRAGSESTGSEKSPSESSGANSCLADDTRKVAL PHPHLKTDYHALIDSVEYFNSCIYPQLENVLRLGTNANIYKLPLAMIQMGLTRPGHLQ LGLVCLTLSHRMNQMGHDYDPKALRTTFFRYRGLMIRSLNDDINVPNKRNGGIVLAGI LTLLLADAQEGISHHWRYHIEGVRRLIISRGGMNRVVTTPGALPIVLSFVHLVVLSDT SSPGSDMLVERLDLEEVYLMVKCYGGNGYGFQMCPSPLFAEIVKINHIRNQMSKLNGT DENDLHSDAREVLHRIYGFSPAAWIESNESLTDASKLIMDAYQSAVALYCMSSLQSAG ALPPNPFLKKNCDMERRILHGLIEQSLAGRCHGYMLWPLLVLGVQAVNGGPSLRAFVR EKMVSMSAACGTYAPLAAKRILENFWDSGKDKWDDCFDKPYMFTTVLSVNRGQLPRRT S ACHE_80361A MAIVSSGRKQKVDKSEARRKLRESRNYDPLAHRKEDSQRSRGRA SMETKELYKETVKLYEEFLTSEGVIPAEFRITENFPVPKIEELKSFIRWYIASTEGSI DDSPTMRTVLTFAQQFVPGFYLVTGNQIPP ACHE_80362S MEPNSVVSAMKGDKLKDSPQWRNWFARVKLFARQKKVWDLVNPQ IEEDYLEQPMRKPKRPQYPEGGSESVKREWRDRLDIYKLDLAEWEQQAKGLDAVNKWI ITNLDPIHHASLLDYETPYERLVYLTTRFARSNAYEEDIRAQWKWFSSSPPRKGVDIN HWLADWNTLREQAVSLDLPEVKSANKDFLHAVKDVLPTWWQAKYESIIMNHEDWETRD LIENFRGFYQEMVPQKPTSTISKASFSTFQDFEEAETDQLQKPPQKQGNKPPIPKRWC PCGN ACHE_80363A MSVEYRNRLRLADRIYREGEISFPCKRCSKMSERRPVECKRIPS TQKCGHCVRSGRKCERDVHAESEWKRIDRERERIASQLEEAERQSDELLMKVMRLRKQ KRFLESRNLKMLDNDFGALEGMGEESSVPDEDLQEFERLLDAEAAQLAATSNNPSLTQ MMNSPSFWENFDSAVAGGIPSPTGGNQSSSR ACHE_80364A MLSRMEGLRGFLGIGGMFLFELFCLVFISFLSVEAELTLWDVYR TTKAAVTGFPSACHGRFDTEYEARRFIEEWKDAYADIWRLAIRQGLDEKWRPEDLKVD LEKVLNRVDDGRVKDEDEESICSQFEDLELDRRRRDSGVRSRSLV ACHE_80365A MMGNSWLAISQINFASRFTHPNLKAIAPWEALTDPYDHSLCRGG VPSAHFFQMIISGFAGGNKAEDLGSMITKRPLFDEFWESKRISPERIRDVPMYLTASY STGLHCEGSFETFERAQMQRKWLRVHNTQEWHDIYKPEVLDDLQRFYDFYAKGIQNGW ETDTPRVRLSLLGYENSPARTVVERPEEEWPPARQTMRKYYLDASTRSLTTSNLENVS SISHEGHSLTASSDFTIHFTEYTELCGRPYAKLYMSCPSHTDFDVRIQLRKLSSTGQL LESLNWSPMPKLAPEVPDVNVAKHLGQQGMLRASHHVSLLPESEKNGFPRYDHKSRQD IPAGTVIPLLIPIWPIGMVFEAGEGLMLRISGHDMSLPEVEMMRLQEPVDENIGLQVV HTGGEYASFLVVPVI ACHE_80366A MARFPDLTYKPLTHPSSHPVFKYNGFHPNKTYLLPKGHVRESGY QASPIDVIWQRDTAIEMRDGIKLYADVFRPATTNEDNKVPAIIPWSPYGKVGTGSQTY DNMGPWRMGIPFQALSGYETFEGPNPLEWCGRGYAVVDVDARGAGNSEGDVAFWGEQA R ACHE_80367S MMSTPFRSHKSKRTSRSHKKKTDRGLPPLRIAVLGPTGQCGSCI VDELLYRGHDVVGVSRNPPEAWKEGENSAHRGVYESVAVDVRDEGMLRDVFSSGFDAI VCAFAPGIRDLKSVYENGVEGHGRVKRALLRSSHSGSFIVIGAGSLHTMNRRQLVDET GFAYSWWYIWPDEHFNYMRVRARAHGNFFFSLFIAGFRWARNNVEHPGWFSWLFRPIA WTYLFLAKRKLTSPQTRSLITSTRTALTMWEGVREKKWTFLSPPGQLRDQGVRTGRYK IFIDSEEDPAVEAVDGAIYNEDLAVAVADEIEGPRMTFLHWSVVGRVGLAAW ACHE_80368S MGAAKPFHVAIIGGGIAGITLAIALHHRNIPLTIYEQAPAFGEV GAGVSFSPNAVQAMKVCHDGIHKAFEKVCTRNLWPSKQDVWFDYLDGSNSAEQEPGKG QEIKFTIRNGLGQNGVHRAHFLDEMVRLIPEGIARFNKHLESVGEGESGRLAMRFSDG SVEEADVVIGCDGIKSKVRGLSVGESHPSAAPSFTHKYAYRGLVPMEKAIEAIGEELA SNSCMHMGPNNHMLTFPVNQGKTLNIVAFHTTPDEWTDYPRLTRSGTRDEALRDFAGY GPNVTNLLKLTDPELAVWAIYDLGDNPVPTFYKGRVGIAGDAAHATSPHHGAGAGFCI EDSAVLATLLSDERVTTAKDLEAVLVTFDTVRRERSQWLVQSSRFIGDAYEWRAPGVE RDVEGIEREINRRNGIIANVDMEEMCGESVRVLGEKLRESHL ACHE_80369S MPPRSSLSSFSVTDASNEVVCPLKNNDGSNCRKRCLGEKRFRSM QEHIRRAHPSHYIPKLPATEESFLLMVNQDKIQVDSPPDEPHPAAATAAVALAQLHHH RLASDWEEDTRSVELPPLRDHFKHEDSLPPFSPPRPRKDFLLPSILSHSPPPRSSTLP PIHRKDRLPRPRKSSITQNARKPKHDRPRRPSLGERKALSAEPQTAAWAQGKRWEDLI EAATSATEADDDRSSEHGPSPTIPPLIANITSAPLDQPHRSSLPPAFHPPHRSLPPPY AASPLHKSLTPPPQMHRGRDSDLEPFPSIESSLDSASSASGKNFPVSRNLAPSALSDS SPVYNMYPSSMHARQHHRFSNPTPASLRSREINIYCASCQRPWALDDCYACTECICGV CRDCVGMFLTSPANMFRNITSSPGSGPTNGMFQGPGPGPGPTSHPSPGPRGCPRCRTV GSKWKAFQLEFR ACHE_80370A MHTLSLLLAATAAAASTKTLYATHYNGTVYTLSLNLDDKNPDHA LSISSGKDTCGEMPSWLTVDRSTGVLYCSDESGNLTVPGSLTALSTASQGTVEEIVEV EDVGAGVNSVFYGGESGAAYLAVAHYGASSLTTFPLPLTPNTTPLQTLSYNLTNRPAP KPQQDASHPHQVLLDPTGKYLISPDLGMDLIHVYAVDASSGWLAECKGVEFPAGSGPR HGFFTSEGEQTRLYTVSELGGELTVFHVSYPAYGCPAFHKVQSTIPYPNGMLPSGATP AGIQIRGKDVYVSLRSDQSYPGIESDSIATSFINDDGTATFHSLTPSYGKVPRTLVVN DAGDLVAIGNQASASVVVVRRLETGELGEVVGRVLVGETGTVGTAEGLSSVVWG ACHE_80371S MMLQLRELSMAIDGRDWVRFPHEQLPNAGNLRALHINKMNDQWF LDLPDLLARKLMDMVSIMPHLSLSNFQIADNRYEIVEVGLADYRDEATESDAECDFTP INWGSHAWSDDEADSSDRVAGKIIILVKLMRSRIHSLQRATRIILLWGSKKRGLGGKS SSCG ACHE_80372A MHIAILDADIPVPSVYASRGLYSTQFRTLLQAAASRLTTTTTNA VPEINTSSYDVVGGVLPALNRLRTAPLAQENGTKNECEDVIDGILITGSSYSACDKHT KPWIAGLEKYIQTVFEKFPEVKIFGSCFGHQIIAQALLSPSLLENDGAGFHVEQCPYG YEVGIVPITLDSTFNEAFPHIAKNLKKREFRLQLVHGDRVVPIPTNTPTPDPKPEQIT LPSPWLNLGYTSKCPVQGLYHPGRVLTFQGHFEFDSFVNRETCIEFGRRFGWKEDDID GFVKGIDVAVAREGGDGDDDDSRIAAEGVVLFFAGLS ACHE_80373A MAPALTESLPTAPHQLNSNVSRDIFPDGLKTTGQHPPLYNHIRE FKQFPKEITGRTVWQAEEYRGQPEKWTHAFNAEEIEELSTAADNFLKAKIPLTGISKK NFPLPNLSKDLEALREDLLNGKGFILFKGFPVEKWGNHKSAVAYMGLGTYLGYFVSQN SRGHVLGHVKDLGEDSTQIDKVRIYRTNARQYFHADDSDIVGLLCIAKALEGGESDIV SSHTVYNVLAKERPDVLQTLTAPIWYFDRKGETSAGQEEYIRTSVIYLERGENARVYT KWDPYYVRSLTRFSDAGIIPPLSPAQLEAIEVLEKTCQRFALHMVLDVGDIQFVSNSH VLHSRTEYKDYPPPAPRRHLMRLWLATPEEEGGWKLPFWDSNEKKRGGIQVDDQAPVA PLDAE ACHE_80374A MKKTTLDSIAGWTQCIPIKPPTMPPTSTITTSRKRVFNSVFSAS PAPGPFSTTDSPSLKSIDPLATSRSFEDSQLTEPDEPGPDQETWDRAWDAATAFLAVP DRGFAALGAFEDIDEDVFLKRWNRHERPSRKTADALRVLVSQEQRGSIIDWYGQEIRR HFLKNFRNGLFDLLCYPEKEGLLQRIVRCLELARRIYFTRLAEYILPLLDASEQERAF LKLHRTFHHMVAYSSALPWDRVSALLNREFTKEALTILGIDALKEEAARDDVSIDENM EVDREFSVSYRRWREEPSVDARMEMMTENEDVEVVAARDRLLSLLNGLQLVGLGGDKA QKVFAGVMNSMMIEFVLAAYPGQWEGPSLVSQHLRHWVENVFARLVVQVLAIINVPES GKSSEHLDVNLSDVEKWYETGFTCVGTLRMRELFDVMVEWPASSGAIDDLRRFTTNPA TRLYFTLSFASVLCERLLHPGASTVEILQLYISIIRALNLLDPKGVLLDRVARPIRRY LRDRDDTVKVIVGGLLADPDAEGQLAPSAGETLAELSAELTKAHQNSLQNDGDELDWN DMNWMPDPVDAAPDFRKSKTADVIGSLISLFESKETFVKEMQNMLAERLLQKRTDYVQ EMSVLELLKLRFGDNALQACEVMMRDIFDSRRVDGVIRNDQGLVQSTNPDEPTEEIPD LHAKILSHFFWPDIQEQAFKVPEEITALQQRYSTGFESLKPSRKLTWLNNMGQVTVEL DLDDRVFVDEVTTWQAAVIYAFQSTTPDDKQPPVTKTLEQLTQELQMSSALVRSACLF WISKRILTEPQRETYRVLETLPSGENEPSTTAEPNITDDTNDDTSAAAAADAAAAAAA KESAETAAMEKMNLYWQFIVGMLTNQGAMPLQQIVMMLKMVVPGGFPFSNEELREFLA GMVSKGKLEVSGGKYKIVP ACHE_80375A MGFFIEDLWSSIFTPGPTPTLLVATNVTFAALQLLLFALLLATY SIHFFILSFLSGALWWSINWFAAELRAVQAQEAQKEKEGKKVHADGKSRWGDAMKTPG ALDTESDTETEEQRQETPLPTGSTTAASATLQLPEEQGARKRHSVSGESSGYGSTDSE WEKVDDHTE ACHE_80376S MDEKQLEAKDIDKESLDGFAHHAENATADREPYGPPGLRGLVAN PFVFLCAACSTLGGLVFGYDQGVVSVILVMDQFQDQFPQVAPGAPGGGFWKGLMTAMI ELGALVGALNQGWIADKISRRYSIVVAVVVFTIGSVLQTAAMNYAMLTVARTIGGIGI GMLSMVAPLYISEISPPECRGTLLVMEEFCIVLGIVIAYWITYGTRFMAGEWSWRLPF LLQMIPGFILVAGVVVLPFSPRWLASKERYDETLQSLAKLRRLPPSDKRVRQEFLDIQ AEVRFHKEMSAEKHPNLQGGGFRKEFLLEMASWADCFSKGCWRRTHIGVGIMFFQQFI GVNALIYYSPTLFETMGLDKDMQLLMSGILNVTQLVGVTTSIWSMDTLGRRWLLLSGA ALMTVCHIIIAVLVGLYSDNWTAHTAEGWASVALLLLYMLAFGASWGPVPWAMPSEIF PSSLRAKGVSLSTVSNWLNNFIIGLITPPLVENTGFGAYTFFAVFCLLAFVWTFFFVP ETKGRSLEQMDHVFKDNSSAAEQERRQAIEAELLRAEAHYLEEP ACHE_80377S MSYRNIPSSQPHRRPRSSQGPPSYTTTTPAVESPLQLSPNPGLS QNHLSIPARDPSGFADPNTSTGPVPSIAGDFANLNTVPRGGVRGARSFSSPSPAIGLS TGPTGSPFLSPGVYPAGQQSSQSREQLAASDPRYSTARSVAVGSEYLSGNMTHEAHNW GWQGRPSHPHLQQPPIGFDQPYSPQQSVSPGAFPIDYDARQGMPRNYYQSSPYLSVPS HGLPQGAHHPRSVSMSYAYPSSSVTGTLPSMSDQSFLPSCVVLLCLRRISWLTGADHP HTSFTNPNTTSQKPHFRESDPCFHSTTTKTLTNNFLDPTPPRYQQAHSPNTQAQAQVH TTPLPHLLQQHPHLPPHPHQHPHQPTKIARYES ACHE_80378S MASIFTFDPDPPRVSSPWSTSEASTPQPTPAGNRLAIRTRSSAT LRSADHDSLSDYGISKLDPEPQEGPTEYKLHLLLRPRRSYTTMSTGHVVAGSYHSRAS LSTSFPTTPSSYETAMKPQQTRSTHSRQERLQHLTTQLLWRLQQSSPFHSSTATNNLV LPVLPDMATQSDAPQRPARLLPGLEESQGALYEIGVADDGTFVGLTQDELEESLSNLQ IMADSLGCKVDVLRRVVVGECEWEDDSVESHVEGLWVAEALVSPDLDYYRAAPSEGDA SGATEKKSVSEDNHSSTEQIRVSITGPSTAGKSSLLGTLTSSLLDNGRGKSRLSLLKH RHEISSGVTSSVAQELIGYTAGDSSGPVDVINYASGNVAAWDDIHAVSTGGRLAFVSD LPGSVRFSKSMLRGLISWAPHYVILCIPANCDDGTTPGSDGKSAEQTTEIDLALSYLE LCIKLEVPILITITKMDLASRVGLRNTLAKVLSVLKLSGKKPAMLPVSGSTDKIAGLQ RVGTAEGGEVRKAIDAMAGDILLTVPIVLTSAVNGSGIEKLHAFLRYLPVPAKPSLRT VDLPKAHLQLSAGIVFDIDEVFAIPPSKVYSISSDQTPKENQGVVLCGLVRYGAISIG DEIVVGPLLANPHWQQVRVVSVRNLRLPVRKLTEDQVGTVGIEPISKPDEPSPHLGRI RKGTILTSLPHPVPLHTGFVATFSSSEFASADSPPLLLGGNAIVYIANIRTAVKVTCV ELAENEVISTPPSPAEPGFFNFDADLDRGQTTGDTERRNADIQKVIDSTDTVEQEDVH ITFSFVTSLEWVEAGSRVLVMPGVSMSASSTPALGSGSGGLSGLEGFVGRVREVL ACHE_80379A MTAHWVDQYSSTSDTSSNLIIPGLQDDITKLTLNIFCGAGFGVH LPFKPSLKSTTENANAHDQFRDSATPSPGYDFTFRSVAEYIGPNLTSIFLTVGILPKW LSFILRPFFKTPLKAYHNLGKYLRALITMSQEEESNAHNLLAGLVRARREEQQKESGN EDTKSKYGAGLSDQEILGNLFMFTIAGHETSSTSLRFAFVLLAMHQDIQDWLYEGIKE AVADEPEDLAKWDYVRVFPKLIAPLCVMLETLRLYPPVVTLPKWTSHSPAPITLASTT YTLPPGVPISLNANALHYSPEYWGPDVTIFKPSRWDKRNTTSFLAKNDGIEGLNVAGL DFDTVHKPVRGAFIPFSDGSRACMGKKFAEVEFVAALSVVFWQYRVRLVGEERGAKER AEKALGESSAFLTLGMRDDVPLRFERR ACHE_80380S MDAPVKRSRASKPKVRTGCLTCKARRIKCDEGKPECKRCKIGGR KCDGYLPAQEGMLAMVPKQLIDVPAVTVPGESRALEFFFHKSAPLLAGFFEGAFWNGS VLQLSLAEPAIRQAIAAIGSVHEEQGLKRLEDSNVSSAGSQTAVQLYNRAIGLVIARA NVDPNAIPAVTMASILFTCFEFLRGNAPAAASHITSGVRLLQEWRDKTGTQPVGPWGQ SHKSFESQFMETELAPILSLFNLNTCEFGPGQRSRVILNAVNEQGFLVLTDKFESLRE ARVALVDLVTSTSVLFNNMDEGVGKGQLPDTNLVTVFSGMRNNLDRWKANFEDLVDRK GATWDKAQRSAADNIRVMWCSTEIGIISYAVGTETAWDAHRDSFERILRLSESLISDT DRYPNDLSKTLSLDLGLIFPLHAVAWKCRWPLLRRRGLDLLLRSPKREWLLEAERYHT LFTRVMEFEESALSVPFIDTPGADEEDFLPPEQARIHDFYCQPLPNTTSRTGNKYTMH AVTFETKPDGPHGEPRYHTDCIWMPTTTSPIVGKGDQGDVEAIAPLTNLLSTKKWAKP ELTDMQTASLLKGVVIGLD ACHE_80381A MCLLIYIAVNIGLALQDSFVALITLRCFQSFGSSGATVISTATV SDLITRSERGKYMAYVSLGFTLGPAVGPLLGGILTKFLGWRSIFWFLAILGGLIILVI ALFLRETCRAVVGNGSVPPQSWNKPLLLALAHRSSPPPKPDYETVLSLSNKRNRRCST IFGIFDSLKVVLNKPVGLLILCSTVFYCGFMSVMSSIPALLEQKYNFNALEIGLCYIS FAAGGFAARWTVGFLADANFRRHACKVGIQVQRNQQTRGQLEQIPLEKVRLELSVPLV YLSCLCLLGYSWVMNYDVHISAPLIMLFLLGNTVVGTNNTFSTLMVDLHAYRPATAMA GMNMYKFLCGAGAVAAVLPLVRIIGIGWVGTVIAGVWVIVSPGLWMIYFHGHTWRKSL RSEQA ACHE_80382S MKLVRFLMKCANETVTIELKNGTILHGTITSVSPQMNTALRTVK MTPKGRDPISLDTINIRGSTIRYYILPDSLPLDTLLVDDQPKPKNKARKEAERGGRGG RGMGRGGPRGRGRGRGRGRGF ACHE_80383A MLPTPCTSHVSFDTIYEPSEDSYLFLDTLSSESESTWLSHRFNS PFNTSSYDSTSTSPLVVEVGTGSGVVLGFVAANSQHIFGRRDILALGTDVNRNACLAT RETAVKAVREQQAQASESAPTAKTPYIASIMSDLCTPLRPGSVDVLLFNPPYVPSEEV PLLPTVTEQEDEKDLSRSAKFEQDSYFLSLTYAGGVDGMETTDRLLEAIPGILDPVRG VAYVLLCKQNRPEEVKERIQGWGNGWKAETVGNSGKQAGWEKLVILRIWRGE ACHE_80384S MLNEKQHSNISDKMRVFSRLLMATPSSMGSKSSLSEAMALLPPL QLYRRLLRVHRKKLDPEMRILGDLYVKAEFRAHREVENPVHIIGFLTEWQLYAQKLEG DAWAGDKLDKTKLDKMSDQQLGQLYELMQTIRAKDEGEGEDQ ACHE_80385A MDEITPAPPDGATKTTAPVLFSITRNADLRATSSSVSCISQSQL SELTATKDLTALRSLGGLTGLAVSLRTDLTTGLEDEGGNTVKSDPGFTARRKDYGENR LPPRKRKTFWHFAWMAFNDKMMILLAVLATVDLALGIYQSISAAPEEPDVQWVEAVSI IVAVVVIVLATAINDFQMDCKFRLLNQRKEERDVIVIRSGRAQQICIHEIVVGDLVHL ETGDVIPVDGILIHGFSVQCDESSTTGESDLIEKTPALNTNTDNGSGYDPFLLSGSKV SNGVGTFLVTSVGENSSYGKILMSLQTEVEETPLQQKLGVLAKYIVHIGLLVGAVFFL IIFIRYLVHLKGIKGGPRAKGKNFLNVFILAITVVVIAVPEGLPLAVTMSLAYATARM LKDNNLVRLLKSCEVMGNATTICSDKTGTLTQNRMTVVAGRVGTFTPFVDENSGPGTE NKTDAVPLRSKMDSLSAHTQELIKASVFLNSTALEKGHGQEAQLVGTSTEIALIEFAQ SNFHAVSMTAERERTQIVQLFPFNANRKCMAVVARIFSGHYRLFLKGAPEMVLQSCSR IVTDSHSVLSSQYLHDEMRTVIRDDLRGFSSQSLRSIALAYRDFDQWPPGTPIGNEPD DFLDEVLQDMVLIGLFALRDPLRPEVTQSVRDCQAAGVSVRMVTGDTFLTAKAIALEC GIYQPGGIAMDSSIFRKLSSSQLDMVLPRLQILSRSSPEDKLRLVSHLRRLGETVAVT GDGTNDALALKEADVGFAMGIQGTEVAKEASSIILMDDNFASIVKAMLWGRTVNDAAE KFLQFQFTINITAGTLTIVSALSGGTNSSVFTVVQLLWINLIMDTFSALALATDRPTR RLLKHTPEPRGSPIVKVTMWKMIMGQSIYQLAVIFVLYYAGEQLFHCSTKAERHQVQT MTFNIYVWMQFFNMLNSRRVDNGWNFLDGITHNYSFFFVQAVIVAGQVLIIFEGGEAF GTVALTGAQWGWSMLFGLLTFPVGVLVRLIPDRMVVMVWTGIASTGRMLFDPVVLRWR RLIHVCFPAMFHARKGRENSSSSLDTAQTTIVTVPKGDQYISLRSGLGSESDRSERDG LFDLVRAIEGARSRSVEEVPGLEVHPDTCKDDIVIGQHEEV ACHE_80386A MASMENGIVVDSEPTTSASDSGSDAAATSSSDSSEKKTTAAANN AATTITTTGFAASATSNRYRVITTAPPYEDLLAACRENRRADLEALISNRRANNNEVC RYTLSNLLKEAVLQDSADVVAFCLEQGAIVYEEHLLWAIFAKDAFASYSVLIQHRAID PNHVLPCYGDLLGVLIVDNKLEGVRCCLENGANPNENLLEEYKTALAAAAERGNIAMV ELLLDHGAWTKESGALILAAEEGDTEMVRFLLSKGLDINEMGVKGPLGAEELDEIGTA LHKAISNGHINTAALLIDAGADTELKDAQGRTPEKLAEECHQPAILEKLRKLPLSSYS PLPPSMPTLGSTESGTSLLKRISKAYEEITPTPFFISLVFFFCIYQSRKVYHP ACHE_80387S MPRRIRPNAFAQLWIRLPAVRRGTAPSPSRWRAVAPYRLRYKTD GDRADDHQRESILRKFLETGATTLAALLMLGLGGYGYQEYYKKQVLRKIDHAFAGGLF SSLEEAALAQYGDVEHMFHIARPEQSLIDHIISGSARGSYFLLFGEKGTGKSSMLLDS MQKVDGEGVAMFEAHSDNEVFRLRLGKALDYEYHEDYIGGLFSMKGPRDGATPILDIE RALNKFEKVALQRRNASHGRRPLVLIISNIHHIPDDVDGQRLLSLLQQRAELWAASGL VTLVFTSDVYRTMEALRLHATRMQLLNVQDVPRDVAVEALRKYRVETRGESISSSLLD EIYSRVGGRLIFLDQVARSKNMLETAQSICQKEQRWLLSKCWILGQDMDEKAESQQEY SAAAMTLAQALVAMENDNPTPTSPPRIPLHKAQELMTRADFIPRLDQQNIIAIDADGM VRADSMPMQNAFRAVCSEPGFDAHLKATNERLDELESLGRTTELTVKDLVDGEYELSS KHGDGFVIRRR ACHE_80388A MTSLLSWFRRIYSLDTLDTRFTTSATTPLKATADTRSATNKDAR ANAIASSAAPAKWRTPEFYVYYVVFLVCVPLMFKTVVDVSRKTHPTYSTYSHLLEPGW IPGRNVDNSDAQYASFRENIPYLLLLLVLHPLLRLVYEAYLRRSDANRDGYQNTTAAA GDARMEQRIRFDYFFALVFITGLHGISAIKVLAILYVNYKIARYLPRAQIPAATWIFN ICTLFANELCGGYPLERVARILMGSEVTGGSEPALVVWAQSLDSFGGLMPRWEILFNI TVLRLISFNMDYYWSLDYPSTSPVEKKQLDPSTLSERDRVNIPADPSAFNARNYVAYT LYSPLYLTGPIITFNDYISQQRYAPPSLTRTRTILYGTRFLLTLLSMELILHYIYVVA ISKASPNWSLYTPAQLSMMAYFNLHIIWLKLLIPWRFFRLWALVDGIDPPENMTRCVS NNYSAFAFWRAWHRSFNRWIVRYLYVPLGGGGGGTNNTNNRNPNSTASSGFKSKAQRI FNFLVVFTFVALWHDINLRLLMWGWLITLFVLPEVLATLAFPANRWRSRPNTYRVICG IGAVGNILMMMIANLVGFAVGIDGMKGLLEGIMGSYSGLVYLVAACGALFVGVQVMFE IREEELRAGVRLKC ACHE_80389S MISRASRSHFQRDTESKLENTQLRTNPSCLPNEYVFQSLYLNLT CTRQDVILVLTETCYEDCIQSPRHSSRQASAHVMFLIYSACIHINIKLTGVGYRDFTQ KRAAEFGLKGWVKNTTDGLVEGEAQGDQDSVQKLIQELGKGSRLAHVTKLQKKDITPE EGESHYAVRRTSDSVVNFE ACHE_80390S MMNSLTATAPIRIASFPKPYLRLAVRSYTNAAATTGLRYAPLAA KKAQQQTHGKKGISSTPYKTQEYFPPPKASHIQEVDSSWSHPVYTYDQMNKVTVAHRE AKDWADWVALGTVRLLRWGMDLVTGYRHPGLGEENLPKFKMTERKWLTRFVFLESVAG VPGMVGGMLRHLRSLRRMKRDNGWIETLLEEAHNERMHLLTFLKLAEPGMFMRLMVLG AQGVFFNGFFISYLISPRICHRFVGYLEEEAVVTYSRAVKEIETGALPDWAQMEAPEI AVQYWKMPEGHRTMRDLLLYVRADEAKHREVNHTLGNLNQGADPNPYTSTYKDPSKAH PSKGIDKLKPTGWEREEVI ACHE_80391S MLIGILRKTPATSFLMATRGGHFDLVKTCLKMGMGSNDQTEEGL REALERGHCDTVELLLDEETDSVTLTTQIPSLILRAAAGGNLAMANVFLAKQEVNPTA KRGTWVCSLEWEG ACHE_80392S MDESTDTEPFPVLARPGSPSPDILPTPAISSCPSPDRTFSTVSS HSAYSASSATSAVSVGSRRRGYIRPQGAEFADSAKHRESVMSLGSIAHLQYYFARTGL LDGKGGQAREFKKKKKSPDDTPKLLLTPNARYIPDDLSESPTDDESFEPTEDGFDDDG EVMLPPTVSTYSIKTYHIPPPPDLPALRKDLLDAVQRAEREIEDIGSQKKPPPGFNPP RISLSPDVDSEDESRQEPPFPRPQAWHEIQGMRILDVVTLAIRAARIYYTAHERPELL ATVKSEREIRQALFHVLELLKRWASRNFSGGLREDERTAIMGWMSDVRTMLARERELE DAETKEREGWTWAHGDWTGREGEREEAFLRSLMGTDTPLPTWTSTDGASLPTPLLERL RDGRDLVRMHNRAIKKSKRPFCEIKSYHQDIGKPYRCAENLRFWLKAAEIRFETKLEM DVMGVVYGSSDEAWKQFNSTLLSWCKVVREELTRDWWPPSGENVTTTVYPN ACHE_80393S MSMRALFSGSTSSPATAKTPPPPPPPIPTSSANTTTVAAAAVTP TANTFSPGSSPSTPAVSISSPDDSFPRRIKSKSSLRSIRSLGSSHHDDEQPQQQQQPW DSPEQTPASLDKTLVRPSFLRRLSPGLAARVKQLDGSNKSATSSRNSPSTIGRIPQEH IKELDSLHQNLSNNHNHNKGKKSTSSLGDSLMEDPVHEPESTEAVFSEHAESLPQPRP RLLAARSEPSEETHPSVADEAEHDPPAAMAVAEPLPEPVAPEPEANGSNEEQTDFEKY IQSTSENETKPAPPAPSRDSPAPSSSASSNTQSYFNPHGLHRTESIYSFSRASFSNQL SQLTSISLPQPSTLETTIMQIPNAPKAVRALTGASEQIQIWIRKASDVLSGMDAEDDV EWAAAGGREGLDGVDNAIKRFEGLVKIYVKAIEEVQLREDINDVDPQGLKTIVTQMDT ILQSWAQIKSRLKGVKEQVELAMEWEELWSTVLGDVGMEVENLSRLIFEMEEKRHWTM SGADQESHGGLDINELETIVEETPSGGNMANNKRFSTGPIYAAPPTLDTPLIQTPQDD TNHSNLMALFARMQPLRASLDFLPMRMSMFQSRAERIFPSACEELEERRNQLENSYKV LEADAEAVRKELSEDRWILVFRNAGNQAQKMFESVERSIAKLQEGLEAGAPANNPAGL TKRIENYEAKKLHYVSAIERVTTIVQKGVSDRLTVNGETLRLLSDMKARTDALKASIK VMDATLEDINFVKTQQLRDSISSIVTMDSPATNSIPDTTPGSSPASSVVLSGSATTPM GSSSRRGSSVGSVARTTMSKVRRMSGLPQPAPTTAGKKTAIPKPSLAAPQPNVAATPT PASRRQSLRPTPSPLNNRPRWTSSTNTRDLDVGHTYKPFSSNNPANRKSSAPTGRTSR PSSTIPFSPSRRDFSASPAPPRSVSRFSSRFSRSPARAESPTPRSILDPPPYSKLRKP SGQSEAEYVNAPRNRQSFAGSFSRSVSRDPGATRLSSPTKGNRPGTSLGHSGNRRISL LPLPTPRSGRDSAAGSRSRLEQRPPWR ACHE_80394A MPALLEDPQNHIPPPATALKQAPLLKPRQTTLPKASNAPVTLYP ITNGPDCLPADLIKYLHAEFSAEIERGATYPMEEPMELEKFAGYWFGTFAVVALLGEE GKIREGRDWKRECLGTFYIKPNYPGRCSHVCNAGFLTTNAARNKGVGIVMGETYLEYA PKLGYKYSVFNLVFENNTASVKIWEKLGFKVIGRVPGCARLANSEELVDALIIGRELV ACHE_80395S MSEKQGLLPPPPPPPPPSPPSNPSTKISPYVQNLLSAPPSYLSQ LPNQSPMPPPRQAHEEPILWPACIEGYECKLWGQYPALLDVQEPSSGPASVIEGAAYR VQTVKDGARLAEYETGSYRAKACVIRYTDGQEPKEEMGHAFKFVGDEREISEGEFDMR VWLRMMGRRGAVERLDEKRVGGTGTGSG ACHE_80396A MYHIELLPNSTSHITPGWTYVPDRGFDPAKAAITPAIGRKRGIR DPGGRGDLSSRENNAIIRHLAELDRENHRDVQIPIPVKQKEPAGRGTRGKTTTNTRRI LQSQKTFRNHLDDEEAHLSQTAQTTASSAPPRLSVSSKMTKPSARRSSTPMTTPKAES TAKQRKQSSATEQQQQQQQQPQQSETPAETPKPDTPAEPIADTETEPPAKKQRLIPSE YDNDPLLRSRIPSAPSERIMQALLSEPPLSYNAARAGPPVTKKAPRFFCCICGYWGKI RCRNCHVRMCGLGCYKVHEDSRCGAFL ACHE_80397A MLPRTPILSLLRNAAKPVTAPTPARYFSTSPALKNTGSTLPAKK PVGAFRGGVLGFLAGSVTAGTLVYYYILQEYRTANEMLSEDIYVCSPLSLFLRGSAFS HSPYPERGCPVFWSTDGFSF ACHE_80398A MSLDPSAFPRSISPASSDSSLTRSRLRGKEDDLKKNKNYRRYAS SVERALSLFDTALQEWADYISFLSRLLKALQAHPPELPVVPHKVLVSKRLSQCLNPTL PSGVHQKALEVYTYVFGLIKSEGLSHDLPLYLPGIAPTLTFASLTVRPLFLSLVETYM CPLEPWAIRPALKAILLALLPGLEEETSDDFDPTLRLINKFRDVAGQMDTQKSEAETG VNGQYFWQCLFLASITSPSRRSGVLAYLNRYLPKLGVTGRRPSVAETGDSVDMSPDML AAANSVIHPEPGLLIRCFASGLSDDQVLVQRNFLDLLVTHLPLSSPVLQSRITKDDLQ RLIIAAVGVVARRDMSLNRRLWAWLLGPEPANERLSFEGRNSISDNPKQVPDSREITQ SEYFNRFGLQSLVKGLLGMIEKDLRSPSERSRPFRMSLSLMDRWEVGGYIVPTVFLPI MKNVQAFETTAPKGHFDEVFRSASAFFDGVESGAIFSELLELVDWKAGDLENQQDKAL ANLKLANFILENFNVREEDMVLVHVPLLALSVLVKMNQLSALPGNRPMTRSQRQSTSS LLIRTINNLVGLLIERAFLNKSSHERRVSNAKQTSGAGHEDILNRIHAFYDKSKNSLD LPQLPFLPKQMSELIVREAHELAISSLESHENIFPIQERVNLLIALLKKVPKSRVLRD RRFYLAVCNRMQMNQDGATPASFSIVSSVTSALTSLYFIHSPGYYVSYEDISDLIPIL VTQLWRFLSPSSPKFHVEAVRCLWHLHSVSWQDHLVEASITSLMIGSLQSLSYHVSSE EQAGRYFVLWNHSHHGTYELPPKQVNDVGKSSFSYHSSILERPLFIILDLLSQGASEA SQAVQMWLHDLPSIHKVFRIVISKLDALFLRAAHNAIEGQNLAVSADDYKECNYLIQT ISEIISALSPNAWMTLLHHTLDDKNNNASSEEYTEGKNVHSTIFNATLKVVGGQRPSG SQPNAEEVRLQQTSLSVMRQLLLGPGAENLADSGVDTFLVEQLVLVLERGGSVVVQGA IIDALLAALKVRFAQAYLPLPPPRPKHQRTNSRDRLTSPSLLSFTSDKADKGHSAPAL PQPPEQLMECLLKGISSPNSREILDKWILLLCEVLVPLYSSSMFHILMKLVECFCKEI KSSYENLQVAFKQTENWPEDRAEHVTIALLTGLETCIGAAHDRLLVEESHPPITKSPD ASQGFFGNMVSGVFTSDASQTRTSAANNRLTVLLCFQDAVRLCFSIWSWGASERNGPP HDSESMASFQYTSLRMRNRSRRILEHLFTAEALECLETLVEMWTKSDAGNSSLIFDLL HTLDGSRPKIAIPAIFNAIYTRTNPNALDPSRKSALASNITENDLAAFMVTYARSLDD DVLDEIWADCTTFLRDVLSNPFPHRQILPRLVEFAAILGTKLENTNFGDNRRMRKELG DVLLRLLTAVFTSKPFSSDSGHTRKISRDYDGISATNVGPDDMLSILAISMPAFTTTL GDPDRISTATTGISSNVIGPILRSRLFPNNVNRSVLILLQHIAKVPAAAKSWKKDIAD AFNDHRFFSSQVDLVKDGWMNLLRQWVIADKDRISELLARLPPPSTAGLMFGVGASAA RLEADRKAQLNLRRTALLILCANDDYFAGELPGLLHKLEDLLAATSSSSPSSATRAEI FMVLRALALKTSTTTLIPFWPLINTELQEALSAVPAGQNQELYNPYSMLQACKLLDTM LVLAPDDFQLLEWLFVTDTIDAVYPPERWEPIALADEVSQSLSAQSASSPTSPVDATE FNNGTRQPWLTSDWIRETAKDEIVERVLRPFFDRLSIHAFESTYGMSSPDLDVCRDDL LADLFNESTMAN ACHE_80399S MDRHVQRQALSGDDGNDPKKNPTGSHPSIVSVKSKSRDPSVSSE EQRPPLPPRPNTLNLLDERQTAQSNLQAKATTAVSLTDIESQQIPDTARENVPNRGLP ETLRARTSLSQIGSSKASETGDSMSIRSSIPNTDMGEVENVFNDFIATEPGAVHQDST GLLLFPEFRADDVEDDFVSEFESVGEVDEHRENEDLILEKWKAKKKHYLILSAAGKPI WTRHGDGGLISTYIGVIQTIISFYEDAKDPLSSFTAGDTKFLILTKGPLYLVAISQLL ESDNQLRLQLEALYMQILSTLTLPSLTHLFSVRPSTDLKRPLQGTDTLLSTLADSFTK GSPTTLLSALECLKIRKAHRQTINNALLKTKVNSLLYGLVVAGGRLVSVVRPKKHSLH PGDLQLLFNMIFEAEGVKAGGGESWIPVCLPGFNSTGYLYMYVSFLDLRDETSNAPDE DTTKEESVAIILISTNKESFFELQEMRNALVEQLEKTKSIKIIKAAVDKGRPNITDII PGTVLHHFLYKSKANVQFTMSSFDPDFSTISRRRRLMSTYNNLHASIHAKHTQVKVHH CVSQSATSFAWVTPMFELYCVAGPNANRNALAQSASKIVQWVQKEEDRLFIIGGAIF ACHE_80400A MNMAAKLVERNFHNVPGKLRVAELFFDVPLDYSKPTEGTLRLFA RSARRLSNPTEPEKNGDKQLPWMVYLQGGPGMGCRPPQDYAWIGPVVDKGYQVLLLDQ RGTGLSSTVTADTLARQGNAIRQAEYLKKFRADNIVRDCEAIRRCLMADYPEDKRKWS VIGQSFGGFCAVTYLSMFPDGLTEAFLCGGVPPNVNSPEAVYARTYEKVTERNKAYYA KYPEDAVRVKQIVQYLQENQVSVSSGTLTPARIQQLGIMFGMHGGLDSIHDLILRASN DLNIFGFLTRPTLNAIDGYGGFDNNVIYAVLHESIYCQGQASKWTADRQRAEIPYFQI YLNKNQIYFTGEMIYKDMFESYTELNQLREVADILASTDDWPALYDYNQLANNEVPVY AASYVDDMYVHLEHSDNMAQKIKNCKRFITNTMYHDALRSKSDELMRQLFALRDDSLD ACHE_80401S MVEKYGYTAQGGESLEILIQNAALSRQKSGVLPNEHDLEHARSS LPRTLSSDGVGYDKTIEHIIEDLVPAFNNSSLSSNYYGFVTGGVTPAALFADNIVSMF DQNVQVHLKEHSIATDIEYYALGLLVDLLRLDRQSWHNGTFTTGATASNILGLGCGRE FALRTAGERKGASVTSIGEYGLFEVMQAAGLSGVQVLSTLPHSSLAKAAAVLGIGRVN VKNVPLEDNTLRFDMRQLETELTRPGKVSIVSVSCGEVNTGRFATNGIEDMQQLRRLC DTYGAWLHVDGAFGIQCRILDDSVEFASLKAGCEGIELADSIAGDGHKMLNVPYDCGF FLCRHGKEAANVFQNANAAYLAFGATQDIQSPLNIGIENSRRFRALPVYASLLSLGRT GYQDMLQRQIRLARRVAGWLFDHAEYTVFPETSSKDELLKHTYMVVLFCAKRDHLNRE LSAKINATLKLFVSGTAWQGKPACRIAIATYMVDEERDFGLVTDVLDKIGAETKT ACHE_80402A MGKKDKKKSAEHKERVAAKQNKKADKKEKKGKTKGRGDADSDAE EADLDAILAQYAEEQAKFLKVTEVVSGPPTPRSSATVLASPSNRNELLVYGGEYFDGT IATFFNNLFIYLIDRGEWREVTSPNSPLPRSGHAWCRGGNTGGVYLFGGEFSSPKQGT FYHYNDFWYLDTTTREWTRLETKGKGPPARSGHRMTYYKNYIILFGGFQDTSQQTKYL QDLWIYDCARFTWFNLQPTQKPDPRSSFSFLPHESGAVLYGGYSRVKAAAGGKQIKNG PQRMTMKPMVHQDTWFLRITPPESDASASTPPTVRWERRKKPANSPNPPRAGSTMAYH KGRGIMFGGVHDVEMSEEGIDSEFFDSLFAWNTDRNRFFQLTLRRPRAPGKKQAANQM KAKNRSKADEEELLQNLKALEAKGGIRDDDDDEPQLHTPKSAEEEEPEKPEKQAVVRF EMPHRRFNAQLAVQDDTLFIFSGMFEKGDKEFTFNDMYSIDLVKLDGVKEIFYNEPEN WNLLNEEEEDSDQDMDDDDEEEEVDVEEEDSMSLSLDAASPALTEVTMPSVTREMEQL EVEESEPSANDSRPLPRPFESLREFFTRTSEEWQKILIDTINEKGIEIEKNVKELRKD AFNMAEEKWWDSREEVMALEDEQEAAGIGEVVSMADRVDNMGGAGRRR ACHE_80403S MGEAEDCFTRTMGSPSFPAFEADEFDLDANDEDMLEVAGQFEGN RSPAIDDHASHGRKVFGETSGNATRAPSPDKSPVQTALWNQHSWSMDVKNVLKDRFHL RGFRMNQLEAIDATLSGKDTFVLMPTGGGKSLCYQLPSVVTSGTTRGVTIVISPLLSL MQDQVDQLQRLKIKAILLNKDTKKEERTQVLSTLARAGADEHIQLLYITPEMMNKNQV LNRILESLHSRQRLARIVIDEAHCVSQWGHDFRPDYKELGQIRNQLPGVPMMALTATA TENVKVDVIHNLKMEGCRVFTQSFNRPNLTYEVRPKPKGAELLNSIESIIKTSYRNQS GIVYCLSRKVCEKVAEALQERGIRAAFYHAGIETAKKAEVQQRWQSGRYNVIVATIAF GMGIDKPDVRFVIHHSIPKSLEGYYQETGRAGRDGKRSGCYLYYSYKDTSMINRMIDS SDGDWAQKERQRQMLRNVVQYSENKSDCRRVQILAYFNEYFRREHCNKSCDNCKSDSV FETRDFSEYASSAIKLVRHFQETLNENVTLQYCVNIFRGSVKRPRSPEHRQIPWNGAG SALELAEAERLFQRLLSEGAFREHNVVNASSFATQYLKVGRRAAEFESGRRDLKLQVR LSPSKAARSAAGTRPGGGNDDHPMSTNVSSPVQSASHRRLAKFRHRASEDEDSGSEDS DGFERIRIAGKPRQEKKQSLGPPITDDGDFSRLEQLHQAVAEDFMYFAKQTCQQIMME KGLRNQPFTDGILRKIAIRLPKDLSELAAIPGIDTDKVARYGNKILEYAKNTRRRLVE LKKDGEDADGVVADPNHTNVINLDSDNEFGGDDIFEDQTSSVFDLDESNTVSSRFFSE QPPLAPDSSDEYHDAVSEQSGPKSRKRQSGKRTRRKTPSGSGFKAKGSRSKASKSGDS LSNRPSSSRKPTKAKSSNSAPRIPIMPT ACHE_80404A MDISKETITKIQHFSERRQKAEEEYDQQQLDQTAIQEFNQKLDA TLKELQNQVERQDGELKLFSNTFDLSSIGTDPQSRLSQVRRAKKAYDSLLGSEHELPT PGTPLPSLLAIEEISRLVKESKVSVSMTAKKLSTSRQRLKTEEANLRDAQAIRKGLEE RIAKIRNEKSRKKEKSPSQLAEELIEQKRARNKVLDKDADKLRASLHDFVDEQLAAML AAEGLGGPTVGDALEIPDTTLEAGYTNHGKPKKPRAWNTDQDGGQQRIDQLMRRQSGQ EDGHRSSPSNRREAAAAEMHTLLDSLIDADTLYIDLPRESAASRFLVRAKVAQFHPRD ARRLRLIDFGRSLSD ACHE_80405S MSASPLQSTKRPLEDPSSPSGPNDQPEAKRPALDKVVKGDETEV ASADGQGDTVVPDAPNGKGASSETQPIQSTASHAERAGSQSDNRPQDESSWIHIRAVI SSQEAATVIGKGGENVSQIRRLSGAKCTVSDYSRGAVERILTVSGPQDAVAKAFGLII RTLNNEPLDAPSTAQSKTYPLRLLIPHILIGSIIGKAGVRIREIQEASGARLNASDAC LPLSTERSLVILGVADAVHIATYYVAVTLVEQLTERFGGPAASAYATRSGGPAGAVPG GMQVVPYVPQPAGGQYGHPDTFRRHHPHPNRAAAGAYGVPYMHGQPAPTPVAQPMPYG PTPVPTAAAPHAAYAGAGPQGPTPYGPQPATARGAPTPAAPVGGVMPGQPLTQQIYIP NDMVGAIIGKGGAKINEIRHLSGSVIKINEPQESSNERLVTITGTQECNQMALYMLYS RLESEKHRV ACHE_80406A MSQTAEFIFFKLKPTVKPETPNEEGNELLKLFSATKQQSGYHSS AWGRTIEDESVVAWVIVWTDARGASHSAQLTPFLEPKTTPTTLYTTLVPSITDTETLT TNPVTELVALSFPTSLSPDEHSALYQNLIDFRSALTEKLAEGQRPVSWTMGHVDRPGD VEHTASPSGKAFVHFLAVGWESVEKHMAVRETKEFTETIQPIRQKALSSIEGLKMKHV SFKKI ACHE_80407A MTFNPSGNIELGSELSDVFTDDVGFKGVSGDSNVQLLPTPWPSD ALPAPFCSLLAIASTKGVVVGAGPDSLAVASSESVRKAISAPTGEEKIKTKQFQPQFT IPLPGRPTHVAFASGDSALVLTTENGAQLSVFETSGLLQGNAQPALSVPTNGATFRAV VPNPSPAGDAHSTLVALVTVNGELLMADLKGGNLVAGPNGPVLKNGVSSVCWSNKGKQ LVAGLADGTGYQMAPDGAQKDVIPRPPDLEGECHISSIAWLENDIFLMVYTPNAAEDD MGQNPPSSYYIITRRKQGPFLIQKMPELCGPFGFKRTPAYQFIARLRDYKPHLKDVLV ISSTASTDVGLITRADKALSNDESAQGTVNLFTTTEVSDDVKRASLPLKDSVEETSVI GLGIDLTSTEKVVSPIQGEDILESSTPLPNILLLNNDGILCSWWFIYSDAIRQNIPYQ GLAPAGSQQQAAPLQPQPQAQPQPTAQPAFGQSGFGSPSALSANPFGKPSGTPGFGSP SPLGGGSQGSAFGKPSFGTPSGIGGNPFGQPSAIGQGTPQFGKTGLGSTPTFGQPSGF GQPSSFGQPSTPGKSLGSLGITASSGGGFGSFANAGAGGGFASFAAAKPGESPFGKPA AASPFGQTASQSPFASAAGASSFGKLATGESPFAQLSGPSAFGQPDTKTAFPPPSTDA AKGPMGLGTGGFQLGSTFKGDGTAVNDAPKPEKPSGGGMFNLGTSFDEMVSAPDKTSP PAESMDDRDDVPAEQPEPAKPAALQPAPSLFGQPSAPAAPSIFNAPKPSEPAPAQTSK SPFSLFGPGVTQNKPASPSPFSPLSEKTATPKKESPKETPVGTPAGEPPLPPDPTSRA VYGPGDTSASSNVSKDSADEAPLPPDFAAKPKPTPKEEAPLPPDFSAKPKASEIKKEA EEAPLPPEPATPASVAGPAEGAEESPIPDESDADEEEEAGSEREGDEEDEEDEEDEEE QRGEEEEEGEEEGDEEGEESDFADSGEDVTHDISQTDFQSPKASLESSFEEKSPAGGL FTRISRPDEQKPLSKGLFGEINKQPFFPPRSQAKIGSPRSPSPMRAGAHKGPSKLDSY KPSGPPKGPGDALASRKAALKESNQRRPNAAELAAREEQARLQAEAQRQEEEAQALSD DDEDERLRADLAQPLEPVPTLDPFLPHHDYSGETAKPGIPGQIERLYRDINSMVDTLG INARSLASFLLYQKEPQDDSNWIETLKGDNPAAVLDEKLPLSQIEELDNAVVMLAGSL QQQRVQGVEKKLDSCRELLGKDIVALRRQCASIRKTLDAHVDTAAILSAPLSAEQANL QQDLRTASTDLQARLADLEGGVSLLRAKIADAPRADGASPAKRPTVEAVTSTIATMMN MAESKSGDIDVLEVQLKKLGIDTTAASASRSREGSPFTTPRKGMRNFPTTPGSRGSLD GSFSAYHTPDSASRGVNFRSSINGSARVSRLRNVEGVDAGAGDLVGKQESERWKAKNT RRQHLVRNLKKAIGEKKEKVRGVEDM ACHE_80408S MAGFDFSNHNRNVALHARGVPLPKATSTGTTIVGCCYDGGVVIA ADTRATSGPIVADKNCEKLHYIAPKIWCAGAGTAADTEFTTALISSNIELHSLSTGRP PRVITCMTMLKQHLFRYQGHIGAYLVVAGVDPTGVGLFTVHAHGSTDKLPYVTMGSGS LAAMSVFESMWKPNLSREDAINLSAEAIKAGIFNDLGSGSNVDVCVIQQDGPTQLLRN YMKPNERGEKERNYRFPKGTTAWLNQKVISKEDMKKYVVVEEVSGDKDLAMDVDS ACHE_80409A MSFGRHAYRHASYSVTAPFITDHVWISDDFLATTFRRFANGGSA GRKQQRRYESRAPGPLEARRRLAKRRNTALASIAGSGPLGDISCLFGRNGREHMKWTY TRGRNGEEAQARVEQGQGMQPSASLGDGFPFYEKNTGPSGRGGWPVEVGINDSAAREK YLEEYLESNWSTTAIKGVLNELDINLQREPAYSRKIFSYLLAKSVHTRAVDQSLFRFL DDLTLNIRGAGNYTAVVEHLARHEIRLNQRSGCLSAIARALELGIIPPDEVRAIVKSI PKIRGAKLDPKYMIEWYRQMWDAIGRCDVFTHGDLDAETVDAWLEILEQRHSAAGMDL ALEIILATHGSDSSCSWVPKFIMGWLDLQIKTGSEADGTFVAELLNHFNPDVASEYMI RVTESLTSSEKDHHRMLLLERWQDCLLELQDVRSFICSPVWLDLNPQNAATPNNNVPE ISTDHQIILRLWVLRTLSEHFGPPWKRSKHVDAPITQLFDQYEYITKQARDGDFLSKL MKDIHDLDIPFSGLMMLAVDMKVRKNMTKATRKALQRLERSRVSFADLFTDLHTYNSS KSLFFSSFEQTVCQVDVSSLAFTNHVVHLAKTGDSKSVWTLIRLLRAHTPLKVSLAKS WPVSDSPEQPNIIPNTTSKPQPNLPNPHDALEMIHILAIVLSCSRNLTPRRSFHLIHW LYNFLMTHNAPVKPSLVRAMYHAGIIRYQREGLRVASTRYLYIWELVKKFEDAEVAKE LMEGPRYGVSRIGVEEDE ACHE_80410A MDDGTIDTMRVVRSLDEIEELIADGKLIINHIAYLYPNDEQELD RLDMQHHMFKLINEGRLFFAPVENPQRILDIGTGSGIWPMEMAPIFPQAEIIGTDLSP VQPTEVPENVHFLVDDATEDDWLWENDHFDFIHISHLTGSLPSYKALLRKAMRYLKPG GYIECHELDPKPRCDDGTMPPENPDGFCDYALHDWFDLSVRSGQVSDPPRQFRVAPRM AQWMNDLGFVDVQSRKSKLPTNPWPSDPHLSNIGSWSETNWLEALSGWSYKPLMVLGW SKPEIEVFLVDVRKSIQNRDIHSYTEFHVVTGRKPLPGEQGQRS ACHE_80411A MSPSSQSIAVVTGGAGDIGRSIAAHLSTTHDLVYIVDIDKQFLN TALNSKELAGNEKIQSFTCDIAEETYVRSMGAFIQSQGQVRTLVNNAGGTNVGSLDKM SSQRWRAEFAVNLDATYLCFKAFAESIKETRGSVVNITSVNALSVFGNPAYSAAKAAL INFTKSIAVEYGKFGVRANAVAPGTVFTKAWEAKARQGNDLFEKIKEWYPLERSIRPE DVANAVTFLASDQAAAITGVCLPVDCGLLAGQPSVARAFAQSEDY ACHE_80412A MAFTNPALATPANCIADFSLIPIGAQNVSFAQQIADIQRLMQQS GLKYQMHATGTTIEGPWDQVSQIIGFAHTLIHQQGIVRIQTDIRITTRTDKVQPMESN YASVERALSTTAAAAT ACHE_80413A MLSQSLKLSRSLPLARPVLPCTGRRFFAQVSDVRIPTEDDKPFN VPLPEDSFETYHLDPPPYSIETTKSQLKKLYYDMTVIRRMEIAADSLYKDRKIRGFCH LSTGQEGVAVGIEHGITKDDKLITAYRSHGFTLMRGGTIKSIIGELLGRQGGISYGKG GSMHMFCKNFFGGNGIVGASVPVGTGIAFAQQYDENPTITFNLYGDGAANQGQVHESY NMAKLWELPVVFGCENNKYGMGTSVERASAMTEYYKRGQYIPGLRINAMDVLAVLAST RFAKEYVQSGKGPLVYEYLTYRYAGHSMSDPGIAYRTREELQAHRANDPITNFRDKLI DWGVFTEDEAKAVDKDVRGLINKEVEDALNMPDPPNTFDALYQDIYVRGSEPRQRRGR TVDETYYQG ACHE_80414S MLCSNSGMVRRAVSAYQNRTGAARGRQSRRQQQDDGIPDVYREM LAEAEAREYQEAKTGRPGKRRKVGERAASSSRPEPARQEVNPPETSEDVGKQIQTAYD STASEESDMEWEEVDIQQAPSSSVQVDPYSANEPLQITLDQQKDTGKRIVSRRKPVTG AEKKLRLDVHKAHLLCLLGHVHIRNLWCNDELVQGFLKQMLHRKVKDLLNPAEDKPQY TRSITFIDGLNQASEAFARRFKVTKPGLQRAHWADDSNSLKQRVEAILSNAEVMLSQD DFRRQAETLQGSRDFGAQLFCALLRSVAVEARLVCSLQPLPFSSATTTGPKKSESQSI MISIDDNEADEQSQSDATPNPTPPRRRLGQPQFAPSSSKAPKTSVRTGPCPSLKASSY PVFWVEAYNEAVQKWIPVDPLVTKSIAKSSKFEPPASDQYNVMSYVVAFEEDASARDV TRRYAKAFNAKTRKLRVESTWNGERWWARALRVYEKPFLEDRDEAEVSELTAKVASEP MPRNVQDFKDHPIYALERHLRRNEVIHPKRVTGQVSLGKSGSKSQKLEPVYRRTDVHA CRSADGWYRLGRDIKIGEQPLKRVRANRNKLRINDDGENDTTEVPVYAHFQTNVYKPP PVVQGKIPKNIYGNLDIYTPTMVPPGGVHINHPAAPQAAKILGIDYADAVTGFDFKGR HGTAVIRGAIIASEYHEALEEVLNGLEHERLQAEQEVKSAEALGMWKHFLLKLQIAER VKSYAVEGEEDEGEPDIDADDASYGEFEGGGGFFPEPDQAVSSPRPICTFRNTMEQDL PVCEDRGFELEPAFEDDEVLGGGFIPEEAPQDTTEPQPLIAANSVGDTEYTNTAHRKE SSHFNLVVVSHSSIGNVDSYDKICTTTTGIASPIPEHREEILGQDVSKDIDNPPGAPV PTATDGSKAVSEEVAPRNTSPTYSRLSQEDNSSEHNDNEGGSLLSEDPEDEDAIPEWL V ACHE_80415S MRRSNNPNSDAHTSPTEYQTPARTSTTAPTSSRPSNSKSITTVR RTQNQTPIAPSLSRSNSSCKNNTFSSSLGRQQSTLTQIDWVATRRHPDSEDDDLDDNR LDYIDAEPNAQHDDTSNTNTSTNTKTNARDVIEISDDSGNDADYQRAPSSRTRPARDI RFGQKPMMKADTSRRRRSTPKPNSAEKGSGRRKSGGSVRGSNKKGKQPKERDKTLTQM DYVRRYLKIEPDDDVQLEYTYYSPKKDRDPGSREAHRRSAEEAALQSTKQDEKDGIKR RKLTEELESKDDSNLEGIRSGRQLSRGLVTPKKTIKIEIPSSQSPNSPELVLVSPSQF RGVNRFPLKQTSVDRLVKEEQLSSAERKVQHDLDRASSSPGRTSLPDSPASPIPRNNT PSDDEDDPDTTPRIHRPNTQRTVVYETDAESDCGETQDDLSVPPSSQKPKMVNIGGDP IEDIQSPQRYESQELPSPVIPSGPDNETGPSYPDLSSDASICYRRPHHSTQYPVEPIP LMDTQALAELFPQEKENSAQQILTDTTQTQSSPAVQRHPLRTHPQLTQTQTQTQSQSQ STSQDLKTSTEIVPESSPVTRQDSNPSMPQESVVQVESSQPADRFLRNVGIEQDSGSR GFVGNQLLSSSVLESIPMPPLWMGSQDSVGEPYSEPGNE ACHE_80417S MDFPYDHIQEEILAANDPSKKGAQGESSNNQQSNVDLNTELQET FRAFSASPWGARIGGLWDNVRKQGESYYEGAREEYAAVSEEAVKGLSDLRETIVGRTR GLSLSTGLASSSEGTEKEEKEKDEAPTPTAPKAEGGEGKDAEGESFFARFKTEAAKRF RELEKAEEAADEAILRFGMNIRQKLQDAVSIVPPESDSSKVLFESKDAEGKRVIHATR FEAQLHVIHSNLENFTKDPDSDQWPKFKESFNAEAKTGEIASDLEKYPELRSAMEKLV PEQVEYSQFWSRYYFLRFVIETEEQKRKELLKGANVNEEEEVGWDDDSEDESESDESE SPSTPQVKSSKANAATPTQGTPDPASDKKTLKPNEPRRSNDQQSQADSESSYDVVSGT TSRTPGSPKEKSPAADAKGDDSDDDWE ACHE_80416A MIELIAARGNTSLESAVSLTKSLRWDIQALGQRLARAAASEELI RKGGKSPGDRAQNDAEIRFIIKDTKRLLVRIEDAVPLMNLAITTSGAKLSTNLPSTVS PSRLLQASTFLTAGDTQYYMSPSQAIQIGPTFTLSMYMLFASHLRPHDEESVREATWK EVMHKARLKIRRVPIDSTTPSNAQESQFPAEARVDEYAYQILIIEDLDDGRVHTFEEN GPQPYSYDGVIQAGMREIFPIHQISKIFYADTGRLLNISTEGEVNNPVLLLKRDVNAV PPRRMMEREEADYGYLQDSDEESEEIDSVQAQLDAQLGASTRKTPYYNYSEDSIPEEW RLPQDLDPEWIAFEVYNEDESSDTESEAEVPSTPSKTDTVDPRQMANLSLDDREESPS LSQRRLSRQPSYHDTTTVSNPFFNNIRTSLSLLETLLRLTSLQQFQQQSHLSITDELL NFFLEESSTTGAGGDEQHRQRLRSEARRKVGWDPYDESPVKRRGEDYQYGTPVGGYGY SRESSEYYQPPFSPSERARGGFHLRSSEATPETPLQRRRSPSVQSDRRRLNVVRGGDE ALRRGSPLSRRSPTVPVDDESTVEKGGSGTAE ACHE_80418S MADQTPDSWEEELSRQTEGVNLNNRAQAPAFHPAAATFQPGAAS FVPGAPAFVPGQAYQGYGGGYPQYAQYGQYGGYPQQMYGQYGAGVYAQQPGAFSQGFN QQQQQFQQQPPQPQQPQQPRQAAAPSSPKPSNAAPPKAKVLSIGGASSSSSASAPKTK VLSIGTPDASSQPSASKDAEKSKGDTKGPAAADAAAKVMSSKAVDKTEKKAESKAAAS GKSSPTPSSGRSSPSRGESAKAARDAARDANAVALEQQADVDDATLKEIYGQKKEHVN IVFIGHVDAGKSTLGGSILYVTGMVDERTLDKYKRDAKEAGRETWYLSWALDLNPEER AKGKTVEVGRAHFKLDIPSPEGTIERDFSILDAPGHKAYVHHMIGGASQADVGVLVIS ARKGEYETGFEKGGQTREHALLARNTGVKKLVVAVNKMDDPSVEWSKARFDECTVKVS KFLEALGYKKDDLTFMPISAQRTLGIKERVPKELVPWYDGPSLLEYLGNMKMPERKIN APFMMPISAKYRDMGTVVEGRIESGVIKKNGNCIMMPNRTKVEIAALYGETEDEISTA TCGDQVRMRLRGVEEDDILPGFVLCSPKRPVHTVAAFEAKVRILELKSILTAGYNCVM HVHSAVEEVTIAQLLHKCEPGTGRKSKRPPPFASKGQTIIARIEVTSTAGAVCAERFE DYNQMGRFTLRDQGQTVAIGMITKLITNEDK ACHE_80419A MATQQPQPTQPATSYLDLGITLAIHAWPALSLAVQSLWGGPTSS DKRDWLCGAISDMLTERPETDAEDLEDVLIQVMNDEFDVVVDDESAGPVAAKIMEVKT QVQRGDVAGIQEMWENWQRKSQQKGNMTAGFKRGEDEDQDTDDEVDEEDGGDVDMGEA PALVRVPKEKVQREVDEDGFTKVVGKKR ACHE_80420A MDFPGGNITNVHVIDGFSSIHWRIYTEEPGITNHPSETPTNGHT ILKHLSRLKDLELRLRNLGCLVSCYPRRLGLWIFSPNSNFDSLAPLSQSDDGLNRLQV GSLTLKVSASGSVSSRELVRTLSTEPQAPNVNTAGSQRSQNVPNPPRRIEGYGTSAAI YASFISAVTGAISLQLIRRHGAIPLGSRTLFTAVEKDGYETRIANDSPIATPSLSTLH IQLTSGGKLTVALQTIAQHGMSRLQSPKNDPDEPRDVQPGLDIWLSPSGTVARLVSFS ASTQDSISPNASGSNGTSGLAAAKRTQWKQSVLEWLGNFGLPVDSHEEKLWIEVEVWE PFYSRLAGEIFRQSEEGPTAFPLKRILWPARYCFRRTKSIALDASYGKEDSCHLADSP LGLAEEWHVTRNFVHEEANSIAPSGGFQNQPMRDPESSPSKAEFPEPMESLSRIAQYP DLQATSLVYPTPPDGATAISSDVFADQPDPPLPQSQLGDQQKPMQASFKEQSDPDIPI GFGPSAGLTVGSGLYDTNGDDDLFGEMNDRDFGTRGITDADFSFFDDPGFEDMGGAPA TDHIQSSPNIAETNLEEYNEQPSSDVPLPDIHVPTTAEETNAPQTDVAEQEAEIPSTK QRTPKAHMSPPREENSQPISPPLSPVEIKKILFPGPDDGDQSTIKQERKQSHFTPVSF KQNVGDWNQKYGAEGRFWFSAPWTSTAMEPVNPPSDIPTIGLPRRSGKAAVKFDNAAK VTDEQDILPGGAKGSLHSTSDSSSVSSEDVDSEEVLSEREPSPVNLTRKRKRAQSNTS GSVAQALEHPLIENPQLVSANKAENSTFLGNLLSTFSDWSLLGYFSVSQNQHPPTISQ KEEQIQVAQLLVDQITQSSLDHVFDGKVGLSGLDNEMYPLRNVLEDTMFTDEVDRLDL KGYASLQHGSPSLPTSDGLTPRQVPQRKDAAKGVISKLLPPHLRIRRGKDYLEALPPA VSFWETFDLEPAHGPKDISAYCIHPRATADAADAFLERLGLLYSACNLGNHVRGDMCF ERGLGSWNTELSGSSHYRSTVQSLRDLCKELGDALSGSQSRTENFVVYVINPFTHAAA LADICAAFWQLFQKYIMGTDKQQVGKLNELVLQIIPISFVMSPESIVVPSQSDYLSLA LEVYSRCPPKDSESNLVHCAPPVLLTGPTPKAINFKLVPERLSPLQDGKSLHIACSRS LDQRWITVAWSDNTGALQRMMSYCLRYRDSGTARGISDVRNEIWGTTQTIMDRIQARW KIIIVNTEPVDQDELDSKYSHFPWGLPCVTNYSIAWKNLAEQHNKSKSIPLELMILHV NATTDFSLEPPSQLIPTSVFNAQASSTPVATPGPSAASPDQYGNATTPTSGGNAPMSA ATPDVQPESELDFFLTDIRDESWSVILSHRLNSSPHLTEYRPALASGYLLRRKGPTDG DGVFATNVNLIYAQRPTSSHEALLKEVLGMYHDLAILARAKGTRCVQQNTLPWHIATA VRAQELLSYVF ACHE_80421S MKLSNQSEVPVYTISGSNTARPLPEWLARRRKRSLKNDLEYANR VELLQDFEFEEASQCVRVSEDGEWVMSTGTYKPQIHTHYLPQLSLSWARHTVALNTTF ILLSSDYSKSLHLQSDRSLEFHTPSGCHHTMRLPRYGRDLAYDRHSTEALVPSVGVNP DGMGEVFRLNLELGRYMRSYEVDVGGDDFTSAGAGALQGGINTGAVNTAAIAEESHNL LAFGTTLGTVELWDPRAKGRAGILVPPTQFAPDEARNEITALEFHRSGLTLATGASNG LMHLYDLRSPVPILKKDQGYGFPIHTLKFLQPSTATREQTMDPKIMSADKKIIKIWDP RDGSPWTSVEPAVDINSVAWCKDSGMLLTANEGRQQHSFFIPQLGPAPKWCSFLDNLV EEMAEDPNDPNAFSTGQTGAVYDNFKFLTVPQLRTLNLDHLIGRTNLLRPYMHGYFVG QQLYDEARLITNPYIWEEERAKRVKDKIDKERESRIRGKKKANVKVNKKLAEKLMHVE EKNERKKAQRVLERGGDDEMVDASASAPTPAAAATSDAAPGKSLLADSRFSKLFEDED FAIDEHSHEFRMLNPSTVPEPAPRKERGLTAVEQEDADDVPGSSSDGSSSEEESYAPK KEPSSGKISTSSYKRNNKSRHPRMQVSSSTNVSSGRDRSFGSRAQNMQTKSKPSRRTT VVGEQEVSFMPQGKQRQKNNAAPESSGGDKSFNFRSKERRSASGNTFRKM ACHE_80422A MHQHPRSSAPTAPPRPNSSRSIDPPGSPTSDTRTNSSRGLGTES GSDSSEWTQKAAPPTKEVMAKLNQIISNYHTKAALIILHSRVELPPSFSKGSDTPKVN RWFNVELDDTDALKDQLRTWRFCDATENRPPPLIIETYLDTRNLTNNQSLVILDENGK RWDVLESLAISREAHPSKAPQTGSDDIILERWKVELGDTSSRLPADLGSILPTVYKKS IVLFRSLFAHSKFLPAWKLTKRNRKQRTNPALQIKYRILDWHDHTRKNSALDHLTVPL YETSGKVVDTHTFGTTESPAGPFSVEITYRTSCDFRVDDSEALLSSRFMGADDEIFRP SIPSEAMGKAEVGSVPIQKQLVGDPDPSRAYGSLSTFHHVGPTTGASPISALRMARDT GALSPSPAGSPSHPRPLSTAKISSAGRTAVPALTGEGGHARRSSMSFQPFKAPPLSAS PSLVDPSLGASPRSSASRLSFEPKNVPPTSVPMTTRKPMPANPENTIASSNSASPRPA SSSRYSSAFSHRRSRPSFGGSNKAEDEGSSGKASAASSAQPGSGLLAEPTGTSADSIH ADDENISEFLKMLDLRKDLMNPTSSAVHDAPSRRTTATTAALNRFQRMRDSNAALSDS MSSSLHLHRSSSSSSKQLSGVPPMVAGTSVSTASSPGKPVSPHTPHTPAIPSRLSSNS IVAYNEPEGDHQRFASDGHGSSLDDNNAGGDITQTNAIDIPRSPFLPAYTGSTDVGSG PTARTSVPEEDDDMPFPMRSVSAGDRPTHSLSAMQQNRSFGKISAVDDQPRRATHPSS LNDDSAVGNATGPYRDNASHRTALNLGPTATSSSSNNHMYLPRSTHPRGRGSSGGARS LSSGSGSLARGGIPHSFPERDHGDGNGSGSNSGSALETRRGSGQRPGSSRTNTQQTPV DEEEPLLFAMSDFGTSRRSLEEGRQGNHGSDPSGSTGSRRGSGRRGIGPPGFHVWS ACHE_80423S MSSKLWEFFLRDDVESFRRVLANAGYTSGDQRAPGGGGNGGTSS FKFGSPGAMIASSPGPSGKNKRLSGTSPGTPGADRGGGPRPGTTLSRADLNTRDLQGR TLLHLIASSPKPTAVDFASALLEIPLVDIYAQDGESGWTALHRALYAGNATIAQALLV RDLREATDFSKVGSTGHPNGGLIKMKDREGYSPFDVYGATIMSRDIKQFVSPATALDS TIATDLQGSDAASNAPSHDDQEDGDDIYAGRSALQPRVNLGADEIFTLGSNKNLNLGL GDQDDRQFPERVALERPEHLLQRFFREFREERSDDGHDMSDSSTDLPVLIKNKPVKFQ NMVMSKLHTAILTDDPESNLFMCGFGPGGRLGTGDESTRFSFACIETGGLVKKKVISI ALGQDHSLAITEQGEIFSWGSNKFGQLGYNLPRAQNRDDVPIQTTPRQIFNPFKKEII YGAAASAIHSVVFSSSGLYTFGKNEGQLGLVDSDARSLEAQTTPRRVGASLFSAGIQM VTAIDHATAVLLQNHEVWVFSQYGYSKITFPLDISSRFIRDSFMATRYDTSVNHIAKI TSGGSAICALSSSGDVFTVQVNKSENPPALTSTTNPSKIKNSLSSPTREWSVKRSHMA VRDVDVGQDGSIIICTNSGSAWRKEKRHKSKGALKDYKFVRIPGLSRVVGVRSNAFGA YAVAQRDCNVTREQIKIDQSTLWNDLLPLSPFDSLMTTVDAAQTTENDSLESGFGPVM SIKRTVLSIPDIESRFLSGQLDAPNGTVWLSSSQSEARISVHEIILTGRSPILRRAFH QFRQNYYFSIPDVLDLEYGHDGRSQIRFHDVDFLAVLNLAFFLYTDNTLDVWQLTRIS PEHTTKYRQVRTEVMRIGMHLELPTLERAARLMIEPTKALKTDMARAINDPSFFENAD VVVELSGGTRKVHSQVVCQRCPFFEALFHGRSGGKWLSLRCTDPNDAVHVDLKHIDRS VFDFVLRHIYADTEEQLFDEVRSESLEDFIDLVFDVAFTANELMIDRLAQVCQKMLGR FVHNRNVCYLLNIIAPCYVKELKDAALEYICLNLEDLLSNGLLQGLDEELLSELDSVC HDNQMACFPISRGRNSDDYIFEKYPELVALVERDKQRMIDSMKLQTRIGRVETRQMGH DKPGPPSASKAKAGPLREFANVARSPVLKSKQSTNDLIFEMDEEAVLSPGNSMKGKTP IRGPKQVDVTESPLLGSSLIEEESFGDRSFLDGQMASPQDTLLAESPSETRAGALQKE KNGVSLTPNMGTPAPWGSPMATGKKDLKDIMAETSGTRVSNLSLGMSKEARRESSNAA PKLSQKERKKLQQQQMQERLAAEQKAKEAAQNPWKLPSAPANKPDPPLGMNGQGPQPM KTPQRPAMTLRQTVAGTAPPSKLSAPVQTQSRSVSANVPTPSPLKSSAASGPSTTPIP FAPLPSNNTQPQPPAIQSVRHIPRPEPYQTSFHTPSANSLSLAAILMQQQTEKDEIHE AATAKHNLQEIQAEQEFQEWWDKESRRVQGLPDPEQPESSSAVQEGKDTRGGRGKGSS RNSNSNNNNKRRGGGAGRGKDGSGGGSGPRPASDASALTQQLNRHRSENNNRGKRQQP QQQQQNSHTPASQPRGHGGNRGGGGGRGARGRGGGRERARV ACHE_80424A MPNSNTTSSPVKRPGLGRRAVSSHAVVTRSTSSTTGDSSDPPHP QKSHVVHRHHHPRPHLVGHRYSHHHRNPSYGKNVNKLQRLTSGAEAGRHHQRKKSAPA TPAASPPRGGPHVRWEGEFEDPNPKASMKKNNSSPALRRYTSTGTVPTKKTLVTDRPR SSSGKKKTVGFEIGDSDNDDGEWEDTTQSPESTRRSSVAQSKDSVENAAALVDPLIFV KRPYPQIPRATSLPEPTSQSFAQEGQSTDEEEGQQPQHEEQENQGLHEQQELQPDNKQ HQEPGQVPEPSAIASRLISPALSAKAPPAMSSISAMAKPAAIDTAISRTASLQNLKAG QDGSRRTLPSPNPALATTPGNNTQATSSSIEGGVSRFIVNNNGASRADSDPNTPSSFL PHYHPQTPPSPGTTIPRKPRASPPSRPPGTEPPSRTQQKLWLQRTAALNTSPPDGHDT VSPSAIDGTFMASAHGRPGTAAYDAGRALVNGSARSGGPGHDNEAKQTRKAFEKTALE LAVVRRFQSPTADSFSRLRSVIQETRGPELANTALGQPVKSAPALPLLNDGHSSRGSG QDSSPEPRQLVGRRTRPSVSEADDSSVNDSGDRQKSQHPSQRILSTSDDTATGNPSTD DDAETNEYNDTEMMIRRMWDSRIVASSA ACHE_80425A MASWFSSTSPLDERIERATSSSLEDIALNLEISDLIRSKGVQPR EAMRALKRRLESRNPNVQLATLKLTDTCVKNGGTHFLAEIASREFMDNLVSLLKAEGA PLNSDVREKMLALIQDWAMAAQGRMDLAYVGHTHRKLEDEGFPFPPKSQISGSMLESS APPEWIDSDVCMRCRTPFSFMNRKHHCRNCGNVFDAQCSSKSLPLPHLGILQPVRVDD GCYARLTSKPFLPPNLSDRSSFKNNSITKSNAMEPRTARAESGFDDDLRRALEMSLEE AQNKGSAGYVPQAKLAGTQPPAAQTNVEEEEDADLKAAIEASLRDMEEHKKQHAAALK NRTTEPPAQDTPSAAPLPKNPYELSPVEAENIHLFATLVDRLQHQPPGTILREPQIQE LYDSIGSLRPKLARSYGETMSKHDTLLDLHGKLSTVVRYYDRMLEERLSNAYSQHSIG YGAVPPRQYPGVYPSMPPPPSGADGKVGLENFYYGQPTTEAPPPSATPYTQQPQFERG IPDGTGHTRSPSVYPQPPQSVAWNGTAYPPVASPPPSNPVQSPYLGQAAPAQYYTAPT LPGQEYTSRPPGEPETQYQPSPMVQHQESQYPQYPPAPSGYPQAPVPSAPEQPPSTDQ QSSGYPYREYTEAPPMPVSGQSAPLQQQQPQKAQQSYYYPPPPRHEPQQQAPVPSAYP LQSQAGTYSTGADVSPVSAPSTHYAPPAASRPPVEESLIEL ACHE_80426S MSSDAATLPSPPNFAPWASNNRPQSLVSGMYSSGGQSGRFYGNG SNDSSPTGGGPPRFPNIKDLQDQAASLDVNENTPLSILMKRAYEAIENARSLADKDKP DEAYVHYLRASEITVNIIPHHPDYKVMVNQTPGWYKQFADLMMAVRTKQGTMDEIKKQ IIQDNLTSNVKPLRESATNSPVPSGSSRGRQEESNNSSIRMPSPSEYQRSATQAKLNN NRFSAPAEDMLAQRFARLKATPSATNNQNAMAVGQNGALAMPAASGYVDDYPPRPSLN LSQMTAPYPSTSGPPRRPLGPRSMGSSNSVPKLPPKIPLDTSLPRAPDPAYSPIWTVP SQPPSNPPRTSTEISRANNSRYSHLVNSPSASPSRNSLYDPYRSQTPNGIHPAKSSTA DLPHRPAIEAQELLDYLREYNILLIDVRPRDQYDHGHIYAKSVICIEPVALKPNVSAE ELEERLVVSPEHEQPLFERRNEFDMVVYYDQSTDSVSYLAGSPVGTSAPHLRALHDTL YEFNAYKPLKDGRPPALLLGGLDAWIDLLGQQSLATSSTAVVIGSIQAKRPVRNPGRP LGRVPTVVSANSSLEVRKRRLREYKPLNPEELTAWMEKSKNEEIDTVQEEEEHLTEEP EEVDGVEEKPNSPPPPSPFVHTYEDFLRRFPEPHAIQESMTAPHTRPPAPPPLPSYAA PQVPPAPSRPPPAVPRPKYSGVSEGRQIQPPLERQNSANRTALYPSSLHRPKLPRTGL TNFGVTCYMNSTLQCLSATMTLSKFFNDNRFRMYVQKNWKGSQGVMPGLFANLVRSLW RGDVQVIVPTSFRNFCGRLNQEWAIDRQQDAKEFFDFLVDCLHEDLNINWQRTPLRPL TFAEEMQRERMPIPKVSRIEWDRYCHREESFISSLFAGQHASRLRCTTCQRTSTTYEA FYSISVEIPTSGTGDIYSCLRSYTQEEMLSGDEVWKCPYCKCERVATKKIIITRAPQI LVVHFKRFSASKTQSARKIHTPIDFPLYGLRMDPFVISSPPPPSSSSSPEPGQPTPTP TPTPMGATVPPFTYDAYGVLRHIGPSMGSGHYISLVRDAQRHCWRKFDDDRVTDFQPR ELRHKERLQNEQAYIVFYERVPAK ACHE_80427S MADKELTFAEVSEHNTKKDLYLVVHDKVYDCSSFVDEHPGGEEV LLDVGGQDSTEAFEDVGHSDEARELLDGMYVGKLKRMPGDPAPNTHSSSSSSSSGASS GSGLGLGLYAFLLLGGAVAYGAYQYLQNASAAENQQ ACHE_80428A MAAEASNVNGNKSLAAMLEEQHAKDAAHKATVEDVPDEEDIQHP PPSSLVNESKPDAPAAAPTPAQAAPAPTPKPAFKPAPRNAPALDVQSEELFPALGSGP KPKTPAAATWGAKPSAAAAVANGSKAPGGFGPSDVPRIMSLPGKHMEQLRLAPSQMLP RGQLKKPLRDILRDISKRSKANVDMRGGPGGSIIFEGKGSVDAVRQALKDVAQQVGSK QSVRVPIPTSARPHIIGRQGAVVQDIQQRTGARVQVPRADDSAAGGEDDSDTIDVLIE GDAVAAEMARREIEAIVKERASNISLRVKSIPPEFFPFIAGAHNSNLRGIEERTSAQV HVPRYDTWQSQPPPQEAVPGQVQFVAVPDKHIHISGERTAAQEARAEIERLASELQRQ LTLRELAINRGQHQFILGDQEDALHEFLAETGCAIVLPPPSDESEFLTVTGPLDCIEA GINRAMDLATSMQMASIDLSRQHAAAPAGPHAHARALTRYLRQRQIINELERMHDARI ALPPTSDGPVTWEVYSRDGKNTIRARSDIMNLVQAHPPSRIRHLSVDPYFHPYLSSRS VSKLQDDYGVRLMVPEDVDSPEVVLVYEGPSANTASFEIPRQRPSPAEIAAFEKALQE AHDYLMGTLGDQSNVVAKSASVPAKYQEKVRKFIAREQQAKGEDSIPIRALIGDRSSA GSCDVSLRGPSGSVDELISKLQAFVVEQEKDDLERGYTTSFDFPQKYANFLIGKRGEN INKLRDEFDVDIKVDSGKVEVKGPKAKADAAKLRIINMGKKLEDETTHVLKIPAQYHR ELIGQKGSQVNRLQDRYAVRVQFPRATILDDQSQAETSSEVGGSRAARSDEVVVKGPS KGADSARDEILSLLQWVVDHSYSTSVSVAQSQVPSLIGARGREMDRLRADTGAQIDVP GANDAPDASGRVQIKIKGTKQQVDEAKKFLQQRASEFDSTITKTIDVDKKYHKALIGG GGANIRKIVADAGGPTDGSAARLVKFPRPDSSESTIRLEGNSNVVENIIAAIEAFVKE REDQVTSTVEVPPAQHRMLIGRGGDTRRGIESQFNVTLDIPKQGSGRSDVKLKGASNA VEAAKEHVLGMLKDQQGETVEVPRHLHHAVSDNGAFFRRLRNDFQVTVDHAGQQIPPR PASEESRAVGNGAASLPLITDDPSESVDAHSWKVVENAVEADPSQPATIPWVLLGSGD NVTRAKAALEKAISSASQQSSTGYLILPDPKTYRFVVGQGGSQINTIRKKTNCRINVP KDQAKGEAIEVKGSKDAVEQAKGLILEAVAAGLAGR ACHE_80429S MSNEGGFSSLKFRRPSSKLHKDPPSFTSRILKGHQSHTSLKRHP SAPVPPRSSAAAAGRDHHTRTRSNAYGSSSSSSLEQNNNSSNNNSAGASPILSGPDSH SYQPSRPARFSLIEQSSDELVGSPFDTRGMLDALDETAAESDHQTASYFPQPPPQQQA YHTTSNQESSRGLRQSASFTALQNRMDSFQQRPDNDRPPNTKRFSDEGNITSKPPTGR SKKASFSSFVNSMLGSPRGVKISAPENPVHVTHVGYDNQTGQFTGLPKEWQRLLQENG ISKKEQEEHPQTMVDIMRFYEKNARGDDEVWHKFDHAYPHQQPVVSPLVLTNTPSPYG SSAQQNSPPTSPRFPQNHEGSFENPRAPPPVPRGASGMIPNRAPPKPPVPANMTPARP APQPPVASSPYAGAVGPSQPFGTPAIPEAEVLPSQPSGGSPVVQAPAGAVTTPAQYQQ QQEQAMAAAQQAIANQQLTRNRSQRQPGHQHQGSRQIEQQQAATAAAQPALPAQAAAP PAARPRQRVRQSNAMDIRARLLAICTPGDPTQMYYNLNKIGQGASGGVFTAYEKGTHS CVAIKQMNLELQPKKDLIINEILVMKDSKHKNIVNFLDSYLYGSDLWVVMEYMEGGSL TDVVTYNIMSEHQIAAVCRETLNGLQHLHSKGVIHRDIKSDNILLSMEGNIKLTDFGF CAQINDSQNKRNTMVGTPYWMAPEVVTRKEYGRKVDIWSLGIMAIEMIEGEPPYLTES PLRALYLIATNGTPRIKEEHQLSHIFKDFLYFALKVDPEKRASAHDLLKHPFMSLCAP LSHLSPLVKSARISKAQEKAQKGGA ACHE_80430S MFRAQQNAFDDAVAKATDENLTSENWEFILDVCDKVSAEESGAK DAVAALIKRLAHRNANVQLYTLELANALAQNCGPKIHRELASRSFTDALLRLANDRNT HQQVKSKILERMEEWTEMFSNNPDFGIMEQAYMKLKTINPNLQPPSKPGKREITDVDR QKEEEELQMALALSIRDKPAPGPGPQAESSSSAAASAPQTSPPVQQQQQAQAQVQTQA PVSLPSGTSAATISRVRALYDFQPSEAGELQFRKGDIIAVLESVYKDWWKGSLRGQTG IFPLNYVEKLPDPTVDELQREAQMEGEVFGQIKNVEKLLTLLSTRNAGPNAQDNEEIT TLYHSTLAIRPKLIELIGKYSQKKDEFTQLNEKFIKARRDYEALLEVSMTQPGQAPYA RPGQPPYGYPAGYPQGPPPPQADPNRYFSPRPQDTQPLKPGASPYYGGEQMGYPPASQ SPDPRNRTPSGGSPYQQPQQPPVTDAYQPVHHRPQSTYDHPQELGTSVYDSPVDRPAA QQLPYPSGGHVPPVMQRFQQQQQQAQAQQEYSPSAYSPDEPSSQGPYPTAPDAPMHQP PPVPTGAAAKPTTPYPSLTPGVPSGEGYQAYNPSSSNPNLFYR ACHE_80431S MASPQKILVIGAGELGYQVLRFLVQHPKRQGINVSVLLRPASPS LSPDDPKQKKIDSLQQLGIHIVPGDIVKDDEDTLSSIFARYDTIISCTGFVSGRGTQI KLTRAVLSSTTARSKPRTTRYIPWQFGVDYDVIGRGSAQDVFDEQLDVRDMLRSSSQK TETHLQWTIISTGMFTSFLFEPSFGVVDLENATICALGSWENRVTLTTPADIGRITAE IVLSSNAAVSSGCDLGSSSDSSSGKVLFIGGDTVSYTELATLVERIVQKPFHRTLLTV EDMQNALARDPGNTLLKYQIVFGQGRGVSWDLEETWNRRRGIRAMTAAEWAEMNLV ACHE_80432S MEADWDELSRIPVPPPGPHALPTIATTIAFDDVMELLWMGNEFG RVMSFYGPELQRYTSVRAHPTTEGLVRQILFHERGVISLSSKSVHMISRRGLTQWHVS HDEMTDLRCMSFTAQTNRIIVAGCQRSMFTIDIDKGVIVDKLPTDHNYTIMKKSRYLC AGTDAGLVNALSLLDFRVVKSWKAHGTAVNDMDARNDLLVTCGFSVRQMGAPIADPLA NVYDLKTLAPLPPIPFHAGAAYVRMHPKLHTTSFVASQTGQLQVVDLMNPNAINLRQA NVPFMLGIDLSPSGEALAINDTECSIHLWGSPAKVHFNEMSREVEFADVTPRPPPLDW SLDTPLNMIGMPYYHERLFSAWPSHMVFEVGSPPALIDPSIIPYLRPAEIGHGAPNPK KTRRYQVENTRALASSEPALIAPKFLSEKAREQNKAKLDGTISDAAEALAGAKINGKS DDDPLLKYSNVEIKYSRFGVDDFDFRFYNKTVFSGLETHIANSFANALLQLFKFIPLV RNLALHHAASPCIFENCLLCEMGYLFDMLEKANGQNCQATNLLKTFSSFREASNLGLL EENLTTKSLSTAIQSVNRFFLGQIAHDSRIVMPNADDFDRNLTTLASESIRCMFCQNE IVRAGNSSLTELIYPPIDVKQVRRHPAYRFSNILRASIERETQNRGWCNYCRRYQQVA IRKTVHRMPLVLMINAALSNPICRRLWAIPGWLPEQVGVVVDNGQIMCYEGDDLKARI QNNMPGLLVYDLVGVVSEIDIPEHQKPHLVSFINVSISAREKQERNRWHLFNDFLVTE VDKDEALRFNQPWKVPCVLSYQVRDARHAIDDTWKLSLDTTLLFREWSLNGGRPVESC RKLTEQEKPSPGTPVALDTEFVDLEKAEIDVKADGSQEIVRPSRSGLARVSVLRGAGL QEGVPFIDDYITIKEPIVDYVTQYSGIKPSDLDLRTSEHNLVPLKIAYKKLWLLLNLG CIFVGHGLASDFRQINIQVPKSQTVDTQYLYFHPAKNRRLSLRYLAWAVFKEFIQEEP TDPSQGHDSIEDARMALRLWKKFQEYEDAGIVNQILEEIFREGAKLGFRPPPRNGTAA VLSRPGTAVTMQASNGASTPTGQENVPPPVSTPTTPRQGFRRAIALTPSNGSFTSPGT GDFFTGSPLR ACHE_80433S MSRHRMKTVSYDDDFDDDDGYDSPDPEEQELLEQCTKEVLGQLR SGEPSVTATRDEVQEALWHYYNDVEKSVNYLRGKKVKEIQKKQTPPATAKPKVPAYPI PTPTTSPQIAHFSAAEFFRDSPWLNVPPHRKADILVEPLYPRMGLLGGAPESGGKVSK LAALAAARKKKEGEKTPAEATPAVATPSVDKTPETPAAEAKPSPLSLRERLAANDKPR KPSNGISTLRSLGKGSPAPSPLKKSRPEPAKPEVSTEPGPEEPVKNDQADHKEKEEPK VNIRAPPSGFASTIVGDSTRPMMTGPSHLHSNTADLIRIYGEDLTEPFDFAGPSPDDV VMNAQSSAKGFKSKQPAAKSAGDKKAQGDLAGGMGNLSVAEKVNVKSKNLDVLSEYKK SNRKKSANFVVIGHVDAGKSTLMGRLLADLKAVDQRTLEKYKREAEKIGKGSFALAWV LDQGTEERARGVTIDIATNKFETEKTAFTIVDAPGHRDFVPNMIAGASQADFAVLVID SSTGKFESGLKGQTKEHALLVRSMGVQKIIVAVNKMDSVQWDKERFDEIEQQVSSFLT AAGFQPKNIAFVPCSGIQGDNITRRSEDPNVSWYTGRTLVDELETSERYSHALDKPLR MTIADVFRGSVQNPLSISGRVEAGSLQVGDELLTMPSGEKATIRSLEVDGDVSDWAVA GQNIVLNLANIDPVHLRSGDVICHSSSPIQNITSFTTKVLAFEHLMPSMVDIHRGRLH VPGRISKLVATLDKGSGASVKKKPKIVAPGSVARIVVEMDQGVPLEAPTRVVLRAGGE TVAAGLLE ACHE_80434S MARLSGRSGAAKPFTAWTTIFYLLLVFIAPLALLGTAHAEEDSV QDNYGTVVGIDLGTTYSCVGVMQNGKVEILVNDQGNRITPSYVAFTDEERLVGDAAKN QYAANPVRTIFDIKRLIGRKFDDKDIQKDTKNFPFKIVNKDGKPVVKVDVNKTPKTLT PEEISAMVLGKMKDIAEGYLGKKVTHAVVTVPAYFNDAQRQATKDAGTIAGLNVLRVV NEPTAAAIAYGLDKQGDERQVIVYDLGGGTFDVSLLSIDNGVFEVLATAGDTHLGGED FDHRVMDYFVKQYNKKNGVDITKDLKSMGKLKREVEKAKRTLSSQMSTRIEIEAFHNG NDFSETLTRAKFEELNMDLFKKTLKPVEQVLKDAKVKKSEVQDIVLVGGSTRIPKVQA LLEEFFNGKKASKGINPDEAVAFGAAVQGGVLSGEEGTGDVVLMDVNPLTMGIETTGG VMTKLIPRNTVIPTRKSQIFSTAADNQPTVLIQVFEGERSLTKDNNLLGKFELTGIPP APRGVPQIEVSFDLDANGILKVGASDKGTGKVESITITNDKGRLTQEEIDRMVAEAEQ FAEEDKAIKGKIEARNGLENYAFSLKNQVSDEKGLGGRIDEDDKQTILDAVKEVNDWL EDNAATASTEDFEEQKEQLSNVAYPITSKLYGGAAPEEDEEPSGHDEL ACHE_80435A MEDLTPLNTPSVDPPALAALESSPSVLFPSFTSTTAWSLGLALR TRILSLPSDQRKPALISISLSSTPSFDTPGSGQAQPHVIFQCATEPGTVSDNEVWVRR KRNTVLRWGVSSWLMRCKMLGQSSTTDLEGAFVRKFALRSSCGGAAADEYAIHGGGFP VRVKGVDGVVGVIVVSGLKQEHDHQVVVEVVQDFIAKLAA ACHE_80436A MGRKLGVFRAVYLVSASCMGSFAFAFDTGVISGVLTLKSFQNDF RYTSTQQTTVNSNAVSILQAGAFFGCFFTMPIAAYLGRRGGLILSSIVFSIGTILQLI NAHTLGTFYAGRVIAGLGIGAATVLVPMYAAEMSPKELRGRLGSCFQLFFALGVMVAY WVTFAVSKDYVESTKQWQIALGLQLLPSTLLLAGMCTVAESARWLAAKGRTERAWESL KWVRGGEENDALREEFDEILAGIQEEARIRDGFSWKELFVLPGNRYRLFIAVTIQLCA QLTGNTSLAYYANQIFEAVGAGSSAKLVTGFFGVVKVVGVFVFQVFVMDRIGRRVPFM VGAFAMGSFMLIIACVLATHPTSTDNSGATKAGIAMIIMTYAEAFSFNMSWGPLPWLY VGEIFSSRTREVGVAVGGASQWLFNFMMSQVTPHAIENIGWRMFLMFAIFNYAIIGYS WLFLKETSNHSLEEMQHVFGDAPRNKTDEENETMDEIANGPKTSPR ACHE_80437S MSSEPPTILSTPQSPEEEKNISIAKTYMAIAYSPTRNSGAPSVS HLCHPDAYFYSPSTFPGCTTPMDYADSHAYVMASVSDLHIVQYDQAWAKDGHVLLRYT AEGSHCGKPYRGIERSEPPKRARWSAAAIFEIVDGRVMGFVKDWDQKVMQIQLGWAPV NESSDPRWNRAMLADPEKARKAKFSV ACHE_80438S MRWSTIGATLFFSLSALANDWPYLTAATAPFRPPRLEVEKTGTT DPGYLFIGPRGNEQSGTAALIYDEDGNLVYQGPEEVTANFKVQRLFNQDVITFWAGDM MKLGYGYGTVHILDNTYREIYTVRLQDDIVTPDDQPRESYIDLHESHITEQNTLLVTA YNITPHDLTPIGGNPDMFMLDAMFYEIDIATNEIVHSWSAVEHLDKIPLEQSKQGLGE DFGVKENPWDAYHINAVERMDEGYMISVRHFWSGYYVHNNGSVMWQLSGALGEGDFEQ DESAGFSWQHDIRIYNHTEQGLVMDLFNNANTPTEQQGATTGVSLAVDLENRTVKALR ILSDSDDVIHSVSQGSYQLLSQESQHVFMGYGSISKVKEFDADNNAVFTAQFGVDNQV ASYRGFKCPWKATPFWKPAVVVQRPSSDTADVFMSWNGATEYDNWAVMSLPTPDSTDS EVLATQQRTGFETVVHLTGVKSGGYLQVVARRGDVPLGTSEVVGL ACHE_80439S MNIFFIFFLGLALAVPHGMYGLNPTTNTITHMNLKHSIMHPEDA NTHQHHQRHEPMPMDTTTNPNTDLKETTHHDGPPHHGGGDHHGGGGHHGGGPPHHGGG GHHGGGHHGGGHHGPPYKLLSANPFVFPNTTNTTTGGHAIIQNSCAYPLYLWSVGASV SPQYTLPAYHSYRESFRHDAVSGGIAMKITTVPNGLFTGRPQTIFAYNLNVNASRVWY DLSDVFGDPFEGSEVKVGAVRRDGTTNVEGGLRWEDGVPPRGSQVRVVDSREDVKVEF C ACHE_80440S MLFELALRIIPSLYFVYLVTSTIWYLYLHPLHRIPGPKSWIIFP ILRQISGIRGRFDSDMRRFHKHYGTAVRFGRAEVSFITPDAWKEIYGHGHAQLPKVLT SVSNTSDIISSNDADHSRYRKALSHAFSAKGLQAQEPLLNGYVDKLIERLKGMAASAV PVDMVKWYNLTTFDVIGDLAFGEPFGGLDRAEYHYWVAMVFGFVKVAPFARLRDAYPV VFKLLKTFLLPKGLMAARQRQIEHAQRTVAKRLQNANAYHRGDFMDSMLRHAGEKDGL SVEELEGNANILIIAGSETTATLLSGVTYWLLRTPDVMKKVTDEVRGVMQSEAEITSN TVAARLPYMLACLEEALRMYPPVPTGLQRRTLTPVQIAGYDVAPGTSVSVHQSAAYAS PVNFYLPEQFIPERWLPEAKDNPSSPFFSDRRDVLQPFSAGPRNCIGRNLAFAEMRLI LARVLWNFDLELCKESAKWAEQKSYTLWEKPALMCKLRPRA ACHE_80441S MSIRIPASAGTRARSLLRTIQYSHPPSCPCHGNPLHHLQHGHQN PASLARHLATPIDPSRQKEYAFEMAASSIRFGPGSTKEVGMDFKNLKANRVCIVTDEN VAKLDAMKQAVEALSSEGVEFTVFDRVRIEPKDSSVKEAIDFAKPYGADAYLAVGGGS VIDTAKLMNLYTTFPEADFLDFVNAPLGKGLPVNKPLKPLIAVPTTAGTGSETTGTAI FDLVSKKAKTGIAHRNLKPTLGICDPINTRTMPAAVKAASGLDVLCHSLESWTAIPYN ERTPRPANPIQRPAYQGANPISDIFSLQALKSCVEYLPRAVKDPDDFEAQSQMLLAAT LAGVGFGNAGVHLCHGMSYPISSQNPGYKHGGYAVPHPIIPHGVSVAVTAPAVFRFTA ASNPDRHLAAAEAFGVDISNVKRESAGEVLGEAIADFLVKLGGQPRGLKDLGFKASDV DGLVEGTLPQKRVLMLAPNLNEELHAEKQELRTLLEQSLDY ACHE_80442A MSFFLPFRSKPTIAPLNAAPQPSPTPTPDPDKEYEHILSQLSAP DWSDSPHALAWFHDLRTSVTDILTRLLEICQAAITQFEANESSYSLHYNRRYNRLTTS VAFLRGWMDKEFLPEMRMTKWFLRAVDDVLMVRATEVQYDIEYWMGGLRQRAEGVVGE GHALAGLVQDAVIKLGEMREGVNTAPGAKVGEKLRGEEMFLDLPVTEEDAEAKDRGQE EDEDEYDEDIEIKDEEMDSEDETETVTEGETLTEAGDEVICGALPVQGVVA ACHE_80443S MAPRTYRPTNTYYAMPNYRRILGAYAITGLFACAHIHPPHPPSP HATLLWINYFVTLVVLLLMNPFLCFERTMINEDAGEGCGQGSVDMVSVL ACHE_80444A MLDENLPTFYLKHGQNKHSWTIYLCHHGDEPAPAYHLRYPDPSS PSSKNRYAVALCDPYVPEIIYGEVLLIPEWTQPSLSADAIRANGGVTPPPEPILPSQF VVHLYNPDQQIVVRYKPKTWNSPATWEFEMPQHTFRQPSSSTLDRTQIDPAVADVTPK LRFGWRKDSKLSKDLACLLSGKTASITETKTKSKEPDITISIFKGLREMTLYEPNLYR VEMEDFKGLECVLLLGAVTIRDVFFTSIEKAFSISHDVKKPQKTPQNAAAVQKPIQKP GPSTAAPVANGLKAQPQPQPQQPPAMSGALVANNKPHPTRAGPERPRVTIPQQNKPLP EAVSQPSQPQPQTRPQQQQQPQQVRFSPGTRPEDDLRRQKKMQEANDKARRKKQAEIE KETKRLQKIYGREEEQVRRSQQQRPAQPRPQPQPQRPTQHRPTQSHPSSSRPHLPPRP GPGPGPYLHVPPSQVGNNRRPNAHASVQFLPLQPQHVSSPGLQQKKSSFFGLRRSSEE KNKEKLAKKRSSMF ACHE_80445A MPPVIHLVRHAQGVHNLSTANHVIHDPSLTDLGHEQCRTLRHAF PYHERIELVTASPLRRTLYTALHSFEPAFEKGDDVKLIALPDVQETSDVPCDTGSDPE VLKEEFVEKGLPVDLGLLRDGWNNKFEGRYQPTNQAIKDRARDARRWLKARPEKEIVV VTHGGFLHYLTEDWEDSSQYQGTGWANTEYRTYVFSEEEHKDDLEGYALEGDNATLVE TTESRNRRGKDGPTPHRERQRTLYKLGTQGWEDQGLQLSTAEREAAKVPGGKEVGGVR V ACHE_80446A MTRLRGASERLPDVARDSPQFYRSKLPPNAPEVIVQNTVEVSHP AQVLPWYRDDRRRQFHLLVRRYKNQVLYGCQDPNCLTSTCASYRRRVTEGPFRRYTEL SARTLACYLASLDNAENGLCRNPPRSFWESPHDAPRRSRRHSRGLPERDETGKHGNSI AQQESASTEVAQASSHIQKSTDQYTASEKLKDPKSFTQNLFDTLSLRMVDWLPLRRSH DTLDSRPDRAPTQPAPHPPKLDSHPSKSDSKKDERPPSRRYSGTPSGNYCTYSPRTSS RQPAAVEVKLPNQHVKRLSLTESDQWCQGPRSSFEEKVHPERKPAKKLSINAHPTTTE YTNLPSPPALKHRPQKHRGRTEEVNGIKPSERQKKERRVSWDGSKLLNDVQSFENRQP PAVTPEPPIEIQSPSDRKPKRKSQPCQKETVPTVQTVTHLSKEIVDGLGQVMIQSEEE AQRWKEELEYMELTGNYDGSDWFSATPRQQQAFAFVAQSVFYSLSGPRQILRCFRKGA SGNNNGKDRSPRLDFREIQSSLRKLTTVCPWDMVLHSLWGAVGKLFVPPKELPMSGRH SRRSSATSSIAAPVSAPILVRRASDNTQEEHVTDANAAHIVTVALFALAGSLPEVDAS TWRGILRMRAIGTVAADAEMRKLPSTSAELVTEVTDKFEHDLALRLANRLVRALTARL AFHEISKARDSTFAPKRSVLDLIVDNLSEQYLTTCPTDQEYDVTHTVRHPGAPAIITE WLRTLLLREWDGNPQVPRSSAVGGAVQILSTLYRERNRLGLVPEDFHTQFFAERLDPL EMPVEWLGTMSNNNKTMHLLSYSFLFPPPALVIYFRALNYSVMSKYYESAMTTTRHVT QTAFGPIHVNDDVSLLSRMKTSMSTYLVLVVRRDNVVTDALNQLWRREKRELMRPLKV QMGMDEGEEGLDHGGVQQEFFRVLMAEALDPSYGMFTVDPRTRISWFQPCSLEPLYKF ELLGLLLSLAVYNGLTLPVNFPVAFYRKLLGLKVKHLDQIQDGWTELAKGLEGLLTWQ DSDVGDIFMRTYEFSFEAFGRVETVDMQRVDRDAMWPLPSRSMPVDGKYSTAWSDVPH YGDRANPSPPSSMAAEATELMSCESSHGDFAKSMEGSISIQSPTPPAEEACLVTNQNR HQFVKDYIFWLTDKSIRPQYEAFARGFYTCLDRSALSIFTPEALKTVVEGIQEINVKE LEQHARYEGGFGPSHPVIRDFWSIVQKFSAEKKAQLLEFVTASDRVPVNGIASIMFVI QKNGVGDAVSFPSSIFRFCSILTRLQRLPTSLTCFGRLLLPEYSCKSVLEEKLNKALE NARGFGVA ACHE_80447S MDGSSLPYTCNTCLVAWRSSQVQREHMRTDWHLYNVKRRVASLP PVSLPTFNEKVLAVQASSTAAAAKASFEKTCVACQKTFYSENSYQNHIKSSKHKSREA RMSREHADDASSVMSSTFSLGEPINKTQENDVSKAADSLKAATIEEEDEGEDEPDNGE FSPSRCLFCNNKESDMNANIEHMLKSHGMFVPEKEYLVDAEGLIKWLYRKINENNECL YCHAIRNNPAGARTHMRDKGHCMIAFETEDEQIEIGQYYDFRSTYSDDDDDDSTSDVA DGGVKVTSEGDDDGWETDASSVDEEEDEQEEEQKLALSRKNNAVVLEDEFELHLPSGR TVGHRSLAKYYRQNLHNYLTPSEREERQLAIENGEIEPEEPKPRGRNLNRAVVSRANG GSGMVGVPEPQRRAVTKAEHKQNDRAQRQEFRYRARVNRAANHQKHYRDPLLQ ACHE_80448A MATPAGYRYMASRPIILGLARVFFLALCQLPLLSAAPTGHSPSR PFISISEEPSKPANDPSLWLYLGIAAALVLSGGAFAGLTIALMGQDEVYLQVIQTSGE GSERKNAASVLNLLKKGKHWVLVTLLLSNVITNETLPIVLDRSLGGGWPAVLGSTVLI VIFGEVVPQSICVRYGLPIGAWMAPAVLALMYIMSPIAWPVAKLLDKLLGEDHGTIYK KAGLKTLVTLHKTLGEAGEQLNSDEVTIISAVLDLKEKSVGSIMTPMDDVFTMSFDTV LDEQTMDIILSQGYSRIPIHAPDNPLNFVGMLLVKMLITYDPEDGKRVRDFALATLPE TRPETSCLDIVNFFQEGKSHMVLVSEYPSEDRGALGVVTLEDVIEELIGEEIIDESDV FVDVHKAIRRMTPAPKSRVPKGRIVEEPPTFNTVPQGELVDVGSETQSPSKKDVSNLR RRSLEAPQPRFQLRRQSTGRDSDWKGGLVTQRGATDEIREHLKHLGPSNLASRPRQTR YQNVKIKRGGMSPSRSGQIEFDSSQSNSEAASTQHATVGLAGGIGAGLLQSAGMDASD GVHAVKLGYGTIGPAVDRSKDTPTPPKLKDNPQLSVPEPVREEQDDHSRPPPSRQGSA HASIRSGSSQSAKALKPGNYVHPGPARSGSITEQVIDVNGIRKVVLHTTSSSEGDEGQ TSPPNTGKAEPPIQQSAKADDKGSNTGTSSNAAKKKRRRKKRAGQNKSSDAGANEQQP LLS ACHE_80449S MTTSFEQLFLWGEIEQDPPFPEFLMNIVAYADLTAGSAILLATL ILLTLVMTAWETISFLICAGSRKLVISPIKTALSLLGCYIWETFRLLLFHDDGLAKLR QRFTSWMVDSRPYHLAPSFVDWYFPLNRPIAASFRLFVTWVCGLTMYTNVLVPAARCL HGVFDGWNADIHSFYRPGAISRYYLEDRLSWHALYDGYYCLGERKGLNLHEDLLVSNW QLLSRLLNDDGEIDHYHAIMVVASVISLVILAAVTYTIVPETTAFRRAKSVLLRQDSQ TDGPRPLTQAESDLWDMINGYEGDLAKRKAQLAKKNKLLVSTTAELHAMTQQKEEGWI LLGKLTAHRNEAVEAHQRELSNNHHLRQQLLNIGGQLKVAEGCLQGNRQELAKERQQL AAERKRLAEERQQLASTRQNLSKQQRPADDYDYLVEERQRLDSARDQLAAERAQVSEE RKQLTIERQQLVHEQQQLIKKRQKPASPRQKSSDQQHLVKERQVLDDMRERLDAQCKK LTEDRQQLADEHQRLIDEHQKSAIQHQELLDRQQHLSINNQELAIRCNELAIALGTAR QEWDAAMLQLAAATDQVTDQQDQQLAIRCNELLASLEIVRQERDAAMNEMINQQKEID SVNLSADIARVEAEIAYAQSEENEARLQQSISDLKSACDLAVEQERSATEEARKIACG LEMELNHCHKKINTALRGAQRHHEQWVASQKTIEILERRLAKYKMLDAGSTQEVPKRK IGNPVSMSAALAEKDVIVANQLAQINDLMRQLEQAKQGAPRPAVPDGKIQQNFNKLRA ALDKERRERIEDNVRWSSKTRELEDANQKLRIFVSNAKSKSPRRPNDRRPPTVP ACHE_80450A MSRSSSSSSQSKASSAFSGTTQGKIVELVGDTCWFCESIPVEAC HVIAQKDPALSTIIDQKLLLTSLRDVDNGIGLCPTCHNNFDTAPDPRLVIVPTDLDFF INFEEKDYRERTLAANGGFSVPRQCPTKDQYKDHQIANGEILEGSGLYAPYILMRFYA TGFKPNLSPRPWHGQPVHMIRRALIALGSLNYRNLPDGVSDKLRTLLELYTRPPPPMQ ERDVAAGQEKPGKLFRSNDDLDTSTAKRELSGPQTFLSGLKLERRGRESQGHTDHSMS AFTLGPECTALDAISMVMSRRQQIL ACHE_80451A MEGGTGEPNASPPPPTPLFMDYEMATQMPSAMLEPQCPNKQLDF ELGKNAGIALQARSKKEKEEDKEILDFLNLLDKKFSSMKQKSLPRASSFGKGLQTFVH NYFTQPSANANNQGHTANPAPASPPKHMQTLSPRPNRTTLLKKKSCGLSP ACHE_80452A MSRFQNIIDLPPDAAFSLVEAYAHDPFQQKVDLCPGFYRDENAQ PWILPSVARAKELLHDDSTINHEHLPLSGHPELVSGARRLVFGTENVDVFDRIASIQT IGGTGANHLGALFLSKTLQPANVWIPNPSWIAHPQIWEQLDGSTSVHFYPYFDKKDHS LNFNGMIHTLQNEASENDVVVLHGCAHNPTGIDLAEAQWKEVGAICAEKRLFPLIDLA YQGFATGDLENDAWPIRMLFKGGNVEFAVAQSFSKNFGLYGERVGVLHLVTLSGEAKT KVIPQLERLQRSEITTAPSYGARIISSVLEDEDIRRQWQQDLLHMSGRMQAMRERLYK LLAELKTPGSWEHLLSEKGMFSLTSLSRQQVSILREKYHVYMLPSGRISVTGLTEFNV EYVAQSFDSVIKKTASL ACHE_80453S MSASSIPGLLLIGSLQHTQEECERLRTKYDVLQFTGNRNEFLQY CEQGKFDHVVGLYRTNATKETGPFDEELVLSLPASLKYIGLNGAGYDGMDISTCTERN IRISNTPNIVANATADVAMFLLLGALRHAMIPLQALRDGRWKEGAPLGHDLYGKTLGI LGMGGIGQALAHRARAFGLKIIYHNRSRLPQQKEASATYVTFDELLQSSDILSLNLPA TADTRHTISQSQFEVMKDGVVVINTARGSLIDEAALVDALNNGKVLSAGLDVYEDEPR VHPGLIGNNRVMLLPHIGTTTVETKREMELLALRNLEYALDNGELLTPIREQF ACHE_80454S MSSPIEKTVELTSVSKEKLDVFTTTRSPWTFTGARGVYGGTTAA QCLVAAQHTVSSERLVVYGMHISFLYSGNPNVSIEYHVERMRDSKNFATRIVRATQGE RVIATATVSFASAVGATGRSGGDASYEHAKKMPADLSPPEHAELETYKVDGPLEIRKG KILNKYSENPADTMIRYWMRAKGPIQENRMQSHLAALAFLTDAYLLGVVMEVQDDVKY EDLGVVASLNHTVHFHEPNAVRADQWMSSERESPWAGKDQGLAVHRIWSSDGVLLATS AQEGLVRPRVRL ACHE_80455A MNLVDCLLYPLWRLVAISNLFPLPPRQHGPNRPRSGSPSSDDTT VGTDQPFPTALVYPISEASQIDGFPSTRLMDGASLPPPRSLGVSDTNGPAKDLAEQLR KARIEDIGGNFHFYISRQTTRDLINKSTVTDILAQHIKDRKALQRTVRKTCKRGKALF AILVLVGKEAEMELFFKDDIFDDQLPLRRRDQDNEFNLWTEDGKPVTATGHWKSKHRE KFYSFQWWFLAPVFKDLMHYELSNNASLPTVPLLPEESHLVPLSGGFSDVFFVRFHPA QMEWSEVVDLESKGPLCAVKRLHQSDKDEFKREQQILLRLTPKKDRHLIKLLSTFRKD GKWHLIFPFADSNLRAYWDCRPTPDFDRDTVLWSLTQMTGIARALARIHELTITVNVT DHDPVDSPNGTTRKKKRYETLYGRHGDIKPENFLWFQHAVGVTDPRGVLQLADFGLGR LHGQHNSREQTPANDVPCSPTYEPPEYRIGKYVSQAYDMWSLGCVLLEFVTWLVLGAK EVYNFADYRGEFSPRTGINDDNFYTITSDTTAVVRTQVLDWVNRLHRHKRSSRLMHDL LHLITEHLIVVEPSARIESKKLYQELNRVLDKATKNDDYALTPMPACHNKCAMK ACHE_80456A MLENIIRVRDVYQEWCFEHSLSRDHLGLLVEFDEHISDVKRDIQ RVEVLKERLQSTIRLLTDLLSYEEACMLKSLALESHNEGIVTSQLAFQSTKDAAAVKV LTIISLIYLPTTIVANFFSTEFVKANNGKMEISPQSWVLPAVALPLTSLTLCLWWLCV RFSPPVHTGDKGLLSLRRRVRDIAAGKDSSDLEEGLQQKEREYKTPPRRQTMEVAGVG SKPQAKMD ACHE_80457A MTHKPAMVSLLPMQQIDGKCVDQRKLMSLLRAVYGISNEGRNNF YVELRLNKYKIYRATDSPALTEAEIRNCRTYCRLL ACHE_80458S MSKNVPKFMVPPVMTALAVDNQVFLASSLKGEKYVYKNKNKQVV IFSDHIKDVDEAKQLRETIETCMRDAAGGDESKMKQHRAKGNCGGVMDAYTWLLAHGG EQLRNKTPKPVIVAWNRERNEIAKPCSQGDEGDWGCKDFVGVKGMDFDIVPAKTKGAA YDEIVEGKHHQKLPVKNLNKGEGDEGKNDDQECETEQPQQQGQGGRGEQGSQRGRGGQ RGRGGQRGGSEQRGRSKQRAKREFQFEA ACHE_80459A MDVPFLLRRTGGLTNLHLGLAHEWEEQMFYEHSDCLAEGLGLVS STVERLSVGLEYHPCYCGESHGDDEMEERRSPLHGILTRFTRLQVAEVPITFLLGFNP DYAANLDGSVLPDTLRESVLRNDLVNLAGFEWYDGDILDSIKMFLTHSRHSTPLLRKI TCRRWKRKYSEDWPDEDFQMQLACKDIGIDFNIVVDHLSSGLWNERK ACHE_80460S MTSQPLQQPNAIWSADLPSRESPLTEDDMQQMASNTEHGLAMIK TALDRQQLGFIVSEKVIKAAASNERYAVEILELLMKNGGSEVAITTDIVCAAAGNSLS AVGYLFQLPGRSFPTLENSLLAAVSFEDNRAAKMCASIVKQFPEAQISSRVLEAACTK FKVMQVLLDQPCDHLPIQEIIRKIARGNYDEAQVVQLLLDRKHLVVDEWAMGTLAANG SAFEIIITSQPDAPVTHNVLLQAASNDESMDILLENRLDDVVITEEVMIVAAKSDYTV AAILQNTQSVPITKKVFKEAAFHEQPDSLNAFLSLQPDLDPLAIWDEIWQDVDISAER KYRATRAIYHNADLEVTASKLQSYPYDPEQKNNYGLDDVVMEIVEDDRILPITEETTE IILERCHNKAIKEILEYNPDMLITAKLFQAAERNLIADKESLLSFLAEKRG ACHE_80461S MSVPEQNTYPETPEHSTTSPHDSAPHFSDLDPISRCDIQDDTDI PIEPPAFWGDSAENALSSPSISSSDDSLEEFFRLPDAQDDIPSTRQSLATTGLGRMAA SSNLSHKPTTSSIQRRLAHILTLHPFFTAHLTIIEVPVKRLVARMVMLKQVTTLTFMI INNCTPPNITPIPTLFLLMVSVGTITSVDSQKAPSGRRNNQTGELTNGFEFHQCCRPG RIPSRFVLTSCLPHLTIVCNSFLGYSKVLYHIACLTLHRQRVKREMRWQPVAQVPHMR LNKISVIVERSEEAPERICHGPWKRRAFC ACHE_80462S MFPNMVQIKATAVALLFASHVFANPLGPRQVSVSINDKFKAHGK KYLGNIGDQGTLTENTKSAAIIEADFGQLTPENSMKWDATEPSQGEFSFAGSDYLVNF AKSNGKLVRGHTLVWHSQLPNWVSSITDRSTLINVMKNHITTVMDRYKGQIYAWDVVN EIFNEDGSLRKSVFYNVIGEEYVRIAFETARAADPAAKLYINDYNLDTSSYSKVTGIV SQVKSWIAAGIPIDGIGSQTHLSAGAGDAVSGALNALADAGTTEIAVTELDIAGASST DYVNVVKACLDQPKCVGITVWGVADPDSWRASSSPLLFDSNYSPKPAYTAIANAL ACHE_80463S MRFPKIQAGLALLAPVRAIAANCDLPSTYSWTSTSALASPQSGW LSLKDFTNVVYNDQHLVYASTADAAGNYGSMAFGVFSDWPDMASATQNAMSHGAVAPT LFYFKPKDIWVLAYQWGSTAFSYRTSNDPTDANGWSSEHPLFSGSISDSSTGCIDQTV IGDDTNMYLFFAGDNGKIYRSTMSIDDFPGDFGTESEVVLSDTSNNLFEAVQVYTVKD QTKYLMIVEAIGSNGRYFRSFTANSLGGSWEAQATSESQPFAGKANSGATWTNDISHG DLVRSNPDQTMTIDPCNLQFLYQGRDPSASSDYNALPYRPGVLTLLQ ACHE_80464S MPALYPSYFPYSAQHSVLSSIQQVLEECCFDFTKKWLPSQVENR EWDCAAAMELTEWKFLAKWSPQLPHESLQLRGSQFDTLLSTICQIRHTAVHWQPITAT SVSFLVLEAKRLAEALQDSLRTSQLEDLHFDIQNKIQEMELNKNALEANHAHELRALQ LQQEELDQKEEQLRAKIINSDKENKTLTGLLVKESIKRIFNDRKSGLDDDSVGFEAED DGANKKISPGCQLL ACHE_80465S MRCCGDREKGPVSLEEKWDYVNLDDFKSESCLTPFSYFFLWFFL FISLAVYGVDTFTAVNLLAFSRWAGQIEPTIPFHISRWIYAVCIIISFALLIYRWMVA IRAIRSKSIARSYLNSLAVRIQSIRPGRRGRGWKRFLVFAELTKSKKGAEYVALFTYF SFESWMNTVFADGPRQVVNAITLYSVMQMDLLPGGKNAEKSDDSSAAQFFENVRILAV NNNLRAVVLFSMLFTLVIWVLSVIKLLIAIVLYLIFLFHHIPSRDGTLTAYCRRKINT RVMRIVTVKVNKALAKGMALQDRAPTQPNMGASVKPTLPAVGKSEDKAPIVTTISRTT TQTTLPSRPSTAADRNPTLPDLSWPDEHPGLSRTATETSAFSESAAVSTYSPLDRGPS PAPPVPPLPANVPPMRSNTPATRPPPSRHTPAPTATARSTPAPGYRGMTEERGYGAYG PPNPPAAVPYRSHTAAPDLYGRSMTPGVAISPSAQTDYPTQTFSPIVPNATAQSGYPM PSFTPINRSASPSVAYPERTFTPMNPIPQPNNGNYLPFDPSMTSQTPTQPTASPVYHA YSGQDAGQPAFRPYRNDGNF ACHE_80466S MAVPTPLFPPPSPASASSPSPATAPAASHDQSSGNFEYENSNSN DNRGNDVQESVPRGLSNGLHADMTERSEKTTTTDMNTGQDDSMPSIPEPPLSARADKM EAIAEDPVTTSEAEAKDEHGQKTAGSALRPKRSRARSTTSLLDGPSIFQPHTVNGETS RTDRSSSNGNLNVPLSPKGTTKATEGAHVHRGSSGEDLEGPGAMTPQRNQDKDKDGER ILKLSPSKIHELTSSPQSIALRPVPESSPDNGRRVMSDNTYTTASRSGSGDGLSQPQL HLPSELRISTDVAKIQGSRDLHLDDLPEALSPGTRPVSSSSRNGRPHASRTVSTPSAS SSQRQKPASSNNQRLAQTWSSRPRQDRPSITRMEHPESKHHHNHNNHGLAPPPQLAEP ALPSPMPQSIPLPPVSIPTYLQLELASGRPSPLYIHRSATSDFPYESARVKLERLVNF LMLPPAVEQVLWFGLLACLDSWLYSFTILPLRFVKALYILLESWVLNLGVEFRFISGF VYNGVGRVWRRRNKTASNSAGFGEPQRSPDLDGRFRAKQEQVVERESRSRAPTEPRRR HRAETYRHHRRQKSIPSALLPDDKADILKGLLMIVTCWVLMRFDASRMYHWIRGQAAI KLYVIYNVLEVSDRLLGAIGQDVLECLFSREALERRPDGRSKVFRPFGLFLLALAYTV IHATSLFYQVMTLNVAVNSYSNALITLLLSNQFVEIKSTVFKKFEKENLFQLTCADVV ERFQLWLMLTIIASRNIVETGAFNFVGSLGSTWSLSGNSSTSTNSTPLSTPPRTASSI LPQSFTIFPSSLIASFSQVNTFLPSLAQVLGPFLVVLGSEILVDWLKHAYINKFNNIR PNIYGRFLDILAKDYYTNAFGDQNLTRRLGLPVIPLSCLFFRVSVQTYKMFLAASLPQ QPSSTAMQATSLSSIHNHYAPAPVQSAPPLTLKTIVPSSMTHISNIFRSVLANAMPTP AQSVYIFTVILIITLFLVLLIFKLLLGISLLAFSRRRYQGMKLAEHESAHPHPHNQPH NHNGTTANHPTENTAKSRGYAVEGSKRIGSWGVVEVGDDRRRWVYADDPEGLKRVRAY EEKETKKGSEEGSFEHVQRYEMVAKRIW ACHE_80467A MADHNDHDTQSSKEQHENGIDKRHGQETTRPPSHPVSRALWNFS TQWFLVPQGTGIISLILHQLDYQFSGIEVISVVIWIYTIILLALCLFVYLLRIILYPR HVARVLRTSIIETACLASVPITSTSIIQMTTLVLVHSWGKAWGIVSYVLWWIDTGMAV VAVMVIPYVFAKVQPPGVKAILPGVLLPLISALTSAAGGGVICVYGQISSRLQIPVII VSYLEVGLGLPMALGLSEIFATRLFDRSFPKLEQIYQDMILCGPFGQGSFALQVLGQA VSKGAFAEYNRGTFLTAQAAQPVAFASHLAGLLSWGYGTFWWCFAIISIVHTFISQPG GIRESQFSMSAWALVFPWGVYTNGAVQLGKIMDAPAFKVWSTALFILLLIICITLHIF TIKGLVTGKVLGLAHGWRKSAYRDDTDDKEA ACHE_80468A MDEIQPEVYMLSRDAEESARLNSQHVFLLEVYGNNLIHPSIPKE NIQSVADIATGTGIWLRDAATVLGMQNRNPSSPYYLHGFDISSVQFQPVATQTNAEIY LSLQNCLKPFPPEHHGRYDFVHVRLLIGALRKGEYELAIKNIFDILKPGGYFQWEEAN AHSITSDITPQPPSFTESRRLVLDCVEKSGLLIVPANYIKSEAKRIGFGNLHIEEYYT ASRPHLRDWSRVWLTRLLQSLIPMAMIRLGKASEEDVAEEMAEELIAEFERECSEATV GLTMEMVIGKKPERRGSLL ACHE_80469A MATQFIYRNPRPLPEPPISKWMRFLSKNHNVKFVWLQFLSYTSS TYTRIVPLAKFTRMSSMVSACLSPGEDSIEKRMSLVTRQVETAPAKLSPAREKPSDYF PKPCGRASTLWKRSYIVNIFSQRAPNVIEGHPIVSTYIAVGRKEAGILRKMEPDEQRG WLISRY ACHE_80470S MSNQPNSHKRILIIGAGIGGLTLAQSLRRHSIPYTIYEREQSAS VRKQGWGLTIQWSLEAMQSHFLPETFDRLCQAQIDRETGLDGTRRVPWVNGLTGVVEG RRPASRKFRFRRSGIREALIEGVDVQWNKQLIGVKRTGNEIQAEFSDGTVATGDILVG ADGTMSAVRKVLAPTTHQAQDLPINAVATGLPITEDQYKRIKETIDPLYFLATHPETN TCLFWSLQDTPKQAGGSHTAQMFLSWLKSDEDNSQDEELLQTSRREVFMKRGKSFFGP VGEMAAALPEDAQVAVVSMMDWPHVEWDTWDGQCTLIGDAAHCMTPFRGEGVNHAIVD ACYLADQLKLALDGQISVKDAIEQYEEEMRPRGLKAVQNNRQAGFEAHSYTTLAKGGS SAFLELK ACHE_80471A MDNTVESDSTSEIDDQTKAWESDPRLVTQRVHDADNELNPLECG IGHRVQASRSVLALVLDEECVFAGLQGGDIVAWSLETYDLVLSVHAHKESVLGLYLSE DGDLLFSSGGDSVVNVWSTRTFDRLYSIYSHHDVGDIFAVAYSSTLKTIYCGGQNTSI QWCDLSKTDAAATQTSAAHLSRRTHRFFDSRGPDGTRAPRPDSSSDGSAQGGQVLTFK RDHHRLFSHHGYVNAVLLVRGLIESAPTEEVLLSGAGDGVVKLWRLGDDGNAAPTQMA KLQNGDPVLSIAVDGSFLYCGLAGGALNIWNLDSHQLVKRITRHTGDLWAVDIIRGIA VCGDSNGIVKKFNSRFEEVGSWVAHEGTMLASAAGRFKDRRIYATGGNDNTVGIWDLT EFSMNQDEMPPISNDELVNALAKFVAFKTISASPKFNGECNQGAAFLRRHCNYLGAKT KLLTTGDNTNPIVHARFNATSPDKTNKTVLFYGHYDVVGADTNRPKWKTDPYQLTSIN GFLYGRGVSDNKGPILAALYAAADLARTKDLCCNVVFLIEGEEESGSQNFHDTVRQHK DQIGPVNWILLANSYWLDDSNPCLTYGQRGVVHANLIVTSDHPDLHSGIDGSALLDEP LKDLSMLVNTLIAPKGRINLPNFYDPVLPPTPAEKDRYAAIADVLLPRHPEIPDSEAL INSLMHRWREPSLTIHSIEVPGSSKSATTTISRKAKASLSIRIVPNQDADEVAANLTA FAQEQFDNLESGNDLTVEITGKSDAWLGDPDNEIFETLADAITTAWTPDSHATKHHYP PIASSSSLSPEPAAPTTAPAGTGDNAAAASRPELLRKDSSDSLASHIDRIIMSTTTSS ARKNATRKRSAVGTTVPTSSTLTSKSTTGTGTGTGTGTGNVTSSSSSGTDTPDPDSAS KTLDEGSTHLSPQPPTEPRPSHQQTGEKVASPSRTGVRPIYIREGGSIPTIRFLEKEF SAPAANLPCGQASDNAHLDNERLRVENLYKSREILRYVFGRLPEKARE ACHE_80472S MPLGETVAVIDKSGKVVNTSKQVFNIFNNARNAYRERKTQFQYE RNAKVAERQAIKAMENFTFDDSHSVASSRRSGRSRSIARRPSAPGRSHHPRRASSRAP SHYSDEESVYAPPPAALPRRHTQPIMDTRDVPQQRPPTGRTMSDADIDMDLAYGDYNP EAMVPRNSDPPGAASPANNMQLQRIEDPELNSLVNRAQMLLEEAECLHYSATTAMSQL QQHPDAMAAVALTLAEISNLIGKMAPAAISMLKTSAPAVWALLASPQFLIAAGVGIGA TIVMFGGYKIIKQIGGGGSNENKPKAIEEPERPEDLMEINTECLSSVEMWRRGVADVE ANSVGTKVDGEFITHTAATMSGIDVNNARNSRDPRFKFDDDAATVSSRRTGRSRSVHT PRRESKSKPPTTSIFSKMRSQSKPPPPAKSPSKAPPPKAPSRAATTPMKTSKAPSPSK TPSKTPSKAPSPSTPSKTPSKPAFSRTYSKHDTHSERDSKQSKETPKRSSKLRLMFTS S ACHE_80473S MPGTPPSSTLEILNEPDWARTHSHRVGTRSRDARHIGLTHSGDD RHHDYQEDLEGIAGAKLDELRGKVRHGELVSVRDVLTKQMDFHLKRPDVHLRFWRYVL HTTEAFIKEEQPWAANLKKKEKEEERRKEERQKQDGVAGVQKGQGKVDGQDGGQKDST GEEGQQPKRSVEEEALLQCLRYEQKYRASMTTNHGKGYSTLQNEDLPRQIDEADQFSP DSWIPRSDKLTRLTGKHPLNAEVDLTTLFDGGLITPSPIHYVRNHGSVPHLLWENHKL EIIAGKRLLLGMDELKDQFESINIPIFLACDGNRRKELNMIKKTRAFNYTAAAAGCSY WKGVLLRDVLLKADVQQLSKSNPNKTFWLNYEGADNLSEGKYESCLPLDYVLDTNNDV LLAYEMNDHPIPPDHGYPLRLMLPGWVGARSVKWLSKVWVTDYENDSYYYIYDNRQLP SFIEDPGSEIAEIMFRHPSTICTTQMLNSVIVRPAQGERINLGDLKNGAMYRVEGYAY SGSGNEIDRVEVSLDGGNRWSYCVRKYPDAPIRHGRKFWTWLHWHIDLPISKFVRAKS ILVRAFDEHKNTQPPKPVWNIEGMMNNCWYEVRPETYDSSDTSSTHLLFRHPVDAGTS TNGWMKPSTSEQIESIKQKASAPENQLTRQEIEKHNTQDDCWIVVNGNVYDATSVLSW HPGGKGAILAHAGAVHMDTTEEFESIHDNYAQDKLKECIIGKVTQKAMDHIKKDAEKK KAEASQTDKKDPEIALDKHKWTQATLSTKKRLSRDTQLYTFTLPTPAKKLGLSTGQHI QLGFHFEDRLVVRPYTPTRPILDTEDDGSFNLVVKTYFPDENQPGGTMSNILDCLREG EEVEVKGPSGEIRYLGHGRFEVDDNERRFDNVTLILGGSGVTPGYQIIARILKTPDDK TRVKVIDANKSENDILLSSELEDFARNHCEQFQIAHVLSHPSDKWKGLKGHLNEDVIK EHAFEPAEGNVALLCGPPTMIQKAALPALRDWGYDDDRNLFGF ACHE_80474S MEGPLRPFTDPASKASDRAFPLDDKDIDCVSDESLVDLLKSAPI LDDLGQTTVVRLSKDLVLKGGGDVLPCEAKVLQLVASKSNIRAPRIHRSLHFMDDTKY FGTMGYIVMDYIDGEPLDGCWRDLSDEQKMDVARQTAQMIIEMQSIKLLEPGPIGGGP CRGRFFTHYSAGPFKDVAEFEGWFNHTLDICKTYKHASSDLPPFKFTEFVLTHQDISP RNLILDRNGLVWLVDWADAGSYPPPFEMAALRSQSQFIDFNDMVLSLLPRYPLEEQQL HSIGYALSTAALA ACHE_80475S MSSSKTGKHGHTKVHLVCIDIFTGKKLEDVVPATHEVDVPNIHR DEYRLEGVDSGHLVLTDQDGNAKNDVTVPDGDIGSQIMDRLDSGDSSIVTILRAMNEQ ACIGYRDA ACHE_80476A MSAAPRPPFLPGSLEEFTEHAATHHSEWFQYCRLAYEYIEEAEA AITEARGQADQTSLKLQASETEVSRLKEELSALHLKQEKNQARDQGIIEYQKEQLRES QQKYLEALKEKDEALRLATPVLLRWERLRRLIPHLPALPDSLNAYLTQTDLKVTGRIF ADSSPKSMRR ACHE_80477A MEQYAKSMELYNHYYHPNLAANEVLAYVKILNVFQKKNLNQTRE FPTTGLPLEEWLALSTDHTSLGNLKSGENRQFLRRQLEQCIPASQHNYSRAISLLGKH GIYIHQSRHCKSRF ACHE_80478S MSDIALNLIQSYFLGKFGIYIVRNAQSLQQHRSWIHADRRPRGR QPPASQVSPLPPYRTVSCQRFFPSGAGSGFFQVTPPAHTERARQAATMGEVEFIRRQV AGALAEDAAAAEAGAQQVPDPDARAPTEISPWLELTRWPEFLRGHAFTAVAPLAAPPD PTAEPLLTVFSASVERLIEAAYQSIKTRRINEFDQVSRQASEKYIYGR ACHE_80479A MAGHGIPRSFRHIDGFGVHTFRLVTDNGDTKLVKFHWKSLQGRA SMVWEEAQQVSGKNADFMRQDLFESIENGYYPEWELGAQIMDEDDQLRFGFDLLDPTK IVPEELVPVTPLGKMQLNRNPRNFFAETEQAMFQPGHIVRGIDFTDDPLLQGRLFSYL DTQLNRHGGPNFEQLPINQPRVPFHNNNRDGAAQMFIPLNRDAYTPGPHTTNQGAPKQ ANQTVGNGFFTAPNRKSGGNLQRTVSSTFSDNWSQPRLFYNSLNPVEQQFIIDAMRFE NSNVKSEVVRNNVIIQLNRVSNDLARRVARAIGIEEPSPDSTFYHNNKTTNVGTFGAK LRKLEGLKVGYLASVDNKDSLTEAATLSESLSENGVEVVVVAERLTDGVDQTYSGSDA IQFDAVVVANGAESLFSSSSLTGGAASTLFPAGRPLKILIDGFRFGKPVAALGNAITA LRDAAISPSREGVYVAKSADDIVDEIKEGLYTFKFLDRFAVDN ACHE_80480A MRALSLAIVNGAIGLANAACPYMTGELNARDTSSNDAAAATEEF LSQFYLNDNNTYMTTDVGGPIEDQNSLSVGERGPTLLEDFIFRQKIQRFDHERVPERA VHARGAGTHGTFTSYADWGNITAASFLGAEGKQTPMFVRFSTVAGSRGSTDLARDVHG FATRFYTDEGNFGASLFHFLLYQC ACHE_80481A MARISNLFVTWLSARNLPLYEETQRLHEKYGDYVRLGSPSELSI VEPRAVRELYSSQAPVSKGPFYTMFEPPIALFTVRDKEVNSRRQKAWDPAFNSKSLSE YEPRVLTYTMQLMSAIEERIGQHMNVQEWFNYYSFDVMGDIRSAL ACHE_80482A MPFPDIENKAATGISYYTPAQIPPAGSAAIPQSDGSGPPKLFQP LSLRGVTFHNRIGLSPLCQYSGDDGHMTDWHMAHLGGIAQRGPGFLMVEATAVQPEGR ITPQDLGLWKDSQIEPLRRIVEFVHSQNQIIGVQIAHAGRKASTVAPWLSFNDAASEK VGGWPNNVKGPGSEPFTDNCPIPHQMTKEDIEAFKAAWVAAVKRAVRAGVDFVEIHNA HGYLLMSFLSPSVNKRTDEYGGNFENRIRLTLEIAKLTRESVPQDMPVFLRVSATDWL EESRPNDPSWRVEDTVKLAEALADSGDIDLIDVSSGGVHKDQHIHAAPAFQAPFAIAV KKAVGNKIAVGSVGMINSAQLANSLLETDGLDFVLIGRAFQKNPGLVWAWAEELDVEI AMANQIRWGFGSRGGGPFLKSKKGQQ ACHE_80483S MGKGTLVCAFSGGLDTSIILKYLIDDGWDVISFTADVGQEEDFD AIREKALKLGAKKAEIVDLRREFVEELCFPAIACNAIYENVYLLGTSLARPVIARAQI EIAKREGAVAVSHGCTGKGNDQVRFELAFYALKPDIQVIAPWRDPVFYNRFAGRNALL DYAAEKGIPVTSTKSKPWSMDENLAHCSYEAGILEDPNTTPPADMWKLTVDPLKAPEQ PEDFIVHFEKGIPVKLEYTENGQQKTVTDPVELFLTANAIARRNGVGRIDIVENRFIG IKSRGCYETPGLTLLRAAHVDLEGLVMDREVRALRDQFVTVNYSKILYNGLYFSPERE FLESSITTSQKSVNGQVRCRAYKGCVSILGRSSETEKLYDMSESSMDEIGDFSPAETT GFITVSAIRLKKYGQMKAAAGERL ACHE_80484A MAVPRAKTPLDVVVVGAGIGGMAAALTLGMRGHYVTILEAAPKL AEVGAGIQVSPNMLRLFDKWGISDLIHAQDVGLEHIHVRRWQDGSLLNTMPVNKTYGQ QAVIHRADLHNALITRALAQPTVTLRENSLVTDVTFDPPSVTLASGEIVRGDIVIGAD GIKSGIRAKLLEDDSVKAQPTGDAAYRIMLDRSVMEADPELRELIREPQATRWIGPYR HVIAYPVRKHELYNVVLIHPDRHGVEESWTTKGSKQRMIDDYRGWDSKVTKLIDLVPD DEVLEWKLCLHSPLETWIRGCVALIGDACHPMLPYVAQGAAQAVEDAAALGILLSTIG SRHQIPLALTAYEQSRKQRAETVQQSGTENRIPLHFPDGPEQIARDEQFRTSMRESSK NPDRWSDRETQRFLWGWDAEKAAVGAWKDVCGNESKVSAYL ACHE_80485S MPTTTPKTIAIIAGAGPGTGAAIARRFARGYPVVLLARSQASLD PLVRDIKRHNGYALALPTDVTDISSMNRAVADTKAQLGQDVRVAAAIFNMASKFTRKG FLDSTPEEYLGSLQATVNGAYNFSQAVLPLMFGSGSDELQYPPTLIFTGATAALKGGN GLGSFAMSKFAVRAMAQSLAREFGPKGIHVAHAIVDGIIDTEQTKGFHEKMPESKISP DQIAEAYWYLHTQKKTSFTHELDLRPYCESW ACHE_80486S MPGKLRDNVSRIKEPSPLGKSLFVGLRAADVFWQYNLLTRGWGL RLIEKLGGQSVSPLHVFNPLSVTGLQPYYGLVSLLSIGSSVKQIIHIIFVSEQTMSLG SGVAIPAFNTVFNTLNTLLSLWAVTSPVSSVPELGTLLDTFSSSPLVAVGLGAYAIGL LVEAVSEFQRKSFKQDPRNQGKPYGGGLFSLATNINYGGYTVWRAGYAMVCGGFAWAA TTFGFFFYDFASRGVPVLEEYMTQRYGDAYKQIKSRVRYSLIPGIW ACHE_80487S MQSRLLHSIRSISSISTPKNPLPILICGRNPRVASAVSAALRPD YDVIHSISSPQAGTLDIPAFLRGDGNTASTSSQGTTAPTPTPVAILVGGGYTVHDMEM IREACRGLDPVIWLKVKKDMSGPLPPLEEYGAEVGRRAREYLNGVRDRGELGGGGVYY I ACHE_80488A MDAWTLGNVHSNIDLDLYNQAKDLLQKVSLMETGSLTLLQALLL VSGFAQKRGMPDAGLQYLSIAVRMAIGLGLHQECADLSSTMFEKEMRRRVWWTAYIFD SCAAKSFGIPLLLPNNSEISTRPVLNVHDEDFDVTASSLPPEVNGPTLYSGLIAQSQF HAMANAIYRRLVAKPNVSITEMQEMEKMIDDCRSSYPSYLMDDDYASRSAWLRSSNDR LQICDRNLRILLWRPYLLQWVKINSKGEGHEDAVLRDNGLRCLYAARESLNLVHKTIK GGVHLRLVASFLLYCLFHITLVFVISLRLGPALPDTFSLLQDIKTIKQLVSQTWLVND AQASNFLNLINRLALPFDAPIETALAASILPTEMFLDNGDFPSG ACHE_80489S MDSTASVLDAVRLSHTVFLVTTPWGEGGADVELTHGTNVADAAK EAGVEHIIYSSLLNVTETSGGRLTHVPHFDMKQKVEQYIRSSGVSATFVLPGYFMSNF AAYGMIRKGDDGIYNLAYPVTNNAKFPLIDIPEDLGKFVLAAIKKRTSLIGAQILASA DYYTPTQILADFEAVTGKKTRYVQVDAASYKGFMPAPVADELLENHLFIEEPGYYNGR SLEGSKRLLSGLGLKTTSWKEYVQKHKESMV ACHE_80490S MPRDMKPIKLYGGYLGPNPLKTSFILSELDIPYENEFVDFPKLK TPEYEVINPNGRLPAIHDPNTDITIWESGAILEYILDNYDQEHKLSFTPGTKEFYHAR QWLYYQVTGQGPYYGQAVWFKRYHAERVDSAVERYVNEIKRVSKVLDRWLEDKEWLVG DRISYADLAFVPWQNGVQSVLSDEGYDEKEFPHMTAWLKRMAERPKVKELIEAQETMF QEMQKKKAFD ACHE_80491S MARPSIHFGVVYFSIAALVHLRPEDRVLNSSVLTFIGLSIIATA LRIIYDLSLYPQFFTPLKQLPTPPTRTWLKGNTKSIFLETPLDEMADWVRNVPNDGLI RYYMVGNLERVLLTSPKALSEVLVHKAYDFCKPELVQLQLRRVTGNGLLLAEGDEHKL QRKNLMPAFSYRHVKDLYPVFWAKSAEMANLIEQEIKSRKNPEDNVIQIGNWASRATL DIVGLAGMDHDFESLRDPDNELNRQYRRFFNDDPKMTRIIVLLGLFAVDLKLLQKLPV RRNKIAQETSGFLRSVARQIIHEKREKMENKTESNGVDIISVALQSGTFTEENLVDQM MTFLTAGHETTATAMQWAIYALCKHQDVQTRLREEIRTNLPSISTDSPAPLSAATLDS LPYLNAVCNEVLRFYPSVPATVRIASRDTTIINTPIPKDTFFMISPHIINRQEEFWGP EAATFNPERWIDPDTGRTNKTGGSSNNYALLTFLQGPRSCIGQMFAKVELACLVATMV GKFEMELRNPDAALEIRKGATVHPKDGVMARLTPLEGW ACHE_80492A MDILVSSNFERVMWFLAKEFAIATGTDERSSKKQASQDVLAWYQ ALKSSGGFGPIHKDILENGQRTFESDRALDPHTAVGTTAAQRSMTRAGTHHISLSAAH PAKFSEVAILALKDNAGFNFEEQVLPDELKALSQKATRVTKVDNSWKEMREIIKGLAE EGLESRSKRLIEVIFVLCRRL ACHE_80493A MDRQQSTALGGKKDVSVVILHPKGRISPIQEAQMATRTDRNVHN LAVKTLKLGAVNSINFARILAQIVFYFYSYFSLARKSPSLNVGDKVRFVTPTGIFGNI LEGFFAKLAYQ ACHE_80494S MFFPRHLPLWLQTLAVLVLLCGQFTIRAEPLPVGSLATSNIAAR SPGLRRNLCEAGSCGRASKRDYIDEADIDNDEHEEKEDSDSSDLERRLLSFTDDGNGT FPSASELDKRGLDRVSPSRLDDYIKRITNNRRGDLMIPLIPDDTTSSSEFKKFGNVPF NIGTKDLCGCTCLFLVSDQAVYAAHYYEDISFNPRGFQRQVKDFLRSPRSWVSGEEQL RYKSLQQVEKYFPEDTTDAFILTPAKEIGEDRNGLPVYDTTKAQYKQRMDGQEPYISQ LQNIVRDMIGVKPEVHVYEAADQEKEGAKLDYTVSGRALFQYDPEGPGEVRLFFENKK VLHGSADC ACHE_80495S MAGSPLQSDDESAGRVSTEAWEQRLLEAEGLDQTRLILDGWRAD ESALEPDLDSLCFYESLVAAFHRGDVPLVKYFLEEGVPITYTLSRDALSEAVPENKRL EILETLYQYGWDLNQKSPWRFTILSDSVKDKGTVQWLLDHGADLNPRTPIYCVPISIA ARDASLDTIRLLLEWGADPTRSIALKYAIMRDDEHWKTVIETLLDHGCGINNSNSFGI SHRGRPRKDPGTVLHSAALWNRHHMIPFLLEKGADPLKVTETGLTPAQYALENGSEEA ASILAEEERQFNARQGEI ACHE_80496S MTAEDRRLKLIQERSRLAESRGFTAAYQRETEEQDNVVCLKQRA PATEATYSRAIENWCLWRLSRNEPESKNFAKEEPDPSAQTLKLFAEDFVVTRKDLPSQ STVRHNISCFVAEWERKTGRTLPKYLKNDVYNYIDNVLTPKYHLTVQHREKFPVTNKD LSYLLRHLFEEDDHDYPHELMRIYCAFTLSLFSASGARAGAVVEASSYPGSNESLYYR WTSTGQVKYWVTMDQEFLKGQRYNKSNLIPRNWVPEQKVLGRNFPFWLMVVGLADQAF KGIRTVEELLDKRPPRGRESWSFEWDDCKKELPILRMVKTDGADNHRSLTFASLRHHF YSLAKRACFRDVLRIHGIRGAVANKLDVRASEAARSQALHHQNPETYLNYQSKVLAID VQAPYWDLEPDLECLDMERSMAHHRDINVPHRLSAEAMEELERDPEMIDINNRIVILT EKILKNPEDHKDLTRERTHLYNKAAKKRQKALKEFVRSWWTSSYSEYVAGNNFTERDT TSLFDIYCKYMPERNRLRGDLFTEVPINSQTGRQCLQDLVNHLKMDSAPYV ACHE_80497A MTQQDIIDNAQKSFSQVRLEIMKRLKSRKQALKDLGDERVTPEQ QRGHLLSVVARFQEITSHGLSSNYGTDDIFDSHPDLRLATLVMNRHILFAENLTVWAH EYKIKTETDMNNSKGDQVDNFEEDSPTSEDGQTSEDEHLSGSNKPTKSIKTRETANME DLTEVLHECTEEVSPKDGEIYEWLTEVFCSSRGFEMGTFNPSLLATTMRKQSAKWLNF TLGYISDIITIVHRFILAALEVACVDQRVCRRLKARLMEDLLSKYQDAIKQANFILNI ERNGTPLTLNHYFNDSLQKCRATRIQTQLAPKVLGDCKHGDVIRLCDVSAVHHKNNTR QTVEDIHDILQSYYKAALKRFVDNVCMQAADYYLVNGPETPTKLLCSLYVNKLSAADL EDIAGEESHVQRLRVQLTKEITDLEAGKKILL ACHE_80498S MSERRPVECKRIPSTQKCGHCVRSGRKCERDVHAESEWKRIDRE RERIASQLEEAERQSDELLMKVMRLRKQKRFLESRNLKMLDNDFGALEGMGEESSVPD EDLQEFERLLDAEAAQLAATSNNPSLTQMMNSPSFWENFDSAVAGGIPSPTGGNQSSS R ACHE_80499A MANKSPSPMFKPALILHGGAGDIHRSKLPPDLYEKYHASLLSYL RSTKDLLYDGATALDAATHAVSLMEDDELFNCGRGSVFTSAGTIEMEASVMMTSVRRD DEDKQENIKRGAGVVGLRNVRHPIRLARESLLRTGYDTDGKPNGDGGSMHMQLVAPYV EERASEWGLEIMPDEWFWTEKRWEEHRRGLEGKEEKEGESEEDQELISMSQGTVGCVC LDQWGNLAVATSTGGLTNKWPGRIGDTPTMGSGFWAEAWDVNYPSSSPEDEEEDAPDE QEALISPTSPSSPTWGFKNIRSLFSECTPSFINKRNSAYTSIPAANPDSQQTPDDDEK GYSDQVEPHARTTRRAVALSGTGNGDSFLRIAAARTASAMARFSPPASSTTLAQAVTA IAGPGGELQSSAGKRWGTTREGEGGIIGIEAEAEVDAESRGHKLRHGKVVFDFNCGGM WRAWVEEDEYAGETERVMVFRDEYR ACHE_80500A MDHSRDPCPWVALSDFGGAFCMGAIGGAVWHGVKGFRNSPYGER RIGAITAIKARAPVLGGNFGVWGGMFSTYDCAIKGLRRKEDAWNAIIAGFFTGGSLAI RGGYKAARNSAIMCAVFLGVIEGVGIGFQRLMAENTKLELPPPPPAEGKAVI ACHE_80501A MEKAGVSNVMKVVGGNRITDGLVVTPSVKESLVTRTQRVHAAYT WAFGNSPVDLPIIIAAHKTIIVVGEQQNRSKSMGHNLLTAMVNDGLQARQALLPNNLS PPRLDIVRLSVVNLTEKSFLDLIFQPHKSSGGLRLYHHITKYIDIRSHHI ACHE_80502A MRTLMDQLQSNFLMKPSLRITIRCRLFFDADKTLAADDTGARFW ERIKETKGKDDPLSTLFGGPLKYSYTAFRQAMLLYEESTNDDEFDAICEEVATYTHLY PQICSLLRQEGRYHHV ACHE_80503A MKQLKQELDESNFQYFEGSEIMNSVTFGGLNAFKKLDEHQKNQI RKLAINSIKSTCAKSGNVGIVTGHFMLLG ACHE_80504A MAATLTFRALVLAALLAVLVPYCSAFRYNQSRHGDVHVSDEQDI YAAEAEAMMKHDESEEGEDHGDIGVPDGAEFTTFNVNEKGDEEIAGFISKDLDEKTIE HLFIVLHGRLRDGNGYWKTLNNAINKARDDNFPGTDRKMAVLAPQFFSTKFNSGQYKK NQLAWDDLNAWQPGGQATHPADTSLTSFDVLDGLIKLHSDKEKYPNLKNVTVIGHGGG GQLAQRYSALGNDPPDNVHVRYIHGDPSSCMYFTKDRPILSDTETSKKSCDSYNIWRY GFDKFPGTGGKRMTPKEYFTQYVSRDVVSIVGLQDTGSAGDTSCMGNMQGGENRRARN LIWFRYINTLARTDEDLEGFPGSFEDLPDWSDAVDGKSHLRLVVVEDVAHNAEELFQG DLGRGALFHDGDIDEGWRPKKDNN ACHE_80505S MVYDPARDPFGKHEMTPEDRPFRANPIDQMVTCKVRGWFLTSIN EQLKDRLEESFIKCIVAMGDLQLITGFSIIVSGALQLDCGLTVYEWQIIVYLTWFSCL THLSCLMVLRSYLYVHTFGRTWRLVAMGILAILLIVGLLPTANYAAPYTARPGPSDYA KCYLAIQPTSNIGLWSMIFSVLIIAIGFISRVMKLHKMLSVTIWGSLRTWASVQARGV LRVVYKLCTTGGRARGLSFSLVYRPLFAVLLASRFVLDAWSSMFVEALWLFIAFLWGV IRLMIALDHTPRGYGLWTKTASHDRGNWTFGQVVSLVLLATPLVTLLGYFDRDPSTCP QSSLAREGSEFLEPMRRANDPNPPPSIETILDAEDPDGNWSNQSKTLGMVIIYALVSV INIVTELFFQSFDRSLIEVLANLHWVIKVILVLTGIYGIVLFSLLIQSEVSGKRPRIQ GCLQFLNLAFHVISFNCELFFYASYTSKSQPWLYYMQLFALGFYALVAVVFYGLARKS R ACHE_80506S MGAYYGAHPHSAPVVSPTTENQPVPQYNDAIEWFEQDFAATRDG RYDPAVAQLILAQAAIETTTELLTQVILDLAQHAELAEALREEVARVIQEGGWRKSSL YEMKLLDSVLKESQRLKPLAMTSMHRLVLEEMTLSDGTRLPKGSVNGVSADRMWDPSV HENPTQFDGFRFQRMRDQPGGSANQAHLVSTSVDHLAFGHGKHACAGRFFVAHEAKIA LTHLLLKYNWKLAPTSANAKPMEFGLVLQANPKAKISIRERSRDIQGPLLA ACHE_80507A MFTASFSGEFSLLRKSTAPGWCTMVVRYCIASMHFLQVWSLTIC AAGTYLQGAHFVVWTCITDHYVQLLTANILISYALSTSLYLYSFSVDTKYPNRDFREL AAGGTTGNVIYDFYIGRELNPRVTLPFFGEIDIKTWCEVCPGLTGWILLDLAFITQQY RNYGYISDSIVFTTAVQAYYVLSSQYNESSTLTMMDITTDGMGFMLSFGDLVWVPFLY STQCRYLAAYPVHLGWTRIAAVSAIFVLGIYIFKAANNQKHTFRTQPNHPAVAKLSYV QTKRGTRLLTAGWWGIARHINYFGDWLQALPFSLPTGLAGYMILPAGSVVASASQAAV PMLDGRVAVQGPATGWGMIFTYFYVLYFGILLIHRERRDDAMCAKKYGDDWKGYKRTV RWRIVPWIY ACHE_80508A MVRKSTNAVEQTHNKSNRRGKQLTLLQAILESLKLDIQDVQQNR SYNSYGLRHRYATQTLEASFLRHMARSESARQTESNSPELNIQDQDQDHIFFPSSSSG RPLQRTPSRRGSMSRRGSSRARSSSSQVSLQRVATANSHEQYQNTELQNLEEELKINN LKAELLAKQIEIKKRERELRELELENGGQH ACHE_80509A MWTRYQITRLQFLPSPQDQMRRGRLRGLTKNNPRLFWKDHEHEY PVPAKLTRDILSAPASGAGVERLFNSARDICHYRWGQSKPDTIKDLMLHLFSSEFDLE RGELEMVKEDLSPGEAAIADQTRKPVPTLDELEPVSDNEKEGYEVVNLSDDSDDESDK DHALTPTQITTQGKHTQCKRPRSADESPEDSDNGLSLPEMPIKECTQGRSGRIRKKPK LPDGFEIDRL ACHE_80510S MILSAAASYLGGLFVPKLRRRPMMIGASLACSLCFVGFSATTGV YSNSRDSISATASVVLIFIIDFCFSFGWTPLQAMYPVECLSYEIRAKGMAFSSVLSNI ALLVDQFGIGNAIDKIGWRTYIILAGWNIAQAVFIYFFAVETNKRTLEELTEIFNALN PRKRSTQKEQILVTGTTEPEMR ACHE_80511A MTAGLWRPVRLETYHGRLEDIRVNYRVADNLKSVQGTISVQIEG SVGDIVIASVELAGNSVFTGTGTVKDGLATMSFSVSDVSLWYPHGYGPQTLYNVSATL KCATQDLDHRSQTTGFRQVQLVQKQDEIGRSFYFRVNGMDVFCGGANWIPADSFLPNI SEDRYRRWLRLMVEGNQIMVQVWGGGIYQDDSFYNICDELGLLVWQDFMFGCGNYPTF PAFLDSVQREAMQNVRRLRHHPCLALWAGNNEDNQVQEQQNLEYNPSDKDPQNWLQSD FSARYIYEKLLPDVTEKECPDVPYHPGSPWGDGKLTSDPTVGDMHQWNVWHGTQEKYQ IFETLGGRFNSEFGMEAFPSIQTVDAFVTQSSQMHPQSHTLDFHNKADGHERRIATYL VENFRTTTNLEKYIHLTQLVQAGALMYAYRGWRRQWGQKRHCGGALVWQINDCWSGTS WAIVDYFLRKKAAYYVLSRVLAPVAIGVQREHHDWSVAHARPAKSSRFQLCAGRDDQQ CLRGATCATASIFIDGLVVSRDVDWPQPYKYLPFADRGVVVSQPCHGGSNTIHISAKR PTKGLVFEERDGVTLSDNAIDLVPGDEHVVRMTGLSPSSPALKYHYLDM ACHE_80512A MRVRLKRLLRRRRSPNTDQNNTPEQQPKKTFPTGIKQLCSPDDG TIDIVFVHGLTGDREATWTARGATEPWPQTLLPLILPMARILTFGYDAYVADWRGVVS QNSIDSHAWNLLTSLSSYREKDGTNERPVIFVCHSLGGLVCEDALFKSRQRPERHLHN ILHFTRGVIFLGTPHHGAGLARWAEVITRSIGLLKQTNPKIIDVLKRDSEVLARIQDG FYTMIKAHSTAEAPPIEVTCFYEELPVLGVGLIVPQDSAILPGYIPIGIHGNHMDMTK FVNIEDPGFVAICGELRRWVKDSDINKRRHANRSPADKPDAASQHGDNARQYNLFGEG MQKIADGHYFEAKGDQNFGMIPPKV ACHE_80513S MSAPDISRQIVGLIRVTEGIVEAYDSIKDLYSLPEAFQEVNKQL PLVSQTLRDAKGPAKKLKPADDAKALETVLYSCGEKAGKLLETFQKIGKKSKDQYDSS VYREIIIKQGQQRVETLMAGILEDLRAMVLHKIFLGQMQRQIEPLAKAREELAGISPS LADSDLTEQPRAASQYGDNNRQYNLFGEGTQKVADGHYFEAKGNQNFGMIPGKESVET MVA ACHE_80514S MANIPTQNGTPGTSQQSQDLRVSSSGGTTAVHNEFDIEDKHTCG HQGKNVKDVFSSQNAPSTVDSVAEATQLQTNDGDGREIVHHGPAVEVDNVTQGRSHQQ VFPSMDIASYSNSEQVGTEQEYPVATSLGLSEDHVEALGTSRQSSARMSLPVCTNSYA QISQSPSMGILDNTLKIGGES ACHE_80515A MVSTNNPKVTEGTTPDERDTNNPPGDESVSENAQPVVPVGPQLS VRVFKTFPGAEKASPEAVCKVGSAATFENESLGYLRKYLNNQKILKSIDSALPFCTAD GARVEETTTISEYARLVHKKSDTGTVKRKDGGSASTEAQEPVDGSDESDKLTTCDVYL IARKKTEVQLSDPVKNLLDSALDMNFSQSGDLPKAVLKQLKSSYDHKLWAAEVDKGPR TYPAEMSEEDWAIVMQNNDLLHGLRVIPARAPDNKLTLNGIDRSFLPAFTLKPRPVEF YKKAPGVEVDTTVGFAIPRFRIQDDSYVRMTEAKTDVESSFARSSFSQHDFQVAAGGN VFGYDVGAKFGFSAKEQDGYAQARQENVEMMHISYNFPRVVIRLDENSLELDPVCRSR LDKVTDFNSMSEFFADYGQFFATQVQLGGRLHAAEDIKSTSSSVKEEKANAFKAAVSA SFSGPSARGSTSYSHESKSASDGTEQKISYNKSMSWEAQGGDTLLCNSPPDWCPTVHS FYNWRTIKQENVVFLPDLIGKLPGFKHIPDTFKKIAKNQKPDTATSKVPYFVEFSLEL EGSEGKEFSLDEKCPGTDGDNIVAKDEYAMALTPTFLLPAVYKHWLKVKLEMGGKAIH VLPLGNINHIGGLIPYSSLPTSFGGDPSKVRRVTSRNATQAAKVFKIRTENVKGNKLA IRGQKYQIDNPGESMSLSATSNLMDYVPQSYLFAATSPQTPVKFAFWDTELADDEQEG SIPDGHVVSLVAWIPNSDKRGFVCLRDSGGDFEILPGSPDLNKVARFKIKYGKAYEAP PSAEVRRREAAVHDLEDQLFGERNPATEAPPSAEEVRRREAAVHDLEDAFS ACHE_80516A METRQPSRGQHTADRVYQRTYKACISCRQRKAKCELGTGEDGTP IGPPCARCRREQKECLFSEKRAWERGNKRYAEDGVDTLSSSTRRRRLNDVGERHHSRD VSEYGPSPGNNDTTFAENTGNINESGQNRQQPTSSLGNSMMRTVVASGNDALNILFEA AAAHSRGNGTAAGAQPGNALSSENRTTRSEDSLGGYSGADLEGSTSMVHPVKISRVSK EVLDVWESCRFVKMGWFTSREAVTFIDLFFKNMSPLSPILTDFYGNHKNHYCLLTRDP VLCCTILMVSARYHVLPGAGGESRNFFIHHRLWQHCQQLITRLIFGQDKSTSSKIRGI GTIEALLLMSEWHPRSLHFPPEVDGWDCDLMTGTTESRANADEEDMLSANRWLEDMIE PARRSDQMSWMLLGSALSLAHELGIFEVGDKEPNSPLEPEGHVPGDQMKFRRQRVQRL LYVYINQLAWRIGCISLMPQSLNHAIISGQATRDLSRSGDGWFTFMDSWMDLTKLAKS VTDTFFPSVSFARQQLHSGRYIDLLDHFRPLLTQWKEKYLRPQLLDKPFFNILFIEYH FVRVYTHSVGMQAVVERVLADGEPNLEEVRPLNIDSIDYEYIQEVIDGCCQILQKVTQ LAEIGALRFSPVRIFLRITSSSIFLMKALSLGARQAKLRESLDILERTIQALKSNALD DIHLSSRYATLLDLHVSRLRRNLLVSSKGKNSRGTTTTSSTCPPSRPDNGTANGNTTM VNSTPISRSMSDISFIPSLNDMAADDWLSLPFDPSMAPFGISSGGQIPALEGGALDFI WNLPS ACHE_80517S MTDITNITLYTYFRSSCSARLRIALHLKALPYTSIPINLLKDEQ RAPTYGTLNPSLTVPSLIIEHGNQTTTITQSLAALEYLDEITPSTPSLLPPSSDPETR ATLRTLASIIACDVQPVTNLRILKRVGPLGMDRAAWSKDLVVEGFRAYEAIVKKTAGK FSVGDSVTLADVCLVPAVWGAERVGVDMGEFPTIKQVAERLEGEECVRRGHWMTQEDT PEEFRVKE ACHE_80518A MASWLQIPKNSPFSLANIPFGIISSTKASPRVPAIAIGEYALNL SAFASSSGFSQLPAIQPHLSVFNEPTLNAFAALGRPVHRQVREYLQAVFRADTPYPQV LKDNASLQKEALLPLSEVTNHVPLQIGDYTDFYAGLNHAYNVGVLFRGPENALQPNYT HLPVAYHSRASSVIVSGTPIHRPNGQILTNPAANPKVPTFSPCKKLDIELELAAFVSK PNDLGKPVPVNEAEDHIFGLVLMNDWSARDIQAWEYVPLGPFNAKNFATTITPWVVLI DALEPFRAPGLEPGDRETLLPYLREKRAVNAFNIPLEVEITPDGGKSTVISKSNAQNL LYSFPQMLTHHTITGCNMNTGDLLGSGTISGKEPQTQGSILEQTNGKNPIKLSDGSER IFLEDGDRVVLRGLAGEEGSYVGFGDCEATILPALKLEF ACHE_80519S MPVTQFKHPDPYKYQNGFDSYHETEAIEGALPIGHNSPQKAPYG LYAEKLSGTAFTAPRHENKQTWVYRIIPAASHENFNAEETDTYHTRMTTETHKLHHIP NQLRWNPFDLDEKVDWVHGLHLVAGAGDPTLKHGLGILLYAAGKDMGKEAFYSADGDF LIVPQHGVLDIQTELGRLVVRPNEICVIPRGVRYRVTLPDGPVRGYICELYQGHFQLP ELGPIGSNCLANARDFQAPVASFEDDESEYHLYSKFANTLFSARQDHSPFDIVAWHGN YYPYKYDLGRFNTIGSVSFDHPDPSIYTVLTGPSDHVGTAIADFVIFPPRWLVQEDTF RPPWYHRNTMSEFMGLIAGNYDAKTGGGFQPAGASLHNVMSAHGPDMSAFEGASNADL KPQKIGEGSMAFMFESSLMVGVSEWGLKTCEKVQEEYNDHSWRPLKRHFKDPRKA ACHE_80520A MSNLTTNDSAVLHALFDAESSPSSAIQIDSTLPPFPANLQITPE DHKLLGNREKSIIKSLQIDNVSQETIHSAITALDALIEDNPTYPPAYANRAQVLRMLV DKESSLSEKLELKTGDAMFTDPANAPTVSRLFADLGQAINLSTPLSPADPVSLLQARI LADTHTHRGYLLLKAARARRNSKAQGVGQLWELGSDQLEEMASRDFFLGGRYGNKIAQ QMAVQTNPYAKMCGAIVKEAMRKEVVG ACHE_80521S MAPSALPDSTLTSDSSPLASYKAYDHVHWYVGNAKQAASYYTTR MGFKRIAYRGLETGSRATCSHVIRNGAITFILTSPLRSLDQLDRFTAEEQDQLREIHA HIEKHGDAVKDVAFEVDDVEGVFGAAVKNGARVVHGVKVLEDEGGVVKVARVQTYGET THTLLERGDYRGAFLPGYRLESGVEDPVERLLPGVFLKRIDHCVGNQDWDEMEEICEY YEKALGFHRFWSVDDTQVCTEFSALKSIVMASPNEIVKMPINEPAKGKKQSQIEEYVD YYNGAGVQHIALLTDDIIRDITNLKARGVEFIKVPDAYYEDVQVRLKKAGLVLEEDFQ TIRDLDILIDFDEGGYLLQLFTKHLMDRPTVFIEIIQRHNFSGFGAGNFRSLFEALER EQELRGNLV ACHE_80522A MESMSEGQQPPMVGIELAATQPPATAAPNAQQVPAKKQKCRFFT SRKGCRSGNACRYLHDTSTLDQTRSPPDNAVDQANRASQREDPVQDVATDLGNLSMRP RPVSKPPVVSNPTPAQRPVSTAESENPREFQINQLRRRFRPKETNDDSGTTMELELAP SDPDFPFEMDKLQCTLYVPQSYPAQAKPKLNVTNADMDKAFQDNVARGFDDIVDTSMR MNNRGTLLAWMNSLDRQLERLLTTIVERGPTIKFVANVGSREAPGSQQPAQGQQMVTS VSEQVRSAPRTQPVAAPARMFTAEEKAQAEKRRETETKQLDARLGRLPLFQRSGDGLS YTVPVQPTKADRLPASLRSIKTVNLEVPQLYPLEPSTIKLLGVVGPEAQAVEIGFAKW TGENSKLNLMSQVNYLTSNMHNLAKTPLESKLAPAPVEKPVHEEPQQPQDPFPEPVAG LEDKPHVHVIPRPPEWSIPKNDGDGEEDSSDLTDSEVYSEDEEDGGAPVTISELNTAE RGVALSFPFLELYGIELLELMGLYITIRCERCKETLDVKNIPQAKDNSDAYTPKAETC KKCANAMSVGFRRQLMHPHSNRAGYLDLDGCTVVDLLPSNFVPTCAECSTTFPAPGIA SVRGESSTAMCRQCHRKMVMKIPEVKFLRIASAAVTSRDRAPRRKPKEVLGIVAGQEL PRRGRCTHYAKSYRWFRFSCCAKVFPCDKCHDAETDHPNEHANRMLCGFCSREQIYRP ENCGICHAILIGKAGSGFWEGGKGTRNRVLMSRKDPRKYKRRGGTTANASKKK ACHE_80523A MFPRLATPVLTVDTEKIHKVDTANAQSLHGMWMVFSKCADYMDQ GRRLENLSWRLWTRETFCVDPETSSEASVLPLLRSEAGDLPDLSSSVESAASDQAEKI EHHIKRPKCDYKPAVVREDSMLNLGRGKEKHITSSGLERMVLNIKEKKDLEPIPPTMT VSPPVVDITTRPSTRPSSPTPTPPSTSTAKEVPVRPSLVRKQPIESTESCSTTAPDNN DSDVPQQDGSDTSVSSSGIIPTRPSLIKSPSIVRGFSPSQISSSYRSQPRLAPEPQKM STPVQRRPSPLKKKGGMFTLGGSSGDDDESSFDDRMLAQQTHRSSLSDELSKPTTVAP SPMKKVTTFKDQVGTIDPPKDASHDSDEDAIETDDDDISESAIDDDDEDSDWEDSVTE SGRSSVDDNRMFQRVDSRPNLVSRRSMLTMMMNQPNKLQSPAFRSSPALQRQRSRLTC PNGPSYPTSPPEDGDNLTMRGPEVPRSKPIIVTPNPQSVAHSPRTTRRNMLATELTES LRHHLLWERQQKSATANAFLKRRHTAHDMANLQEYPGAKGARGQGSQHKEKDLSKGNG SYSNYTDYGPWEYHAKGW ACHE_80524A MGHEASGTIHAVGSAVTSLQTGDKVAIEPGYPCRRCHHCKHGHY NLCPEMKFAADPPVLHGTLTKYFMLPADFCYKMPESVSLTEAVLMEPLAVAIHAVRQA DVRPGKKVIVFGAGTVGLFCAAVAREFGAALVVSVDIMERKLSFAQRFVGGSVGRTVL ADPSLSPEENAVRLVQEHRLGEGGDIAIDASGAEMSVQTALFSLHKGGTYAQVGMGKR KIEFPISEMCEKEITAKGCFRYGAGDFDLGVHLVGSRKVSLSGLVTSVFPFESATEAW ETAKRGEGTKTLIEGPKD ACHE_80525S MLQKLNPDVDIERALRTMATSSESNESSPEVQWRSDPGRENAPS ADKFEWNESPPSQTDDLDGMASLPTRSTGSGYLGNSSGSHLLRTISNLLPGYPVPEND QLEQLSPTIPSATKELSLSADLGNTVVLESLVDSYFMFYNPSYPILHEKTFRQQYQNR ADIDACSRWHLLFHIVLAIGEWILAGGPETEQSRYYIAARSRMSMRMLESGTLLTVQA FLLMGNYLQKRNRPNTGYNFIGIAYRMALGLGLHREPPAVTGNDTLLYEQRRVVWWII YCFDSGLSLTTGRPSTISNSFIETRFPRNIDDSSCSMNSPLPEPTDKTTNVLHMRM ACHE_80526A MAMQLDLSQASVMKDEQGRPFIVVRDQGKKKRQHGTEAVKSHIV AAKTVANIVKTSLGPRGLDKILISPDGDITVTNDGATILGQMEITNNVAKLLVELSKS QDEEIGDGTTGVVVLAAAMLEQAADLIDKGIHPIRIADGYDTACEIAIEQLDKISDEI PFSRENTENLLKVAKTSLGSKIVSKSHDQFAQIAIDAVLSVADLERKDVDFELIKVDG KVGGALEDSLLVKGVIVDKDFSHPQMPDEVTDAKLAILTCPFEPPKPKTKHKLDITSV DEFKRLQNYESETFKEMIQNLKDAGANLVICQWGFDDEANHLLLQNELPAVRWVGGPE IELIAIATNGRIVPRFEDLSSEKLGTAGRVKEMTFGTTREKMLIIEECANSRAVTVFV RGSNKMIIDEAKRSLHDALCVVRNLVRDNRVVYGGGAAEIACSLAVEDAAVKSPGIEQ YAMRAFADALDAVPLALAENSGLSPIETLASIKSRQVKENNSRLGVDCMVTGNNDMRE HFAIDPLIGKRQQLLLATQLCRMVLKINNVIISGDDDQEF ACHE_80527S MIVSHPATTVTLLSRLFGLTSSSGTMPPPLEETSDGESTGGSIP YANNDEQQQTPNDTDQGGEEGEEGEEEEGVYIVEQIVGHEFLKDGTLLLQVKWKGYDD PADQTMEPEENLLEGAKDLVEEYYRVQGGRPEKPAPKKRKSVGRSKKTPEKAAPKRQK KSDGNAIGTPTSTAGEENENGDIYADWTPNRKSWENDVQSIETIMREANTSILYAYIQ WKDGRKSKVSLETCYEKCPKKVSFSTC ACHE_80528A MSTEDGGDRPNQGSDSLFQGKQFWLSQNIPQRSRFKELITEHGG IIRLQEKDADVKLVDHKRKNLPSDTYSYKYIEDSIRNKQLARLEDYRAGPSPQRPVGA TYIPSRGIKVIYSLEDDQMLWDYVQQFEGKTGIAISGNKIYQDLAARNPRHTWQSYRD RYLKRLRGRPRPGGMAGSAAQTSDAGPSSSARDQEPASSHRQSPASTTGRVPIVHEIM VDRTSSRAPSTTTEEQPRQRTEPTINKPDERKRKRSSNIEPIDRPQSRQQGSPEQKRR KAPKPTPRETRIPETTRQTEAMNHHRDKEQQDHSKQPEQPENEVDSLFLELPFFPATP TLEPEEEQSSQPDIDDWIDDHVRSGRAQNDAQVIEALRCTSMDPSLADKILGNLVAGK GIPDDMPGVWTSEDDRCLEGANARDIERVLEKHGTDYFNVRWEYLGLARDAGLENPDD Q ACHE_80529S MSTYEVEHNTTDPSTTPSTAHRRRRPDLSTFFATLSEISNPEAS RHRQHAVPVPGDISAAFYSLAEALEVMRREGGDVGTSAGVQEGGQGGEDLLTTMIQSL LSEADTPPREVEGVSEEFCDVLDRVPRKSLKESQVCPICNNPFLEDEYPLVVQLPCHP THLFDLECVRPWLRLRGTCPLDRTDFAKQEREKETARRQKPADDEEEEWDGMYG ACHE_80530A MTDRAGPDAKPKCTPAELMLKVILTGTLSHYETRGMVDDKRLEH MLSAGKQILYKTHQESDVRHNLGLSPDIWQGFTDVLTKAIPVLEAQSFAWKSPPSVNY DHSSSNLIAFNYFSLVKDIERLNDLCTIARNLLATTKKAQNMAAEKGFDQRIIALVDT CVRVTARGFDGETNARNEERWQKVVNLYKRLLITCLQFLHNFIMHNEQRKMVLWLDLF GYHSTGDTNIIQPKEPLDQTISKPEGVAPIVKSGERIVNPPIRALYDQTAEDLLLETI SNFPREPATIKEEAAMLLLANIKDHMEKLLGRDLTAIQEMGKDPEQVKEIRAVLTAIL GAKVDGWSDLQDRARDLPPALPEDEPPRKKSILTIDRSPTAGFPRICWADLPDLAEFS AVASGDAVVAEEDTGMPRSAQSAAETLQEAKDELMARLQETSQMGDDGGQEYDHPDGG TVADDDSRSLEAVADGSMEEEEEEDDEDDDDYRGRPGDQQRGLLTDIPLVLGPAEIEA LPMIVQAGIVDSFGLKGGERVGSRNMQALRCHILLTQETGRNLLRELLIFIAAWDLPD DELYFKMMVQIMDAVLKNGLMSHAYSDFGQPKDIISPAQAVVVKILTHIFRSKYSPAS VTGTQPNPSKNPAPLSRVDVLTVRYIFTIFRGNIIPETCALIYLQGQIRAGRALPEDF PLNLWDMERVYEGVYQFLEFFAVLTENNDWKNLLVKWEIVYDLVTLIKELEASIPKGQ LSSLPMGIPPRHTSPPKDSPQPGTNGGPVAVERPYDPSDPDPADAGPGSGAESPPITE DPSEFEWRNLKKLVVLVLSSLVWKCSEVQDQIRRYGGLETILSCTNFDAHNPYIKEHA VMCLKFLLEGNRENQKMVEELEAREVVKDDGGLLERSGFEAVIDKTGKLAIQPKSDNN GVTRITM ACHE_80531A MGLLRTVALGILGISGLTFVALFGRLPVFRKTPISFLYKVIWKY IPNGISHFDNRLLGGCLTFCCNRTGKYVWNENHPLVLIFFLSLLITGEYLFIPTAWPR ISTIHKICAPPVAALPYFHLYACVVSTSYITPENHAQEMTRYPYDGVIFHPGRYCPTC KFVKPARSKHCSFCKACVARQDHHCVWLINCVGANNYHYFLCLLLSLSALLIYGSLLG HSLLTQTMEVLFLPESGLKLAQKSWTMWFNVWSLVIASEIRIGTVTLLAFMTAPLAMA FLVYHTYLVWAGMTTNESAKWSEWKDEVTDGCAFKSTKGEIYGNSPVPGENQKPQSAW PMSSDQILLLTDGEPPAEGYKISSRSNDIIQPDDLDAPYDPRWLRVRSMEEVDNIYDL GFWDNLREAFKLPVSKKVQ ACHE_80533S MRSKKSSVKPSLYPAIPFHIIRFFALLSTIIVGIILAVFIYHLH QQNYKLPWAYLVLIVAVVLSLINFTLTTLVHCCCGLSPRLNLTSNTILLLIWLAALGV LCWSMSHTILTTCTETYWGNSTGITVCRIYKAFFSFTVAAVASLIAAVTLDIIVRKRQ TRLGKYDPMASNPMMAGDVKLEERSSGSLMAADDPTAGARYYHDVPDPRMGGGLGHGR ADSVSYSGSNVSRNGHLEQYHAGEAQDYSDSAPARGVWGAPRVRFSAVGSGYAQPPEQ TSYDPGAYR ACHE_80532A MLQVPVREHNNLASTKAVILVGGPSRGTRFRPLSLDVPKPLFEV AGHPILRHCLQAVAKIPDIREVILVGYYDESVFREFIKDSAKDYPQLRLQYLREYTAL GTAGGLYHFRDAILKGKPERIFVLNADVCCSFPLGEMLKLFEEKDAEAVILGTRVNND AATNFGCIVSDSHTKRVLHYVEKPEGHISNLINCGVYLFSTECIFPAIRSAIKRRTTR PRLVSYPSSEHLDASFVAANAEEESEKSEVLRLEQDILSDLADSNRFFVHETKDFWRQ IKTAGSAVPANALYLQKAFQAQSEELTPPSATIVPPVFIHPTATVDPTAKLGPNVSIG PRVVVGAGARVKDSIVLEDAEIKHDACVMHSIIGWSSRVGAWARVEGTPIPMGSHSTS IVKHGIKVQSITILGKECAVGDEVRVQNCVCLPFKELKRDVANEVIM ACHE_80534S MRSTTPEAKHMSQGKESLVSTDTMQLASPPSLPAAEDSINLISQ DMRTLVRKIQDLRHLGIEDNKIALPKICVVGDQSTGKSSLIEGMSEIRVPRSAGTCTR CPMEINLSHSDPSQPWTCKVYLSRKYIFDGSRKIKISKKFQLGPWIEQDQEDELFLIL SDKDDVQEAVKWAQLAILNPGRPPADYVPGQNAGTDGSHYQVKFSPNVVRLDISAPDF PNLSFYDLPGVISQAEFDEERYLVALVENLVKEYISQENCIVLLALPMTDDATNSSAA RIIGDVRGAKSRTLGVLTKPDRIQLCEYTQWMEILEGDKFSLGHGYFVVRNNKDPSIE HSVAREEEAEFFDSSPWSTELSAYHDRFGTRRLQAALSNLLLDQIQGCLPRIIEQIDE KANRIEHELQELPSPPSNNVPYILCGKLNHLKEQIRAHIEGGSGQYPLPKIWLHIAMD FKRALVKARPTVQLLSPADSTVMAMNREGDDSDCEMTTVQPSLKRDASGAQTAGPQTP NEIPARKATGYSTPHFDAFDRPARMFTWEEIREINEDSYRAGIPDQTDPKAIEIMNQL SVEHWHKPMTAFLNATHRLVREMLIRQLETVFSQYHRTSLFRELTRIIDDYLQRLKQE HFQHAFENFNIEKHKPFSMSQFALERARMSAFSYLSSRRHLERAHKYLDMQDRLPRED PRRDAEIRKLTEADLGIDMFIQEVKMMATTRGYYEVASSRFVDSICQSVYTKLFFKCR EELIDVIEQELQIYDENAVERCMELMTEDPERQRRRQYLLREKEKISKAQGWLSTAKK EEEPMVNTETTFPTRIKSEDWAGFSNIRAGAG ACHE_80535S MTDNGVKEAETSMANLLLDEVTGEKVSKTELKKRQKLREKEAKK KEKEAAAPPKTQKKASAEEEEANLTPNQYFEIRSKRINKLRETKSPDPYPHKFNVTDD LRQFLKNYEGLEKGEQKPETTVRIAGRIYTKRSSGAKLNFYDIRAEGVKVQVVCQGQH ATGDVPFEQQHEHLRRGDIVGIVGFPGRTSPKNRADGELSIFATEVVLLSPCLHAIPS EHYGLQDKEQRFRQRYLDLIMNDRSRNVFVTRSKIVSYIRNYFDTRDFIEVETPMMNA IAGGATAKPFVTHHNELDMNLFMRIAPELYLKMLIVGGLERVYEMGRQFRNEGIDLTH NPEFTTCEFYQAYADAFDLMNTTEDLVSGLVKHVTGGYETTFHTQSGETYNVNWKAPW RRVEMIPALEEATGEKFPPGDQLHTAESNEFLQRVLKKTGVDCSPPLTNARMLDKLVG EFIEETCVNPTFITGHPQMMSPLAKYHRQHAGLCERFEAFVCKKEIVNAYTELNDPFD QRLRFEEQARQKDQGDEEAQLIDENFCTSLEYGLPPTGGWGMGIDRLVMFLTNNYSIK EVLAFPFMKEDKTAQEGKTAAEVVGIEPKPEEGIAHK ACHE_80536S MVNQTKSDQGNCFKGFRFLPFPARRGSRSALYPAPQLLENLPLK TTKGSPFVRKHEQPSPIEYSSGSESSPLRNSEPQYTNMSGTPGSSFPSPAAPAGTPPA TSVTTTAQTQHSLTRTQFEPVTAHTAKCDLCNARNDLGMSRCSSCGWQSCHACTIKNG CTRTHNAGSRVHTGPIDRNELVSSSALPKSKKKKGLPKRENANKVQKDLARRSQRGCG RGRGREQAQTPRTQSQTKRDQRQGVHRARSPSRTPEATVNSPVSLDDWQSTAPLLDDE AFTPSTATDVDEAEAEMDKVLAGARNLYAFSLEAHGEWIQEEREKEPARRWCYQAYKL SDLHGYAQDQAARAMEEFRKRDGWWGCF ACHE_80537A MASLGSSASWARGFAPRLFKDSFTCRQCLRTQNYATKSAFRRQL AGLSFAQSGQRANPTILNSKTRQFFSQNLRRSVSSSTVANAAEEGATKAKSSFPQVSD KSVAYWLLGSAVSVFGIVIFGGLTRLTESGLSITEWRPVTGSLPPMNDEDWESEFSKY RESPEFKLLNPHMTLSEFKSIYYMEWIHRIWGRFVGLSFIFPAIYFVARKKVSKPMAL RLAGIAGLIGFQGFIGWWMVKSGLKDDLFAPGSHPRVSQYRLTAHLGAAFVCYVAMLW NGLAILRSRRLMVDPEAGIKTLEALRDPRLKLFRRSVAGLALLVFTTVISGALVAGLD AGLIYNEFPYMGNGFAPPKSELFDERYSRHEDRSDLWWRNMLENPSLVQLDHRILATT TFTSIMALWAYSRKSPTMKKLLPPAAKKGVHGVVAFAFMQVGLGISTLLYLVPIPLAS AHQAGSLFLLTWVMVLGSRVWHPSRTAKLLQMAVKARGQQFSQAAAHAAKRV ACHE_80538S MSYALETKKRKFHRVLESLTKPSIPDQPAKESTTTGAPTTARDA IKKIRLSKDDNSSSTSSIKNSMLKVARPGTRASSVSSTARPSFVPWDRERFLERLETF RRVDRWSPKPTAVSEVAWAKQGWICTDVSRVTCVGGCGGSVVVKLPDELDELDGYDAE KVQERKDVRARLVEEYTKRLVEGHGENCPWRNKGCDATIHRLPLSNPDVAISGLQKRY LNLVKMEDKLPVEEAIQTPESLDLDEIINILPTGFVEPDKPSETIEPEKQPETTQPET PKPETKEGEKQKSAPQTQEEISQKITINKAAFALALFGWDTVSDGAAGLAACGACFRR LGLWMYKPKYGDTELDTQLEVAGEHMEYCPWVSGKAQSGTGKPTDKPETLRSGWQVST QALKVKHRRQIRSTASMDTLRAGSETPSMMDGSVVDSEVDEETKKATDREWWSKIRRM RQVLNVNFPRKSTPGH ACHE_80539S MSDDRRVSGGAMYDPARDAWADRDADAGSWPQRRTVDQEAQSYS PPAPAQAPRPVSPVYAVPVPGPGPQSSYDTEPSVKPAAQEISTAAPEEPPHRHISTEQ TGAPEPDKETTRSSKLTKKKGKRPAAKAMDSPDATRPASEATDFVASPSDPNGEKKRK LAEEKPEEPKPGSDRPVSKRKRLEERHQKLRKRGRTPPSAYARRDADENSGRSRDTPK DRSPSPPPRAPTPEAQSRPRKRPGGGARMGLVDRETIRRRQEERERAQENDAMRASQV RGVTDVVRQHYNTVPQRGKEWRKTESKIKGLRSFNNWIKSTLIQKFSPDEEFLSRYAD SKDWAEGTGPMPMEERRLLVVDLGCGKGGDLGKWQQAPQPVDLYVGLDPADISVEQAR DRYSSMRNGRGPRGRRGPLFHGEFIPKDCFGEFLGDIPIIQQVGIDPNAGPGGSVMSS RWGGGGFDVVASMFAIHYAFESEEKARQMLRNVSGLLRKGGRFLGVCPNSDVISARVA EYNTKRKERETAKQEKPEDPEDGEVEEDDNKPAWGNSIYQVRFPGPAPDDGVFRPPFG WRYTYFMEEAVEGIPEYVVPWEAFRALTEDYNLELSYRKPFLDIWRDEQNDSELRPLS ERMGVRDRETGALLMTEEEKEAASFYHAFCFYKV ACHE_80540S MPLATPTPSRNSRYASTTTNDNGNDDGIPNLDQQLPPYEPPLAP LTQKSQTALADLAQSHKLKALQTHITHAVEKLGDSAAQVNERLTDARERYTRYTARKR SRSQYENENNGGEDQREGEGEDEKDEEFQRFKRTEEQVHAITEKLESEMRGIVDTEVK AGGLISILADLGKEAEAASTATQRQQPRNTRRRRRNSNPDSDEEAENEDEEDEDYQET QQSQRASEPPSQKLTTQLTTETQNWHALSLTQRYSTNNTYIGFYRMVHDAKHPGDDIP PLPHASTWFRHLEDPSTAAVPPRTQTRPQTRRHQSTPAEGNDEDIAIEKERVSLKCPL TLLTFNEPLTSTKCPHSFEAQAIMDMISHSPMMVPVGRDRRNRVRAVKCPVCSVVLTQ EDLKRDAVLERRVRRMQRQQQEEEEEEDEDEDEDEDGGDGRRRKRNRAQRKSGITVAS DDEDDDDDEEEAQPVRVKQEKAMTRGPSAI ACHE_80541A MATVKEATPSQAQPAPNFLHHPYTRAALPFVNGGLAGMTATVVI QPLDMIKVRLQLAGEGVRTGPRPSAFGVARDIITSGKVADLYTGLSAGLLRQAVYTTA RLGFFDTFMKTLNKNAESSNRGVSFGERAGAGLAAGGIAAMVGNPADLALIRMQSDGL KAPEKRANYRGVLDALARISKSEGVGALWAGAFPTVVRAMSLNLGQLAFFSESKAQLA KRTNLSAQNQTFAASAIAGFFASFLSLPFDFIKTRLQKQQKDPQTGKLPYKGMLDCAG KVIRDEGWLRFYRGFGTYYVRIAPHAMVTLIVADYLRILTK ACHE_80542A MASSQNIHIPPGLPRESGPLYSDFFEQQVAKQRNNNYHSTSLVN MVATSVNRTALHPGGVQPGNRHTELEEELHEHAHIDYNRVAIIANPSVPALYEDALVY ETGSAITSSGALSAYSGAKTGRSPSDKRIVKEESSEKDVWWGPVNKPMTTDVWRINRE RAVDYLNTRNRIYVIDGYAGWDERYRIKVRVVCARAYHALFMRNMLIRPKREELEHFH PDYVIYNAGSFPANRFTEGMTSATSVAINFAEKEMVILGTEYAGEMKKGVFTILFYEM PVKHNVLTLHSSANEGQNGDVTVFFGLSGTGKTTLSADPKRALIGDDEHCWTDRGVFN IEGGCYAKCIGLSAEKEPDIFNAIRFGSVLENTVFDPITREVDYDDSTLTENTRCAYP IEYIDNAKLPCLSDSHPTNIILLTCDARGVLPPISKLTTEQTMFHFISGYTSKMAGTE DGVTEPQATFSSCFAQPFLALHPMRYARMLADKISQHKANAWLLNTGWVGAGATTGGK RCPLKYTRAILDAIHSGELANAEYEVYDVFNLPVPKSCPGVPSELLNPKNSWTASTSF KDEVNKLGKLFNDNFTKYADQATEDVIAAGPVVS ACHE_80543S MGDISTEGKSESVQFAPTGSICQVHNLYQTKPDSRGRSSWTKEY PDDLVQPAENEESGQYVLVARNVKCYDGRKSLQVKSIVVQSQPLKTFLDDVMKGYPGI TMKLDRVEFNRPFKPFVHRWERFVEARNNEQDMTTRSHVDLLYRVLDEELRDVISSRN NLVANGVISHDLLWTIFEPGDFVFSVVDGRKRAFSFESGDINPKNGKFEMEGKYIDFD GEDFGYVTHSMSVPAYEGTSAIAALPAFPLVYHSDHATIRRQLTARGKLWQDHRGYHY KQYEGIAKTYFCSREMKLSIKSRIVIDTKAYTTFNPNDEIYLDSDVSDELSDDQRMIS TPIIRGYALKEKRWLEFYIDGVQDIKWDARAFDSLVLPHAQQDLKQLILAFADAHSEH LDGFDDIIQGKGRGVIMLLSGPPGVGKTLTAESVAEVMKVPLYVLSAGDLGTSASRVE ASLKDILSIVPRWNAVLLLDEADVFMEARNSTDLERNELVSIFLRMLEYYEGFLFLTS NRAENIDPAFESRIHVSLRYPELNTASRRQIWTQFLGDAYIGGFSSQELDQMAEVALN GRQIKNVLRTAHLLARKQEAKLGFSHVQTILTLRGSGSGSTGDSWM ACHE_80544A MLHPVLDVTDLNIVLTFSGIYILLFGFLSLKIKQRWYLGETLPA FITGIAFGPLGARFLRVNEWSYDKTTGTSEIAYGLSRLVIGIQLVKVGYELPKRYIKE RLLELLICLLPLMMISWLVSAGCIMLMVPDISFLSSLIISSCITCTDPLLSQSIAKGP FSDTYVRRGLREFISAEAGLNDGFGFPFLLLAVSLLRYAETPANAFSLEQFDLERGVP AYLDSVGKGRFGGGGLVALGHWVLEGVGYMILLGGLVGGFVGILCRWGVGFGVRRWID QEGFMLVPVAMGLMLTGLCGCIGSDETLACFMAGCTLNWNGAYRAEIQSRHDSFNTTL ETLLNFATFLFLGAAMPWDQFHMPQSSGLTVSRLVGLGVMVMLFRRVPAMMLGYKFLG RGICRDWREGLFLGWFGPIGIGAISYVEYARRLFPRPGESDNEINRLTAVMRPVVYWL VLSSIIVHGLSVPVLNIFYKIFKVQPVRDHPVEIHLLSENEPLPNNSVLLDRQRQSII VNNQFSRVSEASYLEGNTRDTARDDTGSIVCERVEVELSSIK ACHE_80545A MVADAVVYHPALAHYLRFVATTVGRDKIMRTLQYFSRFYAWYLL RTNRPQSAIEPFNAIKKQFGTTRKIIRIGKFLEHLKAAAIAADNKAPIDPVLRYLAIG RQLGYAGYLTLDTIGVVDTMGIKKLSYAKNLQENAYRCWMVGLIFSAVSSVYSLWRLQ EKEKTVDRKEGEGVVEAKKIEKERSTARTQLVSDVCDLAAPVSALKYMDLDDGIVGIG GTISSLIGLQSQWRKTA ACHE_80546A MEKTEVKPEESTLKAPELEGGAIDDAPPLENTQKSRWERSWPTI ACGAGLFSDGYLNGVIGQVNTMLGQIYPDTYTKSPASQNVSSIAFAGTVLGQLAFGYM ADHWSRKWALMISTIILIVFGALSAGAYGYHGSQYGLFAALTAYRFFLGVGIGGEYPA GSVAAAESTGELKKGHRNRWFIIFTNFQIDMGFVLAAFVPMILVLIFTEDHLRAAWRV ALGLGVIPPLSLFYLRLKLNEPEEFNRERMRKFPIWLIIKFYWWRLTIISLVWFVYDF STYSFSIYSSKWVSIILGDNAPLWKSFGLSTVIYLFYLPGSFGGAFVSDWLGPRSTLV LGVGLQGIIGFVMSGCYEYLATPKNVAGFVVVYGIFVSLGELGPGDNIGLCAAKSSAT AIRGQYYGIAAAAGKIGAFVGTYVIPIIQKNAPNEVRSGQDPFFVSSALCIFSAVVAF FLFPKIGQDTITEEDQKFRAFLEANGYDTATMGNAEQTTTTITNPPEQ ACHE_80547A MVWQMRLVSSMRASPLLRRPILQGVSRPIALSTSRIMAQTTVQY TARQTLSTTVPRTRNLDLDNINPHVREAKYAVRGELAVKAEELRVRLAQGDKSLPFDS VIFANIGNPQQLDQKPITFFRQVLSLVENPSLLENPEALKKSFGYEQDVIDRAHTLLK DVQSVGAYSHSQGAPIVRDSIAKFIEERDGFPANPQDLYCSAGASSGVNTLLNVICNG PDAGVLVPIPQYPLYTATLSLLNAHCVPYLLEEEQAWGTNVKAIRESVMQAKSAGINV RAIVVINPGNPTGASLSPEDVKNVLELAAEENLVVIADEVYQTNVFAGEFTSFKKRLR QLQQEEPKKYNDVELASLHSVSKGMVGECGQRGGYFELVGFDPLVAAQIYKFVSIMLC PPVVGQCLLELMVNPPKKGDPSYELYQKEYNGIRDGLHKRALALYDAFRQMEGVECQE PQGAMYLFPTITLPTKAIEAAAEEGRAADEFYCMRLLEATGVCVVPGSGFGQKENTLH FRTTFLAPGTDWVERIVEFHNKFMAKYK ACHE_80548A MYDKAGSGSGSRSVSSIRDISNMPGTSHRSSSFNPSNQETPSRP QTPPQEASSSTPVIAPASAPVIHTKFEAVAAHTAKCDLCNTSNDSGMSRCQSCGWQSC HACKISTGCTRMHNYGSRTHANPIDRVPLVSSKIKGKKKSQGRPKSQPTRVLKWERGR DQSQLRERAGANRKANTPSPSPAATATPSPSFNTHRRQSPYSTSKDPSSAIDGDFFEN EKYFEGVRDLYAFSIEAYGVWTNDQRDRNPAQRWRYHAVRLEEIHEHALLSATRAVLE FRRQEAEGS ACHE_80549A MGEECVMDRSRRYSKCASCTRLRRPCRREFHTGSEWELLKQAEA KVASDLSNADDELEQLQSHLEEVQQKLKSTLARHARLRKQQKFLKERGFKMSEHDAEL LRIMDEKSSEQLDPPVVEVQQLAATSSNPDFNQMLEEIAQMPSSFWENVELPSGEIAS TSDDNPSSSR ACHE_80550S MSASNMSCECKRISSNRKCNNCVRSGVKCERDFHNERKWQNLER DRMRLAADLEDAERSNDEALARLSETSAKLARLRKHKRFLEARNKAMLENDVALLEEL DSQVSWPVAETASLDAQLAAVTDDPSLSQMMNSPSFWENFDSAVAGGIPSPTGGNQSS SQ ACHE_80551S MILGLAWIDDQQVFIDPNGPKLRFTNGIVVSSMEDQPRMDIQPI GANAFALWNRQKKKDSSVQIFAASLKDIEKALRPKLPTDPRTKLPPHYHKFLSVFDRK EADKQPPHRGPNIDHKIELNKNADGTTPEPPWGPLYNMSRDELLVLRKTLTELLEKNF IRVSNSPAAAPVLLVKKPGGGLRFCVDYRALNAITKKDRYPLPLINETLERIGKAKWF TKLDVIAAFHKIRVAAGDEWLTAFRTRFGLFEWLVTPFGLANAPSTFQRYVNWVLRDF LDEFASAYLDDILIFTDGTLPEHQEHVRKVLGRLQEAGLQIDIDKCEFEVKSTKYLGF IIEAGKGVSMDPAKVEAIMNWAAPTTVKGVRSFLGFANFYRRFIRNYSELTTPLTALT QKDKPFVWDDKCEESFQQLKRMFTTAPILMQFDPDRETVVETDSSGWATGGVLSQYDD DGVLRPCAYFSKKNTPAECNYQIHDKELLAIINALKEWESELISVVNFQILTDHRNLR YFTTMRRLNERQMRWADLLSRYDFTLHYRPGKLAGRPDALSRREQDVPALGDERLKHR EQRLFDPEILKDGPVEGSSKRGLVEEPHPINVSRILLAPVGTEPYNSEPSTPQGYEQA NEPTNLNSEQPSLEELLDMTLDEHWARVEPLDEKYGRIREAVQVGAPQFPCELGIKAS ISECSIELNNRLCYRGRRWVPDIESLRTRLLQETHDSVLTGHPGRSAMYAILARRVYW PAISEDVRRFVRNCDKCSANNVWRDRRQGLLKPLPIPDRKWRYIAIDFIEKLPTSNGY ENIMVIVDRLGKGVIPVPCEKINTYTVAQKLIQSFIGYHGIPASIVSDRGRQFTNEMW KRFCELLGIKRQLSTAYHAETDGQTERMNATLELFLRSFCDHTQSNWASLLPMAQLAI CSRDAASTGVSPFFLDHGYHVDPFQLEEDVEINLSAPDLGTMRERGERIAAKLRGALD IATTELAVAQQKQEDYANRRRDVAPEYQVGQKVWLDLRNIQTERPSKKLGSRQAKFTV LEKIGSHAYRLNTPGTIHDVFHTALLRPAAMDPFPSQRKDDYQPPAEMINGNEEYMVE RILDERFRRWGRGERHEFLVKYIGWQEPEWNDARNMEDTIALDDWETYKTMNGIVIQS ALSIPNEPPHAGGRSRRRRGGG ACHE_80552A MAKFLENSRWYQAYKELASQGKLQLPEYEQNENGEFLVKPGELF CRYPDCDKRTTEFSKTVNLRWHLKHHRDVQIANSGTGRFKQVEKDMTNAWYKELVESN QIMDESKDEEQSKEDDQEHQKPYVPWRKDLMDINRIKVRAIAKALGVFPCDACQEAGI SCLSDMNICTIVMHHFDLRSPEELEQMGLNATNSN ACHE_80553A MGSDPQYIKYPDLSLAQDVFHLSNPSAPQAVRQTSLQKLQHAIK EYKMAPFYRHLAHPVEGILNNSGEGVPQHPHVSGTTKTLLASNMLASRNTPQKIDFAW DEALYQSLVEDNKKDLETFQKEEDEAKEAAGETEVLAAGGKRAEFWARVGDKDKAIES HEELLEKITLLGTKIDLVLAMIRIGIFFGDKVSVKKNIERANALIEDGGDWDRRNRLK AYKGLHLLTIRSYNLAAPLLLDSLSTFTSYELCSYSALVIYSVLAGSLSLKRVDFKEK VVDAAEIKAILGSGEDRLAALTGEISSGPSAEDEEMKDATAQRTTTGPAQTAINLTTL GTGSGQQAEAEEPVDFSPLANLVNSLYNGNYQSFFRALAAVEDNFLTKDRYLYEHRAW FVREMRLRAYQQLLQSYRVVGLKTMASDFGVTIDYLDRDLAKFIASDRIACTIDRVNG IIETNRPDSKNKQYADVVKHGDALITKLQKYGQAVRLRGSERS ACHE_80554S MAVESVKRIGNPSFGEKLAEPDPPIRRIGQTGALAREFSIAPGW IIPADQFKEGDQRTGPAQAKRNVRLSKMPAASTTLQIL ACHE_80555A MLIDGEKWACEACVRGHRVSSCHHSDRPLTHINKKGRPVSQCAH CRGLRKSRTTHTKCECGDKKKNCHKNDSDLHTADRRDHKQDSRQRCGCCHGQRCICAL KKEHHLDPVPETGLPPAQPTILSECPKKPQLTSTKSESTLTVFRDGHHHKPHHKHNDM AHKCGLPYTIPRSHTFHAPSEVTRRSVDHLPLSQSAMIGENLSYHQTDQQQPPPPPSF FGPPRRTKSEHGSPESAPVSAAEDLTISVPSLDISPFFPPRAAPASQQSPLEQSNEAG SEPTALPETDEFGKTPLNQIVTSVPPADMFPGFSTSTTSPVSGIAFQDPYQEPFFASP DAEIPVGNAGFNAPSVDWSSFPLYSSDAPAPTSTQAPSYASFDYNSIGPNFAAPSSSG DISEADEFGPLPGLAHTGSDLHSVSEASDMDHLRLSSASSFISMPQAQLLASNNLDSI SIDDFLKSANESTAALEHQLQTNIGMEQKPFPDSFATSQPPTYREGNEKSVTAGPNMV MPTTSPTDPTWPTTLFDSGPSMEDPFYPQTWTQ ACHE_80556S MSRFESDAMNSREQSDMPPAPSYPSPNAAQMSQGAMQYYANRQL TADELLSAELSRETSGPSLADGSSNGVHHGQSMVLGASNPGGPEMGRPSSPDQHQQQH MLQFTPGQQVGADPNHDLSYGDQSARRKRSKISRACDECRRKKVRCDATSESGVETCS NCRRLGVVCQFSRVPMKRGPSKGYIKELAERLHTLESQMQPAAMVHPDMQYQAMNEVP SPRPYQEFSPPMDAGPINRKRTYSVFEGLPSSFSQPQFNPRGSQNAFDTTETSTDPVL ATSAPKPGNLFWPVSNEDGLPAGMDIPEVSKHPEEDMTPLDVDDGALNAYHVNIHPVF PILPHSKERLLEILHQCSREIQEVFLYSLYTVTRTDMGRVTGSFEKVASFDNAQDLLL YYTRQPALVRSTAVNLIWLQSMLLMIMDCDFRGPDNFVLKDGVPKHTLIQSAIKLGSD LAKGLGQLKNKRASDPDRDSDANITRRDWVSLIILTRWYAISVADPSVLGNHEIGGRE DERVVGSVTTGVGSYSSFLVDMVTLATSDHNICQTNTGVGRLISANLAASLERLSEVQ DLRGFHVPEETSSDSFLESLQNQLYWTIRLLIKRHIFVYSPYEIIYSAQELTNELHKA TMQSRLSTPFDLHSLALASMTLLEATVLPEYANECWEALNKVEEILDRRTKRYTGVEE FQDVFATPGWDNKIRIFLEWRRAKSQESQLQDPNLTKNNSHNGNNGAAAPPPVMGPNE QRSLQHLADLAVGAEGSVAANANASSPPPALPENNLNATEAQAQTQQGRMVVDFTLLT KEGYLNVFSGLIYRRQR ACHE_80557A MYHPSQQAPELTQLACLPLAKFSHTTTSIGHNGPLNWTHIIGNG DIVGRIESHTVSGSTPARVLLKVFRDYELLEEVDLTYHSQEAAKSALSGQSGQAKPVF AVIVKLPCLAVKYPKDNICIRRFQIKFSSDRDYYSTLVILSNIKCPFSESHVGSLPPA RRPSQWRSGSVASAPAPPQMFPSVPDAPGIPTLNNMFLPSQSSPTIDLNPSARSSTTG PFQRPASSSTTHTLTDLVPTNNGQALVPSSTVPSSRPSTAFHDAQTLDEVLPPRRALP FAKPAAKKPRTAEKQTNNASRPSESGPEKTQTSIRDHYDSTPFKAINDTNNASRIKRS LTSFPQQSTNSEPANHPPSQQNTQASTNIPMPIPIQPVPPPRTLYQQGPSYDNTASRP HSHTNISRNTLPANIPSQSEQQQQCNALPMNVSPLPPQPQPQFQQQLQQQQSRQPDPI ADLTSYISDSKAERIARLETWICSQIQNDDFISLAEDVEGLWQRFAFGKW ACHE_80558A MQLKNLFNASVIGGAVLAAAHPGQHEERVSPEVQALKRDVRHGL KKCSTRLEQSGLQARAKARRKSVVEMCCRQLMARDTSAVLSKSHNMTGSASPSSAEEI SKSSDEKVCLLGPSLEGETGPYWIPGERIRSKLRESEPGVPVIVEQQYIDVNTCQPIP NLYTEIWRTFLRGAQKTNADGIVTFDTLFPGHYDSRTTDYHDIARFNAAPLPNNTLTG GTIPHIAQIFLGPGHYQYRGSRSTRTTRTTFLSTPNAKDRVVRQETEGTDADPMLNYA YLGDKVEDGLFAWITVVVNVSAVQYPYYTNVWTASG ACHE_80559S MSANTPRTSLRQGLRQAPKANQPFIPDTAPVRRSHIHANASPQS TSPHDNIIPSANPSSVQFNAGSWDGQRQVPMSTREVATPGNRPGLFPSVSDRAKTAKQ PNFYDPYFPLRFIEVPKSDHIYKRAHYGLQSGISDEVDFALYHLVQISNQRWDKFKFE GFPLLAETLMGKALDITNLCTGVKWELEYDFRQPTDRVNVLNSLHGTRDLLEKIAKLP VTLPNDTLETYEFNHRLRNVKEATLVLRNMVLLKENAFYVSRYAKGLLRDFLVILLNI PNQPRLNEIKNDALDIAEEVTKFMRTDTQDPLWITLLKCLESSDRAHVVRALWALTHF ATELDEPDANKAMENISRETLQQLYFHTLLDLDKDILSGALDFWYQYTLSPDNVETLM DVINLPIIFVPRMIALLAYEGRPSKKETVLQEEKVAPPPSEIPRVPPELLKDLMELSE PERSSRWLRCCFIEDGDCEITQIALWQAYQSRFADPRIPGGGVLPAAEFIKNVSTTFT NAQAQVINGPGAATKFIIKGIRPLETAYTFQGFPYLYCQWADNTKPSKECQRAFTTAA DLRTHVFSDHMNLKATETPGQYNLEKADSPVHTCLWDNCTKFRSSGPSADTPLVAGHV SSHLPEDLPPDAEPPTSKRAVLQERIVRKWFYMDSPVNERGEPVGVAYKAALVLRNLA KNLPTGTAAQYGGLPWKKAVFVSHRPKIIETWDRNRSLRKELTELIMAVEKEVYY ACHE_80560S MGEKSDTLFQELEKTYCPPLDAALFTAIVSDYDLSDSNQIQQAR DTLDILQLSALEQEDLPFDPSGTSGLGTTNNDNDGIVSDRSASRNDSSGQSQETDLTS LTSEFSSIDMRDRDLFGYSNDRLTSSGSAGYILGADGNITLAGANEEDKTSYLMEMFP SVDRFTIQHTLKKSDGDVDRVMDVLLNLAFFDEQPPEDDGGKVSIPKGIDGFQEGSSG KGRKRKTKNKKNRIQELSSPVGSEFDEGPSINKWDAGLKDVDYIHSRTSPILKKETVT STYHANGASLPATIRALAIAHAPKDEKKVHENPIMAAQVAELTQEFPSITPITFAGLL RITRNSISAANELAAALISRPMTPSVSQLIQFTATPPALDLEDETPNRRKPESRVIRD FDRAQGTAGAHFVAGAEAFSKASMAYRRGKSDRLMGGAAAYYSSIGRDHLERAKRNAA AASDALVDSQSTSTMLDLHGVSVQDAVRISSERVQGWWDALGDTKYMRAREGRAYEGY RIVTGVGRHSHDGTSRLGPAVFKRLVRDGWRVEVGEGVFTVLGVSRR ACHE_80562S MSGHEDMLVRKKSRTFGLTVEEIEKSGETSLIQNHKLDTCAHWF TSRLYSLKPDYFPGCDGELEQHVRRLVPGSLKDNDAVLQKKELKDLLSARWKSTLHGL RSGANKED ACHE_80561A MRFTITSVAAFAATAAALSITSPEKHDDVDLSKSTTIEWDSVSS DPSSFDIYLVNMNGYPNVNKLVAENVKTSDGSYILKDLAGVDNGSGFQINFMSNDDKN TGILAQSAQFNVESSDSTISTTSASSASTSTSSSSSSTSSASSTTTKGKSTAKSCTSS PTTTNIRKHTTTPCTRTSSYASSTSPSTTLGTSTAASSSSTATPSETIVPANGAGSLK VPVAAAGSLLMGLYLIL ACHE_80563A MARTSVSSLAQEISRHLNLISDQEITERSTRKHLEEELSTAPLF SALAQQVIESQYQNENEKNEENRKVYSQYGLLGLRKKDGHSQVNQHNLIYANVAAPWS SFICGSQGSGKSHTLSCLLENGLINSSPAGSLSSPLAGMVLHYDKFTAFGSTQFCEAA YLCSSGIPVRVLVSPTNYFAMKEAYENLPGLGDASKLLRVVPMYLSQKHLNISVIKTL MGISSGPNQPLYVEFIMKILRDMARTNQGRGHFDYNEFKTRIENEEFVRGQTRPLQMR LDVLESFFEPGTVMVKGKAPQKAPYDIWQFDKGSLTIVDLSCPFVGRDDACALFNICV SLFLKDRKHAGRMIALDEAHKFLTDTAPEAIELTETLLSIIRQQRHLGTRVMIATQEP TMSPALLDLCNVTIVHRFTSPAWFAAIRKHIAGASIGDESESKSVFSDQLFQHIVKLK TGEALVFCPTALLDAVWEGSGSSSTTYSEADEDSDSGVEINAQSVSQLGPRYFNLRVR KRVTTDGGRSQLSK ACHE_80564S MKPQTAAFLLTLCGSAFAVPVPNEKFQEQPTAAGSVASVTGTPS SLPVGFPPQQSGTPAGAPSGLPSNFPPAPGPSGFPSAAPDAASSSFGPAPSGPAPSGG PFGSNPFGSNPFGSAPFGTAPFGAGPTPSGPPFGAPSGFPSGTPSGFPSGTPSGPPPQ GPKPTGGFGQQQQQQKRSQPSEEGQQQGQFGEGGGLPPFASGATPSGPAPSGATPSGP APSGHGHHGGFGGFGGFGGFGGSGAGLSGAAPSGAAPSGPAPSGGAFGSNPFGSNPFG SNPFGSNPFGSAPFGTAPFGAGPTPSGPAPSSFASGSTPSGTPSAFPTPFAQ ACHE_80565S MRFQRLTAALRTFSIPLRSQTTASPSHYFGKLPLPVSGATVLKA APTVPFIGSLFSSSARAESSDNMSYPDQRSDDEWRAVLSPEQFRILREKGTERPYTGA YDAHYPSNGVYTCAGCDAPLYKANHKFKSGCGWPAYFDSVPGAVKRFTDSSFGMERTE IVCSNCGGHLGHVFKGEGYPTPTDERHCVNSVSLRFTEGDEKAKA ACHE_80566S MSRRPTPNQAAQNQQVIKSLLKLDPNKTCADCKRNKHPRWASWN LGIFICIRCSGIHRGMGTHISRVKSVDLDAWTDEQLQSVVKWGNARANKYWEAKLAPG HVPSEAKIENFIRTKYDSKRWVMDGGMPDPSTLEAGDDDVPLAVVQEKAKLERSASQR PPVSRNPPPPAQHKQTASVSLFDDDEVVSPPARPSTTDPTPRVQPPQQPQSAPKQHRA NDSLLGLDFFGSPQPVPSSRPASISSTPTGSSGMSRPDLKQSILSLYAKPQPAPVQHQ RQPSFGDMASPPPPSASSSNMGGLTDAFSGLSFPSTTSPPPKPAEKPSPFANLASYAS AKSSPAAPKLSSPTASASGGAGVSLFDSLTSPTAPPQSKPQSHTTSISSGQDFFGGFA SPSLQPQQSKPQPPPAPSASDDLFGLASPPLATTASPPPKPKVSSPQEEMKSVFNLNP SPAPAPKPVTSPSVSTPAVTANIPPSSIDPWGGDNAWSNPDPEPAAPAAPSTADMMKV PETITANDISPGGWGASSSKPAPTVAADEDFGGWESAAPVSSTTASTKPAGGFGGADD LFSNVWE ACHE_80567S MDYRSGYNIARTGTNSQGNPWCNRDYGNGSNSYHYSNSLVYYFL FSPIFAISRLRTNA ACHE_80568A MLSDFAKAEANSPSPRKIINDSRNVYKIHRFRSPKSYGFRMLFD FGAARIGKVHDTGPFVQPHIYRASEIIFEMPWGSEVDIWNSGCLIWDLFEGTHPFNDT FDTNGGHDPFRHLALMTALLRPPFSEFRNEVRRRSNVLVPAAPGLSMKKHPFRQFC ACHE_80569A MANKLVLLVNGATPESSMDILQRLVSKCDPASFDRGNQDVMDPE YREAVKPDPDQFATNFHPADYGLIKHIEQILLPSICSKEDTHESSTRNSTSLMLIQGH LVCSTSMLTPQALRNRLVLWWCVCPLHSRRWFDCAAPWPESQVRLKCQKCFHHPMGNL LQWLRA ACHE_80570A MAYPKCVLPPPPPRYTIPVAYAAGASNGMPVPVVETNNVISHPE DGCPLQVGEGTYHLRDDLHLATPPPHPSEAPVLNPNPLATGPTPPTSGVKLSLVNVNS ANKNRPFASKENVMAPVFGEGNPALVSPATKDSLKRRKPKNNIIKSSSSFVSRVITHE SITKKLNDRNPDGLFAFANINRAFQWLDLSSEKKEESLAKILFTKAHMLCHDVNEMTK SPSHVDVVMGSSAGDIIWYEPISQRYARINKNGCINNSPVTYIKWIPGSENLFMAAHS NGQLVVYDKEKEDAPLTSEPGSLAEEAVKSSGRQPMQILKSVTSRNQKTNPVSLWRLA GHKICQFAFSPDRRHLAIVLEDGSLRVMDYLKEEVLDIFRSYYGGLICVCWSPDGKYI VTGGQDDLVTIWSFPERKIIARCQGHNSWVSAVAFDPWRCDERTYRFGSVGDDCRLLL WDFNVGMLHRPKAHQANPRQRTSMVASSVHHVRHRADSTGNRVRSDSQLTSDTHDTVD QTVRHQVEPRSRTGLLPPIMSKIVGSDPICWLGFQEDCIMASSLEGHIRTWDRSREGV NENHHGYSSSPDISATATGSGSGPGESGNWI ACHE_80571A MATVRICVCGDEGTGKSSLITSLVKGVFVTHKIQPILPQITIPP TIGTPENVTTTTVVDTSALPHERSSLAREIRKANVILLVYSDHYSYERVALFWLPYFR SLGVNVPVVLCAHKADLGSDHSETQVIEDEMLPLMAEFKEIDSCIRASAREHRNVNEA FFLCQKAVTHPIAPLFDSKESSLKPAAVAALQRIFYLSDKDRDGYLSDKEIKDFQMRC FEKALSEEDLVHIKETIQKTYPASVAPSGVDCRGFIHLNKLYAEKGRHETVWIILRAF QYTDNLSLQENFLHPRFEVPSFGSAELSPEGYRFFVNLFLLSDKDNDGGLNDAELASL FAPTPGLPASWADGSFPSSTVRNEAGHVTLQGWLAQWSMTTFTSPKTTLEYLAYLGFE SSDRSNPSTTAALKVTRPRKRRRRPGRVGRNVVLGHVLGPPGSGKSALLDAFLSRGFS PTYHPTIRPRTAVNTVELPGGKQCYLILDELGELEPAILENQAKLLDQCDVIAYTYDS SDPDSFAYIPALRAKYPHLEELPSVFIALKADLDRTTQRAEYQPHEYTALLNMPSPPL HVSATWSSIQEVFVHIAEAAMEPSTAFPRSEEEDEGKWMAWGIALGAVVCAGAAAVMI WRRVSGSGV ACHE_80572S MPLGIHNPLPSSLSSECKKAGKILASFIDPKQAFGPDKVIPPEI LANAKGLAILTVLKAGFLGSGRFGSGVVIARLADGTWSAPSAIATAGAGFGGQIGFEL TDFVFILNDAAAVRTFSQVGTLTLGGNVSIAAGPVGRNAEAAGAASTRGVAGIFSYSK TKGLFAGVSLEGSMLVERKDANEKLYSSRVSARQLLSGTIRPPPSAAPLMSILNSRAF AGNNRMYGDEMYNDIPVYDQSHDDVVWEGRRGTAYGEGTQRSRPRMGMRDRDSMDDYE YRDRPRRANTWADEGYDRPMGAGGLERSYTTRSPAYDSYSRSRSNTAPFEEDYVYSDR KPSRPTAPKPVFGQKTGGSSALRQDQAIALYTFDADQEGDLGFRKGDIITILKRTDKS EDWWTGRIGDRVGIFPSNYVDAS ACHE_80573S MQDSSTSAAEAGGGYATRRGHGPHLSISDPSHHVTEAIGHMYDD DEYDKRDSRRLSYISSPLSESITTIPPNLAGSASPTSPQSVQLQSHLNEINNQRQVNG QPRPPPLVTRKSFDRESSPASPGSSKTDTATTSFPLNDVDYESNPAAVAQELNNLAAI RRMSMDAVATSDPDLPDFSPSVAPSPSDDENDASRLFWVPARLHPELAPMEFRSFLES RSERIKRRSTDFASLSPEGPGSASGLRRKRSMLSREIDSSQGYTDGAERLERKRSQSK QDHMSPNLLELESMVDDKKKPNKLSLDGMQGLSIGADEDKPILPPMPQAPGLRRSTRT QYRKAGSVKKGDRPRRFAKTSESDQSLPSIVTSSADQPILGLTRVSTDPTPSATRAQA KSKSPPTTPAQEPVSSSSSTPTTASSQPPPESNAQAQAHATELGKPLQSRQGQPRVDS NGRSSPERKVSGAVETPSANSNRSASQTSQQQRKSSGRGSESSSSFLPRKSSAQSRQH GKDNTSNLNDFANNPQALPGNTTRTDSLSFIPTFSDDRKSESKSESKKSKERKDSEGG SRKSSWHWLLGTEEKDKDKEKKKDKDSDAKRIKSKFVDKVHDSTNSHQSSDDSSNQSR RESFAMDRPDLKLDDDRKKDNTRRSSGESKKEKESSLFSSIFGGGRKKHNSSDSHHKK SLSRNFSPDPPVRELRPDVDYPWTRFPILEERAIYRMAHIKLANPRRALHSQVLLSNF MYSYLAKVQQMHPHMTLVTSAAQRQQQRQEEEYQQQYQRYQEAQQYGDGYEESQAYDY GDETHDQYGSHSSGYQNGDGYGSGYYNHYGHSAFGDDVQLDDDDDNDMW ACHE_80574S MSEAYEREQQNNALLNSLSSKVSALKSVTIDIHDNAHDHNTLDH SNEVFSSFSSNLRGSASRLTRMAKQGDTVAVLKVAGIVAAAGLAIWVILGWIF ACHE_80575S MAFSLFGNRESASYAKYFNIRLDEDYIVFRGSEHEAASAHLTGR LLLCLSEPLTIKHLRLHLTGISRVCWHLPSTSAGGGRKNWREKSFYEKTWRFREPGKG KTETLRAGNYEYPFDVVLDGSLPESVEGLTDTYVTYRFKAEINRKYAKDIVVRKPLRI IRTLEPSALELSHAMSVENIWPNKIEYSISTPTKAVIFGTNLQIDFKLIPLLKGLRIG QIVSQLVETHDLTLNPDDPESFRNSYKNTRTIVTDEHEFDPDNDLEIIDESAEGYQFS RNLVLPKTLTKCLQDTDTRGIKIRHKLKFRIQLHNPDGHVSELRATLPVSVYISPNLP FDDENNLVDQSPQTAQRAIEDIAGQAPPLYGEHQFDQLYSDVDPSGYRTPGPMSSPGT PFGSLSRNLSAENLASMNALTNSDISASALHHRLANLRTNRLSQASHLTPSEPESHPD SRLGVPHDYFGPSSGSTSHTPASPVLSRRASDEGEHEHFQHPIPSGMATPFHPHSSEL ETLSRVPSYDTALRSNIHLRRHDPLPDYNTVVANDVRTPTPPQSPQRAYVRDSARGSS ENQCPTLEVPQRPLFQSRSPSQMDDEDRNLRVFQARA ACHE_80576S MSTPTSPTATLPTRSSTIRSTTTETATRRTSVSDDEAIPDSDSS ETTNLLVERLRAWKHMCGYLEDYISVTAKVQKSQSKDYEKILKSVNDPLKEGHHFSQS TGGIASLFENIRSNTQGIVNMHLDTEKNLKGSVLPVLERLHKEIKAKGKEVQSGASKG AKAVDKARSVTQKHIELLAQQVASFDAAAGNKIEHSHDPYMLRRGVNHRLNKQVIEEN NNRQDIIAVQNNFQQFEAHVIQTAQGALEQFFTFMGGQLDRQKNMYADILGSAQRVPP DFEWVNFMTRNDHVLVNPDAPPRSLSNITFPNQDHRATKALIEGSLERKSRAMIKGYN SGYYVVSPARYLHEFKDDDDFRRDPAPELSLYLPDCIIGAIDGSKFSVKGKDVSGSKV GNAFHTTTELQFKAHTPSDAEKWWTVIKDATRGPTHVNTSASAASTPASPAVTTPATP AAEGSAAATPAENHPPAYSEKNGEQAGAAAADTPSLDTKAATESQAPAQTPTSPAPTT GSGNGHFHMGPGGSAVGEGEKKA ACHE_80577A MAEFQHPVQPGYFTQKQVDTHEVGFVPYWARSHVQYAQNLLECS DEPIEYDHEDEDYQDNCFFFPEDCSWSPPKDWAIDDNPETETDSTTEGSRTESDYETE NDIYIYDDDMIPGLPDIKMLDAEALSDLLEDNLSPPEITSMMVFATNGAVFAHASSFP SRQLRNLSATYGAAYTCYAKTVSSGNLTGVNPASHPSSYITAQSVSLGDVGSIVFELD DSVAVVTRIADKVLLAAIGPSKLPQPQNDDINDDGLIRNRSSNNLHTADTATPDINNN TTTNADALTVNLETQYQIDRSSDLARLESLNLSASPAILLALESKSAALGKFLGQKLA DLESPDDF ACHE_80578S MSGSCGVSANTPAEPVPRDGSVIERRPDSAHPWSQTIPQTTLAY IMRRYQRSSYSVWPVVNAEMLLQRLEGGNDPSTYCLALALCAATMAQLQLVPLMNEDR EVDSNLLATECLRLREEIDFRENLDARSVLVSLFLHVYHAKINKRNSAMLFIQEAISS ARLLRLDEDGMVTKKWGTDEDVIANRDILFTLLWVSERGYAMHLGLKPSYASPTCLLD RTQASENTHVQGLLEHARLFAAFDDVLIRRSDSNGLSVNTLRETEAALSMLSLGQGDR AATRIADYCITKEWMRMIIWQEALSQHLLSSTSYLELMTFRFPVHVGRDLLRSLQGFS KSDLLPLGRDQLLKCFEIANSLADTVLCTSSILSSFQGFHFGPQDLLHALYQKLLPFL EQDSVLNSILHAKTAEALVKAPARALHFGVNERWSVEEQSNDGIDERLDFANNVRSGI SEIHDFSFG ACHE_80579A MAQIPETQTVALVRTLGGNVEFLEKHPVPRAGQNEVLAKVLYTG VCQSDLHTKNGTAAGADGNPITKIKLPHIGGHEGVGRIVAVGPGCGQDLKVGGLVGIR FSSRICRRCEFCLAGTEQYCVKGTNHLHHEDGCFQEYIALDADYLTILPDDLDPSVIG PVLCAGVTAYKAVLNTNVRAGNWLVVVGAGGGLGHLAVQYAKAQGALVIGVDAADKRD FVLRLGAKHFIDFKSTDPAKRVQEITGLGAHTAVVTAGSAKAYAHACDMLRVGGTLSC IGIPMGRPCLETPICSVVIKGLRITGNLVGSLKECLEAVDLVRRGVVVPEIKIREFKD LPQVYDEMEKGDIAGRIVLKIGE ACHE_80580S MFANRSLPLLRNFSLGVLTPQRSIVQATGTGTYRSLATMASDPS TYKLNHTMLRVKDPKRSVEFYKYLGLSQIQQLDFPENKFSLYFLAYNGPSSLQGDRHW TDRNGVVELTHNYGTESDPNYSVVNGNTEPYRGFGHLAVSVDNIEEACKRLEDAGYAF QKKLTDGRMRNIAFVKDPDGYWVEIIRRRDEDFAAATTDPSTYRLNHTMLRVKSAETS LKFYQEVMGMTLVRTSENKDAGFNLYFLAYPKSNPQLQEDARNPVAEWEGILELTWNY GTEKQEGNVYHNGNTEPQGFGHICISVDDLNAACDRFEGLNVNWKKRLTDGRMRNVAF ILDPDNYWIEVIQNETLKRTSNW ACHE_80581S MQPESEKKSKKAPRKHVTTACVPCRESKIRCDGASPHCNNCQRK GKDCKYQHGDDKRKVSLRAATELFSARIDQLYQFIEDHGLEPPLMKPEDEAGMNRVLD TLQIPRKQVQKKSPNSKNKPPVKAQQNVAQSPAQRSPASIPVGTSPLGGQLNQAPESS MPVASSSQNIYSNPSFFPPTGKSPDATNPFSLSSGALNNYSWGFTLPTAESLDTLYAN LESAGVNMPAETTSLSPDSLYLSQDIAQQPGVLLEQAQNNGLYDSDSGDEEDEAEKDV IDQISHRIGTLKIAGDGHLRFYGATSNLNLVDVSAAQQRQRPDARTVRHDGQDILNHL RVGQPVDQELEDHLVELYFTWQNTSTYVVDKEMYMIARTKWREEYDDTPFYSEVLTNA MCSIGSAFEARYHPTFITFPKSLSEFFADRAKALLEIELDSPCVATVQALVILSCHEG SSNRDARGWLYSGMSMRLAFDLGLHLDTTCYVDKGDISAFEADVRRVAFWGSYTADHF WGFYLGRPFRLNTGDITVPKPASDIAAEKEGTWYPYGLHAKSEVPPAGLKNPNELISR QFAVLWEIISPVGHILYGCSDISRHDLQRLCYRVTEDLFAWKVNLPSTLQVDLGNDAV PILPHLLMLHMQYHQIVIFTHRPWVSKHYIQPRSPRQGPGYHHARRMCIESSMAVARL LQIYEKHYTFRRMNNQVVAIIFSAALMLLYVTISPGKANNNENGTTRAEMVAYLNLCF RALDELGQSFENAKRTRDFLVSLQRRWQAHMRRSGAKRQMGNRQSSQPPAQGRSEVDP SRKRTRLSVSGHSLPTAAAANNSNSNSANKNQAFAIPTTPPSTQPQSQAQAIPPPLNQ TGSEDIDWIRNSDLQLFSEGLANNQFPQIPGNSASYAEASTIPSLADIEPWWESPNGT TTFGGSSL ACHE_80582A MRLLAHFLTRWHSRLCPFSFFTLRRASFSSSCRRYSSYSDRTFG IPVGSNGHVLLSVTESLASPKQAYPNVTVYLPPGPVFQRQNTPTSTDVKNSVSHNDTD SFHTQHHLAASTSSTVVTIHYRLGTIEPPEEQLPDAESPKDDGSSTAKSAAAKPNENQ PPRLVHYEYQNAVHDILTAFDWIQKTFQPNQLNILGSHVGGSLALMLALTESQSIHAV AAVEPICDWTELDQWCEIAEYLTTRPEPTLEGIEGSMAYMAISDTEKQEKRWRSKSCS VAPPDLVPLLEARESLFVKPERYFDPFASPVLFVRTPGRAIPAKFPQYLTGPDKPIPV LKERIVLDVMSLGLAEDEDTLPGELLSEELDDEGFTHYDTEISPIYIVSDHTGRRYNV EIPMYRKKLLRWPPYGLEYGLSGATWFQPHLLEFKRLDTTLPWVHIFIRQPKSKRSRT VLSEQAKDMQKLMQKACLWDDRGGKESGEKGVGLSRIPSDEAWPASARMEKAAGEWLE SVRDWPRNPEKKKQRIGEELS ACHE_80583A MAALCTLGNKKRLIDNICVARNEKVGVYGFVFFRDGEWQQCIVD DKLYLRAADYDESLDERQVWDDIIRRDTEEEYRKAFQTGSRALYFAQCADENEIWLPL LEKAFAKAHGDYCAIEGGFVGEAIEDLTGGVTVDILSSSILDKDRFWKEELMKVNQDF LFGCGTGFWSNWLCPKYQGRPRDRKGIFENHSYSIMEAREIDGHRLLRLRNPWGKKEW SGAWSDGSEQWTPEWMERLGHKFGNDGVFWISYEDLLKKYQHFERTRLFGREWAVTQQ WTTLNVPWSADYHSTKFMITVRKESPVAIVLSQLDSRHFKGLAGEYDFALKFRVQKDG EEDYLVRSQSSHLLKRSANAEITLKPGRYCVLMNITAYRNPDVESTEEAVSRLASTRR EKLVQIGLSYDLAHAKGRVVETEREKKAREEYEMRRRALDRKKRREETKKKLQKEWIR ERKMAARKKRATERLTGRKDSFSCNESLGNGVLRKGSPEDSTVNSLATSGINGTTPTV QLNGYNKLKRKRDSRHLSIDTNFTADDFDSSDLELLDGFEFDSDIDLPEKPEPVKQAH RELSVYSPHESISGHWNAVCVVGLRVYSKDPKLSLEVVRPVPEDDVEAALDMDDPAVS ATNERGGWDQFSF ACHE_80584A MDHDDRSDLSFVPPSSRSGSRTPRRIRKQPPQDTVKQFWDQFST KFPGKVYTVLPDNSYARSKAARIPKGAIQGQNAAKPYDQARSECERAVNRIVRECERL NQRYTDPHFDIEVDLKSGRRDYLDGLDVCNLEMQPKGVKRVTVSDLKAAR ACHE_80585A MENYHRIEKIGEGTYGVVYKARELTHPGRIVALKKIRLEAEDEG VPSTAIREISLLKEMHDPNIVSLLNIVHADGHKLYLVFEFLDLDLKKYMEALPVSEGG RGKALPDGTTMRKDMGLGDAMVKKFMAQLVEGIRYCHSHRILHRDLKPQNLLIDREGN LKLADFGLARAFGVPLRTYTHEVVTLWYRAPEILLGGRQYSTGVDMWSAGAIFAEMCT RRPLFPGDSEIDEIFKIFRLLGTPDENIWPGVSSFPDYKPTFPKWKRQDMANVVPGLE DAGLDLIEGLLEYDPARRLSAKQACLHPYFQHGSSYYSGRNRAKGYH ACHE_80586S MAQIKGLNAHDRPPDSIRQRYKKYQKTPLAEIDHDSSILDLQAL DPDSLPDGISVSEWRSSEDFRLAFDQFVKGDGSVWQHRGPLLENIPVFTHRSVSGLLM IPSMFPPTVQIELLSRLFHRDLSNNEHQTNLHLHYDVTYPYQAQNTEIPDGPGSSSSD QTRNQIPSFFADDPTRTIHPKDPGTHKPITVQNLLEKKLRWITLGGQYDWTAKVYPDG PPPPFPNDVAELLRAAFPETEAQAAILNVYSAGDTLSAHRDVSEECDVGLISVSFGCD GLFMISHDDGIECEIIRLRSGDAVYMNGPSRFAWHGVPKVLPETCPNWLADWPSLSHD KTDPSQYALWKGWMSGKRINLNVRQMTNSMLHEAVVTGH ACHE_80587S MEDTPSSRPPEVDTPEGSTGGDDNQGQTPAAKGQTVKDRKCQYC QQSFTSSSLGRHLDQFLFKKKPDGIHNVEEIRRIRSGITRRQARTSTGKRDTPERITA KGQSDPYGAGENSGRSRDGQVRMMFNTPTWHATGVINDIPNPNNPQDGAARYTPSQSR TGSINLPDYARRNASSQNPDTMRALELALREVLDNIRAATSRMRPRISPFDFDIQSQT FPSLCLQLLPPPPSLFSATPFPSSSSFSLEPPGTEHLEIVRQALRAKIAQWQSDQLSA DATNNSQSGRFGMGMDSSMITRGVQQHEDMSLRHLELAYKHWMSLPPEEKGSVWQLEI TRAFAREMDKRKSLDEQLARVQQEANQLRAQVERLGSCQWPREFALFPPDTLPLPRDV ARELDVKESHLNPHSPRWDYENVVAKWKRVVMHDRSMGRVGVGYSNPVLDENDNSPEQ SKLRTGDESNHSRSKSMQGARASSPENQQSPQPGGQSASSSNQYRSPYLESSRSPVAG GPPAKRARLMNGNGNGNGPEGSAAPSPNQSGPSASHSWNSHHQPQPLTVSNLAAPSAP TPSPSNSGA ACHE_80588A MADKYRSEVLYNPEDDLHYATLTVRDTLIFALKSRTPGKTSRIP DESRKDYQNTYLTAIAKLFWIEHAMGTKIGNELIRGISGGEKKRVSLGEAMVTKGSTQ CWDNSTKGLDASTALEYVQSLRSLTDTAEVSSLVALYQASESLFRQFDKVILIEDGKC AYFGRTEHAKAYFERLGFECPQRWTTPDFLTSVSDPNARRIKCGWEGRIPRSAEDFQR AYRKSDDFKAAFADIKVFEKEIESQRKEREVARSKIKKKNYTVSLYKQIMILTHRQFL VMIGDSQSLVIKWGVVTFQALIIGSLFFDLPQTSDGVFTRGGVMFFILLFNALLAMAE LTAAFQSRPILMKHKSFCFYRPAAYALAQVVVDVPLVFVQVVLFDVIVYFMSNLSRTA SQFFVNFLFIFLLTMTMYSFFRALGALVSSLDVATRLTGVAIQALVVYTGYLIPPWKM HPWLKWLIWINPVQYCFEAIMANEFYNLDIRCEPPSIVPIGPNAVPGHQSCAIQGSTP DSTIVRGANYIRSAYTYTRSHLWRNFVIVIGWFIFFVAMTVLGMEMQKPNKGGMAVTV YKRGEAPKEIGQTIMEKKKPEDEESGERDTARPDSRENESGETMEKVEGIAENTSIFT WQNVTYTIPFESGQRKLLQNVQGYVKPGRLTALMGASGSGKTTLLNALAQRINFGVVT GTFLVDGKPLPKSFQRATGFAEQMDIHEPTATVRESLRFSALLRQPKDVPVKEKYDYC EKVIDLMEMRHIAGATVGSMGFGLNQEQRKRLTIAVELASKPELLLFLDEPTSGLDSL AAFNIVRFLRRLADAGQAVLCTIHQPSAVLFDQFDELLLLKAGGRVVYNGELGQDSQK LIKYFENNGGKKCPPDANPAEYMLEVIGAGNPDYSGQDWADVWENSTKYKELMEDINR IVETRAVNTHQRNKNDDKEFAMPLWTQIMATTRRAFIAYWRTPEYALGKFMLHIFTGL FNTFTFWHLGNSYIDMQSRLFSVFMTLTISPPLIQQLQPRYLHFRGLYESRESSSKIY SWIAFVASTILPEIPYSIVAGSIYFNCWYWGIWFPRTSFRTGFTWLLLMLFELFYIGF GQFIAALSPNDLFASLLVPAFFTFVVAFCGVVVPYHALPHFWQSWMYWLTPFHYLLEA FLSVVVHEVPMRCVEREESWFTVPPGQSCEGYAGGFVRQAGGYVRDAGGCKLQRLLSA ACHE_80589A MEASNTRQGRPAVQSVALESMAPSTTTDTRSATDAAPIDNPPSS EENQNSSKPVYENQHTKDDPEAGLSAQNSASSGDSGGQDLDQIRKLVSRMFGRERKEN SQEEKMRHSGVVWKDLTVKGVGVGAVIQPTILDIFLGIPRLVKKLLTKGRKSAGTGKP PTRTIIDGFTVCSSELHYTSLNSHIYRAVSVLGRCFSFLDDLVQDALLS ACHE_80590A MSFPPPPGLKQTPSSLPPRPPAPAITASSGFKPAYAAPPSYSSP GTTGGYGGNAPGSGYNAFSFQPRAVAASQPYQASISAPPTTTAGYPAPTTSGYGNYYQ QQPQPYQSGPSYYGQAQYNDSYGSYPDQANAYGRNYGRNESGADAEREAQIAQWQSAY VNNQEMSAAAPGKGPAPRAAQGPGAYTPSTSAPTSHTPTPASGRPQQDSKTVVRSGGG QTWTDSTLLEWDPAHFRLFVGNLAGEVTDDSLFKAFSQFPSVQKSRVIRDKRTQKSKG FGFVSFSDGDDYFRAAREMQGKYIGSHPILLRRATTEVRPVSGNAAKGGKKNHGGAGA GGSGGRPGSGKVKHDGVKKPGKTKGGLKILG ACHE_80591S MADQAVARLAGINVGAPARPLPSADFGLIGLAVMGQNLILNAAD KGYTVCAYNRTTSKVDRFLENEAKGKSIVGAHSVEEFCSKLKRPRRVMLLVMAGKPVD QFIEALLPHLEKDDIIIDGGNSHFPDSNRRTQALAEKGIRFVGSGVSGGEEGARYGPS LMPGGHEAAWPYIKDIFQGISAKSDDGEPCCDWVGDQGSGHFIKMVHNGIEYGDMQLI CEAYDLLKRGLGLSPTEIGDVFAKWNTGVLDSFLIEITRDVLYYNDNDGTPLVEKILD KAGQKGTGKWTAINALDLGMPVTLIGEAVFSRCLSALKDDRTRASTILGGPTPKFEGD KQAFIDDLEQALYASKIISYAQGFMLIQEAAKEYGWKLNKPSIALMWRGGCIIRSVFL KDITNAYRNNPDLENLLFDDFFNKAIHNAQTGWRNVVSKSALWGIPLPAFSTALSFYD GYRTKDLPANLLQAQRDYFGAHTFRIKPEYANENFPEGKDIHVNWTGRGGDVSASTYV A ACHE_80592S MAAAMVPLEVALSGAIGARVRINTSSPAPSTIEGTLFTACPITN LIAINTKPSSNPADAKQAEAGDYRIIPVSRIQSFNLLSLSPSSNSPDGPSFTDAAPPV QALDIRALKTREATAIGKAQESEAKRGKGVTQEAQDVFDAFNRTMPTRWDGSNIIVAD AVSIAAPYRADDCRPLVAGDVAALSHVRKVLEMERKKIELRNASASIGKPGTPNNAAG QRKGG ACHE_80593A MAEQYSVQNAPDYNAVEDYGLIGDMHTCALVSKAGSMDFMCWPV FDSPSVFCRLMDSKKGGHWSVKPTMGVTNPLSKQRYLPYSNLLETRWTNDDGVATLVD YFAVTPKRTHQTGRLLTGYCPCNEPGVNRFKSGLRHSAVARKIECSRGSMELQIELFP AFNYARDSHITRAKLEDDLSEHPVQTVHFESETERLQLAICATSSEPDIAGFPKAQFR LEERPEMQGRGLVAVVKLSEGQAIHFVLHSPEMSMPTGAAVPGHIRRLEEETYDYWTE WTRKCTFRGQYRETVERSLLILKLLTYKPTGAIVAAPTFSIPENVGGSRNWDYRYSWV RDTSFTLYVFLKMGYSDEAEAYVNFIFDRIFPHAHAAEPGSKRPFLPLMFTIRGEYDI PELELNHLDGYKGSKPVRVGNAAVFHTQLDIYGELLDSIYLYNKHGNPVTYDQWLAIR RMINYVIEVRHEKDMSIWESRGQIQNFLYSKIMLWVALDRAVRLCEKRSSLPCPDRNK WVQIRDELYDEIMDKGYNSDRGHFSQSFESPEVLDASILIAPLVFFLPPNDPRFISTL KKILQAPEKEGLSSSRMVFRYDHKKANDGVGGREGAFIMVTFWLVEAMSRAASYDVDI PNLRKLALAHFDNILSYSNHLGMFSEEVAISGEQMGNTPQAFSHLACVSAAINLDRLS RGHKSDVS ACHE_80594S MGLSHSGSHHRRRSSMLTGAAGPSQSLPTEQREESPRIVSDGAG YKREEQKPLNGEDADASDLSSIAESMEMDCMSTDDDLHDDEETGLTAKQRRKQRRRRR RQRRQLDARIADVKASRNDVFSLGLAERSVLRRLLVNGVLILSWYFFSLSISIYNKWM FSQDDIVFPFPLFTTSLHMAVQFTLSGLILWAIPSLRPKNPSTSAASGSSPARDTGSS EKRPLITRFFYLTRLVPCGTATSLDIGLGNMSLKYISLTFLTMCKSSALAFVLLFAFI FRLETPSVKLIIIIATMTVGVVMMVAGETAFNAVGFALVIASAFFSGFRWGLTQILLL RHPATSNPFSTLFFLTPVMFISLTVISLAVERPAEIANGFQALAATHGTGFGLVLLIF PGVLAFCMISSEFALLKRSSVVTLSICGIFKEVITISAAGVVFHDQLTAVNLTGLVVT IGSIATYNYMKISKMRQEAMSNMGDRSPITGSDMEDDSESPAPNGSRGYQRVPHLDTG LSMAQSSPRVNVADNVNITPADDMAIGGPRSYGVRASGASSTNHGLSITTSNLEPSRS TSASPLKSAPPIITFTDADFPSASERGPAHGSQSASQSPERNASRHND ACHE_80595A MPGKVVLCTGANQGLGFAVLQVAGLRHPTNTYILCSRDLDAGQQ AVQKLKDLGVIAQIDLVKLDVTNDQHISAAVEHVTETYGKLDALINNAGIIRLPSNDD ISAARAAYNELFNVNITSVALLTTAFTPLLYKSPNPKVINISSGLGSIQNAPTKKKVR VPSYSTSKTAVNGLTVHMQVAENDRVEGEAASSGEPRIRYYACAPGLLRAAFSGFWGN GRDPEEGAEVVVRLLADDTGEYEGGTYWEFVDDEMKVVPW ACHE_80596A MSTHKSASSAIPGSPLPSPKTSKRIASAGDIDAAPQPNSPSRRS KRLNSDVPDASNQPQSNGDAVEPKSKRPQTSRTRVKKEVEEESEFLISVKTEKDGAVK QEEPEIVMAKATKKRKSKEEKETEVMPLRPRTQGLRMFVGAHVSAAKGVFNAVNNTKH IGGNAFALFLKSQRKWDNPPLQDDHRDQFRQSCSEQKYNAAKHVLPHGSYLVNLAQED KAKAKQAYNSFLDDLQRCEALGINLYNFHPGSAGQTTLPSALTRLAKALTNALAATST VTPVLETMCGQGTTIGGSLTEFRDIIAQIPKEHHSRVGICIDTCHSHAAGYDLVTSAG FKAFLKEFDEVIGLQFLRALHLNDSKTPRGSHRDLHANIGTGFLGLRAFHNIMNEPIF EDMPMILETPIDRPVSNTSKPPTTDTSARAAHEACASEDSESDLSDPEQPPKKKQTKG KPKKPTARVIADPSVWANEISLLESLIGMDPESPEFRSLEAKLADEGKEMREKHQEQH DRKVETEEKKKKKALEKGQKSLMDMMKGSSAAKGKKQTADL ACHE_80597S MAAQEDLVDFEIIENQKENIQSLPGGRSARKLARIFSPRDTTDK LATPSPNDTRTVNDAIRQEFETEIQAINESDDPLDVYDRYVKWVLNAYPSTQATQESG LLPLLERAVRAFLSSNHYKNDSRYLRLWLHYIRLFSDSPRETFAFLARHHIGEELALF YEEFAAWLESAGRWAQAEEVYHLGIDREARPVERLIRKFSEFQQRYEQQPQDGPTSPA LPKARPALAAKANPFASSSDAPEQQPQQPAAPAAGAKKSKSGKPKMAIFSDAEAAPAN QPALSGGPTKGWDSIGSLHDRKKENAMEAKPWAGETLKAGKKAAPKEKMTIFRDESNA KQQVKEPMQSKHVPEHRVSEAVNPRTGRRERVFVNLEAVYPDYKNPNHEISFEELRAM SRGWTKKNWRSQKQPLKQISGNAEPSTEKLVGRGREKELPDQFNQKLALHDSQPDQDE NRDSRAGKARKPKVREVQGETQTIKMNFDSPTKPKKVRRKSTAEPTMTLHTRAATDEI YSIFNQPLKAETEPADSSDFDDDDYDDGYTSAGESTVTGRISAASSDFDDDDTTFHKT FGNEGFDDTRAESVVDGEWTEFTGSRHVSEPSITDSQAFQPEHEEYTDGEETDAVEQP VRPRFVPQMPEDYNPPCGPYRDPEIMAQNRLPFMTPIVEQTECSFPSMRTARNLYTAK TPSKPMPGIAASPGTPEMEDLLGLSPEGDRFASIPEDVTLSPSAMKSRSSPLMPFLKS SPARKQRPVIEDAQCNPTDKSIRKIVIDSLSPPISSYPGYQAHAEEESHYAPGIQKYI KNLSKRLKSGDEASFNAPILEFEKAERGYIVRRELGAGAYAPVYLAESVDSLDTDSEM DGSRDSRSTNSRKAADRYSFEAIKMELGPPNPWEFYMIRAAHERLQKSPGCSRAADSI IRAHELHVFEKESFLVEDYRGQGTLLDLINIIRNEPTLVHNNGEGGLDECLAMFFTVE LFRTVEALHANGVLHGDIKPDNCLVRFDDPSSRPADSTPSLLDLDDENTDPREIHYSP HGKYGWRDKGLTLIDFGRSIDMRAFQPSVQFIADWEPSSHECNEIREMRPWTHQIDLY GVAGTVHVMLFGKYIESVPVRRSSSEYENSNPQAMTRTYRIRETLKRYWEREIWSEVF DLLLNPGAPRWTSIDQDENEDRPPHLAILGAMRAVREKMESWLLVNAEKKGLSLQIRK LEAVFAEKRRKLERV ACHE_80598S MTPDSKKRRREPYTVDTKLVEIYEDLANEKDEIRLKAAQALVSQ FTPDKNLSDEQIQRALQRLFRGLCSSRKAARIGFSIALTEILTQVFATPREALEIDVR KVMDIWESQSDASGSDSGQEQRDHFFGRLFGSEAIIKSSILFKSSAPFSEWTKLLDLV FDLAKKKPWIREECGWIIYRCIYDLSSQKVDSKYVEAALERLSSFELVRTPEGVAIWL AAKDLFPKANFPGKAWKHDHPLDARERNQLAKVLKESSVGTEDENQENKPKSTGVWNS KLHFAWDAVLSRIPAAKETKSKKESSHLTFLDFWTDVVDNGLFASASSEERKYWGFLL FVKVLNESPLQQASLVFTRNLVRCLMNQLAVEDRYLHRMATKSVKTIQTRVSKEPAFA AAAIKGLMGSAGSVNFDQVTKTKTVEKIAVEANPDALKEIVPLLEKLVSHSGTDDSKA AASSRQCLANLLVSIVRSRASSQDADEGLQDVLEHILTIFVRSAYFIPNEKEGPQPPM APQTQEMFRNRINSCLNSLIASQKYAATLPYTVVRKIRDAAKSEEYGKSIINIDGALS DSVKSAFKSLKKLSGQDENAAADAFKLLYSMTLLQVYNGDADAVSMLDELEFCYTKFL GDKKSRKKKGEEDETSDASDTLVEILLSFASKQSQLFRRISEQVFSAFADKVTATGLE SLTSILEASENLAGQQEMFDKEDDEGDEEMMDVDDDDEEDSDVEVVDAEGGDDDEDDE EEDEDESDEPDDEEAEFQAKLAAALGPHAANKPDEGSDDEADMNDDEMEAVDEQLVKV FKARRDALEQKKDKKDAKENMVNFKNRVLDLVEIYVKKCHSSILALDLLLPLLRLTRR TNVKQIANKATNVLREYSKLCKGSAVPSITEDSTESAWALLKDLHREAGHSGPQAHAT ACSQASLLVVKVLVAHDKKAIEGIVDVYAATRKEQMLSNKCHVQPSFFTDWNNWCVSA RNQIKT ACHE_80599A MIEIANMFEYLSMPSGVLTVLLLVVPILLIPIVILGPESNQFRR LQQLWSRRQKQNANSSSEIVSLRVYPVKSCRGFTVNKSILRSHGLDLDRQWMFVDAET LQFLTIRQIPEMTLINTSLSEDGKSLLLSITGVNGDVATTEKPISIPAVPDTDWLKQH TTLSQVKVWDVLTDGYVYGNDINGPFSRFLGRDVALVYKGPTPRILQGNGDPQLLGRT QSVNFPDVHPILIASEASIAELNSRLRQKGADPITIERFRPNIVIKGNAPWTEDSWKT VRFNGNSGSLAENGDSFVLSSPALDLDIVARCARCQVPNVNPETAEKHSKQPWDTLVS YRRIDEGIKFKPCFGMLAAPRNEGTIEVGMRFDVLEETNQHRYIKGF ACHE_80600A MGDPGLGQSQIHGIEQTVLPTEEVSVLVTGFGPFKAYAANASNL ISSSLPSSFTFPSAAPSFAPQEGLAPTSRRISIHVHPTPIPVAYSTIREIVPVILDEY AKNHGGRRPDIVIHMGIAATRDYYSVETQAHRDAYHLSDITGRSGFLDGEMHWRQLGL PPILKAGRATNVRTTVNAPAMETPGTVPGSKSQQAVQQHTNPRPPDDQFVDIWKTFAP PGADIRISQDAGRYACEFILYTSLAQAFQEGRDRNVTFFHVPPSCNDEDIEYGRNVAI AFIKALVTSWFDEKAT ACHE_80601S MLCALLYTEYSVSTKEHGFQLDRKMIVNAPSTYGYGVAGPLSEQ LLELLIREYGVPITFPETSTIGISNLRSAHFHANKADLTAEDADLAREIFSGKLRA ACHE_80602S MFRQPNMAVTIELFENPYVLQGLAAAIFLVYVSHFLRDLSDGFP YKNIPLVGKTRWELSNTKAKERFVNSANELIQQGFSQGKSAFQAMFSHSLMIVLHPRL MNEVKSHPHLSFDEATKRLFFGGQFPGFEVFDGQDKDHIVLNIINKKITQTLGQQTIP LSKETASLLKDTFSESDEWQPFVFAKEIPHMVARLSSLVFMGEEICHNKEWLDVSVNY TIDSFVAARELRLWPAALRHVVHWFLPSARKVRNDMSVARRIVQGEIEKRRLIREGKL PGKGEKTHPTVLDWIEEASAGRPFDETRAQVGLSLAAIHTTSNMLTNVLYDLTAYPEH IQPLRDEIKAVLEEDGGLMKTSLVKMKLLDSVMKETQRMNPAGMTSINRVAIKDVPLS DGTVIPKGAGVVVSGHIMQDDSVYPNAQRYDGYRFYNKRQAPGHEHRHQFVTTTPEHF GFGHGQHACPGRFFATNEMKIFLVHLLLKYDWKFVEQQGRPKNILHGTENICDRNVKF LFKPRQPEVDLALLGEGTA ACHE_80603S MEGRPGGLSRTLPKNFTFPSCADEPRTPKRASIHLDVPPPPPRA ASCHSRRFRPRSGTDVSAQVHSDPISWNQNHSDIPLPSIEFPQQSSPPSNAAVAPSNA ASGSTSNDRFLAPPRGRTALKTPPTQIRTTPTELNTTGAWSVLNNQQASGEEIRRPSS SCSHVSDSSISSVETFASRPSEGSCTSTESDLQDPFFYLELPKKSVVLQSPPPLPEQN ATKQRRTRQVAAKERWTAEMDGHLWNTYQLYIQDPTITPFKMTPGSIPPLGVTHRVSR EAKRTWDRKAFKFNRDILSQSQRCDDGNNTPTPKANSNATTRPGWPRSEASTRRRLKL LCRRKFSIAPHYQRLMMSKSPAPLEDSSSRESSCFEGPGPSSTTFATRDLGVSLVSSS VPGPLSQLATEDQPQDVPVDFNPFGSPKEPAVATTAPEDSVAKRALGLDRPGAPRLGS PFTYHTWGPDNSSSKRKVHRHNPMGRRETIHVTTGFRLRSPPRMDLFSNITNAPQAQD PSQVNEPNAYSHLEEFARQGKLNDLGNRRVRIRNRGATTSSVNPRAIHQLFSPPSSSS RDETMPRERPVSGHRRNLSGDSIKRLGSPFKLEGIRHRHSSSGRFLRHTPSSSEPFGP AQVPQLKPSLSEGVLPYNTTEPGLSDAERIRREILNMQHSRK ACHE_80604S MEACADRRLPELHRDGSDKEIPKEELFCYTKHRFLLAEHYVKFN LQELLTIAVSISEGATNCTRVTKTVDGCSNKAFVLIMHNGSEVWAKAPNPHAGLARYT MASEVATCDIICTLLKIPVPRVLALSSVPNNPVEAEYIVEEKAYGTRLDISFPEAGFG SASCRYGEQTHQHNLQ ACHE_80605S MDASTPWMNCAHWLENQFKYDPLVLGPLERYSMGRFTCLSLWQG AKKDMKSDRGPCDYPPLYEAQLLLRAPRKWAVYKQKTSTDSIICKPLITFCQEAWNNP VLYLLRQSLMLIVASWDKLSEVPCLIEFSSKGKKAHEKAIESTEIVWNVLASFRWGAL LPIDGMIGPEDYDQAIGNSHFLKNVFVSLARDKEEKEFFERSGRPKSLGFGRFRISRL SLVAQADK ACHE_80606S MDIDDILASVDRDANIQTPESATLDSQLLTRFWVAERAVSELLP WPASLMDRMMERVRRQIEAIEDLAASSADPISTTNNTNNATLNLKLSILQTDLARTQY LIRSLLRQRLAKLTKHSMHYLLLISPNHNSQPTQSSQSSQTQQQQPEDSVPTPDDMSS TSPLSPQETSFIHAHQTLLARHHGSSFLGAFPPQLRRLDDNAGGTSMVQGPEGKEAVF VRCLVDEVGVVVPPGDGVEEEMVGTGMRMGDVWVVRWEGVKGAWERGEVEVL ACHE_80607A MEHSSPLAAMQPPSVMFGHCFRPEPTSYPSCGPRSGIGASSFNF KDLSMKKGGDYFNMKPVRGTSPTASLAADLSQNFHIDQSPQLATPRRSLFSSNLFSQG NEDTMTTPPMPSSPAAAMDGMEMSPLPHKPSFSVARDTDMKSPSLDMDSPMRTSVPSP LQDSPMLQKENLIERKRPTFLRPSLAKSRAHSYQMGMNRPAPESQAPPFRFNSRAHGK TSLSNSASLEDLFGESPQRERPALRHHSSGSLAMNARLRGGLGTSGSHAKSCGSPASS IRKSSNPCLRPRKLCRRSLSMFEHPQDVVSEKEANYTTNAPLPSIPDIDIEGTPSLQL PHFIPEDQGDSLARINRETLLEVMDGKYNDRFDHILVIDCRFEYEYEGGHINGALNYN DKESLAAQLFAEPKPRTALILHCEYSVHRAPIMAKYLRHRDRAVNIDQYPNLSYPDMY ILEGGYSAFFAEHRSLCYPQNYVEMNAKEHEYACERGLGKVKQRSKLNRAQTFAFGEN SPEMEDSPTGRCRNPGSRFLGSPFGDSPAPGRSPARRMLSY ACHE_80608A MISVCAGLVTLDEESQIIRLAYDTTTQYFEYALKRVWLSNAESA IARICMTYISFDTLENPSREGNIVSSFPFLQYAVDYTLTHMFQVVKSSKRAHTLFRIN NWFLSRLSKLTDWVYHDNNDDGDDLKMIRGQGGYGSPFHAAYAQRDSYIE ACHE_80609A MAMRARKKIHAPRRGMMSNNVNTFDETWDVLASSLREIHTKNAS SLSFEELYRKAYRIVLMGKCGDLYERVKQLEGEWLGQEIQKRITASITSSLLLAKEPV DVQDQSNERRAAGEKFLSVLREAWEDHQLCMGMITDVLMYMDRVVTTEHRKPSIYVAT MAIFRDCVIRSNVRPDSDSVVGDVLKDTVLFMIQLERAGHVIERPLIRHCIYMLEGLY ETITEEESSKLYLTIFEPAFIETSRSFYRTEGQRILEMADAATFCKITTNRIAEERER CLYTLSTLTESKIKDVLDEELISRHIAEVVNLEGTGVRSMIDNDRVDVLASIYELNSR VDKKKAPLTLAVQKRIVEMGQEINASATSAQSTKPGEKETNGDKKSEKEKEKEKPINQ QTMSAIKWVDDILALKSKFDRIWKESFKQDQGMEGAMMNSFSEFINSNSRSSEYLSLF FDENLKKGIKGKTESEVDSLLDNGITLLRYVKDKDLFETYYKKHLSRRLLMKRSVSMD AERQMISKMKVEVGNQFTQRLESMFRDMTVSDDLTSSYKDHIKKTGDPDQKKVDLEIN VLTSTMWPMEIMSNNKDGEVQLPCIFPKEIESTKQSFEQFYLSKHSGRKLSWQANMGT ADVRATFQRSNGKVQRHELNVSTYAMVILVLFNDVPAGESLTYEEIQARTRIPNHDLI RNLQSLAVAPKTRVLKKEPMSKDVKPTDKFFFNHDFQSPFMKVRIGVVSGGANKVENQ DQRKETEQKMNEERGASIEAAVVRIMKQRKKLIHSQLMNEVLSQLSGRFSPDINMVKK RIESLIDREYLERVAEEPPTYGYIA ACHE_80610S MRPSSLSYGLRALKTSLPRTAISRTTIRPFSQLINRLPSSSTQL PKPQRPTITYHVLRFNYSSSSSSTGQSPLTDRQTDAQSDAQHEEQNRLRREQEPAYQI TFTCKPCGERSSHRMSKHGYHKGTVLIRCPSCHNRHVIADHLNIFFDKKTTLEDIMEK QGDKVTRGYLEGDMEFWDDGVAFKRDGQGEKSGDGQS ACHE_80611A MVAASTNSRPQSVKRLRNSTKTTKNHRFEPFSQRVAKLKIDPIH RVRRPSFGEEGDETSSYFRSAFDHWCELNLSENFSQFARRVSPLSESLAQILYHEDKI MGLLVEGIEKRDQHSMEAFLSLLAQFARDLGVRFEKHFATAVTLVASVAATHPEVEVV EWSFSCIAWTFKFLSRLLVPDLRQLLGIMAPYLGRERQKPFVARFAAESMSFLIRKAG LVYYKNKEPLELATSFLLNDLRETAASSKNVETYKEGLMAMFSDAIKGVKGGIHSNGT DILSCFLEKAYAEDDLKRGLGLEVAGGIVINLCHETTAATFEPILNTVTSYVETHSKQ SDSRRAEMCCRLLFLCVTTRKGCRVNNWKTVHETLLLLLQKAAAAPSAYAGSMPQLLT TVAYALQVSRMDVMLPFMRPLMDAVANDKFSAYFLSFCATFSEYGAERFHGVVLPYFQ KFVNSSWREHEYGLCLTILRLNHAGCVTSEPSKPGYITCPTSWKSRIKEALNQSSPGV AEVGLMNAYSKLPKAISLFSEPSIQPDLVRSLHDHLSHALSDNEPEPSVTTKFFLGQG FKAYVDLATGSGDLDLKLWDSIKHMATKYSRLPFFLHAVLSYVSACSKTSCFKDSISD DFANALITNLAGPSHESRLLSLKILQQLLQATGEDASPLSLAIEIEESPLNIDTARVI SMQLRKLVILYPQIVSRRWMATLIPHFCFGLFSKKLGPLWDDAAEALKTISEHSEGEK IVSDIAIRWLHERDPDVPDEGPEEDDINPRDFGEYQCFNAARIERVQGANFSATVQPV AVLQRTLEKEHIFAEVLPTNPRAHALKVLNAAPMVAEKRSRQVVPLFMSWAQHDEEDT AHLEPESDSSDMPAHGEYVPWGFKDRLSFLALFGRFINPKVLFKAPEVHDALLGLLCH GNSEIQRLSLKALFTWKSPSVVPYQESLLNILDESRFRDELSAFVHVGKDNSTIEEGH KGQVLPVLLRLLYGRMISKASANAGQAGQIGRRKAILRTLSHLPDQYFALFMQVSFGP LGEVQLVKDGEVDQKPFEQELASLRRQMGLLKLIETVFDTLQSRVAPFAESSLNVVLY CLVRACRTLEKSQNPGAAEEKVLLALRNLRHTCIRCLELIFSVSLDRDWTPYVRIIYE DVINPRLENFAVETAQGVSGLLRLFHTWASSPGSSFYLVQENKFVLTKVVDTLGVDSA RDAVKTFVMDEVLVPLIEHASGKKLEEEEEMSDFSPEEIRSTVLSPYIEHTLSHLGRL LKRGPSRPVLVSGVQTLSLLAPCVESSSETSSLISITTYLLRQPADRVSPKTKSGLLR ILEHFLPLYKPSEDPELSQSVFEAISATFDYFRDDANREVLSRVFSAYAKHDPELVEV AQLCEDLNSRSFKKLGADYERRLQAFRKINEDIWNSLNAKQWRPLLYNMLYHVKDEEE LAIRSSASFGLKRFMENAILPANAGAEDFEALVSKTLFPALQGGARQKSELIRSEFVT ALGYFVKLNPERPAVKDLHVLLVGDDEEASFFNNILHIQQHRRLRALRRLASEAIKGE LQAVNISTIFLPLVEHFVFDDEEGDENTHNLIAEAVTTLGTLGESLDWNQFRNTFRRY RGYMESRPEMEKNVLRLLGRMSDALTNAMNQSKPPTKSDGDDDGNDDDEMADDTHAVV PTKSTLARSLPSATKVANELTTNFTPFLTNFIHWKDEAQMSLRLPAAVTTIKLLKLLP EQDMAIRLPPVLLDVCSILKSKSQDSRDTARKTLNDISLLLGPVYFGYILRELRNTLK RGYQLHVLSFTVHSMLVATTDDFKQGDLDYCLPDLASVVMDDIFGVVGQEKEAEEYTS KMKEVKSSKSYDSMELLAKNSTVPSLSKLTRPLQALLQEKLNANMVRKIDELLRRIGI GLLRNPGAESRDLLVFCYEVTKESYKQPVQDDDKPAAPGSSEYLLIKYEGAKRGEKRG TTSSYLYKLNRFSLDVLRAVLNKFGSLLTPSNMAGFLPIIGDALVQAHEEVKIAALRL LSTIIKLPLPEIDNNSHVYFTEAVKVIKEAPSTNTEASQAALKLISAMLRERKNTKLR EGHLSYLLQRLTSDIEEPDRQGVTFNFIRAVMARKFVVPEMYELADHIATMMVTNQTR AARDLARGVYVHFLTEYPQAKGRWTKQLSFLAKNLEYKHSDGRQSVMEALHMLLSKTG SELAQDIVGTFFLPLVIVMANDDAPECREMAGALLGELYSRADREQLKTILGPIRTWL EQTDNMLLCSTGLQAMRIYFEVEETEKEKQARFVTGLLPELMQPVLRDESTENWETLY FSLQLYIKLCKTVPSIALSKKCATIWSFIRECLFYPHAWVKTCAANLVGMWLADVAKT NAANGYGSIPLVGSAELALDRDAMLQLLRASMRCLRTPGVSEELAMQTVRNIVFLCRC SAQNGLEFSNLGSKDAELDESDSEDEDAEADADAAAAEAKTNGHADGEKEKATSKTAI RYVFEQASLILRRELVNTRAISLVPRTASIGLFAALCRHLEAEHILPSLPIILLPLQH LTDSSIPAPRSSDLEFRETYKALVSNCHEVLDLIQKKLGTTEFITQMAAVQDSIRERR EGRRVKRRIEAVTDPEKYGQDKKRRNDRKRDRRKEKGMEFRGKRRGW ACHE_80612S MASKIIVIGNVNCELREVFTKLAKLQAKQNFSFAIIAGDLFGDC TTEKELDEVSALLQETIVVPLPTYFGLGSRPLPTRVVERIEAKDEVCSNLYFLGKRGT LKTSEGIRLVSLGGTLETGKQSNRFHPAYTDADVRALYGTNNADILITHQWPKGIRTG SKVQLQDDVTTTEETQPLADLCSTLKPRYHISTSDDLFYEREPFFHMPSEEEPDAKPL TRFLSLASYNNPSKQKWMYAFSLDPKAPHPLTVPMGATASPLGPVQTKRKGLPSQRES YHRFAGPEDHGQRPRKRARGPPPGPQECFFCLSNPNIATHLVTSIGNECYLTTAKGPL PSAKTFPELGFPSHILIIPFTHTPTLNTITDKEARLSTYIEMQRYRTSLHSMLKQHAS NKLGAVTFEVSRGNGIHIHWQFVPIASDTITRGLVEAAFKVEAENLSYPKFEPPSEAA REDPSAEPGDFFRVWIWAPSSEEEQRKDAKPEERCIILPLTPDFRFDLQFGRRVMAKL MGLEKRIHWKDAVQSQNEEEADANAFKEAFKEFDFSLE ACHE_80613A MPFYTLKVKYENPGAYEQYGRDIQNTVKTKYGEKGAWDFKQLIA EPGSFPPTQFTIFEVPEDVSLDELLEVKLGEGVSTDIQGI ACHE_80614A MSPVDKSSMLWARDAISDLKSAPETFSSWDKCMAKSYCKWPVIV AIIVAAVIIIAILACVINCLCCGIQCCTGCCRCLSCCCPSPRKNKGSRKQYMDDVSPY HQPPPPMPQATVYQPPPPVAPPTYRGAQIARFDTPSSPAASKTGFKVNEDALPEMPTW DNARTRRVEDTSSPRPEDMEMEPLNQPRRSPSVPRSPASFMGPPARTGTTPSFYGEQN IHARRSPGPQSPPAATAPLSPYDEPYGDYPYGMSPPGPTPAPAPYAPRQYTPMPSISP APAAYTPSQYTPMPSTISTPDPVPYRQPSPGVAVPYRQPSPGPGMPFRQPSPGPGVPF RQPSPGPGVPFRQQSPAVPFRQPSPGFPQPPSYRGLSPAASPASPPPAFSETNNGPGR PPSLLQSGRKPSVNF ACHE_80615A MGIILRRRDCYRDGWTGSVHCDDGNTWYDWGRWVAFAVIVAAAL IIFFLLACVNARRRRRRGLPPHVGTSWMAPPPGPPPPNQPYYGDVYYPPQPPPQYSPP NPQSYGYFGGQPNGIELQPPPNAYHQAGPGQPAYSPPPGPPQAGKT ACHE_80616S MAPIYSSTAQCIILEDIDEDTGHTLVHYLCTGEYEEHCKRCNGP EQYRRSVLAYVAASNLSLGELESLASEQMEQYERVVDIFQILAVAPEIWPMVLADEDD VDADHLVDKVTAALECDLDLYDRDGFVHFGQSLEFDNFLL ACHE_80617A MLLKRTIFILKSLILTNIRLLPALASYLGTFNAMESTECACGRG LQDIRHVLLHCTNQAGPRMRHLTEGSRRELDYRAYLTRPDLVPKAVRFMLETGLLGQF QTLPTTYQVTTTDLRQPAA ACHE_80618A MAGIFRTIYDWLLRMFWATEMDVTMIGLQNAGKSSLLRVLAGAE FTVDSIPTIGFNTKRVQKGHVTLKCWDLGGQPRFRPMWERYCRGVNAIVYIVDAADRP ALPVATEELHELMKKPTLDGIPLLVLGNKSDLPDKLSVDELIEAMDLKSITHREVSCY GISAKEETNLDAVLHWLIARASR ACHE_80619S MAPAPTNTEWSVNYDVLRREQLFRHPPKDHTAYPALAAAIRPHI DSFNALFDDTKVLEHGLKDIGTKTFLDGDVETPEQKKARQAEGRKAPKRNRLNVRIRE VFLEKPVLPPTNKFTTRNRNIYPSETRERHATYRGKLRAKLEYQVNNGDWMESVRELG QVPIMLRTNRCHLEKASPEQLVQHKEESEELGGYFIVNGNEKLIRMLVVGKRNYPMSI IRGSFVKRGNSYTKYGVQIRSVRPDQTSQTNVLHYLSDGNVTFRFSWRKNEYLIPVMM ILKALVETNDREIFEGIVGGASSKGVSNTFITDRVELLLRTYKGYNLHGRSSCRAYLG EKFKPVLGVPADMSNEDAGTEFLRKVVLPHLGNQDVTETQDYDKFKMLMFMIRKLYAL VAGDCAPDNPDAVSNQEILLGGFLYGMILKERLEEWVRSLGPITRDWCNRNGGAKFTD PEFERDFLPKIVKRTNENIGGALEYFLSTGNLVSPTGLDLQQASGYTVMAEKINFYRF ISHFRMIHRGSFFAQLKTTTVRKLLPESWGFFCPVHTPDGAPCGLLNHLAHKCLIATS NVNVSHIPRLLVQLGVRSESSAAIDESVTVQLDGRIIGYCSPKQARVVHDTLRHWKVT GMHKVPRELEIGYVPNMNGGQYPGIYMFSQAARMYRPVKHLGSDKLDYVGPFEQPFME IACMPSDLIPGVSSHIEFTPTNILSIVANMTPFSDYNQSPRNMYQCQMSKQTMGTPGT AIDYRTDNKLYRLQTGQTPIVRPPLYNAYGLDNFPNGTNAVVAILSYTGYDMDDAMII NKSSHERGFGHGTIYKTKIHNLDEKDSRRSKSKREVTKLFGFAPGGEIRAEWRATLDE DGLPHIGTRVREGSLIAAWHTVRYDAASDSYVNVDGITHFMKYKDAEEGYIDSIRVMG AETGTEPCQALSVKYRVPRKPIIGDKFSSRHGQKGVCSQLWPAVDMPFSESGIQPDLI INPHAFPSRMTIAQMIESMAGKAGALHGHPQDSTPFQFSESNTATDFFGHQLRKAGYN YHGNEPLYSGITGKEFAADIFIGVVHYQRLRHMVNDKFQVRTTGPVNSLTGQPVKGRA KGGGIRVGEMERDSLIAHGAAFILQDRLMNCSDSTRAWICRDCGSFLSTQVAVPSVGG SKARIAAAKAQTSASAANNAANAAATSALGGTAGIVRCRRCAREAVFEDSRAEVWEDG DGRRYVGGDNVTVVAVPGVLRYLDVELAAMGIRMKFKIDN ACHE_80620A MDKIVSQYTRSSHQNEFYSEQEQQELTEALPPISLNFKLPPLDN PSGFLRAMTDDHSNPNCPIKLAHGTTTLAFRFQGGIIVATDSRASAGDWIASQTVKKV IPVSRLSRGEDKPTDKPTPGLLGTMAGGAADCQYWLRYLSQQCTLHEIRHKRRITVAA ASKILANLTYAYKGYGLSMGTMLAGMTPQEGPALYYIDSDGTRLPGNLFCVGSGQTFA YGVLDAEYRYDLTEEEALELGRRSILAATHRDAFSGGHINLYHVKEEGWVHHGFDDMN PIFWKTKLEKGEFSNVTSEL ACHE_80621A MNRSSLSIRSKRDSGGGGRLKFGMGAFQGLQQPEMSRKMGRLIK TEGDAIGAYEVAGRERISVASQLSEWGESTEDDAVSDISDKLGVLLAELGEQEDVYAQ NMEDHRALLKQIRNIEASVQPTRENRAKVADEIQRLKLRDPTSAKLETLEQELVRAEA HNLVAEAQLTNVTRQKMKEAYDIHLAAVIEKGEKQILLARHAKRLLNYLDDSPVVPGD QRAAYEHTDDTKHVLEEAENDLRTWERTDEPVHTSAGETSANLLPTNPGKTESANGAA DGSDGAESVKAVNGIEKPNLPHASGAIDTQQPVAVPY ACHE_80622A MDDPASRVPAQLLPHMHLVSRYRYPLMHMLPTDTVVEYLLTAPK VVRELQPMHWTFLDGPQDGTVMLTWQPLNHLTTSFASDGYVWADVEQAFTFEARGYTV EMWLHRSGYHPPNEPAAIHCRRRYRLLPSKVPNPNLPPADPSLWIVHYSRAPPTDHIH ANRIPIAPQVQSMLAQRRFLQSQGQLARKDFMLHDRNSWPSINLPPQMAPQGFAHPAG PYAAAMPGRPPFYAQPGQVLAGPAGAAPAKAPRGHRAPSVAMNAATADFALEDEDVSA GDMMDLLTPREVSKMRYQQHHEWMEEIFASPYAINQITPVSLGLGRKGELESLTLGFF DAPVGPSANGENKESKDAPDSAAAKMEPAKAEEFADRVAKKVADMTAEIEKLKKRHAK RMERFNRTSLFKDAELRLREAATDPTDTGSENWRLEGRIEIPTEEDGTPVEPMVQEKP KYKVDDIIREVEGSYQKQITPEPKISCVQKGGLLEKIEPEPKPDTAIGDIVMEHADSH LLDQFGSPNNQQTQPQPGQDVEMNMGDGRPVNTATGETGDWVMVNNEEKQNQGAATAG TGQTLIPGQTGNAGDTGLDTSNFDFTNMDSAGDALAAYTEQNEGLDLPDLETSAFGDA FHASDHENTHHHHDADDMS ACHE_80623S MGFFGKEDPPNDTIEQAPTNEDTEKQLTTHYSCEDNTALPPTPV VHIDPAVEKRMLRKLDCRLPLLLGFLYLLASLDRSNIGNAKIAGMGDDLHLSSDAYSW LLTIFYISYTVFEFLGIMWKLVPPHRWAAIAVFGWGVMAVCQAAAQNWQGMMALRFFL GLFEAAFGPGIPYLMSFFYRRSELGFRCGLFVSAAPLASTFAGALAYGITSGHPLLSS WRLLFLVEGVPTLVGAVLAWFFLPDGPASANFLTAEEKEVACTRSLRRGGETDRVSGI DWKDLGKTLLDPKPFFTALMYFSCNVSFSSLPVFLPTILQDMGFTSIHAQGLTAPPYF LAFLVTIFSTWLADRFQQRGLMIMLLSIIGAVGYVLLATCTAVGVRYLGVFLAAAGVF PCIGNILPWVLNNQGSDSRRGMGIVILNLIGQCGPFLGTNIFPSSEGPRYVKGQWICA AFMFFTTVLALSLRFFLVWENWRLDKKYGKKEERASGEKGIIAEENYGADFRFVL ACHE_80624S MYIECNGIRFSITNTSTPQLSTLYHTRAGISLYDAQFSSSNNTR HPIPDKIIQDVLARLVHFQTVCKDFDVPPENVHVLATEATRMALNSEAFRAEIRKRTG WEVRMLKKEEEGRIGAMGVIASCGRPAGGLVMDLGGGSAQITWIMADGQDGAVRTSPR GSFSFPYGAAALKNRLEQVGGDEKGRKELRGEMVKNFQHAYWDLEVPEELLQMANERG GLDLYLCGGGFRGWGYLLMEQASVNPYPIPIINGFRVRKEAFHDTASVLGTVDADTKI FGVSKRRASQVPAVAFLVNVLIDALPGIENIQFCQGGVREGFLFDRLPAEIRADDPLL AATRPYATPSANAFQRLLSSALPSTPPPEELTQVPPSFSPHLLSALANLLFAHATIPK NSRCAAALHSTTTGLLASTNSLIHADRALLALTLCERWDRELAPTDQSLYTQLSRCVP AREVWWCRYLGRVAALIGDVYPAGKVSGNETQWRIQLDSQWDVLTKEKKGERQTVLRL RVRWNRDVMRDSSYSLNEHTGRVEKVGKRKNWVNGCGVKVQVENQSLP ACHE_80625S MTPPAALFDTVTPATTIKGKVVAPEVTKTTLTGGESQTKLLDQF GGKWDEFKFAPIRESQVSRAMTRRYFQDLDKYAETDILIVGAGSCGLSTAYVLAKARP DLKIAIVEASVSPGGGAWLGGQLFSAMVMRRPANVFLEELGVPYETDPENPNYVVVKH ASLFTSTLLSKVLSFPNVKLFNATSVEDLITRPAASGNPKETRIAGAVTNWTLVTLHH DDHSCMDPNTINAPVIVSTTGHDGPFGAFCAKRLVSMNNVDKLGGMRGLDMNSAEDAI VKNTREVAKGLIIGGMELSEIDGFNRMGPTFGAMVLSGVKAAEEALSIFDERKRECAE ACHE_80626A MSISNSDVSSIRILTLNCWGLKFLAKHRHARLSQIGHQLATANH PPEIVGLQECWTQQDYESIHHQTQHILPYGKFYYGGIFGAGLAILSKWPIEESSMYAY PLNGRPTAFFRGDWYVGKGVACARVRFGDGVGDVAEVFCTHLHAPYEKEPHDSYICHR TAQAWEIAKLMRGAAERGHLVIGLGDFNMVPSSFAHMLIRVHAPVQDVWQVLHPESSL AAAVDPVEKKRGKPIPTAEFNLLENGATCDGRYNTWRWSKAEQKRLDKGEDIGVSKDA PCPRGKRLDYIFVGDGGYPPSFPEPKWAIESARIAMTERHPTLRCSLSDHFAVEAVVT RCRPDSSNSESTQEQKQKHRTLSPNAVLAPDTYDRILEMIHKYVLRERSQRRWRMAHF ILSVVVSVGCFVGVWWTGDLSYVAFILVLVSTLSFGAGILDGLIGGLFVSSELRALKE FEWEVRNAKRIVEQSKG ACHE_80627S MDNSSKRRRLDTPRNNTRNAPIVQSPAESSSDELAAGSDHDEAR RRTSWTIQKAYPPKRPYPRSRSFSGSESPDELAVDAEEYWRSSRRNRGRRSPSPVQDS DMMSERYEDDEEEMGEDAEDTDQQDEQEDEDGDAEDEGGDGEYSDRSPTPVPPPPPPP APKPDRLNYKEKYLLRGHLRGISAVRFSPDASMIASGGADGAIKIWDTLSGKLIHTFE GHLAGVSTIAWSPDGATIASGSDDKTIRLWNVSTGKAHPIPFIGHHNYVYQIAFSPKG NMLVSGSYDEAVFLWDVRSARVMKSLPAHSDPVGGIDVVWDGTLIASCATDGLIRIWD TATGQCLRTLVHEDNPPVTSVKFSPNGKFVLAWTLDDCVRLWNYVEGRCIKTYQGHAN RKYSLGGGFGKYGYAGIPPDAFAVSGSEDGSILCWDVVSKKVLQRIEGHNDVVLGVDT CTIENKRLLVSCGLDRTVRVWEDIERSPEEDQAGEPPAEPEPESKDQDTDMTDAVENA APQLNGIHPPNGDSNANTPKDDLPNGDAQTGDGDGDAMME ACHE_80628A MELLPKPPKSSIDTSMDRVAIPRAGPLPPPATRRRVERACQACR DRKIRCDGERPACSHCLNTKVNCVYPSNRREKDKDALQQLKSQNEQYKSLLEKIAGRV GCIAEDIDQVLGPEAKKRKRSPSPGRKESFSSGSSTGSLDALDVVDEDINRNQESRAT GFVGKNSEVSWLQSLDIEAERVNHPGHTHGRRSTSGTSHDNHIASKSYHADERPIAVE CEGLNPYDIPPKHTADVYYNIYFSFVDAHFPIVRKSLFTVQYERYYTEPFLKPGKKWL CVLNLIFAIASRYCAFVGNYVPDGSDDRIFFSRAKMLSANDNIIYAHPDLQQVQIEAL LAFYFLIQSQVNRAWKMIRIASSSAIALGINMRNVDDKTDIVSKESRCRLWCSIFMLE HSLTTMTGRASSLDESFSVHAPVPLTEGSFSDSAASLCLSDESEREKIANWTLFETEL QTRARSERLRSIRPNPSLYFSYQMDLSLIASTITSRIYGVHALRDGWDQVKNAIKGYS KKLNRWVSTINALFAFADGDGELLRGPSSREKTSLALHFYSTCILINRPCLSRPGLRE HGGVRFPRSRFGNDTALTCVRSALLLLAVLPDEPDEGWFYTISPWWTMLHFIMQATAV LLIDLTVGSVPVKTELGHEEQPERCPHSTGTALSSCKKAIRWLHHMANHDFACKRGFE LSVNFLRRIASSKNLDLEGVPLPALLPPDESSGSRRSSTPQLASASSNHEGFGGSTST TPGSGMTWPRELFPLNLAEEPDMAWFLSIADLPEPPNT ACHE_80629S MGSQLDANSSAVRKRIENHSFNGEEGEEYEASSFGGFSDYMRRK KIKLQNLDAEIRASSADNPPIFRGVVAHVNGYTQPSLQDLHHLIVSHGGGFVQYLESK TAATHIIASSLTPKKREEFRRYRIVKPAWVVESVQAGRMLPWEHFKVVDEGQTQKVLK FNSGRLLSQTNSPRTGYNDQTSSSWYTSQLKLPIERKDTAPRSTPPETTGNSDEPLNA TSQSDYGEFPSFSALDERQQPSDDVQGNELPDSRPSVDLPEQIGARSFSPTSPNKAKQ TPSLSPKVPTLKPDLTSEEYNAQLLNDPRMRGSSVVNPEFLQQYYRESRLHHLSAWKA ELKAQLQVAAKEKTISQAGKKKRAPGARRYILHVDFDCFFAAVSTLKHPELSGKPVAI AHGTGTGSEIASCNYVARSHGVKNGMWMKGALQACPELQVLPYDFSAYEDASRKFYSA VLAIDGVVQSVSIDEALVDITSSCLEAGGTDGRGISEGSIYREQLKADEIAQSLRDSV KATAGCAVSVGIGGNILLAKLALRKAKPAGQFQLKPEAVLDFIGNLTAQDLPGVGYSL GTKMEDLGVKFVKDIRDISKERLLSSLGPKTGAKLYDYARGIDRTEVGNEVLRKSVSA EVNWGIRFVSQAQAEDFVQSLCAELHRRLVENLVKGKQLTLKVMRRAADAPLEPVKHL GHGKCDVFNKSIILGISTNTKEVLGKEAVTMLRSFNISPGDLRGLGVQMTKLEPLKSG DAEKPESSQQQLNFKASPARKRMEHTVDPDNLESPHKGESETVTSITHNPALDSGHKR LNISGTQFVLPSQPDPEVVAELPNDIRSRLKAQAKPVRDPRPTSPCPPPRQVQAPATE LPPQSQLDPETLAALPEDVRAEVLGYYNQSTRDITPQPSTSSAPPARPLSTGPSRIQK PPTPSKKRRGRPPIKTSGNMTLTQSFVRARPPTASNASGPSRQFTPPAEHPEISEDFL AALPEDIRREVLEDHKRNTRLQQRPSNANVAVSAPRRVIQPKPRSQQSAQRRLHLPPL PERPTFTSKKLSNVSDLRAAVGAWHAVFADEGPFTEDVTSLSRYLQRVVVDEKDVDKA VSVVNWLAWLVDDGRESEEALESKRPKVPDSPRDSVTWDGALQSLRVDLNNAVEERGL PPVEFD ACHE_80630A MLETPFPPSETKPPSAFSPVPTLEVKTTSVKVPEREALTVDELV RHRASLGSSQPVISYPRTGTDYVDYPLRQLDIYAFRVAKDIGARIPPRTSSLETPAVI ALLGPSDLSYLVTLLALTKLGHSVLFLSTRISLEAYASLLEKTQSKHIFIYGSFRDIA AELKGRLPDLHVSEIPTQASYDSPIIEDVDTNLTPHLDLEKESKYISFIIHSSGSTGL PKPIFQTQGAAIRNYAGNMNMSGFITLPLYHNHGISCLFRAVHSCKTLHLYNAELPLT KQFLLGIMQDHEFEIFYGVPYALKLLAESDEGIAVLAKFKAVMFGGSACPDSLGNRLV ESGVNLISHYGTTETGQLMTSFRPREDKEWDYLRPSEAVKKYLQFEERSPGIFELICL DGWPSKVMSNRPDGSYATKDLFLKHQTIEAYKYYARLDDTIVLVNGEKVNPLDMEGIV RQNDLVSEAVVFGSGRASIGLAVVRAPNTEFLSDKDIIERIWPAVENAHEAMPAYGQL AKTLVRVLPADTEYPRTDKGTVIRQAFYRNFSQLIDEAYATENAMTGSLKLSEPELKT FIREQLQSILPAKAQAALTDDADFFGLGMDSLQATQLRSILVKTIDTNSQQLGLNVAF EHPTVNDLARYLGSLVSGTADSGVSAEEQMRVLISKYSQFEQHRPVPNGLSGGYIVVT GATGSLGSHVAARLSVLPHVQKVYCLVRAGSIIEAYDRLLISMRTRRVYDTLPDAARN KLVALPSDLSRTTLGLAPTTYNTLTSEITDIIHCAWSVNFNYQLASFEKDSIGGLKNL IDLALKAQRPAPATFNFCSSVSAVVNTEGNDIPETLPEKLSYAQGMGYAQSKLVGEYL CAAAKRQTGIRARVLRIGQVIGDTQHGIWNATEAIPLMLQSATTIGALPKLDESHLWL PVDTVADTVINITLSSSSEGTEPSGIFNIVNNTPFHWTRDLLPHLHAAGLDFEEVDQR EWLRRLRESNADPVQNPPIKLVDFFANKYDTDQPRRTLNWRTEEARRVSGALASAGPL SRGLVLRIVEYFRKGCWN ACHE_80631A MVAATITRADAQLSPGNNDHVELFQNNAVPAAGRKRKTSDSSAG NNNKSHAQRQKITRACDYCKGKKTRCTGTLPCLRCSRLSLRCEYNAAYSRGLPPDPLP FSKSGDGNASPVSNHAGYGLSTPSQGSSSSRFRDTASSRSQPRRGSSGLKSHDSPEPV ATDLEGNYLGPSSGISFLNRVWQRLHHDESSAITDELQNECSSKNTSVFMFGDRPYSN FQESGFTLPPFDKARELVDVYFDYAIVTYRFLHRGSVDEWLIQVYENDFSFANPPTGN MVARTAIILMIFSVATLYEEQHPGIQKDHGNESELWYTASKHMSSIESGPPRLETIQA RLGQCLYLLSSSRANECWYAFGTALQLVTALGLHRKFPAKKSKNGNTYLEQELRKRIF WSAYTLDKYLSVMFGRPRLLHDEDIDQELPEEMNDEDMLQEDPAKRTGSADCMMIASV LHYKIGRILGEISRQLGSINPHSRDSPLDRVVRLTTELEKWKEEMPPLFSSVRPTSLI PPLCRQSQVLQLAYSHAMIHVTRSFLLNDFTDLSRRPQIPHPTVTNVLQKCIGAAENV ITLVDSLAKQGVMIQSFWFTHYVCFCAIIVVYIYIIQQHQSSSPSAFSPQSTENSSRL HDLFNLAEACQQHLAEATRKNCPSRRYSIILEELRREVHRQIGSPLPSSTPVNPQNQK APLDQDQFLLSNMDQPVSLDSSILDYAPPQNFQASDLPGASFNPMDDINLLESLEGPN WWAQLDSWAFSNPSNDPSMFMF ACHE_80632A MAHQGSSRPLRPLAPRTASGGLAPANPDPGPNEEHKVKRASMAC AECKRRRTKCSYDTTGSPCTECTLQGCQCFVDESADKRRKVAAKRAEEENKRLLERVK QLEGSRDYERQFKELLLEAFREGDEDSVTAIIHSIRSRLPEDHILDVLNQVLDKAEDR AATLEDGVEDAQGGRVVDGVPPYYPPDYATR ACHE_80633A MADSMDYLSPDFDLNSLTVPRLRAILVNHDVSYPASAKKSQLIR ILEDEVLPQAKKLIRDRERVKRTSEGITDMGSRATSVASSFDGYQDYHEGVDRDSMPP PPTPSSVSTAGARRARSTRSSRAPTADLDEQGIPATLATASRRSVARSQSRPSRRIDE GAATPVARKDMATPRQSTVKKLWRNEMTPSTEPELPHPPPSIKPEPVERSVFTDDNPF QSGSSPASWEQQKLRSARKSNSRVSESPVKGGLRARKSETPIKQEDGSFASTRSPFRF SGPSLEPYQEEPEEGGYNDEESDYDAGEEFTPEEQLALEYEQADRMYAAPAQPSRRAR KQSKASKAAPWVVILSLLSGFAGWWRKEKVEIGFCGIGKPTWSLAETKVPEWANVLEP QCEPCPPHAFCYPNFEARCEHDFILTPHPLSLGGLVPLPPTCEPDSEKARRVKAVADK AVEELRDRRAKWECGELSADVKEAKSPEINEHDLKREIGNKRRKGMSDTEFDDLWLGA LGEVIGKEEVVAKTQQPSGALTLSSTAFTRLPLTCAFRRHLRLSLLAYRLPLSILILC VGVFVYIRSRILARRSDMARVPELVATTLDRLATQAALHARGEAREPYIPIGQLRDDV LRSELQGRRREDLWRRVRSVVEGNANIRAAVREGRGGDVARVWEWIGGISSVSNRRES SKQFHVSRDSGNINSEGQEQYDQHQTEQQASGIDSSRAWNEGRPIY ACHE_80634A MASQGRQPFESRNGSTSSDAGSWASKDTVRDNQNSYRSNTDPTT SNGRNASPFPGNAKMDNSNMQGRPNLGVPPEFYTSMFSLGSSDNPAEQRKPPTFGSHR KALAVLGSDDPGPPPTSSSGSRPPSLNPNNQTDYFSQEPISPWSSSPKMGPTDGPGTF YNDFSEQEASPSSTTFRSGPGRAYGSDSFEVDYGRDHRRPSAASATTISSQGSKSSGS RFRKKLQGFFGDEYLGSRDQKPESDESSLYGKNTRLGPLDQARARERANSDGSRHISD ANNSSRPMTPLPGLPSSDITPWDYQNLGDIPQFGEAPVRDTPIIADRNRRGAQRDPAR RPFPTHRHTRSKEEKPTSAGDLSGYPARPATGQDDSSIGLRPFAEGGMSSAMNSTTTL GGRPASPTPSVQSTHSREQGQNSPGAPSGTKRSLLGKIRFPKGHGPLKHLPGSSKAVQ DQSKSSKSARRDQSPVRRGRQGSLEDAKSSKFRGRRNEPLGNADEAGVWPLDTDLNHM EGIVAPGQGDQGRGLDETNAQPDDRKGPMPPGNWDAPESWQVKKHNEDLSARLPKIAN EAARTTSDGGGRPYFLRVFRIDSTFATLSAGVDATVADILLMLGRKSFLQDHLNNYEI VMRKHDLSRQLDHTERPIRMQKRLLEQVGYMEKDRIEDIGREDHSYICRFIFLPTKLS GYSSLEGDPGFNKMQKFSHVDLQGRSLVTIPITLYKKASEIITLNISRNLSLDVPKDF IQSCINLREIKFMGNEALRLPTSFSLASRLTYLDVSNNCLEELSHANLDRLHGLVSIK LSNNKLTELPSYFGNFPSLRSLNISSNSFQEFPSFLCNLKSLVNLDISFNNIASIPNI GKLRTLERLWMTNNMLSGPLDETFKDLVNLREIDARFNAINNIDNLSLLPRLEQLFAG HNAISRFRGSFPKLRSLFLDHCPMTQFDIDAPMPTLTTLNIASAKLVQFRDSLFDNVP SLTKMVLDKNHFVSMPSNIGKLRRLEHFSMIKNPLAALPVTIGCLTELKYLNLRECNL SRLPRELWHCAKLETLNVSSNVLETFPKHGSSPPQAPGEPPGMSGATQGTTGTPNYEE LGTVEENDERRPSQTSGATSSGGSPASGYRKPSVASSSGQGARKVSTARSATDGSAFT RKDSNFSQHVATTFAGSLRHLYLADNRLEDDIFRELSLIPELRVVNISYNELTELPQG LLKRWPLITELYLSGNELTSLPSDDLEEGSNLKILHINANRFQVLPAELCKVSKLASL DVGSNALKYNVSNWPYDWNWNWNRNLRYLNFSGNKRLEIKPNIASLGPPTANATDLTD FNSLTHLRVLGLMDVTLTTPTIPEENEDRRVRTSASLAGSLAYGMADTLGKTEHLSII DMMVPRLKPDNVETLVGMFDGQTQSTGGSKIAKFLHENFTSTFSTELRKMRTEEKETP LDALRRTFLALNKDMAVAAYKSLDDHAIRQYRRGTPTTKLLNQDDIQAGGVATVMYLN NMDLYVANVGDAQAILVKSDGSMRYLTRTHDPAEAQERARIRAAGGFVSRNGRLNDTL PVSRAFGYFQLMPAVIAAPYTQHVTLTEQDEMIILASKELWDYVTPDVVVDVTRAERS DLMVAAQKIRDLAVSFGASNKLMVMILGVSDLKKRERYKFRGFSMGPSSFPEEQIIPS TKRTKKPRDMPGDSRLARFDYVDAPTGELAIIFTDIKKSTGLWETCPDAMRSAIQIHN DILRRQLGIIGGYEVKTEGDAFMVAFATTTAALLWCFNCQNQLLEAEWPTEILEQPQC QVQYDTEKNIIFRGLSVRMGIHWGEPVSEKDPVTNRMDYFGPMVNRASRISAVADGGQ IFVSSDFMGDIQRNLEVFADSERAASTGSEENYAMDNLGYSIRRELQQLNSQGFVIKD QGEQKLKGLENPEPLYLIYPHALSGRLTSLEEQAAKEKAPTTISKHSQLEIQTDLIWR LWEITLRLERLCGALESQDTRLKEPNSAIYNVVKNHGGELADSAVIGLVEHQVVRIEV AINTLAMRHMMQPFRPGDRLEDHATPIAEVMQQLQTQLAEYRALKEQLAIGAAGSSGR DEDSSNEDGSSSGSSSYFQLAA ACHE_80635S MRLPLVGVTISLLSYSVGVAAQTFTDCNPLEKTCPPKPALGRSA TFDFTDGRSDDFTDVGTPTYDSTGAGFTVAKQGDAPLIQSKWYIMFGRVEAVIKTAPG TGIVSSAVLQSDDLDEIDWEWLGVNDLYVQTNYFGKGNTGSYNRGATHDNRNNHDEFH TYTIDWTSKQIVWQIDGQTVRSLTPDDAPDDQYPQSPMMVKVGVWAGGDPNNADGTIQ WAGGETDYSAGPYTMYLKSLKVTDYSTGTSYSYGDKSGSWQSIVAEGGQVEGNNAEPQ STVAAPPVTSTVENIPIPWSGTHRETSSFVTPSIWPWVPTPTTFASSTSDTTSLPSGW TFSGSGSVQPPSASSVIFGPVYLCFVGLLAGAAFPLWY ACHE_80636S MSSAVPSTTSSTSSTVTISSVGPINSIISTSSTSPISSRPITSH SISLTPSSSSNVSTTSKATATANDNNEHPAHNHASYTGPVHVAPTADDSSHTVRISAL GVACALFGNALAMF ACHE_80637S MVHNISARVFLIRHGDTAWSVGGKHASFTDVPMSREGEGQVEET RDCYIGRHKLIDPENVQRTKRTVEILRLGVQSQQSFLDRDDQKKTVSPLTGSQGASSE PLIQATSWLNEWNYGEYEGLSLAEITARRPENSEWVIWRDGCPGGETPEQVSNRLDQL IAEIRQNIENTVTEWPGGRQIAHTT ACHE_80638A MARTVFRTPKAPLQKHFDMCSAVKPNYFDWAPSTSFFRASNSAT NSRSWTNSSSPTSPTPSPSPPPNSTRNSPQKGPSSSLARFTRDSRVLRDQLVAVLLAG CDTTAGTLSFTLFELGGNPHIVHRLREEIGSRLGLGTNARNPPIQI ACHE_80639S MPTKVLRVYRYDPRTSTSKQKQIIELSADEVKTLKTLSDVREIL KDNNVFDSKDFKSPFCEKDGSDVGNDMKVDLYLALMGINPADTTKIDVYFKTKKIFTK LDESTREFIKEKLDSNPPEVIKRKPELLTSSCDPSYWKAVQGKLNHAADLTEREWSVI TRANCLLSGHHVVTDLDNTPRKIERSVYNAFTLRPREFESYEISSANPEQDSKHHDGE KYKFRIPRFRVDDDSSITVVETKTAFEKSLADSCFSEGSFQVAAAAGIGPVSAGIQIG GGGKSGNTGEGTKEKEEKLVVNYNARFPRVTLHWDPVCLDVTDECLKDIRNVRNRDTL REFNNKNGVLFARRVQLGGRLSCSKAKSADSKSSSKDEASKFKAAAAASISAAFYQAS VKSSYETQEKRLRSQKSRISRILLLGKLREVIHFCATTLPDGAPPSVNQDEAVSLYQF LACFPRVGPEIIERFELSAEDEFTAEKEKEHDRRQADRRKKEEERLKKGPRQVFSLFE PGSGRYIHVENDSNDLSKHIADEYDSKQYPDIKGLVDTVEKPRATIKCISTESNKSTE FMASKPYDKYEKQALKFGQSFEVQSRGHTHLEWLNATPTTPELENEAKIHRWIWLFEV PGGSFCLWDANNPDNTGYIKDGASVYVGLDNYTIDEITSPGFLTLKEWVPAQRGRYWF EPIKFIYKTQNIVES ACHE_80640S MDHQDALISVLPPKGPDRKKLLELVSGRTPLVCAVEIGHTGVIQ LLLQYNEDINERDEVEGKTALHRAVESGNEEIIKLLLQSDADVEVADLNGHTPLCLAA AECQLAAVELLLKSNANVHVTNNKGRTPLALVIIGMAPEQETFPEKARIIQRLVENGS DIETRDEDGLTPLLTAACIGVVGLMEPLLKHGADVDARGPKGETALFSAVTWGNEEMA KLLLNYNANVNHYANFNTFDSILLTPLELTLSLPWDWGKRRVVEILLENGADLLERRG NFELSILGKAIGFGNLELTKLVLDHGGDIEQGRIHFPPLCFAAYQRQEGIVQLLIERG ESLQRTDGRYGRNALSWAASRGNTAVFNRLLQTPGIGWDDADRIGRTPLFYAAVKGHD MFFKQLRLLGSDIHRQDRFGLTPLIVAVQHGHRDLIRQILDNHPLSQEPQDRFGRSLS WWIRATGNTWIRDLLIRYGMRLGDAQMGQEYSSPVKRAGKSSHECDVCTLPLSGKNRG REHGAGCEKYRICSACDHLGAKSEDFTQRDAFTG ACHE_80641S MTTAVAVGTPLAEALGHVIQPKLVEMGWSSEGGDDSALTEYVIL MLVNGKTQEQIAGELSNDLLGLGEGDTQALDFSRWLFEQVDVLNRQINGGGAPSGDAH SIPTVAEQESGASQPWGDGGGQHVEMGDASMSDQIPTGPKAMRNGRGGRRGGMLNQIN RSMDRTADSSLHRIRGQPGTGRINSHRDQRGNRFQNGGRRQMGGMGMQGNQMMNMNPQ DQMHLMSLLEEQARMMAQIMPGFMPPAINPAFQQNGPQQGRSLFDRVERQGPRNFGNR GQQRNNGGDDMDITDQPKEEEQHDENNTNSPCRFNLRCTRADCPYAHQSPAAPPGAPI DPADHCTYGAACKNRKCTARHPSPAVKTAHQAEAMCKFFPNCANPNCHFKHPSMPLCR NGADCTTEGCEFTHLQTACKFNPCLNPTCPYKHAEGQRGSFGDKVWTADGQQKSHVSE RKFVADENEEEELIKPGAGNEGSSQEIVT ACHE_80642S MRLPCVPSRALRGLRAQSLLSRNGQRHSLPSGTRNYNAAVIGGG ITGLTAASRLSQDPECSKVTLYEKSGRLGGWMLSERIPVDGGDVLFEYGPRTLRTAAP ACLPLIDLILDMDLHDEVLLTKKDDPAARNRYVYYPDHLVRMPGPDPEAGFLQNAISV LGSLVSEPVFKNLIKGVVTEPLKLDLIPGPADESVAEFVTRRLHPDVADNLVSAVMHG IYAGDIDKLSAQALLGQIRTFETPDRGVIGSLLNIKSSGRAIVRVDDSLARRNAGPLK NIEYWKMLKTLVARSSALTFKNGVGQLSDALAAALDREEKVEVITNADVRAISKNSET SDLIVDYGENQSQTHNRVIATNPAPNLAQQLSQITQKDQKTPQSTINCLKQHNYVTTV MVVNLYYPNPDLLPVTGFGYLIPRSIPFDQNPERALGVIFGSDSSVGQDTAPGTKLTV MFGGHWWDDWLESDYPDHDRAVKMARSLLERHLNIKDEPILTRSKLQRNAIPQYTVGH VSRMQELSRSVRTDFNKRLTLAGSWYGGVGITDCVRQAYIASTLGVGAKKLDLEMDRP RFDPAEWELEGGLPMAPVQWVDVPLSEQ ACHE_80643A MADSAQVTKSGIATDASTGERYIPSSVRADGSRRREIRVRPGYK PPEDVELYRNKAALAWKNRSQGGVPGTEGLKSDTSDSAAKSGSNTNTTTAASNKNAKR REAKKKAKAEGESSGDGRRNIAELDNWRSFAASNGGDKKKIEDGAQKTAEKTTEKTTE EAPVDSGAENEKKARNLKKKLRQARDLRDKKQQGESLLPEQLDKIIKINELVHQLGSL GFDSNGDKKGADGEGEQEKESA ACHE_80644S MAGIAEVIYQSIIRKNAIFLTSIFTGAFAFEIAFDSASNKIWDT INRGRQWKDIKPMYLNKADDEDEDDE ACHE_80645S MLTECERILGQGLLHLPSKHNYRYTPKAEKDLLELLFRSLTGHN EERLRILFPDGLQAVSWKLAEAQGAEEGAEYTEAARGKRCGHIFRAGEATYRCVTCAA DDTCVLCSRCFDASDHTGHQYQISLSSGNCGCCDCGDNEAWRLPLFCAIHTDSGEAKG KERAQSQLPQDWVDNIKLTISRVLDYFCDVISCSPEQLRLPKTEDGIRQDEEASRLRP GWYGEGDQREEEPEFALALWNDEKHTIQDVAQQVNRACRERNAIGEERAHETNDIGRS VIRYSKNLSALLTTSNIIEQIKVTATVRSARDIFREQMCGTIVEWLADVAGCSVQQDN EILRHTICEELMNTWQRGSTAYNAGIGMKGIDDHQKIENGPYRTVMVHISPNGPLIVA PPDDDDEDDDEDAGLPNEAGNEEEDDDEDEGVLNEYVEAHDADDEDEEMEMEMNRLHD DIADDDEDMVMGNADDAMEIAETLLAEYAQARAGTIERREQEEGQEEETEQPAHEEQP DEQDVNTTENQPDSQFYIPPIPKTPSRASRAPPAKTPGYWQVKAYMPVKGEHIPPHED LYQRTRLDWMVLFDLRLWKKTRTDLRDLYIGTVVNVPEFKRVMGLRLSALYTALAQLY LIADREPDHSIVNLSLQLLTTPSITEEIVLRGNFLTKVMAILYTFLTTRQVGEPYEVN PNAILAFDSGSVSNRRLYHFFLDLRHLLQSEYVQKRVRTEEQYLSQFLDLVKLSQGIC PNLRAVGEHVEYETDSWITASILMREINRLCCQFCEAFREPGLDSGRNLLRAVWVATI SAVVHSVGIERSRFKQAEIKDYIRFKSLPCFDFEANESGQMPRHRVVDFVVEKGSISF HHALHYTLSWLIECGRNISNTLVREILLDAAQTANAKYIHDSELSSEDLLLAMFDYPL RVCAWLAQMKAGMWVRNGLSLRHQMSQYRGVSSRDFAYYRDIFLLQTAMVTCDPSRVL ASIAERFHVADWMTRDYTPRQEWEESQIVDVAEEFVHLLVIMLTDRSSLTASDDSDAV MEENIIRDIAHVLCFKPLSFSDLSTRLSDKLLDSDMFQDVLEEVANFRPPEGLNDTGT FELKPEYIDMIDPYSAHYTKNQRDEAENVYREWVAKETGKKASDVVFEPKLRPINTGA FSDLAGFTRTPLFAQIVHQTLDYVMTAKDRTPTIPPTRVETFLQVVLHLVLSATLEDH TTEGDVPRASFVSHALSKARMTQAGHLTIVGLLEKISDISDFSACQPKIRHILKKFWQ KRPRAYESATASLRFPFDRVDTDSPAGEVDNSEKELKKKQALERQAKVMAQFQQQQQN FMNTQGGIDWGVDEDLSDLESEAGAAPEEAKVWKYPSGTCILCQEETNDSRVYGTFAL VQDSSILRQTDVRDPDWIREVLKTPSSLDMSADHLRPFGVAGENHTTVRRLDSSGGEV ISEKIGLSKGFNADNMVRGPVTTGCGHIMHYSCFEVYYTATQRRHTQQIARNHPERLT CQEFVCPLCKALGNAFLPITWKGKEESYPGALNVSTSFGEFINSEVKSALTQPRNHAL LTGDDNSQLQSYQDLFAEYLSKTLVPPVAAKVEQLMSSSLPSTAHYVPPARMPMPGLF PTTEDITASSPLPQVASPGDSPMSELLQIYRRLKKTIQLNEIQSSFNYPTDIMTGDAL VHTDSLIRSFGFSIASVEISQRGVESEFGATLLDKIPQLTLTHLRVLAETALSYAAVG SLHNNGGSISRSAVEFRDMHRQKICQLFVGHPCLAETSLPDCASEIEPLLSKDIFVFL AECSLSLLPVLQINVRHLVQMCYVAEIVKVAATYILEPRGLKQELAQNGDAHYLMNVE LSDEAFSSTKQFFDSIVAALRANSADFGYVKEGEGSATSGVVIALRRLISSYALTFLR KTVILLHVQHGVEFPNAGLDESTELDRLTRALHLPSLDELFASVNPTQRNDPFGAVIS GWLNHFDAFDRAGDSKLPSLSHPAIFELIGLPEYFDSLIEEANRRRCPSSKKELTDPS ICLFCGDIFCSQAVCCMKDKLGGCNQHLQKCGKNIGLFINIRKCTVLYLHNHNGSWHF APYLDRHGEVDPGLRRNRQLILNQKRYDRLLRDVWLSHGVPATISRKLEADINNGGWE TI ACHE_80646S MNNEQFRRLIQDNNNPSSSPSSSSNQDGSTGNATPATALGSRMR SSIPMTPRSLTTPNFARQLADYRRENNTHQPPTKRFKSSAAPKGTKLPTGYQDRASLR QSQDDSAENIEKRIRALEEMVKEGKIDEATFEKLRAELGVGGDVGSTHMVKGLDWELL RRVRAGEDVSKGPEKAVEDEKEGEREEGDGAEEEEVDLEAEFERVTEEKGREDIAPVA PKEKEKKKGTMAPPPVPAQAQAQAQKKSRDEILRQLKASRAAPVAEPAPPPPESTLGS KFKKLGESRPEKKRWVETDENGRRREVLLITDAKGNTKRKTRWLDKPGESNGLLVPDK DAKPLGMEVPAEVASKTAAASTPVEDEDEDIFEGVGADYNPLADIGEDGSSSESDEEV QGAIKGPKKPTSAEGSAPPSTEPIRPSRPRNYFSTSTHDEPMEEEEDRARANPLTRDP TLLAALKRAAALRQASPTAGGDEEKDDSRSQRFLEEMRRREAQDAMDMDMGFGGSRIE DEEDEEFIGLEEGGRGGQKRKRGPKKRKGDKESASDVLGVLESRK ACHE_80647S MVSLKNLLSTALLASTAFALPPPLRRQTGNETVTQSKRGAAYND INAVHDLDVNGAISWAYNWAATPGGTLPDGVEFVPMLWGTKSISDFVANIETVLFGSN SKYIMGFNEPDLANQAAMSVSDAVSTFKQFIAPHAGRATLVSPGVTNSGDADKGLSWL RQFLSSCSDCGIGVLAVHWYGTEARDFTNFVQQAIDLANQQGLKEVWVTEFALTTDIS TGGLTSASADFLRQVRPWLDRQSMVTRYAYFWAEEGFLVQGGQPSQAGWAYIG ACHE_80648A MHRSCHLQRPCSGRGLSFVHPSSFTPYRYRQCISASYLLALAAI TAAIPFQGQNGATSHKGEVLSIPNLQIPRGKKFIESPASAKPLQHKPVYEPEAINMHQ DGGNTGSIDYLGPLGNNPEVTSTLEGLHIFLWTKSGQTVAGYTTLETSGLKWGLAAVD GEMKA ACHE_80649S MSAVRPVAVYALKVPTGGVLIPAVPQAAASFRVSMAAIDPDETP ELEDGIDPSRPRATLKIIRPPPGMDIEESDEEDDWEDDDEDSDEESNGGPSDKEKARK LKEAAALKEMEEDEDDDDEDDDDEEFDLKAAISKLVKGKAPATDDDDEEGEDDESLDV DEAVICTLDPEKHYQQALDITVAEGEPIFFKVTGTHSVYLTGNYVMPAEDGHDHDHSD EEEEDDYDLSPDEDELDMDDVMDEDEEDDKLDGLENPRVTEVESEEEEAPKLVEAKGQ KKADKGKKRAAEEESLEDLMAKDKKAQPEEGLSKKQQKKLKKNSGEAAEVEQKKEAKD AKEGKEGKKVQFAKNLEQGPTPSSQDKKSETTGTLGVKNVNGVTIDDKKLGKGPAAKS GNTVAMRYIGKLEDGKVFDSNKKGKPFSFKLGKGEVIKGWDIGVAGMAVGAERRISIP PHLAYGKKALPGIPGNSKLIFDVKLLEIK ACHE_80650S MTVNSGPDRSSRGPLGIWAPSKAAEGNNEQRSAGASTTTNGSGS DVVVMQGRNRLSARLQRSSSKLLTLLRPRRDSSNVAKESSPVSRTTSNLEQVGGGSRF SYPVAAKDTLVGQNAVSRPQSAPIISLPHSSTLPGLESLPDLSTVSHSEGQREARQET EKTLTDDSGNMQGQQTRSNQNLSALLSSKLSTAFVNSTVVHRSNLQTRPSIWAFQHQG AADDPLSKQGDNTVESPNPSSSPSSAGCWSSNPSNPKSTPPTSDESVSPVDNSKSLFW RKADNEELECGRPQKKCTSVQAPNTPPSIVTVEAAANAKVFFETYFNAVFSHVNARSQ RRIELEKYLYSLRLTPEEQETARKAWIGQEREYLRQYRVLKTRCHNASPGKAASVANY EIVKVLGNGSFGIVSLVREKKDEGSRSSEGDSPALENGRMSEVKTRDVRARRADGRTG HRRQTTSVKGIYAMKVIRKAEMLRSSQEGHLRAERDFLVASAKSRWVVPLIASFQDSQ NLYLVMEYMIGGDFLGLLIRENILPEPVTKWYIAEMILCVEESHKLNWIHRDIKPDNF LISASGHLKIADFGLAFDGHWAHDQGYYNNHRYSLIKKLGIQIDGDATDREDKEKAKQ ALEAVLDSREPPSFDLLGWRDRHQRRRLARSVVGTSQYMAPEVVKGKLYDGRCDWWSI GIILYECLYGFTPFVSDDRFTTKLKIVNHHQILHFPMQRLSDKFVSTDAIDLISQLLQ EKEYRLSARNYRLNDLAERSFFKGMDPRYRNYRGMYVCPNDSSDIKTHPFFRGINWDA LHRSTPPFIPVVRDWDDTQYFEDPFQSPPDGNTPNAPETALEAPSQTTDATPGDMALA AAKVNKVPCGAKTQKRRAKEGRARDKILRDEQVGKTVLEMRQKDAFLGYTYRRPKPVA LALKGERGRPLVSRGRLSDLYGC ACHE_80651A MPHATETGTQEVEDADFENVMRQMNGPMEGGISFDFLSRELDPG EKADDAVDYEDIDDDDDLPEEEAAHVRGPAQNGIAAEDDEMKDVFGGGGEEDLFGDGG EEQPGRAPEDDLNDLFGEEPSDQVDATRDLFFDEEEHQQPPPSAVSKPTQPPPPEPQT VVMEEVKQEEEDEMEIPEDEPPGVEDMDPASLRAWKLQQALFAMSSTLGPDNPPAPPE NSEELLHSLFPGFDRHTLPRFLELIPHKKAYFVGKLPLKPPRPVLPTKVNLELAQDHE RAFKSAGHGFKRTLDAEHMGLVPVTESPQDEVEEEEDIREDLEHDMDSAEVLPGGVSM QDLRVICTDWDTKDDESVVDIDLPAEEPGQPVDEDDWLVETTQPAKKRKVGKDPMDFV ALSHIDFPLLDDPEKATSRVAQKVTVDMNDPYILLDERGPEFAARKPKALGAIQGEEV DANVARRFNQRYNISNDQAYDMLKQNHQNKIRSTLGNFTLEHSMPALRLQWPYYKTEL AKAEARSFHRPALSFRPGQTSWFKNPAHVKRKHIKGKDPKSIFDSTKALSLADNSNAM LVEYSEEAPLMLSNFGMSNRFINYYRRKNAEDDSRPRERLGETVVLLPQDKSPFFIFG HVDPGEVTPTISNTMYRSPVFSHSAKPTDFLVIRSSTGSGGSDYFLRNIENLYVAGQQ FPCTDIPGPHSRKVTTVAKNRMKMLVYRLLKKSPDLRLSISDVTAHIPGTSDMQNRQK VKDFLQHDKDSKYWVPLEPIPDSDAIRSWVQPEDVCLLESMQVGQQHLHDTGYGNDAE TGGGGDEEDEESESFEQQMAPWKATRNFLLASQGKAMLKLHGEGDPTGRGEGFSFVKT SMKGGFKAVGESVEDKLDAQRLKELGGHSYNVARQQKSYETSIRRIWDSQKASLSSTA EHSEGESDIDNEEEEFGKTPRSEVPTPGPARRDDETTSQFSKMSMGMGDQKGKVLRIV RHYRDENGQIVPKETLVLDPRVIKQYMQHRYQQEAVTTKLESLQPTGDPEIDARNKRL YVPPSLHPLSASTPTNIRSKQPRSRTKPSKPQQRTALRTRETKRRCPRYYRRFPGGRR ETSWYTAQMCELWTGRAY ACHE_80652A MLSQLQTGQTRRHVISLSRFLAYNFLYLIFYLSLAMTDDTEEVP PEVHHYNHRGEVPWDIQNYWSQRYKIFSRYDEGVWLTDDAWFGVTPEPVANKIAGQMV ESAPTGRSILVDAFAGAGGNTIAFARTGRWKRIYAIEKNPAVLRCAKHNAKVYGVQDK ITWFEGSCFDILKNQLKDLAPYSIIFASPPWGGPGYRYDQVFNLCTMEPYSLTTLYKE YSAFSEHMVLYLPRTSDLRQLAKVVEDGQKVTVMHYCMEGASKALCVFYGGFNIQ ACHE_80653S MFGALNRIIGRLDEAPREPRNPTGESTSFGFQVLRNKDPELPLE PWFDFIVGINGHAIEDPNPNLFATEVRNCAGSSVTFEIWSAKGQRTHTVSIPVSPRNP SLGVALQLAPLSSTQHIWHVLSIPSPLSPAYRAGLLPHSDYIIGTPSGTLRGESALGE LVEDHLDRTLVLWVYNNEFDVIREVELVPTRGWGGEGALGAELGYGALHRLPVGLGEE VEGPGEVVFETREDGTSTPVAADPFNPKLGGVSGGGQFLVPANMPAPPPFTSPNGTLP PPSGTKAAGRRKPRHAALSPSRGFDEYFAEGEQKSREQDYAPSRGGTPLPPPPKIGPS PSDSTSPAPGTEAPVG ACHE_80654A MSIMLALHRRPWTCRQCLQRLRFRRAFATATSTATASNYVPAYQ RTQKKSTDDATLRRVFDSQAFWKDFSQRSSASLKPTGLVQNQYLTTPDGFREFAGVSL QKCQAIVAKVLGASTLDGYRKIARDLDRLSDLLCRVIDLSDFIRVIHPDPRVQEAATQ AYALMFEYMNILNTTTGLHDQLKKAFANPEVTSHWSEEEMIVAQILIKDFSNSAIHMP PNERQRFVNLSSEISQVGSEFVNSAEPAKSHVVVPTNSLRGLDPIVIQKIKRWNRTAP VPTMGLIPRLALRSVHDEEVRKEIYLATRTSSTRQVHRLEQLLARRAELAKLSGYESF AHMTLSDKMAKTPEAVSNFLMSLVGSNREHVQEELSKLQSLKGSSLSPLQPWDHAYYV HRRVMEYSQARRSRELNAVPEFFSLGTVMQGLSRLFDQLYGVRLVPQETGPGETWNPD VRRLDVVDESERHIAVIYCDLFSRPNKLPNPAHFTLRCAREISAEEIAECASLADSTH HPNDGMATAVDPTTKTLRQLPTIALVCDFPEPAGTGGHPALLSEHSVRTLFHEMGHAL HSILGQTRLQSISGTRCATDFAELPSVLMEHFATAPEVLSLYARHWQTDAPLSESMMR SMELDRTAHGSIYGAVENEAQILMALVDQAYHSASPGSAINSTAVYHDVFSKHSSLPD PADAQPPTSWQGFFGHLYGYGATYYSYIFDRAIANKLWEDVFQGGKAAVDREAGERYK NEVLRFGGGRNGWHCVAGVLGESNPSNANGRLVEGGDEAMREVGRWGLGRDGVSG ACHE_80655S MSFRPVLKQRAVAPIAATLLAGGISLYPNRTAFAEAPRDNRKPI YDDFPELSEPAPAKSAPIAIPEAPKTESPSTSTPSSSLTSSPTPTDILTSQVRQARLF LYENSLAAETGFNNFLSRALHIENAFTNTIASLAPAPESGERLMPGGVYVIVAAMAGS IVSRNRGFILRSASPLAFGTVAAWTLLPVTMRNVSDLVWEYEKRVPAVAENHLLLREK AEHIWHTGIAHSGMARMMMEEKIGEGRKKLEELVRKGH ACHE_80656A MASTEDRVAPPPATDTDTENIPPPATPVESSVMSGSEDPVTSPE AGKDQESSKENVKPSSPTKTASSTSTGTTKRPLSGSTPTTKRPTSTTSTPKTTTASSR TSTNGSTLSKPPTRPTTTTASTATRRPLSSATTASHRSRASVSSSADEKSRLSSSDEK KGISGTAKRMSLAGTPSTRAPTKPTTSTLDRRSSVAGTTAERKTTSRPSSLVGSTTKP ATKPATSTSATRTSTTATRPTSRPTSTSTTRPSAVSDARKRLSTATPSSATKSAEQDA EKLQALQNQLSESEGTVASLRAELESVNEKLGQLSVSGEGDSIKDDSASDGLKAEHAA EVERLTASHEQALKALQMQLEEADTKRKELEEKSVQDLENAAKSAAEQGDEKTAAAFE ELKQSHQAQMEALEKELSEQKAAATEYGEQVNSLKQELETLKATFQEEKAMALEGLER ELKGRDQVIENLNTEMQRLDDAKEQEVRAAEENAKQTVLGLEEKVASLEAKLSETEPA DVEKKGEYEASSPEAVTEKEKEVVELKQALEKLQSELEETRATAATELSEKLKQLESN HEVAIAKLKSEHEEALASATASHAQELAVAKEAVESSDSTAKSQELQELREALEAANA AALKGREEAVNEIKTAHEAELQSLQQKIETSEQALTEAKQSAEKGASSSQEIDSLKEK IAALESQLSTGEEAAQSKQQEVEALQQNLSTLEDKVKANEERAAAAEKALEEHAQQAT GVTEDHSQALEALKAEHSAELEKARAEASESHEGALRDIQLKYNDLISKHQDLESNHG QKVEAVEAELKTVLERHTGEIASQAELREKEIAELQQQFEKTKASLRAEVEAAQSFKT IEAEAEHSRAIETLLGAQEEKMSKLRADLESSNQVKLDELQKSHDEVLAEIKGQLAEA QSAAQDTSVVEGLKSTIVDLEHKLADAEAKSNTAGDELASMHSAEKAELEQKVQAANA QVEELQKSLGASDIAKAEIDNVLNQLAASQEELTQLKTKSEAASNELEQSKMQARTFE EKLAQGEKDLNDQIDKNMSLLNQLGEVDSAIAASRKRVRELEAEVAALKSGAKGSTGL EGSRWAPENKEDAGPAATEGEDLGSSIEGTMASLQEQLKFIRTTNDDWCDEHRRLVTD LVNLSEKVTGENSHPSTPRPELMLRSRSERSGEASS ACHE_80657A MPSSRSRKRDSTLQTLDILNNVGSAKPNANVNAGSRVLPPRRKL HAVSRAQVTKSDDVWKLPRSPEKRAEDAGRERVGVSEPITPRRSTRIRETRSSGDRDV NVVNGSGGRRSLMFMRGVNYHESEEEEEEEEEEESDEGSGDEHNYEEEQEEEEEDDDD DNEQEIRLFSDDEPENAKRPNTRTLRKRSHEQSDNQEFPSFLSPTALLNGLVPSSDDE PDERDETGETYANNTGQDGSGDSEAEQSNAEVLGEAETNRPSESRKWRPPPTPVVEIR LPPSSSHKARSSPPEREMRDHAEGESNESDFEMGRLVDSAPNDEQSSRTSKPRGSPEQ EMHSGHTDEELENESDESESEEKQLDDPEARRAATASIVQKLRSLQAKPEMRGNQIQR EEGRMADNRVDKGDRSTRTRTSIPHRPRSSPDQVIQSGQADGESEKESDHSSPERQPT DGEAQRAGNARLRRLLAQLETRRNRTQRESDGSKSKEEQLPDDTVNDNRGSASSFEPE DEQDSSSSSPQPSDVDEEDFPQRQPRKRRRVERYSPGLTRPQRNRNTMFVTEESEDSE NEIEKNEESDNEEDEAERAAAIAEEEEQARKLQWMEEAMRLGGQQVNWLILTRTAKEL KQLVDPALAESFVNIWTTIRVLSRLYGERDYRPSDMMLRQCDNLFGSIRCEGERLLDQ AYHLATTSNGTRDEVYACDLVDEFEARVFPEMVKLVFACFKVYYTDADMFPGIHDHFR RVLVLLRQLCDRTTSMKMQRIVHGTVRCKESGRALNAIIKALDSRGLRRRKSERPYSS ISDRSGQDGSRGSIVIEDDAGREWSQEEGLALIDGLRTYQGPDRYLRIIGHFGHRLRN RTQQELRTKAIQVHDRMRPKIALQVKTADGRRQWEWLLSVRA ACHE_80658S MTTNGLPIRVLPTIDPSAGPTHPFSTPSKKIHESNDVSSFLTSQ AYVDIMTFLLQLNRSMFPLKSPDTSIQAWELNCEAVEFSAPVRQLQQLLAKLEEMLEK APPDQGPRRFGNVAFRRWFQIVEGRADELLEGCLAPEVLEQGKGGSGDGVTAKEELKA YFLGSWGSAQRLDYGTGHELSFLAFLGGIWKLNGFPRTAPGVEERAIVLGVVQPYLEL IRTIIKRYTLEPAGSHGVWGLDDHSFLPYIFGAAQFAPAMTDSEPLPEEGSLPDAPDP GGVAKANIVERERKTNMYFSAVGFIYDVKRGPFWEHSPMLYDISGIRTGWAKINKGMI KMYNAEVLSKFPVVQHFPFGSLFSFERDPNAIAPQTQPQSQPPTARPAPPSAGPGPGT KSPWATAGGTAAPTVAPWATARVPPSASSSLPDTSRLPPGPMAPTRAPWAKPAGSGGD SGDLGQTKAPWAK ACHE_80659A MKHTACGGWIEIRTDPKNTAYVVTEGARKRETGEDQVPPVAGLG EIAFKLGREGREGEDDPLARLEGKVADKRRAETESSRILELQERQSRDWDDPYEKSRR LRRTFRVERKQLERTEKDTEALKDKMSLGIELVAENEEDRVRAGLVDFGGAAAPESVE DAVRATRLRPLFATDARKEKDGKEKKHKRVRTADLLANRKAAFRQELTGNTRAAVDPF LNAADEDAWQPGLKRRKIAKASARGPDIERDGRDVKVENDRVSGPQSQPQPQVEKPPE KNPAPVALVDYGSDSS ACHE_80660A MQGFNMGRLVSSKLPTDLYIPLTVPGTIPPTTPAPRQTSTNKRA PKPSQPSASRCPSQSGAQPAPPTKP ACHE_80661A MSSRLQIDSVIDDDDEFCPLCIEEFDLSDKNFKPCPCGYQICQF CYNNIKTHSEEGRCPNCRRPYDDSTIQYKVPDADEFKADLALKHRKAAAAKKKEVEKR EIEASSRKNLAGVRVVQKNLVYVIGLNPTIRDENQLLQTLRGPEYFGQYGEIEKIVVS KAKPGGNPNQGIGVYVTYSTKSDAATCIAAVDGSANGDRVLRAQYGTTKYCSSFLRNE QCNNRNCTFLHETGEDSDSYTRQDLSSMNTISTQRSGHPNGPPNGPGNGIPPHVARSS AQPISQALRRQPSKDDAASRPPDGPALPSSASWANKDAPINRARRGSLTGSQSSQSPR PGVATVATATEEAKRTEKQPPATQERRQTSSPADARSSPSASSRKEKPEKPPADPEAP LLENLLNAVNSPGFKFVFSTAGLSAEDMALIEKHASFIDPYGGVKRRAMREKAEQERA KREQELLLSAAVEEEGRESGSLQLGGEPDDALPARGRETRESHGAIQPPSQQGTTTNS AVGSPISATNNQFQNLNLQGRSLTPLQQQQLMLLKSANNQQAGLVDPLQSAAFDQAAQ ARNSLLQNQLAQYNALQAQNRQSRFSFANEANAKNLPNIRMLNQQASLMQSGTPNPLA APTPQHGLANNYYTSGVQGPPPGLKTAGTPPISGGGMFAQGHGFTNANLANLGKQDAN PELMRELLRGRSGTNTGGLQSQEAAKLDEEFPPLGAQPKDKRPVDSFGFLSRAQHLSD SHGSIRTGTPTLPPGLPLPQSHLASSLLQSPLNPSSPATSVPPGLSHPFSRVGTPSQS QEIISRRQSPEPKETPDAAPSSSRVKNASQISLGSPVSKSASKSRTQSKSELTAIGDK KETPTTTKPKPMKLDLPDTTAPPPESSPFKVEPSVQSAHGQAPSSALGSRPNTPMTGV SRLSDSSAPRQHRVLRVVDTPKTETPPPVSANQSVSSNQATAKARSRIPSISSNSRPE TPGDGGSEVDQYTSASVSRANSPPASSRIGSAPVRSMTKSQAKKERRQKAKEAEAKKI ETASVLAEEPVIAPIIGRKRKTKKAPASTNARPSSAAAESTAEPAKSNEAAVKSVDEK AQKEKAQDKQPKESKPSPTEEKAPVSDAKPAEETWRSNNTVEQMLKDAEASENSVKEL FSERTHPLQMLLSQLHRSGTLDLNNHPLFNPANLSQRFDMKCLAEDYETLKHPIELTD EDRKTLLRGEPVRINADSNMLKDRCLISPRGCVLHHLSPEEEERYLALEKSIAWTVDS FQEYPAAPITEPDTTNRGGGLDALFATPENFNLCWVDDAAAGLTSGSPTASFSGTENI APGNPPNVLSAMEADSTRTHNWAIANTAELVNATAASVRSFAAATAKHMLGAAGVVMG HIPDLDDVVGMTDEELRSFAIKSQKELETSRKELDSIDKKLNALVKRNKKLAQQALAT AVEG ACHE_80662S MASARSLMRLGSGRSLAVSRGVRAFTTTPLQYSAKLSPTEPDVP NMRSAQRPPGGPLRAPVVNPADKHLEKAESLHKYGQYIMSCLPKYVQQFSVWKDELIV NTAPTGLIPIMTFLKYHTAAEYTQVSDITAVDFPTKDNRFEVVYNLLSVRYNSRIRVK TYADEATPVPSVTGLYEGALWYEREVYDMYGVFFTGHPDLRRIMTDYGFDGHPLRKDF PLTGYTELRYDEEKKRIVIEPLELTQAFRNFESGTTAWEPVGPGRDDKPESFKFPTPK PEENPEEKK ACHE_80663S MPKRKHSDLYTTKNPVANDETTRIANRLSVKFDYEVNVLSRALK LSRGFEKQKMGRRGKKARSEEGAKPGTVGRLEEENKILKALDMDKTASKYLLKQLART KRIAEAPAFIYFRENSKKSISLEGPQSAAEGNVLARLYKSNPVKEVFPGIMEGFKKLL GLEDVAKGKQEKQGGTSENTARGKNEGAKESKQPKAKVKDEGAIEETDVDVNMDEEAA SGSEDSDAFAQFSSRLAGSDSESDDDDDDKDRPDPMAISPSPSRSPSPGLDLEPSASP SASPEPEPSPAKKPKTKTSKSAPATSTTFLPSLSMGGYISGSESEPSDDEDAQPRRKN RMGQQARRALWEKKFGSRANHLKKQAAKEKRNRDSGWDVRRGATGGGSGSATGANREM RGNKLQRGGENKRTEPKDDKPIHPSWEAARKAKEQQASTAAFKGKKVTFD ACHE_80664A MDSPSQGQSKRPRVSDENRKRAVRACDGCRRVKEKCEGGVPCRR CTRYRRQCLFTQQEQPPRSSEDDRVHYMERILHHYVPHLSLDLPSLRTAAEKLNQQHH RGSSVDVEDLEDLTIDDEDFVIKPMSDNTTQYSGEFSYLNFSMKIRQKIDEWIKAAVP EASTETETFEDHWRATQLQSGSSLVASITCLPPRYVADFLVQIFFKYAQTNNFYVEED WLLDKLNICYTDPSSLAPDDAGSVCAILMVLAVGTQFAHMESPTPVNQLSEDHRFSED EVGLTFYQFASKLLPDIIATTSVRSVQACLLIGTYLLPLDTSGLCYTYFGLALKMAIQ NGMHRRYQGEGLSRRMVEVRNRVFWTAYTIEKRVSILHGRPVSLSDSDVDAALPTDFP GLMPSGQVSNHTNMVTLINLTLKLGQVAHEISSLRKFPKGQQQDCLERLLNLRKNLVE WWATLPEVTHCRDLNPANPLFRSNVHLKLDYCLTRIWIGRPFLFSNIRGINPAPSQGS NPPFKMTSGTSKNRNILVTDCVEAALEIVDLCRLLRDEAGLARASFTEFSSCRAALLV ILAQSLTKRTERLREALDKGMGLIKIMSMGVGSARSAVSVIEALERAIRRLEEWSSTQ GGTNNLGIVESAYDRFKNWEMLWKSGPLSPSSVFASAASNNGTTPLPVTPMIPNISPP PHPDTVSDANHSPDDFPAHHHHHPPSSDFSPTGIPTLPHFGFDHFVSNFPQELDEFTA IPCFETDPAASAQQPGQSQGQGQRLGQGHGPGQGQSQSPQGLELDNRWLQFINSD ACHE_80665A MHLLSETTIATILTSLTPSQGQEFLRILIQSLSSLNINNAIYQP ARTSIVTDQSTALFMPVSNTITTGIKIVHVPRTRPIAGVINLFDPENGHLLGILGAAE ITAFRTALATMTLFVRATGDQTGIKRENIVVFGSGRQAEWHARLALLLSPPGSVQSIT FVNRGRARLQTLEEEVFPVLRGEYPGVTMDTLSQETLSQDDYEARLKGLLGRSDVIFS CTPATEPNFPFAYLQQHPRRRFLGLIGSYKPQMQEIDTETLLCGGGKIYVDSKEACLE ESGELIRAGVTEDQLVEIGEVYGGSSAVQIDANNNVVFKCVGMGLMDLVIAKQVLEVA IKQDQGMNVEGFA ACHE_80666A MKAPRLTRSLVSQGISLPVSPVKTRGTQSLSPKNGSPLAKLPIS SVLRSLVILSISSSSLLLKPCIYTLSHLANPKNPLLDVAKNPLLNRLVKHTLYRQFNA GENKLEVQQSINDIKKLGYRGVLLGYAKEVLSDHGNALELSEEAIREEIQIWLDGTLQ TVDMAQEGDYVALKFTGMGVQALQYLQQQKEPSKFMDDAIRQVCDLALSRNVRLLVDA EEQAVQPGIEDWSMIYQKYCNSRFPGRAVFYSTYQAYLCSTPATLARHLEQARQEGYT LGVKLVRGAYLKTEPRHLIWATKEETDDCYDGVVEALLTRRYNNMLQPAAQDQKTLPP VNAIIATHNRDSVRKAHALRLQQHADGQDHGVDLSYAQLQGMADEVSCELLQGFEDAE QEKSKTMMEKPNVYKLLTWGSVKECMGFLLRRAIENTEAVGRTKDSQQAMWKELRRRV FG ACHE_80667S MSLFLRASRLRAPALARSMGSYATFKTPTVNNEPNKTYAPGTPD RKSLQDALAKYKQNGPLNVPLVIAGQTIKSSSPLTQSNPSTHAPLASYSNATSEQVQK AVDSALAARESWASTSFADRASIFLKAADLIAHKYRYDVMALTMHGQGKNAFQAEIDA AAELCDFLRFGVKYAEELYAQQPVHNAPGVWNRVEYRPLEGFVYAVSPFNFTAIGGNL AAAPALMGNVVIWKPSPSAIASNHLVHEILVEAGLPRDVIQFVPGEAEEVTDVVLNHR EFAALHFTGSTSVFRSLYGQIAQGVAQGKYRSYPRIVGETGGKNFHLVHKTADIRNAA VQTVRGAFEFQGQKCSATSRAYIPASRADEFLSQLAAETNALKQGEPSDFTNFCGPVI HEASFNKLAGVIDEANKDPELELLAGGSYDSSKGWYIKPTVYRTTNPDHPLLSRELFG PVLVVYAYPDATEEDFSRICQKIDTTGEYGLTGSVFAQDRDVIVRASDALRNTAGNFY INCKSTGAVVGQQPFGGARASGTNDKAGSANLLSRFVSLRSVKEEFVPTYSVGYPSNA ACHE_80668A MTSYIPFESLRNIPLSYASCSIGSKQSDTLPRKLEAIAAAGFTA IELSFPDIIAYGTQITGKELGIREYAQLVNVTREIKKLADSNGLQIMMLQPFGNFEGW PPGSRERDDAFRRVAGWMDIMDAAGTDLLQVGSSDSPAGRISIDRKIIVSDLRELCDL LAKRNMRLAYENWCWSTHAPTWKDVWEIVKAIDRPNAGLCLDTFQTSGGEYGDPTTEM GLINHMHPTALNQVFHASLDELARTVPPEKIYLLQISDAYKPPEPLAEKTVKGLRPRG RWSHDFRPMPYDGGYLPIEEVAKAVLRTGFRGWFSMEIFDGGPDGKGREYDMNEYAEK AMKSMQRFLSKCSTDRD ACHE_80669S MPSNPTQHFKRIGVVGAGNMGSMMVFAFSEIGLDVSVWDVSKSN LDQLMTNVKASKDIKTKVEGYHDIDEFTRSLEGGGDRKLFMFSITHGQPADSVLSMIK KDLKKGDIILDGGNENYRRTERRQKECKEIGVSWIGMGVSGGYQSARRGPSLSPGGDP EAMKLVMPLLEAYSAKDKKTGLPCVTAVGPAGSGHFVKMVHNGIEGGMLSTLAEAWSL LHYGLGMNYDEIGDIFAQWNKEGELRNNYLIQIGSEICHVKRTPQGDHKGEGVSDKDG YVLDDVLDKVVQDDDNTEGTPLWALMDSAFRHVSAPTLATAHYMRISSGNREERLKVA KKIQVPSPKPIERIKDRKEFVEHLRRAVYAAFLSSFCQGLEIISRASLDEGWNIDLGK CLQIWRAGCIIQSEAIADILQPALSADKQLTNIKFIDKVAQELHKNFESLKQVVIESI MSDQYIPAISATLEYLKYEGGTKLPTKFMEAQMDFFGAHAYNKPGVPGEDPGPVKKGP HHYEWRPA ACHE_80670S MAALPDTYADPVRIAVIGGTGLRELPGFTQVASLEISTPWGNPS SPITILHHKCTTTGKLVAVAFLSRHGLHHQLTPHEVPARANIAALRSIGVRTIIAFSA VGSLQEEVKPRDFVVPNQVIDRTKGFRPWTFFEQGVVAHVPFADPFDERVAKVVRECG HSLEGEGVTLHDKGTIICMEGPQFSTRAESHMYRSWGGTVINMSCLPEAKLAREAEIA YQMICMSTDYDCWHESTADVTVEMVMGNMKANAENARRFVTAVLDTLANDKHSDLVQA KHIEGSIKFGLTTPQAHWSPDARQRLEYLFPGAFH ACHE_80671S MARLSGCLTLVTLFTSLTAAASPLADYPLIARDSNDGYASIPYY PAPKGGWVSTWSDAYEKAQQVVSNMTLAEKVNLTTGTGIYMGPCSGQTGSAPRFGIPN LCFQDSALGVASTDHITYFPAGITVGATFDKDLMHARGVALGEESRGKGVNVQLGPVV GPLGRKPKAGRNWEGFGADPVLQAIGGAQTIKGMQSTGSIACLKHFIANEQEMHRMTS VVTKAYSSNLDDRTMHELYLWPFAEGVRAGVGSVMVAYNDVNQSASSQNSKLLNGILK DELGFHGFVLTDWLAHQSGVQSALSGLDMSMPGDGSIPLFGDSFWGPKLSEAVLNASL PVDRLNDMVTRIVATWYKHGQDKDYPLPNFSSNTGNEKGLLYPGAVLSPSGVVNKFID VQANHNATARAIARDAITLLKNEDQVLPLRRNDSLKVFGTDAGPNSNGLNSCGSMACD NGVLVSGWGSGTAKLPYLVTPQEAIANVSSNAEFHITDSFPSDVTASPDDIALVFINA DSGENFLIVEGNRGDRNVAGLNAWHHGDDLVKSAADKFSKVIVVIHSVGPILMEEWIE LDSIKAVVLAHLPGQEAGYSLTDILFGDYSPSGHLPYTIARKESDYGSSVGLIDKPFV QIQDNFKEGLYIDYRHFLKANVTPRYPFGHGLSYTTFNMTATISVVTPLDSAYPSPRP SKGATPTYSNKIPPASEVAWPKDFNRIWRYLYPYLDHPESAAANSSKPYPYPSGYTTT PQPAPRAGGAEGGNPALWDVAFSVQVKITNTGSRDGRAVPQLYVELPSSLGLETPRLQ LRQFEKTKILRPGENETVTLHVTRKDLSVWDVVVQDWKAPENGEGVKIWVGESVADMR AVCEVGEECEVLG ACHE_80672S MTESSSVKSSDPSHHPENAEARKHEVLCEIIQQLNQQQDEQFLE FPSIEPELADEILD ACHE_80673S MSDFNFYTEGQSVIERWGQSIQGKTVAITGTSERGLGAATAIAL SKFQPSHLLLLARNLPRVQSVLDSVKEISPQTQATFIPIELSDFDFVRKAATQILGLV DKIDILINNAGVMAIPSAKNNNGIERTLAINHLGHFLFTKMLMPAILDAGPGARIVNL SSGAYKMAPFDFGDWNFSDGKTYDPMAAYAQSKTANILFTVGLAKRAEKYGVQAFAVH PGFILGTSLVTHLPDIDFASMDALSRKKTGFPFEKPSPKSLEQGISTTLVAVLSPDLA NQSGAYLQDCQVCETREYAHDGNLADQLWELSEELVGGEFQIGAT ACHE_80674A MMSLSLLRRLPRAVPVPRPARVTARWSSSLSQRPGSDRVQFPGA VNSKFTTDMAFMKPSDMPYIPTYRVMDSDGVLVGKDKERPVNVSDEEILTWYKNMLSV SIMDGIMFEAQRQGRLSFYMVSAGEEGISVGSAAALTPDDTVFAQYREAGVFQQRGFT LKDFMSQLFANKNDSGKGRNMPVHYGSNYPRNHTISSPLATQIPQASGAAYALKLQAL QNPNIPPRIVACYFGEGAASEGDFHAGLNIAATRSCPVVFVCRNNGYAISTPTLEQYR GDGIASRGLGYGIDTIRVDGNDIFAVYEATREARRIALEDGGKPVLVEAMSYRVSHHS TSDDSFAYRARVEVEDWKRRDNPIIRLRKWLENQGLWNEDLERDTRSQMRKAVLQEFG AAEREKKPPIRVAFEDVYEEVTEEAQAQMKELRRILETYPEEYDVRQYEDGVNSL ACHE_80675S MEPQYNLQKLLATDIRTQIPNEIVDSIMSRPPFVAIPGVVNARD ISGYASGSSQLSVRPGFAYRSGALGNIPPEGRVFLLRQLGITVIFDLRHQGERMQSPS PDIEGIRTVWAPYTCTPVPVDPRDFAHGDDGASGYAKMYLDIMKVSAPIFQMVFQHIR DAPQKPFLFHCSGKFWCFYR ACHE_80676S MSRPLWRSLHYSAKRRLAAPTPYRCFSCSLRAQDQPRKDGQTTH FGFKDVPEAQKESMVGAVFSSVASSYDTMNDLMSLGIHRLWKDHFVRSLNPGSALPSR DTDSTGRGWNILDIAGGTGDIAFRMLDHATNINHDLNTRVTISDINPDMLAEGKKRSI DTPYYNTNRLSFMEANAQTMPSIPDNSVDLYTVVFGIRNFTDKQAALNEAFRVLKPGG VFACMEFSKVDNELFNAVYKRWSFSAIPLIGHLVAGDRDSYQYLVESIEQFPSQEEFR GMIQKAGFMIPGRGFENLTGGIAAIHKGIKPIS ACHE_80677S MHASLLQQRVKATPLSVAMPASVHEEFPAGPLTPPDMPEGSPNG STKDATSSLLTAIHVLSTERAALAHLERLYQTDGLAQENLVRAVDKIVWTIQNGGKLV FCGVGKSGKIARKIEATMNSLGIFSMFLHPTEALHGDLGVIRENDTLLLISFSGRTQE LLLFLPHIPPTVPIIAITSHTHPSTCPLVSFQSPDMAISLPAPIHEDEESSFGLSAPT SSTTVALALGDALALATAQRLHNTPERGPAEVFKSFHPGGAIGAATAAAATAATTPMS MLSTSPLASTSSDYLQSRPSDDESQTQQKQDLISSRFVPLDEIPNVSGHEIRLLDILL AAVQHPDAKSWVSIAHSTSSTTSSTSLVTPRHLRSLTTGYNVDMVLSEISASGVSVSV PQEQFLCVPASSTLDEVRRLVSESYRASVIAGVDEANPEVILGVMEVEELWSD ACHE_80678A MTQSDQARPIPNNPSDDYAFPEIRLKTKMDDPEKTPLLLVACGS FSPITYLHLRMFEMAADYVKFSTDFEIIGGYLSPVSDAYRKAGLANAEDRIAMCQLAV DQTSDWLMVDTWEPLQKEYQPTAVVLDHIDYEINTVRQGVEAGDGTRKPVRVALLAGA DLVHTMSTPGVWSEKDLDHILGKYGSFIVERSGTDIDEALAALQPWKDNIYVIQQLIQ NDVSSTKIRLFLRREMSVRYLIPVPVIHYIEQHHLYEDEGSTGVSDKGKAKQDISGSA ACHE_80679S MARQRATLRRQDPREDSRSVPSSAAAAGTAATASSYPDNTRTAT TTAAASRASSTSRVTRSAARVAAESPQSTSHGPSPSNLAAGPAQPRSRKRKAHTRLDQ SLDIPTQPKSSSPPQRPKRQRLGASPQTAPAPAPAVTRRGNRTRPTMSQPGPSSRPTE ETPKIPTSPPTNRRKSSKHAKVSQDRLATTQSPPPRRHKKRSGRTDQDVIMKDAEEEI ASPEQEDDEDDEDMDDHESSPPSDSNDGTNPSALDDEDGDPFGSAILGGRGPLGLQST LRALSGMMSGMTSRLREILCNLRMKEDPSIQLIALQELSDLLLVSNEDNLAGAFAPDP YVKELVALMQPSEFGEENPEIMLLACRCLANLMEALQGSVANVVYGGAVPVLCQKLLD IQFIDLAEQALSTLSKISVDFPGSIVREGGLTACLTYLDFFPTSTQRTAVTTAANCCR NLSTDSFPVVRDVMPTLLNVLSSNDPKVVEQGCLCVSRIVESFKHKPGKLEELIEPAM LKAVLRLLLPGTTNLIGPHIHTQFLRVLAITCKASPRLSAELLRMDVVDTLYQILTGV SPPQLDKSSVKMDGVLVMQALIHRPREQVFETLNVICELLPGVPASQASEEDGVLSAY FETNMTLGSHSPKTKESAKKRRSLLMECKTQLKRFAMILLPTLTDAFSSTVNLGVRQK VLVAQLKMLHNLDIALIEEALRTVPYASFLGAILSQKDHPSLVSLALRCAELLFQRLE HVYQYQFHREGVISEIVKLSEESLSTERNKQIQGSPSTSTMDDARSTDGDETADDNPT SDIEGQEGDEDEDGDDDNENDDEDDDQDTYPDDGDISVSDSLAFDTRLSTKIETMLQD LVVRDARAFVEMYEASEGKDMRNKALEILDQLQALAADIKACYKDTAGDRNGLPLFKK LASYFDGDALESITSSELLNSGVINVLLDVFGDFQSPSTREARTAFLQAFMGTAISEK AQSQSTATTPFSVLINKLQDLLSRTEHFEVMTVNQNSLENSRSNAIHMLGKQIRLKLV ADEDSDIPRTYKNIMVSIHAIATFKSLDDFLHPRISLADRPRASNSRDTLLSQLANAA RLREQLTGNSDKSSGSGNESPRSSSAAAHPSSRPNGPSVNDPSSTEEPQRAKPRRSGR HQQAQDDEEEAQHNEPLECADARQVSDGEDDEDDDGDGADALNAIVDDMDDDEPENHG HDPSAVNMEVASTGKVTARKEDGTRVSTPSQSTPVSKSSSSRPAQTSTGNSLATTGRP SSYAAAIASPSQDWHIEFSIDGKPLSNETTIYRAVHHDRENVDVSSRNVWSAIHTIKF KRVPGPPPPEPSTAASGLTESTVADNEMPRSLNKDPTTASILRLLGSLHEMNATLDDI LAEIKDLMAIKPEPLAQFINTKLTAKLNRQLEEPLIVASSCLPTWSEDLARLFPFLFP FETRHLFLQSTAFGYSRAMLRWHNSHGDDNRHDRPDDRPFLGRLQRQKVRISRSRILE SAMKVMELYGSSPSILEIEYFEEVGTGLGPTLEFYSTISKEFSKKKLKMWRENDCNHD DEYAFGKRGLFPAPMSDAQASQESGKKQLQLFKILGKFVARSMLDSRIIDVFFNSAFF RIADSSSSVAPSLGTVKAVDHDLANSLMLLKRFASAKKAVEDNKDLSASDKAQALQQV EVDGVKVDDLGLDFTLPGYPSIDLIEDGSNTLVTIENVDLYVDRVVDMTLGSGVRRQV EAFRSGFSQVFAYSSLKTFTPSELVILFGQSEEDWSIETLMDSIKADHGFNMDSRSVR NLLQTMSEFNLQQRRDFLQFVTGSPKLPIGGFKSLTPIFTVVCRPSEPPYTPDDYLPS VMTCVNYLKLPDYSSLDVLRERLSVAIKEGQGAFHLS ACHE_80680A MSWLTKTISSLAKRPSSVLRPITRQSLPQLQHQQRTYHLTQSRP VHPSSSPSAQQSTTTTVLHEDHLTNPNFPTPTSTENEQTHIDTLISSLTLVQSLRNNP SYKESRPHHSMDPSLRSMHFVAGSLAGKNKVTVAPFMWMSNPSSRSPSKPSSPSASTD KEELAGSHLYSIFHIGAHLCGHPGYVHGGLLSVMFDEAFARCVSTSFRSGSGMTANLN VDFRKPALPGRLYVLEAGTVRVEGRKAWVEGRLVCLPEIGNGEEGEGVMVAEARALFV EPKFAESMIPLYRG ACHE_80681S MENMDEASQATIITLLLRDTEDIFDASVDTHVYSDLQLALSLWQ EEIDNYANVAEDRKIAERIASAPRRGRGNRTVVRGRDDERVTASWHRLAAGKEVDLLG YDPPAQAQAKSSEKSVTPQKQPELEPEPEHERERDRDPPKPNPLRCISCSGMVAPDTA IKTPCSHHHCRTCVLELVQLSLDSETIFAPQCCHTEIPLTLLQPIFDSEPDIERQFDE KVTYHNDSNRTYCSNSTCARYLGPNTKSPGTNMRYCVGCLRWTCVTCQKTHVPWMGCQ FGDEEVLQWVKQWNWQQCLQCKHWVELEAGCNHVVCRCGNGFCYECGAKWKSCDCELH RYFGRV ACHE_80682S MASFVSLSAELILMIAQELTESEDLFNFRQSCRYLQHCSKPHYL RRYFYRRRHMFSRHSLEVLQKIVSDDALSITLHELVIGIDHLTDEPPLEDPLPFQLWV EDSSMRTNLDVNRSSYNQYLADQNNLLDSGLGAAYLTGILSKTKNCKALTIDNDHRSW GAGFLKRQTGVYPTTDLNWRDSQEFIAKAVEAVFVALTASGVQLQALEIYTSPDMPPI SPEALALPWLQPLELPFSASLISLVLAIETGDVYDLEPRAWPKIVTRFILHFPSLETL GLFFEPRIEPECFHAISQALYIPRLRTLKLSTVSCTGDDLTQLFRSHQATLQEDDMDI CIQGDDIAFPSVKIEASGGSRA ACHE_80683A MGQKRQRDSKDSKGPSAHANKRKKAANATNKNDSWDGFVGLEDL NWKEVPLPDRIEDAGGFFGLEEIEGIDIVRPNDNGEVRFRAKPGTKKESILKKKAPEE TEFDDWDGFSDGEAETPNENATPADENESESKEPASKKELKKENKKENKDSKKDDKKE QKEKPAKKDQKEKNAKNEQDNDIKPGLSFAALEEEEEDDGVDISAWEYLGLSPEILTG LSKMKFAKPTSVQETCIPQILEGHDVVGKASTGSGKTLAFGIPILEHYLERMRKNLKK KSGEKSEKATHPIALIMSPTRELAHQLSKHISQLSSLTPSAEPRIALLTGGLSMQKQQ RLLAGADIVIGTPGRVWEILSTGQGLIQKMQQIKFLVVDEADRLLSQGHYKELEEVLD ALDRVETWEVPEVEDKEESDEKKVNPMAERQTLVFSATFHRDLQQKLSGKGQWRGDDL MDKKESMEYLLKRLQFREEKPKFIDVNPISQMAENLKEGIVECGPMEKDLFLYTLLLY HPKHRTLVFTNSISAVRRLTQFLQALQLPALALHSSMAQKARLRSVERFSSPSSDPSS ILVATDVAARGLDIKGIDFVIHYHAPRTADAYVHRSGRTARAGAAGKSVIICGPEEMV GVVRLAAKVHTNMAQKNGQPPKRLPLESLELDRRIVNRVKPRVNIAARITDSQIAKEK VSAEDNWLRNAAEDLGVEYDSEEFEKSAGRGRGRGRGRHQREKEVGSITKAELAGMRA ELKGLLSQRVNVGVSEKYLTSGRVDIDALLRGEGNAAFLGQVDPLGF ACHE_80684S MGKSSKDKRDAYYRLAKEQNWRARSAFKLIQVDEQFDLFEHENP EKVTRVVDLCAAPGSWSQVLSRVLIKGESFGRRAWVEKKRKEKRALERAKNGAGDNED EAGEEEDESAKLKPRKNVKIVSIDLQPMAPLEGITTMKADITHPSTIPLLLRALDPEA YDSSDSSPSAVRQPNPVDLVISDGAPDVTGLHDLDIYIQSQLLYSALNLALGVLRPGG KFVAKIFRGRDVDLLYAQLRTVFEKVSVAKPRSSRASSLEAFVVCEGFIPPALHDELK GVDALKNPYFGGAAAPQSVSADGNVGVEMMDEDHDIDGQVPTREATVTATNSNHDTQT RMLHPDSHRTRSSDADQTASPEQKRFAVENRWIPSFIACGDLSAWDSDASYALPPDHV SLDPVQPPTAPPYRRALEMRKAHGGAYGKTKLGAVGRAC ACHE_80685S MTTTTTTYTTTTTTLCQETTLGDLQEALSQSHQDVLIKNQELLV SVAESVKDYMSQYDLSHDFNHILRVLTLSRRILDVECQDGGIEYDPIIVFLSALLHDI GDHKYIKTGESATVQIATILSKAGASPSLTQKVRIIASNISYTTEIKDPARLQGILQH HRELGIVQDADRLDAIGATGIGRAFAFGGAKKPDLGLENPREHISEKLERLVDFMKTG AGKRMAVERTKRLRIFQEWWDEEMRFES ACHE_80686S MVVQKGRLGVVRLLLEFGADVRSKDKNGRTALWLVKESKYRSRD IEVLVETMGSNS ACHE_80687S MANVALIGSTGMVGTHILNSLLANTSVARIDTISRRTPQAASSA PQTKLTTFVADDTSKWAPQLSALSPVPNIFISSFATTRAAAGGFENQHKIEHGLNVEM ARAARDAGTKVYVLISSSNANKASSIPYMRMKGEIEEDVKALGFERTVILRPGLIAGQ REESRPMEAAARFVAGAFGKLHSSLKDPWAQEADVIGEAAVNAGLKALEGDVPAGHEK GWVLYGSDIIKYGKKQ ACHE_80688A MFKRLASSSQQASRVVQRRRPQLRTPLVQPPPTAPPAAAATVQF STRPALHTTKKDAGFSHSEDFDRTALDPKRNEGTKSGTDSEVAQHRAAYDPSQTSPES EMQEMGEETKQEGVPANPLDVSGANQEVNRSRDPREGMADRNADREGHSTRGVTPKNR EVKTRPSK ACHE_80689S MCFYNQKRFVCGDFSWTSFAHQCNYEYRTGETCGMKLVNRTENV KTVCRVCEKIEIKFRRRSAEYERVQRWKREGGTLVASIEKSQDMIRLLDQEILKLQKE REDKSRAL ACHE_80690A MSRRYDSRTTIFSPEGRLYQVEYALEAISHAGTALGILANDGIV LAAEKKVTSKLLEQDTSAEKLYVLNDNMICAVAGMTADANILINYARQAAQRYLLTYN EEIPCEQLVRRMCDLKQGYTQHGGLRPFGVSFIYAGYDHQQQFQLYQSNPSGNYGGWK ATSVGANNASAQSLLKQDYKEGCDLKEACAMAVKVLSKTMDSSKLSSEKIEFATVGKT KDGKIFHHLWNADEINGLLREHGLAKVDDEPEAGEIK ACHE_80691S MLKSTYTPPPPLPPGWTEHRAPSGHLYYYNSQTKQSTYTRPQPL PTPLQPAATETAPLLTPDTLPPFSSTPYTAQSFGVGGGFTGSHHHPHQGQTRGGFRGG KAYQDRRSRGPEDRPKSKHAIPGCEPWVLVKTKLKRRFVYNTETNESFWKFPQEVLKG VIEYDRIEREKKERRERGEVSEESETQKSEAVPEEPGPEPATREAPAEAAEESDEYEE VEVTDDEEDEEQPSKRTKTDDDQPVEFTEEDIEYQLAAMGEEYGLDPGEYGEPGEEGW EEGAEGLPLSDDDAVALFRDLLDDFRINPYSPWENIIEEGRIIDDSRYTVLPNMKSRR EVWSDWSRDRIQELKERKKNQEKQDPRIKYLAFLQERATPKLYWPEFKRKYRKESEMK DTHLSDKDREKFYREHISRLKLPESTRKSDLSALLKSIPLQSLNRSSSIYALPSTIIT DIRYISLSPQLRDSLIETYISTLPAAPEQEHMSAEQQEELDRKRADREKREKALAERE KRVEEEKQKQRGDLARGKHLLKEGEAEIEEAMRIRKDGLRSYLDAEDKSREGAGEDAE KVT ACHE_80692A MADIRTLLRNELATRKGTAQTGSTANRVTKKRKVDVGDDSIRKK IKPAGMTAQQEASQAIQPPSAQDLEEDAEKETAGPELPLGPEDEQEAENTNQADEQPS TNEPQTVDEDEWAAFEREVAEPSRAPRAPAAIAAPATISAAPISAEQIAEQQEKDKDT TQTREAQAEGEREDAARFMEDEFDEMEQLEERVRRLKQKREELRAKRASEEAESAPPP ATEADANDEDDDEEEEDDDDDDDWDDWRFK ACHE_80693S MADEPRRSGRSTKGQHKNLDMVTETPMKKSKSKSQSKDKSSKPS AEPTPGPSEEEEEEIIRCICGEYEEEEDVERDMICCDQCSAWQHNDCMGLTFAKGEEP DQYFCEQCKPENHKELLDKMARGEKPWEEVAERRRKEAEEKKSRRRKGKKGGRRGRPS EAKTEESTPAASVKAQTPSSAPSVPPTVPHAIEENGHAGDPAPAGTPKRKFEEHQESP QSEAGPKPKQQKVSPPAKEATPHRKPSTNAPSSRQSSVTEKTSEGPGSLEDITNPARK TVASALVKLFVEQVSEARKQDSFKLPQGKTVEAVAGQLGMSIEHAMYQNLCGGSGEPT EPYKSQLRTILFNVKKNTSLRDSLLVGNLLPDTLSKMSSQDMASKELQQKDAEIKREA ERQHIIATQEQGPRIRRTHKGEELVEEDDHVSSEPVFSTAPARRMTDGSPSPDGQQRR KSNEGRRAGKPRPIETDGQSHDQHFAHSPGHDDQVFPEVATHIREPVPGGKAQADAEI DHLLRDDDEPDSPPYSPKDYDEEGSVWRGKVVMNPIGEFTSSAKHVGGADLSERLPWS QLAPPTLLINGRIEIQLASNYLCGLRFSTSTDVVVMSINAPENHKERAGFDNLFNYFA DRKRYGVIGKHPLPSVTDTYLIPVEAGTTKKPDFLELLENNALDDSLQDRILLAVFVV KTGVSNRPSVQPPSHHPSQEPVNTASPLTTAAPTPQQAQAPYMLPGQQHPAQVSPTPP APFPGAPALAQTPQYAQPPPQQQQPVHPAGQFHQYQPNVPAPQPVTGLPAAIQVLGPQ AHAPAIQQLLQQAPNADASQLLVVRDILSRQPDIASSYQALTEALYHATTNGHGAS ACHE_80694A MAAEQRKLLEQLMGADNLVGTGTPSRNAQLSITDSKVCRSYLVG TCPHDLFTNTKQDLGPCPKVHSEGLKTEYELASAGEKAKWGFDFDYMRDMQKYIDDCD RRIDSAQRRLEKTPDEIRQTNNLLKQISDLSKTINTGLLEVSVLGETGSVALALNELH KIRTAKHQKETCERELKNLQDTSGPSGHQKLQVCDVCGAYLSRLDNDRRLADHFFGKM HMGYSDMRKTWKKLSEELKGRPPPVRHHDDEDGGWGGGGGGRSGGGRGPRYGGGGYRK RGRW ACHE_80695S MTALFLLRLLLLTALSTLTSAAPDSDYHEGLVLQPLPQSSLLAS FNFRSNTSQVSFEQRHFRYFPRALGQILQHANTKELHLRFTTGRWDAESWGSRPWNGS KEGNTGVELWAWIDAPDDDGAFAKWITLTQSLSGLFCASMNFIDSTRTTRPIASFEPA GDHSSSQLHLLHGTLPGEVVCTENLTPFLKLLPCKGKAGVSSLFDGHKLFDASWQSMA VDVRPVCPESSECLVQIEQTVDMVLDIDRSKRPRDNPIPRPVPTEQLICDTSKPYNAD DTCYPLEKTAEKGWSLQEIFGRTISGVCPLTEDENPGKETVCLRVPHERGVIISPGAS ETRNPDGLSRCFNLEPSSPFDLIIPEQEAFTHVPLDEPALSAERTIVGHGQERGGMRI IFDNPSNSSIVDFIYFETLPWFLRPYVHTLHATIIGRDGIRRSVPVSQIVKETFYRPA IDRERGTQLELALSVPAASTVTLTYDFEKAVLRYTEYPPDANRGFNVAPAVIRLLGLR DQAQSYEYYSPVYIRTTSLLLPLPTPDFSMPYNVIILTSTVIALAFGSIFNLLVRRFV AADEAAALTAQTFKGKIVGKVVALRDRIKGKGSKVE ACHE_80696A MTDAYPETKQSSRGNHPRTSTLGGASSVPTPANNTGGGTGWGDP GFFKGGSQGSGHVAPPNAQATFGEYLPLQEQNGAASSKFVQRATDMNMDISGEGSNTS CQTEDHSFMCHRPGHSDTLPGWSKAKSAVEATLHGQGR ACHE_80697A MADEDEFAQTRGSDDLFDDEIIPVPAEEQQVSSDVPPQEVPEAA TKPDDTPAESPSASASPAPAQAPAGPRRGGERERGRGRGRGRGRGGRGTNASGRRGEG GNKKPVEGTPEPESGNGNVEGENKAEEKDDDATSESKGSNGGAEQRVPAVRGDRSATG GIKKPKLTEEQLTQRMAAAKENAAKLSAAHARAEADQASFLEREKVADEKRRQERANR RVMDSERERNRQRKMNALNGREWDATKQEEDYNPRGGKGGFRRGMHGGVSGYTRRDFD DGRPDENGRDNQGGFRGNQRGRGRGRGGRGRNNSRGPRRDSWQPDGAFEKPTAAMNET TPPTPAPAATNEAEFPALPGAKDRPGDAKPNWAAAEKIEPSWSPVEGSTWADQVEAQ ACHE_80698A MALLHSLTLLAMVSSVLASQDAFQSRCTSFADKIDLPNVKVNFA NYVPSGTNLSLADNPSTCGESSQTVAGDLCRVAMAVTTSNASEITLEAWFPRNYTGRF LSTGNGGLGGCIQYYDLAYTAQLGFAAVGANNGHNGTSGEPFYQHPEVLEDFAYRSLH TGVVVGKQLTKLFYDEGFDKSYYFGCSTGGRQGFKSVQKYPNDFDGVVAGAPAFNFIN LLSWSAHFYPITGSPSSDTYLSPSLWKVVHQEILRQCDALDGARDGIIEDPDVCNPVL ETIICKSNATNTNRCLSGAQANTVRQVLSPLYGANGTLLYPRMQPGSEVLTSSVMYSG EPFTYSQDWLRYVTYSNPNYNASNWNVHDATAALRQNPYNIQTWEADLTPFRSAGGKV LTYHGLQDQMISSDNSKLYYRRVAETMNLAPSELDEFYRFFQISGMGHCHGGDGAYGI GNGYDAYNGDKPQDNVLMAMVKWVEDGVAPETVRGAKFANGPGSQVQYARKHCRFPKR NVFKGPGNYTDENAWQCV ACHE_80699A MRTSGASQITVLSSFILLLTYFSLILAPISATANELSTHNSSND MNLISFLNSKTQQYDVIFTEAVQLLESMKSAPSCNRLAATKLVTSCQSISKDNGLGSD NYVTLERIRSLYAARLAICELDGAGTSIPASCSPVAVSSTQKRSFFGFSGKYKSQNDI EDIPRHFLESCLRSLESRPQWWTSYSNSRQNAMVICQAARIEGEKEELLDLHRHIIDV TLKLNHGLEEALRTAAAESAEQKAFVHAIDTMRNRLTRELEDTETRFKLFISGIFQDV ESSVQSIVSSVTMVLGRIHTGTAVLEKNVRNVSNEVDHLQETLRAVHAETLARSEQLI QVHRQDSNAQIEIASSLRSSLESLLQGDMVRLSQEMGTLDTSVEWLLGKIGTILRQEA KVSERLQTFEIMLVQSEMKAQDLQRAQLLQSEVIAAQSQAQHNIQTDIQISQALLDKV ISTAANLQTMVDETATKYRESPVLGALHGTYSAWTVCALLFSMLGAQNPKSALAVLFI GMAHLFVTRIIF ACHE_80700S MSCSIRQSCLRQLSRNTLRWASFPSFSSPAASLSRRTQCFSTTA PAQSRVGGAAISVPPEVSLSFIDLPQTQVRGRTKEISKTAIEIKGPLGQLTLDLPPFL EIAHDESTRKAKVSVRDAEDEHQRAMWGTMRAHLQNHVLGVSEGHICILSLVGVGYRA SIESTATTVESTYPGQQFINLKVGFSHPVELGIPEGVKASTPQPTRILLEGVKKDVVT RFAAEIREWRRPEPYKGKGIFINGETIRLKAKKIR ACHE_80701A MLKARHRLTTFLSHLVTTTTTASSPSYIQVANMSTYQQERYIAE LAVQRATLLTQKVFHEKAKGTVSKDDKSPVTIGDFGAQALIIQAIRKNFPNDEIVAEE EASSLREDKALSAEIYRLSKDIKLEDAESDNLLGGPLPSEEAMLDIIDQGNSKGGASG RIWALDPIDGTKGFLRGGQYAVCLGLMVDGDVKVGAIGCPNLPVDDAAALSAGIGADQ DDGTGKGVLLSAIQGQGSASRPLTNGALAESKPISMRPLADITQATFCEGVEAAHSAQ GDNAAVAERLGITNPSVRLDSQAKYCSIARGAGDIYLRLPVKKDYQEKIWDHAAGDLI VREAGGQVTDIYGNRLDFSKGRTLAANKGVVAAPKAFQDQVIDAVKAVLKL ACHE_80702S MARVSDHALSYYSPSNPSLLADASSMHVDEDDFLQSMQKTEGSQ YEKWRDVSKRIVHGIAGDLYDELSVKLIDEILYQRFNASPISNTDSISSVWAKVFGII LKELNFQDPRFIDDTGMDQAPRVRRIPPQLL ACHE_80703S MYSKYGNIHRQLRALTILDFLIQNTGERFLREFADEPLLERLRI AATDPVSDPLVKEKCKQLFGQWAASYKNTPGMERVTALYRQLPKRKKPASQAKAKVLR DAEPPKEQQPLGHTVSISAGNGPSMVVGGGSKHKNKKQERKEKEKQEKLAQKEKRKSL GTFRSFSLEREKPQMIQTLANSSVASTNLLNALKLVNRETQRVSEDIEVMNRVDTCRQ LRRQILRYIQYVETEEFLGGLIHANEELVTALMAFEVLDKSVDYDSDSDQDVLVSGWT PRDDDFDESFAGLSINPPKPPRPARPVSLPVLPSASRQQDNGKGKGVFDDSESESETE SDDEDDDENNPFGDRNAIPPASVDAAGRTWREV ACHE_80704A MAIGFKSDSSATPLNLKTVSKGFCSTEMEIHGDREEMVIGDGDT NANGTSKHVTTTPSPPRRRRLLLSTESSFVDNVLPSLVQNPEIELRLITDEPSASLAG MNKVPHYMDTVDSQTFEKKTAARRWLKAKAAELCEWADLLLVAPIDAGTLGSMLGGLT NTLTLALLRGWKSSKPVVLIPGMTVCEWEHPLSNKQLNELERFWPWISIVTPVLFESK GPDELVQLPWDGLKELHGTIEETLGFSFSNGASNPSKADYETTVSADKLAGTITPISR QSQLRFNETKENGALSLPLEIWLNIFEDNLGDWETAKAVGIPTNLPVPKEWQCHLLKM SAPASLEYTILRGSFAAIKKRIDALPRWKPLSDLACHLIFKFSRTDILSYLTENHLDL LWTTSRLTNLPYRASAIYGNSNILTWWRDAPALPNKEYIADAMDGASRAGFLSVLEWW RTSGLELRYTERALEAASAEGRVHVLDWWRNASTSAPPTNPLPLKVGKSVLLAAQSGR AESLAWWDASGIPYSHAESVARIASTHGHVHVLQLWHRLKGAKMIFDCQVLVGPTKNG HDNVLEWWRRSGLRVEFKTCDIEEALEDADPVSGAEGRVRKWWARNGLNLGVGTSEWM KTKVLCS ACHE_80705A MPVTEQTPLLRENTQQHAPPGTAATQDSEVPLAQEPSTRELVLI LGSIWVGVFLAALDTTIVATLTVPISSSFHSLSLLSWLATAYLISNAAFQPISGRLTD IYSRRAGLIFSNVFFAAGNLICGLAKAEWVIVLGRVVSGIGGGGLTAISTFIVSDLVP LRKRGVWQGIGNICYGAGGGLGGVFGGWINDTLGWRWAFLIQVPFVVVSGILVAITVK VPVKGDSTGRLKRVDFLGAITLVITLVTFLLGLNTGGNQVPWSHPLVLTTLPLSAVFL GLFVYVESKLASEPVIPVNLLLNRTVLSACLTNWFTTMAVFGLLFYLPLYFQVQGLSA TAAGARLIPQAIGTSLGSMGAGILMRATGRYKAFSHVSMALMVLGAALICTMSLDTPA WLPFIYFFLGGTAYGGMLTVTLVALISAVDHQHHAVITSASYAFRSTGSTIGITIASA VFQNVLKSGLWSRFGGREHAKELISRIRDNLDEIRKLPTDWRLGALAAYMDSLRAVFL TLCGLAVLGALASIAMREHKLHTNLARRER ACHE_80706S MPGVPPNALAQLKKGLKAMFRSKKKAAKKQKEQEQAPGNPAETK PTTQTTVAGGAAAGAPAAPAPAPAPAPAPTPAAESKPAEQAPAQPTELEAPAAAPADK PADKPADKPAEASQPAETKPETTTPAAAPAAAAAPATETKPEAAAPAPATETPAPGPK TDAPSQEPKAEAAAPAELAAPAPAAETKTETPAPESKPESTPEAPAPVAAPAPAPASS PSPKSEAPAPAAEAPAAQPTEEKPAEEKPAEEKPAEEKPAEEKPAEEKPAEPKAAGPE KPAEAAEPAKTEAPKQ ACHE_80707A MFEIPEAKRVRRDDILSRESSSPSPSPPPEIAYQNGHQRLAELL NFNVDVFAPEQTTAATENPEPTETNNSEQKGGEDEEQEFEFRLFSAPKPSTTTPIAPQ KDQKRDESGASQTQKLRIRVRSPTPGPADLSEGRFVNPFRGWRYYFTTPGLLSGSKEN KVEDEELGLKRRQFKDVAVTGEHMLGWASVQPWPGCHLPWRVIHLKRQHTKLPRDSSI PATAVYTTDPSTTRTPKSHKKPGKKRRIQLRKRVTAAETAKQADAEKRNRKNRERKIK RRQKAREQKAAAAVANGEDPDVVMADGGGDDSSGGEE ACHE_80708A MASTQQTTTAVELQDNPGQERQLKAPAPTTAPTADNVMQASLLA DSQVPDGGNGWVVISGCAMVTWWVTGTAYCWGVLQAALVKEGVSSSSTLSFVGSLAPA CISFLGIINARVIRIIGTRVAGLFGIFCLGLGEILSGFAFRNIGGLFVTAGVVMGIGI SVCFMVVSVIPAQYFKTKRGVANGIVYAAGGLGGAVISFILNALLNSLGTAWTFRILG FITLATGLPPAYLIQQRVPIPPTKFVEWRLFRDVRFILLFIASAIATFPLLVPAFYLP LYTNAMGMKSSVGAAMVAAFNFSSAIGRLLCGFCCDSLGPLNTLFMSLLLSALSMLIL WPVSQSIGPLIVFVIINGMANGGFFSTMPTVVGNVFGSARVSVAMGMIVTGWAGGYLF GAPIAGYILDAAGGEDNGLKAYRPSIFYAGGMALAAAGLAAGIRLKTEKSLAKKL ACHE_80709S MGICASCLGQGRRDHNDYESTRLLDDDIYQSGYGYGALSHANQI NQPDPESIKREREALEAICQRTSESVIDIWSLQPQPHLQPRATLPRSNSGSREGTAKG DPPVVVTAPSAASSNTTSDNGTGAVPKHWGEVVINPRKNRGSKAGGKNGRDVFGVLQV S ACHE_80710A MSSPKRRIETDVMKMYALPSLMSDYEVTLVNDNSEFRLQEFYVR IKGPDETPFAGGHWKVHVELPDQYPYKSPSIGFVNRIFHPNIDELSGSVCLDVINQTW SPMYDMINIFEVFLPQLLRYPNPADPLNGEAAAMLMREPKTYEAKVKEYVAKYASKDA VDDAEEDTESEDELSSAGSYESGGEEPAGTMDDV ACHE_80711S MDLSSSPDPSARASPASNAKASTSTLSHRPASRRQTDSPGSRTS SNNNNAPIPDEEHGADMPMTMSASVVLTSLPRDAHQALADAENIDTGKVTVRFQPLPS APILKNRVFKISASQKFETVVKFLRKKLDCKDTDSVFCYVNSVFAPGLDEGVGGLWRC FKTDEQLIVAYSMTPAFG ACHE_80712A MASRSAAGYLTHSLRSIRPRVPVPTTPSLLSTSFIPCHTPTTPT RHSSHSPMGSTPSNPRKKVTLQTLRNLYKKNEPISVLTAHDFPSGHIAEVAGMDIVLV GDSLAMVALGMEDTGEVVLEEMLLHCKSVSRAVKSAFTVGDLPMGSYEISPEQALQSA IRIVKEGRVQGIKLEGGEDMAPTIKRITQAGIPVLGHVGLTPQRQNALGGFRVQGKST AGALKVLRDALAVQEAGAFGMIVEAVPAEVAAIITKKLKVPTIGIGAGNGCAGQVLVQ IDMTGNFPPGRFLPKFVKTYADVWGEALKGIRQYKEEVKSRAFPSQEYTYPISQEELA EFEEAVDQADSK ACHE_80713A MEPSPSPTAPEQHQTPAETTSRYLGTKTSPPVYRPLKPRQKEVV TKQRKTLTKWDADARDYAAYTSTFVG ACHE_80714S MAILGHDDFGESTVAGWESSKYAWILDNLETERDSGDTTDVSLE KFETDENVVTLIDCPGRRAYTKNMIAGAVAADCALLVISAAEGEFETGMRTNHGQMRE QALLAFALGIKRIIVASPR ACHE_80715S MNYVRIPGKKTSGSFRLPIKNVYNIPVVEGSCWLGMYATLAPSN IPAQVQSIKQHHDDMDECTDGDAFNIRRGKVVSDSDDPASNCSSFTAQVIITNHPGWI KSGYTPSVHCGTGHVPCRFDILHVLDRRTGRVHHGREDVKLGDAAMVRMTP ACHE_80716A MAAISIPPSPQTTLNMSTRRPPLANVPNATNSPLRMGGTVPAKR SRTASTQLEIPYGQPPPKKQVIDGVEQDVRSPSRARSTAHQQPGDSRIFSRRSNNAQP SAFERKLYAAREKDRQTATKPVRNEKPSAETLDTIRQWQRHYRKAFPTFVFYFDSIPE DVRGRCSRQVNALGAREEKFFSRLVTHVVTSRPIPPEHAVNPPETSRASVDHTSCGDG SLQTVNPSLLERIPEMHVHTSLKNDARREQMNMDVLHRARQMGMKIWALEKLQRMIAT INDPDISGHDPSNRNNGPGGGHTRGRENDLSQVLRNELVNGPSDRDHLSSLKELVMFK GPFIYVHDMNEKTRPVMVREYPKAARRQDGIWPQFRSAPLGKCPFIDEPPTKKEMDRQ RIRQQAKEKKVAPKPAPVQGNRDQEFAVREDTVSQEPADGVTSNEHKPRNEQEAAPPH QPEMRDMVPPRPGSPPRKSSESFNPPQMPRNGPFFLGREPAASGMQPSNITSAIRSQM VSSTAAAPGAKAGLSKEVHELKRKVLEKGNGLSGAVSHGAADPASWKINQSQRPGKAN PQEKPDTTQSEAVKKQSQDRKDSIQKKERPRDPKPGYCENCRDKFDDFEKHTQTRKHR RFALTTSNWVELDDLLFELDRPLKEEYMHEHI ACHE_80717S MSPCKYLLRQWLRYSPHPFISTLRTCRPLVQRPGISRLASRRTY ASSISAADLKFGQPLHETHPHILSPGELTPGITALEYAQRRSKLANKLPQNAIAVLAA SEVKYRAAGIFNEYRQDSNFFYLTGFNEPNALAIIANDGSGDNHIFHLYVREKDPKAE LWDGARSGTRAAIDVFNADESGDIDRIGDILPNIVSGASAIYTDIPAFDPGRSSLHKY LYGPTTTSEKLKKCVDYSKVRPLRPLLNDMKVFKSEDEVVHMRRLGQAAGRAFTESMS HEFNMEKDLASFLEYKLKANGCDTSAFVPVVAGGPNALSIHYTRNDDILNDGDLVLTD GGGEWGTYICDITRTWPVNGKFSGPQRDLYTAVLNVHRSCLALCRESAMVSLDRLHTI AENGLKDQLQQLGFDVSGNAMNILFPHHVGHYIGLDVHDCPGYSRSYALKAGQCITVE PGIYVPDSDRWPAKFRGIGIRIEDSVCVGDDHPIVLTPEAVKEVDDIEALRV ACHE_80718S MASSAIPAADIQSFTTYLKGCKRVMALLGAGISASSGLPTFRGA GGLWRSYDATDLATPEAFEANPDLVWQFYGFRRHMALKAKPNRAHYALADLARKNKDF ITLSQNVDGLSQRANHPPEQLHLLHGSLFTIKCTSFYCNYVSDDDFTDPIVPALAIPK DRQEPIPSTEDKTGVQAAQALQNALGLEDSDGELDISDEQVPLPALPIEVLPHCPRCK KGLLRPGVVWFGEPLPTQTLAEVDRFLKAGPVDLILVIGTSSKVYPAAGYVDEARAKG ARVAVVNMDSNDVGRSGLKKGDWLFQGDAGVIVPEILGFEDSVELAQ ACHE_80719S MAKESDAPQLPLTPRKANEQPQGLSQHPEFGKPSPLRPVHHQRP AHPSNPFNIPKPNQARPEHHRGPQPQPRPQPQYPASSQGYRPPMTPGAGVAATPRRNE SFDPFKPVRPSAYNNNRFSRPVDSGAFEIKRPENVTFGTPRAPKTFFTSKPSAVKMNN ASKNLKNFVDLTGEGGFTPSAGSRNNVGFGSYGVDDYVDTAKANENIQALLQGAFDDE DEKQQAKKNKKKNKNKKRKQKQKQKGNKKKHEDSHDASQDTSQGASELDDLAAQLEGI TVKEPKCTDDDEDEKKAKAVKSPAINSDTQEASDNESEPEADKGATDTEDDAKEVVSD EEEEEEEEEEEEEEEEEEEDDGTVDGLNVKLLPHQREGVSWMRDKELGRGKGTAPKGG ILADDMGLGKTVQTIALLLTNTKPDSGKVRKNHDSDEESDEEEKPRKLPPGLSKSTLV VAPLALIKQWEGEIAAKVENTHKLRVCVYHGNTRAKATDNLEDYDVVITTYGTLTSEH GKGGKSGIFSVYWYRIILDEAHTIKNRNAKATQAACALDAEYRWCLSGTPMQNNLDEL QSLIKFLRIKPYNDLAAWKEQIGKPIANGRGQLAIERLQIFLKAFMKRRTKDVLKLND NLKPDEDGSEKKQKPSGFQITKREVIKVATEFMPGEMNFYKRLEQRTENSLEKMMGDT KLDYAGALVLLLRLRQSCNHPDLVKSDLAKDKDILLQTGPSEKKSSQGKNDDLDSVAD LFGALSVVTKKCDVCQTELSKEEASSGISRCGECENDLKATLGDKEMSHKKKRSKKVD VGDFTDSPSTSRQAARARRNRKIVVDSDDEDEEDGDWVVPKAQRGVKNFGKAGGSDDE DAEGGGEWLDSEDSDSDDEGPESPTKNRMRSVTPQVQSSESESEDDIYLSAGDDEDDD KRILPSTKIRHLMKILSREAPDFKFIVFSCFTSMLDKIEPFLNRAGIGYARYDGSMRN DHREASLNKLRNNSGTRVLLCSLRAGALGLNLTAASRVVILEPFWNPFVEEQAIDRVH RLNQTLDVKIYKMIIKDTVEERILDLQDRKRELANLTIEGKTAAAKLTMTDMMALFGR DAESRYAGRQGDLDLKQPGVLMQPTEETGGMRSSSTRDRERPQKKRVPAREENSVYGR RW ACHE_80720S MGNSQGKQVANTNEINLNQFRLLRVVGKGAFGKVRIVEKKDTGL TFALKYIRKEEVIKSESVRNIIRERRMLEHLNHPFLCNLRYSFQDIEYIYIVVDLMNG GDLRFHISRKCFTEDAIRFWIAELGCALRYIHSQGIIHRDVKPDNVLLDSEGHVHLAD FNVASDFRPGKPLTSKSGTLAYLAPEVYEGGGYYAEVDWWSLGVCFYECIYSKRPFEG RTQEALSESIRKIPPKYYVTNPAVSVPCLRAMAAFLEKDRSKRIGAISFESFTSHPFF AEYDFDMLERKEYPPVFKPSSEKTNFDATYDLEELLLEEAPLEARARRQKPRAELRED ATAKEIREDELHRLIETMFEPFDYTMVTYRGNAAEAIASAQNPEDCLPPALTGHGATP GAGAASNASVHSRQLSQPESARNSPPNRSELTPSHTHAHPDNTSQIGDAIEPQKSALS GVPQASTPPSSVPAPTQGQAQAFHRPFPPPAARGQGATRKTSKGGGVQMVLEESGSWS QLADQSSTLPAEGYDAAGGKGKNANSGMLSFFSRKKGRDRSPKPQEPGVLGKEGARQI ISG ACHE_80721S MPRQYRRDRDSDPDRNPAYHHHYDNDTYNDNDDYEYDSSDEDPN REPDYRPNFGFNSRLPFNLRGFVPVWNDPDGYNEHDHDDNVNNGHGHEYGNAYDTRRT VAPEESATKHQSADSSNSAAHLLAGQDHEDRGYPDEGLRVGKRRSQRKKALLDGLGPG AGAGAGAARDITRDRPQGRIVSGAHLEEGRGQVRQRSGFFPAGGAGGGGGGAAAAGPG EELLRKEGNGGNWNGSTVSSNIVDRPFYKRKRWWLGMGILLLILAAIAVPVAVVMTRK HTNKHTTSAGTDSDSSDDNAPANLNLKGISRDSIPSSAKGTILDPFTWYDTTDFNVTY TNATVGGLPLMGLNSSWDDSTQANEHVPPLNESFPYGSQPIRGVNLGGWLSIEPFIVP SFFDKYDSWEGIVDEYTLTQRLGDAANATLEKHYAKFITEQDFIDIRDAGLDHVRIQY SYWAIKTFDENDKPYVSQIAWRYLLRAIEYCRKYGLRVNLDLHGLVGSQNGWNHSGRQ GAIGWLNGTDGALNRKRSLELHDSLSKFFAQDRYKNIVTIYGLVNEPLMLSLPIKDVL EWTTEVTKLVQGNNITAWIAFHDGFLNLSKWKKMLKGDDVPDNMLLDTHQYTIFNTGQ IVLKHADRVNLICNDWYHMIQEINTTDAGWGPTICGEWSAADTDCAPYLNDVGRGTRW EGTFSPGDSTQYCPTADTDEKCSCANANANPATYTDGYKKFLQTYAEAQMSAFETAQG WFYWTWKTERAAQWSYSTAWKGGFMPKKAYEPAFRCGDDVPDFGDLPEYY ACHE_80722A MESSAGSDRHMGGDGTGNIDTTPPVMKGSLRKMLSIPESLRILT DPAQDLFSLPTTFPCGLKLPQLSPDTTERVFPIRSVVSVDSSTPSSTLQTPSLESSER QISPFSEISSTFSTRDRSNSQPITPATDYTSYTSPTTENGMSGDRWGRGILASPGSSN RRDLSLANLQHIQQVDRTIETAHKLRDMLQESRNERAVYPFGALVVLEESEGRLVVHA SSRNTREVIGYTPGELFALESFCNILRQDQKTTFLSHTKSIGEKCYNVEQHGPDVLDL TITTQNEEPKDFWCTIHANKDSTNYIICEIEPQQSSSDRPGDKDYPQSQRTQSKTWVS ATPHEETDDAFEAFDVFRMLGQSKNGFEYSNILNAVSRIIQLTSTAQSVDMLFNRVVR ILKELTGFNRATVYRFDSDWNGIAVADLADPALSEETCEDMHFLGSMFSEDLQTLHSR NKVRLSYNRRQNLPTGLVYRKPDSASQLDTSFCYLSMESPAPLEFLSRRPLEACVSIR INVFGKLWGLVSCKSYAQGARLLPPLQKLCWLISDTLSSNIERLSYTLPFQLVQQNSP REVDKRETVPGVDILSLFGADYAASSIMGETKILGRPFDSGEVLAIVEYMRARKLDTI AWSTDVNKDFQDLHYPPGFRYVSSLLFIPLSADARNFIVFFRTTQWREMTWVGCSNGP EPSCTHEKGHVPCRPDAWSAVDQGKASVLSLIYKTFAEVWQQKETAMQNTQLMKLLLA NCAHEFRTPLNAIINYLEIALDGSLSQETRDNLSRSHSASKSLVYIINDLLDLTNAEN GQSLIKDEVFDLSGTIKEATHIFGEEAKQKAVELNIVQHTDLPRVLGDQRRVRQVIMN LISNAVQHTTHGSVVIETCLTPDTTNSDTIGVEVAVHDTGSGMSQEAVEALFCELEEV SNEDYLQDSKCCRSKVDDTTGTKNVLGLGLALVARIVRTMDGRLTVKSEQGVGSSFKI KLRFPLPSEELAGTSDVGGRELRRQLPAVFEKSDINPAGPKCENECSSRYGETQGQKL SRANIDIAMSTGSSELVHQSVSPEHEQPDSAGTESSIINTPPSKPDTIHKNLSKPRDS VVISSDGVTKSDPDSTEQPAKPSSTAHPEPKSTLHVLVAEDDHINSAIVRKRLEKLGH TVHLTVNGKECATAYQERPDSFDAVLMDIQMPIVDGHGATKLIRDYEESCDRKIPIFA VSASLMEKDKQTYIDSGFDGWIMKPIDFQRLNHLLSGVYTEHIRNGEDSIYIPGKWEN GGWFGKRDIA ACHE_80723S MENQDITPFSPEVNQASSETERHPDTFPYDNDEEMILMQMAEDK DAPPTPGLKAAMEKSQYPSERLGQQQSMPLFMERPKSSGIDVTAFCFAKPSGRNFSVK SSKPSATKPPARDPIQGKPGPTEEPVKQKEGRSDE ACHE_80724S METTLIDVHDHESNCVKPQNEYSQDTKHGGNTRVSISRTDTPMT TVISRPSSSHVPPNDGLRVSKRRRTHSRKSIGRKPSAPRPADNENSQLTEDDLFQILI TRIRQREEDTVAAANLRKQLEATASQLAEENEALRAELEAYGAQLQKKTLDSKTYKAQ MTTWKTKLGKFKHVLNVLGTDYQNLRGESIHLKATRSALDKEGRELRSSIDDIRAQIS KVTTSAGEKKNHILEFETIINTLKNDLKHSDEKARSVENQLHDERKRVMTLESYIQYH SLAQEKQLGLIRADHLGMNQKMDSAFEAMAKLWESSQVAIKSIMSPTMDKCLLAINAL GEKESMDNVEIQKFADTINGFISRMDMVIPQLTKDFERNSEANDRVRTFFKEQLQNIA NRFGADCDLYKHFSAIKEACGSLQKKLEIMGPDVCTLNATILGVEEKESGLMRQIEEF ERSLIEAREIAKQAPTLPENEDCSATVEITSQLEKISEELRIAQDALQTKDTENELVN HSLLRTTEALQEAETRTALYEAEIRSLKQQAQSVESKVREELNRASVIARDQNRARFE QQLHEILKEKEAVEKDLEKTKELLATVQQSQLQNDALMEQHQKEMEFLLLAKEQEVET LRTSSNEKDSKYLAQDTELARLREIESFYTNQQDLLREEISEANQKITTLEAEIALNK VDQLALQELEKKFYELQSELSHKEDERSSIHKELETINAAKSALESGKEKAKGEIHAL LLRVQDSERWMKTIKGKLENFGVSTSTESFPETWRKLEALLQSAIASGFPDPTSNVSL QQVSCMPAVASTPRKGGESPAERFVQTTEVIYRTHNIPRSAYCSPTGRDSFQSGGGNG TIETLPDSQMSTNIVPFSSFQTQLSPVHCSPNQDDHDASDFANILTQIPQKEHLAKES NNLANKDRSERSSSSTTEKDANSVSHWDRTDGSRTNNGHPKTSEVRTPVANPKFKNGA YDPRGINNIGDKHKAVTFEDQKIANTGSKRRTPESSYREIPDRSAEGFERRPVRQNRR TYSRHRQTSPMREQAGQQEDMRVDGELPYNGNKRARVSTGSNYSKPQRQTQDAPEPVE RRLSPASLASGCSRQNTANEDIANKRWAGRSQKRLGRKTRGERYSARFSGNGRAR ACHE_80725A MSKKAKSRTIPVRLISMAMTGFYRTMIRPRTHRPLSMLKYDPVV KKKVLFLEATKGGRAR ACHE_80726A MPNANSILNDLAIRLHRLTRHTNIEADLDKRFSDPHPQFDPKIH VLPNWDELSMDTVDVELEFVREKWNGRLGQWNWERVKDWSVDVGKALEGAGDDESMET RSISERDTQRTDSTTEHSTDEANERSFPKVDTANKHERSESDGDVPVKRRRSEPRAAI GH ACHE_80727A MPAPVQMLEPVVRRLEHDEDYVVNAFERHAAHCPRCEDPIKTFD EDNTLCDRGNQYAIDVDKYIYAINDMAHSVVDRELNQATLVQIPMNCESTRRLLLAVQ YGLPLRRKEVRFEDDRQKEKDNQNHNQHQRQRSESPARPVISYDRTYPIAPRRPASQS QTLSTEVIERSPQRNMKHRVIVYPSSSSSVRSSSSTRGSLYEEDLVDRVDRRRPSPRR NWPIDYHL ACHE_80728A MLLSRSHSSRLSATALIARSAFTSNLMVPRSSFRVTKGSPKHCD TTGDSGKNNRHFFCPDCGSSLYSQPDIMPDITTIKAGTLDNGENGLRGRVDVEVYVKD RVGFVSGVEGARQEDTI ACHE_80729A MPLKGSCMCGFIGYAAESEPLVTALCHCIDCQKWTGGPCTSNVV VPRDSFSITKGTPKHCDVTGKSGKNNRHFFCPECGSSLYTEVEIMADKTIIKAGTLDN GETGLRGHVDVEFYVKDRVGFVPAIEGAKQETTM ACHE_80730A MHLKSLLIAFTATLTTVTASAIPDSVRTLAALEKQDNGSCGQIC NGPDSCLVECTVCDINTSTCVKE ACHE_80731S MAAAITSWVLNPIQSLTMSRPRTRKLWCAVPGNLRRPFSIECIA DQDDIETLMKKIWEEIKEDIKRTTPHYSRLSLYSPVVQLNDEEEFRIDDGEFLHPRRM ITSLFPKSEDPDVDIVVVSGDITTRKRKRSESQSVNISWTHPRTENRLICPRERTVSK LAAILDEVNIVHVRGTPASGKTRLSELLRDYYRKEGRKAFLIKKWEELDSEDPWGSLI ELVKKKNKELEGVSTTSFTVTSSQSEHDLSWVLTSNTVIIVDEAQATYSDDTLWNTIF KERLTPNVYKFRLCLFCSYGSPAAGPDPTFFTPVKFSDEQRISLTPQNQQDSPPIGLF YDKEEFRDVISRLLTFHYEETFNFDEGALEYIFAVTNGHPGAVTSIVDVIYEAYRHDI KRGCISTLTEDHVIWFLEDTATVFDKLRSKPVNRSFPDISRATNGISVILSKITEGSI PFDINDASIKFCYQKGWIHRVALDGGDVAVLPSRLHEKYVEYWIGKMSMPLPARFDSL PKLCKEVLGEFSITILRHSAEGKKISTASQPRPVEAQYQDEFHRGFVHLAGLGVPISS EWSRTKDGRVDFYIPEKKWAIELLRDHNRVDEHISRFKEGGKYHPWLKENMIKDWIII DCATSLPTKEFSEPRLWNAVFINDYSELRLYNHQKALIMSVHLHI ACHE_80732A MLNQVVKAKRLVSNQSRQSQITPVATRSNQTIIHLLQSCIFGQG GVLEQKRIQKVLEAEFYLLILIKDPCGMLQPLLGEWDKNTLLDLHPLGLPVLWKGIQG AVNYLRILDVDVDETSRFLDPVAKRIGQVLLYINYEELCKRPKEYCPPSTSKPTVTHV LNCILDAYTDDPHISMSPQSRRDKISGYHVRGGRWWWRLAGTLGVGMLLIADSSLMSI MCVSQLAYCVAQLTQISRCNNSFTINQINVLATFALNTRSGTIRIFRALELMVKPLMF GRITDDLRQAILDDELGLLGRHELARVYDEDETAVACQRIENSWTAVDAESYAREKMT EFLASMPAM ACHE_80733S MAGKKWLSLSNIRHFHKHPRPDDYRVDPVAPSRKTDLTQLSTTE HDSTTSSAHDHDRVHPIPNKSATSIKPNLLWDRAYDSFREDQPALVEAYEKVLSCELA ATCTTFPVSNIEQNMIERKDTEMRRSQMGQLVDKGLARIEHEANAKQGVGHVVDVALA ANNMISTALKEVG ACHE_80734A MDDEGSADEDDNLPSIDDRDIDTEEEASARALYDAKEKYRELIG RRVLDEARRRYPEGIQRQPREVETSDLEAALEHAMRAADYPVDIILNIRINKKPYVKK SLPNSQRRSFNMEDV ACHE_80735S MRLTDLVPSLASVAFLGTTVSAFGRHAAHEGLLQIPPAFAKDGV VMYPALHPEHDHTDLHHLVPEITKSMHYSQEGHRPALHGSKHAHMEAIFSHHTVILDH SSHIEDVSCTSDHIKVCFKTPEARDTVKKSWKEISSDEFNLATYHINCGHLHGESRSF FRASKPTWQDDCVTVSTTFLDEKEALHGGELSWGTYTSPHLVKRERVKGHARVSKPEQ IVMDGSGGDEVVDLTKNASALHEFFGPVVQVDTDIPDSGTQGGGFMDYEGDLVKRGLF SWLVDAFEALIGLIRTSIEVQIAVIKVCASVMIQYAATAVKLLLVPFGVPFDQEYHVD FPFKFYIPSAFAIGTSIEAAVAKGLSEIEVINRVADLIYAKQGGSIAVNCAHCGTQGH FQFEGKLAFSIATGLSKAQISLINAEPFVIEAVFGITPQGRALPEGHDVPGPDGKKSK KGFRTTASAPIKPIPLGPWSIPTLLTIGPQLVVEPQISAYVDSKVNIEVGPRFTVSTG NVTFDALNPDNNVAYGWEPKFEWVFDANGNIVATGDMALQVGIEMALDVLSSTFKLSA GIYTAPSAYFTAEYSNGQGKKCDNGVELRIGAKNRVYAQLLDKAFEQKGKREFEFPEL TSVFADKGLGCLSSDGWDPDKVEEFQLLKGGVFNTHLGREVSSFFNDMLATDQNRTVT DPDRIETGVKYAHAVKQKNEKGEVRKMPETHGFRVIQDSELKTTLVSGTDGFIYATDS QYDHDISAPWGSIDVTKEQFNYDVFGRVLHFNASRLREDPDINMVELGVSPSEKMPKG LEAASFKAIKTENGTETFAIALNTTRLHPDEAVKEWVWYPTVCQIPHSGLQLYATRYL VTEEGSARTVENAGNAHWIDAGVLIDAWESNREYRSDFDKLGLKHLTKKGRKRHGKKH QFEFDGEGEAKSRGRAGAKKQHKQQKQHGKKVKVEKDRYKRCRTVRLISDLKNRGNGS LVSNAVQDVANVGDAAVGAATGAN ACHE_80736A MSDKHYFPSTNANSLVPRALRALVSANNHLSLNYAERVVGNAFH DDSKVSIISGGGSGHEPAWSGYVGDGLLSAVACGDIFASPSAKQILAAIRMAPSKKGT ILLITNYTGDRLHFGLAAERAKAEGLIENIAVLHATDDVSIGRSKNSHMGRRGLPGLV FTMKIVGAAASEGYSFEQCLALGQAVNGHTVTIASALDHCHVPGRHQKSSVPDNVVVV GAGIHNEPGQLHVSPAPSVEDLIERCLKFLCDDSDTERAFVKFEPHNEIGLLVNNYGG LSALELSALADEIQYQLSSRWNIKPCKALIGAFETSLNAPGFSISLCNLAAAARQSNE SVATLIRHFDCTTTAVSWPNTTRPSPSQRKATFDNLVAKANGQNVASPRIKFDPSLLE KATRASCERAIAAEPKLTQWDMVMGDGDCGEAVQGLAESILQSVDKGCTRSGDLLEVI WSILQNVDDMGGTLGAIFGVLLSALSTALRKNSLEEKISPYTPNFYSTALASAVTSLK SHTGATEGDRTVMDVLLPFSEEFSKTNDFPSAVDVAAKKAEQTKYLKAKFGRATYVGD ASSQQLPDAGAWALYEIVAGLADGLGLDPN ACHE_80737A MAFARKLAILPGGLGGLGSSIGKKLQKQGVRLAILYAPFEASRR DQLLEQGYGGGSNIDEIRTYECDITSPDSVRSAFDSLEKDMVVPDSSFVNERAFPSIL INTAGYVSLSDMEITPPEETMKHLTTNVFGPMLCSQAFARLYFAASKDAESSTSAPAP PPGRIVNISSQAAHAALHRHGAYCASKAALLGLTRSMASEWGGRGITSNTVSPTVAWT VLGQKAWGEDSVKEAFLATIPTGKFALPEEVADSVLFLCQDSSSMVNGADIRVDGGFT IR ACHE_80738A MWRSYENALKLLETRRRKVRPKTPATPSTTQESVTPSGVPTVKG IPSLVGMKEWLQALGHSESDVDNLNIIHITGTKGKGSTCAFTRSFLRTHALRTGFPTR IGLYTSPDLQCIRERIQIDDKPITEDLFTQYFFEVWEALTPLGSGRCAEASRQPRYLQ LLALLAFHTFIRENVDAAIFETHHGGEFDATNVIQRPLVTAITSLGLDHVAQLGPTVE DIAWHKAGIFKPGAPAFTVTQEAGPSEVLRKRAAEKNTGLTFVSSSNILPTNRRVLSV PVQRLNCSLALELATKFLQLKGHALDAGDISKGIDNFSWIGRFEIIEQGSSQWFLDGA HNELSLEQAAEWFAKNVHAAHAPQHRLLIFSHFSEERDGMTLLETLARALVKHDAKPD HVIFTTYQERDDGYTRIDKTLKVPETPFPDLCTIYSSLWKKMHPDTEISTEPTIQGAI RLAEQISNQKGGIQAFFTGSLHLVGGALSLLRR ACHE_80739A MPADYQKVGSSNRKMTGEDRQGLTVLHDPTIHTSVGEKGVPLGK AVFDLIAIHGLNGDAYDTWTHKDTKVMWLKDLLPEAIPNIRIMTFGYNARFKNFTAQQ DLRSISCKLLAELVDLRTTHEEETRPIVFICHSLGGIVAKKAMLVGCSEEQEQVQRSV HGILFLGTPHNGSSLAGMGKLLANIVSACSPIRPPRVLLGSLQKDSEVLLEITEDFIK RRNKVRLVSFYELEFTPIGPFMKKLVVEKRSAVLHVSQEITIPQYSDHRNIVRFKSLQ DRTFRPVLCRLKDLLGELDHESTRRAESSPRTEAAIPYDVPILPCSSFRGRDNVLGSM KAYFAEDQGKDRRRRSFALCGLGGSGKTQTTLHFVVQNFTTYRTGVAFLNAASMASLV ADFGRLHDLLQLGGGKDKVRSVKTWLSRPENSQWLLVFDNADDLNVVPIHRYFPAVNW GHIIITSRDQAVIGSLAEEGHVLDPLTTDDATQLLLERSGIRYPSQIEKEEASKIASL LGSLPLALVQAGAFVRSRQKTLQDYRKLFMNRRNDLLRFSPLLGGTDRTIFTAWEINF KQLEQDSSDARNLLLLFSFLEPSCIPESVLHRGSSPQRRWGDNGEVVEIRPEGEGVDI CLSNIIQGDLEFDMAIEKLLSFSLISCNKESDGFRNFSIHPLVQYCVAQRLPPIEVSK WRWQALLLICHAFPRNKYIEPQNGEIGRLMMSQLSRVLSEYDAMCLEKGEQASFRHEL ASTLLAASRFSDSQWKSEAICRTKQLLKQDNEPYINAWLAYRESAVMRMSGMLQNSES TLHGFLHHFALPSKEDPQMTPRYNAQRGELVISFSENLIRQGRLSEAKAELIEWTPLS KDCSTLETIISRARDIILGKVLRFQGRFREALALLDGVIQGCHLDDFFEGTGWYRVLL SEVADLYCELDRPSDAERLLLQELTPMMEKGTQDIATGRRLRMSLAESYLQRKMYAEA ESLLVDLRQAFLSSGAPDYTAKVNIFRIWVSLARALHGQSRWQEALSSWRQALSALDS IKLSGGLNAGLVRCSIAHALLMMGHEEDSARTSQEAKANMASESRVYWIPLFNSRWHD FILEKLQKH ACHE_80740S MTTFSAEISDHESNDQTDGNMGDNIHSGGTTTPVPQDLVAVIAG LQRQLQQMEERRIEDLRRLKEELTSPPREPLPQPTIEEIAPQPMADPVRRPRPKLTDP EVFDGRNRSLYRPFRSKLRAKLEVDKEALGNAYDRMWYAFGRLTDGAAMQVLPWMERF AKKGATESQLDGMLDQMDFIFLDRNLEEKAVRDLASLKQNNKPFTVFLTEFNRLLMEA DGHNWPENTKRSYLDNALNREMNTRLETVEKKNGFEDYCRQLQQIADRMEKNQLRYSR NNKHTTSTSPAHPVNTTRASSPPQDMDWEPTTTTSARSQPRRVAKHVSREEMERRRQE RRCLRCGDSTHFISHCPYDSPRNSTRMARSHIHGPELEDEEEQLREQPKLGKE ACHE_80741S MAVSCMSLGGAWIEIYADACEALAASDCAFADHWGVRFHRDQGV TTPGSKRKQGPPPPESSDEANLATPMPALHASKRRRSAHIVRRSTRLMQAGGQEDDEQ GEPSIRQNGTVENVMGSE ACHE_80742A MAAAVLLQRPASIETISDNIDAVNTLRGNTQSNDTLYNQPKFDK NKGKTNFPQFEDGYEGVKAFYAKQHNLQTVAYNLKAPNDFKNKTRAYTNVWNAMEKLS TFIDECDPDTWESQMTHLLQTAEALRADGKP ACHE_80743A MPVSCFGNGFIQSLRRRLRKKSPDKTDENPSEDCLPSPEMSLVT QAAPQELEDLWMGAEWRLKQNETTKNILTASAEILQIHFGLNVQTEGITGRELCGFLD TKNRELEENKWVVHFGGHKIKVEQQLTRAFQNVLMVKDVFTTAASASPPAAIACAGVT VGLLFVVQAFEQHECLLQGIELTSALIPRLHVMKDSYAHSDEGSNVQLKEKFKENLLS LYSKILEFQARALCYLYKHTISRAFRNMFKQDAWDDLLKDIKRLEDSAKSFTSLIHNA DTKQRLDEIQASVDQFQIWKFTSTRDERMKKFFKQLYTCPYRDRKDRNDKRVPGTCNW FTSHDRFHNWEQSDHSSLLWVSADPGCGKSVLAKYLVDELLPNSTRTVCYFFFKDDFS DQKSASNAFAAILRQLFMVQPHLLSDSVMDKLDTDGDKLIGSFSDLWNILMTVATGEN VCEIICVLDALDECQADDRMQLIEAVREFYLADAKRHNLKFLITSRPYDHIRRGFHKL EAQLPTIHLSGEGEVEVQKISREIDLVIEQRVRDISAPRNLKPDQLESLRRELMSKSK SQRTYLWVSLTLNVIENMTEFTNGEIRKVIHHVPQNVNEAYNKILNRGPNREKAKRLL HIITAARRPLSLKEVSVALAFSAGAHHSIEDICDHIETDDQRIQATIRDLCGLFLVVV DSNVYLLHQTAKEFLVRNNSAEPISSQIEGWEHSLLPEMSHQILAEACTLYLVQENIR EGPTCTFLDYAAQNWVSHFRAASIQSKDPVMKRGLILCEPESRIYETWSYKNKTIRWG PAQNASSLIIASYLGLAAVVHQLLEVGKVDVDSEDSDGRTPLSYAAEIGHEAVVKLLL STGKVDVDLRDFNGRTPLSYAAEIGHEAFRLSSTAQNGQEAVVKLLLSTGKVDVDSED SDGRTPLSYAAQNGHEAVVKLLPDTGKVDVDSKDSDGQTPLSYAARNGHEVVVKLLLD TGKVDVDSKDSDGRTPLSYAAEIGHKVVMKLLLSTGKVDVDSKDSNGLTPLSYAAQNG HEAFWLSSTARNGHEAVVKLLLDTGKVDVDSKDSNGRTPLSYAARNGHEAVAKLLLDT GKVDMNSKDSDGRTPLSYAARNGNEAFWLSSAARNGHETVVKLLLDMGKVDVDSKDSD IRTPLSYAAQNGHEAVVKLLLDTGKVDVDLRDFNGRTPLSYAAEIGHQAFWLSSAARN GHETVAKLLLDTGKVDMNSKDSDGRTPLSYAARNGNEAFWLSSAARNGHEAVVKLLLD TGKVDVDSKDSNGRTPLSYAARNGHEAVAKLLLSTGKVDVDSKDSDGRTPLSYAARNG HEAVVKLLLDTRKVDVDSKDSDGRTPLSYAAWNGHEAVAKLLLDTGKVDMNSKDSDGR TPLSYAARNGNEAFWLSSTARNGHEAVVKLLLDTGKVDVDSKDSNGRTPLSYAARNGH EAVAKLLLDTGKVDMNSKDSDGRTPLSYAARNGNEAFWLSSAAWNGHETVVKLLLSTG KVDVDSEDSDGRTPLSYAAQNGHEAVVKLLLDTGKVDVDSKDSDGRTPLSYTAWNGHE AFWLSSTAGNGQEAVVKLLLDTEEVDVDSKDSNGRTPLSYAAEIGHKVVMKLLLSTGK VDVDSKDADGRTPLSYAARYKRGSLMKGKKLSLFSSRKLKAFAARNEHEGVVKLLLDT GKVDVNSKDSDGRTPLSYAAGNGSRAIVKLLSDAKERGISVANNQQVNTSTN ACHE_80744S MGKSREPTTPKRPPKRPRPETPKKAIEPPVIPQNLLWPGQLNQN TPPESPPHGSPQSQLDLELQSHITAAVASRTAQIETTGDEVTELVSMVNQKIAKWEER SLKRAASLGKDIRTLVLNFSSNLATGHPAKAENHQPPPSKHNSHAEAAGASPGAPKTP PKVTTQAPLQITTT ACHE_80745S MPDPKEYTVGWICAKSTEHVAAQAFLDEKHEGPEYVSPNDNNDY TLGKIGKHNVVIAVFPDGEYGISSATSVAKDMLHSFPNVRIGLMVGVGGGAPSPKHDI RLGDIVVSAPRDGKGGVFQYDFGKTIQHQNFRPTGFLNQAPVALRAAVNGLKSQYESE GHQLEEAINGILEKKPRLRKKYKRPDPGSDKLYRSGFVHTLDNEASCAVVCGDDPSDL ILRHERTEGEDNPAIHYGLIASANQLMKDASIRDRLAAEKDVLCFEMEAAGLMNHFPC LVIRGICNYADSHKNKDWEGYAAMTAAAYAKDLLYRIAPNRVEAEKRITEILPVVLDT VSRAGANVEAMSSRLTRKEDLEILNWLARTDYGLRQSDYIKRRQAGTGQWLLESAEYQ DWLNSDKHTLFCPGIPGAGKTILTAVVINDLTMRRFSNQTIGLAYIYCNFRWKDEQKA EDLLASILKQLARCQSSLPESVKKLYKQHENRRTRPSLDELSRTLQFVAAAYSRVFFV VDALDECQASDDCREVFLSQLFDLQKKCLVNIFATARPIPEITEKFNGTTLEIRAHES DMRRYLEGRIS ACHE_80746S MKTFEGFYRNLHSFPELSGQEERTSTTAAEYLNSLDFEVHTHIG GYGVAGIFRNGDGPTVLLRADMDALPMEEETGVPYASTRVMKDRNGVERPVAHACGHD FHVTALVAAASLLHSAKSEWSGTLVCVFQPSEEELNGAKGMIEDGLYEKVPKPDVVLA QHVLKMRAGTVSVKSGRLLTAADAFDVRIYGRGGHGSAPHTTVDPILIGASIVMRLQG IVSREVAPGETAVVSCGSIQSGHASNIIPSVLDLKLNVRTYDENVRKNVIASIKRIIE AECHAGRAVKDARVECSTSSPATINDDEMVEQLKGTFGSYFKENMVEPEPATASEDFS LLATAVGAPYVMWTFGGIDEKKWDEAEANETLSELPGNHSPYFAPALQPTLRTGVDAM AIGALTFLKRKT ACHE_80747A MTGPSTMKAWLYTSTTGGLEKNLTLNPSARTPVPAPSQLLIQVL SASINPADYKVPEMPIVPRVLVSTPASPGMDFAGRIVSTGAEVSEFEAGQLVYGTTGI PTQFGSLGEYMVVGKDNVAALPEDVEPDQASTVGVAAQTAYQSLVPYVSKGDRVFING GSGGCGIFAIQFAKVVGCHVTTTCSSRNVQFCKDLGADEVIDYTAENVVGVLKGQGQV YEHVIDHIGLPENLYSESDSFLRPGKAFVQVGAVSLSIFVNRLVWPGFLGGGKRKYVI FMMKHKKEDITQIGQWMQQGNVRAVIDSTYEFEDAVKAFEKLRTQRSKGKIIIHVSE ACHE_80748S MGCIGLRKLLFGKKPPPENNNQPANTVVANGAAANQPAQEDTVN VVDDSPGKEKLTRGESLWDQAYKQLDQGLVNEYEKLLAEQRERIDDSSKNATNEKNET NRQQNLDIIIEHGLERAQSQKTDIQEGLAQAAGWVLSVKDFIGEAVKASPEASMAWAG VCIVLPLLTNPHSATEANKSGFTYVTGRIRYYVELERILWSTSSQNSDHAGLKEQLNA NIVTLYQHILQFQVKSVLRFHSSWKRTFVGDIFQPENWSGMVSSIKDLEKIVQQDAAT ISNEVSRQHINQVEENTRHNFESMQKLLPLAQRHLEVDIEKLDIQRQIYEKSLSDDQR KCRQLFRLARNDKDSSYESYKSRVADRLEGTCEWFLEQPHLGQWLEDDKGLLIVSADP GCGKSVLAKHLIDNVLPQSNPSATICYFFFKDGEQNTQKQAICALLHQIFTSKPHLIE LAMKNFRDNGEKLVNVSSALWDIFSQVINDPAMGQTIFVLDALDECKESELIDLTDKI KSIQNDGEARARFFLTSRPYENIISQFRELIKNSPHIHIPGENESDKITQEVNIVIKH RVGLLAQKKDLSADIQSYLEERLLQMNHRTYLWVHLVFDYLEKQHFKKAKTGIDEVFI DKLPKNVNDSYAKILSRSGDIGMARKAFCIMLAASRPLTLTEMNIAVNLDRESDELDL ESDEDFQVSLQSWCGLLLSVNDKKVFFLHQTAREFLVQDNGFGLSSEHGPINTKEAQG VLAVSCITYIDKFMPQVDQTQSADPTSIDLNRAFSKYSAENWHPHIQAARLEDDERLE PCLSRICDPKSDVYASWSELTYLVANPPADERDLRNTLWMAANLGITSVVKRILQHEK EIEDAEDYWIGRKIANAAASSGNVEVVKLLFECGKIRWDVKDNNDESPFFSAIMSEDA ASVRYLLDNGKFDINHTCYDDTPLIFAVSYSSDEIVKMLSIQRASMSIDATTKGIQR ACHE_80749S MPFKTVALSAALTPTVISTWFSHYLNRKSLHNTPSVHISYDEGI HIMREFLVFASKQPVEDLQEFSRQWVPSPHWVRTEPASIPDTYLESAAKTLIAQLGPK GIKAVGGQEWWQWRGPAEDLRGEWIEMRNDYNERKKVGEEKYKGRNRIILYVHGGAYF FGSVDTHRYQMQRHARKLKGRVFARYASPGGLV ACHE_80750S MPKQDSQAQDTAKKGYTSRDSTDGHEHAYPGQQKDEEGAEKAEA GSVSINVDGKTIEIKDQIHMYTTNRLLSHPLVSPALQPSLGGLPPLLVLTGGGEMLRD EQIYLAHKAAHPTAYPPGDVYLDEYDPNGETLSKYPPTCVQLQVWDNLCHVAPTLSFS RPAKYMFRSISQFGAWAFAHAQNTEIEILVDNDPAISSPEDHTSSSSASSISGDTEKN APRHAVGSIGKAGDPLPDFENHMIRQRVDKRGHVYPLDPPSSFEALQIPASQVGAFNP VLVKRWLAAKQEWDDKFAKEKLRVQKQRMAELYYQFQNFKDETPPPSSLAARREAPGV LPPRRERKSYLMMLWSHWASKHDKRLVGRVGKEGGETKSVVDTGQIGASRASSSSDEG AKPALPEKSAEPVSGGAQPTAITGPKGITIDADAANETLAEQRKTRPTSELNRSDSTS NQPGSPMTYKSSLDRSLGSPMLVLPDYDGKRNTPTEENASTRALFHAKGALPASASDT SLSKISKSHRPTSSYTGSLAPTEDANSTIADEKSLAVTNTTGGGGAAYDNASTRALRN STGVVGAIPLASGSGPNSARQSIDALSRTASDIDVVSSVGGADEERNSMAGANGRPKM PERDVFRTADEF ACHE_80751A MMQRRLFSTFRVLQHDNPLGLPRSGTPPSLRSRRGLPEKRKIRD VKKVIAVSSAKGGVGKSTVATNLALSFARRGIRTGILDTDIFGPSIPTLLNLSGQPRL DESDRLLPLTNYGLKSMSMGYLLPSPEQDPAADINTSQTPMDTTPISWRGLMVTKAMH QLLHSVSWGPLDVLFLDLPPGTGDVQLTINQEIILDGAVIVTTPQDIALRDAVRGFGL FSKMDVPVLGMVRNMAFFACPNCGHQTRIFSHGEHHHHGDDPDDSGVVAQCKRLGVQF LGDIPLDAKVCEDADKGVPTMVSEEGEERSVRRQAFLDVAEKIAGKVGLSW ACHE_80752S MAPRSKSLAEQFADLDDPTPKDFDPEDLDHAKDGQSDDEESGAE EDGDAGREHYQAVGKSKLRKPEPINLGKQYAGSKVSREALEQDSDDDPFKARSSDEDD EDDDDEKEDVDLEDGEDGSQEESDEEVEKFKAKAPAKKTKQKQEQKSESEDEDEDEVD GMETDGSEDIEDEDGLEDEDSEDGSEDEDDDDDDDDEEDENEDDRKPKGDDREELRQL MATDQKSIAASISQAAKADAVKGNAVKQQRKTFDALLNTRIKLQKGLTAVNQLSVTAK DADDVDTEAIKSAESAALALWSTLEDLRYALADAQSGESKKRKRPSPVSPTTSSASLW QRMTDLESDAVAHRRTVLDKWSLKVRGSSTSLPNARGKLLGSGAAGQQTITAVLDAQL ASEAGERSAKRARNANGTATEGEPIYDDSIFYQTLLRELVEQRISSDSITNGLDTLHI QLPSKLSLHPVTGMRNDKNRRANVDTRASKGRKMRFDVHEKLQNFMAPEDRGTWTTRA RDEFFASLLGRTASGMLGEGDEDDVSAEESDEDREEGGLRLFRN ACHE_80753S MARLLLLLLAMVALIVAQDRPSGVGPAVMGTPSTALTTAVPTTS STRTTLSTEISTSATETSSSTGGATHTIKVGPRGNPHQYVPHSVNATVGDYIVFEFYP RNHSVVQAKYLEPCVPAAKNIFYSGPFNKFNEDDGFLDGPAPTWTLKVNNTEPTFFYC TAIDSCNVNGMVGAINPNETMTWEEQNKYALDSPIQLVPGEPVPAEGNDNTSSDSSSS LSSKLSGGAIAGIVVGAVAFVAILMALFFVLGRNRVYKQWMSSQDGRAERTARWAFFN NSTSAPATASSEVRKSEYDLAFQPTTADHQSGMFAASPEMIHAVAAAPRYPCARHGSP PQQSMPVAGHWSWDLTAHQQQQQYPPPPPPPPVELDSESRK ACHE_80754A MASPRPPHAFGPQGYPLPNGAAVPTPNPAPGAAPLLPNNGRIIQ NGPVRILCIADVRGNLRSLNELAKQARADHIIHTGDFGFYDDTSLDRIADKTLKHVAQ YSPLLPENVKRSIAQAPHQQSIKQRFSQEQLPLSELSMLLDKRLTLDVPVYTVWGACE DVRVLEKFRSGEYKVDKLHIIDEANSRLLDIGGVKLRLLGLGGAVVMHKLFDNGEGKT TIAGGQGTMWTTLLQMGELIDTANRVYDPSETRIFVTHASPAREGMLNQLSVTLKADF SVSAGLHFRYGSSYNEFSVNPSLDHYRGKLAASKASFNDVWETVRNEVEAAISSNEAQ KTLLDNALDVVQRMPTIANGGNPFGGPSAAGAAAGQVDESAFKNMWNFNLADAAFGFL VLEIEGGRIATEMRAQGFNFAHRTGKPVPAAQLPAGAAPANVARGPGGPPQFGQVPRP GGPAQPQPPAAQPQPQQPPQQPQQQGPTKGQPVPVIPKPATPQPPAATQPAAAPSEKP ATGEANGTAQPEKPSESPISKGDKKQSNGLFVSNVENEQAVRDLFTEEDKAKILKIEK WGKYNNHVVTFTTIEEARAALDRQPTEHRKPTPAGQPRKPNVKFFEDRSHRGGAGQWQ GSNRGTNSQRGYQSGGASDSEGGRGRGGFGRGRGRGGDRGGRSGRGRGGFNKGGAPSS ESPAPTSTPSGDKGDA ACHE_80755A MQLKLPDTLGLGSLFAMALSLFSGAEQRRLVTHQPAQPPSYPLA VRSPYLSAWMPSDQVQTLPYAEPQFWAGQSLTWSVMARVDGQAYSLMSVKNPGDTNIR PAVVRSAEYTATHSVFTLSAGKVVFTLDFFSPVSPSDYLRQSLPFSYLTVSVAGASSN DIQIYSSIDGRWTGKPSGTVRSFEQSGSTAIYSLAVENASLYAEDSDMATWGQAILAS RPTSSSTLSAGSGKRGELRSQFVRNGKLAGNDGPWFRGGIVALAHDLGKVTGQQSVNF AVGYVREQAISYLGKAYTGYYRANYPLTSQAVSHFLDDYPGALAESQILDSAMTAQAK KAAGQKYADIVTLSTRQAYGAIDVTIPDDTKDPHDVLAFIKELSSNGNLNTVDVIMPA FPIYYVLDPDYIRLLLEPMMRYLAAGRWRWPYTIHDMGAHYPNATGHDDQKAEPMPIE ECGNLMVLALAYVQATGDTNWTSRYQDVIQPYADYLVDNSVNIAEQLSSNDAAGPLAN ETNLAIKAAVGLKAFGELTGLKEYSIIGDERATLFFNQGLGTDSKKTHFVLQYPEQPD SWKIPYNIYPDVLFNLGTFPKAAYEMSNTFFKSVRSEYGVPLDNRQDWAKSDWNMWLA GTFDKSTRDEFVDDLWAFMTNGQHNWPFSDRYIATSALGNTPGVPVLCRARPTVGGHF ALMALQGPKSLQQAMLSAEREEYNDLYFFEVKGEEL ACHE_80756A MAIQKLKVGMAGLGRVGKLHATNFLHRTPRADLVAAFTPDQSEL AWGKENLEPYGVTLYDNYLKMLEHPGLQAVAIGTATSVHAEETMQAIDKDLHVLCEKP LSTDVEVCRQVVRKAQSKPHLKVMCGFSRRFDESYRDAYEKASKGLIGRPAIVRSQTC DKLDPSGFYVEYAAWSGGVFVDMSVHDIDLTLWFFGDDSVPKSISAHGLRAVQPELAK YNDFDNAVGIIEFHNGKIAYYYCTRMMAHGQEDTTEVIGTEGKLTINGNPQKNFVNLY HTAGITREVPHNFMGRFGPAFVQESNEFTAACLDNTPLPINLNNAVKAVEIGAYLQEA LVTGRQIQFDESGKRIEKSQL ACHE_80757S MRNYIENMALWADITDPQRHFEVDVPVRAVKEPVLRSAIFAFSS RHIDRQRAGDTAEALQYHNHCLQMLIPALSGAQENITDVILAAVAILREHEEMDWEDN QFHLTGTTHILNSVSSFGSSGGLGEAAAWLCLREDIYVSLTAQKPLRTNLQNFIHSDI FQRDDDFAWASRMVFLLCKVLKCAFTYEASTAYLALVHDVEQEVEGWFNTKPHTFNPI RFIPRTQRRDQRFPVIWMLLPVHVIGLQYYHVAKIVLAVSASPSPAVGYENLKHLRNI ERTIRNHLLVVLGLARSNTKAENALFTARHSLVSWGWVLRNKFDQEAAEILLRDMEVT TGWNMTGLIQTLREQWNDEDSNH ACHE_80758S MPITSADATEPQALQVILDGLRELFEKAQAGDVGSWEHMNGVTE ELLKALEFKKDDDPWWHNMKRAHAKSKEGLEDLKRSLGDGAGI ACHE_80759A MADVASLEAEVKEFKLQLETVQSSLQVDPDNSELQSLKAELEEL INLTETSIAELKPSAPPAPAAKPPPPPPTKEKWSKEDHPAYQAGYRKPTVEQAEEPPA PASFSVNENVLARWVSGDNSFYPARITSITGSTSNPVYIVSFKSYATVESLSAKDLKP ISNNDSRKRKADATSGNLSSQSPVIQPANSSVISAAADINPALANQARKEPSKVGDGP ARPAKVPRKVKATRELEEGKNKWKDFASKSKIGKKDSMFRTGESVNARVGFTGSGQTM RKDPGRSRHVYRQLEDEGY ACHE_80760S MTDYNSLYQQGLYLSPDQQDLLLAALSSNNPSQKQQNKTPQTKT EPNGTPNRASAGSVNVSPSAGNDPLGNQSGGLGYGDDESPFLDFNPDADFDFQGSESL IGDIPGSVPPSDDYEPGDKRKDMDGKSENENEESGGKKRRESDGQAKKPGRKPLTSEP TSKRKAQNRAAQRAFRERKEKHLKDLETKVDELQKSSDNTTQENGLLRAQVERLQVEL KEYRKRLSWLTRGNGISAMSAIPGAYSRNMQGLNNNEFLFDFPEFGDLPGSHIFNNGQ SRPTDAQSMSGRSVPSVPGVLNRDALNMSRVNGGHQSPASQHTSRSESGTPKGSVHDQ TAAKPGSTHHVKKSTTHDTSNSDSPCSSSDSHHSQGPSSKGTSPEPSPAAEKPDSNHV HACGIDGESSFCAQLGLACGNINNPIPAVRNQSVSATNTPHEQPGQSEDAGLDLMAQQ NGGQFDPVLFGDWREPQDAILSQDFGTFFDDAFPLPDLGSPSHNYNEVMDPSAHKKNP VAGLDKLDDDEVVPGEDQSQMLSCNKIWDRLQSMEKFRNGEIDVDNLCSELRTKARCS EGGVVVNERDVTDIMGRAK ACHE_80761A MDKQTDHYFLLRYTDAGGTMNPFFSSTPAPGYTQLHNQPSPDTD TLSTWEIINSPMSASTQSTNTTSPQLPSVAQDQATKTTTETKPKQITEAQPPRYNDIS GAVIIDWDGSPRFLSPQEEQERQTALENAVREKMLGLPRKTEFAWARPDVSIEAGLPA YSPGEKEMGKGDGDV ACHE_80762A MPDLATLYQKAETGDVKSLYNYCRYYIDHLSVTIEPSTEVFVRS DNTFIMRDQSTRTLLCGEVSETEDLETMKEKLSRALFKEIGAREEACTAFAFAATMVG GLKGAFLEVVRYPDGGVWIGVEGPLELKGQDFGVQDALKQVLERCSFREE ACHE_80763S MSRNVCITSVEGNTGFVIAELLLSDENFSKAVSSVSGLTLNPSA ERCKDLTQLGATIVPHQPGRVKNVAASIKGTNADTVCVIPPASKHKIDVTAELIEAAK MADVPNIVFLSAAGCDLAERDKQPRLREFVDLEALFMGAKGDASSRTGECPVIIRAGF YAENLLSYAHQAKEEGALPLPIGQQHKFAPVALGDVALLAAHVLSGKGSHGLDDKHRG QLMTITGPILLNGPELAKAASEALKADMKFEDISDREAKEVLRKHTDVDDTEIQFLVE YYSLVREGKTNYVATTAFRDVTMGEEPQKPHEFFKIYEEEFAPNKKAAKKRKVDGK ACHE_80764S MSSEIPLPKMPWIQLGELDRASTLTPPPALFPESTTAQQRAAMR FGVNGNAVFTGGTGMLALASARALLEHGLSGIALFDLPTAIEKGQSAIETLRKDFPSA KILAEPCDVTDAGGMRETVQKVRDQLGDLTILCCFAGMVNCVAAEDLSIEQWRRVIDV NTTGSWIAAQTVGKHMISSGRGGKIVLVSSISGHRVNYPQPQIAYNVSKSAVLHMRDS LAAEWTRYGIRVNSISPGYMDTVLNEGEDLAPWRAIWADRNPMRRMGSPQEMTGPVVF LCSDIGGSYVNGTDLVVDGGGLVF ACHE_80765S MRGLRFIPIVGVLLPSSSLALAEGETKIHEEGRCAIRGHCGKQS FFGGELPCPDNGLAEEPEAPVRKKLVDLCGSKWEEGPVCCLGEQVDALASNLKIAESI IASCPACRENFYDIFCTFTCSPDQSLFVNVTETEKASSGKYLVTEIENVWSEEYQSGF YDSCKNVKNGASGGKAIDFIGGGARNYAQFLKFLGDKKLLGSPFQINYRTEPSNDGQG MKALPMKPKSCNDPDEAFRCSCVDCPEVCKPLPEVDTVHYCHVGLLPCLSFSVILVYS VFLLLIVVVSSYFTYREHRYRKPERVRLLQDPAPSDDEDEGDIVHAGGYLEQPRGVYK LNSALGALFSRIGGACARFPAITIVTSIIVVGLMSLGWLRFAVETDPVRLWVSPSSPA AQEKVYFDENFGPFYRAEQAFLVNDSAPNGHGRILNYDTLSWWFDVESRVRRMISLDR ELILDDVCFKPTGDACVVQSVTGYFGGTIWNLDPDTWEERLRHCLDSPGDVSCLPDFS QPLRPEMILGGYEQTGDPFDAQALVATWVVNNHAQGSEGEAKAMDWENSFKRIFEVVQ EEANERGLRVSFNAEISLEQELNKSTNTDAKIVVISYIIMFIYASLALGSVTVTWMSL FSNPANALVQSKFTLGIVGIAIVLMSVSASVGLFSVTGVKATLIIAEVIPFLVLAVGV DNIFLIVHEFERINVSHPDEEIDERVARAAGRIGPSIFLSALTETVAFALGVFVGMPA VKNFAAYAAGAVFINAILQITMFISVLALNQRRVESLRADCLPCLTVRKANSSGMPDE PLYEDQEAESGLQRFIRKVYAPILLERRVKAVVVIAFLGLLTTGLALIPKLPLGLDQR IALPSDSYLIQYFNDLDDYFGTGQPVYFVTRDVNVTKRSHQQQLCGRFTSCDEYSLPF VLEQESKRPNVSYIAGSVASWIDDFFYWLNPQQDCCKENGKVCFEDRAIPWNISLYGM PEGDEFVHYVQKWIESPTDASCPLGGKAPYSNALVIDPKRVTTSATHFRTSHTPLRTQ DDYIQSYISARRIADGISKEHKIDVFPYAKSYIFFDQYVSIVQLTGTLLGSAVAIIFA ITSAILGSIATGVVVTTTVVMIVVDIIGTMAIAGVSLNAVSLVNLVICVGIGVEFCAH IARAFMFPSRTIMAKVPTKFRGKDARAWTALVNVGGSVFSGITVTKLLGVCVLAFTRS KIFEIYYFRVWLALVVFAAIHALIFLPVALSYFGGEGRFSFPLADLIESADDIGYADP GSDGGLEENLASRGYRSLLVDDDYDSEEY ACHE_80766S MDQQRFLQQLQVVLNPTQGNVKEATGILQREYYNQPQSLVLLIQ VATGHEDPNLRQLAAVEARSLVTKHWVKVDASQKPQIREQLVRSTLGEGSSLVRHSVA RIISAVAKIDLNDGEWADLPNFLVQAAGSANVEERVVGTYILFTILETLGDGFEEKYQ ELFNLFSKTIRDPESEEVRVNTLLALSKIAMNLDTDEDEAPVKAFQEFIPPMVAVLKD SIDKQEEDRVMQAFEVFQTLLGCDPAILTVHLKDLVVFMNELATNTEVDEDTRTQAIS FLMQTVQYRKMKVQGMRLGEQLTRSAMQIVTELSDSPSLEDDITPARSALGLLDMLAQ SLPPSQVVVPLLQTLGSYFNNTDPNYRRAGIMALGMCVEGAPDFISTQMKEIFPMVLQ LLADPEPKVRQASLHAVARLADDLAEDLSAEHEKLMPLLFQNLASAMQEYKGEEDGPT VDIMKAGISAIDSVVDGLDEKEVAPYQGELVPILHNLFKHPDFRIKGLAAGALGSLAS SAGDAFLPFFDESMHLLQEFATVKNSEEELDLRASVTDAMGEMAGSAGPERYQPYVGP LMQATEEALHLGHSRLKESTYIFWGAMAKVYVEHFSAFLDGVVKGLFACIEQDETDLD VSLGEAGKDLIGQEVTIGGRKVKVASADDDDEVAEDGSIEDIDPEDEDEDAWDDITAT TPLSLEKEIAVEVIGDLVTHTKSAYLPYFEKTVEMVMPLAEHPYEGVRKSTISTLHRS YAMLFAIAEETGQMAKWQPGLPLQVEPAKEVKKFGEILMTATIKMWSEEDDRATVADI NRNMAENLRYCGPSLIANETTLHNVIQMVTDIINKQHPSQIEFGEDEFAAPEETSEFD WVVVDTALDVVSGMAAALGQSFAELWKVFEKTVLRYASSSESLERATAVGVLAECING MGPAVTQYSGTFLKLLVHRLSDEDPQTRSNAAYAAGRLLEHSNDAGIVKEIPTILSRL ENCLQMNVSRLQDNATGCLSRMILRHREHVPIKEVLPVLINILPLKNDYEENDPLFRM ICQMYKWEDPTIRELTPQFLPIFQAVLSDEEALEDERRAELIELVKWLNQAQPGCAPW ADQL ACHE_80767A MSDRGLNPAHADPSYTTDRSPTPATAAGSDEQQARKRTARACDS CYKRKIKCDAAVPQCNWCSHHNIPCTFERVIQRRRKDRGNGPGPQKTARLSERLSRIE KLLMENFSGESPPGGSPTNSGPSLMEEVVTTPPDHAPASSSVALHFAGRQFGVNSLIT GIPFLLPEGQQWVEQRTGQSIAFEKLSPARPPWEKQRALNSSALLMSLQTQNPFELPS QSVVDIYFDLYRGALMRLIFPVLDFVLFRETIKAAYQPQSSSRFYRPASARACIFSFI ALASILHPPGLNQTPAHVPPVDSEAFAVKAQCLLPQVLQESASLDGLQTAVMLAVFDL VTGNLRSANYFVSLAARFIYMLGAHTYSHQPTTSPAAGPESPEDRIQRHLRNVFWLCY IIDKDIALRTGQPVALSDENCDLTLPPGYVEQQYMVVPHPQDGQNLPNHPLFPVDLRL GIIKSRAYSSLYSFKAHQKTDAELLKEIRELDDELERWRMSVPVEWRPTLSFSHERPD PTVSMHSVMLRLNYHLCMTIIHQASSRCKAWAMGQGQGAMTEGVRSSLALSVEASRST LLYLETAEHVLMDGVFWHLIFYPMSALLAIFCSVLQTPSDPQATKDLALLRAAMGMME RVFLRQLSSVNEVIHIRLVADFVSELHRLAKCAVEKALDERYA ACHE_80768S MSLSLSPAIHMPSTPPQTCARCRKPKPAEAFKSLNSTKAPLLKT CLPCRMYFRKHYSSKTGLPRSPRQSVHPQEDQEKRQRHGTDDSALGPRPSPSGPFDWG KNDSENQYLVSQSQVQPGPERNSDSSRAAQSEQANSIVNQVGDPSLSLFHLPQIDPDR SCPIDGCTSCFIYSIRV ACHE_80769A MPHPPIVIPPVTKTCPTCRQTKPEDQFRRVGTSTAVKTCLACRD RYRQGRKRLSDESVVVFDGTAPLPTNTNTTNTFYQPIAPRPTHSAPPNGEDHGTQNVF QVEPPKRKKTNRKKKDHDEESQLMDIGSLVLGPMGPSFELLPSLQSNSRCHSRENRSE TRERVSTVDSTMSQSWGSSSRPQVAEREVRISTIDLTDPEHQLQSRGSWSVPPRPLTD EGGSGVRSIVLGPMGPSFAVLPGLQSKPRSRENGSGAWETVDLTGPEPRSRGAYSRPL PLRPQEHGSESRKQQAGSVGIVSARYGSQSQSSSRSMLLRLQTEREQRVRTVDSSEPE PQSQGSSTQPLPSRPQERSSETQERQVRTVESTELDHQPRNSSSRPLQPRSPTNDGDL ERNY ACHE_80770A MPQVKRAPQPKQVQAQKQQKQPLLKQFTTFTRTTPGFERSLRLI QALSQIASDLSTHNAVTATRWETAKSQIALTRRYFRFLNFIDLFDRVYTLLVHGGDGD NAGAVSTMLDLGKSSALGVYVLLEDLTILHAMEIYPQTPWSIDIQTQAYKFWFWGLAL SIIGAMWSLLFSSGSSQSKENENENEEGKGDTSGSKTFVKRVIVDGCDLMIPGSSLGW ISMGSDMVGMLMVLSTVVSVGDIWRRANGLN ACHE_80771S MSKGRGRQRVDRKARAAANGYNRGAHREQDKERCEIIYSDDSIA MQDRVISVYIDWASEEDESLREKDLQKGYPCPTSPRSKVLCAFTYPLPTGFSRCVLQN LPSELCGTVLCRIHTHYIGYGERFTLLANDLGGLGVLYILPDGDSETLWTKELPKSPT RQARVSILQKLRESGICEEANGRSLHDLAESEVEGVSKPLKDAVQRDMSRSLSRTPFS AAREPSCTGTQSAEIMSSNAPNNFEQTAIINSLANRAEPTCTQSTPDALAQNAICAPT HYEPSSIHIPDANIAAGSLATESHQSSYSLDESQATIDAASLEFHLNGALPPGNHPGL GQQAIQQRINVSPQTGFFHVLQAFQGATLPTGYTDATEAISTGFLDAPGQFWDAMQDT SRWINAQANQRTTWVENTQLSSDNTGIDGYHNVYQAVQPSSEALPDLTVIHFGAKAYR WNLWTG ACHE_80772S MKVDDEKLRPQETPPSSSPTRQKASTFSRVFASPRKRKELEMKQ QLASQQQKSRDVNAPVWQRYRLSRR ACHE_80773S MRDSTTEVDVKFALKPAFRALMYPAMWFFMLLCVSYGVATTSTG QFLPRIVHQLGYSAVQTNLHLMAPDLTGCVITLLVAWLSDHYKERGTFLCLGLSFTMI GYIMASALDPIAQTGACYAEKGFLSSNKQGGHRTTGRRTGASEKLPSFAQPLAGVLKL ARGSAWD ACHE_80774S MLSASQDLTASVRPSMYSTIAWEWNTVPQARILRLLQAVLQCPE LASNIQHVSILSSSQYVLTEEWKNDPRDGQDGPLWNQYLESFSDVVEQSQSIVDKAQF PEALKWNEALQKGNSYAYVTILLSRLHNLKSLQLDYSFVWKSGFPGLMMKHALFSTPK AVLSSFNSLTAIDYGSNVPLSEEFDPIFNIFDELNGYPPCDPNQFMAWFHLPSVQSIS IWLRSFQDVITGEDQGNLSKLHTLVIARATIKEEDVPSFLSQMTTLKSLHLGMAYRWH SEFALASCSNILEGLESISDTLEKLSLGVEYYPFSKGYYDFGTDEDEQARIEFDGFLK QFPRLRSAEVPITLLVGLDSDESDEIGDRLPDTLEELCLQWDNSEINGFWEFESQLHD CVRYLLDDQRTHSPHLKRVTIRQRMLHPIDREIFAKERAELKEVCTEAGIDLEVVFDY LSPGLWTQNNTWCE ACHE_80775S MILSQICTILPLLVSLALAGDLNVKRDMSVAATKGYKDGQFVWT SIVDLEKKEKVLPEEMVKIAHEASKEMQADFGSVPEAKQPSIMTAMEIDNRIYLASSM KGDYSFIYDFKTKDKGGKSTGSGEDRNNVQHKNDASCGELMASYTYLLKNSGQSLKNK KPKQTIAWLYTKTKDGKIVEQAHNPCGTKENAWGCDLFCHHMDFTPINVDTEEACDLP KIANTEQQQLMTKELRAQLGAMNKETDEANKEKQKEKNKKKQGDEGKNKGQKKEEDDK KGKGGKKARFWSV ACHE_80776A MAPPLCDPENYKDATFAFYRFAPSVEANIIFVILFGISALLHTF QMWKTKTWYLWPLVAKRLDTSEES ACHE_80777S MGRLGYRKSKNGCLRCKRRVKVRDRFSYDVEKGDRLGLMAWHQC DEQVPCTACKRHKVECSLERPGRGKPLETSLTGPPKHQMLSKPNNVHSLPVSGQSPEA NSFPETCTTVGFSELCLLDLELMHHFTTNTCMMGPHVLDPSVFRDELPRLGLRYPYLL HQLLALSAFHCAYLRTKSRERYLFHGSEHQAHAIAGMRLVLAGKMTEETSFALFMTSV LLMTSSFASHLKYQHNQAMPPLAGMLEIMALVRGLSAIKATTHAELQFNVLDKLKHRN GSQPCWKALDPFKT ACHE_80778A MSTVELASSFIEGAPPGELPDVVADVKALTSEEGDLIPSLAPAF ERYNETQLTTVKLPGASQEVIVSGFNKLEGNRYFDVESQTSFEVDHVTQEASDAQSYV LESQNADLIKSLLKSLSAHATEHYPNCSYGVYPTENDSSVAILLVANRYSPNNFWNGR FRAIYTMPVSEPTTVTGKIHVDVHYYEDGNVALNTTKPVSVSISSLSAETIISRIGAA ERDYQETLNRAFVQMAEEAFKNLRRQLPITRQKVEWEKVGGYRLGQDISGGKGR ACHE_80779A MTPPTTPSTLSHTLPAKPFESKLSEQLSQSQSADAGTGNTTDNI RNNTNNQLGGPLLGSPRLHSLPDVPKPVSISQQQSVSGESSTVHSASSKDDSSLSSTP ATPQRPPLNPHNLALNLPPRLSGSPATAHRAPLSPKLDSSQIYSSRYGSPGSVLPRRS RGLDFSRACTNLHHSTLAESSPESSPTIGARGVAIPQRRSSPSTASAAPFSTSGPVDR TGVSSSVSSVNMMDSDTSTSDEEDDEPMGGDKDDMMLSTPQANKTGTGPSPFAVGNVP SPGNDWMGGYSHAAASLLSFQRARFRKGRSRTRHSSSSASGSSKQSPGPLSPPVMKSI ENPHGGYFGGSKHGVQPRRESLSMGTRDLRLSDLSDDGERARSRSPARGDGGPLGVIR RAVTRRGSLLPKTKTFARIRAALIEESSPLDSESKRESEVIRQVRESDPAPQKSPDLN SSFPPQPTESERTLPGADDTPSKTTTRLENPFNEQFTRISESTGYWNSLDDRYRTPPP TIRPTGPPSVSEDDLAMDMTPSTSTFEFAKPFERPCSRGSLAQPTAMQEFKRKRGRED DFDPNLFKRRAVSPSMSAQSSPVMPNSPAMRDTGPNIWGPPPKSNLGSLFPDSNPRNS NSPHTGTLKRVGLQGMTEANDSLMNMSIE ACHE_80780A MPRRKAADRVGPVKTRTHSGCKECRASHVRCDTKKPLCTRCQQK GLPCSTQLVLKWESDFASRGLAFGRSGVWSKSRGQGKQSPRSLVEEQQWCSIPVVQPW AFVNSGVSMFEQPHQVDVASDELNTLVVYDHAQKSQLMNDLEWTLPLEVANYGYATPQ LTAPVSLFPNLTEPGQGRLFEYYLQQVCPRTTASSKSSSPFASVILPFCMSASPTIFK AIQALGACHWSRFDSNYSAIALRCKSAALRDLRYTLATKGSLTCSTDPEVLVTMMMLC LYEIVDNCDQHWTIHLKGAKELIRLKRQQQGALSRNGGSQDPVSAFAELFFAFQDVMG RTACGEEVLFGNDYWSENDQNIDLWMGCSPELVSILSSITEMSRTRRQLPSKSARDSF TLRAASLEHRLEHLVQEIGVDHGDNGDDETLQSAAELKRLAAVLYLHCALYGASPSTT LVVEYVRNIFRLVSDLLNQGSLVSMTWPVFVAAVELDPFDDELWLNVDSDSTVYGRPL VLRALAAMAESSVSNVARTKAVIAKVWQARDDDMIKGSPTDTSRDPWKCNDWEWYVAP ISTAMSLA ACHE_80781S MTVSKSSSLLIIGAGTWGSSTALHLARRGYTNVTVLDPYPVPSA ISAGNDVNKIISSGQYSTNKDEIEINDLLARQAFEGWNNDSLFKPYYHDTGLLMSAST SAGLDRLGVKVRPEDDSNLVELSKPEDFRQLAPSGVLRGDFPNWRGYFHRSGAGWAHA RNALVAAVKEAQRLGVKFVTGMPQGKVITLIFENNDVKGAVTADGNIWRAEQTFMCAG ASAGQFLDFQQQLRPTAWTLVHIALSPEERALYKNLPVVFNIEKGFFFEPDEENGEIK ICDEHPGYTNMKKSADGTLQSIPFERTQVPKESEARVRALLSETMPQLANRPFSFARI CWCADTPNREFIIDRHPHHPSLILGCGASGRGFKYLPSIGGIIVDALEGKVPQKIHDL VKWDPEIGKNRNWKDTLGRFGGPNRVMDFHDVKEWTNIKPRDISKL ACHE_80782A MPRNGSAAGSAQTGVGGALSRFSRRSYAVDHIALGCLVAGWVLI QLFAHPFHRMFILDNKSIQFPFAVVERVSVVWSIVYAGLCPLLIFILWAAMFRPGSHK VHVTVLGFFVTLILTSFLTDVIKNVVGRPRPDFISRCIPRKGTPGDILVAWTVCTQTN DHILQEGWRSFPSGHSSFSFAGLGYLAYFFSGQMHVFRPRADLGRCLLAFVPMLCALM VAISRLNDYRHDIYDVTCGSILGILVSYFSYRRYYPPLCSVKCDIPHDRKDADGFSRL AADEEQQLDDMEGETYRLGEQETSH ACHE_80783S MAETGQQRALTTAFAPPPPLWKHFNTDNIKRLEEIKKEASKGED GKIQAKKWTPTELRALDLPPELRYLVPPEIPTTGHYSVFGELQNLSTALPSLEEQGIE QLYPSLSTEEAAPKSPSKPSQPFNHAYYLLKISKSLLLNFLEFVGVLSVAPEQFQSKV EDLRNLFINAHHLLNLYRPHQARESLIMMMEEQLDRTREEIREMDKVKAEIEATLERL KAEGIDADAALEFRNGGKPSTADESTEESQLVWGLMNE ACHE_80784S MTNPKVVILDDYQNLAPRHFAHLSSRIDLAHFPHTLNPRDAAQR DALIQRLQPYNVIVTMRERTPFPAEVVNALPNLKLLLTESTRNLALDLQACADRGILV AGTTGRPAGLHSTVQHTWALILGLARHIARDDAAVKRGGWQGNQLATNVSGKTLGILG LGKLGAQTARIAILAFGMRVIAWSTNLTQEKADEQAQAQGLPNGSFEAVSSKPEFFRQ ADVVSLHNVLSERSRGIVGKTELETMKPTALLVNTSRGPLIDEAALLEALSAGQIRGA ALDVFDTEPLPVDSPWRTTAWGQDGRSEVVLSPHMGYGEEEQLNGWYEELAENLRRWL DGEEVQARMN ACHE_80785S MSPMAVETTSTTTTTPAIKTHPDLLYVHRSPKAFASAAISQTDL PAGALFTKITTATPAPKAYTSVQTGPDTHIELNSDLVFINHSCTPSLVFDMHNMEVRV VDDRPLKKGDALTFFYPSSEWDMGQPFECACGAGEGKCLGRISGAKDMSEEALKGYWL NPHIEQMKRSQRV ACHE_80786A MKQVIGVPFTGTLSQSNGSVEETKIIQRLIEASKIPLDDPGMSV RDKKKVMESVLEPAMNLLKTLLQERVKIYLKSIAKRLLNPKIPLTWSATSNNCQIFCN SLIDMDLFEPLASSERELYLMSFVCPQEGYLRNKVHTKYDVPSGLTEEFSSFPLWLPR ACHE_80787S MIFSRLIGLAAVSVGLAHATNLTGYEYVVVGSGAGGGPLAARLA LAGHKTLLIEAGDDQGMTQNYSIPAYSARSSEDEALAWNFFARHYADDERQARDFKTT YETPDGGEYTGLDPPEGSKVKGTLYPRTGTLGGCTAHNALIAVYPHQSDFEYIASLTG DNSWSPENMRKYFTRLEKNNYLLPGAKGHGDDGWLTTETAPLSIVLEDPQLLSMLTGG AFALGNLTDNIMNIGTLLAGDANADTKTRDTEPGYYQIPISTDDAHRNGAREFIVAVR DATNEDGSKKYPLDVRMNCHVTKVTFDESEDPPRATGVEFLDGQYLYKASPRSSKTSS KGTPGSAKASREVIVAGGVYNSPQLLKLSGVGPAKELKKFDIPVISDLPGVGTNLQDH YEISVQAHSPKNFSCLDGCTFNIYDRDDPCVDRWESPVLGDRGIYSSPGLAATMFYKS SVSADDSFDIFAFGGPVNFRGYFPDYSINATVDHDWFTWAILKAHPRNHAGTVTLRSS DPLDVPDITFNYFDTGVGDYEADLQALYEAIELARDAFDRQPVEVTEVLPGKDVTSKE DIQDYVKDSAWGHHASCTCPIGTDDDPMAVLNSKFQVRGVSGLRVVDASVYPRIPGTF TAVSTYMVAEKAADVILGEL ACHE_80788A MARPERSKDSDLEASENGLERTEKHLRQSDQLLALFPILQGKSP EELQRLNRTVLRKLDWQFLPCVTMMLLMSYLDRINVSNARLAGMQSDLHMSDTVWSAG ISLFYVGYIISQVPANVLIARGKPSILFPSIMLVWSAVTICMPALSSGWAFCLCRFLV GFAEGPFIPAVSLLTSSWYTRKESPLRMGIWHAGNIISNVFSSLLAAAILTNMNGIAD LRAWQWFILLEGIVSVLVAVTAFWFIPNFPNNTGRRWFTEEEAAMAQYRQVISAGGIL EDDEGNGNVWSGVLLAIKDPFTAFFSAIHFSLIIAQSFKDFFPSIVDTLGFTEVVTYL IQAPPYVIAYFATLVISWSSGRTLEHCWHIVGPILVSLAGAVLMISTLNVGARYLSLV LLCTGPFVGLNVSMPIFFISKPEGSHRRSHSHYSDSDIMGDNCGPTTKDKAGGPDSHR KLCLFSVTLVYTVLLPSLTRASIPNGWGNNHCWVWIEHHLLYGHPVLVY ACHE_80789S MGTIQPQSSLNINNPFRTRILNGQITPLMSIKFITGNEIPMMCK MAGVYAVFIDMEHSSLDIRTIAQLILACNYAGVSPVVRSPSKLHWHISRILDAGAAAV VVPHVETIQEVKDIVRHAKFAPLGTRGCTNNQPVMNFQHVPTILQNAILNEQTMVIPM IETPGAVDIADEIFAVDGVDGVLVGSNDLCTDLGIPGKYDNELYQDAVSKVITAANRA NKPVGIGGIGSRLDILEKWFKMGATWSLSGQDASMIQAGLKKMSKEYAEIDERLQKQS SAQ ACHE_80790A MSTSIWELTTVCIGMCIEQGLHKSPRRKVKGKISFLHEQLQRRV FWECYMIDRYSSVTLDRPAAVADEDIHVCFPADANDEEIDAANSSGAFADLDSFCQAT TTGSAPESNTEMSVFLLCLRLRKITSKIQKRFRQKVERATGATNQVSTDSMTTSGKIH ASLDELLSELEEWRRSAPMFTNPRSLYETQEWYDLLLIREKLLLVRKAVDLVPKHSNN IPPQDLVSLCLEYSIRTITQFSSMFERKQVTYTRSYFQMLFTAGLSVMYCISVITNNE SETIMHAAKALQQGEKTLKEMGKDLPDALHYVAVYEALRVNVLGKLSITTEQYQAAAV HNSDLDSHDIPGVDHLQQQQHLVHSSDSWYRLSNEPMLDEQQPVSTSLIPWGNLLEDS TFWGMESGLGEYAYGDPMLLFSNITEDNG ACHE_80791S MALGVVEVPRSVARLAPSPKPTVYALDSFPTRSIEHAKNLFNLI QPHDEEFKNWRQNARALLIRGSYITADDIASCPNLVAIGKHGVGIDKIDQDACKRRGI KILNTPGANARDVAELVAALALSVARGIRSITTRQMFKPVPKETCNGLVLYQRTVGII GMGNIGRTVAEIFRGGFDAEIVAYDAYMPDDAWSHIPHVRARSADEVLVSADVLSIHV PLTQETRGMISYRQILTMKPDAILINAARGGIVNEADLIKALSEGRLWGAGLDCHEQE PPSHEKYGELWKNLNVISTPHIGAATSRAQLASAMAAVDNLYSYLSNL ACHE_80792A MNFYPVPPVIQAKIYVRIPNTLRCTGQPTEWRGGSAVPTSEIFL EGPTYVTNGDLYLVDVPYGRILKVNVARQVTECARWDGEPNGLAVRKDGCLVVADYKQ GLLLFNPSTNSISPLLTRRNLERFKGPNDLIVSSTHDVYFTDQGQTGMTEQTGCVYRL SPTGKLDCLVSNGISPNGLALSPDERFLYVAMTRSNAVWRLPLHADGTTTKAGLFFQS FGCAGPDGLAVDEEGNLFICHPSLGSVFVVDADGIPKARIATARGGGKNLTNCTFGGP DGKTLFITDSMEGNVQYVQWHCRGVPEAKMAKTKT ACHE_80793S MTMSKLRRLPHDHSAIQAELAGMDAQILHEMEAVSDATMWDLLK ETFVPVENRRRFFLIFMATIFSQWSGANAITQYSPTIFGYLGISGDESTFLATGIYGV VKFVSTLAFALFIVDIIGRRQSLLTGISLQLATLIFVGAYLGVTKDMAIAEIEATRSA SRASVAAIVAIFLHAVAWSIGWFSIPYLVGSEVFPVRIRSLNVSISMAFHWAFYFACS RAMPSLLAGTQKWGAFAFFASICLISFVYVYFAMPDTTGRSLEDLDNLFQRPWYSVYK VAYPPAYDDQTKGNSENEEQD ACHE_80795S MSSSTTGNDKDFREQLGKFRLDNIASSLPRPPPPPSASPANPLP PSSHPLADQQPLPELVRGSSSLIPDPPANTTTTTQNVPITSYHQSPSSSVSAASVARS KRVSTACDFCRKRKKKCDFRYPNCSACTRAGVRCTIPPPGPQVANASVPRDQLENLQK RVQWLEDIVRRKTGITAAELATGSSVDGEGDPDWWYQVPAMVATGDTRPATAGASVSS PSTTHTPSAAEGSSTGGPTVSESLGTGLPNVGEIFRDQLEHRRPSVARPLASSPRVLK LPSLEEAEKVAGNYFDSMGYQYPFLHRVEFLSQLRRLYSGEVPSPEFHYSYHITIAIA LLIKSADDTQTAEFYRASQETLPLALQNEDLPAVRALLSLALYTLFATTGPSVWHVLG STMRLATSLGLHKARPSANVVEEEMSKRAFWSLYNLDRLIAVTLGRPLGIADEDITVD LPREFNDDWTEAPGASAMTIPLQVVRLRRIFSRIYRYLYNNQPPPSTHEVIMTLSHFR HEVDEWRMQAPVYPTALLYSTSYYDYLYYTTLLLMYRPSPRNPTSDATSIISCGDSSI QVIRSYWDSYSVGKIKWIWLTLSQLYFAGITILWGLEQNSRAFRQGQPPLWQPDEQMM RRAIQAVIVLLEQFGKRRPGVDRLAETFRHQSTMIFSQIAYQQQQQQVQQHQQQQAVQ QQHYQLQAAPTPPPPRLTVPLAPPPSTVPLAPVLDDVLLVDGTGNTVIDPQLAEQLFY SYDWFQEEMATYYTL ACHE_80794A MPDADSLSGKEKKHQHSVQDPPYEANSSEIEILSDGDARRILPY EASDSPFPEVRAVVQPVDNVTLPVNTVRMWTIGVIFTIVGSGLNQFFSLRQPSVTISA LVAQLLAFPVGCAWAKWLPVGWWNPDRHFNIKEHALITIMANVSFGSAAATQVIEAMV KFYNFPSQGGFEILLCITTQLFGFGLAGMAARWLVGPATMIWPQVLSNAALLQTLHSK ANTLADGWRISRLRFFLIVFIVGGVWYFAPGYLFTGLSTFSFICWIVPNNVVVNQLFG QQTGLGMSVLTFDWAQVVYANQSPLLVPFWAGLNVMGSFALFFWLICPILYYTNTWYS AYLPMLNSNTFDNTGNSYNTSRVMTHSGTIDQEAYRNYSPMFLPAGYALTYGVAFANL TGIFVHIALYHGKDLWDQWRGRNKKDIHARLIAAYRDVPWWWFVAITILMFVLSIVTN EVWHTGLPAWAVVVAYVLPMLYIVPVGIIKAVTNISSNQLNLLTEFIGGYAFLGRPVA NMAFKFYGYVAVSQGLEFMADMKLGHYLHIAPRTVFLTQGLATLIGAVVQCGVTVFMI TRIDGVCTSDASGGFTCPHGRVTYSSSLIWGALGPGRNFSPGQIYGNLLWFFLVGPVV VVITYLLGRKWKSLNYLSWPVAFGAMSLVPPATGISFSSWWLINVIFNGWLKMRKPAW WSKYNYVLSAALDCGVAVATVIIFFCITLPAGSLSWWGNTVYSNTADGKGTPWKGLPE RGFFGPEVWH ACHE_80796S MGESHLEHTPSLPFAQRPIVILGAGIIGCTTARQLLLNGFPVVL VAEYLPGDQNIHYASAWAGAAWHAAGGISPEQRYFQAVTHRHLLKMAQEDPTSGVCIV DTRELLEQAPPENSSLWGQHVVSKFRHLKKDEFPSHFNCGWAYDTLVTDPTLHMPYLG KQITERGGQFIRKKVNSLQDLYQMFPESSIFINASGIGSKELDDVKDDKCFPERGQNV FYKTDKCHMMYFRNGKQYTYVIPRPMSKGVVLGGVKQQDNLSPEVDMAIAQDEISRAH ILAPEIVPENPPEDSISHIIGIRPSRQGGFRLDCEKVGQRTILSAYGFGGGGYAFSYG VADALSKMVERAERENVIL ACHE_80797S MVPNNQLLRLTIGNREPVTVAMATPPPEEDLYLGKRHEEDYEEA HREQHEKDYSSRTHECLTRRRDDPLARIYRLTPIPTILLDASLRVVEVSNSHLEIFQK CRDALLDKCIYEISSYAIPSPDIVTLSGALQAAVTSRDVQTIGGIHLPDTNSYFSLRL IPIFDGPELIYMVLEIQNATTEHAESRACSKQTYINESYRTLLDTVKDYAIFMLDTRG NITTWNSGATVLNGYSADEIIGRHFSIFYSDEDCIRQKPEKELEVCLRDGKVEDEGWR YRRDGSRFWANVLVTPIHQFGHHVGFAKVTRDLTERKAAEARLIAAFEESSKLKSDFL ANMSHEIRTPMNGMLLALTMLMSTELNQQQQEYASIIEDSTSILLQVINDVLDYSKLS SGAFSLHSDIVNARTIIDAVVRNCNPLLKPGVELISDLPDDFPQYLKGDPLRFRQVLQ NLVGNAVKFTEQGSVKIHTSFSVDEEKRDAYNILTEVIDTGIGVPADSINTLFTPFTR FADSCTKKYQGTGLGLSICKGLAELMNGTVGFRPNPDGRGSIFWVTARMNRTDEVDSA RAAGLASEETFDPTEEIRKIAPQKHILLVEDNMVNQMVMRKLLNSVGFERVDVAWDGA QAVRMVKQRPLSYDVVLMDVSMPVLSGLEATSRIRELHIDVPIIALTGNALKGDAETY LANGMNDYLAKPIHRQQLLRMLWKWIV ACHE_80798S MKSSLLLLGAMAAHVLAAPASSSHNYVVHERRDALPASWSEERR LDRSASLPMRIGLTQSNLDRGHDLLMEVSHPGSSRYGQYYTAEEIHDLFAPSKETVDS VRDWLENMGIAGHRISQSTNKQWLQFDADAEEVESLLNTEYYVYEHQETGKNHVACRE YHVPKHVQRHIDFIKPGVNLLEVRGVKRANETEESGLQKRTGLLPPILRTLGLPLAEL LGNLLGLCDVAITPPCIEAMYNMTKGDKATKGNELGIFEDLGDIYNQDDLDLFFSSVY PTIPDGTHPELKAIDGAKAPSNSSLTAGAESNLDFQISYPIIWPQNSILFQTDDLVYE KNYTYDGFLNNFLDAIDGSYCSEKSDLDPPYPHNVDGGYKGKLQCGVYKPTNVISISY GGTEASLPISYQRRQCHEFMKLGMQGVSVVVASGDSGVEGRGGDPRPSNCMGDDDKVF APDFPATCPYLTTTGGTYLPPLADPKKDQEEAVTKFPSGGGFSNIYKRADYQKKAVED YFSKYDPGHKYYESVDNSSFGANGGIYNRAGRAYPDVAAVADNVLVFSGGLPSLVSGT SAAAPVFAAMLTRINEERLAKGKSTVGFVNPLLYENPDVFNDIVKGDNSGCGVTAFKA VPGWDPVTGLGTPNYPKLLDLFMDQP ACHE_80799A MQTREWTLTNKPTALPTISGPNPTFTLNTISLPTLQPGQVLIKP LYLSNDPGMRPWISANADPKRQYLPPIHEGEAMRTAAIVRVVESTSEKLPVDTLVFAF TEWRELSIRDEAECQPLAPIPGLDIAHFLGALGTPAITAYYGLKEIVKVQPGETVVIS GAAGATGSMGVQIATKLLGCRVIGIAGTDDKCAWVRALGAEECINYRSESFEEDLIKA TEDYVEVYFDNVGGAILDLMLTRLKRFGRIAACGTISTYNSTEQTYKHLFEIIQNRLS MHGFFAYDYLPHLPATTELLVQAWKDGKIILDDEMQTIVDAGFEDVPGVWLRLFEGNN TGKLCTKLV ACHE_80800A MSLGRKVTLNSGVEIPQLGFGTWQSAPGQVGEAVYQALKAGYRH LDLAVVYQNQREVGEGLKRAFKDVPGLKREDIFITSKLWNSQHHPDDVEKALNDCLAE LELDYLDLYLIHWPVAFKRGSELFPLAAENDCAIDNDISIVDTWKAMTKLPKEKARTI GVSNFSIDHLEALIQGTGVVPAVNQIERHPLLQSNALIRYCDQKKIHVTAYSAFGNNM IGEPLLITRDEVKEVAESASKRLGKTVSPSQVVLAWSQEGGHSVIPKSVTPHRIVENF QEIELTPEEIEKVSKIGETPRRYNIPYVANSPRWNINVFGEAEETPATYKVNVGVAA ACHE_80802A MAEVAPQFKNLSLSVDGVDLSVAVVQGPGDPTLAPIVCLHGFGS SKEDYYDFAHHSPFQNRPFLAYDAPGCGETECSDLSRISIPFLVRTAEAVLDRVGITT FHLIGHSMGGLTALYLAHKHPDRVRSFVDIEGNLAPEDCFLSRQIITHDDPQLHPEQF FHNFIQRTRRSPAFPMRCTRRICGTRCVPGRCGVSLVPW ACHE_80801S MENAVSSILRAYQRQTREYYWNNVAFILTLSASPARSHCKEPYR DRRQPLVKHGVFFLSPSFLCSFLLLCRFLLLLFISCFSPWRGNPIFNCTIMSVDPVTP AEYPPWHDQDEEKDLKEHFDPTAQDAFGNEEEAEVKYKVLSWWQCGLLMVAETISLGV LSLPAAVATLGLVPALIILVGIGVIATYTGYLMGQFKLRYPFVTSMADAGEVLMGRFG REFLGIAQLLFIIFFMASHLVTFSVAFNVLTDHGTCTIVFGVVGLIISLICSLPRTLN NVSWLSMASFTSITAAVIICMVSLGVQHPGGMPIKAVVQSDLVTAFSAVTNIIFAYIG HVAYFGIMAELKDPRDFTKALSLLQVLDIIIYIIVAAVTYNYAGDGVSSPALGSTSPI MQKVAYGIALPTIIIAGVVFCHVGCKYIYLRIFAGSDRMHKRDFVATGSWVAINLIMW VIAWIIAEAVPVFDSLLSLISSIFGSWFSFGLPAFFWLYMNRGEYTASWKKMILTVLN LGCVGIAGLICGLGLYTSGKSIHDNPSSDSFSCKNNS ACHE_80803A MTLLMLFLAVLAVCQCVVADSCQNTTISSSSDTDLFVSCDKIEG TLTVSESFGGVLNLTEISQIQGDLIVTNVGGITKINLPALEKVEGTVTITDNEGLKNL TLSGLQSVSGALTVRGNNGLSYVNLQNLEDVEGGLGLVGGFSVSLPNINEVNGDTTIQ GADMSCGVFDRLAADGVFTGSYSCTASSSGLSPGAKAGIAIAVILIVCIIAVAIWFFL RRRRAAAASVSENSDVEKTEYHIFSNTKSILRLPRKPFPPKQTSEAVPMLDGRMILEA TPGERHERRPVYELDAGPQSSHQRPINHE ACHE_80804A MVLKAVLSLSARHDAIMSGSSDVEASEYHGQCLELLIAVLAQPE GYDDNLLITVVILRFYEELENITDEKYHLLGSNRLLNTMSKSASSGGLAEAVSWQFLR QAIYASVVQYQPMSLDLENYEHSTVFQRSDDAAYANVIIFLCARVIQLCARTDTEVMD EAAWHQIAHSVEHWHRARPISWQPLRYRSADPAENRPFPELWMMSPPAVVGLQYYHAS CIFLTSSDGHWQTVSDYELARLRRVDEVSIVSATSVTPTDSIENNRITFGYGDRPCPV ERNGRKRILHGVPLGTSM ACHE_80805S MNIRIKYASLGDKVAEVIGLGLNDSHDEVPAQELVEASGDATPL TEGGEMAVDTTAGPQSDTIGGVTRPESFIGAKSGISKLGSGKLGGSSGTGSSAGRGFG DSALHSDDSSAGSWMNVTERSAHVLV ACHE_80806A MSSFPTSIRPSQDEVRNRQLTPRNLEIAIRSLYHDGLVVVEDAI PHDVLDHLNAKMVQDARSLQGKKENSPYNYNPGNIQQDPPPVRDYFNTDIFLNPIATQ ITTTALGPRPKWTFCSGNSAMPPTEEHPPMPQPVHSDADFDHPTHPFAYVVNIPLITM TPENGSTELWLGTHKDSGLHVQVGMHGERASGRIKADALERQRAIRPPSQPIVPKGSF VLRDLRLWHAGIGNQTDVVRVMLAMIHFAPWYRNRMQLELSDSLRPVIEGQKDLDIPV TWVSESEALSRYLNRGFGNEYDFSQGS ACHE_80807S MILILREARKVTKFKTRLEWHRLDSTVLWAMATFSVLIVGGSVA GLALANMLERYGIEYTLIEKHEVIAPQLGASFTMLPQAARILDQLGCFGKIRTLGTPV NDGGLFGPGGNPLNIDPNAGDNLEALLGYKMWAVERRQLVDILYQNLADKSRIHTSCG ALKLDCLEHGVRVETQNGRVFTGSIVVGADGVHSRIRQEMWRIADTESDDIRIKKDQS AIKSTYSCIFGISEDLDQSGITSTSRTCFQGRNYLYQRSAHGKLYWFAFSKNVEKGRD IPRYTDEDTERAISRFGDDVLQPGITLRKLYEHRTHAVLLPLEEYVLSRCYYRRIILI GDSLHKIHPLSGQGGASALESAAYLANKLKKLTYNEKFPSDEQIQAAFADFEQNRRSR TESLMNDGHGLARLESLDNAFLRFLMLHIVSRISEGYLQSMLAEACAPGVSLEYLPLP SKRGIVPYEDELHIRPQRRKVHSTVIWTSTFILLGLVQFVAFRETPAANRVLVSQASP EANWAWNSEYQSRDALPPLQMYTAISAIAVNALWCLESYRSQFLIGPLCSAVPFILLA SFTGWNVAMPVYFALYILLSHRKAFYYPSPRAIELPAAKALPIALVLVYPIAIYRAFA EASMNASEISSGGFRFQPLLVAHASLPFTVTLVKEIFKRATEAPKEPNGIWGNADIPH VSRYQDLIFFTVSAVHLLFIATFPLHSSPQIPHYKYATDEAVIQFVFSGLYIKLWLLF TVWDLRRVRATNISWKKAVLYITLGTFMVGPAGCLVAAWKWRERTLGRLRTRKGETKT KSELKAV ACHE_80808A MNRPNQRTVRLDKFFGQVLYGKKALTTSDNVKLFVEAILEQSNR STCIERLIASPEARAAIHNGLRFDLSPAFINQTTASFIRYLSDPVVKQLCNGQFLQQL LTTIVEPRTIWNAFMHAFQTRKLSEDSTYAFAWMLFELLSLPPSSQIDLTEDANIVVS DGSLQQSSLPDIRALGHKIEHILRVRSSSAPLDPEFAPGGRHDNDFAEFWKIAIYPTA GEFTSTEKPFYRRADEIANIPAETRITAHLDNQFRLLREDMISELRDDIQIARGQKKG RRSFTLLRRLSLRGARCREGKRFKPFALSLACEKGLESLSGLTHDQKKQYLKDNPQFL RHHSFGCLTRHGEIIAFGTIERDIDTLSLDPPQVVLQILGEAALKETLLALKLYNDVD FLMVDAPVFAYEPILKCLQSKTDLALAKELLQHNKGDPAASSALISSSILHQITAAGI TDLQHILKTKKPVSLETSQHESFLAGLKQSVSLIQGPPGTGKSFVGALLTKAFHEHTN EKIVVMCYTNHALDQFLEDLLDIGINKEAIVRLGSKSSSQTLELTLPKQRIPYRRGRS SWDVINSLESEASNLEDNLTGAVDALQNFAVNTGAILEFLEFEDTVFYEAFIPPKQDD GMSVVGAHGKAVNSHYLFGTWAQGQHPGALVKQLPRESQQVWDIEPEARKGHINKWKK ALLEEQVLNTQAIAQQFDTIQDRLGKMWNERTLTVLKTKRIIGCTTTGAAMYSEDLRQ VEPGIVVLEEAGEILESHVLTAMGSETKQLVLIGDHQQLRPKINNYALSVEKGHGYDL NRSLFERLVVAGYPHSTLAKQHRMCPEISSLVKHLTYPDLLDDPKTLNRPSPRGLQDR VIFLDHRNPEEQFGAISDRRDEGAKGSKRNIFEVEIVLKIVKYLGQQGYGTDKLVVLT PYLGQLHLLRDKLKKENDPVLNDLDSYDLVRAGLLSNAGAQHRKRPIRLSTIDNYQGE ESDIVIVSLTRSNDNGDIGFMAAPQRLNVLLSRARDVLIMVGNSEAFVKSRKGKELWT SFTNHMDANHHLYDGLPVRCERHGRQAVLKTKEDFDTECPDGGCPLPCGAMLNCGVHS CPSKCHQLSDHSKMICYNIVDSTCPRGHRATQPCFQSGMICRYCVAEDETKEKRRQRD MKLEAERERRQSQYARELAELQEEIGHQRRLRREEYESQQRQKIIDQYRAELAKLKSG KEGSMSDISVNINGDEHCPGFNGFADRKNHHEHERNGDPGGKADKNGASEPAKSDAQA DWDFQKQFEGAQSTEIDTLMGMIGLEGVKEKFLSIKAQVDTATRQNVDLKNERFGTVL VGNPGTGKTTVARLYASFLASVGVLPGNTFEETTGSRLANDGVSGCEKIINKILNNGG GVLFIDEAYQLTEGMSAGTNVIDYLLAEVENLTGKIVVVLAGYRSRMEKFLGHNPGLP SRFPHELRFDDYNDDELRQILKYRMNKKYNGQMKIEGGLDGLYSRIVSRRVGRGRGKE GFANARAVENASARIAERQAKRLVKERRSQRTVDNMLLTKEDLIGPEPSQALENCSAW KKLRDMIGLQSVKDTLRALLDSIQDNYRRELEEKTLVDFTLNKVFLGSPGTGKTSVAK LYGQILVDLGMLSSGEVVVKTPADFVGSVLGESEKNTKGVLASTVGKVLVIDEAYGLA GGGGRNGSGASTDPYRSSVVDTIVAEVQSTPGDDRCVLLLGYQDQMMEMFQNVNPGLG RRFPMDSAFIFEDFTDDELGQVLDLKLKQQAFETTAQGRKVALEVLARARNRPNFGNA GEIDILLNGAKVRHQQRLSAERASGRLSTNTSRFEPQDFDKEYDRGERAETNIPMLFA GNVGCEKIVEQLEGYRQTVRNMRKLDIDPRDQIPFNFLFRGPPGTGKTTTARKMGKVY YDMGFLSSADVIESSATDLIGQYLGETSPKTQALLEKALGRVLLVDEAYRLGEGPYAK DAINEMVDCITKPKFKQKLIIILAGYDGEINGLMALNPGLTSRFPESISFQNLDANAC VNLLTTLLSSQKHKLNQRLGNVNMDALEHPTLPFLEDLKARFSALAQISNWANARDVE TLAQAIFRNAVKSITNNCFVVDQKIVLSEADRMITERMHRQTTSLSNGHTPALQNSFA MNMQAPHTHPTKTSFNTHSHQRPPEEEQEQQLESSQPPVDVKKLRDPGVTDDVWTRLQ QDKAAEEAREKQYQKLLEEENATSKAVENAQRKETDAANRVKQDQDHNDDAMKRHEQE RLKHELERRAYEDELERLKKQREEEQKRRKQEQKVQKKLREMGVCCAGFQWIKQESGY RCAGGSHYMSNEQLGM ACHE_80809S MSMQQRGRGARARGGRGRAGYSGRPRVVASKSWRKLQTQETLAP VLGSKLAEVHESNLADVSRVDEKSAKISDCKDIASFNWLNEKEPTILIPGNPPAWTPL PHPSKLKEDAGEYFRDQNAARYPSYPMQPAVEAILTQNPDISTESIDIVGCGSTIGNL LRFVRKVDREFKMLVEVVGSTVFFVRRENSPRELLQNVYGYGHSFPEAYTSWAPSVKG SESHQRLIMYTFGDLKCVVRFEADGYFPGFMQEQSKDIRQNAKIDREIDADRGLASIF GDTTLGRRDPGSISGKESLTIQSAGDHVPQSAIFDLKTRSIRKKDSDVLGEELPRLWV SQIPHFLLAFHKAGVFGLEEMQIRDVRENVAKWEENERDSLRQLAALLKLLVAFARTR PDGRFELVHEEGRRVLELREVRDDVLRTLPPTLCKRWTKEGLDADDDDKPSSLRTDDQ DKYTDLNWDTGSEKDYTACDAKCGYCGSCIY ACHE_80810A MSSLNNMQYSKANTEDDYDSRESLLSDEGPPLYQLEKNAKKWRR ILLISLHLLGTAVACLAAGLAGYYWHRDLDGICTSHASETSPLLNKVSYHEQRFNGSF LKENAFRQDAGPDTDAAWASIGAEYRAVRITPEEAHNIGMPKDFVKINEKYGGGYPVH VEGFHHLHCLNLLRQTNYYNYEHYRDLGQGAFKNDEFIVKRHVTHCLDIIRQQLMCTV DVGVFGQFWIYPHHPEPFVDFNTQHKCRNFEQLRQWTEKNQLPENVPKDFLEPPKVGD RIYPEVP ACHE_80811S MHITAGAVAFFLAVASALPVANPKAQPEASPDTTAAQVTDYSDY GKYGEYGSYKRDESAGHHSRAEAAAQVTDYSEYGQYGEYGSYKRDDSSAEVSKYDDYG DYGKYGDYGHYRRDEGAAEVGDYDDYGDYGNYGDYGHYRRGDSAAQVSEYDDYGNYGK YGDYGHYRRGDDAAEISKYDDYGNYGEYGNYGKYTEYKRLTLDHRQ ACHE_80812A MGIDLSAITPGPGGTVMLGEGGGIEAAVRRGRERLRREALEREK AGAQNDTMSESTLVLASETNKAINPEPKKDESKESGSGGFKSRWREWSQRHMP ACHE_80813S MMLLVEFPDGRILACKANMSNIPLAMDTPVRDVIFTLNKLTSST NSIIPASYPGKLQLDRVALIGHSLGGATAAQAMLNDTRFAGGLNFDGSLHGSVMQQGL DRLFIGFGQTDVAGPGYETWNETWPHLRDFGMQLQLKDSLYLTFSDLPIRFCAIG ACHE_80814S MRPDIGVGVFVFNPKGQFVVGVRKGSHGAGTLALPGGHLEFGET FEACAEREVLEETGLNIRDLRFLTATNSVMKDAGKHYVTIFMGGVVDDDVQPQLVEPE KCEGWSWATWEDIKDQSQSGNGPRLFLPLLELLRNRPSVDPKEQFDKA ACHE_80815A MTRLENKVAIVTGSSSGIGRAIALRYAREGAKVVCADLTLHPYS DATPTHEAIRQIGGQAIFQQTDVSNTAQMQSLVQTAVSAYGRLDILVNNAAMFMGGHE PSALHEADEELWDATMRINSKSVFLGCKYALAQMLQQDPHPSGDRGWIVNISSIASLV AIENIGPYSASKGAVSSLTRQAALDYGPHRIHINAICPGFVRTPLMLTAATDPASAEE YNRRHPFGGLGEAEYIASMAVVLASDDARWTTGVVLPVDGGYTVR ACHE_80816S MVLQGVAPAEEPIESKQVTDKYDADLEENDIKPVQPVDNHYVDP FTTDEASAVKYKSLKWWQCGMFMIAESVSLGVLSLPATLAAIGLVPAVIIIVGLGIVA LYTGYTIGQFRARYPHIHNLADAGEIIMGRFGRELFGFGQLLFSIFIMGSHIVTFTTM MNTITDHGTCSIVFGVVGMIICMVLSLPRTIKNMTYISIASFISIFTAVLITMISVGV QFKGGDINITTETNLYHSFTAVTNIVFAYCAHVAFFGLIAEMEKPQDFPKALCMLQIF EICLYVMAAVVIYYFVGNDVASPALGSAGPIMKKVAYGIAIPTIIGAGVVNGHVGLKY LYVRIWRKNPQRMGGHDLVSVGSWVAIGLTCWIIAWIIAEGIPSFSNLLSLISALFAS WFSFGLGGVYWLHLNWGRYFSSPRKIALTIINALIVGIGATICGLGLYVSGKAIHDDS SKASFTCANNA ACHE_80817S MKATVALSLLLFAPNSLQDDSPAQKACKALQDKYPKLTAYDLDP RYIEANTDFYTSSAFLGPACVFEPSDSYQMADAVLTFQNFSSPFAVRGGGHMPIADAA NINSTGVLLSTAGLSQVQVSDDKKTVNVGPGNHWVDVYRGLEPYGLTVVGGRLGVVGV PGLLLGGGVSYFGNEYGWGSANIASFTVILANGTVAVARADNEYSDLFWALRGGGNSF GIVTNFEMNTIEAPEVMIGLASYVSNEHTADDFIDAVHDYAVSGTKDHKSATIPTAEF IQALGTVFYSSFLFYNGKNESPASLRKFLGTKNGTSTHDQGLKTMTNSYSYRSMYKWS QELDPTFPLLKGDRQRFYVLNIHASNREAIAIVHDTYLDIAKSELPPGVLVAALAFPA VGERYIEASTVNGGDPMSLDPEGAPYIWVEESITALSTVTDEQLDEFYDKANAEITKR LNERGIDIPAFLYLNDANPKQDVFATYPQENVQRLRRIRAKYDPERVYTDLMPGGWKV D ACHE_80818S MQFSRIAHAGLDGAILVAKYPGTYLDAAWAAVRKFCFAVIFLAL IAAKMTHIYAHITSLPVSVLLVWGITFFLQDIIIIFLARGLTAEFQWKTVRIAAAAVT IFCTLAISGMASANISFYFTTGAEIHWHQAHNVGGDAAAIRTLLSGLTGFLIVQILII VGAYFSCNLLYNATGAVLHILGSSLKLVLCCWRRKRPDPAAFERIECQDYRDDQNNGE DVLDDDKSEDFLLDGHVDTSEKTAVSLLKRLLVLFPMTILFVLRCVRPPDPAFFFLSW SIPLAPLVDGRPHRGPPVEVSGLKGTYGWLTNQTALTPPVTLDWLPNDRVLPGFADWA PSSLSNATEPPLHYNPTEDPIHISNLHHDVLDPLRQSLRSGDVNIKHIIFIKLESTRA DVFPLREEGYIWERVLESYGGEIPDAAKERLLNVGRNAERLTGFPAALSKKDGPPKPY GGISARNAYTAGTYTLKSVVGSLCGVTPLVADFNREYQQHIYQPCLAQVFEALNTQNN DTVSDDYTTWPWHSKWMQSVTETYDHQDDLTPKMGYHDIVDKETLNLTDVEEVNYYGY ADTVLRDHVREALDDAEANHKRLFLTHLTGITHHPWGMPGGKYEDLVGPNWKNDNMNR YLNTIGYADRWLGEIMDILQEKGVADETLLVMAGDHGLSLPYDNGITPYDNPRVGNFH VPLVLAHPKLPAVPIESPVINSQIVPTVLDLLIESASLGDDARHAAADVRALYEGQSM IRPLVPEEKGRQDWQFSVMNTGGSWLSVRSADQPYRLVIPLINDVEWRFSNMEINPHE TKPVIKNFDVNQLAMEVERIHGPFALKWVGAAAHVAQWWVSENWRRYRFDPDLPDEPH D ACHE_80819A MSSTLSDPREYQNIFHWAETQKDGTIPSFATRRNDPYQYQSGFG NSFASEAIPGTLPQGQNNPRKVRFGLYAEQVTATAFVAPRHANKKAWLYRARPAVAHQ GFKNLPDNPDTESNFLPLNPRVHVSPTQLAWHPFDIADEKDGKVDFVSGLKTVAGSGD PTLLEGLATHVYTANTSMTQKAFVNSDGEFLIIPQEGALDIQTEFGPLFVQPGELVVI QRGLRFRVSLVDNKPVRGYILEVWGSRFELPELGPLGANGLANARDFLSPVAAYDKQR EQPWEIIYKMGGKFFSSTQNHSPFDVVAWHGNYVPYKYDLTKFVNVGSISVDHIDPSI FCVLTAKSRDPYTPLADLLIFSPRWDVASHTYRPPYYHRNAASELMGLIYGEYGGRSD AFKPGSVSFECGMVPHGVAYEEFKAASDQVPPETRISESSIAFMFESSRPFTITDYAF NSPKLHEHEPKMWDNLVDNFAQYEKETEEISAKGSGYY ACHE_80820A MSLPQIHQDSLKTTFTGTSQSDNGTTVHSFRGIQYATIPARFER SQPIQKFNEPAIDATRYGPRCPQMKIDVRHLLRIPEDVEIPEEEEDEFKCLNLEITCP PLDQTKGPFPILIWIHGGSQVVTFCSAASGICDPTKLVADSIKYQKPMVFVSINYRLN IFAFGDGKEKNLALKDQRLGIEWVRKNIASFGGDPNNITLAGESAGGVYAHAHLITGP PVKRAILASGSLYLSRPMPAARGEWMVNTLESKVQELGQTSLREAPVPVLIQALGECN VNSMFLQEDSELQDWESRPEHVEELMIGDTEYESVIWRNGVETHNASTINAAFEQNSE WGPQLRKMYQVVPTRPTASKLGALDIINDALYAMPVEVISEKLQANQKMVYRYVVDQH NPWQLSSRSHHAVDLLFLFGTMDFSHNPGAEGVGQDMRRLWVSFVNGDAPWSEQKRYA YGPFGVCGEIDEGQFAGRRRTRHIRALREAGKEAFLPIVFALAAGKISLLN ACHE_80821S MRTILVAGTGFAGMWSALSAMRLIEQNGGIEAAGIKVIVVSLDP TLVIRPRLYESDADKMCASLEELFRVTGIHFVPGLVDTIDTAQKRAAIVDLAGIRSTL QYDRLVLAAGSHLKRPDIPGIHEHAFSIDQRNEAASLDKHLHHLTSKPPYPARNTVVI VGGGFTGIEIAAEMPSRLRSILRSEDVRVVIIEQADSIGPELGPGPRPVIIEALADLG VEYNLGVAVVSVDKTGVVTSRGDRIDSLSVIWTGGMEASALTRQIPGQRDHSGRLHVD SHLRIPSLRDIFVAGDAALAITDDQGHHALMSCQHAMRLGRFAGHNAAADLLALSPKP YTQPSYGTCLDLGPWGAVVTEGWDRQIRLTGSAAKAIKKNINQAVIYPPKADRVEAFT AADPDCAGPTLA ACHE_80822A MDKQETSHIERTLSPRDKLSQIDTVKDPFRVDEEVQAYAAQGHI EIDESTSHRLRVMIDRRVLVIMIVTYFLQALDKGTMSFASIMGIREQTGLVGQQYSWL TTCIYIAVLVVEYPTNWVIQRVPLAKYLGANVCIWGGVLALHAACHNFTGLVTVRTLL GIFEACCQPIFVLCSGMWYKREEQAATVTYWYMMNGGQQIVGGLLAYCFSLIGNDRAI QSWQAIFLSYGCISFLWGIFVIYWMPDSPMRAKCFSESDKRLMVERVRSNQTGLQNKK FRSYQMWEAFRDPQMWCYCAIQVFTTLPTSGLGAFANIVIKGFNFTELQTQLLAMVLG FYIIIVLLSSAWLVKKTGQNLLVMLGFIIPSFIGTIVLMTVDNTTTATKAGLLISYYI TLSFWSAQTLALSMISRNIAGATKKSTVVAATFISWAAGNAIGPQVFLEKDAPKYFIA FGVHLGCYSVMALCVIFLRFYLKRQNKKKDEILRAQGVDNADPDLVYAFEDKTDRENL NFRYMY ACHE_80823S MSEPATRIHAIVNRLITNLINIQDTTGEFLLHLPDSRIIDTKSW HGWEWTHGIGLYGLWKYHELTGDESYIRVIEDWFTARFAEGGTTKNINTMAAFLTLAY VYEKTGNVTYRPWLEAWADWAMHDLPRTHYGGFQHATYLTDNHQQLWDDTLMMTVLPL AKIGKLLNRPEYIDEAKRQFLVHIKYLFDTRTGLFYHGWTFEDGGHNFASARWGRGNS WVTMVIPEFIELLDLPSTDPLRVHLIDTLYAQCKALGRLQNDSGYWHTLLDHPDSYIE ASATAGFAYGILKAVRKRYLPPAYRSVGEKAISAVLGAVDANGELQNTSFGTGMGDSL DFYKAIPLTAMPYGQAMAIMALGEYLRTYL ACHE_80824S MRKSLLWLTLGSLAERALSRPTNHTRSDTVKVHWVGETPEYTAG TTFGLPWPQGKYRFNDTLFSIVEKPSEQIPLQSWVTGYWRDGSIKWTGHAIPPLDNPS SEYTIRVSSFAHSKRSTSSSNTSYGVKVANTADEVSVDTGRITVSFPKRGSSIIGSIR TSSGKVVGENGKLVLYSQSAVAEDVAARTNTSIDYFNFESDIQQVFVTNETSVRAVVT VKGKHKVTSGSDHDDWLQFTLRFYLYTNSDSIRIVHSLVFDGKADNQFISGLGIQFNV PLAGEELYNRHIRLPGVNGGFLHEAVQGITGLRRDPGEKVRLSQFEGKETPSLSTWDD RVSSRIQYIPAWNDYKLSQLSPDGFTIKKRIKPGQGWIDIPGGSRSHGLTYLGGATKG GLAVGLRDFWKRHPSGIDISSATSDQGALTIWLYSPEAAPLDLRAYHDELGEDTYAKQ LEALEITYEDYEPGFNTPYGIARTSEIFIYAFDQTPSADTLARLSKHANEAPVLAAEP RYIRETKAIGSYWSVPDTSANSIGNSSKSAALESHLDFLVKFYQGQVDYRRWYGFLNY GDFMHTYDDDRHTWRYDIGGYAWDNSELSPDLFLWQYFLRSGRADVYRLAEGLTRHTG EVDVYHIGDWKGLGTRHGVQHFGDSAKQVRIAQPQYRKYFYYLSGGDERVGELLDEAL DTDKTYGTLDPQRKVRDDGWTPEPNKPTAISLGTDWAGLAAGWLLEWERRGPRWLEAK RKLTGTAGGIANLTNGFVTGSGLYAITNGTLLPPPNDPGNNGVVSISHLNAVFGLPEV LSELLEYWGSDAPRGLRSAWLDYCYYYGATADEQKARYGEAFTKATLIQGHSRLTAYY AQQDSNNATVAQRAWDEFYGDNDGSDGLTDDDPWVTAKVNGSNVLIPVEEATWISTNA VAQYGLAAIQDLALVGDALSN ACHE_80825A MAQTHKNVTLVGASGNICKIILDSLITSSEFNITILSRKESEAT FPAGFSTSSQDEAVLQLLPLFGQKKELIEYLKSQQSDIFSWTGVATGLLFDWAVASVL QHSQGTSSKFLHVASVETIQNEILAVLEKETGAKWTVHSTTTEEQVGEAVKKLGAGNF SGAFALVRATGFGNTPGLRAKYAKDTTLANDLLGLKLETIQDTVKRVVAK ACHE_80826A MSFRPDSPVELPDGRLVCGPHRLIVCPICTVDYSFMEENEDFED EYASDEATTSDSIFGTPRVGTGRVIPTKFHPATTSDTPQSLFPPGISSRAIPPVHRFI HPTNPRRFLIYTDGACLDNGSVNPRAGCSFVFRPSTQQPQGLGYVRFPLENEGPTGEV HSQTSNRAELRAVIAALRFRFWPGEGFNSLVIATDSEYVVEGVTSWVRGWLRRGWRTS AGAAVKNRDLWECLLGEIERWDGNGMQVKFWRIPRDWNTDADYHARCAASEDTRGSFS DIMGMLV ACHE_80827S MDLFLYNDTHRLWICGPCGFAVRPAHLAAHLANRHPKHPSAATP ALRRAACALMLKRPCWDPAREPDRPVPPPPAPGSPPVPGLPVHPGYRCPHPDCAYIVC NPESLLRHRTRIHADRRPRGRQPPASQVSPLPPYRTVSCQRFFPSGAGSGFFQVTPPA HTERARQAATMGEVEFIRRQVAGALAEDAAAAEAGAQRVPDPDAKAPTEISPWLELTR WPEFLHGHAFTAVAPLAAPPDPTAEPLLTVFSASVERLIEAAYQSIKTRRINEFDQIR INSFLQRPRVWDRPILIQLRPSTYRAYRQVWQRLICFAYRTSRPNAAVQLGHQLTTAQ LAALDRMETAAAELLSLPSPPLCTPGPGAADHPPWTTGGGPWVVIQTPRGGDRDRDPE GDRRTERRHAAYEQLDHACLDLSIALLDHPLKGDLFESAVVAFLAVLGVDVEKQTFRD PYAFTSSLSGLIKMAQMLVAQRAVQMADHGQVEHPADALEAMRERFLLPGVAAPFNWL TRLRTFGKRIQNTTTSLGYIYWSDDQQTLSYKELHLTMAGLRGFVRTQVELAQLELEG LFLLHEEETREAVVPRLALVELADDPTNNRRGWNFLQDHRTRAALPTTGEQWLMDRVV ATDWLRAEWVGVRPHDHQVMWHTTVVDAYLGQVDQFLERLLLLMHLTAGQPARATELL GIRHSNTVCGQHRNLFIEHGVVSLVTAYHKGYSMTGSTKIIHRYLPAEVSELVVYYLW LILPFARAVQALAHGTRQARSPFLWPRGPNLAAGAWDSGRLRGCSSVRPTYICRPSSM ACHE_80828S MQEWCGPLPVYGMTARQQRKWQMLWQLAMPTMARPQQAPHRARA RAVHMFPGAGRILEQGGNPGSYRATEGRGVSPGDQPTAGHGVSPEHVEEAEETGNAGS TEPAWMMSPMERACLEFCIELLNQRHRAHEYESPLVCAMAVLGWGETGWRDPDSYPPI LSRMIVTAQLSAFMNIHCQHGKQCHESNRDKQLLSEATHNHI ACHE_80829S MPRLRNTIASTRANRNEANDDETNRNEANDNTNQENRRSSVFID GDDNEEQQMVTLEEFLQFVSEKPEWLYEKLCSIHQRYEECIDEQGVQRAERELQGRTK DGEIALLRCELEEVREQLQDRIDNDEHVQRELAETRSERDAYSRQIAKMAMQLTNSGN QEPMPTTTSVRKTTKIPDPPMLTDGKEPQFEDWLLLMTKNLLPTPTISTIPNSVWHMW LAAVRARPGSTSPLVCERMP ACHE_80830S MIKLARFMVVQKALWLDPHVGDIIQMWQAQASTANGTVNGTVNG TVNGTVNGTVNGTVNGTVNGTVNGTVNGTVNGTPNGTVNGMVNGTPNGTPNGTPNGTP ASPIAWPLASADAQLADIDEGCDSASPTRSTPTTVHDRPSFHDHVQQMVSRFMIRGTH GPMQTLLDWRTYGLKIHYNSTAPGHVAWMGADELLYKDLHFTMGEFRGFIHRLVGATR ELLCELLCIADGSSSAHTPSTMPLPAIPWQGLYDDPTQGHPGWNFCMIAGPGGPWMAG GG ACHE_80831S MRRGAIHGPLVAQYLARVARFKEKLAVAIHMTAGQPARAPELLS VQYVNTPNNQFRNVFIEDGMVTLVTAYHKGFHASNDSKLIHRYVPRAVGELVVWYMWL AMPFIDQLTAWQAGTAHGTVNGTLNGMSNGTSNGMSNGTSNGTLNGTQAGTVNGMVNG TVNGMSNGTSNGTSNGTANGISNGTLNGTTNSIPIGTRAGTVNGTVNGTPIGTQAGTS NAMSNGTSNGTRAGTVNGTSNSTLNGTWNGTPNGTVNGMLNGRLNGTSNSTSNGTPNG TANGTSNSTLNGTWNGTRAGTVNGTLNGTVNGILNGTLIGTQAGTLNGTRAGTVNGMV NGTVNGMSNGTSNGTSNGTANGTSNSTLNGTTNSIPIGTRAGTVNGTVNGTPIGTQAG TSNAMSNGTTIGTLNGTANGTLNGTLIGTSNGTPAWQPPAHIYGAPTRACSGHGPPSD SGRC ACHE_80832S MDADQWMAHMTDLQAGHSSHVAGMVYGRQLMEQAGTTSHRRAMF RQSSVDWHQFLGFGCGTGVPGDVHADIDAGGLRAGLVDEGSCPSRCPGQEQVRACLVD DPSQERVRACLVNDPGQERVRARLVNDPSQQAVRARLVDEGSCPIHHPGQERVRACLV DDPGQERVRARLVDDPGQERVRARLVDEGSCPIHHPGQEQVRARLVDEGSCPIHHPGQ EQVRACLVNDPSQERVRARPVLGKRKRAPWQVEAEEHHMERRHQLQTMDMAAALQQMT GQAGMQFQGIQAPAMAAIQQGKSPVVAVMPTGGGKSMLFMLPAWAVPGGTTIVVVPLI SLRQDMQQRGRRLGIPCMAWDRQQPCDEAAIVLVTPESAVTPDFHSFINRLVVMQRLD RVVIDECHIIMNQQKNFRSAMAQLGKLVRARTQMVFLTATLPPEMEPEFSQRIHHPQD QIDIYRARTSRGNVAYGVWRPPIPHTAPHGYGWEQDARIIQFLQAQLQWARARGGKMV IYANRVHQVQAMAAVLGCEAYFSGQVDRGGILGRFMGGDSTVLCATSALGMGVDIPNI RVIIHLGTPRTLLDYAQESGRAGRDGKASQAIIIQPAGWAEDERQLGTPEVEGELVQQ YMGVVAGRGCRRVVLDDYLDGTVNGYRRQHCGDDGDEQACDGCNVQWHVGDVGGDEAG HEAGHEAGHEAGCVGLHHGPGQAVRPVLGLADDPDWRARSATRRSNGRGLRRGMEYDS SRAAGSCRPSRSRSHSPRRSYCPRWSHSHSPSHSHSPSHSPSHGQHQRQWAAADIQFR RQQSQAWLDEEFGEQEAQQWRDRCYICAMAQADDQHDLYSCRHARSQAAKQWMLQVRR RIQYSRFSGCFSCGMPQTICAGWEPGGQCQYRGVLIPMVAMMLHGPWGVGIREAWQRR LVGLQVDGADIGAVIGWLGQRSRAGHSQLFEEFCWLRRVSQEVELGLELGGREMEDWP GP ACHE_80833A MDSKNKNCAECTRRGRKCQKQFHSEREWDSLHRDQEKLAFDLEE AQRLWLEHSQKMQEAMSKIIRLQKQQRFLKERGGRMLEHDSKLMEQLDEEDPPSAEDL QELERLADEEEAARLAAVSNNPSLTQMMNSPSFWENFDSAVAGGIPSPTGDNPSSSR ACHE_80834S MRKHWRQVHQWSQQTRRGRVGQRERTQGAAELRRSFTTVAWQQI FPSGPGSHYIHIRFPEGHPPPPLPPADQAQRAVDAIITAWDQARTAQEQQAVIQADRI TDANPWLRRTGWARYLEGVHPQDLLRLVEAPPRSPGSH ACHE_80835S MGQLARRSQQTVQRCGTGICMEAARTEAGQTPYRPLQAYMDETS VQKHVQAWQQVLGFIARTQATQAGQGMPEWCGPLPVYGMTARQQRKWQMLWQLAMPTM ARPQQAPHRARARAVHMFPGAGRILEQGGNPGSYRATEGRGVSPGDQPTAGHGVSPEH VEEAEETGNAGSTEPAWMMSPMERACLEFCIELLNQRHRAHEYESPLVCAMAVLGWGE TGWRDPDSYPPFYRG ACHE_80836S MVVQKALWLDPHVGDIIQMWQAQASTANGTVNGMVNGTPNGTPN GTPNGTPASPIAWPLASADAQLADIDEGCDSASPTRSTPTTVHDRPSFHDHVQQMVSR FMIRGTHGPMQTLLDWRTYGLKIHYNSTAPGHVAWMGADELLYKDLHFTMGEFRGFIH RLVGATRELLCELLCIADGSSSAHTPSTMPLPAIPWQGLYDDPTQGHPGWNFLHDRRT RAPRAAAIHAPGAIHGPLVAQYLARVARFKEKLAVAIHMTAGQPARAPELLSVQYVNT PNNQFRNVFIEDGMVTLVTAYHKGFHASNDSKLIHRYVPRAVGELVVWYMWLAMPFID QLTAWQAGTAHGTVNGTSNGMSNGTSNGTWNGMSNGTSNGMSNGTSNGTLNGTRAGTV NGTVNGTVNGMSNGTSNGTLNSTLNSTWNGTQAGTLNGTLNGTANGILNGTLIGTQAG TANGTRASTVNGTSNSTLNGTWNGTRAGTVNGTLNGRANGTLNGTSNGTANGISNDTL NGTSNSTLNGTRAGTVNGTVNGTVNGMSNGTSNGTLNSTLNSTWNGTQAGTLNGTLNG TANGILNGTLIGTQAGTANGTRASTVNGTSNSTLNGTWNGTRAGTVNGTLNGRANGTL NGTSNGTANGISNDTLNGTSNSTLNGTSNSMLNGTTNSIPIGTLNGTSNGTWNGTRAG TVNGTSNSTLNGTWNGTPNGTVNGMLNGRLNGTSNSTSNSTPIGTPIGTQAGTSNSTL NGTLNSTLNGTWNGTQAGTLNGTLNGTANGTLNGTLIGTSNGTPAWQPPSPYLWGPDP GMQRPWTPERFREVLKRETQARLGQALNIPAYRDIAIGISRRFLRASSTFTSDRQDET EQAAALDADCEDGMDADQWMAHMTDLQAGHSSHVAGMVYGRQLMEQAGTTSHRRAMFR QSSVDWHQFLGFGCGTGVPGDVHADIDAGGLRAGLVDEGSCPSRRPGQEQVRARLVDD PGQEWVRACLVDDPGQEWVRACLVNDPGQERVRARLVSDPSQEGVRARLVDEGNRPIH HPGQERVRARLVDEGSCPIHHPGQERVRACLVNDPGQERVRARPVLGKRKRAPWQVEA EEHHMERRHQLQTMDMAAALQQMTGQAGMQFQGIQAPAMAAIQQGKSPVVAVMPTGGG KSMLFMLPAWAVPGGTTIVVVPLISLRQDMQQRCRRLGIPCMAWDRQQPCDEAAIVLV TPESAVTPDFHSFINRLVVMQRLDRVVIDECHVIMNQQKNFRSAMAQLGKLVRARTQM VFLTATLPPRWNRSSASAFTTHRIRSIYIGPARAAAMWHMGCGGHRFHTLHHMDMDGS RMPGLFSSCRRSSSGPGPGGRW ACHE_80837A MVQAHTPGLMPSLMPGLITTHVPYTPLHITPITCLLVPVIPAML PPVSIHRPIQIIVQYHPPASPTCHHPHVLLHQLHLRCAQMSLILRPPRRLDNDRLAGL PIPPGSPTFLCIIQ ACHE_80838A MNINRAGHPPGPWRRYNIDPATAEPPAPQTPHPAMPGTVAGGIE CRPRPTGAAAGGGSGSGAGAGVGSGYGSGSGYGLGLGYGCGAGADLGSGCGSGSGCGS GSGCGSGLGYGSGPGYGSGLGSGLGLGYGYGSGMGYGSGYGLGLGYGSGYGSGLGYGS GYGSGSGVGYGSGFGLGCMSPLLCWNHTPFRA ACHE_80839S MGGGHSGAWQRRLVGLQVDGADIGAVIGWLGQRSRAGHSQLFEE FCWLRRVSQEVELGLESGGREMEDWSGP