-- dump date 20240506_040724 -- class Genbank::CDS -- table cds_translation -- id translation OJF2_RS39810 MAHPPTHEPPPPPRDPWEGFLAGPENELAVASMHALARGDREGL SPLLLHGPSGVGKSRLLDGLAAEWIRRRPGDSLARTDAAGFADACFDAANEGASDAPP PGEPGPAPGDVGGLGWAGLRERFRSVGLLIIDDLEGLERIPLACEELIHTLDALDASG GSLAAACRTPPNQWPRTHWPPRLVNRLAGGLAVRVEPPGPALLRRYALERARAGGVVL AAEAVESLASSADGYRTLDGWIARLALGARAAGPRGRTSALKPMDLATVSSILEDEAD LATAGWTVERIARFVAARFGVKLSALRGAGRSAAVVEARHLAMHLARSHTALSFAAIG AYFGGRDPATVRHACKAAAARIAADPSIGALSAPLPPPRPRSPE OJF2_RS00015 MGAVGGLAALLAVVLMWRGSDGREAAAAPRLVAGAVPGVPPSIG PALEPARAAAGPAGRPAAAPVAAPEARPLSTREIVERTEASVALIKGKGGSGSGFLIG PGTVATNSHVIRREMIRNLEVYFPSAAGADKGPHRAALRYEDPRRDLAILAIESGLPA IPIARSHRFARGEDVTIIGSPGLGHGQLLLQNAVCKGVLSSETDIDGMHYYQLSAAVN PGNSGGPAMNSAGEVIGIVTLKSSTEEAIGFCIPIGDVAAALRGLGGPGGAGDEAAAA RKHGVAAVYHGLHRFCEVHAKALNLYLQGMADAMQQGRPATDGFRAAREAIGGDLRQV EEDADDLKAELDRLGGDAAPGGAGRALRGLWDAYSDMKRLIDRPQGTFEGFRTRAFQA KDRFARASGSVEGELGLSPDD OJF2_RS00020 MSIRFACSCGKQLQARDEHAGRKSRCPDCGATLVVPAGGEPPSA TPTPAPPPVMHVDYDPTPDLAATATATMATAATPRPAAGPWVEDDDPAPAAKVPAPPP LPPAGAAAPAFALPPSPSHASALGLATAREPWSFTLVDRYAVVARNCVLAVSAVLIGL AAMGYAYELYVLLAYQGPARMGVLGFALMLGASTLAFLLGVALFLLVFVLPIMLFLAM LHITVDGARSLRAIRAILERRG OJF2_RS00025 MPEPWYVKRAGRVLGPFGDPEIRALIGRGTVDAETPVRRGTDGE WTPAACAGLPVAEAAPVDARPAPTADEPATWHFARDGVQSGPHSLATLRGLVAAGTLG PGDLAWSPGMEEWRPCGLIPELASARPVATATAAALDEPEVEVEVVGAEDAGAGAGRP AWLLPAVAAVVGVLACSLGLWALSRSTGKPGARPAPPPAVAGVVPVPVPVPAPAPAPG VHVAPFPPVPPPFAVPRATPPAPAPAAALAATPPAEMLMRQVMTSIRGRRLEEARELL ARYREAAPPRDDGLADRLERDIETASSRDEADRLAASLDDASLRASVVNGGRALAERL GTAELRAAYRTTLNQALRRELDRRRPGQAAGVLPRDGAWRGMAPRDPGQPGMAPMGRR GASEPQVASLSSQGRTGASLDDVLAKPAEFVGKPILLEGLFKLSTRVGPVKDRDRPMG LSMPLARNDERTVCTGDRPIAGSDLYLLVDASVADLLRQAAARLDMRPSPRPTYRAIL GATVRPVADDDLRAAGPAPATGPLAIVVDGLEVLGLCDYMKVADHQYDEAFRVLAIDR THAALRYGDGALWVEHFGGEEKFVKPVRQKLQQVQRRLAAEKRQAVAEAAFGQAFAGA MRAADTYNGIRAMQDAAWRRALGAR OJF2_RS00030 MFVRALVSLSLASLTLPAAAATPNVVLIYADDLGYGDAGCYGAR PGLTPNIDRLASQGLRFTDAHATSATCTPSRYGLLTGEYPWRREGTGVLPGDAKLIIE PGRATLATVFRDAGYRTMAAGKWHLGLGSGDLDWNGRIAPGPLEVGFDACFIMAATGD RVPCVYVKDHEVVGRDPADPIVVRYDAPIPGEPTGRANPELLRLRPSHGHDMAIVDGV GRIGHMKGGAKARWKDEAMAEAFTAQATEFIRRGRGRPFFLYLATHDIHVPRLPAPRF LGKSGMGPRGDAIVELDWTVGEVLRAIDESGLAADTIVLFTSDNGPVVDDGYRDQAVE KLGDHRPAGPFRGGKYSRFEGGTRVPFVVRWPGRVRPGTSRALVSQIDLVASFARLVG RAGPITTAVDSRDHLGALLGEDAKGRETLVEQAGGLAARRGRWKFIPPSKGPKVQANT ATETGNDPGPQLYDLEADPGETRNVAADHPDVVDRLRRDLAEARAVPGSMQ OJF2_RS00035 MTESETPWYVRNRGKVLGPFGWFELEAMRDRGQLARFHEISQDR RTWISAASFADLFPTRGDAPRAGAEAGYPVAGGPGSPSYGIPGPPAEESWYLSRGRGQ EGPFGTQEMRRMAAAGEVTPEALAWKAGMADWAPCGQLPELSPAGRWGSTPPASLPAG GPMPAHPGPYAPAPVGYGYPPRTSGLAIASLVLGILVLCGIGSLLATIFGAVSLNQIS RSRGAIAGRGMAIAGLVLGIIGLGFFGFFFLTGMLQGFVEGLRQRVP OJF2_RS00040 MAGYHQAVDDIRAFVQATDQTRSPALEALAGSYAEACAEAARRL GQCQRLLQQGLRSEAIQLAEADPRLLDLVAALDFPERDAWDELVGIYGLPAAPRVSQS AAQFLNEAYALEEPLQDLLRTHRRLALSRAPVRARIAVMRKLAAQDPTNPIWPEDLRV FEKVRFRELQEDGAEAARRREVKDLGKILAEVEESPWVEPPPKALVQGLRKADAQLRG QQNRAALADLEGELADAFAARDPERARRARQPWLSLVGVLGLAEDDPAMRRARPILDW LEDRDRADEDDRRHEDAIRELRQTLDEPGAIAPADLERLGNTLLRHERGMPESLQARY VQRLAAERARANRLRMIVGGSAAAAVLLVLGLIAASVRSTARAQEAERAAQAVSDAVE LGELARAGDLLKKLEQDDPAILQQAPMLEAREMFKGAEGREAQRQLAFEQAYRAAEAS PLGGASKPMDEARKLAVQPTEKEAVAKLAERRAAALEAERKRQGGEARPRIRDLGDRV AALRASLAGAKAEPAKARAAEDEFEQLRGEADALAAATELADGEVKTLAQAARLDVEN LGKQLESGRRVAAVERDMAATLRSAGADEAQAMSRYLELLKKAAEAEPGSPRARALPQ LDREAQAWEAVAAWNRLLAAWAKEPAGGGPRRDQERLDLCNRLIAKYPQSPDAAEIAA CRDRLQAVARRAEPLAGRLQQLLSDPLVGSVWMVKVRPPNRDEPARKYYLAQRPPDDS SVVHYYKSYNTEKPAMAAIVREHIALSEAAPQSRIAARYKAALGQLARGGEWDGPMMD LAAAIRQEPEIDPLLQLNLLRRVLELAGDGSVPLREACRDALARIDQAGVDVTVPWMD PDNADARRLRPAAAEAVASLPDFAEIRKHAREIAAGLDGRLARRPHPVGWLSPGPQGW RVEPAISAADAGDGELLVAAREEGGAAAWKRVGTVARGVASLRAEDASAMVEGRPVYF RPAAAERP OJF2_RS00045 MSHELHYTSVPRGLLPGSRGFCTVACTAGMPGSLRERLEGLSGY RPAVPPHDPDAALNPVAFSHHRLALGGRSSSVLSRVSAAGLDYSSRPNKHAHHVVLGP EERPEGGPAWLLMQPGFLEASWHGEPATIEAGRVPPRGDRPPGIARAWGAIAGDPGWA GVLAEAFLADPSRVAALVFRPGMDLLPLFAEAIALLPPSRRWDVDFNTYFTGLPQGLS CAWRGVLAGSPEADQSARLPNCLRIDLTARLPKAEGGDLVHLARTGERRAGAPAPARP RPSPAGPRRPGGGDGPPIEMIPLPPDAPHARAGRAGRLEPEEPPGRGRGLVALIAAAC LILAGGGGLWLVGPDRLLGALGLRSKAADAILAAERENAEKKQAAADRAPKAAESSAP QGSAAKAEPKPAEPAKPQEPTKVAGEPKPQEPKGAEVNPPPEAPKPPADTLGEPRSRP VLFAELPRLTSGLDGRAGAEKPVTIATAGRLGSEIGRIRLLLPPLREGSPIAAQETDE GLKICQNTTSTLGENSLGLFTKRADQVEFRWSGLAASRPDLAGVLRKSLLEIETAGDR AYVLLRASPLTEGTGPVPLVEPGRVVRPNDYSPRGRSFPWMKEGEASRPVRDARRGEI LVRPEHEAADRPPADGQWPLYLDRWRIDVKSPDAAASTLLVGGAGHEPCREVQADIGE SRYAAVRLQLDGPNLMINLAFNARAIHDDKATLDAIPGRRNGNAERLGALEKERASAR KGDVKDIDEQLRKVEKEDRELEETRKRLESYRAELERIMNATRADLSFTVVLKLDDDH FVELAQFGKYAEDAKRP OJF2_RS00050 MSTLSSRNEAATVALLPRVTCPHCWEAFAPEQVLWVSEHVDLLG DPLLGPEQQQRFLPSRFTVEGDAIDAKGMTCHSLACPRCHLGIPRAMVEAEPLFLSIL GGPASGKSFFLTAMTWQLRRLLPQGFGIAFTDADPAANLALNDCEESLFLNPREDDPV PLGSLIRKTELQGELYDTVGYGQQVVSYPRPFLFALQPQAGHPVAGKDPAAAAGLSRI LCLYDNAGEHFQPGQDRASSPVTRHLAHARGLLFLFDPTQDRRFRAACRNRDLGAVAS RDNRLSRQETLLTEAAVRIRKHGGLAHNARYDRPTVVILSKFDEWSHLLGAEAEDPYR KAAGAMGVDVDEIEALSARLREILRQYSPETVAAAEAFATNVTYIAVSALGSRTDADR ETGLLTIRPADIRPHWVTVPLLYILCRVLPGLVPRLKRKGTARTQG OJF2_RS00055 MPELPDIAVYIEALEPRIVGKRLEGARVRSPFLLRTFDPPLSAA AGKVVREVRRVGKRIAMGLEEDLWLVLHLMVAGRLHWKAPGAKAGGKVHLAAFDFETG TLLLTEAGTKRRASLYLLRGEPALKDHDPGGLEVLSARFEDFLAVLRRENHTLKRSLT DPKLFSGIGNAYSDEILHRAKLSPLTLSRKLPEADAVRLFEAVRAVIVEWTDRLRDDL AGGFPEKVTAFRPEMAVHGRYGEPCPACAAPVQRIRYADNETNYCPRCQTGGRILADR SLSRLLKDDWPRSLDELEV OJF2_RS00060 MRLRLIAAALAALALAAPGPPEKARVLVLTGNEYPGHHWKETAP LLAKFLAEDARMTTEVQPDPSFLASPKLHEYDAVVLNYMNWESPDPGPEARASLKRFV EGGKGLVLVHFACGAFQGWPEFAEIAGRVYNPELPPHDPYGTFTVRIADASHPATKGL APFETADELYTCLDGTHPIAVLATARSKLDGKDHPMAFASEYGKGRVFHCTLGHDVDA LKTPGTQQLYRRGTAWAAGLAPSPD OJF2_RS00065 MSPSKPSGQSTRREFAKEATTAAAGIAGVMAAGGVHAAGSDIIR IGIVGCGGRGTGAAGDAMAADPGVRLVAMGDLFRDRVESSRSLLRAEKPEQVRVDDAH CFVGLDAYKRVIESVDYVIIACAAKFHAMYLKAGIEAGRHAFVEKPHAIDPLGIQVVR RATALAKEKNLGILSGLMSRFSPMIRETVKRVHDGQIGEIVSIEENFIRGPYGQVARP RGTRELEAQYANQYRFSWLCGDDVVQSLVHNLDRATWVLRETPPARCHGLGGRSGPQD LLGDVFDHHSVVYHYADGLRLYAFCRTTNNCYNEDSSIVLGTKGEAHLKSGVITGKAP WRYNGPNVSPYVVEHAEFIKSIRAGRPLNCGDYAARSTLVAIMGQLSCYSGQEVTWDD VSRSEFFHAPRPEACTWTMEPPARPDAKGVYPVCAVPGTSRNV OJF2_RS00070 MFDDLSRRSFLGQAAFAATAASAQAQTQAQGQGPGEKILGAGGV ATSARSKKVWRPVSDRKIRVGIVGYGVCQFGAAFGFQDHPNVQVVAVSDLFPDRCANL AKACRCEKTYPSLEELVKDDSIEAVFVATDAPSHARHCIEAVRRGKHVACAVPAAFGS VEQAHELYEAVLASGRTYMMFETSAYHAECHAMRQVYRAGGFGKLVYSEGEYYHDSVE QIPSYKEWRVGLPPQWYPTHATAYYVMVAGGHFTEVSCLGMPSLKGPMQPGKNRYGNL FGTEVALLRTSEGGMSRMAMSWDTKVPGNETGRVFGQRGYMAGMTYHGDAKDLPDLER PALPPGVNPGGHEGSHGYLMNEFVTAILEDRKPLVDIVAALDMTVPGIVAHQSAIKGG ETLRVPRWA OJF2_RS00075 MRIPVLIERVAGDGFRARGGDPLSLCAEGRTEEEAVARLRDLIE DRLAVGAKLISLDVGSADHPHAPIPGWDADDPLFDEWQEAMREYRRQVEDDPNRI OJF2_RS00080 MSLYVLDTDMLTLAERGHPDVASRIRASNPAALAITVISVEEQL TGWYAVLRRARDHASLARAYQRLAQAATWCGRWTILPHSESTIARVVALHQMRLNVGK MDLSIAAIVLEHGGVLVTRNRRDFARVPGLLIEDWSLPIA OJF2_RS00085 MGSFKVVGLVLMVLGSPLALYGLHRLALGLEDRGFLYYLRRKPR GGCGRSMLTLQAITDPRTEHVLNVAEDPHDEAGNPAGPGDPPRVRIRGAEPH OJF2_RS00090 MSWNRRRVLGAAVGAPLGLRVGAAMGADDRGETPRAVSVAELAK VAAAPVLRAEELPAPVTIAAMELLRNGREFLVRVRDRDGVEGMSATNSMHMVYLHPIF VGRIAPFFAGKDARQLEDLLWELYRHADNYKYQGLALWVCVAAAEIAVLDLLGKKAGK PAGELLGGVKRREIAVYTASGTRGNTPEEELADLKKLVAESGAKALKFRLGGRMRRDS ADSRPGRSEALIPMVREAFGPDMTLYADSNSSYDAEEAIRIGRIMEKYQYAFYEEPCH FDDLEATRAVAEALAIPVALGEQESSEAGFRRMIAMRAADIVQPDLHYYGGFIRSLRV ARMAAAAGMPCTPHMSGSGLGYLDAAHFASLLDNPVPFTEYKGNSAIPISSPTSSLKP EGGIVRIPTGPGFGITIDPAYLHEARPVRAS OJF2_RS00095 MSQASDWFGREMTDRTEGQLKARRRSRARSAMSEVLEPRTLLSA ARAVPAVVAATPSPTIERSEPLRVVLISSAVAQAGQVAGAAGPGVIALTYDAATTSTA GLVGLLEGLSASHGGAPIAQLGIVAHGTEGRVSVGGGDAWDEAGLDRDAAALESLRGL LAPGARLDLYSCSVAAGADGKALVDRLASLTGADVYASDDAVGSGSLGDFTWEYVTHA APGTADLLPASSLERIPGLKLDDPYEPNNSQAQVNAAPAGGANSPNLGTLSGLKTISG LALEDGVDWYRFQTTGVATTADYVSLTFSHSQGDIDLYVYRSDGATLVNSDTGDNIYY AYDNASISLRGELAGTFYVKVVAHSSSHPTIPTYGLSIHAPTGPADDAYEEDDSKAQA DAATPGAANSPNLGTLSGLTSIPGLVLGDAADWYRFQTTGVATTADYVSLTFDHKQGD IDLYVYRSDGATLVNSDTGDNIYYNYDNAQVSLRGELAGTFYVKVVAHGTGAYQPPGI ASYGLSIHAPTGPADDAYEEDDSKAQADAATPGAANSPNLGTLSGLTSIPGLVLGDAA DWYRFQTTGVATTADYVSLAFDHKQGDIDLYVYRSDGATLVNSDTGDNIYYNYDNAQV SLRGELAGTFYVKVVAHGTGAYQPPGIASYGLSIHAPTGPADDAYEEDDSKAQADAAP AGGTNSPNFGALLGAFSVPNLVLGDAADWYRFQTTGVATTADYVSLTFDHKQGDIDLY VYRSDGATLVNSDTGDNIYYNYDNAQVSLRGELAGTFYVKVVAHGTGAYQPPGIASYG LSIHAPTGPADDAYEEDDSKAQADAAPAGGTNSPNFGALLGAFSVPNLVLGDAADWYR FQTTGVATTADYVSLTFDHKQGDIDLYVYRSDGATLVNSDTGDNIYYNYDNAQVSLRG ELAGTFYVKVVAHGTGAYQPPGIASYGLSIHAPTGPADDAYEEDDSKAQADAATPGAA NSPNLGTLSGLTSIPGLVLGDAADWYRFQTTGVATTADYVSLAFDHKQGDIDLYVYRS DGATLVNSDTGDNIYYNYDNAQVSLRGELAGTFYVKVVAHGTGAYQPPGIASYGLSIH APTGPADDAYEEDDSKAQADAAPAGGTNSPNFGALLGAFSVPNLVLGDAADWYRFQTT GIGGVNDAVTLAFDHKQGDIDLYVYRSDGTTLVGSDTGDNIYYNYDNASVSLKSQLPG TYYVKVIAHNTGAYTPPGIANYTLAFSPPAAIGDDAYEENDSKAQVDAATAGAANSPN LGVLTSALTIPHLVMNDAADWFRFQTQGVGTTSNAISITFDKAAGDLDLVLYRSDGTT VVRYADNDYYNGNTGTETISLAGVPSGTYYAKVYPHTAGLGIADYTLTIAAPGATGDD DYEENDGKAQVDAAAAGAANSPSLGVLTSTLTIPGLVMDDAADWFKFQTQGVGTTANS VSISFDKNAGDLDLVLYRSDGTTVVRYADNDYYNGNTGTETISLAGVPAGTYYAVAYP HTQGFGIASYSLTIAAPAATGDDAYEENDSKAQVDAAPAGGTDSPNLGVLTSTVTIPH LVMNDGADWFRFQTQGVGTTANSVGINFDKNAGDLDLVLYRSDGTTVVRSADNDYYNG NAGTETISLAGVPSGTYYARVYPHTAGFGIADYTLTIAAPGATGDDAYEENDSKVDVD SSSVGSDNSPNLGTLVSSVTIPDLVMDDAADWFRFQTAGSGTTSNSIHIDFDKNAGDL DLVLYRADGTTLVSYADNDYYNGNTGSEAISLAGVPSGTYYVKVYPHTAGFGIANYSL TIAAPGATGDDAYEENDSKVQVDAAAAGGANSPNLGVLTSKRTIPHLVMNDAADWFRF ETQGVGTASDSVSVSFDKASGDLDLVLLRSDGIAVVRHADNDYYDGNTGTETISLAGV PSGVYYAVAYPHRAGFGIADYALTIAAPGATGDDAYEENDSKVQADAAPAGGTNSPNL GLLTSPLTIPGLVLNDAADWFRFQTDGNGTAADSVSITFDRNAGDLDLALYASNGTTV VRYADNDYYDGNTGTETISLDGVAAGTYYAVAYSHTPGFGIDGYSLHLDPPPPAARPG TLQLSGATYSVGESDGTATITVTRADGSDGAVSIHYATSDGTAKAGSDYTATSGTLNF ANGETSKTFTIPITPDFLVEGDEALNIALSSPGGGATLGSPASAVLTIRDDDAYGSFG FSSTSYSVAEGGGSATITVYRTGGTAGAVSVHYATSDGTAKAGQDYTAASGTLSFANG ETSKSFTVPITDDSLAEGDESLNLSLSSPAGGASLGGPTTAALTIVDDDVAGTVQFSA ASYSVSEGGGTATITVTRSGGTASGVTVHYATSDGTAKAGSDYTASSGTLTFGAGETG KTFTVPITADTLVEGDETLNLTLSSPGGGATLGTPSTAVLTIADDDVAGTVQFSSAAY SRAEGGGTATITVTRSGGAASGVTVHYATSDGTAKAGQDYTAASGTLTFAANETTKTF AVPITDDSLVEGNETVNLTLSSASGGATLGTPSAAVLTIVDDDVAGTLQFSSSSYSLA EGGGAATITVTRSGGSAGGVTVHYATADGTATAGSDYTAASGTLAFAAGETSKTFTVA VLDDHVTEGNETVTLTLSSPTGGATLGGPSAATLTILDDDDDAYEPNNSKAQVDSASP GGLDSPNLGVLTSALTIPNLVMNDGADWFRFETKGVGAVADSVSVTFDPAAGDLDLVL YRADGTTVARAAANDYGVGNQSPETIGLAGLPSGVYYAVVTPHDPLGSNPNYTLRIVP PAATGDDAYEENDGKAQVDAASPGAADSPNLGVLTSTTTIPKLVMDDGADWFKFQTLG VGTVDDRISLAFDPAAGDVDLVLYRADGTTVVRAADNDYDDGNTGQESISLAGVPSGT YYALVTPHAPGFGIDRYDLEIDAPGATGDDAYEENDSKAQADAASPGAANSPNFGTVD TLKSVLGLVMNDGADWFRFQTAEKGTVGNAVTITFDPAAGDMDLYVYAADGTTLVSAG DNDHDRGNGGIEAASLDGLPAGTYYAVVVPHASGFGIDRYDLEIAPASALVSIAVNPS NPTAPKGTTQAFTATGTYSDGSSLDLTALVSWASSSPAVATVDGAGRATALAVGTTTI TASLGGITSPGDTLTVSPAALVSIAVASPSGTFEFGSSQQLVATGTYTDGGTADLTGV VSWASSDASVITVSPEGLATAHGTGNATITAGLGGVTSPGFSLAVTPAGTTTAASDAS ATYSTSGQSIPLSATVGGGGGGAINAGSVTFTLVDGDGNGVGTPITVPVVDGVASGNY GLPAGTPAGSYAIRASYGGSANYSGSYDSGHGLTLGAAGTATAASGASVPFSESGQSV PLTASVTSGGGTVDAGDLTFTLLDGGGHAVGSPLTVPVQGGVASGSYALPAGTPAGAY TIRASYGGTPNFGGSSDSGRSLIVGASGTAIAASGGSVPFSLSGQAVPLSASVTSGAG VVDAGSVTFTLVDGGGKAVGTPLTVPVANGVASGNYNLPAGLPAGSYAIQASYGGTPD LGGSSDNSQALAVTPAATTTAAANASVPFSGSPQSVPLTATVSSPAGTVGAGSVTFTV LDGGGKPVGTPLTVPVQGGVAAGSYPLPAGTPAGAYTVQASYGGTPDLSPSSDSSHGL TVEAADVTVEVASVGWNQDSAPLADAADGIRLLPPGRANDLPWFNIDRIVVTLSEAVA LTAADVSVRGLKADYGPVTLSGVGTTTVTVTLAKPVAAADLLTLKIGNDRVVAYRRRI DILPGDVNDDKVVNTTDGVLLLNQATPAHAFQLNDDMDGDGSVTRADFLLYRPAIGTT LPAPPPQLAAGGSGPATAAAISDGALHAALDEAIAAWASAGLPAAGLARLRGVAVRLA DLPAGYLGTAAIGGTTVEISPDADGRGWSTGAAPVPGREDLVTVLAHELGHALGLADR DPAAAPGDLMAETLAPGVRRLPAPSDVAAIAASGSPDGLRPAPAGRDAAEAAAIGIAT SPASPPPRPATKGAAVSFSHRPMTVGAGPAGFTARYAPPAGIIAARKAPAGLTDTTSN PGKIAE OJF2_RS00100 MTLRKNPSRLVLAVALGLAGLASGQARAGLVLTTSSPPGTPLVV DAGMSSGPMSLSTYSDNSPADVMSAWNVQLIIVADPGTTGQLTFLTPGTGTPANPPDY VFGGDGLGIVVINTGETLSANDFFDPAVGPGAAVPASPGANLLQLTFSASADAAGLFG IYAVRGSATTQWTDADFTTRFFENVPDGTGVVRIGDVLVAGTAVPEPSSLAMACAGLV AVAGLAARRRRRRR OJF2_RS00105 MGDATRCKACGAEVPGGDLAGLCPTCLLRQGLAGASESAAVDGD ADITVAVGPADPSTLARLGDSLGPMPSVLLRDGDPESAPEPVVAPASPEMPRPGDRTG KLQLFGEIARGGMGAVLKARDPDLGRELAVKVLLERHRDKPELVRRFIEEAQIGGQLQ HPGIVPVYDLGAFADARPYFAMKLVRGRTLAALLGARPSPADDLPRFLSIFEAVCQTV AYAHARGVIHRDLKPSNVMVGSFGEVQVMDWGLAKVLARGGVADERRSMPAPADSPVA TGRSGSAADESHAGSVMGTPPYMPPEQARGETDRLDERADVFALGSILCEVLTGGPAH AGSSSAEVLRKAAAADTADAESRLAACGADPELAALVRSCLAPSVEARPRDARAVADA VTAHLAGVQGRLRDAELASARAQARAEAERTRRRLAIGLAASVLIAVGLGLSGWLWNA SRRRATERRFESALAEASARLGTARGSGGDASAWAAARAAADDLAALAGTAGLNADLA ASISRLRDEAASEAEAVAAAARAARRDRELMAALASAQSMRPEFGEAGTIDALRSTLA DAGLVLDDGREPALAVRALAGRPEAVRVAAAAGLDDWSALARTSNPGDESAWRRPLAL AEALDPEPTRQAVRHAWAGGDRDALLRLAAPESVDRLRPASVALLAGAIRDAGGLHDP AAAAVLRRGLLRHPRDVRLNEALGQYLAGQSPEGKAEAIAYYATARALEPASGHLLAH LLADRGRADEAEAIFRELAEEAGGNPVRRARHLSCLGGMLRRHGRPKEAETALEESAT AARAALRLAPDNPNVHLILGNTLRSQARWEPAVAEYREALRLRPEFPEARANLADALR EQGRTDRRPPPAGHAD OJF2_RS00110 MTGDSRAARFPTTCWTRVAIAGDPDDPGARLALEGLCRDYWPPL YAFGRRKGLGPDEAEDLVQGVFASLLERGDLAALDRSKGRLRSYLMAAASHFLANRRE AEGALKRGGGRRIVPIDRLEAEGRRPLEPSHRLTPERLFDREWALALLGRVLARLEGE SAAAGKSALFERLRPALQGDDHAPPYRAVAIDLNTTEGAVRVAAHRLRARYRELLREE VARTTDEAPAAVDDEIGSLLAALAPD OJF2_RS00115 MIPDLAKPKRPRRRDLEPARLTLRGVIWRSALLNLAVVLTSFPV LVAAGGRDTVVPLMLVLGGISLVIWAATFAVYGFATLVSVFRAPASSRKPRAAGVAAS AGLADRWIDGPAA OJF2_RS00120 MDQPLIVRGRYAGRTFIPEGPLPDTEGVAELVIIPGPPRPRGSI ADAFGTAAVPRSGDDILAQVRAGRDEWGDR OJF2_RS00125 MGDATTCRACGGGLAAPAGLGGLCPACLLRQGLGGSTAGGPELG VTVGPTDPDALARLGESLGGLPSVLLRDTDPESGPEPVVAPASPEMPPTDDRPGRFQL FGEIARGGMGAVLKARDPGLGRELAIKVLLERHRDNPDLVRRFVEEAQIGGQLQHPGV VPIYDLGAFADARPYFAMKLVKGRTLADLLEERPSPADDLPRFLSAFEQVCQTMAYAH ARGVIHRDLKPSNVMVGSFGEVQVMDWGLAKVLARGGVADERAPTPEPAETVVATARS GSGADDSRPGSVLGTPAYMPPEQARGDTRRVDERADVFALGSILCELLTGDPAYAGGP PAEVLRRAADAELADAEARLAACGADPELVALARACLAPAPEARPRDARAVANAVTAH LAGVQERLRAAELERAQAQARAEEERKRRRLAVGLAASVLLTVGLGLGGWAWDQSRRR ATDRRFESSLADASALLGRARGSGGDASAWAAARTAAGDLAALAAPAGLGPELSSRIA RLRDDAEREAAAVAAEAEAARRDGALIDALASARSMQAELGDAETIDALRAALAASGV DVAGAGDPAAAVRPLENRPEDVRMAAAAGLDEWAFLARVGTAKLGDEAWKRPLALAEA LDPEPTRLAVRRAWAAADQAELRRLAAPESVDRLRPASVLLLAGALRDAKGARDHRAA AAVVRRGLLNHPRDARLNEALAEFLAEGPPESRAEAMQYYRIAWALQPACGYALAALL KESGRAEEAERILRELAGIRGTPIIRGTNLHKLGQLLGEQGRSAEAAAALAEAEAEFR AAVRLRPDDAGGHIGLAMVLHEAGRSAETESELRTAIRLRPDDRPARFNLGLALIRQG RLADAEAELRAAVRLRPNDLLAHDTLGSTLQARGKLAEAEAEFRAAIGINPDDPLAHF NLGLVLQGLGRMAEAQAEALAAVRLQPDDPDSLCSLGLIRAAIGKYDEALADLRRGHE IGSKRPGWTNASGEWVRRTERQALLAKRLPAVLRGDDRPADADEGVNLALMAHDRRRY ATAARLFSDALRADPKLADDRHAVHAYNAACSAALAAAGRGEDAPKLDDARATLRAQA LGWLQSELAAWSGVLRSGTPTARLEVLRTLQHWQQDTDLAALREPEALAGLPGPERAK WKALWVQVDRLLEKAAKAP OJF2_RS00130 MSTAEAPPPAPLAPTPLNIEEELKESYLTYAMSVIISRALPDIR DGLKPSQRRILVAMRDLGLTPGASTSKCAGIVGETMKRYHPHGDNSIYPTLARMAQWW NMRHLLITGQGNFGSIHGLPPAAMRYTEAKLSPVAAEMLEDINLDTVDFQPNYDEKYQ EPRVLPAKFPNLLVNGSGGIAVGMATSIPPHNLGEVCDALVAYIDNPAIDLDEIMEHI PGPDFPGGGTICGQMGIKEAYLHGRGRVVLRARSVTEEQRDGRSQIVFTDIPYQLTKE PLLKKLAELVNNGRITGVSDIVDESDRKQPVRIVVKLKKGEDPNVVLNQLYEFSPLQD TFSVIMLALVDGRPKTLPLKEFLRLFVEHRVVVIRRRTQYQLRQARQRAHIVEGLLIA LHHIDEIIRIIRTSRDDNEARARLMGMEVSAQILRRALDDESAKASTSLTRMQADAIL SMQLRRLTGLEADKLAAEYAALKADIDRYEAILADEQLILGLIREDLLELKSKYADAR RSAISDEEVGDFDKEALIREEYMVVTVTHDGFIKRQLPSTYRAQGRGGRGIAATNTRD GDFLEHMFVALTHDYILFFTDKGKVYWIKVYDLPMATRTSGGRAMVNLLQLSEGEKVT GLVPVREFREDESLLMVTRRGTVKKTELTAFRRPLGRGIIALGLDEGDTLIGVQRVKA GDQVILSTRDGMAIRFDESDVRSMGRPAHGVRGISLEDGDEVVGMVVANGQDDPASLL TVCSHGYGKRTMLAEYRSQNRGGKGLIDIKTSDRNGPVVAVAKVTDADEVMLTTAKGV LIRTRVADTRPIGRNTQGVRLIRLDDGDTLSNLAKLPEEELTADGPLVPDIEVAGDGD GPAPDGLAAADEAPPAHLLDDGVAEAEASDHIDDGDAGDEES OJF2_RS00135 MEHAIKNILVTGGCGFIGANFIRLELAEREGPSITNVDALTYAG NPDNLADLAGNRRYRFVKADIADRSAIFDLVASGGFDAIVNFAAESHVDRSIDDATPF LRTNVLGTQTLLDAARAAKVPRYVQVSTDEVYGTLGPRDPAFEETTPLAPNSPYSASK AAADFLVRAAYHTHGMDTVITRCSNNYGPYQFPEKLIPLFITNALDGKPLPVYGDGMQ VRDWIHVRDHCRGVAAALRRGRPGEVYNFGGRSERYNMDVTKAVLELTGRPETLIRHV TDRPGHDRRYAVNCAKSESELGWKQTVTFEQGLAETVEWYKANSAWIDRVRSGAYRG OJF2_RS00140 MRALVTGASGFVGWHLCEHLVAAGDQVVGLSASGRWPEARAGLS RSVRLEAVDLADVAEEVLADLIRRKRPEAIYHLAAQSNPSRSVDDPRGTWALNLGGTL NLLEAVKAAGVEPRPRVILVGSGVCYGNPAPEHIPVREDCPLRPNNPYAASKGAADLL GIQHALGHGADVVIVRPFNHAGPGQSPSYVLAALALQVAEVEAGRKARVEVGNLDVVR DFTDVRDVARGYRLLAQRGRAGEVYNLGSGRGTKIADAADLLASMARVPVEVHVDPAR VRPVDQPLLVADSSKLREATGWEPEFPIERTLADMLDHFRGGLSA OJF2_RS00145 MIGRIEHAYHRRIEEVLAGGSLTGAADGELLERFATGSPGESEV AFAMLVERHGPMVLRVCRGVLRDPHDAEDAFQATFLVLVRRARSIRKAGSLGPWLHGV ALRVSACQRSARASRRARERRWAGDRPEADEPAEAERRDALRAIQEEVGRLPDRYRSA IVLCDLEALPLDAAASRLGWPPGTVKSRLHRGRRQLRDRLIRRGLAPAAVGSALGTIA ESADAAMTAELAEQTLLGALAASTRAAQVGAAARVAELAARAGRALFMDRLKAASMGL AALLAFGAGAAAMAGWLPGQEGRRPAAAEAPAQAPPGPATAKAAARPAPRSPLREGRR TIPLTIAGRAADEAGRPVAGAEIIITNANRSRTATEPDLLATGKSDAEGRFAIRDVPL PVLTPPPGPLPSAEEGRFQVAGTAPGFGFTWHRVRGFRPGPRPAAAEKGDLTASTAIH EGEPIRIDLTFGPPASLRGRIADDAGRPLADVPIQVGYIDEAMRPGGSGTWRCDRVDA TGGAVPQDLRSFNGIGHLPASLRSARTGPDGTYRIDGLPREAELLASIDPGPEFEPFV GTIATTSRPIEGILSLGHDATLDRAFAAPAECRFHVTRASTGRPARGATVRAETDATM LRGGSVGEADDRGEAVLHLRPGEYRFVVVPRPGELDLPARGSIRVRRGAQEPSIVILP SAAAVVVRAVDAATGDGVEGVSFDYEAETSRERKELRSRPGAMDHPTTGPDGRLRAVL EPGRYRLAAAEIPRGWKLGANPAGQAGSAASRFLALAPGAEAEVRFQVERAEAPGAEA ARDGEPGAVPKAIADRWESQRRLSRRGAARIRRYYFPGGDIPGRDLEAFLDATDLARV ADPVAAIRARFPALEAPGEVTYEILDDGRRRRNDYGFGPEKGLPQVTISNGREVLSGG SNAQVDIFDVAKGGRAVLGIEDLVHWPVPSRSPGARPAAGHGVPDGQGADDGRLTILR DGRRLVVDARTGFVHVDSWGDRRGGAGRSIRQYGPRTLAGGAIVPRVGVEFDIRGDVV SSCWLTLIDDIDLSREPGPLDFTMPAPAGMLIIDHREDAQNPRMGTCHYPVSDVLAYA ERFTSRSQFLEKVLKPGDDAPEIQAASWLTRDGKTVPPALRGKVVLIDFWGISCGPCI GQLPEVQAAADHFADRPDDLLVIGVHESGVAEGEVAEFARKRGLSFTMALDRPAAEAG WFGATFKDYGLRAIPAAAVLDRRGKVAYVGRFAEALAKAAELINGP OJF2_RS00150 MSTATSRIRPAAIPPLENGDVLTRQEFERRYDAMPELKKAELLE GEVYMGSPVGDEHGTSHSYLGYILTGYAIGTPGVQPCDNTIVRLTEASMPQPDLFLMV KPENGGRMRRGEGRILDGVPELAAEIAVSSASIDANRKRRVYERAGVVEYVLWRVEDE ALDWLVLREGRYEELAPSADGILRSEAFPGLWLDREALLRGDMARVQAVAQQGLAAPE HAAFVERLNRPR OJF2_RS00155 MATTTDYLALDLGAESGRGMLGRFDGERIALEEVHRFPNQPVKL LDTLHWDLPRLFDDMKAAIRKAATLSPGATLDGIGVDTWGVDFGLIGRGDTLLGNPVH YRDARTDGMMDAAFAAVSRERIYEVTGLQFLPFNTIFQLLALRRAKSPLLDVAETLLM MPDLFGWLLTGRRAGERTDASTTQLLDPRTGAWSDELCKALDLPRAILPGLIDPGTEL GPLLPSIAEEAGLSRPVAVIAPGTHDTASAVAAVPASSSSSSAPPDWCYLSSGTWSLL GVEVSQPVINAETMRYNLTNEGGVAGTTRLLKNIMGLWLVQESRRTWARAGREMSYEE LTARAQVAPPFSALVDPDDSSFLSHGDMPSRLAAYCKRTNQVLPSDEGAIVRCCLESL ALKYRWTIERLEGILGTTIRTIHVVGGGSKNALLCQFTADACGRPVHAGPVEATAIGN ILMQAIGRGKLGSIRDLRAVVARSFPAVVFEPRDTAAWDDAAGRFAALVK OJF2_RS00160 MARVKCRCGEVLEIPADASDRLKCDRCGAKIRIRRSPGPGPAAG DAAGDAASGDGYIRFACPCGRRLKVRAQDRPEAGKCPDCGRIVPVPESAYAAAGAGEA SRPSSRGGGAGASSPEGPRTADMDAHDLEQLEEWARRFRERPAAPRPAAAAAPGLPSS PLSPPPISGVKMEAGLRVCSRCGKPLHMSATVCRSCGEPAPRRS OJF2_RS00165 MSQGLSTWTGTEPSLAAKRDALIEALRGYGRVAVAYSGGIDSTV VAQAAYEAVGDAAIAVTAVSDSLASGELEEAQELARKIGIRHRVIRTEEFANPDYLKN APDRCYFCKSELYGRLSGLLAELGADVIASGANTDDAGDHRPGMRAAGENDVRHPLQE CGLGKEDVRALARAWGLPTWDKPATPCLSSRIAYGEAVTPERVRMIDRAEQWLRARGL RLLRVRYHNGDLARVEVPVEELPGLVRPEVREALVPAFRELGFKFVTLDLEGFRSGSL NGLISADSLTLRPSAGAPTNGKGNANGNGNGR OJF2_RS00170 MNPIQTNKWYGSKTRPSWAGPVTIKFESVQHLHHGQFYRTTEVI GIIAPGATSGRPSAPEPISPQRVSTAKNEPARYRYDEETGVNAYNTGSVDQEKGHIMA LELGGPDIPENIVPQWAKWQGSGEWRRMEVEIHDMAAQGDPSDPKSPGYRVMFHALVL YPEGLKVEWAGLRRVCTPRGFRLVLTKLDKVSGKPLGGAALTYEKEQAQNETDQMLAL RAFERLEGADMDYDDVVKKAEKGKSKSEFVSTGQNPLYAPPPIKSNAAPVDFGAYMQQ CGIKYSIDANNDIADESDDEDYDPSKDKMEMDT OJF2_RS00175 MSSERKVAVITGASQGIGAGLVQGFLDRGYRVVANSRSIEPVES ADRLAVGGDVADPAVAERVIADAVRAFGRVDTLVNNAGIFISKPFTEYTEADFARKTS VNLAGFFFISQQAVRQMLTQGGGHVVNITTTLVGQPVKGVPSALASLTKGGLDAVTRS LAIEYADRNIRVNAVAPGVIRTPMHGPEALASLAGLHPVGRLGEIQEIVDAVLYLEAA TFVTGETLHVDGGAHAGHW OJF2_RS00180 MTSTTRRPSPHSRPGRPATGRRALPRVDALESRQLLTLLGQQLF PSDNAWNQNVSAAPVASNSAAIINNIIGRYGDGRFHPDFGQDSRGGNPLYGIPFNVVH GNSQPKVRVVVDGYPDESDLMDAPIPANAVLEGDNQNGPVAGLANRGDSHLIVWDVDN DVAYEFYNASRPGENADGRWHAAQESVWDMKTDTFRPLGWTSADAAGLAILPGLVRPD EALPASQGGQGVINHAIRITLQNSTILNKYVYPASHVANTGTDASVLAPMGARLRLKA NVDISGLNPQSKVVAQAMKDYGVIVADNGSNFYASGASYSVDAGNNFTLTWSDADIQD STRGLKSLTFSDFEVVDTTPVVTGLSASSGSAGATVTVTGLNFSGAAGRLSVLFGGVA ATSVTVVDDSHVTAVVPAGTGTVDVRVQSGVTASDARNIKNPVFGYGTSAVTAADRFT YGGTTGNQAPTIATAAAASPSPVTGTTASLSVLGADDGGESNLKYTWQATASPSGGSP TFSANGTNAARNAVVTFHRAGAYTFRVTATDAGGLTATSTVNVTVNQTLTSVVVSPST ASVADGARQQFAASALDQFAQPLATQPSFTWTKVSGRGSLNRYGRYTAPSSGTGTAVI QAAAGGKSGRATITYAPLGTAVQALSTTKDTSTTTRVSHKRRHA OJF2_RS38875 MQYSVVNTTNLDELIGVVGRSLGEGWVLSGGIAVCVIGDATWYF QAMTHP OJF2_RS00185 MSPTVGLFLITWSIGTAQPGAPTLTLACTVNTPHEVLNGMGVIQ QAINPPLDFHTYVHGTYTDMTVMPDKTHILVVATGFPVQQPVILPPGHIGPAFEPNFH LRMVLDKDFKSGVANFQYLDGQGWHKVKNAPVKVIPNAAAPGA OJF2_RS00190 MPVDRDAGRFLYALVRSRGGRLVVEFGTSFGISAIHIAAALRDL GEGRLITTELNASKAATARKNLADAGLAGLVEVREGDALETLADLPDAVDILFLDGWK DLALPVLKLVEPRLRPGALVIADDTDKFESVMRPYLDYVRAPGSGYVSVRVPIGDGIE LSVRTP OJF2_RS00195 MPEEHADGLATGRPAEGVPAQGGPEGRRDADVRTIEICLRLATE AAGLGVFEWDARADAVHWGNDRMYEIFGRSRERGPIGAAEYAGEVSHPDDAGRYREAL DAAARTGEFSFSGRFRRGDGELRWVEMMGRMMHAEDGTPLRMAGIVADVSDRHASQLQ SEMLAAIVASSDDAIVSKDLRGVITSWNQAAERIFGYTAAEIVGRHISTLIPPGHDED VVHILSKIRRGERVDHFETKRRTKDGRILDLSITVSPIRNAAGDIVGASKVARDVTER NRARAALRESEEQLRQITDVLPQQIWTARPDGGLDYVNARAREYAGDAASRDGAPRWA EVIHPDDRDASAEAWRRSVATGDPYEVEQRLRHAGTGAFRWHLCRARPVRDASGRIIK WYGTNTDIDDRRRWEAAVREARDEAERANRMKDEFLATLSHELRTPLNAILGWAKILT SGRVGEEHSREGLAAIERNAVAQVQIIEDLLDISRIVSGNFRLEVQRVRIQDVVEAAV ASAMPAAMAKGVRLHKMIDSLAGPVSGDAARLQQVVWNLLANAVKFTPKGGTVQVLLE RVNSHLEIGVVDTGIGMSPDFLPHVFDRFRQAESGTTRRHGGLGLGLAIVKQLVELHG GTVRAKSPGEGAGSTFIVSLPIAVVHPEEAGPAGLMPASPGDEAGRDRLLEGVKVLVV DDEPDARQLIHRVLADRGAEVARAASARDGLALVESFGPDVIVSDVGMPEQNGYDFLR QVRARRSPAELPAAALTAFARAEDRRQALLAGFQTHVAKPVDPAELVAVVASLAGRTQ TV OJF2_RS00200 MRIRPMIPWLAGALALAPGAGWSQSKGLQEPRPGGRAIRLVAMG DSITRGVREGVRPEETFAALAERALRSEGMPVELVNLGAGGERTDRALGRLDEVSGLR PEVAIVMYGTNDGHVDAGAKASRLSLDQYRENLRAIVAGLLLRGVEPVLMTEPRWADD APADGLGEDPNVRLARYMEACRAVAAECRVPVVDHFARWTEARSKGQALGDWTTDGCH PNPRGHRAMADALLPVLRRRLRPAPRPVPFVTRLEAVLAHDDGRFLWYHPRPAAIPSG PGAAPRVVLTLQQHLHVSDYYSGLSDLTSDDLGRSWSGPRPVAELDWAREPGGVVVAV ADVTPMFHPPSKKVLAVGAQVRYGPKGEQLEDRRRSNQTAYAVLDPATGRWTRWRRLE MPADRAFDFARSACAQFVVEADGSVLLPFYVAESADGSYRVTVVRCTFDGDVLAFRER GDVLSLDVARRLYEPSLIRLGKDHFLTIRNDRKGYVTAGGDGLHYRPVKPWTFDDGED LGSYNTQQHWLAHGDALFLAYTRRGANNGHIMRHRAPLFLAQVDPEQLRVVRATERVL VPERGAELGNFGAAAITDRESWVTVAEGVWDDAARRRGAKGAVFVARVLWDEGG OJF2_RS00205 MPPEDLEISRDSALVHAVSFLTIVQRSLQAVPPGVEDLFVGDLA DEPTLIFDLNESPLFYDFPVALNGRPLGSVRVAATKELGHPWIAIQQALPFDTAASLQ RAQALLDQEAAGSQIIEAKPVCHLYPNVGLLLRAATPGGRTVVRLFDPENPSRPLTEI PEGAPPPTGSEAEGPGPYSLLESLSEGTDPRPEFDRFDGLIERLIDEGSDSSTSSSPT DRPRRSIEDIKNALIWNAANQAEMLTRPAGRRAEGEASDQPEGQETERVLAVGHVRQE GQVFCVLACIRMIADSLQVGTLPGQQEMAATLQALAPPLFIPNEGILPSRQVEVFEHF FPLGFEVIFDDTPTWQEFVGEIDAGRAFKSGITRHARVAVGYQVTPVRPTQGESGILQ RSLWINDPSRSAVMLEAHEVVTLDPNDPSVTLSVTPRVPRKNNSIFVRPSGP OJF2_RS00210 MNLRLSCRPAAPVALLVGVWDPVLPEHLDLFRLLRDDATARGLH PIVATIDPNPGVFVHAPVVWQDYDDLASRLALQEGCGLGGSLLVEMGEADLQSDAAAF LGAVMAVLPLGELWLGRRQSFGSGPAGSLDAILAYADSRGVTVRRLAPSLARFTCRTV RQYLRAGRLRDAIELVRRPPARARPDSGSLPLPWAPGDYLAVPVDGPGGRPAGEPTCL TLSSRDGTPPSVPWPGRADWLAFLAGPADGPAESCEPSRAGARDGRSVHQPG OJF2_RS00215 MESGRIAGLRWPQAAEYARALDRFGDSLGTRWRVAEYQTGRVRW RSFRGPGPLPGQGWKIHLSIAASEVLGLFDEVLPYLARHEASFKLPSGLEGYLLLNGG EAGRTQVGKALTVYPADDAACRRLAIGLDARWRSARGPGVPFGLRVGPASAVYLRYGA IGGRDVLVDAFGRFSPALRSPEGRLVEDRRDLPGGRPPWAPGPPVESGAARGGRLPVL ATVGGRAYYRLKTLHSSAKGDVVLGVDAATGAAVVIKSARRGVGGDPLGHDAVERLSN EFRILSRLREAGGVAIAPAPLGLEAGETALLVLADVPGTPLHQLPRAARGPALAGLAR AVASLHDRGFVHRDVKLSNAILGESGVCLIDFELACPAGHPAPIPGGTRGYLPPGDPG PADAAADCYALGATLAHAVLESDPGLMPAGRGRLIGLLHLARAHDAADLVRRLTDADP AARPRAEEAARRMEAAASGGPPAEGPPPRARDAGRRWAIRASTEAARAASGFLVAGPD GHWWRNRHLYSGYACEGINLGAAGVVLGLISVATALRDRRVAADVGPAIDWLSRRGPT PESPGLFTGDAGVALALVAGGPYSADPGRARDAALRRLSAAAASVEELDLFSGAAGVL WAGCLIAEISGDASPLRIVEHLAGTIVAAASEADGVVAWAPSGRLDGVRAPHVGAAHG AAGVALALAHWGRAAGDPGASGLAREVFAGLDRGARTPDGLSLRRFLGPGSPAAPLGD WCHGPAGYLWCLLQALGDDPRSSPAVDRAASAFRRASMVRNPTFCHGLAGQLELCRML GALPRHEGWAARRGARIEAVLRLLQQRRGGGVVWSSEDPEVITPDLWVGFLGPAAALA MGTRPGHAPLLSGPWLRECRELAS OJF2_RS00220 MGDTGYANPPAPGPSVRVINGVIQPDAATIRTVLLELRRAMEQK PELAQRFQDDPGRVLGDIGLNREIQAEFMEEEGMEVPEGLDCAVTGCACTGCCVTSFG OJF2_RS00225 MNATHRIVGMLVTLSALLDAAAARADAVKPWAGASASTTVFKQA SSQSGATATASGAGDTDVSYIGYDRATYYNITVHGSASASATGRADGLLEVGGHYNAG NPGSMTGSAGPIGPLSATAGWDGDRVIVTPPAGGAMPGSVRLNFSLAFQGPPGYQDAV WQYGSLQVDANGRSIAIRPTGWIYGPPENRVTGEFDSLTASKSAADQYVGTFHIDLAL NPSGVSDPFHLSLSSLPNVGLMRNGGYYSDQWASLALTGVTLPDGSPLAAAGYGVSFA SGLLVDPSPVPEPASLACWALVALGASRVIRSGRREPGPRAE OJF2_RS00230 MTPTLVRRLTYAGAEARSFKRAAIVMKQVAGQPVSAKTIERVVR DVGLELARRRDADPRTDDSLARRPEGPPALAVVECDGGRIRTREPGHGPGVHRTSEGW RETKNACLIRARPTTSEEDPEPEPPACFADPEHVAKIAETEALSVASMASPPESPSRA GEPPEGMEMVPPADWRPKRSVRTVLSSMADSKEFGKQMAREAKRRRFPEASAKAFLGD GLAWNWSIRKRHFGEFTPILDFIHVLSYLFLVAKAVHEGPEDAWDRYLAWMRGAWRGE VGQVIEELQAWRAKLGEPPATAPDQDPRKVLAVTITYLSNNEGRMRYPEYRRSGLPVT TAWMESLVKEVNYRVKGTEMFWNDPEGAEAILQVRAAALSDDERLEAHLETRPGCPFT RRPRAPRLTRKKIRS OJF2_RS00235 MFCNQCEQTAHGTGCAIDPGVCGKDADVQSVQEMILYGLKGMAA YANHARRLGKSDESVSAFIEEALFCTMTNVNFDLASLLDIALELGRKNLRVMELLDAG HVEAFGRPSPAIVTRGTKPGPGILVTGHDLADLHDLLRQVEGTNINVYTHGEMLPAFM YPKLREHPNLAGHYGGPWQKQKQEFPAFPGPIVATTNCILIPKADYADRVFTTRFTAV PGGTRLATNDFSAVVDKARACPLLTRTSQGAFQVGYHRTVLLDAAPTIVEAVKAGRIS RFFVIGGCDGAEPGRNYFSDYARNTPPDSFILTLGCGKYRINGHDYGEHLGLPRLMDM GQCNDAYGAIQVALALAEAFGCGVNDLPLTLVVSWFEQKAVAVLLTLLSLGVKGITLG PNPPAFVTPNVFRILQEQFDLRLTGADAKRDLLAVLN OJF2_RS00240 MDTDARTILEGCRLLASVSGDQRERLVAMAEVRRYERGTMIFRQ GDAPPGVFIVGAGLVRVFLVSPSGKQHVLHLVSPGLTFAEVAAIGDFPCPAFAEAASD ATCLLLPERPFQAALREDHALCLQLLGSFAMWVRHFVGLVEDITLRDAAGRVARFLLE AAGPDGVVRLPSLKKDMASRLNLTSETLSRTLRRLTDEGLIGSPAGTGAEIRLLDRDQ LGRVSDGLGPNL OJF2_RS00245 MEPVVDLIIPVYNEGANIARALDEIEAKVPLPKRIIVVYDFDED DTLPVVRELIPSRPGLELVRNTRGRGVLNAIRTGIAAARAEVVVITMADLSDDVAIIP RMVELIRDEEYDIVVGSRYMKGGRQVGGPRLKKFLSRAAGLSLHWLAGLPTHDATNAF RAYRREVLQGLTIESDGGFAYSLELTAKAHAAGRRIAEIPSTWHDRSAGTSRFRLRAW LPHYLKWYGYALTHRPPARRGEGRDRG OJF2_RS00250 MKTLVTGSSGFIAGYLIEELLAHGHEVVGLDNLSKYGPVSRGFD SNPRYRAVEGDAKDPALIGELLEGCDHFVAGAAIIGGISLFHELAYDLLAENERITAA AFDAAIKAHRAGTLRKITVVSSSMVFENAATFPTPEGEQERCPPPSSTYGFQKLATEY FAKGARQQYGLPYTIVRPFNCVGVGERRAKYDREVRSGNVRLALSHVVPDLVQKVLKG QDPLRILGDGRQVRHYTYGGDLARGIRLAVESPAATDEDFNLSTERSTTVLELAGTIW RMIRGDDPLRIEHDPPYPHDVQRRVPSVEKARRVLGFEATTPLEEALAEIIPWVRDQI AAGGI OJF2_RS00255 MGPFRYDVCVVGGCGHVGLPLALAFARAGLAVSVHDIDDRAVAL VRSGRMPFLERGAEPILREAIGRDLEVANDPGLVTRSRHLVVIIGTPVDEHLNPKFHA MRRFFLDLRPHLADGQCVILRSTVAPGTTEKVHALLAKTGLDLHVAFCPERVAEGYAL EEFATLPQIVSGCTERAVAMASELFAKVAPSLIRTSPLEAELTKIFTNVWRYIQFATA NQFLMIAADRGVDFYRVFDAMTRDYPRMAGLPKGGFAAGPCLFKDTMQLAASSDNNLL LGHAAMLINEGLPSFVARRLKDRYPLDRMRVGILGMAFKAESDDHRESLSYKLRKVLE AEAAEVLCTDAYIDDPTFRPLAEVVGRSDLLIVGAPHREYRSIEPPASTPVIDLWNFL GRGTGLA OJF2_RS00260 MVHRGGGILPAPSPPVNTLPRRILIGLLFALGVARAVAYFAYAA HALPLPIEAFHLEAKMVLLAERVVLGETLYPAWREYPHVADFFGPIYFGSVGLIGRAA GADIPALFLIGRAVTVATGLIASAVVAVAAGRRYGRAAGLLAGIATIGSAPMAGYSVM VRPDVAAEMFGVAGFFLSRRRSAPGLVAAGVLLACAVLTKQTTAVFLLAAGLGLAAEG DWRRALALLGGTAALLAAVVGVVTASVEPRFASCLMGESRTPWEASSLASTLSQVWMH APDLLVFGVAGLVAWASGATGRREPALAVLAAVLLASSVGLSAKRGAALNYYLSLRIL EGLAAAALWQSARVAGTRLRAAGLAAALLLASCSMVPGLLFMVLQADHAVATWEFLGA PPGMQMLGTYRALFRMASAPGARLLTDSGLIDLYHRDRAVFGDPWLLHMLAETGQLDL TVLRERADSGYYDLVVTTSPIEEPFYADYDFGLPMPVVERLRARYARVGVRDTLFFYR RRPSG OJF2_RS00265 MADDAKDLSRRDLMRLGAAGVAAAGVTGLPVIAAQDAAPSKLPT RRYGRTGLEIGWLVGASDWPKELIPRAVNAGVNYWHKAQVWTAETMPPALKRQPRESY FLECVVDRVSGDHTRGKIDEEQHYQFVKNRLKASGVGYYDVFKFHFGYHSVEEAKSSP GMVRAFERLKKEGLVKHLAISQHHYPNIGGDMAWEIMNYLADKEPYEATQFFYTYNDR KEVQEWIDVAKKKDIGTIAMKTMGGVGRAAQDGKFKKLLADPKFQGSTPAAAMVKWLR SNENLTAAVIATKNFDQFRENSGAAAGLAMAPQDREALGLLAAYNKGLTCLLCAECVS ACPEHIGISDIFRYERYARDYHELDRARAEYASLTRNGTSCAGCGDCLPSCPQEIDIA AKLKDVHKLLG OJF2_RS00270 MPNNTRRVAACGLAVAAWWLLSSPDLRAQATHTSHDWAQSPAGS GEGDSVRDFQARLRSALSLLEGRPELDRPLPLAASVAAEGRTGIRHLRIRDFDILSDG ARETAEFELGAGSWPSVVGVLGSAVAGDFLTRAAIKGSPIDGLEVVFTSRPEAARSRG TGDRVVYPQALAYTAFIDSPASDEELEGLRAEVERLSPVLNLVARPHDIEHGRLVYTQ TPTRREGRTLAGLREFLEDKDAASRGARPPEGRRAEPPRARDDGRPPLRAHVKVEGAT GIRHIRTDLKNYQVIHDYPRHLAGHNLGPVPEEHILGTMITCLTHIYEIEAARRRVAM DTLELDVEGTLTTRLGNAASPPSYRDIRYTVRIGSPEPRAKIEELQRAVEAVCPIYNM LKNSQPIAGRIVRGPYSVEKEREVAR OJF2_RS41060 MTSTTRRPSPHSRPGRPATGRRALPRVDALESRQLLTLLGQQLF PSDNAWNQNVSAAPVASNSAAIINNIIGRYGDGRFHPDFGQDYRGGNPLYGIPFNVVH GNSQPKVRVVVDGYPDESDLMDAPIPANAVLEGDKQNGPVAGLANRGDSHLIVWDVDN DVAYEFYNASRPGENADGRWHAAQESVWDMKTDTFRPLGWTSADAAGLAILPGLVRPD EALPASQGGQGVINHAIRITLQNSTILNKYVYPASHVANTGTDASVLAPMGARLRLKA NVDISGLNPQSKVVAQAMKDYGVIVADNGSNFYASGASYSVDAGNNFTLTWSDADIQD STRGLKSLTFSDFEVVDTTPVVTGLSASSGSAGATVTVAGLNFSGAAGRLSVLFGGVA ATSVTVVDDSHVTAVVPAGTGTVDVRVQSGVTASDARNIKNPVFGYGTSAVTAADRFT YGGTTGPTAAAAFVGTDTTDQGNWRKAFGADGYNIAGDSGAANPKLPSYATLAVNGAS TYVWAASTTDPRALQNAANTGRVAGTFYSSKAFSLDLNLTDGKAHQVSLYALDWDLRG RTETIQVVDAGTGTVLDTRALSGFQNGKYLTWNLSGHVLIRVTNTGPSNAVVGGLFFG AAPAASGASATFLGTDSTTAGSWRGVYGADGYNIAQDASAGNPKRPSYATVGLSNALN YTWAASTTDTRALRNSANTGRLAATWYGGGSFSINVNLTDGQAHKVSLYAVDWDNQGR NETIQIIDNATGNVLNTQTVSGFRGGKYLSWSIKGNVTIKVTRVSGPNAVVSGLFFN OJF2_RS00280 MMPVQIRPGQAEVHPPLRILLADDNKLLRASIRALLRGLGHSVE AVSNGREAVESAAREEFDVVLLDVQMPEMGGLEAARALRRQAGGHRPRIVGLSAEGVE HGAYSVAGMDAFLVKPIRLADLIGITARRISPP OJF2_RS00285 MMDELRRAELDLAHLATIVESSDDAIVARDLDGVITAWNLAAER IFGYTAAEALGRPIAFLVPPDRRDEESSIMAKLRRGERVDHFESVRLAKDGRAIDVSL TISPIRDRSGRVVGISKIARDITDRKRAEEAIRASERVYRAIGESIAFGAWICDPEGR NIYASESFLRLVGLTQRECSEYGWGRVLHPDDAERTIAAWKECVRSGGTWDMEHRFLG VDGRYHPVLARGVPVRDDDGRVICWAGLNLDIGRLMEAEEALRRSEERQRRALTAARL AHWEWDIGADRITYQDSLQLLYGRPDDRPFADFPEYLTIVHPEDRGTVRRAAERAMEP GVPYEVEYRIVWPDGTVRWLAGRGATVFDGRGTPSVMAGVNLDITDRKAAEAEIRLLN EGLERKVRERTAELAAATEAIRESEERFRGAFDAAAIGMALVAADGRFLRVNGSLCEI VGYDEAELLGRTFQDITHPDDLDADLEHVRRIVADEIRSYHLEKRYIRKDGQVVWVRL SVSLVRGGAGLPLHFVAQIEDITDRKRADEALRRARDEAMQATRAKGDFLANMSHEIR TPMNGVIGMAELLLDTQLDDLQRGYAQTIRSSGEALLTVINDILDFSKIEAGKMTLEP ADFDLVTLMEEVADLLAPGAHRKGLEIHCRVAPDVPGRLTGDPVRIRQVLTNLAGNAV KFTERGAVCLEASVAGRDRGGVTIRVQVRDTGIGIPAGRHSDIFESFTQIDGGSSRRH GGTGLGLAICRRLIDLMGGRIGVESRPDAGSTFWFELPLGEGRGGDGPAAGPLGLRVL IVDGQEASRAILRETLLGWECRPEVAASGAEALDRLLANPEDDPFGLILIDREMPGMD GEQTARAIKAAPRYAGVPLVLLTSLGSHRAGEELDDRIWAARLTKPVRRAQLQDALRL AAAPGPCRDGRPGAEAAEGASGRPLRVLLAEDNEVNRRVAIGMAERLGCAVEAVGNGR EALEALDHGRHDLVLMDLQMPEMDGFAATAAIRERERRTGRHIPVIALTASAMQGDRD RCLSAGMDGYISKPIRPGALRDALRGWGPRGAPPSPPGPNPDPGHPELSAATLAESCG NDPEVTREVLGLMLEDVPARLEGLREAVEAGDGRRVAWEAHGLKGAFLTVGANALAAA CQELTAAGERGDSAASALLYRRLRDRWGRLVEEAARHLGTLPAAPPR OJF2_RS00290 MKVLILGGTNFLGPPLVRRLAGLGHEVAVFHRGRTRAELPAGVA EIRGDRHELRAHASEFRRLGPEVVVDMIPFTEADALGVVDAFRGLARRAVAISSADVY RAYGRFLGMEGGPVEPTPLSEDAPLRSALFPHRAQAWGPEDFLRDYDKIPAERAYLGD PELPATVLRLPMVHGPGDPYRRLSGYLGRMDEGRPAIVLDEVMARWKCPRGYVEDVAA AIARAVLDDRAAGRVYNVADPAAFTEAEWVARIGEAAGWRGEVLTAPAGRIPLPFHCK QDLDTDPSRLVRELGDVERVDGMEALARTIAWERANPPGTARAPGLLDHASEDALLAE IRHR OJF2_RS00295 MTSRVAGRLTRTIRNIFGASHRDSRARGRRLAPRPEALEGRELL TGASGVWSFASAAKLHPMKVNVLKLQPGVSLNPVFVAPYDQSPDPSILVGQTGPLIMD TAGNPIWFRPFSNNNKVQVLDFRTQTLYGKPVLIWWQGQIAGIVKSSSPAGTSVGGHF VIYDQHYRKIMDVRPPGGSSMDLHELTITPQGTAFFITTKRVRADLTPYGGARNGQFV DAVIQGINLRTGRRVFAWDMAAHIPLGDSVVPVTPGKPWDPYHVNSIDVSPDGSQILI SSRNTWAINAISLQSGQVLWQLGGKRNEFRLPTDLVTGPYGSAFQYQHDARYVPGGIS LYDNAGIGAPPNAGPFGPARGLILNLDIPNRAAGLARPATYHDPALYANSQGNFQVLA NGGAFVGWGSESQPGEGLSSYYTAYAADGSVLADYVLAGQDISYRAYTLPWVGLPLTK PSLAVAVGGGGATVAASWNGSTETASWQVLAGPSRRSLVPVAAASRTGFETTIPAASA GPFFQVRALDAGGRVLGTSAVLRVRG OJF2_RS00300 MPRAYAAIYVGDYDSAAWLYRKMPGSGTTPRGQVPLGRAFNPAL AELLPVGRSGIVPTAGAPT OJF2_RS00305 MSISRIVVMLGILSMLGGGRLLAAPRGAPGQGPPAPGGSSALRR DWLSRWERSILADARNRYCDRELGEEIGWLISPFEDGFLGGYEATRDTKWLDRLVDWG DAWTARGVAEPDGFLGWPKSEGASTDAVPGFTTDNMLGEAMGLTPLVKMARIVKQTPG LQPRFGAKAEAYLKLAERTFAKWDARGCWREVEGGGVWVVPPFGVDLAAGKFTEGYEL RRTGGFTNPANKQNLTALWLIALFDATGKPVYRDRAEAWWRVMKSRIRVRDGGRHVAW NYWDPAGPWDYKADGSPRHWVGVHPNGGYYAVDVEGIVAAFEHGLVFARADIDRLIAT NRDFMWDHAVKGAKFGRIDGGRPDPRWRDSPGVLWAALVPYDETLRSIFEANHDPASW GGLAATPWYLARMEGP OJF2_RS00310 MKGILMNTQSIEWAEPTDPGHPKAPARRTLRFVVGLAAVVGVVL AFYFLFFQGGLLLAVGIFLELISLLGPAQGMEGVRWMLMIPAAMVASGLCISFGLMDV AFRGITRRPLPGRSAVLRFCLISLIFIAATMAAVGLFVGAMRA OJF2_RS00315 MNEPIRLMRRSGAQGDPVDPLLSREWLVTNGLGGYASGTVSGVP TRRYHGLLVAALPAPLGRVMMFNRLSERVLLPGGVAGRLGGLERGGSLKVHAAGSLVE FALEAGLPAWRYRVGPALIEKRILLPHGQNTVHVTYRLLEGVEVARVTARIGLNIRGH DAPVSTPPKLPYTFSMAGDRFEVQAGPGCPTLRLHLWGTRPYMVLASEVTRDVYFRIE AGRGYSDTGDFWSPGAFRFNLRAGRDVTLGASAEPWATFLTLGPEAARAAETGRRGRL IEAAPEGLRAGLGAELVLAADQFLIAPAGRSADAVREQAEGDEARTVIAGYHWFTDWG RDTMISLEGLTLATGRTREAGSILRTFGRYVRDGLIPNFFPDGKDEGVYHTADATLWF FHALGRYVEATGDRATLRSMLPTLIDIVDHHRRGTRFGIGVDPADGLLRQGAEGYQLT WMDAKMDGWVVTPRRGKAVEINALWYNALRLLEGWARAEGRDREAGAAGRSADQARAS FNRRFWNEAAGCLFDVVDGERGDDPACRPNQLFAISLDHPVLDPSRWAPVLETARAKL FTPLGLRSLAPGEPDYKPRYDGDLRTRDGAYHQGTVWAWLIGPYVDAWRKVHPGDEQG VRDALAGFASHLDEAGVGTISEIFDAEPPYEPRGCIAQAWSVAEVLRGLASISEGAPT ETGAPPRAEEGR OJF2_RS00320 MSEESITARRVEAGTPSRWPARGGEEIGAVPLGARGTGFRVWAP RRSRVEVVFEGAGRGGRASGLTAEGGGYFSGVVPWARAGDLYRYRLDGEGPYPDPASR SQPDGPFGPSQVVDPSAFAWSDASWEGIELPGQVLYEMHVGTFTEEGTWRAAEAHLPG LAELGVTAVEVMPVADFAGTFSWGYDGVNLFAPSRHYGPPDDFRRFVDRAHALGLGVL HDVVYNHLGPKGDFLDKFSDDYKSRRHVTEWGPGLNCDGPNCEPVRAFILANAAHWVR EYHVDGLRIDAVWQTWDDSDEHIVAAVTRRAREAAGPRSVLIIGEDEPQESRLLRPRD RGGFGLDALWNDDFHHVHASALTRCGEGYYADYRGTPQEFVSLWKHGVLYQGQMNLRQ GKPRGMPTRGVAPRSFVNYLENHDQVANTLRGRRLHERSDPSLHRALTALFLLSPGTP MLFQGQEFAASSPFLYFNDAGDGEELKRSRAKFLGQFPSLATDEARAAFPNPADPEVF RACRLKDADRAARPAVRDLHRDLLRLRREDPALRLQGSGGLDGAVLGPEAFVLRCFGE GGDDRIILVNLGRDFLYAPVAEPLLAPPEGRRWEMLWSSASVRYGGDGTPGPETADGW RLPRVSTLVLAARHSTGV OJF2_RS39815 MNQAVALPTHPKSSAIAPDGLLASDPGLPVWRKVNAMAAWQDTI WPPDGWRPDDPAVPRGREVFDEAGCVRCHAGPDLTDHRVIPAPEVGTEQARAGALKKT GLAFVPSVLFAFDAMVPVAAGAATLEVPMTADPEQVELAFAHGDSPGGYKTPSLAGLY WTAPYLHDGGVAAGRDAARVGLPGTLLDGVPPDPAESLRALVDRDLRGRVVAANEAAG LPSVHVTGAGHEYWADAAAGFGRRDQDALIKYLLSYRPPSP OJF2_RS39820 MSKRARLAPAWVRASEFRAWTLFVWALRPRNGPTANGPLKANGW FQREKAVGSCVGAATRSIIESKPPGGHFRKMASTASSSAAASGSAADEPSDAVTRSPA AGPAGAAEAGSSACGKKAAELVASASSSPALRSELGAPWTTRPEPGSTVEWQAAQVIP IRRRPNE OJF2_RS39825 MTFIPSGTNAPAGTSRPVSTYSPARKSRPPTLADLASGTRRFVV PFPRSSSSARPTAGKVSGPLMAPRMSVRKTSLPKVSA OJF2_RS00330 MCTDGAAAGGVDFAPGNILGEGKCVPIAMSGDATRELWRFEAAG LEANGAAVANDVIDFKPSSDPNLFRGPGGIAARGLDRDGHDGRGRD OJF2_RS38880 MRTFILAAAVAALLPLAGRADAGPLNVTGLLSWTPDGANFDYSI TLTNLATSTDSIQTFWFAWVPGEDFLTTSPLSATPPSGWTSLVTHFPNLPTNGYAIQF KTSTDAIAPGESRVFQFTSPDAPAVVTGHSTFFDHPPIGTSFVYSGQPFQGDSLRFVV ASVPEPTSLALGLIAAPALAALALRRRRRAG OJF2_RS00340 MGPIRHGATFAILISLLGGAVARGQSVLDQVSDFGREAAGAVGD AGARLDKERLKIMSPTPRPGRDYTKVYLHNQTNRKVFAAILYVHFETSGQSLSTVGGG DDWTPLQWFALNPNERVHVANTRNANVYYYAKDGAREWAGDLARQVRDGSELKVVKYR IARVMPFREESDIAITERGATPIANAGAGLAASKPPETNFKIDGQGRVTADGRPLGQA RRVQNRENGRAAWRYDIPNGWSLIRYDGSNQTERHMYRSNPVPTAMKLNDRGEAVAVT PAPAAVVPTPAVAVPPSEPSPAAFEAGAPPPRPDESPGAGETFAAGLGIYYERVDYGD GTFGARLTRDAAPGTPAGTLGLEKGDTIFALDGLRFRTPEDVLNHRAQTTIDFVNIRT GAPQNGSLVLP OJF2_RS00345 MNPDIQEAPPEQPPRRPFSLLLARPVSLRLPGALHPEGEAGAVP ARALMDRESEGESFGLTLTEDG OJF2_RS00350 MPVSREPVTVLLLGSPAHQVIRELRRHLPEASTLVVGDDWLQRE RTLEWRSPAPGGDPSPILRLGDRALDRGHLRSIYVHPACRRRSDGILAPRFLAPHDAV PARDGPFAESEAEASLLGGLAGFRGLWVNHPASEWLADHKLHQLEVAHANGLRVPATL VSNDPDSLRAFHEAHRGEVVTKAVSAHPNRLLGDEVVITRRVEIDHLGLLDRASGPTL FQEFIPAALDVRATVIGDDVFATAIDSQAGAGPLDWRLDYTVSMRPMALDDRTRSALL AVARELGLSYGAADLRITPDGEPVFLEINPRGAYLFAERLAGQPMTRALADLLLRGRP QVPRPPGAAHRAGAGGGMAIGGPMGHRMDLESRRDPGGDEPG OJF2_RS00355 MRMARDPGGLGRSGGGGGGRQALGHPLRERQAAFNATSRGHWEG FAEHRGRLTGVLCRGAEAGRSRLCVLGAGNANDVDLPGLLGAHGEVHLVDLDARALAL GAERQGVAGHPRLFLHGGLDVTATLDVLSGWHPLSRVRPADLEALASWPADRVPTALP GGFDRVASTCVLSQLQETAGHALGPGHGQLEAVESALRAGHLRLMARLATPGGVATLV TEIVSSDTLPDLPGRPAEDLAGLLGRLRRTGNHFRGMHPEQLLAAIRADRSLGATALE ASPLPPWRWRLHGRTYLVGGVTFRPR OJF2_RS00360 MPFREGVGIRSSRVRSAAWAFAIVGLVGGFGPGPVPGAAARGQQ ATTGAKPQDDRARALELVDEHRDLEALPLLEALAKANPADREVQERLAQALVTQSATV KPEEGAALRRRARAILVELKKTGDLSDLSELLASGIPADGAMPSFSTNAEVAAAIKEG EAAFGRRDFEAARAAYTRAIRLDPGSYIAALFVGDTYFAEGKLREAGTWFERAIVADR DQESAHRYLGDTHVRAGRPASARLCYVDAIIAEPYKRQTWAVLARWAEANKVRFGHPR VIPEELDPTEAGGDASKAKAAAAVKPGGPDDGRSEWHRYAEARAAWAKSKFKEAHPAE PAYRHSLAEEVDALRQVARAIEADVKSGRVKEPHPCFAQLIRLDKDGLLEAHILYARA DAGIAKDYEGYRASHRGELRRYLSTWVVPFEPRTAEGPGATSRR OJF2_RS00365 MEPLEILVIYGSVRHHRQGIRAARFLVAECVARGHRATLIDPAE EQLPLLDRMYKEYEPGQAPEGLERLAGRIKAADAFIVVSGEYNHSIPPALSNLLDHFL EEYFWRPSAIACYSAGPFGGVRAAMQLRAMLCELGTPSIPSLLPVPAVQDAFDDEGRP KDEAYRRRAGRFLGELEWYANALKAARRGGVPY OJF2_RS00370 MTVRRPDFLASPASSAPASEAPGFLLARFTARKMMRAAAAIGLA LGVVQCGRYYLASRQYRGEATFHSELAAFYSAQERDQRHHAELIDYENDAWKRRGDPV PGQIYENPYRTQAGLSARRVEYYLRMGRKYEDAAARPWRPVEPDPLPPGFEG OJF2_RS00375 MTETITLDEARRIALSAQRFDAPRPAAAADWGHLRRTLERLGLH QIDSVNVLARAHYLPAFSRVGPYERSLLDRAAWGRKGDRRLFEYWAHEASLLPLALHP LLRWRMARADRGEAGYRHLRAFAKDRRAEAMQVLGRLRDEGPLAASDFERSRSGWWEW SDSKQALEWLFWAGHITTATRRPSFERVYDLTERVIPREILALPTPDDPESHRGLIER AARALGIATARELQDYFRLHGRDAGPAIAELVEEGTLVRAVVPGWPAAFLHRDARRPR RVEARALLAPFDPLVWERARAERLFGFRYRIEIYTPAEKRQHGYYVLPFLLGDRLVGR VDLKADRKASRLLAHAAHLEPEAPPETMDELRAELAELARWLGLDRVVGPRNSPRSAR OJF2_RS00380 MNSILDAFARMSFGEALGWMLAENVILFAVAILAGHLLILASRS RRIGPPPPPVEGAEIAWAASCVALNTLVTVAGWGLWRSGYIVIRRDTGARAWLDAIVL LMLMDLAMYVTHRIAHHPWAFPLAHATHHHYDRPRPLDLFVLNPIEVLGFGALWLSVL WLYTSSWLGIVIYLTLNLAFGVVGHLGVEPLPESWAGHPVLRLLGTSTFHAGHHRDDG GNFGFYTSIWDILFGTLHPRIPRLTARVRSDRPPTIEPSGGPSGHVRRGGADR OJF2_RS00385 MPDPSHLARRVPAPSVRLAALIGTFLAAVTPAAGAEPEKDAIPI DRLNAGLPRRDGLDLGTPQATLEHFIFSCEEGDYASAAHALDLNGIAPGRQAEEGASL ARQLKEVMDRQVWFRWDEVPDRPDGQLDEASIKKQAEGREGPQSSLRLGTLYIGDVDY EVRLERVKPKDGPSAWVFSPQTVKHIPILHEEFGESWVEGYIPEVLKRTRFLKIRLWQ WLGFAVLLATGTLLGWLTQKGLDLLNRPEWPWVRTVAEALEGPGSLALGLWTFYFLSR KILGLAGPIVSLIEPLYIAVLVASLIWFLQRLITYISARILNRYSGFNNDEANVLITR IEVVRHILTFAVLVAGTLFILTRFEWFRQVGVMMLASAGVAGLILGVAAQRVLGNVFA GILLALTQPVKSGDAIIFEEDFGWVEEITLTYLVIRTWDRRRLVVPIAHFLDKPIQNW SRRSQQLMLPLTIYADYRVDAQAVREEFERLMEASEEWDRSVPPIFQVTECKEGVVEL RGLCSAADPTSSWNLRCRVREGLLAFLQDLEGGLYLPRRRIALVGDDRREPTHDANGH QHPEPAGLPDEAESGPGRAG OJF2_RS00390 MQAVVDEVFRALSDPTRRAIFEHLAREGEQSVRALTDRAGVSQP TVSKHLGVLKQARLVRDRPEGRQTYYRADRDGLAPLIDWMKHYAAFWAEHIDRLERLL EKME OJF2_RS00395 MTPPADGTERSQAESLSFDWDLPHPPEKVWRALTDPALLAEWLL PVAGLELEPGAAFSFEAPAQPGWDGVVKCRVLEVEEPRRLRYAWVVGDMELDTVVAFT LTPVASGTRLSLVHSGFRPDQKRNLGGARYGWTMMGGRLAEVLAGIS OJF2_RS00400 MNWSEWIRQSHRWVSLAFTVGVLANVVAMMKGQPPAWVGLLALV PLILLLFSGLYLFVLPYAAGRRGGRRTDSTRAS OJF2_RS00405 MASTERRHPADSHDMIRVQGARENNLKDISVAIPKRRLTVFTGV SGSGKSSLVFGTIAAESQRLINETYSAFVQGFMPTLARPEVDVLDGLTTAILVDQERM GANVRSTVGTATDANAMLRVLFSRLGSPHIGPSNAFSFNVPSVRMTGVITVEKAAGRT SVKEGSTPHYGGEVTVEKAGGKKAEKRTFTVNGGMCPRCEGMGTVSDIDLSQLFDESK SLNQGALTIPGYTADGWHVRILAAAGLDPDKPIRKYTKPERHIFLHQEPTKVKVEKIN LTHEGLIPKIQKSFLSKDVDAMQPHVRAFVERAVTFSACPECGGTRLSAAARSSKIRG ISIADACAMQISDLAAWVRGLDEPSVAPLLAKLGQTLDSFVEIGLGYLSLDRPSGTLS GGEAQRTRMVGHLGSSLTDVTYVFDEPTIGLHPHDIRRMNALLLRLRDKGNTVLVVEH KPEVIAIADHVVDLGPGAGTAGGEVVFEGTVEGLRASGTLTGRHLSDRASLKPSVRKP SGVMPVRGASTHNLQNVDVDIPLGVLVVVTGVAGSGKSSLIHGSVSSRDGVVTIDQAA IRGSRRSNPATYTDMLEPIRKAFAKANGVKPALFSANSEGACPTCNGAGVIYTDLAMM AGVATACEDCEGRRFQASVLEYRLGGLNIAEVLDLPVDDAVGFFGRGEARTPAAHAIL TRMADVGLGYLRLGQPLTTLSGGERQRLKLATEMGAEGGVYVLDEPTTGLHLADLRAL LGLLDRLVDSGKSVIVIEHHQAVMAHADWIIDLGPGAGHDGGRVVFEGTPADLVASRS TLTGEHLAAFAGRD OJF2_RS00410 MSLFLLAMLLAQAPKEEAATVKEPKLRDELHARVKEDQAARFAF IEFMGKHEKTVKVVDGKTVFSMSPEESKQFRALNARLGEADAKNTAWLKEVVRKHGWP GRSLVGEAAAHDAWLLVQHADGDKDFQEECLEKMKAQPAGEVSLRDVAYLTDRVLTGR GKKQVYGTQTRIEGGKAIPLPVEDEANLDRRRKGCGMEPLAEYLKQVESMYVKP OJF2_RS00415 MADYQKPEDIAYAESLIKFASKEAEAFFHLKHTAERKDGVIPAK YRELISIAVALTTQCAYCIDAHIKNAVEAGATREEVAETVFIAAALRAGGAVGNGLLA MRLFEEASPKA OJF2_RS00420 MEGIEMPTQSDRFLGVRAVHLVIAGIVAGGAANLAMDSADDGIR VAGAVTSLALTAGLLGAIAARRRLFKPRRSRTASEA OJF2_RS38885 MLREFALGELVTTEVILSLVAGGDARVPDGSLLGPSRLGVGPAF AAGEGN OJF2_RS38890 MTVQTFRELLTQRPFKPFRLVMSSGQAYEVRHPEMAWLTRTSIL VGIDVADDGLPAEFKICSLLHVTAVEPLPAGSRQSADN OJF2_RS00425 MKRDRLSLRGVFPARVAGFSPLAALLALAAMAAAARADGPARRP NVLFFYSDDQRADTVAALGNPHIRTPTLDSLARGGVALTRVYCNGSLKGGAVCIPSRA MLMTGRSLFRVDEEMKGQDTWPAAFAASGYDTFATGKWHNGKESLRKTFKEAEAVFFG GMGDPYALPLVDLGPGGTLVNERVSVEHSVKLFADAASRFLEAHGGKNSPPFLCYVAF NFPHDPRTAPAEYRARYRDDAMPLPANYLPEHPFDNGELSNRDEKLAPWPRTPEVVRR HLADYYASIEYLDAQVGRILRALEDSGHGADTLVVFASDHGLAIGSHGLFGKQNLYEH SMRSPVLIKGPGIPAGRKIDALAYLFDLFPTLGDLAGVPGPAGSEGLSLAPALRGEMP SRREDILTAYRQVQRAIRDDRWKLIVYPRINRSQLFDLASDPAELRDLSTDPAHAADL ARMTALLRARRASAGERD OJF2_RS00430 MIYLDHNATTPMDPEVLEAMRPHFLAGGNAESRHAAGRRARRAW EDARESVARILGADPSEVIFTSGGTEANNLAIFGLARAELGPGHVVSSPIEHPAVAEP VAHLQADGFDVDRPEVDAEGLADARRMAECFGGRTRLATLMLANNETGAIQPVQELAG LAIPRGIPVHTDAVQAVGRIPVHFHALGVSSLAASAHKFHGPVGVGLLLVKRGVRLRS WLLGGGQQQGRRPGTVAVPLAVGLAKALELWHAQAAARSARWASLRDRLESTLISALG PGRVVRNGPGDDARRLPQTLNLGFVGLDGDALLMKLDLAGIAASLGSACSSGASRPSP TLLAMRVPEDRLRSSVRFSLGAATTDADIDEAAARIIESIRTD OJF2_RS40285 MKQAQYAPPKAARFAKRPPAGFPEALSYPAYAPAARVRAGRGPG RLAWGLVAGLFRLAWFVVTLPIRLVLLVINLVGRLTGIAVGFGMMVVGMALCISPFFI VGVPVFVIGLLLTMRCLG OJF2_RS00440 MRQVLLVVLIVGAAFAGGALVNGPALQWAQARLLDYMGLRDGGE IPSVDSPAGAAAGPQSSAAAAPAGKGSAAKPEAAKPPGPVPPPAPSTSPASPPPTPTT PPQPAATPPAEGPAPAGPGTPVKKVPRSTGEKPGTPAASPAPSGGRGDEASGPPPAPL DPSIGPALLAAISPPPEPGTTARADAPADSIPLEIAPSTSMDPRPATHPSSPATPRDD MRAGAANLGGPQEGWAAIRQKLRDLGVSRYTIEGTPGGRVNFSCLIPLAGRQAVSQRF EAEGDDEFQAAQATIRRITLWRASRRGADSP OJF2_RS00445 MAKMSPLRLLLIVTGSTLRAEEMDRPLGYYLKRRLEEAMASGVT PAAAAAGPRPGGGRVLDLSDYQVRVVADFRWIHDEFLQGLPTISLGGPGVNALSHRWL EEVPISLAYNERYFIQMDPDLTEPRASIWGMDNPATQIAVSVFLDRFLERFLERCASV PATSFDADDEGEGDADIELDEDDE OJF2_RS00450 MTDTRRYAIVCLCLAALAIPPAPGPGRRAMGMGMGRPAGDDPPA GPAPATAAEGDDDDVAGDGFGRVPLLELVRRKVAQDQGAWVIQYELRYTGESAAVRTP AETKVAVEGWVSNSRVCGHATPRLSRVVAGGTAAGSAASDVIASADESRRCRERVTLT AWADDGTPPEPDAPAVLSLNPGTRIHVKVRLEHQHVIYGEYDPLLGVRSVDLSIGGAI LRDEVPLDREHHLAMPRAAWPEPPADRRDPRHYVSAPDSLHLEAHVPGHKYYRLPDRP VRYGTKMRLRFWYLIAAGTEGECRVRFDQYKDTPTSWRVLSSGGFEQPLEAIGRWTKV EKIVRTDCEATTVALGFRIISETDVGEMWIDDVSLEPVCQNSPCDEP OJF2_RS39830 MAVIRKAIKEPVGRKGVAYIAENAPDWLPRISRSRGDQTERLFW QAGGGYDRNVWEPKTLMSMIDYVHANPVRRGLVSRPSEWKWSSAGWFDGTTTCDLIPD RTLPEWMPPA OJF2_RS00460 MGNQPGLSDAERDVLRVLWDGGPGTVREVRERLGGRGRAWAYTT VATLLQRLHAKGYAVPDATAVPHVYRAGVSREELLDRRLKDAAEELCDGRAAPLVLAL VQGNKFSPEELARLRRMLAEAAERESEKKGRDGGKAPSA OJF2_RS00465 MLYWFAETTLVAGLLAAAAALAGRLRALDAPARHLLWVLVLVKL VTPPLVRSPWAVALPPALVGAGRPVASDATVAPAATASPPGRDAMGAPGATPAASARP VSLSTVDPPGRPIAREPAAAVLRELVVEEGLRAFRRGGAGPKAEAEAEPAAPAAWLPA AARWLMLGWAAGAAALAAVQGRRILAFRGRLREAVPAPGWLVEEAERVGESLRVRPPE VLAAAGLGTPLLWCLGRPKLLVPAHLIKSLDAGSWPGILAHELAHLRRGDHWVARLEL AAGLLWWWNPLYWVARRRIDAEAELACDAWVVSALPRDRHAYAEVLLQICSEMSLQRP SLAPAPALGVAGSGRFLERRLLMVLNAHRDDPSACRITPLPLAAACLLGLLALPSWSL AKPVEPAAALAAQPPDAAAAAVEEDEPSDEDASIAFADDDDDDDDKDKEKHKPKAQAR PEKHEKQEKHEAKEEDDDDKDDEAEAFAAEVEKTVEEALGPDFEKDMEAWGEKLGKEM EERFGDGSEFQKKMEAFGKEMEKKFGEGSEFQKKMEAFGKEMEKKFGEGSEFEKKMEA FGKKMEQKFGPGSEFEKKMKEKAEAAEQKARAKADDAHGKAKAAHDKLLAEKVAREKE LAERAKQRADRDRERADREKEKADRERDRADAARHKAEADAKHKAEADAKHPADGRPN RERRIKDLESKVESLLKEIKSLKDED OJF2_RS00470 MQAAVKRFVGPSILAAWGLVSPALAAGPGPNPPAVVAPPESFFR LVDPPHRDAARAFYKKYLDIEGMPVAAAGAVDDRALVRTREIVGSMLAGRPDVVRAMA EQKMYLIIIGRAQRYTDMPEYRDAPDPAFLNERVRGTGGRPTSFGEENLLSLPEDRYD DESIAVHEFAHTIDGVLGRLDPAWRGRLRATYRAAMAKGLYRDAYAASNAGEYWGEVV QSYFDCNRVNNWNHGPVGTREQLRAYDPEGYELVRGTLKLAPAQDWRYSWLQALPKVE APPASRGFDAYYTKLTLARGFPVLGHAASDAALLRANEVIRRMFAYRHDILKALIDEG DRLVVLGRGESIADLPEWKAMTDDERAKADALARCLEYAPGRKLLVVAEEGVLGDRSA PLAGADPVVRVFAGAIHEGTGRRPVQPDRDPHARDIQQYELRVRRMDARFDARLNELF DASAAARKWRGTAAALGREAYWVEGVLAYFDAQGQVPAPEDADAPIATREALRAYDPG LFDLVATTMAHRGKVDWRLPRD OJF2_RS00475 MGQERDLLFGVLAFQKGLIDADQLAATCAMDGAGLGTVADRLVG RECLTVEQRADLEREVDREVETCGGDPAATLAQSLDGRSLAVLGDVPGLAATLAAGAT ACHVPAAAGVAEGGRADANGNPYPMRYGSLGTQEEPESRDRYARSSLYAKGGMGQVWL AHDPSLGREIALKELRPDQSDNASVTSRFLAEARVTAQLEHPGIVPVYELGGGEVPYY TMRFIKGGTLSQATREYHKARAAGKADPLGLVKLLGAFVGVCHAIAYAHSRGFIHRDL KGQNVVLGDFGEVIVLDWGLAKKVGPDPLAAAPGRPEAAAPDEAPVVLPKPGTPTLAD GPRNGHAGPNGQDHALTAAMLGDLTLPPDGDATHPPDGTVLQSGPGFHVLPGPVDVTQ CGQILGTPAYMAPEQAEGRHEKTGRWTDVYGLGAILYEVLTGQPPFHAKTTSELLRKV RLDPPPPPRKIHAGVPPALEAVCLKAMAKDPAGRYGSATELAQEVQRYIADEPVDAYD EPWTRRAARWARKHRTAVAGAAGLLLTGTVALGISTVLIARERTEVVAKEKVARTAVN DMYVGFASNWLEDRLDPAQQETMEKALAFYENLTGQAAKDPAVRLEHGQAYQKMGEIH RKLGRFPEAEASFRKSLALLEPLAAAKDAGPDARRALAATRTRLGDLLFRDNRADEAD TLFREAEGGMAPAVAAADPPADDRWTLARTLRSRGLLLRRKGDAKAARPALEKACSLL EQVAAALPKNPEARYDLAQASDYLGRVAYDLGDMAAYEKACRRAYTLLDELIAAAPTV PRYREAMSHACNDLGQVARAAGKPEECEVSWRRQLKEAERLAQDYPDRPEYRRLLAGG CSNLGGILAEQERFDEAGPILRRGIAENAALRKAFPDDREVSFDLGTCEYNLGYLQYH TGHPEEALATLDRARALEQALADREPATPRYPRLLAMISRCTGEALDALGRPGAEEAY RRSVGILEKLTAAHPDVIHFQIEYAQCLNRLADKQALARRNDDAEASFAKALAALDSA EAGGRGRAAGVALAARLEKAMTLSNRSVFRDDAGLPGAEASLRESIGIADKLAAEAPS PPHRQFLAIARNNLGEQLLKAGKPAEALDELKAAAGGLSALVAASPSSIKNRFYLGHV LDQQGQALARAGKPDEARRSLAEAVAQHKEVVKRTEGKLPEYRGELAASLGRLADASL AAGDYPAAIAAAADLARASAEPARGHLDAARLLARAAIQIQADGKLDAARKDELGRDC LGRTVVQLREALDLDPKLATSVESDPAIKDLLARPAFRTMFDSMIKIGLVR OJF2_RS00480 MLAPGPAEGVAGSSSGPPQAFRRGVTALGFALTPLVCILPVSLQ LSFVAAEVAALTAAFGVGVSAFLYHDALREMGGIPPGRLMYFTPVVLAAGAYLLIRCS ASAAAGAILGGFPF OJF2_RS00485 MGRPDRERPPAAVPPLAEAFETTRWGLVLAAADRASPGADEALA ALCSAYWYPVYAFVRHRTRDADRAADLTQGFFARLIEKSDLRAADPARGRFRSFLLTA CRHFLANEHDRETARKRGGGRCVVSIDRRDAEGRYLIEPAHAMTPEHLFERRWALALL ERALDELGREYRAAGKGPLFEHLKASLTGDDAGASHAEAGRALGMTEAAVKKAAQRLR RAFREAIRRRILETVDDPGLVDDEIRSLFAALAT OJF2_RS00490 MSDQAACPRCGAILRDAPHGLCPACLMAVALGDRPSGPSEADRD AGATRAVPCPAGGDGAALAPPSPGDVVRYFGDYELMEEVARGGMGVVFRARQVSLRRT VALKMILDGALAGDGAVRRFRREAEAAASLDHPGIVPIYEIGEHRGQHYYSMGYVEGQ SLAQRLAAGPLPDAEAAALLLSVAEAVHAAHEKGVLHRDLKPANVLLDAQGRPRVADF GLARPLRGGSDLTGTGEVLGTPGYMPPEQAGGRADLGPGADVYSLGALLYATLTGRPP FQAASVVETLRQVMEREPVAPRTLNPAVGRDLETICLKALAKEPSRRYATAREFAEDL GRALRGEPIRARATPPWEKAARWAARRPAVAGLVAAGAAVALCAAGLVVAARYNGLLR DALGSEAALRKEAERRELDSARYWYSADINLAQRNWEYGRIDRAAALLDRQRPEAIGR ELRGFEWWYLRAQCRQEIRRIRATDGPPAALAVAGDRLVTARLADGSRPGEPTPGGVA VVDRRTGELVRELWSSPDLRAVLVAPGGSRWAGLDGGKLLVGDAGSGSCRALRTAEEV TGLVALSPDGRTALAWTESGGRLIDAGSGEARGRFPGDMAWTHAAFAPDGTLAIGGVP QRSEDGVQYVSTGKVLLRIWDPATSAVRAELPTRLEDLAALAFAGGGATLVAGGGRSG SDLIEVWDVAGKSVRREITGRAVRITALAVSPDGATLASGGDDRMVKLWQLDEKARSW TAGPGRLKATYRGHARRPVALAFADGDRAVISIDEEGTAMTWDAGSNPETRRLGEHGW RINTVSYTADGERLVAVDYTDVIVYDAETGRRLSQSTPGHWIFAAALSPDGRILAVGG EAGEGGGAPGLISLLDPADGRAVARLEPGDDTQSVTGLAFSPDGRVLAAVVRGREFAD EGDEEPPPGDRASSVQLWDVAARRPLAKIRLVAESVAFSPDGRVLVAASAPGTVRLWD AAALAASGPAGTPPAPFATLDGSAPLAFTPDGRSLVTRSASFKDAVVWDLATLRPAAT VSGARGALAISPDGRTLVSTDGAELVLYQLPTGQELLRLSGLFYGAATAAFSPDGTSL ATGGGSRDENEGVVVWRASR OJF2_RS00495 MIGNPNRRHFLRGLGACVALPALESLGAARLLAGEGGPAGAAAA TAGAAGLARTASGAPLRAAFVYFPNGAIPSAWWPKKEGKGAELELSRTLKPLESVRKY LQVMGGLAHATAEGGPDGAGDHARGGATFLTGVRLKKSATDVRAGVSIDQAIARKVGH LTRLPSLELACEAARKNGACDSGYSCAYQYNMSWSGPTTPMPPESNPRLVFERLFGEG PHGRRAESLARRRADQKSILDYVLDDARAMQRRLDSGDRRKLDQYLTSVREVEARIVR EETFGAAVDPAVETPIGVPADYTEYVRLMYDMLLLAFQTDTTRVATLLLAHDGSNRSF DHIGISEGHHDLSHHQNRAAWIEKVADIDLWYATQFARFLERLRDTPDVDGKPLLHNA MIVYGSGNADGNRHTHSNLPVVLAGRGGGTLEAGRFVKHGRKPMTNLFLSMADRMGVP DYKRFGDSTERLGNV OJF2_RS00500 MNDPLRRHEDRHPSQPVPMGKAGRPRPARWPAFALVAAMLPGAA LAADPSPRDLERARTFADEAEPVLVEYCGACHASGMKKGGVNFDGGDAPGSPLFRDKR LWLGVLKNVRGGLMPPAGEPRPSADELKALEAWIKRSALEIDPADPDPGRVTVRRLNR IEYRNTIRALLGVDYDTDEEFPPDDTGFGFDNIGEVLTMSPLLLEKYITAARAIVARA VPASPRVVAERVIPGRAFRREGEKSDAPGQATPPIPSPPVGEGRERGRSARTDEKAPG QSVAVRSGSPARPTAGGQPGPLSLTLPHQVGGDRKTPPVSRAKDDGKAGGALRSRPGG GSLSLPYYEKSSVATTFQVEHAGRYKLVLELAATERFVDGIFDANRCRMVFSLDGAEL HRKDFTRQDGRPYRFESDRELAAGPHEVTVRVEPLAPASDQVRSLALRIDGVRVRGPF DEKYYVRPEGYEKYFPRDVPGDLAGRKAYAREIIGPFASRAFRRPVDDATLDGLVAAA EAGWEAPGATFEAGVGRAFTVVLASPRFLFREEGIDPLSSARYPLVDEYALASRLSYF LWSSMPDDELFRLAASHGLRKDLPNQVRRMLADPRSSEFFRHFVGQWLQARDVDSVVI NAAAVAGRDIQRDPEAEKKRARFRELNRKAPEELTDAEKAEIKEARASFAAGFRRFKD LELTRDLRQAMRRETEMLVEDVLRNDRPLVTLLDTDATYLNERLAKFYGIDGVKGDEM RRVPLPKDSPRGGVLTQATVLTVTSNPDRTSPVKRGLFILENILGTPPPPPPPDIPPL EDSARKGGKPLTLRETLAIHRASASCASCHNRMDPLGLALENFNALGRWRDAERGEPI EASGKLVTGEKFDGIRGLKRILVQEHRRDFERCLAEKLLTYALGRGLEGADVETVDRL VERVEASGGRASALLTGIIESAPFQKRRRIGVEVEAAAKAADAEAPDRDRDRDRDRDR DQDRARARARTHTNAQTHANGG OJF2_RS00505 MANLSRAIVWTWLAAFAALGAGVAAGEPTPASNATPSPVKLPAV AREFRPDLAGVQRHGKGYRYPQAGWIVVHIEGEPYERGYQHGRLLAPEIVKLIDGLAE YRSRNDPTGAWRDLRFMADALFLRGFDAEFLEEMKGIADGASAAGAKLNGRPLDLLDI VAVNADIETTFLDDALDATATGLEGKRFREPAEGTARKIPESHCSAFAATGPATADGK VVFGHITMWNLVHAVHYKVWLDVKPSRGHRVVMQTYPGGIMSGLDYYMNDAGLVVCET TIGQTKFDPKGIPLADRIRRALQYADSIDGAVKILGEGNNGLYSNEWLLADTKINEVA MFELGTHRSKLWRSSKGEWFGGTPGFYWGCNNAKDLQVRLETVPSLAGRPANPVFHPH DRDRAWLQLFDARKSKIDEAFGFLAFTTPPLAAARSLDAKFTTTDLAREMTSWARFGS PMGRLWEPTDAQHRQFPDVPPLVPNDWTLLRVADPKPADESPGLGGESRPANAVDLAW GSPSRPGHPDIHARDHAPAWRGTILPEGDADLWLASAFSGYERVVALEQACRKQAADD GGDDEDGGKASGLGREAREAIDLALFAPTSKYLMAVSRRGGDTPLSAIRPDLRSDEWY DIAEGKGTLVLAALRRAMGDRKFLAFMDDFGRSHAGRPVGTAEFFAAAEKAHGAPFGR MKDLWLDGDGATSEEALAALGGDVSLRHASGRFWPVGGFERQLDATIIVYGTAAEADA HREAAAILRKKLAARWANILVPIRSDAEVTDADLAANHLLLVGRPATNRVAARLAPSI SSARFGTASARVAGRTYANPRTAVAAAGPNPLAKGKDRSVVLLAGLSAEGTYLGIGRI VDSGLLDAEAVVSEADASVVPVFVPIAAVPDTPDPKAGNPFSMLED OJF2_RS00510 MINRALIVILATVTLDAVGIGLALPVIPTLLRELSNETRIAGRF GYFMAIYPFMQFLFSSVLGRLSDRYGRRPVLLVSLGVASVDYLIMGLSPVLGILYVGR VLAGMTGASLAVATAYIADISGPDERARRFGFMNACFGLGFVAGPLLGGLAGSLSPRY PFLLAAGFSGLNLVMGIFVLPESHKPGAPSGEKGPGFVASLLSIRGSRTLLPLLSIYF LINLIGQIPGSLWIINGEDRFGWDVRMVGFTFAAFGILHAVAQAFFTEPTTRRLGERG AILLGVACDGAAFVAMAFITEGWMVFVLLFLFTAGGIALPAFQALLSRQVGEEHQGEL QGTLVSLTSLTEVVGPIAATSLYAASPPSAPGLVWLVGAGLYVLCVPVILRQMAASRG RRAEAIEAAP OJF2_RS00515 MTPTEPVTPIDEALLAALMAEEAALAEGGTPPDDPDGSRRGLRD CLRLLRTLAPDTPPEAGQGEPTRFGRFTILGELGRGGFGIVYRAFDPLLDRHVALKVP RPELIASSEVRRRFLREARAAAGLDHPNIVPVLDAGPMGPFCFIALALCPGPTLAQWL RGQTEPVPPQAAARLVADLAGAVQHAHERGVLHRDIKPSNVILGAGESDAAGRPRLTD FGLARLAEEGGSETRTFAVLGSPPYMAPEQAAGRNADVGPATDVHALGAILYEVLVGR PPFVGEGRSETIRQVIEAEPIPPRTLRPRLPRDLETIVLTCLAKAPARRYGSAAALRD DLGRSLRGEPIRARPPRWTRTAASRVRRHPTLFASALLMILAAAGLFGVLAWSNARQR RTIGELTAARSRADAQARETDRHRDLADRYFHGSQLQLAGKALDAGEFEHAQDVLHEL ACIPVAPARRDFARDFLWGRACRDVAPLFGHDRDVNAMEITPDGRTLVTGDVGGTIRL WDLAGEGPHVELGRHTEPVSYLAIAASGRWLASTAGRPGRPGHEVILWDLANRRPADR PPIASGTRVVSLGFEAGDEALWIETNPPPPLSGGEVLRFDLRTAMDEPRGSSHWPPPG QVRVLRDGRVVVITPDPNAADDRWSGGDAGSACARWDSLGLPGNQVRFAATPDSRLVA AAHPGWAVICRDSRTGARVARLEMPETTAFEMAFSPDGRSLAAGCDPGAVILWDLATG RHVRLRLGDPHRTGPVLSLAFSPDGSKLAVSEWAVPGGATPVTVWEVATGRRIAEYPG RRDRATRLLFAADGRSLLIASGPTLRRWRLDREAEPPSPSGHLDEAWALAFRPDGGVL ATGGDDTDEPSTVKLWDPATGRLVRQWSGGPGTVASLAFSPDGRILASGHLERAGNVR LWDPGTGRLIATLSGHNDRVRSVAFHPDGRHLASAGSDRTIRIWDVATRRRVCELAGH SMTVQGLAFSPDGRRLASAGADATVRLWDAAAWRPLRTLQGPAKFTAVAFAPDGGAIA GADESGTVLLWAPETGERLGVIHGEDGALRTLAFAPDGRALAASGEGGTIKVWDPVTR QRLLILPGRSLLVHATAFSPDGRILAASDEGGAVRLWRAP OJF2_RS00520 MDHDGLGPISAETFEGFRAYLLAVAGRRLGPELTAKVGASDLVQ ETLLAAGRDARAFRGAGLDDLQAWLKGILLHRLANAQRQYHDTAKRSLGREVPLAALR EDGPHRPALADTATSACGAAMRDELRETIAHALAALPDRHREVVLGRYRDGLGFEAIG ERLGISPDAARKLWGRAVLRLRAAIGPAHDPD OJF2_RS00525 MLTQDASALRPTGGRKAAVSSNRRRWRDRRLAVERLEARALLAA FPPGDLIGVASNFGEDRERLVQIDPRSARITPIAQPYEGSAMEFLWGGGGDPIAAGRD GKAYGAAAAYVISDDFRLSYTDLGIFRLESDGVAPTKVGAIPPPPQGQSPNFVDLEVD PDGSLIAAFRTSKPGLSQPTWQDARLVRIDPETGAETSLTQPYGIHTNYRDIAVGLDG RIYALASNYDVAGEGDHDFGLFRIDPVAGTMTTIPIEGEWALAVNVMPDGSPVVFYED AGAIRSVNVASGQETRLTLPYGDRSVPLELSHIGPNSEVYAFVQDEAGGPDDFVAGLY RFDLTTDSSRQVPFSPDYLHSLTVVPGKAAEVAWNVKDGGVNYKYLLNDLPGGGTTAG FYWSDDAKYDAGKDTFVASTQVQGRMSTFAHIGEASLMANPTPATNYLLFVIDTDRVY SLAYDPQAVVVDARYDGNASADVIGRFFAIPGEILDQTFTVRLSDPLAALRPTLTANG TIGLKPAETSSAWDGLTYVTDDFDPGTLPTVDRPGDPDTGVRLNIIATRSGETIKEAD SPRFHVEEFPRWLADLGSEYGSNREDLVKFVPVPGGKGGDYVFQTVLVRLRDFEVKAP DDAFLIGGRSTKLVVDHDIIVTAPLGGTPRLEGLIHAKFELLGVTIFDRPLRSGVSDD FSSFDVTFSTGGIKPFTLEPEESSGFGISLRFTTKESSPEKTLAEGFIITPIFVVLEP KLKLVASYTIAANATLLYVPGSGIRVDGGGTSIALKVTGQLRGELAASWSPAGPLKVV RLLQRLFPDKFKKGVPIPELAWINTLVGQLSLDAQANFGGDLLSPTIAGRRVEGGIDF LFESQVKLTVGSVDLLDFALFDPIELVPKDKKLKMTFL OJF2_RS00530 MTKTFTAALLAAVVLLAGSVSPARAGFLTSLDVLITPQAGNSYL YEYTLTVGAASDRPAASLVIDVSTVADLTALTGPTGWDITYAAGDSFVAWDSPAEDLE LAVGASAVFSFVSSLAPGLSDYQVAGIGTNPLLAFNTGQVGAPVAAVPEPASLAMLAS GALGVLLHILIRRRVAP OJF2_RS00535 MQRCFLTGLAILTLGVAAPAGGPAAVRADVVVATDFKFGPDSAY PVSNADLLQTNLASIATTGNYSYFSGNTPAPLVDGNFGQSGPANQDEFVAMEAGATIT FHLDVSVNTAGYSLARLDSFASWDAGRDGQEYTVEYSTVSDPTAFLQLVTIPFYNPGG GSVGAWSTQVSLTDSNGFLATHVAAIRYTFTENDSNGTAFREIDAFGTATAAAVPEPS AAVLMGVGLAGACAWGYRRQPGHSA OJF2_RS00540 MALITEGWMVFLVLFLFTAGGAPLPAFQALLSRQVGEEHQGEFQ GSLVNLTSLTEVIGSIAATSLYAASPPSTPGLVWLVGAGLYVLCVPVILRRMAASRGR PAPMA OJF2_RS00545 MKDRPRKRYPSDLSDEQWALLEPSLPAPVKAGAPRRTDLREVLD AIFYVLSTGCAWSALPHDFPPEGTVRDYFHRWRRDGTWERIHDALRRRVREAAGKGPE PSAGSIDSQTVKATRTAGSRGYDAGKKINGIKRHILVDTIGLVLVVVVHAANIQDRDG AKLVLAEAMRKGPWTRMERIWADGGYAGKLIAWVGSLCRWVLEIVKRTDDVKGFKLLP RRWVVERTFSWLSNYRRLSKHYEYWDETGEAMIHLAMIHLMLRRLTKKRAIIALA OJF2_RS00550 MDRIAKAAVAATALLAGLLFATEAGAFTDPARVRAVLPAFENYV AQSQARTGVPGLSIAVVAGDQVVYVQGFGVRRVGQAARVTPNTVFQLASVSKPIASTV AAGLVGRGAVRWDDRIAALIPGFRMSLPDTTARVTVRDMLSHQSGLPEFAGDVLVDVG ISRHDLIERTRFIPLEAALRTHYAYSNVGYAIGAAAAARPTGIAWEDVSRAVLYRPLG MASTSSRYADFLAARDRAEPHVRAGASWTPRIPPNDDDAESPAGGVSSSARDMANFLR LHLRDGVFAGRTVIAPRALAETRTPQAWTGSGYYGLGWNVGTDPDGRTQISHSGAFNS GAATVVSFLPGEDVGIVVLTNSFPIGLPEALSAGFFDLLLRGGVARDYVGLYGEFYAN FWQTIVDQYPSYPPVFPIPARPLASYVGTYANSLYGNVQVVRTRQGLSVRLGPKQVTY PLAHYSGDLFLFTPVGENAYVPSGAHFNFAGKGPARSLTIDYYNTEGQGTLARVSR OJF2_RS00555 MKIARIFAHRVELPLVEGSYRWSGGKSVSVFDSTIVGVETDGGL VGYGEVCPLGPFYLPAYAEGVRAGLRELGPHLIGLDPRELMALNHRMDAALKGHPYVK SGIDIACWDLLGQATQLPVCTLLGGRFGENVRLYRAISQQAPDEMAQNVQGYREQGYT RFQLKVGGDPDTDIERIRAVRSMLRPTDRLVADANTGWTQHEAMRVVRAVRDVDVYIE QPCLTYEECLAVRRHTSHPFVLDENIDSLDMLLRGKADLAMDVVNLKISKLGGLTRTR QARDLCVAMGIAMTLEDSWGGDITTAAIAHLAHSTPEEFRFTSTDFNSYVTVSTAAGA PQRHQGFMAASRDPGLGIAPRMEVLGPRVVEVA OJF2_RS00560 MAGDIHQARRDLKTRRPSQVYYKVETPARPARAADRLLVGVFLA AIWLPLAGLAFGLDSALVLDENRNLATCPSWSWDRDAMAAFPRQFDSYFNDQFGFRKR LIRWLSIAKAEAFGISSSERVVIGRDGWLFLAGEGEYDYYRATQLFSQAELERWAAMF QQRHDWLAQRGIRYLVVIPPNKSTIYPELMPRSVNRVRRRTRLDQLLAYLQGHTTVSV VDLREALLRAKAGDQLYHRTDSHWNTRGAHVGYQGIVDALSSWFPRLSPLPASAFQRV SSPVSGLDLANMLGLSDWFTETAFQLVPRAPLSARRAVASCWMPGPVHPFCMPFAMEC DDQSLPRAVMFRDSFCSDLVPFLSEHFRRIVYAWKYTMDQELVERERPDVVIQELVER RLMMDIPGFREPNTPSS OJF2_RS00565 MLFSSPTFLFLFLPILLGGYFLVRDSLLNLLLLLASLIFYIWGE GTYVLVMLGIIGLNYALGRALERIEGPGRRKLLLAAGVVINLGILVAFKYANFLVNNL NVLVGACGVSPIHLEPVHLPLGISFFTFHAISYIVDISRRQVAAGGPLNFALYMTFFP HSIAGPIVRYSDIAGQLRKRVVTSHDFALGARRFILGLAKKMLIANPVAQVADTVFGL PGGELTAALSWLGIACYTLQIYFDFSGYSDMAIGLAKLFGIDFLENFDHPYLSQSVTE FWRRWHISLSSWFRDYVYIPLGGNRRGSLRLYANLLVVFCLCGMWHGASWNFLLWGLF HGGLLAFERVGYGRRLETRWVPLRHAYTMLMVMIGWVLFRADSMEHAGTFLRAMAGLG TGTGRVYHPALYLDRLVILAMVAGVLGSMPLLAWLARTRDDLLESTGGWARSGFRAAF ALADVAALSLLFLASSMFLAAGTHNPFIYFRF OJF2_RS00570 MFKPTLTFLIAVLACSCALAQPPTRRFDAPGAPPFRVLGEGENP PLDARDNFVIGPKYVPAPERKKVEGVPEGRVRQLEIDSKETRLFNPGIARNAFGKVDP NNPKTLIVETHPIDYRRKVGVYVPAQYKEGAEAPFMVVHDGPGQAAGYRTILDNLIHR KRIPPIVLIAVGNGGGDAQGHERGREYDNMNGDYAEYIETEVLPRVEKECGVKLTKDP DGRAAMGNSSGGSAALIMAWFRNDLYHRVLTTSGTFVNQAWPFDPKYPDGAWGFHETL IPGSPKKPIRIFLSVGDADLLNPNVMRDGMHDWVEANHRMAKVLKDKGYEYQYLFCRG AKHSVSNAQQQFLPHAIEWVWKGYAPRAAE OJF2_RS00575 MNQFPADEQWITDVERGRCCRVTVPAAVENRPVPGDVILFAHAY HRHPGEPEYVKGGDSVQVSLTEVVDLGTFDPLTSKPLFHISWSPLGQFQPPEPSRSRR GKSTSPR OJF2_RS00580 MGKKLYVGNLTYQVTESDLEALFAPFGTVQSAQIIVDRDTNRSK GFGFVEMDTDAEAQAAIAALNEQPHNGRNLTVNEAKPREPRSGGGGYGSGGGYGGGRN RY OJF2_RS00585 MPSRTWPLGVGMVLIAGILVAAGLEGAGRRLGAQAALPALLAPG VTVATVRAEDVTVTERYVGEVRSRKRVDIRTLIKGHVLSAPVKEGQAVKEGDVLFRIE PAPGAPGGDRFALIKAPFDGLVGQLSRQEGSVVLAGEALTTLSDNSTMRVYFTVPEQR YLEYMSRRDEDEGKGSTVVELSLADRRKYPQAGKIGAIEAQFDDNGGIAFRADFPNPE GLLRHGQVGTVSMSRRLRGAVVVPQDATFEKLGRRYVYVVDGDRVAHAREVVARDELE DLFVVKEGVEAGEKIVSGGVRQVRDGDKVGP OJF2_RS00590 MKTAASPVPRRLMLAAAALIASLPSARSRAAELRVGAAAVSITP DRTVALSGQMNTRISKGVRSPVTATALALESREGDRAIDHAILVACDLVGIDRPVLER ARAMLEGRLPGFDVRKLVVSATHTHTAPAYEEGRYLIPKEGVMQPSEYAEFLAGRIAE ASEAAWKSRRAGRVGWGLGHAVVAQNRRAVYADGKTIMYGATDREDFRGIEGPEDQGV EVLFFWDAGGRLIATAVNVACPSQEVEGESTIDADFWHEIRQALKAAHGADLQVLGWT GAAGDQSPHLMYRKAAEERMRRLRKLGRLEELARRVVAAWEEAYEGARQEPHDDVALA HRVEAVELPPRVVTEDEAAGARKEVEAHSPFPERRWIVAWHRDVLDRHDRQKAGRSEP YRMELHAIRLGDVAIATNPFELFTQYGIQIKARSRALQTFVIQLTGPGTYLPTAAAVR GGGYSAVVASSVVGPEGGQVLVDRTVGLINSLWPEK OJF2_RS00595 MTSKHDSASRRAGPRPGPFEPLALPLKDVHRLPSSAPPGVVFDC VRRLGGEVGWHGTDGLWQFRGAIDRLMGGVGMRRGRSDPVDLLPGDAIDFWRVQAVEP GSRLLLRAEMKNPGIAWMEILVSPRGEGSELTLTVYFQPTPFWGRLYYASSYPAHWLV FQKMARDIVRAAERAGRRP OJF2_RS00600 MNRTICLAVLAIACASAADAQTPGAAEIPLYPGVAPGSEKWDWE EKAVTTPNGRPIATDVVRPVLLHYPAEKGKAAGTAMIVAPGGGFRALMMSYEGADVAR RLNAMGVDAFVLKYRLSHNAPGAPSRDEVVKLAGEDGRQAVRLVRAKAAELGYRRVGM IGFSAGGMVTCDALFGPAETRPDFAAIIYGAREARDIPSPAPPLFLAVAADDAGSAGR TVDLFTAYRKAKGPAELHVFQMGAHGFANKGGGADHFMDRLEEWLRVNELLPKG OJF2_RS00605 MADWQIREACRGDVPAMAALIEEFSRFMGELGDASELRLDAEAL ERDGFGPDPAFRGLVAERRGDVVGFLLHHPGYDTDAACRLLFVVDLYVTATARGMGIG ASLMEEARKVARAGGAGQIVWTVDRRNTLARRFYEGMGARDVEGLDLMCWDVEVA OJF2_RS00610 MAAPFLAGALGILLAGVGVEVRAQEPAPAPPAPTPSPDGASREA QLEDEVRQLKDMVRQLSTRVEELSQSIPARPDGAATGSGAEAGPGAASGRAGAGAGAN AGAGRSPSAGPSSQPDISASTGGSAAPRSVLDPRRTSRFNMPGMALDLPAKVKFGPGF EIQTEDTEYQLQFHNLTQMDGRFYTQAEQTPVHSTFLLPREWYIFSGRLGKPFEYYVA IAQGIDNVNLLDSYLNVNFDPRMNVKIGRYKTPFTYEFYGLGINAMPTPERSLFYNNF GLNRDIGLMAYGALYDKRFDYAVGIFNGTRNGYVDSNDFKDVAALINFRPFTKREGSV LESLNFGGSVNSGLQNSVPIPTILRTNVPTTGNTAIGPQFLTFNSNVRENGLRSLWSL HAAYYYRQLSLIAEWQGGYQSYAPASDLTRRTRLPVDSYYVTLAYFLTGETVSGRGVL KPNRNFDLRKGKFGLGAFELVTRYNYLGIGDQVFTAGLADPNLWTNHLYTVDVGVNWY WSQFVKVYLGWQRAEFGSPVVYAPDRFQRTSDQLWMRFQVYF OJF2_RS00615 MMRQEIRAGVWVAGVAMLGAMLGSGAAEAAGIKISPLPIKQVGD PMYELRFELFAKPETQLWPKFYNGNAMADYVELFDVPNVRDTSTYSTPGGTPSGPWAV SITNNPDPATSDVKFNYAGDPFVNSSSTDDAYLGLFKLITVEIPALPPNYTTTIIWEA RVHDLDGNVVFDSGTVTLSMIPEPASVVLLGLAAAGLPGLLYLRRRRAA OJF2_RS00620 MRYAFAFVLGLSASGLAAADEPGPLPSGYWPESKVAEILGKTQE IRLAPDLSGLGDGDEAAVASLLRAGEVMQRLYEDARHHQALETHRRLVWLDRTLKSPK RTKDLLDLYRLFQGPIASTLTNSREAFLPVDAQVPGRNVYPADAMAEEVKAFLSDHPG RRAEILAERSVVRRASRENLERDLQTLEDGALGTLHRDLKARLQGLRKNPDPKAFYAA PYAVAYGPELRQVFGHLLDAAGRVEESDPEFAAYLRNRARDLLSNDYESGDASWVTGR FGRLNAQIGAYETYDDALLGVKAFPSFSLLLKDAGATEALRKDLGGLQAVEDALPYDH HKRVREEISVGIYDVIADFGQARGTNTATILPNDPTHSRKYGRTILLRRNVMENSELF AADLRIWKAAVAAPFAADLAPAGNFRRTLWHEVGHYLGVDRDKAGRPLDAALGDQADA LEEMKADLVSLFTLHRMAKSGAIDAGLLRAVQAGGIRRTLQNVKPRKDQPYQTMQLAQ FNYFLDRKLIAADPVTARLSIDYARYEATVTALLREVLAVQYEGDRDAAAAFFEAWGA WTPGLHEALAARIRDAQGARFRLVRYAALGE OJF2_RS00625 MSLQRIVAVIMRHLYLMPRTLERWSESIYWPVLDLVLWGLTSRW AESAGPDVPHLALMLLTGVVFWQVVWRANYEISVNILEELLNQNMVNLFATPLTVWEW SVALVVLGLIKNLITLAVGAGAVWVLYRLSIFDVGWLMLPFLSSLLVSGWFMGFAASA VIIYYGRRVQSIAWMAGFALAPFSAVYYPVDMLPTWVRPVSYALPMTYIFEGMRKVLR GEPMPMADLAISFGLNALYLALSILFFARMFERSRSRGLGRLE OJF2_RS00630 MHERSGPAVLEVSDLTKVYGGRPPMKAVDGLSFDLRQGEALGLL GPNGAGKTTTIQMLLSTLTPTSGEIAYFGRSLRGHRDFILSRVGYASAYAKLPTHLTI LENLDVFGRLSDMGRADRAARSRELLTRFGVWELRDRIMTGLSAGQTTRVMLAKAFLA RPRVVLLDEPTASLDPDIAHEVRTFVTERRDREGVSLLYTSHDMDEVAMICDRVLFLD RGRLAAIGPPAELAASAAATKVRLRAADAASAAIIREEARAGGLSARPIEPHADAPDE VEIEMDEPRVAPFLAALARRDASYSEIHLDKPTLEDYFLKIARRSGTDRLTTEAQRTQ RRGEEKTETQ OJF2_RS00635 MSHDFAASHSASSQKTARRKVTFQTTIEDLEGRVLMSAAAARLR AAQAHVRVMRQPKVRVHLTRAQLLAARRAAQMPVINVTPNINVSTNVAGSTSSSTSTP SVSYVPATSSGGASTTGTKTAAALTTTGGQAAASNPSAGSSNTQTWPANVASSNPSST TPGSSSSNASPGSAAAGTTTTAGSTTGNAGSASNAGSTTTGGTTSNSGSTTTGSTAGN AGSTTTGSTTSNAGSASNAGSTTTTTSPPTPPAPTFADGTLIVNDQSGVISEYSGGQG HLISTPVQQAMGIQPGQLTTVKAADFDRIPMGNDYFPDGMYLRNADTNEISRYAAGAF HVVSTPVVNKLGLNASNTVTLTSAQYNKVAKSSDYFPEGMLVQNAQTNEVDIYAAGQR HWISAQVAAKMNLAPSQYTVISADQFNAIPRGKDYFPDGTYLQNQTNGEVSLYSGGVN RVISSPVALVMGLNSSQWISVSASQYNSITKGDPYYPEGIFISNKATGEIDQFAGGQR HWVSAEAYAALKLPGGQIAALGADQFNAIPVGSNFVPPTTTPKSNA OJF2_RS00640 MEVRSTIAPVAHRATTSMATQETIREIRTAGRRFIGRLARGMLP QRTLSLRHVEPGLRLTVDLRRNLMFWWGGLGRFEPYSVSVFRAAIRPGDVVVDVGANI GFFTTLFARLAGPGGRVVAFEPDPDNLRLLRGNVASLTGAAEVTLIEAAVGAERGTAS FSFDRATGATGHLGATATMGGTLYGDGTPQVKETPVETVDAVADRLGVRPAVIKLDIE GGELDALRGARSTLVAHRPVVVSELGGEGGREVLSLLESAGYRLWNLETGRSVPDQDP SPAMAVAIHESCVDDDRGRRIRDALAALAG OJF2_RS00645 MATINKPHQGSTHEGAPAARISPLAQLERSVMSCLLWEDEFYES GQAIGERIAELVARVPAEDAVRVAIRAKEEMRLRHAPLLVAREMLRTKEGRALAKEVI PRVILRPDDITEFLANYWKDNPDEPLAKQVKRRLGEAFRAFDEYQLAKYSGGKKAVTL RDAIRITRPKPSDDAQAALWGRLVKGELATPDTWEVALSKGGDKKEAWTRLLAEGRLG GLAALRNLRNMAKAGVDQESIRGAIRGLKAGRLLPINFLAAARANPQFEPELEAKFLD CFAGRVRLEGETILLVDVSGSMDAVLSAKSEMRRIDVACALAMIGRETFEHLRVFTFS NDLVEVPGRRGFALRDAIVGSQYHAGTNLGTALRRLPKASRLIVITDEQSHEPVPQLA GYLINVASARNGVGYGTWVHIDGWSDRVLDYIARHEATA OJF2_RS00650 MATRRRDEILRKVLFTARPVLSNPQRRPVATCLLVAAACLVGCA ASPSPALRVEDRFGRPVGDRGLTLVDWEGYLANPAIRLDVVAPEGLPYPATLTLRGDH PRLAFDLPSTAGADGPRKEVRLERAGRASIRVGIFPDRDGRDEDHSLRVELADARGTR SSRTIPLHVIDQDPEGDENGDDARPPRLSIVVDFTQDRTGFFDDEAHRDVARRAAADW SYFLDGEGQAAVAPGEESTLIWNPDGFRSVRTVTNAKMFAGYLLYAYGIDGPDLRSGG EPSPTSGFQSRGGQALPLRRSGGYEVEVKGNYNREGWRTDLADDDWWRATNLRRDRAD LYSIAHHEIGHALIFNGHNPRFGDGKRAGTLREDRLRAYLGKDPAVDRTDHLPGTIDP ASLRGAFGNEYHGAMPRGRWLITRADLIAARSVGYRLRLTSAFAPLAIETATLPAGRS GEPYAASLRATGGVPAYDWRVVAGTLPPGLSLDTFTGELRGTPEKPRLFELTVRVRDS DESAGEAGLSRAFRLEITGP OJF2_RS00655 MSTRTGRTFHSIVWTVAALLAVLFALAYWFGEGHGSSLSQLARV RTGMSREQVVALLGRPGTVNCSEDGSESWYFTRWTFCQAKVYFAADGTVEETDHDH OJF2_RS00660 MPPVLSESQCRHLRMIFERGAAAASLALSRWLGEDVRLSISEVE QVDLAEAAGVLGPAEALVAACAMGLSGPLGGQILLVFEDRAGLALADLLLRQPPGTAK DWGELERSAAMETTNIVGCAYLNALAAHLPGGLAKPDGGDGPGEELVPSPPTFLHEFA GSLLEFALMDQALELDRVLLVHTAFATGRRELSLDWTLLFVPDHASLRALAGAIGELE SH OJF2_RS00665 MAPTATNPPASPGPPGVISVAIGRWAVAAAPARIKTLLGSCVGV VLFDRVARVGGLAHIVLPDSRGAIDQPGKFADTAIPAMVADMEALLRVRAAGRVVAKL AGGASMFATGPAAQAGAALNIGRMNAEAAERILAGLRIPIVARDLGGESGRHLTMDTA SGIVAIRIPGGADHEI OJF2_RS00670 MSTGAGTGAKRLLVVDDALFMRRLIGDVAAEAGWEVAGEAANGE EAVSLYARLRPDLVTMDLVMPVMGGLEALRRIREHDPGARVIVVTALDQKQSLMDSIR DGAIDFIVKPFERERVVRLLRKLGGEAGASTGPPPGSGGT OJF2_RS00675 MTGPGPAPGRKVRVLVVDDSALMRRLLSDLLGASPEVEVVGLAK DGRDAVLQASRLKPDVITLDVEMPEVSGLEALPLLLATHEAPVVMVSALTQAGADVTL QALELGAVDFMPKPEKNQFAEMRAHGDLLISKVLTAAESRVRRQRRPGSPPPSPSPSA SPATPPSSQRPAAARPRLSAAGTAAACVAIGISTGGPQALSQVLPLLSPPMPPILIVQ HMPGQFTKVFADRLGRACSLAVKEAAEGDVLQPDRVYVAPGGRHLSVAGPAARPRVAI TDDEPVSGHKPSVDVLFRSVARHFQARAVGVIMTGMGRDGVEGCKAILAAGGLTLGQD EATSVVYGMNKAAFLEGAVKSQFALEDLAGILREL OJF2_RS00680 MPATEVIFFQREDGSVPILDWLADLPRKARLKCLAKLARLEELG HELRRPEADILRDGIYELRVRLGTVNYRMLYFFHGRIAAIVAHGLAKEKAVPAGDIDE AIERKSRYEADPDRHRFRPQR OJF2_RS00685 MPRKRRFASPALAHAYEKFVASEEDAAAVEEEMENAEVGRQIYD LRTKAGLTQAKLATLVGTTASVISRLEDADYDGHSLSMLRRIARALNRRVEIRFLPLE SSP OJF2_RS00690 MSEHFEYAPEFGWIRRRTPKRGLNLVEVLVVVGLLGIVAGLLMP AVCTNCVTTRRGQCANNLRNIAVALVQYEQRYGAFPPAYTTDRDGRPLHSWRTLILPY LDQPDLYESIDLSKPWDDPVNTKAFATWVSPYHCPSSGAPENTTTYLANAAEGGLLMP DKPRRLAEVTDRLASTLMMIEVDEGHAVPWMAPTDADEALILGLTPGSKLPHPKGMNA AFVDGSVRYLEATTPPEGRRAMISIAGHDGPTEDPF OJF2_RS40290 MSTTFDHDPEFGGTIRKPEAGPGVIARVLRTLGCLGVIVLGIML LSPAYRSAREAARRAACVNNLKQIALAMHNYAEEHGAFPPACTLDANGRRLHSWRVLL LPYLELEAFYKTIDLSKPWDDPANAKAAERMPSVYNCSASAKPNHTAEPFERFGNRPD NTTTYLANAAEGGLLRRGKPRRPEDVIDGLMETLMVIEADDREAVPWMAPIDADATLI LGLSPDSKLAHPGGMNAAFCDGSVRFLKATLAASTRRAMITIDAGDGPHVETY OJF2_RS00700 MSKHREYDPDFGPIPGTDDAPVRVARLLGAVVVVLVLAALVLPA FTRPRGDGRSRCTNNLRQIGLALSMYGEQYGALPPACTVDRAGRPLHSWRTLLLPYLN EQDLYASIDLSKPWDDPVNAKLAASTLYLYTCPTSREKPGTTTYLATTAPDGCLIPGK PRRLAEITDSHDETQLVIEAGEEHAVPWMAPIDADLPLVLSLSPGSRFHHDVSVGACT VDGRVHFFRPTLPGDVRRALYTISGREAIPKDEDRWW OJF2_RS00705 MSDRFDHEPDFGPIRKRTPGRRFRPPSVFLWAGILAGLLVWASP WSTRCGPAMRHAACVNNLKQIGLALRTYEQEHGALPPACTVDASGRRLHSWRVLILPY LGWFDTDKAMYDNLLKSIDLSRPWDDPVNAKAAAAMPSVFECPDMPQFHGRGLTTYMA SVAPGGCLRAGKPRPLAEITDPHDETLMAIEAGEENAVPWMAPADADEFVILGITPES KLPQPGGVHALFVDGSVKLLEPDLPASARRALISIAGHDDPAGP OJF2_RS00710 MSTAYDNDPEFGGTIRKPQAASGSGVLWTLGCLGAIVLAVLFVL PGLFRGGALEAARRAQCTNNLKQIGLAIHNYVSDHGALPPACTVDANGRPLHSWRTVI LPYLGEEALYRTIDLSKPWDDPANEKALHAMPFQFRCPFMTAQENRTAYLASVAPGGC LIPGRPRPRAEITDPAGATILAIEADDQHTVPWMAPLDADEALILGFSMASKLPHYGG VNAAMVDGSVKFLRATLAAPVRRALISASGGDGPADDAF OJF2_RS00715 MFAGPEDDPAFPGDSWKSVAGMLLRVAAIAGCLGALVVMLALSF VSDVDDHMTVVKRATCVDNLRAISLALMQYASAQGRLPPACTVDAKGRRLHSWRTLIL PYLPPREEMAELYASIDLSKPWDDPANRRAAASMPDAFRCPSAAGPKDLTTYLASAGP SAFLIPGKPRNLLEITDPRDATLAVIEAGREDAVPWMSPKDADEALILRLSPDSSLIH PGGMPAAMVDGSVRFLKATLPAAARRALISVDGDDSIIAPE OJF2_RS00720 MSPRPPSMIARALALAAGLLVLKVTANIVSNYVDYFPPDFRSGF LRGREGHFAGIYEWAFDAHILAGPLTLVLGLLLVSTRLRAWSPRWHRRMGWVQVACVV LLVAPGGLWMARYPAAGPVAGAGLATLAVLTAACAILGVRAARMRRFADHRRWMWRCY LLLCSAVVLRLIGGLAVVAGITSPWYDPLANWASWLAPLAAFEVAERMRRRH OJF2_RS38895 MAGRAKGGHAADAVSRPAAAVQGTVIQQKDATIVLPAQLDPGRT YPLVVAFSYNGRPSGDQYTPLTRWKTLGPEAGVIVYASKLYSNSAFHGSAANLARVTR AIKAGVDAAVAAYPVDPSRIILTGLSGGGNFAEYFNLKYPGFAAAIFDNCGRTPFERF PKGTLPTAASFGDSRRVAVVLGSPSDTEFYDDARRGTVPYYQSIGWQVRFYSFPGGHN FAPARVYLNAFQWMESLPSWQ OJF2_RS00730 MPRDRPASAPGPGCRGWIAAGGMVASAGCLLAGVRATQPAAIVA WFSLALGFSGMSESTFWIVAVERGGRLGGTAAGFMKTPPATWAA OJF2_RS00735 MRRTSLLLSAILTAATSGAACAGNLIVNPGAEADVGSDDGSVVS VTGWTTVGKFTVVRYGASGGTDSTSGFPRPRSPGPDERGRNFFAGGPNNQSSGAYQYI DVSGYSAAIDEGQVSFDLSGYLGGFSSQRDAARLTATFLGDAGAVLGSSSIGPVTAND RDDVTGLLFREAAGYVPIGTIQVRIDLEMRRRDGSYNDGYADDLSFRLNAVPEPAAAL MLGIGVGTCMLAARRRRRRP OJF2_RS00740 MPHVDVGETRPSLLGDVADWADDRAWGDFRRQYAPLVEACSRAL GLAGDEAAEMQQEAWITIARRMTTFVYDPGGSFRGWLWRVAWRQGLDFRSRRGAGRWL ALDGRDEMAAWRAEDGAAREEEADDPAEFRRLHRMAARIQAAVRRRVQPKTWEAFWLL AVLGWDMDDVVRETGLPHASAYKAKERVLAALREEARRDPEASAAAGGRR OJF2_RS00745 MTRGCPSDASLRRLADDDHDPAGFAEKEAHVAGCEACRGRLGRL AWEVRTPPAGPPHEGRPAGPPPRIDGFEVLRELGRGGMGIVYLARQHSLGRLVALKLV PGGAGPGEAARTARRRWLREARATAGVRHPHIVQLHGCGEDGGRLFLTMEYIPGGSLK ERLDASPPSPREAARLMEAVARAVGHIHSAGQLHLDLKPSNILLDGEPGGPWEAAIPK VADFGLSLTAESLSDPDLSQHSPRGTPTHMAPEQATGDVAALGPAADVWALGVLLYRL LAGRNPFQAASHIETIERVRHDAPAPLRRLNPKVPRGLEAVALKCLEKDPSRRYPAGA DVADDLRRWLDGRDVLARRGSTARRAWRGLRRLTPAGLMAVVLAGTAGLGTMLAVPLA EARRARAAERPAAHLRVVEHLENQILAEIREKRSASAEELDASIGLLREQVASLRAGG RLDAAIVLGYSQIEDYVVSRYRGDGRFDEARALDRRRLDLLRECRRRDPGEPRYANEA AHALLSLGTVELEAGRLEEALDDFDLAAAEILAAPARDADLLATAVCLSASYALVLDA APDVAGSRPGARAGAGQSALLGHFRDLEAGSPDVALFRACLLADRARSTTAGGLSGSI PACRLIPGGGRRSVAGEDLLALGLYQWYLREVRYSEALAAGRPSGPSAIGREADRIAG GLMRWRDDPRGTDPALGAALRGMHNAFATDATRRRGAGDIAGAERAIGLFDGIAGRVV RDRPGWAQGYAFLAEARMQDYKNGWKRGDPPDALRLRLRDSIGAIRRGLAIEPSNAEL RAMLLDKETRLAGLAGE OJF2_RS00750 MRRILLTGMSGTGKSSLIVALRARGFRAVDMDEPGWSELAPDGE WVWREDRVRDLLADEGDEVLFVSGCAINQKMFYPRFDEIVLLGAPSAVIAGRLATRTN NPYGKSPDELAATLHNLATVEPLLRRSATREIDASAPFDQVLEAVLGLAGRPGGAPPP CPWG OJF2_RS00755 MRPITFVSHPTRGRRRHYVEEEDVRIVLDRLPGGLWERLRGVRF NDRGRGRRCLGYVSRGRDEISLCALPERVSLAAALFRNQCPGEFGASRGRRWPELAVR RFMLYDVLLHELGHLQVIVPKARSSRRKFAHEAFAQRFADRWRRELWSRAFDHPDPVH NPPSAEEMRALCVATYAPRSGVAASSPGNPA OJF2_RS00760 MLCCILFVRDASSSRLYALRGRLFRRRARPMGADAPPSGDPHPS PGNNANAHAGAELDLGDAADSAAILGAALAGAPPRMPRDRFQPGLVRLIGESLRSAMT QRGPREGSARW OJF2_RS00765 MVITNGYLTIFALAMMGCVLATPLVTHIAAWVGAIDRPDQFRRI HQGAIPRLGGLGLAMGIAAGMFLPHLSGSSRFVSLLLPDLNHEWAILGASLLILVVGF VDDTRSLGPRVKLAGQALAVLILFLGGIRIQSIEILGLALDLGFPSVDLSPLGLSLVV PLPSLGLSMLWFLGCMNVWNLIDGMDGLASGVGLLVSGTLTLVAIHNENIQVAILAVA LAGSLAGFLLYNWHPACIFLGDSGALLIGLLIGVIGVEGSMKGPSAISILFPILAMGL PISDTAMAIFRRWVRNLPLSAADRRHVHHLLIGLGLNPRQAAVLLYCFSGFMCGAVLL GAALRSEFLALVLGTFGCLAFLLVVTSRRDELAMLREDLQERMVRGRQERQAAKLTWE AIQRIELCKTEGAAVEVIERVARRLGCSRIDISGAGTGAGEGAGGGREGRPAALSGPS AHFRIPGGEGAWITVDLEVGRGHERRPGPGAEASGTESEAPLDLAADIVFRCLHRLGQ ALAARAEELRSEPGEDPLAAAAPAGPGAAVPGRLMVDLAIGRLKARLHGPRAGGPSPA SPRFPVVDAVAPSGLARR OJF2_RS00770 MPFRGSSPAPGAPAGPAAGAELAPGRQAPLAAGFAHATAHAPVP AFNPAASKGPADYLRAVRRRFWMVLVVAVPMAALSSAWALRQPKIYRAVAEITIDSPE INPMLSALVSKDIGQPEGHSAERYAPNKVVQLQGMALAEKAVTNVLSAKELSSFDDAA QELIVGNLQIKPIGAKTNRYAVTLEGRDPSRTSKLLYALLEAFKNEASSESRDRVAAA ADFANDRLKRLREDLRAVEAELIDKLREARIVGPSGRNIFEEQYVNLSARLENEMGRL GELQQQLMIARTMPKPESISPDQQARNQEIAQLTREKKQLVRLLEKARGVQTRKHFNS DPYTKDVANRLEEIMEEIRELKVPEKVELARNPTQMILDHFQGRVEEDRANQQEALAR MQDSLPEYQKFLAMQKRRDELAAKVDEMDRNIVSFDILTRSQNDPVRIPPGVAEPTVP VKPSRGLLIGMGLFVSLALGMGLVVLLEHVDHSVKVPEHVTQGLDLCLLGVVPRIRRS ALTHRGGHLWASGTPNSLEADAFRNIRAGLLGAADRRGRIVTLLMTSPKAGDGKSTAA LNLAATCARAGERTLLLDVDLRRPTLEDVFPPEPDKEGTHFGLVDVLQGTLPWQKTLR HTELPNLDFIPTGDPTGIPIEILGTLELRQLLAALSRHYDRVILDGPAVLGMADCRML GRMVDASILVVRAGAHPLVTLQRTKTMLELSHVPIAGVVVNGLSEGVEHWSSYGYEDA GFVPSRGRTPALAASATP OJF2_RS41065 MNSHAKILALGDRAACGLIAAVVLGSIVAFGGAVWWFRTFLAGA ALLLVLVSLARLAARGRIPLLKSPLTALGLMAIGLGMFQLVPLPGPLARRVSPAAHEA YGRGVLPELVRADDPEAALPDAMGIRTPASLDRPATLRWLVGAMACLGVFWAVSHFAD RLGRLYLVWGLVVGGFLLNATLAVVQISGRGEGLYGLYAPGGGPAWAPTLDDLFDSPT TTELSDLVRAKAGPGGAAAAGIGEGGVTAGEPAATEPAGSAAGAILAPATPPLFGTMM ASPGAFLALGSLAMPLALAMVVHMLTPLGGRDSLADRLGSSGRGSLVLLLVLMTIPAA FLMGLIAGPWYSLPTAVGLVAVGLPAVARPGCRAAAAALLVLLLAGLGLGGTLQVRWE PLLGGPPPVPAPDPKLARALWADGLEVARAFPLVGAGLGTFATVQPSFKAGGASSTTA MSSLVQWSAEAGAAGLALLALAAAWSALRIPGGLRRLGRGDRSLAHGLIGAALSFTLL AAVHWTVELSAVAISASALGGTWNRWLAGGTDLFVERG OJF2_RS00780 MDLPWLAVRIGRSAACEVRLDDPEVPGEACRLQRRGRSWRIIPL GARGSVFVEGTPVAEARPLPFDVPFRVGATCFTLREDRSVEPDWGMYHAPSPRAPAAA SVAPEAPPPPEPTPPSPPFAASGVHAYTPAHAHSPPKAPAGPPPATPPGTNPWEARWK AAGARLLADADRPRVPPRPNPQTQPHPREAPDPRPAARAGERARPPAAPPARPARPAE APARADLRPGFAANPPATPGARRYPEAPRVEHRAEPPARPVPQAPAPARPAAEAAPSP QPIPGSGPEQLFEPAAPLVVAEFDEVADAIAAFASASTGAVAVAPSPQPSPAVGGGGE AACESLSSVGCVERSADAPSAGLVAEEDPVAPGAGLVADEDSATPTASPVAEEVEAAE GDGGGTIEEAGVQCVRAALDAPYKRGDLAASADADAPSPSPLVGEGRGEAAAPATALE VASAPAPAPFEDPWAPVWIMGQPAEFTTETSWPEVAGGAFGEDQLDPVMISPAIAPPR QDARPQVRSATLQGAAQLGRDERHQEPPRASAYRDLDRDGEAEQPRPAAAGGPTTAGR PREHERAPGPGPEADPELLDLPSAKDIMASIGGRAASARGPGDPPVRLARPPQREHVA PTVPLEPAAWSLPAWLAGPPLAILALAVGVPGAWLASRWAADSNNASVIGQRLLAART GRAKERPLPESVTPPPASWWRTTPLHLAEWGIYRSRPAADEDEREEADELLEGAVRLA PLHPLARLARAEARPAATAEPGGGAVPGMAAHLGLSRDPASLSWSARSLRRAGKNAAA IRLYRRAFQLAVAQGAAGRAMPAFNADPGVHRYYLPGEAAATAIVRELLADADWPCRE WIEALPPDGVAALAAARLLHEQGRPEAREVLERILARRDDATPGRPEGPGAAAAGDAG RDREHEGEGDAEDRAPAAVRLAVAAEAHALLERWGDAEAGYRRAIELEGDVTTRRAWW FNLASVASRGGDEDQRKAARTALEAVLEVSSTDEIGRRAVEMQRAAEPVIRSRIGTAR AN OJF2_RS00785 MTPKPDVAGTSPPPEEPAGRPGIPLWDLVRGAFEDPSRRVTVLG GAACLGLLLLLFRDTLWDFYYSWTTDENYSHGFLVPLLSLYFANQVAAKGPAPVRGGA ILGGLLLAAAILVRLVAIPLPLAFLGELALIAGLAGLFAVLAGSAALRRYWFPFFFLL FMVPLPIALYTRVASPLQLMASQMASAVMNLTGLPVLCEGNHMTLPGGVQMFVAEACS GMRQMTGFLALATAVAYLTTKPGWYRAVVVLAALPIALTANVARIVLTGYVMHYVNPQ YASGAYHTLEGILMMGFGLLLLNSLCVLMDQLTPRAEGVGFPGRAMLNMNLVPLPAPK EAS OJF2_RS00790 MTRAHRCLIAGALLMSGLAARAGLEGVNRTERPPLRRPLSSLPM ELGDWVGRDEAVEPSIVERAQTTEYLNRVYESRTRPGLRLTLWVNYSEKGTNLRHTPE ICLPSGGWEKVESQTRVFEVPSPNGDALKLTRLGYSKGELVKQVGFWYYIFGEGKLEN YVRQLPITSRSSHAQTTKGSSMTVETFHPGEQDPDGAALKDFARSLLVALEPILPEAR AEYYVP OJF2_RS00795 MKRALITGITGQDGSYLAELLLGRPEYEVHGLVRRSSSLNRQRI DHLFRHDPGLRDRLHLHYADLGDASSLSMLMDRVRPDEVYNLGAQSHVRVSFDQPLYT ADVVGLGTLRLLEAARQLNESKPVRFYQASSSEMYGAAPPPQGPATPFHPRSPYACAK LYAHWQTINYREAYGLFACSGILFNHESPRRGESFVTRKVTLGAARIKEGLQKRLVMG NLDARRDWGFAGDYVRAMWLMLQQEKPGDYVVATGESHSIHELLELAFSLVDLDYRDF VDFDPRYTRPSEVDALQGDATLAREVLGWKPEVDFRGLITMMVEHDLELARREKHAQT YPGP OJF2_RS00800 MESQHRDRTVLVGCPDARPPAYQAVLGLDRAGLLDRFVTSAYYD PGRALPGLARRVAPRAFGRLERVLLRRHDPEIPGGRVVGVPSVDLAIRLESRAGGPAA RRALARARTAWFDRRLARVVARRLPSAVLAFSDVASGAALPLCRRLGIPTVLSMVHGD VREEAEVLAREEAAAPEFFPLYLGDAALDREALDWLHRRRLRDLALADRILVPSEHIA GELARHGTPRDRIRVIPYAADCTRFRPAAKEETLSDREGEAPSCVFLFAGGICQRKGI AYLLRAWARVRRPGWTLRLLGAPPADPGPLRPLLEAPGVELLGRVGHAEMPARMAAAD VFVFPSLFEGSAVVTYEALACGLPSIVTGAAGSVVRDGVEGFEVPPADPDALAARMTL LGEDRELRRSMAAAARARALEFDWPRYHAAVAGAVGDLAASPTRQARSRPHASGRHPV AR OJF2_RS00805 MNELTPVYLIAATILAYLAFHVLTRRFDPFAPVWLFLVGYVQVY IIQALSYHDWAVISRGVELTAAANMRALWALVWFLAVYHLGGGRLVASVLREPPRAWS TGAVNVLAPPMAIWGLFCAGVLSRGGQPSPEELSPEELILRSFGFLLMVAAIMLVVTG RAGEKRRTGYLAAGLFTSALFTAVWMFNGKRSPGIVGVLSTVCAFYVGKGKRPSWPVL FGTGLSAVLVVTLALGWRNNARKYESSFGGFLQFATELDTASMLENLNIGDGDDPLEY VTQESTEYGGFILMMSTVPEKSDYDYGANYLRVFSTFIPRIIWADKPLFGRDAWRAAW VHGSEIVREEDFTSPAIGILGATQLNGGAIGTLAVLAALAMLQRTAYEYFRRHADVPW VQFWWSMTYYVAWFMVVNDDPMVWFYYSWGFTTLPVVVLVWLVNRFAGSTARASAPAP APAAAAVTAAGMRLGLGGAAR OJF2_RS00810 MILAVCFTNFGPYHLARLRALAARVRERGGCLIAYEVAGNERLY PWRRRRGDEPFEWITLFPDRDLESIPPGECARAMLRALDRDEPDALGIVGYARPESMA AARWARRNRAAAVLMSETQAADHPRVWWKEMVKRRRVRLFGSALVGGPPHRDYLVSLG MPAGRIAMGYNAVDNDFYARLADDWRERPGGRDGLPAAPYFLSVCRFAPEKNLVRLVE AFARYREAAPRDAAWDLVLCGDGPQADAVSRAVDASGCGHAIHRPGFLQADGLARWYA FASAFVLPSLSEPWGLVVNEAAAAGLPLLVSDRVGAGATLVPEPEGTTGARFDPLDVE AIAHKLAWLASLPDDERLAMGRRAAGVVAAWGPDRFARGTLEAVELAMRRGRPSPQPE IGPLKTATRRDGLALVPGAASPFRSPPPAAGEG OJF2_RS00815 MSTAPTLSDVLARRQRPQSRPRPAGSPGWLHLCNGLDPERDGGM VPSILGMTSAIRRGGDDVAIVTPTPSRIDASSLEPGLTLRGPEADLEAAVRGAGVVHM HGLWQGHTRRGARAARAAGVPYVITAHGMAEPWALRHKRLKKSVYLALVESRNLRRAA CLHALSRPEIGHLRRLAPRTPVCFVPNGVDLSRFDGLPARAELEAEHPQLAGKFVLLF FGRLHLKKGLDLLADALRALAPEFPSLHVVLAGRDDGARAPFAQAVREAGLEDRVTAV GHVEGEAARRAWAAADAFVLPSYSEGFSMAILEALACRLPCLITNACHFPELAAAGGA VVAEPTSGDITRGLRTLLEMTPEERRRMGATGRRLVERDYTWDQQARRLSSVYRWLAG GGDRPDCVLP OJF2_RS00820 MPAAIDTETQALAREASPPCPRTSPRAGKAPVSVIVPVKNEAAN LRLCLPALAWADEIFVVDSQSRDETESVAAEFGATVVQFHFNGTYPKKKNWALDSLPF RNEWVLIVDADEVVTPELAAEIARRIDSGEAEGYYLNSQYFFLGRRIRHCGYSECWNL RLFRHELGRYERMPDDTGGRAGDNEAHEHVELAGRALRLDHQLEHHAYPTIAAWVEKH NRYAVWEAAMYERFRDEPIPANIGRGKRFKRLLKKIYLRLPARPAVRFVYSYFLRLGF LDGRPGLVFCTLLAFYDFLCWANVYERKVTR OJF2_RS00825 MPWKDVSLMSQRLEFVALAAAEGANVRELCRRFAISPKTAYKWI ARHRDGGDDALADRPRRPASSPARCPGDLEAAVLRLRDDHPAWGGRKLRARLAAMGMA AVPAASTITAILRRHGRLEPAASAAATPWVRFEHDAPNRLWQMDFKGHFAAGAGRCHP LTILDDHSRYAVGLYACGDQREATVRRLLEATFRAHGLPERILCDNGSPWGPCGGEAR HTGLTVWLLRLGVGVSHGRPFHPQTQGKDERFHRTLKAEVIQGRAFRDLEACRSGFEA WRETYNHRRPHEALGLAVPASRYRMSERPYPEAPPSWEYGPGDAVRKVACDGTISFRG RRSVLGKAFRGERVAVRPADADGSFGVYFGVHRVAGIDLRAHNDLH OJF2_RS00830 MPKRTDIHKILIIGAGPIVIGQACEFDYSGTQACKALREEGFEV VLVNSNPATIMTDPEMADRTYIEPITPEHIEQILAAERPDAVLPTLGGQTGLNVGMEL EERGILAKYNCQLIGATAKAIRTAEDRALFKQAMEEIGLESARSRMAHTLDEARAIRD EIGLPCVLRPSFTLGGSGGGIAYNREEFDRMVAYALELSPVHSTLIEESVIGWKEYEM EVMRDAADNAVIICSIENFDPMGIHTGDSITVAPAQTLTDKEYQRMRDASLAILRKIG VETGGSNVQFAINPDDGRMIVIEMNPRVSRSSALASKATGFPIAKIAAKLAVGFRLDE IRNDITRETPACFEPTIDYVVTKVPRWAFEKFPDADPVLTTQMKSVGEVMAIGRTFKE SLQKALRGLEVGRFGLGCDKKDLWGTPRQPSLDDLRPKLSIPNTERIWYIRYAFLAGM TVEEIHEITHIDPWFLANIEELIAVENKLRAARSVEEAPTELIRLAKQNGFSDRQLAT LWETTEGQVRAERLRRGVRAVFKLVDTCAAEFEAVTPYYYSTYEQEDEARVGEKPRVM ILGGGPNRIGQGIEFDYCCCQAAFALKADGYEVVMVNSNPETVSTDYDTSDSLFFEPL TVEDVLNIVDRIRPTGVIVQFGGQTPLNLARALEAAGVPIVGTSPESIDLAEDRERFR LVIEKLGLKQTPNASATRNDEARRIAERIGYPVVVRPSFVLGGRAMEIVYDEPSLDRY MTEAVEASPEHPILIDKFLEDATEVDVDAVCDGERTIVGGVMEHIEEAGIHSGDSACA IPPFSLPPAIVQGLKDQTYALAQALSVRGLMNIQFAVKDGEIYVLEVNPRASRTVPFV SKATGLNLAQAASRVQMGRSLEDQGITSEPVPAYVSVKEAVFPFAKFPGVDIVLGPEM RSTGEVMGIDTDFASAFAKSQLAAMSKLPASGTVFVSVARRDRQAVVPIARKLAALGF RLMGTSGTAEALSEHEIQIEPIRKIREGRPNLLDHLANGSIDLIVNTPSGKGARTDEG RIRASAVSHGVPCITTLAGARAAVAAMERLRHGTLKVYALQDLLKTSS OJF2_RS00835 MAARTFSDTILDDRMAAILRGKSPAERLEMAFSMWAFAKQLVRD FIRAAHPDWSDDAIRRETARGMSHGAF OJF2_RS00840 MPTLIHHITNIDNLARIVQTGGLWCDAERVRQRFECVGIAHESL KQRRGRTAVRDRTGRAIAAGGVLADYVPFYFANRSPMLYSIHRGNVVGYAGGQENVVY LVSTVETAVAGDRAWCFTDGHAVEAMTEFLIGAEHLNKIDWDTVRAWKWYNTPEDPDR KRRKQAEFLIHGSVPWTWIHRIGVVDQGRSQRVREIIAGAAHQPEVTIEPDWYY OJF2_RS00845 MWKRTEGNILEADVQALVNTVNTVGVMGKGIALQFKKAFPEMFE AYEAVCKAKTLRPGLMHVYDRGQMFNPRYIINFPTKRHWKGKSRIADIEAGLDALVEE LTARDIKSVAIPPLGCGHGGLDWDEVRPLIEQAMDRVPEVEALIYAPKGAPEPAAIVN RTERPEMTPSRANMLRLLSEYCVLGYDLTLLEIQKILYFLQVAGEPLKLRFAKDRYGP YADNLRHVLHRFEGHFLVGFGDGRNSPRTPIRLFEEAVSEAVQVSERSSTPEQKDRVR RVFDLIEGFESPYGMELLASVHWVAAQEGVPSEPAAVVQAVHAWNDHKRKTMKPEHIH VAWNRLQDLGWLGRDTTSHDDRE OJF2_RS00850 MARVETLESQMTWTPERFWRAIDAGLFDDLGKVELIGGQLVATR AGSGPHGDVAINAEEALKAIIPADAWFVGREVTVDVAESVVVPDVMVARGPRKPRYRR RNPKIEELALAIEVADTTLARDRRLKVPFYLEAGVPIVWVVNVGESRIEVYTPAGSHD SPNVYGLKDSVPVTLDGVTLGEIAVATFFD OJF2_RS00855 MQTAFSIRESLQGHLQDFVQATGFECTKTEALVRDIVCLLSAYR EEDVPLFPHIYVVHGADALATIAPGAERITLGKSQYADDSAKDILKKGASLAIAGWSV FIARAEHPEFEYGIFRDRTHSFSVSAEESLADIETPFPALLIRNRGHFVVELVISNRR TYTVSFTSSPASPSSLSLHVSKFARIASSRLPEDRRADFVPYLKRLVTDKLLHSHGTL LAVVDTTSTLESHDATLDEGTWLAPVVDLAMRRFRAYTEKTDIALADLQAAEALLEGM VNSDGVVVFGADGTLRAFRVFLRPNENERSGLPEKGGGRRRTYELMKKRTTSTLLAAL YRSQDGDTDCSGEES OJF2_RS00860 MSDNNEITVWHPTTDLVGALAEISSPEEIVGYAQPTLSPRDQKS IVAGFNSGSYEMVATFVWAKAAATLKKQLATLGMEFVGEMLCRNDLFDNSDPSTSISD YEALSLAEDLGMVTPTQAMRLNQSLVLVTHFANIEYTGDSSEEMVKEEAIVLLKNCIT SILGKPKFETAIRFVEFRKSLAERSFNSSDAQVQSLIDSPYFFIRTTVSILLSLVKTE KGAPLEHAVGNTILIIPSVWQKLKTSEKWQIGQAYAEVNAAGNRPATIGLKKALTAVH GFDYVPESLRSNTFNEAASRVLMAHFGINNFYNEVAPMSNLASLGTTIPKPAFARCME ATLAVWLGNAYGESWGAIEHATALLGKLRTEQWEYYINECFPRDRVVLSKLSSDRKPT IRWTELVKAFELTKINCTDKRVQFLINNSKQMPASYVIINRVASDLRAAVMS OJF2_RS00865 MAKRPAKPLKSRDIRGVKYVERLLPLLDALHEVGCGRDKAGNRC LFYDQYCMLVLLSMFNPVVRSLRAIQQVSGLRNVQRKLGCSRASLGSLSEAVEVFEPG RLLGIIDALAADAGPVRDVRQGHLAHALTAVDGSVVKTLKSITEAAFMGDKNGGSHSG WRLHTHFDIDRGVPVRIDVTRASNSGKDDEKNRLRDRLEPDHCYVMDRWYAQFTLFRD IVAAGSSYVCRVRDNTNLMDVVEERPVTEAAKAAGVIRDVVVNLGGDRKEGERPGHPV RIVMVRTTPHTKRGGRKGGTAGPSSDGILRIATSLLDVPAEIIANIYKHRWTIELFFR FFKHVLGCRRLLSTHEAGIEIQAYCAIIACLLISLWTERKPTLRTYEMICHYFTGLAG LDELVAHLEGLKRAEEAKRAAS OJF2_RS00870 MPRSARASAGGYCYHVMNRGNARAEVFHKPADFEAFLAIAAEAM VRVPMRVLAYCLLPNHFHLVLWPREDGDLSRWMHWLMTSHVRRYLRHYHSSGHVWQGR FKAFPIQEDEHLLRVIRYVERNALRAGLAERAEAWPWSSLRTFADGPALDPGPAPRGA DWLAFVNAPMTDAELAAIRLSVKRDRPYGADAWTRDTARLLGLEYSLQPRGRPRRVRV EDAVGEDDTTQTT OJF2_RS00875 MSQRVPPQHVAVFGRPGSGKSSLAERLSADHGYALVRTGELLRQ AVRRRDSLGSPVEGYLKSGALVPDDVVAALVARAVADVGDGRLLFDGFPRTLSQVGIL DAWEREKGYRVDCFLDVDIRREAAIARMGGRRVCPACGATYHMVSHPPLHDETCDLDG TRLERRKDDDPEVIEARQRVFEESTEPVVAHYRTHAPGRFRRVDGEKPLDAVYAESLR ALALDA OJF2_RS00880 MQSVRCRKFIGLLLAAWACVPSNRLEAAQDRGDTPPAAGIPAYP PNFDPGQEAPGGAWTPVPEPDPYPDGPEASRFLLGDDFPFRSLREGTGFSFYTSFTQF EQGVASGGLRDGARWGGKFDMLAHLDTEGAGLWRGGMLDLFAESRLGQSIEGFSGSLS PANLAMYFPVPDAQVTAITGLKFTQEITDRAGLFFGKLNALNGDRERFLKYPLTSRFW NAAFNFNLALDRYPYSAPGAGFYYVPERGPSLAFLALDSYDSPRTTGLEKLFRNGAFL YAEAKQKTEFFGLPGKHTLATLWGTGAFADLAPASFIDLPQGAVPAPKRRGTWTVLWN MEQRLFVDPAIPDRGVGLYVQTGLGDGNPNPTRWFLSVALCGNVPIAGREGDTAGLGY YNLGLSQQAKDILPGLRDEPGGELFYNLRLAPGCHLTPDLQFVRPGFTPIRDALILGL RLKADF OJF2_RS00885 MSKSPRRDARRMVHAARPRMESLEARRVMDASASPSATVVGNVL MIAGTPRADRIQVLPTESVGTVRVVANGRQLGRFGPVAEIDISGGAGGDVIAVDPRIT VRTRIDGQAGNDNLRGGSGVNALVGGDGFDTLVGTPGRDTFDGGPGRSRQVFLKTLGT VQVGLSASGAGLRRLSGAYSVQRLQVGGPAVVGAGDLNNARIAGLLKGSYDAGQTISI ANATQADADTLAGLLGDPRPVAFAAGLAKADLVSFRKVAQDGQTLYSIDVMAPVQGPR LRPGLRAAGMRARQQGDRLHLATVFTPTPMAPSVTLTDATNNLVQLASAYQSSTLQSN THGDAVQIVNVAYAARSFANSQDLYYITQEVDNFIAAPAIGQAGTPPFNYVQAEWGNY AINQLTGLATTSGVLTLSPSPQTTETTSSVTSGVSFSIGGSVGFNAAQGPNAGLTVGL TISNSTTKTVPPLTVTYLGDPATSKTAWSFNVGYQNLPQPSQTMTFVTGWIWEVPFAN YAQNPTGLAFETNAGLGYNNPLTTTPPTFGSQWMVNGTVTSSVPLPFGNTFTLAKPQV TGVSPTTVRPGDSFTIEGAGLYAPLISAVLVGGQQVNPANYTVLSDTEIQVVAPNTPG VDLPVSIQTTQGQSNTDKTITIGVAPTITVSPQAVSAAAGQAFANQVVANFTSSGAGL PASSFGATINWGDGTAASAGVITSTGPGTYSIQGGHAYATAGSYPISIAVNGPENAQG SASGTATVTSQDNAGGPQDLTSQAISATVNEAFTNATVATFTDADPGVSPSDFTASID WGDGITTPITTVNTSGPQSFIVLGTHTYLVTGNYTFTVQVTDGNNRKATTTGVATVTA PPTA OJF2_RS00890 MIRRGPKQGPRAHHTARRRVPEYGLRPRKEAAVGRQPSSPHHDP PARLDVLAAGRERLEFGAESRIISSGVAAARQKFECVGRAPRADGTVGPLRAVAARAR HRRLRGPGA OJF2_RS00895 MSDEASRIAEAVRRRPHGFEFIRGTTGLKLTDDQFRAIVLENRG RFKLVRFLKRDDEGVFIRPGRLGVQLRGNPA OJF2_RS00900 MEGKLGRAGLRAEYRRRVFQVFNGDEWMCSYGDMYFMQPEASSP TRDYTLKDVISDLLRDFDDPDGTDARDMAVWRDGRILAVIRKGRDGRPELIDFTGGA OJF2_RS00905 MEYFCSVVRKGVMRLADVPGAMGVSQTPAPGETIGTALARGQDL MGRAVFNLIVGESLVPGRWNLADGVFVAAES OJF2_RS00910 MIGIAFWVASAIGGGYVAYHKGRSPIEGIVFGVVFGPLGMLTMA GLPARSPESRRRARSAASGADQGERDLVRAITERFSKTVSP OJF2_RS00915 METHFLEEHVERVRRFNRFYTRRIGVLHEHLLGSGFSLTQARVL YELAHRPTLSTSTLCRELGLNAGYLSRLVAGFEKEGLIEKTRSPADARVHELRLTEEG RATFGPLDDASRRDAIAMLQRLSEPERLRLVEAMGQIQGLLDGAGPGYLLREPRPGDL GWIVHRQAVLYAEEYGWNAEYEALAAEIVAKFVRELDPKSERCWVAEKGGKVAGSVFV VRDDDATAKLRLLYVEPEARGLGIGRRLVDECLAFARLAGYRRMTLWTNSVLAGARRI YEEAGFRLIKEEPHRSFGKDLVAQTWCRDL OJF2_RS00920 MKPATAAAQALGWIDEATRAVVPPLHPSSTFVRDADNGFRSGRS YTRADNPTYDQAEAVLATLENGAGAALFASGMAAATAVFLALRPGDHVVISRVMYWAL RSWLLGFATDWGLRVEVVDTTDLDALEAAVRPGETTLVWIETPGNPTLAITDIAATAG IAHAAGARLAVDSTVATPVFTRPLDLGADVVMHSATKSLNGHSDVLAGALVTRAADAH WEAIKRVRVQSGGVLGTFEAWLLLRGMRTLYPRVRAAAANAMAVAQHFQGHPLVEDVL YPGLADFPGHAVAARQMQGGFGSLMSIRVKGGEQGAIAAAANVKIWKRATSLGGVESL VEHRASVEGAQSPAPPDLLRMSVGIEDAGDLIDDFEQALAASRR OJF2_RS00925 MRHRSRPGFTLIELLVVIAIIAVLIALLLPAVQSAREAARRIQC TNNLKQLGLAVHNYESSSGVLPPPFVLGIVSGTTLNGNGWSAHARILPYSEQGTAYNA MNLSLRYSVPDNLTVSRLTIGQFLCPSEIKPDPRTDATTGEVVHGVNNYGWNRGDWLV WGGLGTTNRAPFDVNVARRLAAFTDGTSNTLLASEVRAYQPNLNNCGALANVNPKNPP PASADPMTLVPQYASGCSLGLTGHTEWVDGAVHETGFTTAWTPNKAITRTSNSSQALD LVNWRENATLTNGGPSLGAITSRSYHPGGVNALLGDGSVRFIKDTVDGAAWRALGSLN GGEVLSADAF OJF2_RS00930 MQRSAVILLLGLLCSRAAAQEAIPSAKLLEDADVLRRIYERAHP GLYRYNTKAQMAGHLAALRAEFARDRSLGEAYVAVSQFLAKVRCGHSYANFYNQPRGV ADALFSGRNRVPFLFRWIDGRMIVDRDFSGALKPGTEVLAIEGHPVAEILGKLMTIAR ADGGNDAKRVAYLEVRGKDRYEAFDIFLPLFYPGIGERIGLEVVPPGAKGPLTLAVAA HDRAQREALGKEAQQPAGADPWKFETLEGGAAYLRMPGWALYDSKWDWKGFLDRGMDA LIDRRAPALIVDLRDNEGGLDVGNVLIERITAREVRGGIQRRYTRYRTLPGDLVPFLD TWDWSFKDWGDKAVPDRAGFYRLTRYDDDANGDVIAPRGRRFEGRLVVLVNAANSSAT FQFARLIKDNQLGTLVGQPTGGNRRGINGGAFFFAKLPNSKIEFDVPLIATFPGERPL PDGVVLPFLDVPDAGVEPDVPVKPRAEDIAAGVDAELEAARALLKGRR OJF2_RS00935 MEPATPETFVGIDVSKARLDVAIGDEPPFAVDNDPAGHAALAGR LAPRRPRRVVMEATGGLEAAAAAALAAAGLPVMVVNPRQARDFAKAMGYLAKTDAIDA KALAHFAAAIKAEPRPLPDEAARGLDALLDRRRQLVGMRTMEENRKATARGRVLRDLE AHLRWLGEHIEEIDRELDERIRSSPAWRERDDLLRGIPGVGPVLSRTLLAGLPELGTI SRRRAAALAGLAPLADDSGRRSGPRRIAGGRGQVRAVLYMAALSARRFNPALRALADR LEAAGKRPKVILVAVARKLLVIANAILKAGKPRDPEIAAKLAQNA OJF2_RS00940 MKPFSFLMAALLSLAAAADAPAREPDPKFYIFLCFGQSNMEGFP GIEPQDKSPVERFEVLSAVDAPKLGRKRGSWYPAVPPLCRASTGLCPADYFGRTLVAG LPKDVRVGVVNVSVAGCKIELFDKGGFKAYASTAPPWMANIIKEYGGDPYARLVEMGK LAQKDGVIKGILLHQGESNTNDKQWPAKVKTIYENLIKDLDLKAESVPLLAGELVNAD QHGACASMNSIIAGLPRVIPGARVVSSAGCQARRDHLHFTPAGYRELGKRYAEAMLPL LGGPAPAPSRPEAPASASGAAPR OJF2_RS00945 MDRIAACKCCGGPAPSFGRVDFHKSCESRRREVFPPSGILIEYH RCPSCRFLFTTDFDGFTADDLKRYIYNDDYIRVDPDYPEERPRINADNLSVLFPADRP RRTLDYGGGSGRLAELLRVRGFGEVRTYDPFVEAFAERPAGRFDLIVCTEVLEHATDP RRVLEDIAGLLDDPGMVLFTTLLQPPDLDSQGLGWWYVGPRNGHVSLFTAESLDRLAE PLGLHLASFNDSWHVLLRDRIPDFARRFVQPA OJF2_RS00950 MSYDVVLESVEPGFHGPVVAALLTASIPSRTVWRESGGFRNATT VGLGLNPAKAERRATSCPSVVARGVTLEDAEHLKAYLQAGHFPEKAEWPRPEPGRACC VVAIRPAEEKA OJF2_RS00955 MSTATPLASDDGPALDEERRLRVELAGCYRIFDHLGWSEVIMNH ITVRVPGEGHHFLINPYGLRYDEVTASNLVKIDTEGNVVGRSEWPVNPAGFIIHSAIH SSREDAICVMHTHTTEAQAVSVKRGGLNHNSFYAAQLHGRVGYHTFEGVTVHDEEKPR LLASLGRANTVLVMRNHGVLTVGRSIAEAFWNMWRFQRAAEVQAALAGMEGPDVEVPE AVRRDCVAVGDNYGPSSVAETIFRALLRKVRRLDPSFED OJF2_RS00960 MTTLGMRRGGLRIGLMGLLLGMCGAGLRAGTVTLDFEDVPLSSQ GFGSLAVYDSQGYTLVSTSGFSARGPGTDSANYTPETAPGSGAVSTSLAAFAPGTITL IRDDGGAFNLASIDLAREFRFNTPDVGQAYPVVTFTGTRADGTIVTQAFTADQAEFAF ATFAFSSDFTDLLSVSWDQPPFTSGTPTAPAPGLHQFDNITLSTVPEPASLAMLASSL SAVGLAAARRRRG OJF2_RS00965 MPATEEGEPKPSPALALACVGRVEGGGVTDRPDALAVEEPLEIR LGLDADGRRSRRAISVTMRTPGHDEDLAVGYLFTEGLLERPGQVAGVRPCRSGSAVRV DLRPGVAIDLARLERRSFASSSCGACGKTSLEAVGIDRLPMVDGPGPVVDPAVVRGLP GALRASQPTFDRTGGLHACALFDPSGRLLSVREDVGRHNALDKLIGAEFRAGRAPLSG AVLLLSGRVGFELVQKAAMAGIPVVAAIGAPSSLAVAAAGACGMTLLGFVRDDRFNIY SGAARVAVGGRSAR OJF2_RS00975 MFRLPSFMQSSGGASQPASPQTAAKASRLRGATVVEAVCPYCAV GCGQLIYTKGSAIVDIEGDPRSPISEGALCPKGANAYQLPVNPHRVREVLYRAPHSDR WETRPLDWAMGRIAAKIKEAREADFRRASEAGDRVNGFSTVGVLGGATLDIEENYLMK KLFSAGLGILPIENQARIUHSASVPGLGASFGRGAATNYQQDLAHSDCILFMGSNMAE AHPVGFRWPMKAKEKGATLIHVDPRFSRTSALCDVFVGIRAGTDIAFLGGLIRHIIEN GRWFKEYVLAYTNASTIIEEGYRDAEDLEGLFSGFHPETNSYDAADGHWEYEGEGKDA GPGPKKDRHGGRGVHGHAQQGNVEETHTPERDPTLQHPRCVFQLIRRHFARYTPELVA RVCGCRPEEVVRVAELLCANSGRERTTALVYAVGWTQHTTGVQIIRCGGILQLLLGNV GRPGGGVMAMRGHSSIQGSTDVPTLYDLMPGYLPQPKADADHETLDAYVEHEAPSRGY WSNFRKFAVSLLKAWYGDAARPENDFRYDWLPRIDGDYSMLLTFDRMSRGEMKGYILM GMNPGGGGMNARLHRAGLRNLDWLVVADWFETESAVFWKDDPEAPPPSEIKTEVFFFP AAAAPEKDGTLTNTQRLLQWHNQAIDPPGDCRSDAWFVYNLGKRLKALYAGSTDPKDQ PLLHLTWDYDFEGPHRLPDGAISRIEGEPDVARILQEINGHDLSDIDPRTGRPRLLPD FSALADDGSTACGCWIYSGVYPEPGRNRANERKRRHDPLSVEPDWGFAWPLNRRVLYN RASADPEGRPWSERKKLIWWDEAAGRWVGADEPDFEVTKPPGYRPGPDARGMDAIAGD APFLFKPDGLGWLYAAGGVKDGPIPTHYEPVESPVGNLLYPRVTSDPLVRIPENPLNP VALAPTAEFPVVATTFRLTEHYLSGPMSRFNSWLNELQPEMFVELSPELAAERGIEHG GWLTVRSARGRIEARAMVTRRMRPLVVEGRVVHQVAIPFHWGFAGETVGGNANDLTSI VLDANVSMHEAKAFACEVGPGRLPGPRPGPTKRPVAWPTRDPVPDTPTAAQPEGGFAH GRE OJF2_RS00980 MAVSELEVAARPSPPETSPRRGLAASLARRLVGPFLRGEMPPPR PATGFYTDTTVCIGCKACEVACKQWNQLPADGFRWTGNSYDNTETLSASSWRHVKFVE QFAGPEPPATPGDPAVAAPRDLTVHDLLGDGPSGRWLMMSDVCKHCVAAPCQHACPTG AIIYNEFANVYIQPDICNGCAYCVAACPFGVITRSAIDGVAHKCTLCYDRQRDGLTPA CAKACPTASIQFGPIEELRERGRRRVAELHERGVHAAHLYGDESTDRYSSLNSFYLLV DRPEVYGLPGAPSNPWLSMPGDYGRAALTGLLTLAAMLAALFLSGR OJF2_RS39840 MTTLGDREPTKAHSGYLGAEVTKAPDWHGLVTLDLLLNNLTTGL FLAAAVGELARPALIGPVARLAYPIALVALLADLLCLVVDLGNPTRFHHMLRVFKPTS PMSLGVWSLTAYSLPLTLVVAIDLLGWLGLLPSSGGVGLARGAILALGLLPAFGSAIY KGVLFSTSSQPGWKDARWLGAYLVSSALMYGAGGLYALAILAGLEAAGPLRWAFAALV ATNLVPLGLLAAGLHPALARVHHRGGLYRLGALAAGVGVLGPLALVLASGGEPAAWAA LGGLVLGGLAWRHAIVMLPHGAPHGAGSPG OJF2_RS00990 MRLADRGPRVAESDIRPFGRGAGVSLAETRRAGASASGSCEVIV MLMPCPECKSEVSVSARTCPQCGGRLPRPERLVSCPHCEAEVVPESSPHDTISRYCPA CKRPITGLTARKAFLAASGAAFLGMLIALAWLLSSLASRPGAGLP OJF2_RS00995 MADIILINPKFKVSYWGMEHALPLLGKRANLPVACLPLLAALTP DGHTVTLIDENVEDIDWGRCARADIVGLTGMSVQRFRMKEILAELKRRGCFTVVGGPY VTVEEGYFGDDADVIFVGEAEVTWPRFLEEWHRGVHGPRYEQAEKTDMGRVPTPRFDL LKMRHYAFGSVQFSRGCPFQCEFCDIIVTFGRKPRIKTSGQILAELEAIRAQKQRIVF IVDDNLIGNKAAIKAVLREVAAWQEANGFPLAFTTEASIDLADDPELLALMVEANIVS VFVGIESPNEASLRETKKYQNVRAGGTMLEKVRRIQDAGLEVWCGMILGFDNDDATIF EAQQEFIRDARIPTTMIGMLNAIPKTPLHARLEREGRLLDWSEESSYGTNIVPLKMSR EELRDGYVRSMNALYEPSAYFDRLDDLLLGGDFPVAGAWMRHWARHPWRRLRDQAELM ALALGLFALLMLRVPDAALRREYRRRLWGLLRIRREPTVVVSYVVKCAMHYHAHTMAR DMAGGRSPIYNSG OJF2_RS01000 MAREMATPGKKRGRPKGPGPVRETVVALKGGAAWKAWLDEFAAH CRLGIADTIEQALLVYAKERGFREPPKR OJF2_RS01005 MAVAASNSNRRDEVVAELAHTLADAPARMPAPRSDPALAKLRQV GTEPWLDTGNLDEARSLWRAELTALTTNNSLANQVVQSGLMDATIREAARRIRAEAPE VPADDLVLDLVFVVNCHIALRLAGAFGARVSVELHPGVARDVEQTVRYARRYHAVSPD RFIVKIPLTPEGYCAVARVRAEGIPVNFTLGFSARQNYLAALLAGPDYVNVFLGRLNA VVADNKLGDGKFVGEKVALASQAAVRELREARPGVPTRQIAASMRNADQMLALAGVDV FTIPPKVLAEFYARGPSPDAIRSRREEQYEVKLGNDTDKGGVEVLWTIDDAFRAFADE LATRGGANLSGADLRRADRDHGTKLFADFDEAGRAAIREKGKIPDVARWRGRAALDDL MTEAALQSFHTDQAAFDAYVRKLIEAA OJF2_RS01010 MNQAGPRDGGETAGGKSLDELCIDTLRVLAIDAVQEANSGHPGL PMGAATMAYVLWTRFLKYNPRDPLWPDRDRFVLSAGHGSMLLYALLHLTGYDLPLGEI ERFRRWGSRTPGHPERGHHTPGVELSTGPLGQGFANGVGLAIAESWLAARFNRPGHAI VDHHTYALVSDGDLMEGITHEAASLAGHLRLGKLIYLYDQNRVTLAGVTDLSFTEDVA GRFDAYGWHTRHVPDGDDVGEITRAIEEARAERDRPSLLLVRTRIGRGSPKKEGTFGA HGSPLGEDEVRATKRALGWPTTDRFYLPGEAVRHFREAVGRGAGARGRWDRLAEAYAA AHPEEWAEWRRIMGGELPEGWAGGLPSWKPEDKPVATRTAAGQALNALAARIPNILGG SADLNPSTSTALKDRGDYQPPGEAVGPGTPGAVGGAWGRSGRNLHFGVREHAMGAAVN GMAAHGGVIPYGATFLVFSDYMKPSIRLGALMGLHAVYVFTHDSIAVGEDGPTHEPVE QLVGLRAIPGLTVIRPADANEAAEAWAVAVERDGPIALALSRQNLPILDRADSREPGV RRGAYILAEAAGGRPEVILIGSGSEVFLCMKARERLAALGVAARVISMPSWELFDAQD AAYRDRVLPPAVRKRVAIEAGSPIGWERYAGSEGAVIGIDRFGASAPGEEVMERFGFT VGRVTAEALRLLGRRDEAAKEEAGGEETAVAPTGPREGHS OJF2_RS01015 MGDSPGGRHVGRVAGIRRYPVKSMAAEPLDEVEVTWHGLAGDRR WAFVRGDSARSGFPWFTLRERADMRLFRPSFADPAQPDKSPTFVEAPSGETFDVADPR LGDELGPGGVRVIKQDRGVFDTFPLSLITTQSVARLGEAVGAPLDAERFRPNLLIEAA GEEPFLEDSWVGRTLRIGGMRMRVDERDARCVVITIDPETAGRNPAILRAVARDREGC LGVYGTPVEPGRIAVGDPVFLEPSA OJF2_RS01020 MIRRTDRALRPRIDGLETRLVPSARPVVALAVARVPLAGAGQGT YHVAHDNRAADQPATVELSGVGRARLLGRVTISGTLLMGGFLAPGTPDISGTITVADG RGTLGLRVGGPGGSATIPGSRFRLDATLEGGTGAYRNLRGAGVARLSFGLATTAGVTP IGGGFQLVVTPRRAGHGPA OJF2_RS01025 MRKLGRMLAHDARHPATLAKRLLRKATSPLRLAALPGRIRRAPV LNLHRVDYTNLGDLKCGPYSYFDFLGGHPVVDINDLARWEGPADLGGKLIVVGGGGLL NSVFGRQWELITSDPSACLIVWGGGHNAHGSGRIDGYSHLDRCRLVGLRDYGQGHDWV PCVSCMEPGFDRPHPIRHEVVFFEGSHRPVRGVDPAIPKLTSRCMDLHRVLRFLGSGE LVLTSSYHGAYWATLLGKRVVLIGVSSSKFFGMKHPPVHGTPSDWMSGGRSARVYPEA LDECRGANRRFAAKVEEYVAAFSTASRAGELRLRYRRPRPC OJF2_RS01030 MSGFDKVGRNTGAGKFEAEIAVGPKLVCQYLVYNYNIDGNVLKP EHRDDLDRHVVPLLRDQNVHAELTGTASRSGDSEYNRQLSPGRVLRVKQHLRGKGIPE SKLPGPDIRAAGEDLSTSISDEDERDRGVRIRIGMGIKALPIWPTIVVPVIVTADGPK PIDLPPPPPAPDAEGRWTIRQIHGSNASVGFGASVPFAGASVAGSLVEYHFLLVNRKT SQMAQCRFFGPGVSGGVAPADWERLPGAGKVSFGPTGGVSFTMQSKTWNNFSTRKDVD FPSFHGKAVWIEPAGLGLTTSAGVTPRLVLPDVGVTVEVSTGNTIGTPGSTLSAGTFA CKEPVPLKLP OJF2_RS01035 MRRSLRNLVMAGVAIGGLMVGSAQAQPYLPPNGNPGPFPPRTGG WCGTYPYPGGWWDGYGGWGYGGYGGWGYGGYGGWGYGRCRGYGGPYRPGSGHGYHGHN GGHQGNHGGHR OJF2_RS01040 MPVSPRRLTGQEFRELLDAILDAFPIRDSFAVVVRDSLYEPLDH ITSASGTLHQVVHEVLTWAEGMGKTAELTQRLVAANPLNPHLHGVAAKLDLLGFRPER VEPAGEAPLDRPATFMDRESWYARRAAFELTVCRLYSDRPEGVPSAAGLLVAPDLVLT CGPNLYAEEPSAYARFNAGVDELGRPKGVPDALLVKEVVASNSFWGKKFFLLHLSRPL GHDLGDGPSYLSRGWLYLDPGRTASVGDGTYLLRHSSEGQIQFVFRDDSIGAAADGHI DHGHDASALAGSLIVSKDWAVIALHCGRGVSRRGTGIPIRDILDDLDRKGLDGPLKTP FQGS OJF2_RS01045 MSNKTISLASISSQLSDFVDRVRAAVGQKRRPLPDTGTRRRDLL AAAALLDEFDPNALSEALGPAASDERLADAEALIATCVPVAQSGGVRMRRMRTTPRRR VVAQLVADGVAADAVRRAEDELGLPVNPVLAGYLRGTSLPAADLPASQLAAALQAYEV LRDLPGVPDTRAEAAEAEARLDRESLLQTLRALAGGRFSGRARELADLIAFAGLPRRS AGELDAEVRPLLVHGPGGMGKSTLLAELLLREAVLGIPPRCPFAYLDFDRRSLSIEYP ATLLYEALSQLAAFYPNAREPLREIRARWQEEFRRDRDEPMQSSLESAAFSQGRQTGR FTFEFSQALAGLAPSDRPFLLVLDTFEEVQGRSGDYVAELSGFLADLQSQLATSGGPR LRVIISGRIPVPELGVRPYPLGPLAPEAAEQFLIDLGVDRALAPRVVKQVRGVPLTLR VAAELVTQARDEVLAEGEFDGLHPIWYALQDAQIQGLLIERYLGQVVDEDVRRLAYPG LVLRRITPDVIREVLAGPCRLKFVATAAEEQGSRGRHTPETLFRALSREVSLVFRERD GVLKNLPDVRVVLLDMLAHGGWAAKMARRIHRNAVTFYERFPDLESRAEEIYHRLQLG QSQKALQQRWLPGVERLLRESLDELPPASQRILADRLELTGELEADPRRRAALDQAGW ERYAARRAERQIKLNQPAEARTTLREREERLSGSKLFALEVTVLKHLQDWAGMREVAA RGLAAAADAGDPKMAYELGRQLIQAILLSRDLTGAPRAIAGARGLSIKGDPVRQLAFE LELDLAEIRVAQAAVAPAREPVAFAGALGTTEVSAAIEERAAWRLRELMAGPRAWGFA GLSRIAAGLLGTRYPELIREVVRRYGVAEGPLNPPRRRLLCRALVTWDEISSANRRRP DGILADAAGRPTFDQTIESWWSQLVDRQGVVNDVINSLSARFGLPDNALRRFGELFRM PPETEMFAPLHTHLLDAMTPHDLTRFVADELGEDLSKIAKGTDQRDVVRQLLSWAHEQ GRFQELLDRLTTRNKPVT OJF2_RS01050 MPIVPKLYKTIRKAIENAYPSPDDLSVFLLDWGYELETFASLNL SRPHMYSKVIRGIAARGLIREFLARLKAERAENSKVQEAWLLYATDTGSYDADEFNAC LLGDAGPCWDRTKLRAHVRELLTGGKRTMVVRGATGSGRTFTYWYIEHVARFHNARPF YLDMKRKPTGPDDVARLIAGYYGWSLETLPKPHAQKLQWAEEIGEWVAGRVADMAARG APGSEFGFRVVLVFDNTCAAGLRTETKALVVYLADRAAGEEGLRVVLLAHQDPYKPPA RHRVNFDNITPPSKTDFYDFLAAYNRHKGYSFDEEALTAVANSAWAPITTPAGLVLMD DLVTAAEEAMAFLDRNAVAPGTGPASANGTGSGATVFSGATPSSPGTRG OJF2_RS01055 MAMQILLNEIPILINAIEDGFPSPDLLDPVILALNDSIERHGGL HIRYPTLLLNLVKYYNAKWWIDKLLQALLRPRPDNGRLAEFAWRHGIAFQPPNAIAEV ASESAELERMLDPIRGFADFGSLLNRLGAIANAICQISYPVNGATAYGTGFLIGDSTL LTNWHVVELVTTANRKDVELLFDYRTTSAGTTDGGTVHRLVDDDQGWLIDHSPYDPRD VAAEPIAQRLADTRPDYCLDYAVLRTEGSPGAEPASGMTRGFLTLPAGPVNPADLKPD AGLFIIQHPYDPPTQKPLPLQYDWEKPAVRGLNANGTRVVYGVNTRKGSSGSPCFNTK FELVALHHAGAMDWPADAKYIYNQGMPIDRVRKLLADRGKLGEVK OJF2_RS01060 MERNLTNDPADANVHLMHRVDTILVRAVVGARIGVHILQTPATL VVEAEFGGVARIEADPTSWTLAVAEPLAVGSHLPPERP OJF2_RS01065 MAKRAAEPLKSRDIRGVKYVERLLPMLDALHEVGCGRDKAGNRC LFYDQYCMLVLLSMFNPVVRSLRAIQQVSGLRNVQRKLGCSRASLGSLSEAVEVFEPG RLLGIIDALAADAGPVRDVRQGHLAHALTAVDGSVVKTLKSITEAAFMGDKNGGSHSG WRLHTHFDIDRGVPVRIDVTRASNSGKDDEKNRLRDRLEPDHCYVMDRWYAQFTLFRD IVAAGSSYVCRVRDNTNLMDVVEERPVTEAAKAAGVIRDVVVNLGGDRKEGERPGHPV RIVMVRTTPHTKRGGRKGGTAGPSSDGILRIATSLLDVPAEIIANIYKHRWTIELFFR FFKHVLGCRRLLSTHEAGIEIQAYCAIIACLLISLWTERKPTLRTYEMICHYFTGLAG LDELVAHLEG OJF2_RS01070 MTSIRETTPDAADVLMARESLVRIDRMLDGGAVDLRAAAPGGGD AGGEARLPVAAVRALRDILAEMAQGRAVAMVPIQAELTTQQAAGLLNVSRPYLIGLLE AGRIPFRLVGQHRRVRLDDLLEYRRRDDEARGRIADELAADAQELGMGY OJF2_RS01075 MEPVPTAIVDACVLYSAPVRDLLVRPAQAGVFHARWTADIHDEW IRNVLKNNPRLTRPRLERTRSLMDAAVRDCLVTGYADLIDSLTLPDADDRHVLAAAIR AGASLILTFNLKDFPPGDLAPHGVTARHPGALLADLLDAFPDEFLKAIRLQREALKDP PMSAEDLLARLETAGLARTATRLRQRG OJF2_RS01080 MSRLDARILKDSSSDHLLERLIRTENTREIAQRLATRRFGPAPE EASARLASIDELDRLDALAVAVSTAQSRDDLLGTADPS OJF2_RS01085 MAKPFDATTKDLFQADPAALLVYLGLRPAGPVEVLDADLSTVTT EADMVYRVGGPDPYLVHVEMQSSSDATLPRRLLRYNALLDYRHGARVWSVAVLLRPEA DGPGMTGSLGLRLPDGRPVHDFRFGVVRTWQQSAETILRGPLSLLPLALLADAPPEAA RSVIHRIDERLARESSGPEAARLMNSTFLLAGLRFAEETIATLFFGTLNMSLLDSKIL KDSSSYRLLERLIRIDESRALLLALATRRFGPPTGSQKADLDGIDDHDRLQGLCLSLD SVSGWDELLAGQKQG OJF2_RS01100 MERSPSPTNARPRKRAILIAVTCGFLFILPSCAIPRLRNPKPGP QLPADFNGAASPDNSSAIKVEDFFQDPRLTGLMHQAVLGNQELRILNEDVQIASNEIL ARQGQYLPFVWMGGDAGMNRFSRYTFEGAVEEQLNILPGHRFPNPLPNFSFGPTFFWT PDIWRQLHNAKDAAAMRYYALGEQRSFFVTRLVAELADSYYELLALDKRLEILDQTIA LQEQSLRIARAKMEAARGSELAVQRFLAEVRRNQGEKLVVRQSIIEVENRINFLTGRY PQPVERTNTDILDVTLQRLSVGVPSELLRNRPDVREAERQLAAAGLDVKVARKRFLPA MTITSGVGYSSFNPSYLLLSPNAIVANAASNLLVPVINRKAIKADYFSANARQLQSVY NYQRVVLNAFTEVVNRLNRVENYRKSLELRQQQLTALEKSVDVAQKLFQFARADYVDV LFAQRDLRDGRVSFVEIKQQQLAAVVDTYQALGGGSYLMPVSPPRPLLQEHKSHVWMW QWPWGKNPATLLGPPGTAAAGAGGAAPGQGAAPGAGAGDAAPPPPEGERGLATPPGAA PGGTTPGGKDPDSFPQRLPQPLPGDLGTPTPGEGPNPAPMPPADPGSPPPPDPNVSST PGEGLPPGSLPPTPDPGDTIRPPR OJF2_RS01105 MFAKILHRPALAIVISILILFLGGLSITTLPISQFPDVAPPSVL VTVAYPGASANVLVDSVLVILEQAINGVADMRYMVSAATSAGEATIMIIFEPGTDANV AVLNVQNRVQTVKQRLPPLVELEGIVVMQSMTSMLMYVNIYSTDPGHDQNFLYNFSFV NLLPEIKRVRGIGSATILGSRQYAMRVWLDIDRMRAYNIGAEDVMKAVGEQSMIGSPG RLGQATGVKSQTMEYVLTWVGRYDKPELYENIILKANPDGEVLRLKDVAKVDLSASYY NIYSDIDGHPSAAIVLKQLPGTNASVVIEQVKERLKEIQEATFPPGMKFEVSYDVSSF LEASIEQVLHTLFEAFVLVSLVVFLFLGDARSTLIPTLAVPVSLIGSFFCLKTFGLSI NLITLFALVLAIGVVVDDAIVVVEAVHAKMHERHLSPYRATWEVMGEISGAIIAITLV MTAVFVPVTFMTGPVGTFYRQFGITMAVSIVLSGIVALTLTPVLCAMILKPHTGHKKR GPLALFLRAFDRLVEKVTGGYAGLVRRIVTRRVLTAAIIGAFAFGIFAVNTQLPSGFI PLEDQGMIYGILQTPPGSTIEYTNAKSHELQDIAKKIDGVNSVSSLAGYEVLTEGRGS NAGTCLINLKPWSERKMTSKQIIEQLEHDCAVMSNVKLEFFEPPAVPGFGAAGGFSVR VLDKTNTMNYLKLGEVTDKFMAALGKRPEVKGLFTFFASNYPQYEIVINNDVAMQKGV SIKKAMDNLSILVGSTWEQGFVRFGQFYKVFVQALPEFRRYPEDFKNIFVKNEKGDMV PYSSFMTLKKQQGLNEINRYNLYPSAAIQGAPNTGFSSGQAIQAIKEVAAETLPPGYG LGWEALSYDEANKGNLATFIFLIVVAFVYLVLVGQYESFILPLAVILSLPVGIFGSFL FLRAMGLSNDVYAQIGLVMLVGLLGKNAILIVEFAVQRNHEGLSIEEAAIEGGKLRFR PILMTSFAFIAGLIPLVRASGPGAIGNRTIGSTAVGGMLVGTVIGVLVIPGLYFLFGK LNEGRSLLDKDEAEISTLPVTEIFEHGGHHAHAHAHGHDGHAAHGGHADHGGHAGHGG HDGHAV OJF2_RS01110 MNPFAFAMRRPFAALFLLLALAAGGVLGLAKMHAAGVSPIKSPR VNEYLASIDTHADRIKEKVVSHLEAYLHPKAVERHEEHHKIVVTSPEATDVTLTRNYV CQIHSKQHIKVCAMEGGYLTQILIQEGQRVRKGDVMFKVVPTLYESKLKAEMAEAQLA RLEYNYTKKLSEEKVISPNEVALLQAKMERAQAKAALAQAELNFTDVRAPFDGIVDRL HEQLGSLIKEGDVLTTLSDNSTMWVYFNVPEADYLAYMEAAERSADQKVELVLANHET FSQVGRISAIEAKFNNETGNIPFRADFPNPKGLLRHGQTGNVMLSHVSHNAVVIPQRS VFEVLAKRYVYVVDKDDVVHQREITVRHEMDDIFVIDKGLAPGEKIVLEGGRQIHDGE KVEYEFNPPALVMANLKFKAE OJF2_RS01115 MLPRAAAFRVAGAALLLVLLAILASPGQRPAASPRPGPGGHRGD IASPTSPAPESPDLSVSAWTEREDAVVLSRSEYDEDEDEVCGEALPACDTRSPSLSAS RLAPARDLTASGPPSAPRPMRC OJF2_RS01120 MRHSYRVFSIANAGWSQAMAPRTPDRSRSTWTFLSNHGNVLLAV ARNPDATLREVANLVGITERAVQRIIADLEAACYLERVRSGRRNHYNIHPELPLRLPV GSHRDIGALIELVCDPPPRPGV OJF2_RS01125 MSGAAGPPAPPLRLGMAGLVHGHAAGFLGRYRNSKEVDLVGVAE PDRGVAARYVKDSRIDPDRLHPSLEAMLDRAKPEAVVAFTSTAGHLGVVEACAARKIP VMMEKPLAVGVEQARAIERAAAGAGIPVLVNYETTWYPSNRAAYALAKEEKALGEIRK VVVHDGHRGPKEIGVQPEFLDWLTDPERNGAGALFDFGCYGANLMTWLMDDARPTSVT AVTRQFKPETYPRVDDEATILLEYPGAQAILQASWNWPFDRKDMEVYGRTGQVLTVGL GGLRVRLAGKAEEHRQAPPLPPPEDDFLRYLAAVVRGTIKPSGLSSLRNNLIVVEILD AARRSAATGRAVQLG OJF2_RS01130 MKALRLFLILLAIPMAPSALGGPPPGAWLQFRGDRGLTGHSSLK GRIRHPGTVWTQFVGARATLLAVRLAGDGTTTVPLPAADAHPGRWSEVLDRWRVGPAL ADVDGDGNVKETAVGPQHRIGKFLADRPGLQKLEVDSLFSAQSDPPPPATARLLARRG GAWERVWASVPIPLQYVANPITGDFDGDGRSDVAVTPWYDLWVFDLRTGAFKSKARFM PEGSESGRAYGWLGAADFDGDGRQEFVVLGDFENFLAVLGWKDGTLAPLWSRLIERGI AMKKTILRTGALPVRDIDGDGRPEIVVSLHDAAGDGRWHTLALEGLTGRTRLDLPDQV LAGPIDLDGDGCDELACTEARGPLIPDRARLTVFGFKGKRLRARWTADGAAYQVQTLG ELPASVNTDAQTGTATLLAVPSDDRGRPCFVTRRAADRDSGRIELAFWQADGAGEVRR RGLIAGPHLEALAGRGEPAGRAEVLVRARVPGDEASDVALSSLEGTILCSRREAPPLS TPVAGRLDPRGRPSVVVQGACDRLVAFQPERGGRPAKAIARRPGRGLYTGSGRFGGGA GYGGVVLADLLGDGSLAVVAATSSPDGHARLVAYGPTGRPLWEHDFDDLPGSPPEWNL GGLTLWFAGKFTDPRRDDVLVNIRRSTMHSDEAFLLDGRDGRQVWHRTQGANAAGNQR ACGGSWMAAFDQDGDGRDDALCLYPDVVSAFDGPSGRLLLDRHTNRDVFKDVWVMYAV PAAADFLRRGRPQVLYGGNATMFALLAADGSPIWRHGPTPGWPDVLPGIGDLDGDGAI ELLSVGHRRPPGPGQEVRCYDAATGRLEWTLPLAGLDPTSEASPPMAPATADLDGDGR DEAVVALGRTLLAVGTSPDGKSGAVRWSLPFPDPLGPPAIADTLGDGTAEVVVTCGDG NVYGVGGAGP OJF2_RS01135 MLGHRGGTRRWNTESLRRLGFAAGLAICLSASAAAHASARGGPE GGKNQTPEIDSASAAGALTVLTGGFLIVADRIRKARSRREP OJF2_RS01140 MTSPAAAGIPGREGGRPQGRRDLLLAAALLAIPVGEALGLTIAF DSGAATDPLLGGLVSRSHAILEVAIVMATVGSLLGMRSREGGGGPFGLPAPARWSWAF FVAHLVALSLFTWMTSVVLSGGPRPAAEGLAWAAGWSLAAVATLLGWVAIWVEPARWR PLAVRGPGLFLALGAAGLAAWGSGRIAEGLWVPLSRLTLEAVHLLLRLYAPDAVCDPG LRLVGTSRFSVEIAPACSGYEGIGLVLVLTACFLWICRRELRFPRALLLIPAGVSLIW LANVGRIATLIVIGSLGHEGLALGGFHSVAGWLAFTAVGLGIVVVARSSPYFSRDADL ARLPARESPTEPYLLPIMAILVVAMASRGLVAGFDVLYPLRVVAGGAMLLAYRPRDAR LRPSASWQAFALGGAAFVAWMALESLGRGGPPPEALARVTPPPMSPALLAGWLAFRVL GSALVVPVAEELAFRGYLTRRLIAPDYWNIPVGTYSHSSFVISSALFGMLHDRWAAGV AAGMIYAAALYRRGELSDAVAAHATTNAMIAAAVLITGDWSLWA OJF2_RS01145 MRTGATRHQGVTIIHSLLLLACPCLTPSRAEAADPPPNIVLIFC DDLGYGDLGCTGATGYATPNIDRLAREGVRFTDFYVSSAVCSASRAALMTGCYHERVS IRGALGPKAKVGLSHAETTIAEMLKARGYATGMAGKWHLGCKPSQLPVHHGFDEYLGL PYSNDMWPHHPEAPRNYPDLPMIDGDRVVDPDVTAEDQESLTGTYSRRAVDFIRKHKD GPFFFYLAHSMPHVPLFRSGAFAGRTSRGAFGDVLEEIDAGVGDILRTLEELELDGRT LVIFTSDNGPWLSYGDHAGSAGPLREGKGTSFEGGIREPFLARWPGRIPAGSTCREVA ATIDLLPTFAALAGAGLPGLPIDGRDITPLLLAAPGAKSPHEALFIWYDGSLQAVRGG DWKLLLPHDSRTMQGQAPGRGGVPGKYRKLPVGLELYNLRDDLGETTNVAPAHPDVVA RLKARADAMQHELGDASRKLKGRAVRPADGEGAPADGETTGAKPRP OJF2_RS01150 MRRASASAVGFPHDRPGPGPIPGRGPGDRILPTPPPRSRPLAAA VALVLGLLAGPIVGCNHPDAGSIDLSAAKAAAASSGQKMLEPGSIKRPGRARTQPSAE APKLAPTGRTAAKH OJF2_RS01155 MPARPIRRAVRRGFTLIELLVVIAIIAILIALLLPAVQSAREAA RRAQCTNNLKQIALAAINYESSSGCLPPGHFFTRYSWGYYYGSNCFVQMLQYFEQQAV SNAFNFNLSSYDSPNHTVAGAALSSLWCPSDAAASERFPIDADLQNYYGPPAPGFAGV IFSSYASNNGPWAMYYDLSSPDLDPAVAPLAYRAWVAATRGVIFPGSSIRLGGITDGT SNTLLFGEHAHGILAPGDQKTSQHWHSGWWGDTQFDTINTINAYKTLRSAIASGAWWI ALRSASSFHPGGANFALCDGSVRFLKETIDTWPTDPATGYDPVGVAYGPNYGEYYMGT AKPRVYQALSTRDGGEVISSDAY OJF2_RS01160 MEPSPEPSGGVRVSPALRSEVMKLRRADNVSNLGYLAMEYLSLA AVIGGAVAFAESRERWGLAWSWNVPVFAIALVLVGAIQHRLAGLGHEAAHYTFMRNRF LNDLIPDLFCMFPLLTATHFYRVFHMAHHQFTNDPGRDPDILNLGHGKRFDEFPMSRA RFVRVIYFGFLVAPVRFLRYQWAYIEVNTLGQGKNAYYDRSGGGRARLGTLLGLAYVF GINAAYWALTLSDRPRWLAPAGLIGIGLAAAGIYGLPGRIHFRSPLRSIYSVRFASLI RLGYYTLTLGLLAHLRWATAGASVPYVFLLWFLPMGTSFMFFMFLRDVYQHSNADAGR LTNSRVFHADPFTRWAVFVYGQDMHIPHHLYPAIPHYRLRRLHELLRREDPAYRERVV ETHGTFRDPAGRRTILDELTGPRAAT OJF2_RS01165 MDEPPATRCSLIVKLRDPADTAAWREFVEIYEPLIHRLARRKGL QEADAHDLGQEVFRAVAASVGRWDPARGRFRAWLSTIARNLLLNFLTRGRAQPRGSGT TSVQDLLEAQPAADPFATAIFEDEHRRRLFRWAAEDIRGEFTPATWQAFWGTAVEGRK PAEVADSLRLSVGSVYVARSRVLARLRRRIERLELEPGREAAISNAKEAHHEGPMGSL OJF2_RS01170 MKARWDPCDAGRLGLLAEDRLPPGELTRLEQHLERCPRCRDTLD RIVGTDRCAEEARRYLDEEGGDEDLLGPSDHPDGPLDFLAPSDWPDSIGRLGTYEVKG VLGRGGMSVVLKALDPALGRVVAIKVMTASLASCGAARRRFFREAKAAAAVVHEHVVG IFAVDEAAGLPFLVMEYVPGRSLQDRLDALGPLPVTEVLRIGMQTASGLAAAHAQGLV HRDVKPANILLEDGVERARLTDFGLARAAADAAMTRSGVVAGTPHYMAPEQARGETAD PRADLYGLGSTLYATLAGFPPFRAESPLAVLRRVCDDEPRPLRGINAEVPDWLEAIIA KLMAKDPGRRHQSAAEVADLLAACLAHVQQPLASPLPDALRRRPDRRRRWAVAATLAL ALTAATASASLAAWKAWGPSPAVEDVGARQTFSAPSPRPSAPADGGPDDILRQLEQAR AHAASIEAELRRPARPDEDGVSGLAGDLATRAEALERELLPARASPSTDGSRVPPTFP SPTKESRR OJF2_RS01175 MNFRSIPARRALMTLAVAGLAAYASAFSLAQQMARPVTVPVPAG GSAVTIAPAQAAGDTTYYRTYSDDVLAPAQAAGTALFRAYGEAGRAWSPVDLARHRAS SQLSAKEASIAQEADSLARQLESADSDAKRSDLKAKLSEALGKQFDLRQERHQKEIEA LEAQVKKLKDLVDKRKENRSEIISRRLDQVVRDAQGLGF OJF2_RS01180 MSGFNPAELLPFYLDETDEHIAALNDALLRLEQDPADAKALAEA FRMFHSIKGSSVVMGFDSVKELTHHLESLFDQFRSKKRDLDRPVLDLTFRCLDELRDY HRELRAEGAGRADLASLVPLVVAALDESQAPAPASARPPEPAAEAPAGPAADEPGTPA PAPGPARAASPSDEPERVAVTVVFEPNLPLADMKARLVLSRLATRGTVIETRPPAEQI EEAESLAEFTVWLATSGGPDELRSLADVDGVARIRIEAGASLPSTASPAAEAVAPSPQ PSPAVGGGSEVAPTEQARSASAVPPPVVPAAVVPASEPPAAAAPKKKVAETIRVESDR LDYLMNLAGELVINKARFVDIARGLDELFRGSNTQALAADTEDRLESITRGLDGLGAA GAGDGALDRWAGHVRRLRDNVREIHGELDRLRQGRETLKALNEAIHSLGRVTDGLQKG VLDTRMVPIGPLFERFRRVIRDLSHSSGKEVLLALGGEKTELDKRMIDELGDPLIHMV RNSVDHGLEPPDAREAAGKPRAGTVTLQATHRGNSVVITVGDDGRGIDCERIRAKVVA RGLVSQAEAAGLTDRELIAYIWHPGLSTAEAVTDISGRGVGMDIVKNRIENLSGTVDV RSTPGQGTVFTIRLPLTLAIMSSLLVQVFDEVYALPLDHIDEIVEVKASQVFRVQGRP TIEVRKRIIALVSLKELFRWSGRPHPPAMREAGPEDGDGNGTHPEAVRVVVVQNGETT IGLVVDRLIGMQEVVLKSLEKNFRAVPGLSGASILGDGRVSLILDVDAVITMAAGRMV GREMARAGM OJF2_RS01185 MSADELTEDEFRRFCSLIYRSAGIRIADNKRVLIANRVRRRLRA TGIDAFSAYYAFITSPAGAAEMPLFLDAITTNETYFYRDPQHYAWLAGEFLPGLAERA RRHTHTRSVRIWSAACSTGEEPYSIALKALAARPILPGWKVHIVGTDLSGAVLASAKA GVYDDRAVHLVPDAERKKYFDEDAESRPRRWTVKGDVRSLVTWKLHNLMLPLREDPFD CIFLKNVLIYFDAESKKAVVRNVLAAMAKDAYLVVGPTEGIYTMLDPLTKVKPWLYQK QS OJF2_RS01190 MSIDPSSPPPDPADGPRQPIVRPRRAPAPKAPPARRSRRAMASA GTLEQPQAMDGAPGQTPRDAAAEAEAGVRAMIEVTEAIIRAGTADEVARSVLDTVRRA FGWAYGSYWSVDAAEHVLAFAADSGRIDEEFQRHTRTARFREGEGLNGRAWRERDVVF VDDLGQLRDCSRAPLAARIGVRSAVALPIKVGGEVVGTMDFFALRALEISPARLNALR VIGQLASDKIANLGKQADLARIRQMVENAPINMMFADLDLIIRYMNPAAAQTLRRLEA HLPIRADQMIGHTIDVFHRAPEHQRRLLADPRNLPRKALINVGPERFELLVTAMVDHE GRYSGPMITWEVVTEKLAAKAREEELAANTSAINQLLMGLGRAGSGRDAIAAALATVR ETFGWSYGSFWEVGPEDHALHFGQDSGSVGEEFRRVTAESRFREGEGLNGQAWQSRDL VFVPDLGEMKGCSRAPAARRNGLKSGVCIPILVAGRVAGTMDFFTEEKLEPSPARLDA LRNVGRLVGSAMERLDQQAKIDRAKKELEAKVNQLMRVARAAAEGDLSVVVDVRGDDD MGRLGEALSEMIRDLKNIIGQIMESASQFAEGSRVVAESASYLSESAQNQAATVEEMS ASIQQLSLAIVEINRNADSATGLAEKTTHLAKQGGDSVEQAIEAMVLIKKSSEQVSDI IQVISEIASQTNLLALNAAIEAARAGEHGLGFAVVADEVRKLAERSSAAAKEITALIK ESTRRVADGAQLSEKAGESLARIVEGVRETASSIAKIAQATREQTEASTEVNKAIQNV SSITETNASSSEQLSASAEELGAQAASLKQVISGFKV OJF2_RS01195 MAPGPSQGASIAGLGRGDQPVIQLVGFRLDEEDYAIAITKIQEI ILMKPITRLPEVPGFIEGLINLRGSVIPIVNLRKRFGLPARDIDDETRTIVVNVHDKT VGVVVDAVTQVMRINRDQVQPPPLGVLAVSQRYLAGLAKLDDRLLIILDIEKLFEADE LAAAAATA OJF2_RS01200 MSTVTLQELQRDPAALLARVEAGERIVVSREGRAVAELRPIVPA PREPRPIGLAAGEFAVPADFDAPLPEDVLRTFEAP OJF2_RS01205 MKESGAAATEVARAESEAWARYCAAVAARADRLLLLAREHPDDP AAVEALRHVIMTTRGFPTDQARRAVDLLLRDHVRAGNISRITGTIFVLFDVPEAEQLL RAVLERNPSRDERGRACNDLADFLQTQAQVLRDRRDPSKSSKEYGGTWREGLIEALAK RTHPEALAKEAEAFYDRCVVEFADVPGVGYFEGRTIGDIARGKLSEIRDLAVGKVAPE IRGVDVEGHPFRLGESRGKVVVLIFSGNWCGPCRGLYPKERELVARLKGRPFALLGIN TDEGRDTLRKSIRDAEITWPCWWDGGTGGPITTAWGVTSFPMIHVLDARGRIRFKDVR AQDLDRIVDALVAETEKPGP OJF2_RS01210 MDWNGISAPGWLWLVGLLGLIAAVAAFGLRYKRRGDAALRRVYD GLTIHSSERPGAVPVRFHTYHGLLVYAVQTEHRFWAGPKDARAALWRLHRFNLVWGMF ARGLLLIPLVSYTNYLAQKRSIARRAPKPAAAGLDDELA OJF2_RS01215 MTFARITHDPRVMGGKPCSHGLRVTVGTVVGLLASGASRERILE AYPYLVVADLDEALAYAAWRLQEREEELVGR OJF2_RS01220 MSTLPRSWITPLALCAAAALTPPAPGQEKGQPRPAPDDVAHWQG TMKAGPREVTLVLNIRRDDKGVIRSATMDSPDEGLEGLALDSFKLADGKLSFGLKISG AKYEGKLSEDGKEAVGTWSQRGAKLPLTFRPTKAPKPVPKIVGPEQLWEGKLELGGGL KLRLVFHVGKAADGTPIAKMDSPDQGAKGLKVDSVAVDKASLKFELKALQGTYEGKLN ADGTEAEGTWTQFGAKYPLNLKKTEKASETRRPQTPKGPFPYKSIDVSYMNKAGGVTL AGTLTVPQGAGPFPAALFITGSGAQDRDETLFEHRPFLVLADALAKRGVAALRVDDRG VGGSTGDTLKSTAEDTVGDVLAGIEFLKSRKEVDPARIGLVGHSEGGIIAPMVAARSK DVAFIVLMAGTGLPGDEIVYLQGRAILKNLGADEATQERTTELQRQLFGIVRAEKDEE AADAKVHAAMKAYLGTLPEDQRKAAEASEGVMETRLKLVRTPWFRDFMDRDPRPILAK VACPVLALIGEKDLQVPPKENLAEIEKALKSGGNTRFTARELPGLNHLFQTCKTGSLS EYNELEETLAPTAIATITDWIAETTAAKAR OJF2_RS01225 MRRTRRRIGRLHALVPALALLTAASRAGDGPGMSPAAPVPPPVA KVAPRATVLQGELRVDPYHWLRDKTSPAVVDYLKAENAHTEAFMRPLKPLEDALYAEI LGRIKQTDLDVPYRDRGYFYYSRTVEGEQYPIYARRKGSEDAPEQVLIDGNELAKGRK FLSVGPRAVSPDGRLLAYATDVTGYREYTLVVKDLDAGTLLPDTVPKVTSVAWSADGK VLFYGTEDAAKRPYRVYRHALGTDPKGDALVYEEKDELFRAYVAGTRDRKLVMIHSSS SDADEQRSLPADRPEAEPRVILPREKGHEYRAEHRDGTFYIRTNKGEGNREFRLVTAP AADPRPESWKELVPARPGITLKDLDVFKDFAVVAGVDRGLPFLEVLDLEAGGSHRVPF PEPAYSVFGDLNPEYDTTVFRYRYQSMITPASVYDYDMRARTATLRKRTEVLGGYDPS KYASERIFATAADGAKVPIALVYRKTTPRDGSAPLLLYGYGAYGADTPITFSSANLSL LDRGMVYAVAQVRGGGDLGKAWHDRGKMLAKRNTFTDFIACAEHLITEKFTGRDRLAI RGGSAGGLLIGAACNFRPDLCKVAVLEVPFVDVINTMSDPSLPLTIQEYLEWGNPAEP VEYAYMRSYSPYDNIRAADYPAMLVRTSLNDSQVPYWEPAKYVARMRATRTDRNPLLL KTNMDAGHGGASGRYDAIREAAFLDAFLLDQLGLAKRP OJF2_RS01230 MKLLALGVDHRSAPAGVREALAFDGPKCDRALERLPREFPGSEV VILSTCNRVEVYLAGPADCVPDADGLGSFLADFHGVRPDVCAGHLVSYHDEGVVGHLF RVSASLESLVLGEGQILGQVREAYRTAVERKTVGPVYHTVFQSALRVGKAVREKTGMD QGKLSVASVAVDVAREVFDTFADKTVLVIGAGKMGELTLQHLKALSPGRILVTNRDPT RAEAAARRWGGVAVPFDRLGQALVEADLVVSTTAASEPIVTHDQYVRVQRARRNRLAL ILDIAIPRDFDARIGDLDQVALYHVDDLRAQAEQNLQRRQRGIEPALVIIERETADCY SLLRHQQAAGAILRQIGSNADAIRGRELESLFASRPNLSDEDREAIARMAARLQNQFL HHPRAAVRSAVAGARDEQPHHFLSAVRHLFGLGDPPPGPLKKTT OJF2_RS01235 MDRLQILCFAGTYGLALAAELARLVVRSPIRWHLTVILTALGWL VQTLYLANLAVKEGVPLPVTTSFESMMVLSWIVGLIGLYLMLHWPRNVAVGVFVLPLV LGLIAAAGRFAPRGPEWVESGMFAFWGTVHGIFLLAGAVCTCLAFAAGLMYLAQMRRL KAKRPAPSGFSLPSLEQSERVNRAAITVAFPLLTFGLLIGMVLSVKARAEAVAAAAGE VAGAGAAAAVYGGVPVIRWSDPKVVSALGMWAVFAALLHARFRPAMRGRSVMLLTIVA FAFLVFTWVGVEALRLPTAHGAARVAGGSS OJF2_RS01240 MARRPTRSAARTRPSPPSPTAPSPARARPRPGSRPWWRSRRAAL GGAALAAAAVALAAMLLAPAGPRRQRARAEDLARAHDWKGAREAWRRINASPGADAAS LLGEAKACLAQGLAGQAEGLLLRAADADPSAPEPWLLLLEILRVEDRPGEALRLGRRA LDGLDPAGRRDLLRELTFAALTDVPDDNARTYLRRWIDADPFDMDARIALVRRIAADP RGDDPDRDSRLAELERLAAAHPDHLPAREALITALADAGEADRGRVLLEAWPAGRRDA RYWGALGRWELDIERRPDRAAEALARAVAEAPQDWRIHYRLARAYQALRRPDDARREA ESVRRIRELLDPLTLGPQLEAAFARPGDPASALALASLCDRAGLAHLADAWRALPPDP AAARDPLPPRSGLGAH OJF2_RS01245 MMIEAHASARPDADMDLKTRHRPAAGPVPRRGPYAEPERMMDDL DPVDLPRKVLLIEPCRDEQAWLRNELAGGQMEVYTAADLITARRAVSLFRPNLILAQL RLPTHGGLELVRLLKGDAETRPIPVILYADLATADERVLALDLGAADVVTRPFAAAEL IARVRAAIRARHLVEILEARAHIDALTGLANRGLLEDRLPREWEGCRRRGQPLSILMA DLDHFKSINDTYGHAAGDEVLRRAAAAMAHSVRVSDLVARYGGEEFVVVAPDCGPETA IDLAERFRLAVAALRIVEHGVPIPVTASVGVASVVADDLDDATPAALLKRADDALYHA KQSGRNATYTNHPTRGMLLAPAT OJF2_RS01250 MKIAVIGTGYVGLVQGTCLAESGNDVVCIDKIAEKVEGLKRGKI PIYEPGLAELVHRNTRDGRLSFTTSLAEGIREAEIVFIAVGTPQGDHGGADLSGVWAV GTQVAEVLEEPKILVIKSTVPVGTNAELARRMKEIARVPFDVASNPEFLKEGAAIEDF NKPDRVVVGARREEVADKLHELYAPFLRTDRPFLVMSPESAEMTKYVANCLLATKISF INEMANLCEAYGADINEVRRGIGHDQRIGFHFLHPGVGYGGSCFPKDVRAAIHMATSK GMPARMMESVDEVNEAQKHVLFGKLKAHFKDALGSATIAVWGLAFKPRTDDIREAPAL VLIDALLKEGATVRVHDPEAIPNVKAIYGDKIAYCDRPYGAIEQADALVIATEWNEFR NPDFEVMRRLLRQPVIFDGRNVYDPARMAALGFAYEGIGLPPCRG OJF2_RS01255 MIHVGIVCPNSPGHVNPMIALADAVRARGRRVTFFLMGEPPAPV AAAGFEAVSLGGTVFPAEEYRAAFLRLGSLQGRAALDYTIALFRRSAEAALAVGPGVV REAGVTHLLVDQASTTGGTVADQLGLPFATVCNALILHPDPDAPPYFTPWVPGKSGWT RLRNRIAWAGLGRKTRPILDLIREHRRRHGLTVPRRLADTWSTRLQISQQPAAFEFPR SALPASFRFAGPLRLPGGYPRVDFPWDRLDGRPLIYASLGTLQNRVASAFRTIAEACD GLEAQLVISTGHGVAPEALGDLPGRPVVVPYAPQLELLSRAALAVTHAGLNTALDALS LGVPMVATPVTNEQPGIAARIAWTGSGEALPSLDRLTPAAIRPAILRVLRDPSYRHAA ARIRTSILAAGGASRAAEWIDAELIGTPRLPEVVEPQYQDVARPASAPSDR OJF2_RS01260 MSTITLREAQATLPELVRRLASGEEVIITEGDRPVARLVPATPS VEARPVARLGALRGTVLSMERFDDPAARR OJF2_RS01270 MSVEPSGGNVFADLGLKDPEELLAKAQLVQRIADILDERQLTQA AAAELLDIGQPKVSALLRGKLAGFSTDRLFRFLNALGRDVEIVIRPARDGREADTRVV SA OJF2_RS01275 MNARPGYYSIIQFCPDLSRLEAANVGVILFCPESSFLEARVSPD NSRIQKFFGKQSFDWTRVNSYKAAVQERLEREGAEFRKPEDLEAFARRHGNAIQITAP RPVTVGDPARDLDQLFEEVVGVRKRPNSAGGFRRQLARKLGQAQLGRKLRRDIELEVP GLQKRIEVPFGYKNGRFNLIQPVSFRSDDPAQVVRTASVHAVEGIALYREPDPRLGDL QLVVVGNFASSRRDTRDRVGRILHQGAVQLYASSEVDDLIADIQAHGKELDDELEMAP R OJF2_RS01280 MSRSRDPWQPTRILRQEIGLGTGTRPIKVVTDRAKAYLKAMGNP EGPHVLACDLLGTQLARWLGLPTFDFAVIEVKAEYGLRFLGGEPVTPGPAFLTREDAG DGWGGSDRQLDQLINPEDLTRLVILDTWILNCDRYAPGGSRINWRNVFLSEQAPAGRF LVRAMDHSHCFTCGRPLTPRIGHIDPIRDERIYGLFPEFRPRLDSTAGLEAARRLGEF RRSDADSLTQGIPQEWDVDRATRDALAQFLTSRAAFLGASIMDKLCPNEG OJF2_RS01285 MAKLRPWYQAVTPREDLRENRPLDASEFAVHLDHIRDGRAHPDY LNPVRFFDRNYMTRSLLDLMAQAARRLNGDKVETSAVFNMATQFGGGKTHALTALYHL ARGGEAVRSWRGMDAVLSRAKVKSVPRSRVAVFVGTEFDALNGRGGGDTGEPVRRTPW GELAWQLGPSSFEVVARHDAQGIAPAGDVIRRMLPQEPSLLLMDELMNYVSKARKLDM VSQLHTFLHSLSEEVRGQDHVVLCVSIPASELEMSAEDQRDFDSLKKLLGRLGKAISM SSDVEITEIIRRRLFEWNGFSDDMNRTIQAYAEWAGENASLVSHLGGESPADLFRASY PFHPSVISVFERKWQTLPRFQRTRGVLRLLALWIAWAYREEHRKAANEPLITLGSSPF EDQTFRDALFEELGTELLSVPVTSDIAGKKDSHARVLDREATEAIRKDRLHQKVATVI FMESNGGQSQAKAEASLPEIRAAVGGPDVNLTDVDTVLEGLTARCFYLNWDRNRYRYG LRPNLNQILVSRRGAVKPKDVEDRVRKTVEDLFREGPKFLDRRFFPERSNDVPDRPQL TLVVLAPDRLAESSGTRSLVESIVKECGTSGRTFKSALIFAAPSEATAVTDAARDLLA WEDVRDDEATVGQLDEPQKRSLNQSLGRAKADLREALWRSYRHILMLNKGNAIKDVDL GQINSSMASTLPDLIVGTLIKDDEITDKVGGSRLVRYWPPALTEWPTKAVRDAFYASP ALPRLIDPNIIKRTISDAVSSKFVGYARKVGARTVLERFGEALGEHEVEISEDYVLLR AEDAQKLLEPPRLHQLAIHPGRIDVHPGELATFSLKGIDQYGQPFTVESPEWSAPGCE VEQDGRVRVGPDAGVFQVVARVGDVEARAEIRVQARHERGEQDDKAGGGTATKPKMIR WRGTIPPQKWTNFYAKVVSPFALAQGLSLTAEIVIPADPDAGLSSAQVEKIRAALKDL KLDEQVDLS OJF2_RS01290 MKTITVEVPDDLDRVVAASEGDLPGALRLAAAIQWYSQGRISQG KGAELASLTRAGFLKALAAGRVDALQVTPKELDAEVERILDTRR OJF2_RS01295 MNYTKRLIEVDLPIARISAHARREKSIRHGHISTLHIWWARRPL AACRAVICAALWPDPADPLCPKAFRETARTLMKGWAADQGKLQGPESFPRFLQAKQFP KLMDDNHRLRTALLDFIADFANWDNSTVPAYLETSRALTQASHEALGGEPGTRPLVVD PFAGGGSIPLEALRVGADAFASDLNPVAVLLNKVVLEYIPKYGQKLADEVRKRGEWIK KEAEKQLTEFYPREPDGSSPIAYLWARTIRCEGGDCGVEVPLLRSLWLSKRDARAIRL QLIPNRKSKRVDFRIVARRQTYWIDQETGGSVDQDEVDGTVRRGSATCPYCGYTTPVS RVRHQLVAQHGGAGNARLYCVVVASPNGAGRNYRLPNAHDLEAFKRAAERLHSIDRTQ KLGIPPTPHETIATTEPRRVSVPAYGMLRWRDLFNQRQLVALVTLCQLLQAADVKQKN QQRHNSDEELAKAATLLLAFCIDRCADKLAAQVIWHTGREFVDHVFARQAIPIVWEFA EASLLSDVGISGAIEWVCRVIEANSAAHLSAGVAQQGSATRLHLPDDSAHAVITDPPY YYSVPYSHLADFFYVWLKRTIGHEFPEYFKSEESPKAEECVQDLPHSEVASRQKDKQF YESQMKKSFDSSRSAVRPGGIGIVVFAHTDTEAWESLIAAVIESGWYVTGSWPIDTEM ATRLLAKRQSSLASSVHLVCRPRESSDGLLRESDIGDWRDVLAELPGRIHDWMPRLAS EGIVGADAIFACLGPALEIFSRYSRVEKSNGDAVPLREYLEHVWAAVSNEALSMIFQD ADAAGLEPDARLTAMWLWTLGTSAPAAGGKAAKGEDDEEDEDDEDSGGKPVKLSGFVL EFDAARKIAQGLGVHLEKSPTVVEVKGDKARLLPVGERAKHLFGKDATGPAAGAKKAG KKATQMTMFGPLKKADGKGEVGETAEIPDFRPGSTVLDRVHQAMILFAANRGEALKRF LVEEGAGRDARFWKLAQSLSALYPTGIDEKRWVDGVLARKKGLGL OJF2_RS01300 MSDPRRKLANLWGANSRYNEFGNVISKLVVSGVRCHSQTTIDFR NPITAFSGFNGTGKSTLLQLCAAAYDCPANFTINSFMRKGPLDQTVFSKTAKVHFEFQ DQLGPKPLTLSYNPDTSRWQGYPRRPAREVFFGGVAVFLPRSEQRDFVFMNASRLTLG DAQALPEELKQHIARILSSAYQEIHSNQVSHKSRTETLLSANRNGNRYSEAHMGCGEG RIQCLIQRLEAMPPRSLILLEEPEISLHPSAEYELGKYILDLVERKRHQVLLTTHSSM LLRSLPDASLVFLARNGEAITALPGIGARQAASLLTEGHDKALTVLVEDESAKSVLTE LIRRHNPDFLATIHIAIARERRESGRIDESGKDAIRRTMKTLSEAGLKLAAVLDGGET VDAQRYIFCLPGREPPESELFKNPSARRMIEETYRLKIEDLEAEVAGADCHEIFKAIG RRTSCEKEFLIQEAARAYARDIPAAEVNRLIEMLKEASQRR OJF2_RS01305 MADSTTKADRIRRGVIISGPFIPEAMEVQTVHPFGASLKVQGRG TKTHRNLDLILSPEQMAQLEVAGESGPFDGSAALFRIGVEAHRLGLAYEYDPFFSLSI ARVDPLPHQLEAVYGYFLKLPRIRFLLADDPGAGKTIMAGLLLKELKARGLVRRTLIV TPANLSFQWQRELKDKFREDFTVVRSDLLRANYGQNPWQDHDQLVTSVSWVSRIEDAR DSLLRSRWDLVIVDEAHKMSAYAGDKKTLAYQLGEKLSEMTDHFLLMTATPHKGEPEN FCLFLSLLDRDVYGNVRSLQEAMRRNEAPFYLRRTKEALVSFPDPDTGEVRKLFTRRE VMTVGFDLDGDEYDFYEELTRYVEDQSIQASSEDGARGRAIGFTMALLQRRMASTIYA VRRTLQRMKDRREKILADPEGYRKQQIDQRVPDDFDELPEDEQQRIIGQLEGIVASVD PAALRVEIASLSRLIDTARSLEKREIESKLTRLKGVLKDQGFLSDPSKKLLLFTEHKD SLDYLVGDGRDGRPLGKLREWGLTVTQIHGGMKIGDRDTPGTRIYAEREFREAAQVLV ATEAAGEGINLQFCSQMINYDIPWNPVRLEQRIGRIHRYGQEHDCIVFNFVSRNTREG RVLDKLLERLREIRNELGTDQVFDVVGEIFPANFLDKLFRDLYARRTSEHAITDRIVR ETDPERFRQITESALEGLARRELNLHAILGKTVEAREGRLVPEAIEDFFVAAAPVAGV TPQEIAKGSHTYRVGKVPRQLIHRGNALEPRFGKIGREYGRIAFDKEILKKEPTLEWV TPGHPLFEAVRDEALERVEEHLRRGAIFLDIQRERPGLLDVFAASVQDGRGRTLHRRL FVVETDPDGRKAVRRPTLLHDINPAPEGTAPAPEADLPRPERIEIEAFLYEEALSPWL AEIAAQRAAEIEKVARHVEISLNALIDRGQVQYANLESRRLEGKNVPGLEGLIAQAEQ HVDELNNRLEARRRELDQERHCTIGAITHIGRAMVLPHPDRQTPQIAPMVRDDAVERL AVKVATEHEEARGCVVESVESEDRGFDLISRRPHPEDPKSFVEVRFIEVKGRAGVGEI FLSANEYKAAERLKGDYWLYAVFNCAGKPELNTIRDPARLDWQPVTKVEQYKVRPSTV KEAGNHE OJF2_RS01310 MTLATATERSEGIADDPRLTTRLGWFAVNLLAGLAPTLLFFAWV EQDASLPAVGRRLGWPWVDPHIGSVAGRVLWDVGLFGMFGFLHSFFAQVGVQATVRAM VPAAAIRSFFLAVTGTALFLMMGLWQPTGVVVWRLPVSADAEAALAAAIFAVPALVIL RLLVRLGFFEFLGWAQLFGPASASERTAGMPELRTTGIYGWVRHPVYTGLLAMFLLGP TLSLDRLTVFLAALAYLSVGIPVEERKLIRLFGDAYVEYRRRVPALLPLGLPGLARAG QPARDPRPAEDGL OJF2_RS01315 MHRSITVLFRRDASRDRRRDRLQFAGYRMLWPDGREIAVGLDAF CRYGQRLLGLDRHLAGVAERLIEILCFPVHDPDGPMTRLPGHRVRRFFLHRDGPRGRL HFLDGTPTEVDFVLGRDEPSVVEWIGLADAPNRASVCLDLAARPAAAPPTATASAGLR SESKSSPASTPPSPSPASPIPSSLSTPPGPLRRPVGEEVPRP OJF2_RS01320 MSDAILLTGATGLLGRYLLRDLTTRGVPVAVLVRGDRKEPAESR VESIMAGWEEELGRPLPRPRVLEGELTAPGLGLAGEDLRWVGRRCAGVLHNGASLTFH GADRARDPWLTNVGGTANVIEVCKEAGIRSFHHVSTAYVCGQRTDLVTEDEPLRDGPF RNDYEQSKAEAEALVRGAFPGSATVYRPAIIVGDSATGYTSTYHGLYLYFQYVSLMGR FAARDADGRWELPLRLNSTGDERRNLVPVDWVSAAIAGIATRPEHHGRTYHLTPTPAV TARELVEAMAAAFGYHGVTFAGPGACSAGDLNEAESTFYQYCSEYELYWAAEPEFDAT HTRAALPDLPCPAVDAALVARLVAFAVRDGWGRASRPRKKRPVAAPAAAPS OJF2_RS01325 MTEPAPAPASAPAPTPVRPRWPLATFTFFLGMLFGLAMAAGAYY AASRYLRPPEPAGPARPVFPREEFTRRVMGKSEDEVVAAVGRPDVTSEDNGARYWHFK KRTRDPVTQDEDTDVQVVIKEGKVTNINF OJF2_RS01330 MRARLYLLPVLLAALAGCSSFKERLFSPLTKRLDLVNSQLSETN RQLQSVNSQLGETNHLLGSVDQHLGKVNEQLDTTNARLGSVDSRLGETNANLAGVVKA LGTTNEKLQGVDARLAITNQKLGGVDDKLAGTNAKLDGTNAKLDGTNAKLDGVDGKLS ITNQRLERVETRLDDTNKKLDTVVQGVTKIPGVKPPE OJF2_RS01335 MILPHAAAAGRVRVESIVGIAPGGRRSLARLALRAGRSTGMREG ARVAGMLFLKWITSGPAPGTNRPWEPAAWAFAVAVASLLVLVARCLRGRASRAARPAR DGRTRDDRDGSARIQGFAEETLVGLLPRPHRPPRGRHDDAPAAVGGVLLVQATSGLSG OJF2_RS01340 MSPFPIQREDGRRVRRSRARRRRPPRPRLERLEGRALLSAGSLD PTFGQGGSATTDFPLNPALAQGTGGVVDSSGRLVVAGLVTDADNNWATVLARYTRNGS LDPTFGRGGRIVTPIVARGVIYGAARPGVALDARGDILVTGPLSEPPQVSNGPVNDFA VARYTPGGRLDATFGDGGIARINVGYTFNSIARQTNDAPSGIAIQPDGKIVVSGTTSG TPFPYDGGYEFAVARFNADGSVDTGFGADGRAVAAPGGGPYIDDYAYDLALAPDGKIV VAGATAYGTYTAARFTSTGELDPGFASGGLYVGGSSESYTSPTVTPVVVQADNKVILG ETIAGDFALVRLDADGTPDPSFGVAGVVTTALSTPSLETDLALQPDGKILAVGWNSGG TASPQAGFVLARYSGIDGAPDPTFGTGGVVISAPPTAQDHAQAVAIQPDGKILLVGYA PTPSAGSPDATGVEVARLDARGNLDTRYAIGGRATATFNGSRYDTASDVAVLPGGLVL VAGTTSSGSAYLGGGEFGLAEYLPDGSLNPLFGRGGRVTTRFGAPGDNDTVSAMAVLP GGKIVVFGTTEHLDPSTYATTYKYAVAQYNPDGSLDRSFGSGGLATIDLGSPNVFALD VAVQPDGKVVLVGSVYPADGNTSVAVVRLTPRGALDPTFGAGGIVTTSLPGSSFDRAN AVAIQPDGKILVGGITTDPDYVSEGDLLRYNADGTLDASFGTGGVAILPGTNGAGITS VALQPGGGIVVAASAYQGGDNYYVTVLEVARFTKAGILDPTFGTAGATQILIMPPTDL GGPNWSNASSVVVQPDGKIVVGGNTANLGYPPDYTGTPYTVVLARLTSRGALDPTFGV GGVEATSLLDVTPSYSDVRLALAPDGKVVASYSTLPVIYPGGPNVYIGSDFGVARFLG DRRGGPPWAGLLDALTTLLAQALTKASSAAGTAAAPPSRTAAAATFIASPPVPAAPSI VNQGLRPRPGPGPSLLDEALDSLLGTP OJF2_RS01345 MRARTTFLALAAFTLAGVGQPLAPAQGPSPSPSPSPRPSSSEPA RSARGGLVATTPAHRFEVFFYRSGVRVFGQAPGGQAVDLSRAAGTATFYHPNSPEPWF SRPLRPSTDNAGSMELPLNLNRVPMTGARVAFEVRGLPGPGETASFTLPVEFVSRPSV LRVNTAPAPDPAPAAAAMAAPAAGNPPIVAGAPAYSGYGYDPYGNPARALRIPGDSYR PGMVYPDWSTGRNLPLAKPWMTPRY OJF2_RS01350 MDREAGRKVRARVNRIAGQVDGIRRMVEEGRYCVEILNQIAATR SALDALGVELLTSHLESCVLGHEAGAGHEQAKPMTREQLLDEVRTVLSRFLR OJF2_RS01355 MHAHEGKHPQAAGAVGRETDPVCGMTVDPARAAARAEHAGRSYF FCCEGCRKKFAADPATFLDEDGNPRPRAAAGHAAMAAPGGLVVLGSIGMPGAGQSAAT VEQPAFASTPSGAKVLYTCPMDPEVVRDRPGPCPICGMALEPMTPTLEEDTSELDDMG RRFRASLALTLPLLALAMGEMVPAVRAMVPPGASAWIQLALATPVVLWGGWPFFARGW ASLASRHFNMFTLIAIGTGAAYAYSVVATLSPSLIPHSFRGHDGAVPVYFEASATIVT LVLLGQVLELRARGRTSGAIRALLGLAPKHARLVRDDGTEADVPLDEVRPGDRLRVRP GEKVPVDGVVLEGRGAVDESMVTGESIPVEKAEGAALIGGTVNGTGGLLMRAERVGSE TLLSRIVQLVGQAQRSKAPIQRLADAASSYFVPAVVAVALLTFVAWAAIGPEPRLAHA LVNAVAVLIIACPCALGLATPMSIMVGIGRGAQEGILIKDAEALEVLGKVDTVVVDKT GTLTEGRPSLAAVATAPGQDEAELIRLAAGLERASEHPLAEAVVKGAEARGLAPAKAG EFDSRTGRGVVGTVEGRKVAIGNAALMEELGVDPGELAGRAESLRADGQTVVLVAIDG RPAGLLGIADPVKGTTPEAVASLHAEGLRVVMLTGDAEATARAVARQLGIDEVRAGVL PDRKAEAIRALQAGGRKVAMAGDGVNDAPALAQADVGLAMGTGTDVAMESAGVTLVRG DLRGIARALRLSRATLRNIRQNLMFAFLYNALGVPIAAGLLYPFTGLLLSPMLASAAM TMSSLCVVTNALRLRRAEL OJF2_RS01360 MPSLATPRPLIAACLMAALAGCSPGTPAGQVPVHPARGQVLYKG KPMPNLQVTFRTVGAGGGAAPKAPDVPTPTGRTDADGRFQLHTYLGNDGAPAGPYLVG IVPASAPSEARNVMQKGQADAPPRAALDAIRARYADPERSGLKAEIKDGDNDIPPFDL K OJF2_RS39845 MVQVQGIRRRSAFTLIELLVVIAIIAVLISLLLPAVQSAREAAR RAQCINNLKQIGLGFHNFEGTYGFFAPTWAISNTFLKPPFQPVDLTTLPATNGNYIPP CPPQFGLVCSNPLDIQSWVPMIMGYTEQTALYNSMNLSQAFPQPANTTAVSTQLNFMV CPSAPGGLRLAPYLNALNNQTVQLAAGDYAVDDGIDDSWLTANNIPHPPGTIVAGLLK GNTLRRIADVTDGTSNTILISEDAGRPDFFVQGRQLTYGQSYPWYRGGTPPTQDNEGS GAGWADYGSEFFTDGDGSNKHTNFSSNNEVYAFHPGGANHAFADGSVHFVKESVAPAV FAAMISYNAGEIISADQY OJF2_RS01370 MIERRSSARRSRPSVEHLELRALLSAGGLDATYGGTGQVFTKPS YPFFTQGLAVQADLKTVVVGTELSAINANYPFTSYPRNTAIVRYNANGSLDASFGSGG TVLLPTNSVGGPAPTNFHLVSVAVQPDGKLVVATNTTTYTYTAATKKASASYTITSAN MEVFRLNSDGSLDGTFGGGGKAVIAIPGAFEVSGGVALMPDGRIVVAGTNLASHAGLT FAAARLTSGGALDATFGGGKGYVGLSTATASSLGDGVSSLGVDASGNVLVGGGVQNAS APTFSYQVVRYTSAGVLDASFASRGVFSMPGYVFKNVDAIAFTPAGKILLGIPLLQPA KPGIVQLNADGTVDAAFGNGGSFGVPNAMSYDGLAVQPDGKVLLSVLPLDANGNVSSV QVDRLLPGGTLDPSFGAAGVSAFALPPNTTGSFAVGLVVGPDGKITGGLAAEVPLQFG EAASFRLLNDIASGATTTATPSGGPSASSTSPVQALDPGALFGTPTPGGRRRKPAAIA OJF2_RS01375 MAVPDPVPETLLEAARGLDATARGVLLERYRNYLRLMARSISDG PLRARLDPSDIVQEAFLKAHREFDGFAGRTEPELVAWLRQILVRTMADQAKHHRRQGR DPRRQQSLEAALDRSSAAIHRALTAPLTTPSSHLQRRERAVLLADALEKLPPDYREVF ILRSLEHIPVEEIAARMGRSANAVYKLWFRAMASLKGELEGLS OJF2_RS01380 MTREREAASSNGRAADEADSLEAEAGRIFDAYLAAVEAGRVPDP EGLIAAHPHLADRLRACLDVTRWAGGWGEGRPSLAGMVMGDFQIVREIGRGGMGVVYE AAQLSLGRRVALKVLPTSSAIDPKRFRRFQVESQAAASLHHPHIVPVFSSGSERGIYY YAMQLIEGQDLGEMIRGLRRLKDEGAPAPAGALPGGRAYFEMVARLSIQAADALEHAH SLGVIHRDIKPANLLVDARGELWVADFGLAQVLSGDGVTSTGDVLGTIRYMSPERIRD DRAAVDHRSDVYSLGVTLYELLTLSPAHEGADPAALAARIVGMDPRPPRRVNPAIPRD LETIVLKAMARDARARYASASAMADDLRRFLEHRPIRARRRGVWHALSKQARRHRVAV GVAAVTLVIAAVAGGMAWRNRRRSEQLGVINAELRRADRRRRFVEDTQLAAHLVRVGS MDEARAALARQVPPAGEEDLRTFPWFNLSRACNGQPAMMAASGESGPRAINHVSLSPR GDAIVTAGADGLVRFQDAATGRPLRTLRGHDYGVNDVCFSPDGKAVATAGDDGTVRLW RLDRDAPPVTIGRHDRRPGESWVNGVAFTPDGRRLISGARDGIVSSWEVATGRRLASF GDGKTMVLGVDLSPDGETLAVAAGAKVLLLEAATLRVVKALEGHLSGIQGVAFSHDGR RVASAGTDRLVIVWDVVRGKAVATCRGHASGVQCAAFSPDDLTLASSGDDGIARLWDP NTGHVLREFRGEMTRLWCVAFTPDGRTLLAGGDDGRVRRWDVAVAQDRRAIVLPRFAI TTLVVPAGTGRLYAGGLAYGPTRGRAAILCWDLESGDLLEERHIEAAPRAYGVCLSDD ARTFSLPETGGVIALGDVATGRVTRRSTDAGPPGHSLGTQAMFGGLVATIDFGGDPLA KTILWDPSDGRIFRLPRDCRVLARVPGGEDFLVTAGPDLLRGDPALGHFTRVADGSPT LAKYSHDGRLVVDVHHDVTLRLADAATFERRSSFLGHVDEVKDLDFSPDDRVLASADD GGTVILWDVASGQPLATYPPLVTSPDDNVAFIRFSPDGRFLVGARRLEKEGWSEIVIW PADPLAGPGR OJF2_RS38905 MKHHRLRPGFEALEGKLLLSAAGIAAAPGATAAVARAGAKAQLH VPNFTLIIPGYFGPRSPSSQHPLGVPWNYSVQFSKPFQRKGRVDIGNFRSSQLVPAAG DSPDFSGMTVTLTSSKGSVTATLSDSTSKSYRFSITSADGQLATELGRSGSVAASKRR LGTSGPGKLHADNLKFTFDRAAS OJF2_RS38910 MRCQLIALLDETERLICDGAISSGFNRLVDGLAALEAAAGPQRF RSEMVPACLDHPIRPICHQEPITRHAFTRPRGYAGDAGLIDLYYGLAGPSPDDTDLGR ELYRAVASRSGGESVRYRRSMLASRIDAVATAFGRQSRVLSVACGHFREGSLSQAVVC GRVGRVVCLDQDPRSIDLVREEMAGRPVEPVAGSVRWLLSRESDAFGRYHFIYAAGLY DYLSDPMAGRLTARLFELLHPGGSLLVANFLPETAERSYMAAFMNWDLRYRSAAEVRQ FASLVAPGAVARMTSYPDPEGNVQYLEIHKK OJF2_RS01390 MDRGSSGVIPDHVALAQADDLGRGRPGELARVLDWCRGYFGRRV NFFLADQRRSFPPHVLIDLGNRGLMGMVSERSRGGLGLEIADAMRLVEYVAAIDQSLA VGLIIQNFLAGQAVRNHGHGIGEGVHEDIARGRLLISFALTEDAAGSDPRRIATRAVR QGQGEWMLSGSKIWSGFAAWSGAMVTFARTDAGPDAGRSYSAFYVPQDTPGVRQGDEH LTMGLRAIIQNRIHFDGVRLAPNLVLGPVGGGLEIAQESMMLCRLAMGALSVGAMRRA LQYAFAYATRREIATGPMIKNPIVASVLAEESARTLAAGSYLEHLYSALGSGTAIPDE YLLTCKIVLPEWMWQTVDRSLQLLGGRGYDEANGLARLFRDARVTRIFEGSTEVMASH LGGRVRLNLGTFSDRLRRDLDASAIADDFDEAVKIDLGKPTGGRPAQLHGRYRLGLLA AYALVAAVNRFRSEQGRGGPSAAAAFAEGEYFRRSRTFRESAWPLGGELDGLNLEAMA DILEGLPDLDAGAAGEHTRIDPYLGARS OJF2_RS01395 MEARQLLSGLSHPARVAEVRAAARAGADPGRTLDLPDALVYIPA GLEAGRAYPMVVAFTPDGKVKRTLNDWRGAADRFHWIVYASKGYSNATAGAADFDAYA RSIWAMVEAAIARFPVDRTRLILAGFSGGGSFAEYVNSRAGGPAAAMVIDANGTYAYG DDPMVPFPASSAASSRRLAAFLYSPTDRRFGQATRMDQAFYHRKGWDTTLLSYKGGHV DAPPGRYLAAATWIASRPAWLA OJF2_RS01400 MKRPARLLWFLILALASPIARGGSFTDDFSSGLNPAYWTVAQTT PGLFTVDTTQGNVHLSRTNSTISGFQYVKISLNLAEAAGQAAIAGDFTARIDFSGAML AGNGDVQAEFQSLFQDGSGLFDNRSNDPMPGTTAPTENVHVWNFDGNLHGYTPVTSDS GTFTIARAGSTLAGYFDNTLLFSETNASPLTDISFVLQNYSGRDPIAITFDNFSLTTA VPEPSSATLLGIGIVAAGRCVERRRRQSRRRPARSRTSAAR OJF2_RS01405 MVLSFTGLVACLLATALPSSARAGNLLANGDFEAGNTGFTSGYT YVSTVDQITAEGEYTVGSGGWLSFGDHTSGHGNMLVANGSPNANTSVWTETVSVIPYT EYVLSFWGASVNQSSQSLPVLQAFINSTVAGNSLTLTQQGGIWLQGSAAWFSGSSSAV TLSIVDLNTAGPWNDFVIDDVSFTATVPEPTSLALLASGVVVVASRLRRGRGLH OJF2_RS01410 MITVRTVIHDRRIGVPAPEDLPDGTEPVLTIGTEIAEDHGLPTV SYAMIAIDFMTEDEQGDDPGEVERWVDDLRSIPPVPDSPEKEAERRAWEETMSRFNIE AVRKQFEGGTS OJF2_RS01415 MGKLICWPYEKPAAYEFGRIIAELRRLGRPMQQVDIQIAAIAFA LGNCTVVSGDSDLAAVPGLTVENWADAS OJF2_RS01420 MQRAGRSPLFRAVRRAFQAARLRSHEGTLGVGDRPGIGRRRFLA SGVLAAAYAARPGVARAGRHAPRIAVVGAGIAGLNATYLLANAGLDAALYEGSDHIGG RIQTNHGGVAPGVYTELGGEFIDSGHDDMLALAQAFGLGLIDTQAPGEAGLQVAYYAK GRLRSEAEVIDAFGPLAATVDGDSSNLSDDITFQSHSKFDVRLDRTPLDHYLRKNAGV DWLYDILEAAYVNEFGLDLKDQSTLNFVETIGTDTSAGFAIYGESDQRFKILGGNHQV VAALAERVADRTELAHRLEAIARRADGTFSLTFQAPGGRRNATADYVVLCLPFTTLRS VDIRVPLPPIKQKAIHELGYGVDAKLILGFQGRPWRDLGYGGDSYADLPYQSGWDSSR EQATTAGAYTIYPGGDAALALQPGTAHHQAERLLPGLDRVFPGARSRWLGTALRAYWP GNPFIRASYAAYRPGQWTTIRGAEGLPVGRLSFAGEHTSLDWQGYMNGGAESGRLAAE ALIARLA OJF2_RS01425 MRRRSSLACLPLLLLTAVASPGIARAGTEIKLDRDYLAGVIEKL PPSPFEKKGQYKGQVNSYRLRAIDPKRRRFLASCQVEGAFAPPASGPISERVSKSKDH EQGLRKFRFEITAGINVEAGPDGTPRFHVDVEEVKKAELEGLAGLLAKFMGRFFDEMV TQIADGRAAILNQKLNAEVAKRAAAMKEYGVLCGIDYRPDHVVLRFDLTRYKKEGIAG YVFAAPRPGAVPLYRFQDRRNGSHEFSLSPGGPGRPELADEGVACYVPDDHSPGSVIV YGWAGRHDRLYTTSADGEGAPRRMLKPTGPAFRVYPEPVPDSVPLYRFFDPNHARHFF TTHPYAEFAK OJF2_RS01430 MSTISRPALVPEVVFPDSDGRPMADNTLQYKWIVIIKENLEILY QDRDDVFIAGDLLWYPDADNPKRCMAPDVLVAIGRPKGYRGSYRQWEEGGVAPQVVFE IHSPSNDPEEVMRKLGFYSRHGVEEYYYYDPETGKLTGFIRDERGLEDGIDMHGFTSP RLQIRFEPGDGPDAMEIFGPDGQRFLTTVELAQQRDEANRRAEAAEQKAEAERQKAEA ERRKAEAAEQKAEAERRRAEAERQKAERLAAKLRELGIEPE OJF2_RS01435 MSENLPNSSILDAWTKNASKFAPGGKVENLDWKTPEGIDVKPLY TRKDVEGLEYADTLPGFEPFVRGPQSTMYAGRPWTIRQYAGFSTAEESNAFYKRALAG GGQGISVAFDLATHRGYDSDHPRVQGDVGKAGVAIDSVEDMKVLFDGIPLDKISVSMT MNGAVLPILAGYVVAAEEQGVPEDKLSGTIQNDILKEFMVRNTYIYPPGPSMRIVADI IEYTARRMPKFNSISISGYHMQEAGATQALELAFTLADGKEYVKTALAKGLDVDEFAG RLSFFFAVGMNFYLEVAKLRAARLLWWKIMKGFNPKKPKSMMLRTHCQTSGWSLTEQD PFNNVVRTAIEAMAAVFGGTQSLHTNSFDEAIALPSDFSARIARNTQIIIQEETHICS VIDPWAGSYMMERLTQDMVDRAWKILEEVEAMGGMTKAVESGWAKLKIEECAAEKQAK IDSGKDVIVGVNKYRLEKEESVEIREIDNSAVRDSQVARLRQIRASRDQAEVDRALAA LAEAARTGEGNLLERSIQAVRVRATVGEVSEALEKVWGRFRATNQVISGVYGAAYGMD QEWHDLKGEVDAFAQAEGRRPRIMVAKLGQDGHDRGAKVVATALADLGFDVDIGPLFQ TPEEAARQAIENDCHAVGVSTLAAGHKTLVPALVQALKDQGADDIIVFVGGVIPAQDY DYLYQAGAAGIFGPGTPIPTCAHQVLEAIKAKAAQVHA OJF2_RS01440 MPVEIRMPRLMDSMTQGAVVAWRKGVGEAVREGEALAEIEADKT TVDLESPAAGILARILVEADGEKVDVGRVLAVIDEGDGAGGGPPGPARTAAAEPARTP AHAPSGEGGDAGPPASPLARSMALQAGLDLAGLRGTGPRGRIVREDVERALGRAAKAG PPSAPRPVDPTGQDTPHRDIPHSTVRRLIADRLGESKRTIPHFYLEADCELDAVLRER EELGARPGTDWRPSLNDFAARAAAVALREVPELNASWREAAIRRFDRVDLAVAVATDA GLVAPVVRDADRKGLRELSDEIRDLADRARAGRLRPEELSGGTFTVSNLGMYGIGRIF PIINPPQAGILGLGAAAERPVARGGRLAVATTMTCTLAADHRAVDGAAASRFLAAFRG FLERPVTMLV OJF2_RS01445 MSGIAQSPGAAVGGDGPGASRLDCLCALERKVLWLSSWMIHAAN HLRPARDGLKVGGHQASCASLATLMTALYFDVLGPADRVAVKPHAGPVLHAILYLLGR RPRAALERFRGLGGAQAYPSRTKDGGEVDFSTGSVGLGVAMTIFASLVRDYARLKGLS PDDGPPGRMVALAGDAELDEGNVFEALLEGWKHDVRDVWWVIDYNRQSLDSVVEDRLF ARIDGLFRSMGWDVRTLKYGRLLRGAFERPGGEALRRWIDNCPNSLYSALVYRGGAGW RERLRRDLGSTRGIAELLDGRDDDALHALMTNLGGHDMETVLEAFRGVASDAPTCFIA YTIKGKGLPFAGHKDNHAGLMSPEQMDAFRRSQGIAPGEEYEPFAGLDVPPGTLRAFL DSVPFAARTRGGPPAATVAVPAALEAPEGARMSTQEGFGRILSEVARAPGEFAGRVVT ASPDVTVSTNLGPWVNRRGVFGRSRRADAFREEAVATAQKWEVSPAGQHIELGIAENN LFLLLAALGLSGDLFGARLLPVGTLYDPFISRGLDALNYACYQDARFVLVGTPSGLSL APEGGAHQSVITPLIGIGQPGMTAFEPAFVDELATILAWAFRHIQEPGGGSAYLRLST RPIAQPARELSDADRDAIVHGGYWLVPPGPGAGLAIACAGVVAAEAIEAHARILEDDP GAGLLIVTSGGRLHAGWRAASRARAGGDAAARSHAEGLLSALPPDARLVTVLDGHPAT LSWLGAVARHRVVSLGVEDFGQSGDIPDLYRAYGLDADAIVAALARACLEY OJF2_RS01450 MRFDEVFDSFRKATETTLQAQQDLFRQWMSQWPAFPFAPGPAPG SAPGPASAPAGPGAGDAERVLAFQKEWADSVSALMTRHCEALDAQYRAGIRAIEETLR TAEARSPEEFRGMTEELWRKSLEVLRGTIENQIRDFQAAVETRSDLVARKASAG OJF2_RS01455 MPPSGEIPGPASDADPAREFLEFWRGYFEQAAIQSRILMEGAFG GGPPDASQALWLESMSRGLDGFMRTPAFTDALKESLARMIEMKRMQDRAARPAAEAPG TPTPTPTSTPATVDVASVAERVRDAEGAILARLDAMEARLEAIERALRPGGRRIGGRR AESP OJF2_RS01460 MNIKGKSAIITGAGSGIGQAIAIELAERGIGAVGLVDRSDNVLN VARVINDRMDRPVAEALIGDVTDAAFRAKAFDLMCSKYGAPAVCVPAAGITRDQIAVK MDKQTGKASMYPIENFRLVMEVNLVAPVYWAIETVARIAEERARKGKGRWTAEEGIQG TIIFIGSISKQGIPGQVAYSATKAALDGVAATLGKEATYHGVRFATIHPGFTDTPMVR ALGQEYIEKNILPYTQLKRLIDPSEIADAIYFMICNSAVSGELWADAGWHPSA OJF2_RS01465 MSRRPRRFVPEFSSRLERRLLLKAGAGRYGEYLASIAQDGSDMV APAAGTGIQADGFSDIHLTVKGIPAAKTITSVTVATSDDVWGPGHYEAYFVRTDATTC DVYVESPHTGPRTYAVTLSYFSDADGDGVNDIPGDSSHSGTADISNTTPFQVLPPPRA VGPSAPALSVTDLGYGSGSEDIANASSSPGADGRADYRLAINGILAGYNQVSTVPGGS SAYGNYVTLTDGTNTWSSQPTWPDFARPAGSWDAELIPGADYYGTSTPPNQQVLFFQL PAGAAPPGDMVLTVYYIGGLTDTCGPFSLKDRGSAPAASQPNPFALTNVPGLHASWAG QTTAAVAGAKAGSVKVALDGLPATAISSMVLSNATAVSWVYANDAAPSNVSAFNLPLY YQAGAIYFAPDRSESGSTLSLRIHFADGTTTYLTFAGGPVDFRLYDPAPSSPSSPVVA GPDDVSSSADPRRLETILNTAGYVELTAGVYDIPYQVVISHPVRVIADPGVTLRFTTG GRAWDSLIRIEASHVQLDGFAVGVADAYRCSNNLYDPSAFVRVAGSGADMMVDVRLTN LVLRQSAVAPGSDPNGLVGFRSGPLTSGVVDSVTFIGQAALIAGGPWRVTNSDYQGAL AGADVYQAFTTRDDAHDLVIANNRVHQAIAGGITRRFLYMRAATDTAISGNAVYGGIG SPAAGSGWPNTPESLLTDDTDPFYVGVPASVSGDGYLLKVNTLRTGDAPAPGDVVSIL DPSYSGGRWFRIAQVLDAHTYLMLDPLPAGLNTTISIAYGYFHNTIDHNTWDFAGTGM DARNISVALYGAQFDVSVTNNVIHAAATGNGAEGTVDSILVGGSRTQPPPGARGTYPA GDMWAQLPCFEVTVSGNLLEDANPIRVFSTGDRRVRDTSDLLFFEGTLADNTSALSVA YLAGAGVTARIPTIEVGDPYVVNTAQYTLTITGNAAVLPPGASTVGVIRYNGGLAGSP AEIALTSAPAAPTGPAAKANSVQTVIDVSWTATAGAGATLIERSPDGLTGWTQVATVA AGTSTYRDADVVAGRSYSYRVRTRGDVGTSPYSATSSATAGSPAVLTVRSLNQDGSNY VQQSPGGGAGTDTIQDVHLALSGLGALPVATIDLTGQGGRWQYNAPFGSSNTNGVWRA ELVRTGLSSVASLYFEAAGPIAPGEAFTVTVTYADGSTQTATFSGVSVTNPDLRVPGV TVPPTTTGQSGGTSTSSPGTSSPGASSPGTSSTGGSPGTITSTTTQPGSSSSSSSSSG VTKASPRAIRQALVKARRQAAILRQLQKQAIRRAALAQRQTKAAARHHLS OJF2_RS01470 MSSKPRVQVTPDHNLALDLVRTTEAAALASARWVGRGDKNAADQ AAVDAMRLLFNSVPFDGVVVIGEGEKDEAPMLFNGEALGAGGGPQFDVAVDPIDGTRL VANGEANAMAVVAVAPKDTMFDPGPCVYMEKLAVGREGRGIIDIGVPIEVNVRMMAKV RGMEPEEITVAVLDRPRHADVIARLRKVGARVYLIRDGDVAGAITAAQRGTGVDLLYG IGGTPEGVIAAAALKCLGGEIQGRLYPRDDAERQAALDAGYDLDRILTTDDLVRGDDV FFAATGITDGVLLEGVRYTRDGVTTESIVMRSKSGTIRVIQAEHRWAKLAPLAGPRFA PELENVHGPAARPHRKRSAE OJF2_RS01475 METSLEIVVRPTEIDVNGHVNNAKFVEYLEWGREEWYEHNGLPY DRLDALGAQTVTVNVNVNYRKECRQGDRLIVVTRPGRLGRTSFALHQEVRKKDGTLAA DAVITLVTIDPSARKPRPVPAELAAALSADDTPSGE OJF2_RS01480 MSRLVEVTELALRDAHQSLLATRMATEDMVGACEDIDKAGYWSV ECWGGATYDSCIRFLNEDPWERLRTFRRLMPNSRLQMLLRGQNLLGYRHYEDTVVDRF VDKSAENGMDVFRVFDALNDVRNLKRAMDAVRRTGKIAEGTICYTTSPLHTVEKFVEM AQRLKDMGADTICIKDMAALLRPRPAYDIVKGIKEKCGKETLVHVHVHSTTGVTLVSL QKAIEAGADIVDTSISSLSLGPGHNPTESLVEMLADTGYTTRLDKDRLLKIKDHFAKV RPRYAAFESKILGVETEIFDSQIPGGMISNMESQLRQQGAGDRLKEVLAEVPRVREAA GYPPLVTPSSQIVGTQAVFNVLMGEYKALTGEFADLMLGYYGETIAARAPAIIEAAVK HAKKDPITCRPADLLKPEWEALRDAAIGLKGCNGSDEDVLTYAMFPQVAPKFFSTRDQ GPKNVGKVPSTEPAAPAKGDAPARAGNGKGPITAPVTYEVTIGEKSHKVTVQPA OJF2_RS01485 MSVKKSMEDLTKDLQAKRAELEQGGGPDRLAKQKKDGKLTARER VDALVDPGSFEELGLFAQHRQTLFGMAGKEVPADGVVTGAASLDGRLVHLASQDFTVL GGSAGELHSHKVADAMEMSLHTGSPFIFINDSGGARVQEGIDSLSGYGRVFFTNVELS GAVPQVSLICGPCAGGAAYSPALTDFIIMTKKAQMFITGPQVIKQVTGEQITAEALGG ADAHMAHSGVTHFTAEDDADAINICKRLLSFLPSNNLEDPPRLPHDNNVDPNPALRTI VPVEGKQAYDVRDVICGVVDRGDFLEVQAGYAPNMVVGFARILGRSVGVIANQPSYLS GAIDINASIKSSRFIRFCNAFNISLVTLVDVPGFLPGVQQEYGGIIRNGAKMLFAYSA ATVPKIQVILRKSYGGAHLAMCSKDLGADRVYAWPTAEVAVMGAEGAVEIVFRKEMEK AEDKAARRAELIDEYRSTFSTPYVAAGRRLVDDIIDPADTRRHLAQALEYLQTKRDHR PPKKHGLIPL OJF2_RS01490 MKTETVDLAGLAELIEGLRREVGRLGERVAALEASAPAAAPAPV PPPAGASATHKDSKNDRDEVEAEAPAAATAPAGEGLSEETVLVIASAIAAFLGKRAHI RQIRLIRSDAWAQQGRVTIQASHALSTLR OJF2_RS01495 MKLKISVDGKQYEVDVEVAEPEPQQPGYYGPGIHARVPAAAPVA APPPTPAAGGEKVADEAKVCRSPFSGTVSKISAQPGQSIQVNDTLLVLEAMKMETVIT APIAGKVARINVNVGDAVQQGQVLVEFE OJF2_RS01500 MKPVKAINHIGIAVKSIDAQRPFYEETLGAVFEGRETVADQKVH VGFFRVGDVRLELLEPSDPSSTIAAFIEKKGEGLHHVAYTVEDIEGRIAELKSGGVRM IDETPRPGAHHTNIAFLHPKSSCGVLTELCEPASGHGEGR OJF2_RS01505 MSVDIPIPAKQRKPARQTRLARMLNSSIGLKITMALTGVVLSGF VLGHMLGNLQAFQGAEALNAYGALLHKEPALLWAVRLFLLLNVGLHIYAYLALGRKNR AARPQAYQSRKYRESSLASRSMRITGPLLLAFIVYHLLHLTVGKPVHPDYVEGDVYHN LAVGLAGLAGVIYILAMLALAFHLWHGVWSLFQTLGLPEARYESLGRRVATIFTVLVT AGFIAIPLAVLAGVIKVQ OJF2_RS01510 MELKSNVPPGPIETKWSQHKFDMKLVNPANKRKYSVIVVGTGLA GGSAAASLAELGYKVSCFCYQDSPRRAHSIAAQGGINAAKNYQNDGDSVYRLFYDTVK GGDFRAREANVYRLAEVSVNIIDQCVAQGVPFAREYGGLLANRSFGGAQVSRTFYARG QTGQQLLLGAYQALQRQVGAGAIKMYPRHEMQELVVIDGRARGIVARDLETGALESHV ADAVILASGGYGTAFYLATYAKGCNATAIWRAYKKGAAFANPCYTQIHPTCIPVTGEH QSKLTLMSESLRNDGRIWVPKKPGDKRAPADIPEDERDYYLERKYPSFGNLSPRDISS RAAKEVCDEGRGVGKTGLGVYLDFADAIGRLGVNTIRERYGNLFDMYERITDEDPYKV PMQIYPAIHYTMGGLWVDYHLMSTIPGCFVLGEANFSDHGANRLGASALMQGLADGYF VIPYTLGNYFGSNKFDRIGTDHAAFRSAERWVSDRLKQLLSIKGTRTVDDFHRELGKI LWNDCGMARSEESLRHALQAIPELRERFWKDVRVLGENEQVNQSLEKAGRVADFLELG ELICRDALERRESCGGHFRTEFQTPDGEAQRDDQNFCHVAAWEYTGDDSPPIRHVEPL EFEHVHLQTRSYK OJF2_RS01515 MGGSGEERKINLTLNVWRQKDRKSPGRFETYRAPDISADMSFLE MLDVVNERLILDNKEPIAFDHDCREGICGQCGVVINGDAHGPRRGTTTCQLHMRSFRD GDVLTIEPWRAGAFPVIKDLMVDRSAFDRIIAAGGFVSVRTGNAPEANDIPVPKDDAE RAMDAAACIGCGACVAACPNASAMLFVSAKVGHLNALPQGEPEKYRRAAAMIDQMDYE GFGSCTNHGECEAVCPKEIPMDFIAMLNRDYLRATLRQREKASSAGSAG OJF2_RS01520 MGDLRLIPLGVGEAFTAVNYTSCYLLGAGDDWLLIDCPHPVRKM LREGTTAAGCPIDLDRISAAAISHLHADHCCGLEDFGFYAKLVVGRPAKLLMHPDVSG RLWDDVLAAGMDAAGTTGAASPDAPPRGLGDFFELIGLDESRPVACGPFSIECRKTLH GVPTTAFRIAAAGRTLGYSADTAFDPKLIDWLSPCDLIIHEATSPGHGGMHTPYDKLA ALPEALRARMRLTHLPDDFDAASSVIRVLRQGEEIRI OJF2_RS01525 MRFRSPARDAGRRFPLFTAMDTSTITRTLWLKAREGDKSAYDRL FALHADRALMFIRARLGPRLRASVESVDVLQEAYLAAHAGFDRFEYAEGDDGAFLRWL FRIVENRLRDLNDRAGAKKRRPVELPRLDPATGPVTAAERAERREALVRALDALEDDH RRVILLRYFEGLSAEEAGRLMDRSPGAIRKLAARALAALGEKLEPNSR OJF2_RS01530 MSPEPDLADLLDARLDGHADDADVPESFRIDLARALAAHEAIRD ALEETILLPGGEPASDREPPRLPDDYEVVRELGHGGMGVVYLARQRSLGRQVAVKVLR PGEMAFGPMLRRFEEEARHLARLRHPNIVAIHEVGRSGGEPYFTMDYIEGQSLSARLA AGVLTPSQALAILRPAAEAVRHAHERGIIHRDLKPSNILLDGGGRAFVTDFGLARDMA GSSGVTRSGEVMGTPAYMAPEQALGQADRIGEATDVHALGAILYEMLAGRPPYGHDAP ARVLARLLDAQPEAPRRIDRRIPRDLETICLKMLEKEPARRYATVGALLEDLRRFDEG RPPLARRPGPALRAGRWLRRHGTAIAAVLLVAAAAAGLAWSLLPRRTEVQVQVEDRTA DSLIAEANARHAAGDHALAARLFTAAARQAPADRRPAILRDVVRCVGELSDRAAALQI AADAIELAPDLSFGAYDPLLASVADDRTRAVTPVHRSGRTPGEPEMVAELRAEDRPVV ELAQTRLELVAKGNFSDDVRRDAEDRLVRIRRMLRNEPSPGTWRTIPAQIDFPKGTAD ELHRRSLDSSVPRWDRARAAYAEGRTRAEAGDRPGALEAYRRAFELIRCGSPTYPEPA PASAPRFDPPEARFLLDIEAAIRALDPAAPSRLKGGLRFRLTGLELPPGVSVFFSPSL VDPALDPKLAGNGGGFLPNVHIGRERTAWLGVADGHYRLSVPAPGKSYGHDGRADEAT ERSYHLLDVDYSDLPAEVEIRGETVDLPPLRARLLEEIKLLAPADRAAFDPNEGIFRW SPVPRASRYQFALGAITVSEGGSTTKRGLGGYDTASTSLCLGTAPEQNQVLATLSREL KPGTIGEWTVQAFDASGRKLATVAGPPRNFVVARSLERGRD OJF2_RS01535 MMFLKTYRVRSYEMGLHFRDDEFRGLLGEGTHRFFDPFDRVDVQ VVSQRAPFLVHEKIDLIAKRADLKGVARVLDLEDGQRALVWVDRRFGRILPPGPYVYW TNFRDVRVEVVSTDRPRFEHEDLRAILANPSAREQLEVGSVGRGCTGVLFLDGKFAGL LEPGPWAFWKGSADVRVVEVDLREATLDVPGQELMSADKVTLRINAVATYRVVDPKKA VCTADDYKQALYRELQLALRSVVGGRELDALLADKEAVAAEAASLLSGRLAGLGLELQ SLGIRDVILPGDMKDLMNRVIEARKAAEANLITRREETAALRSQANTAKLLADNPTLM RLRELEVLEKVIAGGKLSVILGEKGLADRVVNLL OJF2_RS38915 MDTPEPGLEAYEAPRSEAAIEPPAGAAPSLWQSSLLGARSGARR VALVIGPISLLLGTAGLAWTAFGLGAGRGFGVHPLAASAAGMLAVGELYGAILGGVLG PIVARARRKSTESGRASRLAFLSRPIRLLPWRRTDVTSRTRPRRWPWVAAATIAAILT IAFGVGVYAGRYVDRRLAQAISASDRDDPNWRLDDLMAAREPVPDEENSAIVVAEVVS MLPEGWPGARAPGSPSGKDEVAEAADRMAAAAANTRLDDETAATLRGYLDAQAEAVGL ARSVAEFRRGRHELELTRDLVSTPLRETQDCRLVARLLGADAAMRAHGGDLDGAMDSC RAMLGVARSIGDEPMLISQLGRIAAGVTAADSARRVLGQGEPSDQALARFQAAALDEA SEPLFLRGMRGERAILDEVIRRIRDGELAVSALSDGGRPDDLVRPVSPWGRLWFDHQR AVGLGWMNELVAIAHRPPFERAPLLAAWEAEIRRVARSRLGLLAAPLPALMVPAAATA DPSCVRYQASLGATAILLAAERHRRKMGDWPGSIDAIDRSILPDPPVDPFSGKPFLLE RRDGQLLIHSAGPNLRDDRGAFDARTWRKGGPDDVGTNAWDVSFRRQPPDSPRE OJF2_RS01555 MRRLEMRADVPPAAGPVPAPGLRPPEAARPLIRPAPRSAVFFPL VVLVAILPGLVALNSWDLTPPGPLWGLRALAVLDDGLLVDQVPAADAIGPAGEAMAYR AVSYQPPLYAWLAAVGMGLSADHDPIGSVLPSYIAGTFIVMLAYLHGRLWRGGGVGFA AALLVGFNPNLLLRMQEATPTTLAVAATVAALLCYGRHLRATRDLIRSDPWARPAFWA VAEGACLGLALLTLDGFGLVVVPIAALHRLYIGPDPSLYPTFRPRPGARGASRSRRWP WPRRLARRLGAAWVDTLLVVALAALIAVPWQVAMFRAHGWDMLSGLEFPSWSETSSLP ARLFELAPVTLPLGLYGAARAFRLGLMDDENTPETVGGAFWLLWLCVAALLPAFWPAG PRGAMDLLLLVPLNLLAASTVADLVNRRIPVRALIALAPATAFSVMWWASADLRGAVG DLAHGRASAATALGVHLAFDLAIVSILLTRRLEIWSRRKDVHRRIVLAGFLLTVLAIT VGTGVQEVVFRHSETHELLALRTMILRRNREHPFESLSVVRSDLAAASDPARSADLAF TGGWLRFILRTALPHLAQHDLRTVDELLAEPDGRRLILFTGAGERPSYPVKSKLHLEA IHPGRTGILDAYATAQDDTRR OJF2_RS01560 MAAPAAAADAPVGIFEGHGDVGAVLHAGEAAFDPGRNAYTLAGS GENMWNARDAFQFAWKRASGDLSLAADVAFAGQGTDPHRKACLMIRQGLDADSAYVDV AVHGDGLTSLQFRSAKGERTQEVRASTRAPRRLRIEKRGKYVTLSFDSGGDVPLALGF TGAAARIVLEEPFYVGLAVCSHNKDVVERAVFSNVELVSPLPSTSGKPVLHSTLETMT MSSTDRSVVYVTPGRIEAPNWLADGNNLIYNSGGRIYRIPAGGGQPAAIDTGFATRCN NDHGLSPDGKTLAISDQSRGRDSLIYTLPIEGGTPRRITEKGPSYWHGWSPDGRTLAF CGSRGGEFDIYTIPAEGGAETRLTTAPGLDDGPEYAPDGRIYFNSVRGGSMQIWRMNA DGSDQQPVTNDEFNNWFPHISPDGRTMVFLTYDRSVEGHPENQDVALRRMDLATRRVD VLARLFGGQGTINVPCWSPDGKRIAFVTYQLIAPEGAGDRRPVTP OJF2_RS01565 MTDPTADDDDPDAELVARLRRGDRDAFAALVRRWDGPLLRIAAR VTGDVHEAEEVRQAVLVRLLRAPGAIREPARLAGWLRRAAVNEAIAAVRGRSRREKAA GRIRARAAALAATGPDPADALAALDESARLAAALRSFEPDERALLSLRFDEGLTFAEI ADAMDAPASTVKSRVARLVARLRILLADDPDG OJF2_RS01570 MDTPHDWTGPDADVGPDPIDEDLLTLFARTAPEVPPTDLDSLFA RGLPERRRIMILRLAAGALTMAATAVFAFLLTPGRSAAGVSLEEVQQKVAATQTLTCT ETTLVDGKPRDSSRMLVVAPSLVRDERDDGYAINDFRARRTLLVRTKEKTATILEGVA FPIPDEMNFYSLFREIAREPLRTLPERHVDGRPALGFVVKVFGHEATVWVDPATKLPV RVETESDEGGKRTTGIMSDFVFDRPLDPSLFAMTPPEGYKVETHGVAELAPEPAEQDL AAPVLTPLVGIGPARFGMTEAQVVKALGKPDRASTTGTMRLLSYYSRGFELMVLPEGR PKHGLFWAVALGKHGFMLKLREFRGKTDRGIGLGATRDDVVKAYGPPDREHLSRNRDA FKDAADPDKPTGQAEMSYDRLQLSFTLWEGKVYQIRIMAPPPAGVKPEGTRAK OJF2_RS01575 MAGFDVLRKARVASVPSIAGLLLGGRALGGTALRGRELGPWPAA NNPADPSLRLLGAILMIFAASLCMLAVGYITDTTRLGDGAGPAPRA OJF2_RS01580 MTPSLERLAELVRQAEAKTRARKIEGEIQHAAEQFRTAESRARR AVERMRTVRPASLKALEQADADEQLLKDLVRKLAQFKSSLESQGDAEQLISTSQAEIE RVRKQSRADIEEVTREVDEARRELRVALDQYRALRKELDRLLPELAERFAAEDRLLWD AEGHFPGGQLQLLAHEVDAGLNAYANLGKLEQYARLKVWIGRFRCYQAAHERDSDTTE ELQALGHRVFHQLKWLSRQYEPGYIEAFRQDFSTDWNAYVAEAQEQLVQAIEAGRRSR EAAGAAASSPPATTATATTATPPASPAPPASPAPAPAAPASAPSAGAPAQAAAAPAAR PAGPPASLSSASTAVATASRALVDLKQFMTRAHLPEEGMERFLELLDRSVAETGPSDV DLLRLAYPYRRYIAGGPRLDALRRNLDRAYAGNGTGARHPSMN OJF2_RS01585 MANPPYQSVLLEVWREVCRHIAIEESATRVTPLLVGSLPVDELI VRQVDAGRGVVETVAMGLVRPGRTSPGVKSECAGDDLARIVAWCRSGRIVRGPAGSLR EELPGVVPAGLDGPCLAAPLVAEEGLLGALILASHAGRAFDEGHEALAAELIDPFAVA LENDRRIRELVALREAVEAENRSLLSRLGRHDISDSVIGAETGLKEVMGHIQLVAPSD APVLILGETGSGKEVVARAIHARSRRSSGPFLRVNCGAIPTELVDSELFGHERGSFTG AVADRRGWFERADGGTLFLDECGELPLAAQVRLLRILQDGQFERVGGEKPRHVDVRIV AATNRDLERSVALGEFRQDLWYRLAVFPIHLPPLRERRSDIPALAAHFALRAARRLGL PPLVASAEDMGLLLDYPWPGNVRELAAVIERAAILGEGRRLEVARALGISTRPAPAAA PRAAGRPTDEPGADADLSLDGATTRHIERVLALARGRIEGPGGAADRLGINPHTLRSR MRKLGIDWDRHRPGPPRRDPPAPPLGSPGAGAGV OJF2_RS01590 MSGSDSRGLGMTGRLLAASAAMAGCLALMMTLAGCGGSGAADAD TVKIGAYSVVNEVFHDGLIPAFKAKWKEKTGKDIDFQESYQASGAAARSIVNGFDADL AVLSHGGDMESLAKAGKVRSDWAAGPDKGILTNSLVVIGHRAGNPKGIKDWADLAKPG VGVLYPDPKTSGGARWNINALYGGAAIAARKEGQSIEQATADPSVRDLLAKVQVNVVN MDPSGRQSMANFAERETGDAVVTYENEILLRGKQGKPIEYVVPPATLLIESPVAVVDG SVERHGNRALVEAFLEFLRSAEGQKIFADYGFRPVNADVKRPELPQPTTLFTMADLGG WARVEDVLYGPKGLWTTIAAERPAAGAQGR OJF2_RS01595 MASQAPAVSRRATTSDLILRWSTLSYLAIMVALPMVALGVQAAR PGLRPFWEAVTDPTAWHALKLTFITALIMVAINAVTGTATAWVLVRYDFPGRTIVNAL IDLPFAVPTVVTGVMLVILYGPSSVVGTVLGKFGWGVIYHQPGIVLALLFVTYPFVIR SVQPVLMELDRAEEEAATILGAGPWTTFRKITLPALWPSIVTGSALSFSRALGEFGSV ILVAGNHPLLTKTAPLYIYGEIESGNRHGALAISAVLLASSLTILVAINALQGRGGVD HGE OJF2_RS01600 MASDLGLTGGEGRRVEFSASKPVARGAWGRRLLIAAVLGWFAIL VLVPTIALARQVFLGGFKPFLDALALPEVRRAFGMTIGITAIATVVNTVFGVAFALVL TRQRFLGRALADGVVDLPFAISPIVAGLMLIVLYGPEGWMGRWLEPHGVRVVYAVPGM VLATMFVTVPFVVRELVPVLRELGEEYEQAAHTLGAGRWRTFWSVTLPSIRWGVAYGV TLTIARSLGEFGAVLVVSGNVIGHTQTATLYIEQGVESFRPEGAYAASLVLAAVSFIL LVGMEYVRKHVEGGKETRP OJF2_RS01605 MIGAKPTVSTTTATATTTRGRSAARTPHVRPTAWEPRPARGPAP ATIPTEPTSQKETAVAIEVRNLSKRYGTFQAVKDVSFEVPAGQLVALLGPSGSGKSTI LRMIAGLETADTGSVVLTGEDATKIPVQERGVGFVFQHYALFRHMTVRDNIAFGLKVR KVPKADVKARVDELLELVQLTGYSRRLPSQLSGGQRQRVALARALAPRPKVLLLDEPF GALDAKVRDELRAWLRKLHDEVHVTSLFVTHDQREAFEVSDQLVVLNEGRVQQVGTPQ ELYERPNSPFVAQFLGQVNILPLRTIAPHAAAPSTSPGDPLLQAGADAAADAQIYVRP HDLEIHRERNGRPAWRAVVNRVTHLGGAARLDLTVDDAMAIQLELPSERLAMLNLRAG DAVYVVPRVANIFDPKSRTFLPVGGGTDAAL OJF2_RS01610 MRSRIHSRHDTLRSLLILGAFACILALAMVGPGHGPVSGRAEGA APPPKRSLAPGPQVVLRAAARKPKKKPKRSATQTSSVVTTPWVVLGYNDLGMHCMNQD FSEICILPPYNNLHAQVIRRGPEPQIMALGAVVRYSIPGNTISSNKTNFWTYARALFG VNLAPDVGLTGNRLSGTMTPTLSRDWQATGIPLTPIMDNGALNPYALATIDAYDTGGR KMATTRAVVPVSWEISCDLCHGVTNTASNILQAHDRLHHTNLHNNKPVLCSGCHADPA LGKPGVAGVPTLSASMHTAHASRFTPAVMNAVGGINCYACHPGIQTHCQRDVHLANGI HCGDCHGSMAAVGNPLRTPWVDEPKCSNCHHVPGHQYEEPGKLYKQSRGHNGVMCAAC HGSPHAITPTVTPNDNVQALAIQGHAGTIDRCVVCHKSTPEDGFNHSFDGGD OJF2_RS01615 MARPKKPKKPKRDEARENRITMEIVVDAYDESERAAGWYCYLEE KLNFPFPARCIKERAISPLGTGDEVEVVGMAPEDECMREMFVEISWAKKRTLAVPLSQ LEVVHGDDETRQAVEDWHYWVATGYEC OJF2_RS01620 MGRTSGAETNGAAARRSASSGGGSTKRTPAGEKNEEAIEAMRSG EPTVRQLTVRTYKADFTTREYGPDDVRRMRGLLGMSQAVFASSLGVEAGTVRPCKQGN RPPSAMVRRFLGEIEGDPTHWRGRVVESDVGGDETGPAS OJF2_RS01625 MSAIAAQDRPPATSSRRALAPNLIGGTWVEGRGDASRDIFNPAD TAELLAPVREAAPDQVTEACAAAARAFPGWRATPAPDRAHVLFRFRERLERSFEDVAR GIVRENGKLLREARAELRRGIDVVDFACGIPSQMMGQALSDVSRDVDCLTFREPMGVV VGIPPFNFPALIPLWMMSVAVACGNTFLLKPAEKAPLTGTGLAEMFADAGLPPGVVGV VQGGREVSERLIADPHVQAVSFVGTSAVAGSAYRIAAAHGKRVQAHGGAKNHLLVLPD ADLGRILPEMIGSCFGSAGQRCLAVSVLVVVGDRARQHAVVDAFLRAAGELTPGDGLD EAATLCPVVNPEALERIRAAIERGVGEGARLRLDGRSRAAPQRPRGCFLGATVLDDVT PEMFVGREEIFGPVVSVMRAPDLDAAITMANRSRYGNTACLFTQSGASARIFRERIQA GMLGINVAVPAPMGFFPFGGWKDSIYGYHNTQGADAVAFYTRKKVITDRWPGAEAPEG GWL OJF2_RS01630 MSDPIRTHPLGNQGLEVSALGLGCMGMSDAYGPADEAESIATIH RALDLGVNLLDTSDAYGPFTNEELIGRAIRGRRDEVTVATKFGFVGGTDGKGGGRIDG SPAHVREACDGSLRRLGVDHIDLYYQHRVDPDVPIEETVGAMAALVRQGKVRYLGLSE ASPQTIRRAHAVHPISALETEYSLWSRDPEDELLPTLRELGIGFVAYSPLGRGFLTGQ LRRFEDLPADDWRRHRPRFQGENFDRNLKLVDRVKEIAATKGATPAQLALAWLLAQEG VVPIPGTKRRKNLEENVAALGITLTSEDLKRIDEAAPKGAASGDRYADMTGVNL OJF2_RS01635 MPRCENCGVELSLPTDRCQECGHPLQLTFDPMGTSTDLGPGGHA HTPTPQGTLPDDDAAKVERLLGHIAGQSHFEERYILRGELARGGMGQVHRGYDQILRR EVAVKMMHERYGGSSESAAIRGQFLKEARVGGRLLHPNILAVFDLGVNRAGRIYYTMR LVDGASLQHCLDAVDKGVVTKLISYPLRRIVEAFVGACQGVDYAHQQGVIHLDLKPHN ILVSGFNEVFVIDWGLARVDERDDTEELADLYRSGGAGHNTASNTGVFGERVIGTPGY MAPEQTRGEVAAFDPATDVYGLGGILHFILYGIAPNQGRGLQEVMQASAQPKQRGKLR GGILPRGQRVRKEARAALEALEATCLKALEPRQEDRYASVEAMIVELGEWLSATPGPP LGF OJF2_RS38920 MWKIRTFVGEWLLPGREARSGSTRRPSRRASVGKRPELEPVEAR ILLSTAEVATIRATPLLVDSQAKASVEVSEVGGIALRNRRGDLTASLTSNGRPVEGLA VIFRIGNRVVGTARTDADGVATLSNVRVGRLKVRNYAARTVVVFKGNSQLMPVVRRGP LTVSRTASALSGVTATGVYGGAATLSAVLGSKRGEAAGKPVLFALDGRAVGVATTDAQ GVATLPGVSLAGLNAGAHGVTARFAGDIDYYKATATGTLTIARATTSITLGNLSQTYG ATTQPTATTGQPGLPVELSYTDASGHAAAQPFRAGTYTVTARIADPNYIGSAVGTLVV APAAVGISRIDAMSKAYDGTTAATVDSGSVVLTGVVAGDSVSIDASHATASFASDHVG ADQAVTIAGLALTGPDASNYVLSQSSATATASITPRALTVAGIAADDKVYDGTTAATF SLSPGAGLDGVVGADDVSLVSGLVTASFDSKSAGQGKAVTFDGFSLSGGDSGNYVLSP VTAAADITRATLTVAGIAAADKVYDGTAAATLDASGASLGGVIGADDVSLDASAATGS FDTKDADNSSKAVTISGLALAGADAGNYQLAGASAFAYISPKVLAVTGVTAGSRVYDA TTAASLDASAASLVGVVPGDDVALNTAGVSGSFVDRNVGTAKAVIVSGLALAGPGAGN YIIAAPGVTADVTPLTLTMSPTSTATKTYDGTTSAPPPIAPSLAGVIGGDSVALDASG ATGATLADKNVGTNKVATEHGLALSGVDAGNYLLDPTYLVNVTPASVSVTGITAPGKV YDGTTAATLDTSGVSFAGVVIGDDVTFDASSAVGSFADRDAGTGKTVTVTGLTKSGAD AGNYAFAFPTPVTATITPKALTVTGMQVDGKVYDGTTAATIAALPGGVISGLVNGDDV SIDQAATSAGATAAFADKDAGTGKTVTVTGVQLTGADAGNYTVSSATGSADISKATIT LSGVAASKTYDGTTDVSFNLGGASLGGVIGADDVSLDASAATGSYADKDVGTGKAITA NGFALAGADAGNYTLAVDPITGDIASKILSIEGFTATDRTYDGTTGVTVGAAGVNFHA GDLVAGEDVAFDYSSLAAAAGSMADKNAGVGKAVTITGLSVTGADAGNYKVVASTTVN IAKATLTVTGIPNLTKSYDENQYFVSINTSGAALSGIIGADTVTLNTSGIFGVSTSAN VGTWNVYINGLALGGTDAGNYNLGTVIVSGTIQGP OJF2_RS01645 MPNPPLRRVNYFTGRVLTANDLKAEQDYFRERLRRHNLLFHGPG VVSGLEVEVEGDSARVGPGVAVDANGEELVVPSLEIVPIPHLAEAMFVTLRFVEKPAE PMPGAGGDGGPAEFAFTEESAVVGVVAEGGDGVVLARLVREGDGWAVDPGHAPEVSGT FIG OJF2_RS01650 MCAAVASTVHAAPDGDGESRLPLDAIFAPRTVAVIGATDKQGSV GRAVLWNLISNPFGATVYPINAHRPNVLGIKAYPSVAEAPGAVDLAVVATPAPTVPGV IAECVDAGVGGAVIISAGFKEIGAEGARLEREVLEQAARGRMRIIGPNCVGVMRPYSG MNATFAARLARPGSVGFISQSGALCTAILDWSFRENVGFSAFISVGSMLDVGWGDLID YLGDDPYTKCIVIYMESIGDARSFLSASREVALAKPIVVIKAGRTEAAAKAAASHTGS LTGSDEVLDAAFRRVGVLRVDSISQVFDMAEVLSKQPRPRGPRLAILTNAGGPGVLAT DTLIEDGGELAALSPETLAALDGFLPPAWSRGNPIDILGDADPGRYDRALAVTAKDPG IDGLLAILTPQAMTDPTATAELLRQHAKISGKPILASWMGGDAVEAGEQILNRAGIPT YRYPDTAAAAFTMMWRSSYNLQGLYETPNLPADGETAALARDRAEAVVAAARREGRSL LTEAESKRLLAAYGIPTARAIVADSPAAAVAAAGEIGYPVVLKLHSRTITHKTDVGGV QLNLRDADAVRDAYRAIEAAVRRAAAAAPGAFEGVTVQPMIKVEGYELILGSSLDPQF GPVLLFGTGGQLVEVFKDRALGLPPLNTTLARRMIEQTRIHRALRGVRGRRAVDLAAL EQLLVRFSRLVIEQPSVREIDINPLLASPEQLIALDARVILHAPGVDLGSLPRPAIRP YPTQYASAWTAEDGTAFTLRPIRPEDEPLLVKFHGTLSERSVSLRYFHAMKYTARVAH ERLTRICFIDYDREMALVADRKDPSTGEHEILGVGRLSKVRGTDEAEFALVVSDPYQG LGLGTEFLSRLVRVGREEGIRRIFGDILPENIEMQRICEKLGFKMTHNIQESVIRATL VL OJF2_RS01655 MASGTLGASPMPLRDLFGGGTAVGLGDAELLRRYAGSGDEAAFA ALVARHGPMVAATCRAVLRDHHDAEDAFQATFLVLARKAGSVRAGEALGGWLHRVAYR AAVQRRIEVGRRRRAEVEARPMEVPDRGPAAADFEACSMLHQEIDRLPEALRLPVVLC DLEGLTYEQAAGRLRCTAPALYHRLAKGRKRLRDRLVRRGLTAAAAGTTIEASRATAS AAVPAAWASAAVAAGAGGTVPPAVASLAHSLIRSLLMSRIKTMAVGILALGAMISAGV VALAAARPEPAPSATPTPTPPPPRAASPPPATEGRGSRFTVEARDLATDALMPDVRIE LKATDGSGSKVGFTSNADGPGVSRFSLSGEARYLHLTARRPGYVPQAMRWDYDANAPA PPDRFLFQMEKATTVRGHVVDQDDRPVAGATVVIDVSKRYPKSGQWVDLKWEDTRADA NGRWSFDGVPAEPDSVKLTAYHLQYLTENNAYMPEEFKPHSALRDGSAALRLRRGTPV EVTVRSAEGRPVAGAEVFYGLGRRFGNALPPARTDDRGRLTLGIKPGTASSLTARAPG FGPAKEAIRVGDRPLRVELALPAPHVLKGRVLDPTRKPIAGATVHLSWDGPAARAGED RGGEAIALERSTDAEGRFAWNEAPANGIHVSVAAGGFTADRSPILDADAAIEHEILMT TPTHVKGSVVDAETGRPISGFTLTHGAAWNRGDPLIWQRGDDIDREAKKAPGSFELTF HQPADRYALRVSVEGYLPGESGLFAPDGTPHALTFRLRRAGPIRGRVLRADGSPAAGG LVYLVPPEEADSIEYLSIENGEIRDGERSAEETAKIGPDGRITLPPREGNFALVALCD AGFAIVHRRDIRGEAAIRLTPWARVSGSVTLDGKPAAGLAIQSQDPDRPLPIPGEPRI DARYYVKTDAEGRFEFRRVMPGHLQLGRWVPNGVERRIWFVSLATIDVESGKAYDLAI GRSGRRVAGRLEIPGDGVWMIRKAEIVAKDSKGKEPMPAIGVEVLQDGRIRAQDLRPG DYRLRIAIHEPPPADACGWGRLIASHEHTFTVDGTADDGPLDLGTLRPVEVAGRTLAV GDVAPDLPFRTLDGKDMKLADFRGKFVLLDFWATWCAPCLAEMPNLAAIHEAYGLDPR FALISVSLDDKAAEAAYAAKAEKWAWRQGHVGPESPAVAAYGATSIPATFLIGPDGEV LATGLRGEALRSAVAAAVGPPPGPDGPRSR OJF2_RS01660 MTRILRAEMYGVDHGEPLSWAGECPWTGGLCLGSERGGVYFPAP SGCRSEIKPVEFSSDAINGVAFQGKHIAVTSRNEVEIGMLVDVTDPEKPIISPCEHSF RGGAHGVIAVGRDAFVAPIGIDGVLITRILGDRTIETGVARLSEAPLNFYRLARLGHA PDSEVLACAARRDGLLAFKHADGHLQGQFVQHKFGDSDLVDVCPIGDTAHPLAVACLS RSRGVLLVRNVLEEEPPIELGFADLKGSAYSLLSARGSLFLLTDRYLATLPDLATRFL NGESLDIRADVALMPTDADEIFLRGDRSLLLAEDVVAEFAIDDLLSGLSGRGSVTPRG HNGAESRDFEYSVVETRMRPAAARAASTRGLSFQPERAA OJF2_RS01665 MSTEELPSLSPATRAAWRTLLLEPGPLILPAAHDALAARLIARA GFRAYQVGGFALAGARYGYPDIDLVHFYEENEAIRQIVAASPLPVVVDAGNGFGDVKN VTRTVRGYEELGVSAIFVEDQKSPITCGQMGKREVVSVREMVGKVKAALAARRDTDTF ILARTDGRSAKGLDEAIRRGKAYRDAGADGLYIEGLRGPSELGRVGKALAGTPLATTM MEGGGQMDWLSPAEIHELGFAMIMYPTTLLFQITKAMERALDRLKSGRPMPPRDGVTL DAFEDIVGLPGWRAIEERFG OJF2_RS01670 MSTKPSPRGDAASFRGRNEDLHGNAPDKSRTALLLIDVINDLEF PEGDQLLEHALPMADRIVELKRRAKAAGVPAVYVNDNFGRWQSNFQAQVEHCLRDGVR GRPIVERLVPEEDDYFVLKPKHSGFFSTTLDILLEYLGATSLILTGVAGNICVLFTAN EAYMRDFTLFVPGDCVASNTADVNRYALDQMREVLKADTRPAAELDLAALAG OJF2_RS01675 MAKGVEAKKREAAAKLVALEKAHWRTPDRFEGLARRASQFASSP WGTHVAFGLLAFWLGASVVVGWRNAYDMVEEVATMSSFLLLFLLQRAQAKDTLAMQAK LNELLAAVNKASPQLINLEDRSEEEVREVHDLYQELRTARSESHSIEEVREQVLEDLA EEGVASAEAANGKS OJF2_RS01680 MYVPSAFAEADLPTLHDFMRRHSFAVLASRGPAGLVASHLPLVL EDGPDAGPNGRLLGHMARANTQWKGVEGEVLAIFSGPHAYVSPSWYEEEGTVPTWNYA AVHAYGTLELVEDRDGLLDILRRSVAAYEGPRPEPWRFDESAPHVENMLRAIVGFRIA ITRLEGKWKLGQNHPEGRRRKAALALAVQADEDSRAVARIMEERLRGAR OJF2_RS01685 MTLSEVVGEIIRLGDASRAYWDRELPKDHPHYPLILDGEKQTPP PPEDAQILSILESLPEAQIYAVALLMYLGRGDFAADRIPSAIPRVKKMLPTKDLAIDQ IMSQTALAEYLADAVAEARRRRIDLDDLASCDAVAVN OJF2_RS38925 MPSNALSVHLDQLLGDAGELDTIHYQLRTGLPGRQYGLASLNRA AVVISVSAWESYIEELMRESLQALRPAVPPLGNWPALSAFIRGEVGRFNTPNAQNVAN LMNRCLGLPDVRASWGWRNCTSTQAADLLNRALDLRHQIAHGVNPRPVIHNHYSNWLP GFIRRLARCTDDAVRNHLVATHAVSSPWPA OJF2_RS01690 MPISVRQKRKAFAKLHEEGCFVLPNPWDLGSLRRLERLGFPAVA TTSAGLAWSRGKEDYGITRDEAMEQLHTICPATDLPVNADFENGFADEPEAVARNVQY AAIEGVAGLSIEDWGPAGLYEKPLAAERIRAAREALDEDEDDRHVLLVGRCEAMLHGE ARIGPVIERLTAYAEAGADCLYAPGVRQPDHIRAIVRAVAPKPVNVLLMGPEMKVADL AALGVRRVSVGGRLAAAAWKAFDDAARHLAELGCLPASIYGRG OJF2_RS01695 MSKLPFILGPLLILAGGWMILLFVGFSTMVEPDLEGWARWRKIL TQASAVDLSWLAVGVVLVAAGFRVAASGGRGRETPHAVNDGAGRDGF OJF2_RS01700 MCPADKKTIVLALRGDMVHRLTVKAMMLKKKTNEVVEALQAGAD LDSVGAKGVESLDARSIVKATVAPGNGVLTVHGGPDGATKLSFTTPGSDADAILAEIL GRSGRSFETATEEIGVGEAIVPPLIVGAFAGLIWAVVYGMAGQLASGKEVEIKGRRQG MQQMALWVAELLGYNGTLAVGAVLGLLIVGWLVARLVKRPQRTVWLPAGAAATGVASA OJF2_RS01705 MAPMKPLPHSFYDRPAEDVAPELLGKLLVRREGGGKPRRMGRIV EAEAYLGPHDLAAHSSKGRTARTEVMFGPPGYAYVYLIYGMHHCLNAVTGPGNHASAV LLRALEPVENVEASTSGPGRLCRALNIDRTLNGHDLTRGGLIIAEPDDPAPAFDVVAG PRVGVDYAGDWAARPYRFSIAGNRYVSRPSGRA OJF2_RS41070 MPTSEIERDRILAELATRTGLASSVAVRAALEERGARPLGDTLV ERGDLDEEACTLLQRLAELTLTTAGGDAGSALAGFEAVAGWSGWDSLIASGMASIARR RDGAEGPLPGGDAEDADAPGAGAGEGGAGRFRVLRLHARGGLGEVFVARDEELNREVA LKRLQARYADRPGHRARFLVEAEVTGRLEHPGVVPVYSLGAGPDGRPYYAMRLIRGET FHEAIEGFHRADLPPGGRALALRKLLGRLIAACQAVAYAHSRGVLHRDLKPSNVMLGG FGETLVVDWGLARARGMEAPAAESAEDPEGPLIASAADGSRTAAGSVSGTPAFMSPEQ ARGEVDRVGPASDVYGLGAILYVLLTGRAPLGEALPAAAILDRARRGEVVPPRSARAD VPPALEAVCLKAMALRPEDRYESALALADDLERWLADEPVSAYQEPWAARLARWRRRH GAIVTAVSAALAAAVVALAVGAWAIDRERAVAVAERDNARREHDRAEREFARARRAVE DSFTRVSQDVLLDVPGLQPLRRDLLASARGFYEEFARDRGDDPGLRDELARTHARLAE IARALGRGDEVVAEYEAAVRIRESLARERERGGDGGRPERLALAVDLDHLGVALREGR ADLPRARALAERSIAMLEALGDGDGGDRALVRDALAGACANLGTLSQLEGRAGEGLPL MLRGVAMREGLVREAPADPALRLGLARMLTNLSLLYQQVGPASRAREVMERAVGILDG LHRDRPEAARYALLLSTALDDLGRMHYYSGEIEPARRCLGRARDLVAGLVQGSPRAVE YRASQGAVDNMLANLERRGGRPEAAARHAAAARELFEGLLREHPGDTDYRESLSQAWN VTGRLAARPGAPHRREALAAFDHAAALVDDPPGQFPTHHYNAACNLALGLRLVAADPA HPTPAERLEIESRAARAVASLRRAVAAGFTNVDAYLRDDDLDPLRPRADFRDLIARLL TPGFPANPFVP OJF2_RS01715 MRPPGVFGLAILVLLLAPGALAADAPLADAAERGDRAAVRALLD RREDPDRSQADGMTALHWAARRDDPEMARLLVLAGADAKAANRYGVTPLSLACENGDE AIVALLLDAGADPNAPLRGGETPLMTAARAGRPGPVKALLARGAGVDAKERRGQTALM WAAAEGHEEVVQILLAAKADFRTPLASGFTPLAFAAREGRIGAALALIKAGADVNEPM QPREKGGERSPAKGTTPLILAVENGHFELAAMLLDAGADPNDQRSGFTPLHMLTWVRK PPRGDDAAGDPPPIGSGKLTSLQLVEVLARHGADVNARLKRGASGKGVLGRAGATPFL LACMTADVPYMKELLRLGADPRMPNARGSTPLMAAAGLGCLAPDEEAGTEDEALEAVE LLLSLGNDVNAVDLDGETAMHGAAYKNFPRVVSFLAAHGAKPEIWNRPDAHDWTPLSI AEGHRPGNFKPSPETLDALRRVLPPGPPARADTPAAAGR OJF2_RS01720 MIVTKKALPRRTFLRGLGAAVSLPLLDAMVPAMTAAAETPASPA KLRRLGFVYMPMGCDVARWTPLGETLDELSPTLASLKPVRRHVAAITNLELRNAYPGT HATSNCAFLSAARAKHTESTDYYLGTTVDQVAAKAIGGETPLPSLEMAMDLLSVVGQC DNGYACVYQNNLSWSSPTTPLPAEAHPRIVFERLFGEGGSAADRRAALRRRASLLDAV TDDLARLRGRLGPADRDRVGRYLDTVREVERRIRRAELAAKDGALPDLDRPAGVPASY AEHARLMFDLQALALQGDVTRVITFQLARETSGRTYPEIGVPDSHHPLTHHGNDPAKV ARMAKINAFHVSLFAGFLEKLAATPEGDGSLLDHSLYLYGSGMGNPNVHDHTNLPILV AGGAAGRMRGNRHIRFEEPVPLANLHLTLLDKVGVRLDAFADSRGKIDGLFEPISI OJF2_RS01725 MTRRGSRRLLAVPVLLAAGALAAPSPATARPDEPPADGRGEVGR FVGLFCVECHTREDQKPAGGLALDELDARDPARDAKDWEKVVRKLATRQMPPPSSIRP SERSFEKALAALVGALDREAEAHPRPGRADTFRRLNRTEYQNAVRDLLALEVDAAALL PPDESSRGFDNITVGDLSPTLLDRYIAAAQRIGRLAVGTAGRSPDVQTFRVRADITQE EHVEGLPPGTRGGAVFACTLPRDGEYEVQLRLARDRNEHVEGLRRAHEVEVLLDRERK AIFTVKAPRSEGEHMTADAHLKARFHATAGPHTLGVTFIKESSSLMETKRQPYQAHFN THRHPRQAPALFQATLAGPYVSSGHGDTPSRRRVFVREPAGPGDEEPCARAILAALMR RAYRRPVVEDDFRKPMELFRSGRAEGGFDAGIEMALAGVLVSPEFLFRIEPDPPGVPA GSAYRVPDVELASRLSFFLWSSIPDDELLGLAEAGKLADPEAVAAQARRMLADPRSSS LVTNFAAQWLHLRNLDAITPDLRLFPDFDDNLRQAFRRETELLFEDVLREDRSVLDLI RPGRTYLNERLARHYGIPNVLGSRFRPVDLAGAGSQDGADSGTTRGGLLRQGSVLTVT SYATRTSPVIRGKWVLENLLGTPPPPQPANVPALKDRTVSASLSVRDRLAEHRANVAC AGCHTLMDPPGFSLENYDAVGRWRSAEDGRPIDATGGLPDGRSFEGVAGLERALMARP EVFATALTEKLLTFALGRGVEPSDAPAVRKIVREARAGGYRLSSLIVGIATSTPFRMR SAR OJF2_RS01730 MRRSLIGLVGLGVLAAAGWWGVPYLLWRAEAPIKVGLLHSRTGP LEISERSMIEAELLAIEDINAEGGIAGRRVLAVVADGRSDPSVFAQEARRLIEADRVS VIVGCWSGLSRRKVRDVVQASGHLLIFPSNYEGMDTAPGVVCTGPIPNQQVIPAVNWC SEKLRARKFFLAGSQDVQSYSSNALIKDQLKAIGAEGVGEKYVGLDGSGMAEMVAAIK AAAPDVVLSTVVGDGNKPFYQQLAAAGLTPSKVPVLSFTIGEEELRSLPAKDMVGDYA AWSYFQSLDTEENRRFVERFRAKYGEDRVTSDGIVAAYNAIRLWALAVDEAGTDATAE VRKSIQRESRFGPEGIVSIDPATLHTFRPFRMGKVRADGQFDVVWSLEKPVRPVPFPM LRTRAQWTEFVDRLYTTWGTKEFNPQALGDPASGPPSAPPAVARRPGPGPRPLAPAAA ARAAADAASTAGTRRNGTYQR OJF2_RS01735 MNWNWLSLDRLSIKFRLMLWFLAISLIPCLILTLINNYLSVRSL ERSVRSQLLSVAASKITQLDNFIRERRGDIAVISQAPRTVQVTEELSTALARGTLAEP ARREKEALFRQSAGHYMEAYGYANLYLFDAESRLLFRAKADLDVGDRLLAGPLKDTEL AEAFTRSKSLFQSVVSDYQTYPGLSEPAVFVAQPVLKEGSIVGVIILQLGNAELYRIF SDYSGLGETGETVAVSLRGDEVVFVNPTRKDPAAAFRRKARLGEERSPAVQRAVRGDR GYGQTVDYMGTPILSAWAYVPAFRWGLQVKQDRDEAYATIYNQRLASTLLLALTTAIV AWVAWRIARSITGPVREAALIADRVAEGDLTAVCADLKAGGEAGVLLQAIRKMTTDLR SLIGRIQKSSVALMSTATEIAATSKQQEQTVYDYGASTNEAAAAVNEISATSRDLLQT MNEVNQLAREASQMASKGQQSLGGMDRTMRQLAESTSSIGSKLSVISERAANINLVVT TITKVADQTNLLSINAAIEAEKAGEYGLGFLVVAREIRRLADQTAVATLDIERMVKEM QYSVSAGVMEMDKFSEQVRNVVSEVQNIGGQLGQIIGSVQGLDERFDAVTEGMRVQSQ GAEQIREAMVRLSEGAQQTSVSLREFNKATDHLREAVGGLKEEVSRFTVGQSAEVTPG PPAGGGTGGGGSFLSRA OJF2_RS01740 MLLLTFRAAGSRYAVDVSRIVEVVPRVELRALPHAPGFLLGVFD FRGRVVPVVDLGLLLGGPGSSDRLSTRVVLVDARPPGSEPAGAPGAGPEPGHDDAPEA DGSDALTVLRRRAGRRSWLLGLLAEHVIDVAAVKPSQTISARMQLPQSPYLGPIVEVD QEMVQLVAAEHVLGPALRDAFFAGEGAGGGGPGA OJF2_RS01745 MTPPAAMGRIEALLAARIGLDTATVGANLVSRAVRQRMAERGVA DADRYVELLERSEEEAQALVEVVVIPESWFFRDDAPFRLFREHARAGWVAQPGRAPLR AMSIPCAGGEEPYSLAIAMAEVGLPPERHRLDAVDVSLRRLDAAREGVYSANAFRGGD LAYRDRYFRRHPRGFEIDPALRGRVRFLGGSILDPALLKHEPPYDVIFCRNLLIYLGD AARAAAMATLDRLLAADGLLVIGHADRLGLAGRGPLFAPVGEPRAFAYRRALSLPAPS RAMPRLPSPPPTSRRPPPKASPHPTVVGGESRNPDLPLTKVELDGVPRPDTRARWIGP SSPPGPPTAPPAPPSPPAAEEVDSLLARATELANANRADEAIAACNEYLKGPRRSAAV YAMLGVLYQSIGNRRGAEDSFKKAAYLDPDHDEAHLALALIAERRGEKAEAAAHRRRA ERARLKKGGA OJF2_RS01750 MPDRDDDRDQPGAPSPSPPAPSPAPRIVPLPGADCWNVIGTGGD KSCPELEPHVHCRNCPVYASAARRFFDRPAPEGYLAEWTRWLGGSASAEGGGGQGGVE AEDDAAFTAGERDRLSVLIFRLGPEWLAFRTTAVAEVTTPRPVHRIPHRSDDILMGLV NLRGQLQLCVSLHGLLGVSTPEDPAASRSRLVVLRDRARSEAWVFAADEVLGVHRLAK AQVLGVSSSLANPEVSFSQAILSWQGRSVSFLDEQRVFAALGSLGE OJF2_RS01755 MSDDLSGFSMMDLFRMEAEERLAVLSEGLVALEGGGASAATIEP LMRAAHSLKGAARIVNMDAAVRVAHAMEDCLVAAQKGAITLSPPDIDVLLRGVDFLTQ ISKVAEPEIEAWQAARAGDVEALAAELSKIEQGMTAEPSPPPARPAPAPEAPPAPPPA PPPAESPAPPPPAEPPARPPVVEAAPAAKSAPAPTPPAPAPSPSPAPAAAAAEPADRV VRVTAESLTRLMGLAGESLVQTRRIRPFVDSLLDLRGRQSRLLETLQRLEDRLSGVGD ALPAADRELLATARGQAARCLEGLGTTVETIEEFARGSEDLSGRLHHEVLASRMRPFA DGTRGFARLVRDVAKQLGKRAKLEVVGETTGVDRDILDGLEAPLNHLIRNALDHGLEM PDARRAAGKDPAGTIRLEARHRAGMLQILVADDGRGIDLDRLRAKVVERGLTTASVAA RLSDAELLDFLFLPGFSTKEAVTELSGRGVGLDVVQSMVQAVRGSVRVSTQLGAGTRF ILQLPLTVSVIRALLVEIAGEPYAFPLNRIDRILMLDRGEVRELEGKPHTLIDGQPVG LIEAAQVLELPATPREKGSLPVVVASDRSHRFAVVVDKFLGERDLRVAPLDPRLGKVP NLNSSSVLEDGWPVLIIDVEDLVRSIDNLLTGRRIKRLSVEAAPAAEARGPKRVLVVD DSITVRELERQLLENRGYDVDVAVDGVDGWNAVRSHRYDLVVSDIDMPRMDGIQFVSH IKEDARLRAIPVIIVSYKDREEDRIRGLDVGANVYLTKSSFHDQTFLNTVVDLIGEAE G OJF2_RS01760 MPGTIPIDREWVAAELVKSLDVEKSLAASAEGRAESPPEEGLSV LYHEIAEADERHARAIETVATRYGHTPGRAPSGKVGKVWDQIKDKVGKLAAGPLDQLA ADLDGKAHAIHRLVAWSKALAALGDADGAREISAVLAEEQAHRDALQDAFDRLLLRRC TEVEEPAKEEAPKAEPAIEAIPAPAPTPAPAT OJF2_RS01765 MAEPEASRYADPFKLTIILFSSIAFMYFAGEVLKPLALSILFSF ALTPGVRLLEKAGVPRAASVVFMVLLSLGLLGGVGYVVGQQLTALAADLPKYRENIEA KLSGIGVYREPGQESTAEKLERMANEVTARMEAPAATDERGLRPIQRVEVISHPSFQE RLSSAVGPYLEFLGVGSFVLILVLFMLIGREELSDRIVALFGHYHVTLTTRTMQEIGQ RISKYLGMFSLMNAGFGLVVGLGLWAIGVPYAVLWGSLAALLRFIPYVGPAAAFVMPV VFSFAYFPGWKQALEVVGLFLVAETALNSFLEPIIYGKTTGVSALGLLVAAMFWTWLW GTMGLLLSTPLTVCLAVIGKYVPALSFFSTMLGEEAELEPDVRFYQRLVALDRERAIG VVEEALKRRARAEVFDEVLIPALARAERDAAREELSDTEREFIWSVVGEVLDSLEGRP DYSLSARGIVANGADGEESPSVVGLAVENTSDALALRMLGQLLKAGGCRLDILACTDS SLQVAERVANESPRLVVASVLPKEGLALGRYLVRQLRARFPELPIVLGRWGHAEETGN GPGPSRERLAELGATKVVDTLADARERILGMVKPELKEKAAAAPLPA OJF2_RS41075 MDRTTHQSPGTDSPTVARADAGTTEVGETVVFAAEGAGPGGAAE GPSLGRYENLGEIARGGMGIVYRVRDGAFDRELALKVVLSRDPGPVMRSRFVEEARVT GRLQHPGIPPVHEIGELDDGRPYYTMKLIDGRTLEDLLAARPDPRHDPAHFLSIFEAI CQTLGYAHARGVVHRDMKPSNIMVGAFGEVQVMDWGLAKVLARPGHPPGPSDASAGYT EGAGKGDGDDAAGGRSLAGDVLGTPAYMPPEQALGQVDRVDERSDVFALGAILCEILT GAPPYVDRPGYFAHRQAARADLGAAMSRLAGCGAEDELVDLARRCLAADPSARPRDAE AVAEAVASHQESMQDRLRAAELARVEAVARAEHERKRRRLAVALLSTVAAMIGLGGAG LTYLVHLSHQGAVHQAEVARSAEDLLARAEALGRRAEAAAGDDEAAWADAVASARGAR AMLADEPGLEGLRRSAVAAVAEIERRREGCRSLVPGCLAASNRYRADGPVPPQAVDHD DPGPGTPPDYSGVSILRRSIFFDLSGWRRLPPGVDPAAAGRVEPTNYTQVLDLVRKQN VTADNRRLIFPFRTEGYEVDLRCANLPYTVRGPAAREPLGGGTRPVLRRDLVIDISGC QPGKESRVVIQATIWNGFQPDADGRTWAGMLAADDLSEAEIAVKFADGRKPKAPPTLY VFQRGSDRKEAPHITQDFRNPLDRDWWLWRPRDILKDSVYQVAWSWPGPKDGAAAMPR TPDLARSDRAVVRR OJF2_RS01775 MRVIWGYFELYPEDARALDAGEALLGLSHRYFTSVDPTLVVGRL VVKRSELPSWVCVDCSPQWSDLSRLASLELRASSSTIEALREGLQEEDLTRLAMIRGE QERLEMEHLPKYERLLRELVPPESQHRLDA OJF2_RS01780 MMRTRLVLASLAVAGLVVPASRGDEPAHGSKAGQPAPGSKPAPA PRKADSDAPLPEGWPDATKPGAIEVKAYPAYRSAVARAKDASAGAGNTLFWPLFNHIS RREIAMTAPVVSTYRTPGMAESPGSTGEMTMEFLYRKPTQGEPGAGVGAVKVEDHPSA TYACLGYQGRSDPERLRDELATLRRWLADHKAEWVEAGPPRQLGYHGPMTPEKERLWE VQIPVKPATAKPSR OJF2_RS01785 MDPIFFATPADFRAWLAEHHAEADFLWVGFHKKGTGRPSLTWPE SVDEALCYGWIDGVRKSLGAESYMIRFSPRKASSVWSNVNVQRVQALTELGRMQPAGL AAFRARKEDRSGIYSFEQADVELPEAYQDIFRGDAAAWEFFERQPASYRKVAVWWVIS AKKDDTRRRRLDALIAHSARAERLPQFTSRKSSG OJF2_RS01790 MSWLSSVQAVSPAEVLAIVMLLGLVAYALLGGADYGAGVWDLLA RGPRAEAQREVIAHAIGPVWEANHVWLIIVVTLLFSAFPLAFSAIMTTLHVPLSLMLI GVVLRGSAFTFRSYDNTEVGKHRWNRLFSIPSVVTPVLLGIVVGAIATGEPGRAAADA LESGRAVPLFATWLRPFPIVVGVFTLNIFAFLAAVYLTLETDDRGLREDFRRRALAAA VALGAVAWVVYLLARAEAPIVFRGLDASPWGTPVRMATGGFAVATIAALWSRHYHVAR VSAMVQVALILWGCALAEYPYILPPNLTIAEAASPPIVQKVLLVALSAGSVVLLPSIL YMFRVFKGHTFYLARVRASREAEERGG OJF2_RS01795 MSNLLAARWQMAVSLGFHILFAVVGIALPFLMVVAEALWMRTKD PLYRVLAQRWARGAAILFAVGAVSGTVLSFELGLLWPHFMKFAGPIVGVGFALEGFAF FTEAIFLGIYIYGWDRIPAFAHWLAGAIVAASGALSGILVVSVNAWMNTPAGFVIGAG GTSAPAESIHPLATFLAPAMLAETLHMTLAAYAATGLLVAGIHAALLGRDRSNLFHRR AMAISLVVGGAAALLQPASGHYAAHVVATTQKPKLAAMEGQFRTQTWAPLRIGGLPDP EAGETRYALEIPGGLSLLSYNDPRAEVVGLEDFPADVRPPVRVVHLAFQVMVACGMAM AALAAWAGVSAWRARALPDGPWFLRAAIAAAPLGMIAIEAGWTVTEVGRQPWIIQGVM RTADAVTPVAGLWVSLVSYTALYVALGVVVAFLLGLQFRASPLASEIASIEGAAASGA ESNREGVRP OJF2_RS01800 MIAASAVVCLGLSLASAAPLKAGDHFLELTAGGRPRSYLVHVPP GYDASRPTPLVLVFHSAMMNAPMMARYCGLNRKADEAGFLVAYGNGTGTTPLLLYWDA GGVRGQASDDVGYTAALLDDLATRANVDPRRVYAAGMSNGAMMCYRLAAELSGRIAAI ATVSGTMAIDECRPKRPVPVIHFHGTRDSIVPTAGADAKLIGPTRFLSLEDTVAAWLR ANGCPPVPAESSLLPDAVPADGTRVRRRAFGPGRDGSEVVLYLIENGGHTWPGADVRN KFLGLTTRDISANDLIWEFFQKHPMK OJF2_RS01805 MPNYRRFRVPGATVFFTLVTHERRGFLADELARRCLREAIREVQ KRHPFEVFAIVLLPDHVHAIWILPPGESDFSARWRYVKGQFSRSYLADGGEEGTLSPS RVKRNERGVWQRRFFEHTILDERDLEAHADYVHYNPVKHGCVARPADWPYSSFHRWVD QGHYDPEWGRTEAGPLAFPGIDATEYECE OJF2_RS01810 MEYSTWSRRVADLAGAASRLEARATPLGIASPAASAWHANLFQK LRPQLTEAPYLVVAVAGGTNIGKSTVFNHLAGFPASRVHPDATQTKHPVCLLPRGFAA GHDLAKVFPSFELAAWSSEDDAIADGPADRLIYREDPSGQQPANLVILDTPDVDGAMP VNWDRARLIAHASDVLVAVLTQQKFNDAAVRRFFREAAEADKTILAVFNMVEWPEDRE HCGRWLQTFCKGTGASPAYVYAVPRDRAAVRENRLAFHGLTEGSTDPRKDLAELRFAE IKIRSVRGALRQMLDAREGLPDYLRTIHARAEENREARQIIHDTVRVKLEAPVLPGHI VTGEIWRWLEPRRTAFDRAVHRFYGKIGGAVMKLVPGRRDPAQQEADYVQAEQALLTR ALEEIYAKLDLVQRAARPVLRDELAPVLSGEERRRAFDELRERLAATPLLTDAYRAAI AGDLERFEAEHPQMMRAIEWGLIATAVIRPAISIGMFGGAEILTHAALHVGSHSVVQV AIDVAAGAAGAAGGEGAIAGLSAPARKLIADLFAEFYKERAELLARVIHDCVLGRHLE RIDKLAELAEGDDYRVAFRTAADLSRELAALDADGMGTGGDDRANGHMTAKDPKNAGK ILEMH OJF2_RS01815 MPENTNPTAPDWEKEHLLAGFDRLHARLVAWARNAPAWPPFEAA AGLVARLEPRLKAPEIDLDRALVVGFLGGSGTGKSTLFNALLGRPVSRAGKEYRPMTR RAVVACHPSVDPGFLGLDAIDMEIHRLNIPMLQQMILVDCPDPDTQDPEDGAGGVKHL DILRTVLPHCDVLVHTVTSQKYKSHVVGQELVKNAPGRQILYVQTHARIDEDNRRDLR AYLDGLGLDVPEVFRVDAAEALSHQERGEPVDAEFARLRDLLEHELASRARHRIRRAN LLGLYDWLLATIRGPIDAGLAAVGRLESSMDADRAALLAKVRTRMTERVDANRRLWRS RVLRALTQSWGSGPLAGLLGLWSAGGALVRSLILLRARTPVQALMAGGFALSQLAGEK WRERQAAGAWAAEADLGLTEADVARVRSILRGHLADAGIEPPGEGKGGAVASPAASPR DLSAQQLAEVALAAYQKLDAEAGAIVERRVATRASRPVHALFELAFCLLPAYLAFHMA RNFFYEHLWNKAPLLGFDFLFQAAMWCLIWGVLLGFLLLYLLNQGLARELKEAVVRLS PADLFEPLYADSAAACASIRGHAAGLDSIARDLGQLRAAVGGAGVLDLGLGGLRARAA EGTKAAGVGAPLPPPAVVPEAVVPAPPSHERVHARREITA OJF2_RS01820 MGSQQSDTPGGGLHPARMPTGDGRRKGVGGANPARLAILIASVA AAGTSAMAADVAPMSESLRKEGIRWPGEGGKRLKVSLGVYLIDFARINLREESFDMAG YLDVSWTDPGLALKEGERRGQPRRFRPGQVWTPALEFVNAVEQVLAEREGDVYVDDQG HATQRVRFSHKFQSQLDLRRFPFDRQTLTVVVAPFDPFAKDLDLQVDGERVGKLSDAS VTDWEVGQVAARVEQSPREDRGNERLLFEVNIARRSTFYVWRVLLPMTLLVISTWLVF WFDVTNLQPQVSTGLAILLSLVTFTYAVDFSLPKVAYLTFIDRYTLTAFSFVLAVIFA VSAIHVILKRRGPEAAQRIQDRARYAFPLAFLAAIVLVAALSLR OJF2_RS01825 MRRNFTPVRAAIGLVALAWIPAIAPTPARAGVTPATLATWPIVV PTKASPAERHAAEEFREFASKVAGAKSPMEIISTDAPPAHAILLGKAASLKTDDLGEE GYRIRVDDGRVEIAGGGPRGTLYGVYAFLEDDLGVRFLWHDATFVPADRATRAIAAGE RAFRPRFAWRYSYFGVINAHPAFAARMRNNATTSAPELGGNSPWTLISHSVPEWVPVA TLGKEHPEYFSLVDGKRRAFMKEDNAEDGGTQPCFSNPEVKRRIIDGVLAKIKREGKA SGNVSISQNDNTQYCRCDACRAIDEREDSHMGALLTLLNEAADAVAKEHPGVFVGTLA YQFSRKPPKHLRPRPNVAIQLCSIEACQLHPLDDPECPLNVAFCKDLEGWCRITPNVY VWNYNTNFASYNSPCPNLDVIGPNVKYLAAHGVKGVFMQAPGNAQNTELCELRNDLIS RMLWDPSHDDRRIRETFIDAFYGRAAGKVKEYLALIQDAARKSGVHQGCFGPAASYGI TADVARKGLAILQQAMADAENPTIRDRVEKMTISPRTVLLDDLARWIQHHGGATTQPP ADLIGRTRDDFRELLRLYDRHGVDRFSEGISTDQIRGIH OJF2_RS01830 MSRRVWLVGAAVGGLAVASGAWAQDKAKYGYKDTPMLPGGKWHV HDGDRPLPPVITPGTASTQETPGTAPSDAVVLFDGKDLSHWRGERGGDAKWDVRDGAL VIHPGAGAILSRDEFGDCQLHLEFASPVPPKGSDQGRGNSGVMLFGRYEIQVLDCYDN KTYADGHAAAIYGQHPPLVNASRRPGEWQTYDILFTAPRFKEDGSVLTPAYATVLHNG VAVQNHAELLGPMAFRALPHYRKHGPAGPILLQDHGNPVKFRNIWVRPLKDHDSN OJF2_RS01835 MIAAWILCTCCVASGPDTQPPARPHQAESEREVSQALQSETRGL DAERLAHLARAIALDPGNALARGLMGLVEYQGRWKRPEAVGASLQEDPAQAERLREYL ARRAKTSTKAGAQIHLAEWCEQNGLKDQAQAHYRAALRQDPSKELAWRRLGYRKQGGR WARPEDLAAEKAEAEAQRKADKEWRPRLEKIRAGLLGKDPIRREKAEAELAAIRDPRA VPTILATLAFGGERLQLAALRALGQVEGPSASTELADLAILSESAAVRQAASSMLLTR DPRDVVGRLIGMIRRPFKYKVIPDNGPGTTAQLLVDGERFDVQKLYVFPTLDVRLSPV PITMEATQDARGNVLGPDRHTLLQALAKFETESRLAAFQNALAFEAARAETLRRSVVV QRSLDDDIHAIEEANVRIEQIDARTLPILRSFTSQDFGADPGAWRRWWTDQLGYASPD STPAKPIYSDSAGVPDVPVALLTPTLAPLMHHSCFAAGTPVRTIDGSRPIEAIAVGDM VLSQDTATGTISSRPVTAVHHNAPAATLRLRLGGEEIVATGIHRFWKAGAGWIMAREL KPGDRVRQIGTSAAVESITPDAVQPVYNLEVEGNADFFVGGRGALVHDNSFVYPVETP FDALSGGQAADSH OJF2_RS01840 MAASIVLSLYCVALAADNPAPARPRQAESEAQVKMALDSESKGL DAERLAHLARAIALDPGNALAKGLMGLVEYQGHWKRPEAVGDTLREDAARAARVRDYL SKRAATPMRADAQVKLAEWCEQNGLKEQAQAHYLAAVRLDPSRDAAWRRLGYRKQGGR WMKPEEAAAAKAEAEAQRKADREWRPRLDRIRDGLLARDPARREKAEKDLATIHDPRA VPMILAVLAAGNERLQLAAVRALGQIEGAPASAVLADLAVFSPYPAVRDAAAQGVMLR DPREVIGRLVTLVRRPFRYKLAKGDGPGSVAQLVVDGQEFNIERIYRFPTLVELPPQY YAAELEVPYVIDDLPANASAEERKGAEGRIRDGMVAAEVHNRITAYQAALALYWNEVN RQAARAETLRRNAAVQQSLEMDVQALEVLNARIEEVDARAVPLLRTLSGRDLGNDPDA WGRWWVNELGYASPSSPPSRPTYTDVVDTGDVSLAQQVPVLTAAATGIHTRHSCFAGG TPVRTMDGPRAIESVAVGDLVLAQDTATGVISFRPVTAVHHNEPAATLHLRIGGEEIV ATGIHRFWKAGRGWTMARDLKPGDRVRMLGTSAAVESVTPGPVQPVYNLEVEGSRDFF VGEHGALVHDNSIVRPVEAPFDGLAAAR OJF2_RS01845 MGPQTEEQGGGPRGVVGRGMRAAILGLVVNGVLVVVKLMAGILG HSYALVADAIESSTDLFSSLIVWAGLRVTERPADESYPYGYGKAETLAAAVVSLMLFG AAAGIAAAAVHEIATPHHVPAPFTLAVLAAVVVIKEALSRSVLRVGEETGSTAVKADA WHHRSDALTSAAAFVGIAVALWGGEGWESADDWAALVAAGIIAINGGLLLRGAARELM DRMPETPVVDEIGSAARGVAGVLDVETLIVRKVGTAYYVDLHAQADPALPLREAHILS GKVKGAIRAAVPAVAGVLVHMEPFEPDAEPAPPAAARVGLS OJF2_RS01850 MIDDEPMHETTSRPFGPADRLPDEVRALRDELAGERRRRAAAES RAGDLEAELGRRKAELWRRVDPDGSPYVPDTRPDGQARVPVQEQARRPRCNEPCCQLC GDCT OJF2_RS01855 MRRWLPLALFLSAYLAPMGEVGPAYTPLVRTALAAFAAAMGLYL FSIPPPAQALVSSGDAASGKGISPEISPRRPMLQWLHAQRHSALFGTSTGLSGFTLGE FIRKVDWFSFLGFAGSAIATVTNWWLSRRTELSRQLNELRREERQAVRDLAQALRDNE LNELLRAIKKHQSESRQEAEDAAFTEPPKSPPEPPSPGPSLCAP OJF2_RS01860 MRNGRIAASLFLVFAAAHGGALRADPPTDAHDDPFAGKSVLYRA AATGKAGTFLSAVWVAGLADALRGGEACTIFVPTDEAFARLPEGTLKALLRPEGEKKL AELLKYHVIRGKVLAREITGDPRPRTLAGPPLTIAANAHGIAVNEATVLQADLEGRNG VVHLIDRVLMPPKDGVLAVAEKAGGFRVLLKAVEAAGLSDALAGDGPFTILAPTDEAF AKLGRSTTSELLKDKKKLARVLSYHVIPGKLTARELVARGAAPTLLKSDVRADVKAGR VVVNKSNVIASDLEASNGIVHAIDAVLIPVER OJF2_RS01865 MARTLLQRIAGGDQSAVPECLARYGGLVWSLAIRSCGGDRAEAE DATQEIFLDLWTHCGRFDPGRGGEETFVALIARRRLTDRMRRASRRPTAQELPADLAG PWRPDEVEVRDEVGRVMRALAGMPDDKRRVLILAIHLGMTHEEIARETGMPLGTVKTH ARRGLAALRTLLAAEEGEPSRAGEPSKGGGS OJF2_RS01870 MIRDPDGPLDPAPADDGPPGDSFADGLEYAEAALAVGLARLAGI EPLPEELAARIAAAAGVPSAAGPTAPADRPARDAMAGRPSGTATRAWKLAAGVGWLAA ACLAFLPSRPVDRKPPPAPPDELMAAMGRDAMVAMTATDHPLAGSASGELAWSGERSA GFMRIKGLPPVDPARGVYQLWIFDRRRDERYPVDGGIFSVRDEGVTVVVPFHAALPVQ QPTLFAVTLEPPGGVVVSDRKRILLTASWNR OJF2_RS01875 MSRWKLSRGQRDRLHRQLRSTRDARIYRRTLAVLELDRGRSAAE IAAMLGVSRQSVHNWAAAFARDPDPSTLRDADRSGRPALWAERTASLLPSLMGRSPQA LGYPRPEWTIPLLRRQFEKELGLGPSDDTLRRSLRRLGYVWKRSRYVLAPGPGRPADP DEADRMRSPDGAGAAHRGGTGGVPDRRPGHPEGGSG OJF2_RS01880 MREAPRYGKEFYRDRAEASRRSGEIILSLLMEAIPGIRSAVDLG CGTGDWLSVLRDKGVETIQGYDGPWVLETDALAIPRECFRPIDLNQPGDLSDRRYDLA ISVEVGEHVQPANSPALVRSLTQLSDVVLFSAAVPGQGGTGHVNERWPSDWADLFDRE GYETIDVLRGMIWDDDRLCFWYRQNVLLFLNRETKAGLIESLGRRARPPLSVIHPDLY LLKNKNAIPSVLHADVSARDALKLFLRCTGNAFRRRLPASKS OJF2_RS01885 MSHMPRISVCMSVYNGERYLDEAIDSILAQTCGDFEFLIIDDGS TDGSRAILERYAARDVRIRLNSRPNTGLAVALNEMIAMARGEYIARMDADDFSLPERF EKQLAFLDENPEYDLVGSRVTIIDPNGSPLGVMGDCLTHEEIDAALIGAKGQMIYHPA VMMRKRAVEEAGGYRAEYKVAQDLDLFLRMAERGRLANLAEPLLRYREHLDKVGHTRT AEQNNVIIRALSDAHRRRGLGPFALPPDAPVHQPVSVAKRRRIWAWWALSSGYVATAR KHALASVARDPLGLESWRVLYCTLRGH OJF2_RS01890 MSHLTQTLTTLGRKLDRPRGPVGWLYTNNPFYVLSADLVFIGLR MSFDTSGRTIGTGALMIALLGYTLLLATTACLLIRVGRVWDDARTLLLLVVAMFLAIS VTFDEALAGNPRLGRACFLGGLLFAILVGEGLLRGIRLRLGPLYHAPYLLILCLFFLY PLALTPFLRDPEGPALRWLLFGFSPLASLAFLSLIPAIRRGRGYVAKNGSPWPYPLFP WTLFGLLAAAVCGRAAYLCTSFHFVEMSRSVGRSDSIFGPYFLVPFLLALDVLLVEAA IASRSRPMQRLAMAVLPGVLVLAMAGHRPDPVFRGFLDLFRKGLGGSPLYLSLVAVTG LYAHAASRRVPLGLAGLTSSLLALAVVGPDTYHLNGLVAPRPFPLLAVAILELGLAVR RRESWRSVVGSACLVATITIGLDRLGLGHHRGLAAFHLAVIAAIGIGACFRDRLGRFL QHLAAACLGLSCLVAIRGGPGLGDGVPEDLLRAYPLLVIVASALLGYGIRCPSFLAVA LVGLTGWLAGAGWRTYRDLRRIVVGLDWIASGLIFFALAAAISLLKTGLPAKWADGRR ADPLRTGAEAEAPGPTG OJF2_RS01895 MAGSEGSAGVRRSAWWQVPVSRAFERMAALWATPGVGRVALCSP LVGVIGGLGAVAFLLCLQAMYHYVLGGLLHYQMPPTLEGTRHAVSYPWPWWLVLLCPA VGGLISGILVFTWAPEAEGHGTDAMIRAFHRGGGVIRTRVPFIKSIASIITIGTGGSA GQEGPIAQIGSGFGSYLARVLRLHSDERRTLMLAGAAAGVGAIFRAPLGGALFAGEVL YSSTAFESTALLPCLASSIVAYSTFALFITPEPIFSLPPLSFQGLRDLPLYAGLTVVC AAFGWLYVRVFYGMRDRVFNPMPIPRQLKPAVGGLLLGLIALKFPQIMTGGYGWVQWG AIGMPPRLAMPGDGIFEPHMGPALLLALCVLKIVATGFTISSGGSGGVFGPSMLIGGM IGGFYGQLVAGLLPIAHINPAAFVLVGMGGFFAGVSKTPLTSIVMVSEMTGSYSLLVP LMLACGLNMALSRRWTIYEEQVASPIDSPAHQGDFVVDVLDRIRVSEVPVRTEGIDPI PAAMPFKELIQRVARSNQTLFPVLDGDGRLSGIFSLRDVRLALVGSDWGPLVLADDLA TRPVLAVTADDSLHTALRRMTELNVDEIPVVSPADPARLIGLLSRRQLTSAYTAMIES LRAPATAPAGREAGPG OJF2_RS01900 MLTSFTLWILAASAGLTGAEAPPAPPAWVAAVPADVDVVVHVRP MEATQAEVSAMLKAFSPTLAERAEPALAQGMAGFRQSFGEGSTKAPWVGLLKMGEGGE RSFAILVLDGDQQGVLASVNGGKAPELKEEGGLSSFERAADQKTWYTAKGQGYVAFGP DKELMAAVAKADAPASLDLTLVPELVKGDVGVYVNAGPLVKRYGDQIEGARGALMAAL DQAGQQAGNAANMEAAKDIYNAMFDALKDADAFVLNADASGKGLAIGGAITAKAGSTA AGAFAKLAAGAGEDLAKLPSDAAYFVYMNIDFSTVNRLLNSNLRMINGDKKAAPEQEA AMAKLASFGRVEALGSAKLAGGLSVLNVTKVTATDPAEYLAASQEVQKSFKGGGVGGL IKEVITEPVAEPFRGIRFAHVVTRIDLDKFAEQNSKNPAAAATMKAMFPGGEMSAWSG TDGKLVYQVTARSWDEARAALSAFLDGKSSIGEAASFKEVRAALPEAATVSALISAQG IVNLAATSIGAAANRPDLKAGELPAEPALLGFAVAPQAPRGVSFRIVVPAAVGPVFEK GLVPLFRALQPPANN OJF2_RS41395 MSNEPLLTQHKVTVLLIDDQPMIGEVVRRMLAGEPDVDFHYCRD ASKALEEAGRIGPTVILQDLVMPDIDGLTLVKNFRANEATKETPMIVLSTKEEPAVKA EAFALGANDYVVKLPDRLELLARIRYHSKGYIAQLQRNEAYQALMESQKRLADEVKQA ERYVLSLLPDKLKKGEIRTDWRFVPSAELGGDSFGYHWLDDDHFAFYLLDVSGHGVGA ALLSVSAMNALRSQALPNTDFRKPSQVLFALNNAFQMDQQNGLYFTIWYGVFHKPTRR VDYSGGGHPPALLIGGPSAAEAKLEVLDSKGPMIGVMTDMEYESGTTTLDRFATIYVF SDGAYELEKADKSMWPFSEFLDYMGRGPHEGLEGSKMDRLIAHDRQIMGRDDFADDLS IVELIF OJF2_RS01910 MRVGIVNDSVMACEVLRRVVASVPGQEVAWVARDGEEAVAMAKA DVPDIVLMDLFMPRMDGVEATRRIMAEAPCAILVVTATVSGHIQKVYQAMGYGALDAV DTPIIGPGGQVAEASPLLRKIDVIARLVGKAPARGEDSTVPAMAVRPVAAPADHPLIV LGSSTGGPFALAEILPLLPPGPEASVVIVQHVDAAFAPGLAQWLGEFAGRKVDLAVGG DAPAPGKILLSATGDHLVMQSDRRLYYSAEPRLLNYRPSVDVFFKSVARHWPRPGVAA LLTGMGRDGAEGLAGLRRLGWRTIAQDEETSVVWGMPRAAVELDGAEFVLPLPRIGPV ISNLIQVQSPGRAQTRPAPR OJF2_RS38930 MRRVVPHLDSAPIFGKPWIADERRIRIPTVGTVDLGPEMGELPF E OJF2_RS01915 MEWQDRISIRPDVCHGKPCIKGRRVMVSVILASLAEGDPPETAM ANYHLESADIQAAIAFAADMAEDYFLPLEQEAV OJF2_RS01920 MLDLFTGGREFTADDAARLRRVERKLDLIMEKLAIDYDEGDFPE PARSLAASGEKIAAIKAYRAATGAGLAEAKRAVEEFMGRRPNG OJF2_RS01925 MRRLIRPAVDYAADLVRSSARDWDAFWFTPADPSLLGLLRILTG LMLLYTHAAWAPVLVDFFGPAGWLSPELVHAIQQDQHAYSFWWLVPAGGTWPTYVASM AILALFTAGLWTRLTSVLSLAVVISFAHRAPEAMFGLDQINAMLTLYLAIGPSGDALS LDRLLAARRAGHASPPEPSAGANLALRLINVHMCIIYLFAGLSKLQGESWWTGEAMWR ALANMEYQTADMTWLAWHPWLVNLMTHTCVIWEVSFCMLVWRPRLRPLMLAGAVLLHA GIGICLGMWTFALIMLVGCASFLPRSAVRDLAAWLAPERPAFRPAALTLSLAPQAAGA RFAATEPREPALARDLAG OJF2_RS01930 MSHPDFDGDGAAGPPPSGGRTFRRSLRLAVSAWLVFHLAAIILA PAAVGPSSDVVHAAWGVCGPYLEALYLNHGYHFFAPEPEESTLVSYKAERPDGTTIRG RMPDPEVRPRLLYHRYFMLTEHMRDAPEELQDLWYRSYAEQIGRRHGARRVSLTRQTH LLPSAQRIREGGRLSDPESYEDHPLGDFRCDD OJF2_RS38940 MAKSRRSFRAVVSLSVLALSIGSPAFADGGSSGGSSGGGHKHHG GSSGGGFLKKHHGGGSSGGGLLPTGGFLHHGGGSSGGSSGGGGFLHHGGGSSGGGFLK KHHGGGSSGGSSGGMIPSGGSSGGSY OJF2_RS01940 MSLRLPRRLAVIGALALIGVAARGDSFRWRDYAGRPDSWFAGPE AARKAENILSHQSDRGSWPKNIDTSAAPYDGDRSKIRGTFDNGATTGELRFLARVDRA TGRPRHRGAFLKGLDHILAAQYPNGGWPQSYPPGEGYHRHITFNDGAMVHLMEFLREV ARDGEYDFVDAMRRRAAGRAFDAGIRCILDCQVIVDGVPTAWCAQHDEVTLMPAKGRA YEHPSLSGAESAGILALLMSLDDPSPRVRRAVHAGSRWFDRVRITGIRVERRDGDAAV VADPGAPPLWARFYEIGTDRPIFSGRDGVIRGKLAEIERERRAGYAWYGRWGEDEASR YRRWCARWDGAPRRD OJF2_RS38945 MTDANDPDRSPSAESQAMHGDADESGRPPEAPSSRRDGSGLAGF ARRILIPWQLLSAPLVCLAYLLVEPTYWSQSLVLVERDEVNPFGPGGDARATADAQPE FLRSQLVSLISDPVLEAAFVVDPGIAKLPMFKGIGDPVGALRRRLDVGIVPNTNFIRI GLESASPDESARVVNAVTRAYKLATQPDEHQVVPPEIVGVRKDTASAEVAALEEYKER EIDPRIRKKQEQLLALAGKGSVRLREPDTGAKDAGRGVPEWASDMDAEELYRRTRDDL MQVQFQLIELETKLEARQEDKAQAAIDGRDGQPDAQARELGQQIRAASLRRDRLKALL DRFEVRMADSHAGAVRAGRVDQPPGRRPADRPEVVATEIRGGQGLLEDPAHRHGQGPK GPDPKSSPGLHDARPRRGSGGPTGALDGLAFRLSPRTAVARAPGGPGTGSRGGAAARD RRASSLTRANRGASLNSGAKAWAAALRGTSGPGTDLSPTESDRWRTTRGGRKCPMTVA ASDGPAATSCGASGPGSSAPPCPAWASPARPGRGRARMRARPAARPLRRRPSRASIRA OJF2_RS01950 MPGLGLPGAAWAGSGKDESTPGRSAAAETAVARFYKSLTKPQRE AICFPFDHKLRSVVKNNWSIVKPAIRDMDKEQKALCREIMKGLTSEEGHERFMRQMKD DSPGGFEAYHVAVFGEPESEKPFEWVLTGRHDTLRVDGNSVAGAAFGGPIFYGHAADG HDTEDPKHTNNVWWPQAEAANMIFSTLDDKQRKQALMEDAEADDADTIRLKGGSLPST GLSIATLDGQQKQMTRKLIDTMLAIFREGDAEEVRQCLDASGGVDSLRLTFFKEDDLG DDGIWDIWKLEGPAFSWYFRGAPHVHAWLNVARRA OJF2_RS01955 MDRLSRRGAIGLGFMSGPASMAALAGAGDAAGPAAPEVSIAVPL YNDERAIAIGPGRRFHVVLANRSERPLRLWSDRCSDGEDNLRFELFEGRGVEVVRRSP RDWDKNFPMAVTLETGDQDVREVSFDPGEWGLPWPQSEIGTRRVRMRAVYEIRATQAA GEKGVWVGKVASRYADYTVRDYRR OJF2_RS01960 MLVHVIADYGEGDLAFAEVAQRLIALIPDARLVYTPVAPFATLA AGFCIGQLGLNAPPEGTVIYHNVAPREDDDAKREENAGERLAFARLKTGALVVGVNAG HVYSFVRDAAEELGWAAAADSGSQFRSRDIFPRAAADLLSGKGGKAAPLDRKDIPDVP AGKVAYTDGYGNLKLTLRREDVPGKEGQKVRVTIGKKEMDAILSDGSFGVDPGQMALA PGSSGWPLPGGGKVEWLELFLRSGNASEAFGRPESGADVRIAGRA OJF2_RS41080 MHERPRVAVIVETSVVYGRQIHRGIARYVRSHRPWSMFLDQREL GAGPPTWLRRHRWDGIISRPTDRKLAAMFRAMRVPVVDLNDLHDDLGLPRVHSDDAAI GRLAAGHLLERGFRHFAFCGFTGERWSDGRREGCLAALAEAGCACDVHESYWRGPLAR GWDREQDRIARWVAGLPRPLGIVACNDLRGHHVLDACARAGLAVPEEVAVIGVDDEEL LCEMCDPPLSSVVPGAERIGHEAAELLDRLMAGDEDDRRSPELDRRIEPLGVVTRQST DILAIPDADVAAALRLIRRRACEGLRVSDVLRRVPLSRSLLERRFRKFLGRSPQAEIR LVQVKRIKQLLAETDLPLAEVAALSGFEHVEYLSVVFKRLTGQSPGAYRRQVRS OJF2_RS01970 MNATLAGKTAIITGAGSGIGRATVLRFLEAGASVVAVDRRADLA ESLAADVPAGAGDRLAAVVGDVSTEQPAEEAARVALDRFGGPDILINNAGVSVVKPLH EHTSEEWDSVMDINVKSIYWAAKHVVPAMIAGGGGVILNTGSISGEVGIPGQGAYAAS KGAIHEMTRQMAVEYAHHKIRVNAVGCGTVDTPLVRWSAEKSGNPDAFWKMLREGHPI GRIAAPEEVADFYVFLASDKATFFTGAILMLDGGYTAR OJF2_RS01975 MKASWPLSLSCALTACLALDSAHAEDAAIVVRADKTIARVSRHM TGVCIEDVNHELYGGIYSQMVFGESFQEPPSTSIEGFHAYGKGWALRGDALRAPEGDG PKLVADRAPFAAGEARVQVRFAPDHGGLAGLILKVSRAGDGADNFVGYEVSLDPSRQV LLLGRHRNNWEPIREVPCPVPVDRWIDLAVAMTETGLKIRVDGKPVFEYEDREHPLRS GTVGIRSWQRETDFRNLAIETGGKAEALAFRMAHPDETAGVSGMWRGVRRGGATGTLA IESDRPFVGRQAQRIAFESGEGALGLENRGLNRRGMAFAANEPYEGILWARADAPARL YVAAEGSDGRSMAETIVAVEGSEWKRYDFALTPTASVDSGRLSITLKAPGSVVLGYVS LQPGPWGRCKDQPTRKDVAEGIIKAGVTVMRLGGSMINADTYRWKNMIGPRDRRQPHK GTWYPYSSNGWGIFEFLGFCGAAGILPIVDLNIDETPQDILDFLAYTNGPADGEWGRR RAEDGHPEPYGLTHLELGNEEAVDEAYWNKFRALAEAIWAADPKMTLIVGDFEYRNPI VDPLHFDGAPRITSLAAHKKILDLAKARGRQVWFDVHIWNDRPREARGRLHALATLDA ALAKLSPGADYRIAVFEENANNHTIRRAVAHGETVNALMRMGDRVPVVCAANALQPDG QNDNGWDQGFVFLDQSKVWLQPQAYVTEMISRNYLPQVVEAVATGAGDDLDVAATRSE DGGELVVQVTNVSDKAHPAAIRLAGFTPTGTAVDLEELTGPLDGRNSAADPTRIQVRR GEEAWRDGRGTAHTFPPFSFTTLRLRGKLSEDPSPAKAASVRPAQAPAPLTRVAIDDP FWSPRLALWRKVTLPDVLNKLEKDGALRNFEAVRDGKKAKHGGPPWEDGLLYETMRAA SDFLAISPDPELDARLDRIIALVAAAQAKGGDGYINTWTQLEVPDQRWGFHGGNDVWQ HDLYNAGGLIEAAVHHARATGKASLLEVALRLIDRMKADIGPAPKHPQIPGHALIEMA LVELHELLRGDPALARKVGMEGRADEPLKLAEMLIDLRGHHEGRVNFGAYDQDHEPVE RQSTAEGHAVRATLFYAGLTEAALAGGRPEYLGAADRIWANMDGRKTHVTGGVGAHAD QEKFGRDDELPNTAYLETCASVGAAAFHRAMLEAHADAKYADALERTLYNGTLGGVGF DGDSYFYVNPLRGGKDVRRWDWHDCPCCPPMFLKQMAMLPSEIYAADRSTLYVNQFIG NRGRLDGAGGPVEVDMISRYLQDGTVRLTIRPDSPRRFTLAVRVPSWSVPPAADALYR VEAKAGTGPRFALNGKPLEAPPIVHGYARIDRDWEAGSVLEVAFPMGPARIHANPRVK DLAGQVALARGPILYLFEGLDNGGSVGPITLPAEAAIREAARPDLPGGLPALEARVGD HIYTAIPFYARANRAPTDFTVWVPESGLR OJF2_RS01980 MGSGSAPSRARSWITPALVLAASLSPAPGACRASQGTAADVVVR ADQPGGEISPILNGVFFEDINFAADGGLYPERIKNGSFEFDDPMMGWHRPALVAGGAV GGFGVAGDRPLHPNNPHFLRARLEQAGEGLPLTNEGYRGIGVTKGAKFTFSVRARSAP AGAMALGVQLVGPDGTPIAAARRLEGFTGDWKAYSCVVESAATEPKARLTIVALGKGT LDLDMVSLFPQETFNHRPNGLRPDLAQLLKDLRPGFVRFPGGCIVEGRDLSQRYQWKT TIGDRAERKLIMNRWNVEFDPPRNARDYYESMGLGFFEYFQLCEDIGAKPLPILNCGM ACQFNLAELVPLEDLDPYIQDALDLIEFANGPATTIWGARRAAMGHPGPFGLTMIGIG NEQWGPQYFARFERFAKAIRAKHPEVLLVGGAGPGPSDGPGDDRFSTGWDAMRRLNAD LVDEHFYRPPDWFYSQVNRYDNYDRKGPKVFAGEFASHVRNKRPGFEANTWEAALSEA AVMTGLERNGDLVRLASYAPLLAHVDAWQWNPNLIWFDNLRSMGTPSYYVQQVFGTHR GTTILPVKLGPAAERLFACASADAPSGQVVLKIVNARPEPRDVRIRLEGVASVEPTGE LLTLASPDLNAVNTLDDPRRVALVAGAVTGLVPEFVRQVPGYSLTVLRIKAARKP OJF2_RS01985 MRPIADCPACGRRLPVVRKPSSWRQALWGGWTCPSCGAEVDAWG NKVGQAGAKVAGRPRSWTRDDSTAASHPADLRLDDAALRRFCPELYRPLNRLLEAVGL AYPRRLSIAEHLLHADARAAVVITTRPLRVAAYSDDFDRIAMLAFDEDPWLVEEHRLR PGSRLITVNGYRREGEPDADLFLAKDRAYPWTGFGPLIGDFLSADVDRLHALRAEIAE AEWRRAEQLGRLYLERFPGLARNGRPLECFVPATRNPSS OJF2_RS40325 MSFEHLPERQARLAQDLYEELRAASDADIRAMAELLATKPDDEL FGEAEFQLRDMVHRVGAKALQAAAMQRKKGGM OJF2_RS01995 MSLTELLPSIRGLSRPEKLRLIQVLAAELAAAESPTPAPLAEGE TYPVWSPYDAHEAAATLLNLLDEERPRP OJF2_RS39850 MARVATFPPTRLVFAWTRSDTVPVLLGQVNFFMEFDVCFFRSRS EFELRPRITASESS OJF2_RS02005 MAAHGTGNAAREIGRIFDGGSLAGLDDAEVLRRFARGDEAAFEA VLARLGPMVLATCRRALRDEQDAEDAFQATFLVLLRRAGSLRRPERLGPWLHGVACRV ASRIRAQAARRRQDEPRAARPEGLAGSPALGIESAELRSAIDEEISRLPERDRRAVVL CLLEGRSHEETARRLRCTVGSVRGRLDKARRTLKGRLIRRGVAPAAAATSLSAAGEAN AAVPAPLLGGTVAMLAKAATAEAVSAAIAPGILEASRGVVRGMIAARLTAAAAIVLGL GVLAMAAAPLVVAIEPTPPRQDGSSKASDRRNVTVTGRVVDDEGNPVAGAAVAAGTDF REKEPDAEAVTDAEGRFALKGLKAGPVVLTVQGKGHAPDLLATTAAPGMKPVEFELKA AHAIRGRIVDADDKPIAGAPIAADEWRGHHTLRWHARTDAEGRYRWDDAPADMVLIDL GSLGYSGKRYWQAAPDAPEKTIPMRRPFRVRGRVTDAETGRPILAYSLVPGYAGPGPG DHDWANDRVREVRGSSFELDLSTERPNPVVRVEARGYAPAVSRRLDDPSSEAVVDFPL RKRAWIGGEVRLPDGPPAADVRVLAFGRGFVSIVDGRPMMESGPYRIAATGPDGTFGL PPMEPPYTVLALHARGLAWHRQEAAGGAPSATTLKLQPWGRVEGRLRLGGRPAPGQLI RISRADAQDRPAGAWWGDGTQTDPDGRFAFDRVVPGDVRLSRQVEVEAGKGIYSEVTP ALVAAVRSGETTEVTLGGTGRPVVGKVQPPPGLARSGGWRHALGFVSPRPGAAAAPSR PGTGGAFPVEADGSFSIEGLAPGTYDVLLQVTPEPPDASRGPFGQVPLAMIRREVVIP DHPTGPDAPPLDLGTITPERVESQGAR OJF2_RS02010 MTVVRRWFLLWALMFWQGGFMFYGGVVVPVGAAVLGSDQEQGFI TRSVTNYLNLAGAVALALWGWELAAGRGETPGGRRFRWAIWAALVLLLGLLAWLHIRL DALLDPDAAIILDRPGFRAGHRRYLMAITAQWAGCLLLTALTILAWRDEDATRPFAGR SAGG OJF2_RS02015 MEQAVEWFVFVTSMVVGLSHAVRADDWVEVYARLHRAGRPGAFA NGALSLIIGAGVVSGHGGWSWPGAVLTAFGWLMILKGATCFLAPDRALRSMERAPSRA RFVAGGIALLAMAAWAGYCLWRGAA OJF2_RS02020 MDDAGFWRIVAEACGADPRRADEWDGLLQSRLEQLAPDEIVEWN RIFDRLAAWAYTVDLWGAAYLINGGASDDGFYYFRCWLIGMGKDVYEAAIQDPDSLAD AAVPGIDAEAEIYAAAHQAWMAVTGRPDTDPYPARIEKAELLGEEWDYDDDEEVRRRL PRLAALYLD OJF2_RS02025 MTPSGDADITDPGSLFDRFRERLRRTVRLRLDRRLVGVVDSSEV LQGARDEAVRREGEMGDAADRFLWFREVVVGVLARLERERFGDDVRRDVSLYRGSLPE ATTVSLAAHLMGIPDGDDDRAAERARHKLVLQDALNALEVGDREVLTLRHCEQLSNQE TAAALGLSAAQASEAYIRALKRISPVLASIKRLQG OJF2_RS02030 MATPEPTDDGRNPVEALAEEFLGRRRRGEEATPEDYARDYPELA DEILAVFPALLMMEDLGASSGGRTGPITSAGGVAPGATSGRLGEFRLIREVGRGGMGV VYEAEQESLGRRVALKVLPAGALADSKQVRRFEREARSAARLHHTHIVPVFGVGRHDG THFYVMQFIQGQGLDAVLGELKRLRAPGRGEGPGRPATRPTAEVDGRRRAAADIAQSL VTGRFDRAAGAGAGSAAGAPAATAAWSSIPGASPPAATAPPGPSASGISTLSGGASAV SETDRNYARGVARVGLQVAEALAYAHGQGILHRDIKPGNLLLDRDGNVWVADFGLAKA VGVDDLTHTGDIVGTVRYMAPERFRGEGDARADVYALGLTLYELLALRPAFDESDRAS LMRQVTQEDPPRLRRLNRAVPPDLETIIHKAMSRDPGARYRGAAEMADDLQRFLDGRP IRARRVSPSERLYRWARRNPALAASLGLAAVLLVGTTIGAVVGMARFRTIAREARDAA SAADSARAEAVAAGRLAESRRIEAEAQRRRAEASLAESQASLVLARKAVDDSFTKVSE STLLDVPGLRPLRRQLLESSLPFYEEFLRRHGGNDPTGLAELAATQYRVARVLSETGR GEQAEERFRRAIALYDQALAARPGDASLREAQAEAWHRYADHFFLGGRMERSGEPYAK AVALREQLSAQHPGEPRYRLGLSRSLNGVGISTRDRDTRYSAFRRSLELRLDLAREIP DDPDLLHGLCESFLNMGNFLADLGRREQALELARRAIDYGMAACALRPHDVEFAADLS GAFAAAESSSGVLGRAGDALRHATERVAFARRLVAENPEVPTYRTHLHDALNAQAHRL AQQNRPDGAREAREAAAAVHETAPSPAGINLARAAAARLHAAKLLAGDRAEGPPEAPW PEAARRQLDAAVEDLARAAALGYPVAGFGKTFPEVAPQARRIDLDAVAERAAALRKTA GAGAPTPSTPAGYESPLHQPGRFELDRLIAEVAADSYARPGAEAENLDRLRSLLARLD ARRAAAADSPGLDAAAASLRMRQGSLLWASADYAGAERAWRAVFDPIERLPRDDPRRA AALRALSSDALAVYSKYLDVGLWEIAARYHRLGREGRAALDPVLAQEGATLEMLAGDA AAARRIAADALDRFERDGGWDAIHDLNASMVDPRPPDPGRLLAYAQRLRGTQPDNEWS RIIPGLALIRAGHFDQVPEAVGRETSWEKGKVVVALAAARAGQVDRARRWLRAVDVRL EELCREGASAQGTIGRPVAYALDVLRADLLRREAYGVLKVPAPEIAPLRLLRGTSLWR LGRREEAEAEFATAASDAAADGRALADRARAFESLGLRDRAEADLEAAARLDPGDPHP WIARARLMEARGDRAEADAAYARAAERTGGRIDPFLEAGWWAAGPFPDDMDRSEPPEK EADPSRPASTSGGEARAWRPLPTAGDHGTYFTAFQGQASSVYALTYLYADRERTALLC CNGSARLRIWVNGRLVYSPEAPPAYRPGPERLVPIALGKGRNTLLLRVAEPAALAFVI IKGGDCPLNQGYLLAECGRWGEAADAIAGAVARGESRHPWPLAKAAHLLLAEGRREER AALLARLVDFEGMAPVEATEVGEALAAGPQDVVSTERVVELARRGVSEHPLETWRLLP LGIALYRDGRHREAIEAFDRHTPQGDPLDAAVRAMAHWKLGEAGRARECLARADREFE AWCADRADGKGSAAWTSWWYDGPLRYALRREAHDLIDGRAPDDRAALDGVRAAMAGLL EDRDSPTWAFELAYRLDPESGAYRQGYASRLLVAGRSAGAEPFLAAMVHGKEGDPDAW TLRGELLARAGRTDQAAEDFERAVALVPPDLAVYSSRSKALANLNRFPQVLDRLIERR PGDAGLWYARACHRLIRGDAPGAVGDFARGGPPPASTEFALAYAGALLMAGDEPGYRR YVAEQAGRFGGSSDAFAWFVLARMASLSARTPLPPERPLDWARRFFEKDPTPWSAHVL ALAAFRAGDLVETRRALAESRQRGWDAQHLNDLIEAAIDLRGGSSVAAARTFASVRPW MAGPPGYGPVVGRGSITDWVELRVLGPQVELPLLDRSFPTHPFAR OJF2_RS02035 MAVRRRLARVIIPGLCAGGACGAGVGLGLYPPAVGIAGSVAVAV LVGLAVRRLRISRPGS OJF2_RS02040 MANCLPATTRALGPRLLADLFRRHAADSWPAGPKRHRDDAITFA GRLARGELLPDVPPWLADAAAFESAALQARDPSRRLVITLLRYRPDALIPALLAGQPG DEVPRRPTLVAWIRWRAGGDARFVRASVPGLGRSLIPSWPPPVPDGVFGRRKRPSARS HP OJF2_RS02045 MNRERTRSPIEALPSLGVGLGFREPYRADVLLHREQVDFLEITA DHYMDAPAAKLRELELLAGHFTLIPHGLSLSLGSAEGLDPDYRDTLLGLVRSLDPPWW SEHVAFTRAGGIELGHLAPLPFSNGALDVLSANIAEVQALIDVPLILENITYDFRVPG AELDEADFLAELAGRTGCGLLLDVTNLYTNSMNHGGDPRAFIDRLPPDRIVQLHFVGG HDEGGGRLIDSHSAPTPSAVWDLMDYVLERAPVRGLILERDEDLPPFAELLGELDRAR AIAGRHGRWPCPSSSAS OJF2_RS02050 MQWLLHNPIADMRGPSFLAFYLAVLAVACLVVRIRSRLLDPTLG REPPIVPGKLDAHEIAFLRGDTPRLLAVSVFDLERRGFLKTHLPATTGGQLRIGRADE LPDCRSLPEFERELLEELKTPVEVPKLRTDRRLLRLAEAHGESLREPLEEDLLLMPAG CRAAAREFTLPAASCFALLGGYKLFLALDHGRHNVGLLVALGFFGVNAILMLGRPSRL TRMGKDYLARLKTTFDDWPAVATRPEAVKSPAAVMAVAVLGIPALVGTELENTWKPLM PRPETTGCGCAACGGAGGCSGGGGCGGGCGGCGGCGG OJF2_RS02055 MAELNVAIKHGQALEAARVNFERAILKAKADHSRWIHKVDWADD RKSAVLHGPSYAVTLSFDDQHVYAKGKIPLALKLFEGPVRRYVEHMLADMAAKPDGPA OJF2_RS02060 MIVVLMGVTGSGKTTVGRALAGQLGWTFCDADDYHPAANVEKMH RGIPLTDEDRKPWLERLSRVLDDATARGESLVLACSALKHVYQEYLRHHGDAVRYACL CGSPELIAARLSARHGHFMNPSLLASQLEILDPPDDAIRVDVTGTPGEIAREIRGKLG L OJF2_RS02065 MCGICGAAWGDPRDALDGDRLASMMDRIVHRGPDDAGTYRDGHA ALGFRRLSIIDLAGGHQPLSNEDGSVWVAFNGEIYNYQALRHRLEARGHTLRSSGDTE TLVHLYEDEGTGLFGLLRGMFAMAIWDAPRRTLILGRDRLGQKPLVYRHDGRRLVFAS ELKALLALPEADCPRAVDPRSLDEYLTYGYVPQPRTILRGVHKLPPGHFATWHDGVLK VEPYWQPDWNLERRRPAGEDAEALRETLTDAVREQMVADVPLGAFLSGGIDSTIIAGL MQRASGRPVKTFAIGFPDAAYDETRYAELAAQRLGTEHHTFMVEPKAWETLPALARQF DEPFADSSALPTWHVARETRREVTVALTGDAGDELFGGYDRYRALALTELFHRLPATP RRWIGKTMRRVLPRSGRSKSRLRALERLFERINDPAGARYLGWMVTFDEPSRLRLYSD AQLDLLAEASAHADDGGPGDPAGILAESCARAGKRDTVTQAMVGDILTYLPGDLLFKV DMASMAHSLECRGPFLDHRVVELAAAMPLDRKLRVRPGRSKVVLKRAFADLLPAEIAG RRKMGFGVPVGRWFKHELKDELQGILLDRSSLDRGLFRPEAIRSLVAEHVEGRREHGH RLWALLMLELWFRNYGG OJF2_RS02070 MAERMTLPVLPLRGTVIFPGVTVPIGVGRPGTLRAIEAAIKEDR LVFAVAQRENVDEPAPEQLYTMGVVGRIGQVQRGLGGVQLLLQGEGRAAVLHYHPADE YLTVDAVPIEQMQPINQDDPAFAALYRETRERAMELGEKRGLPDEVLHQVLDSVTDPG PFADLVSGYVELPVSEKQALLETLGVEERLRRVLIHVQRQIGLLTAQAEIKSQVQEEL GERQREMFLREQLKAIQKELGEDDQNREVTQLREKLGKLELPREARQEVERELGRLER SGRESMEAQVIRTYLEWVAELPWNARSDDQLDLTHAGEVLDEDHYGLKDVKDRVLEFL AVRQLRAKQLAEEVEKSGECSAAGLKPEKDTATPNLAGAADAEKDKAITDSKEAKARA MAKGPILLFVGPPGVGKTSIAKSIARSLGRKYVRAALGGVRDEADIRGHRRTYVGAMP GRIIQGMKQAGTKNPVFLLDEVDKLGVSYQGDPSSALLEVLDPAQNDSFTDHYLNVPF DLSEVLFIATANFPQNIPGPLLDRMEVVDFAGYTEREKAEIAKKYLIPRQLEDSGLKD RTIKLTDDAVAAIVGQYTRESGVRQLERQIGAVARKVARRIAAGERVATTIGAEEVRD LLGRPRVHPEHAGEASEVGVATGMYYTPAGGDIMFVEAAVRRLPSSPSKDGPAALGDG FGSLALILTGQLGDVMKESARAALTYAATHARSLKVPDERLGSVEVHVHVPAGAVPKD GPSAGVAMATAIISAMSGIPVRSDVAMTGEITLRGRVLPIGGVKEKVLGAHRAGIKHI ILPAQNEADLEDIPEDVQSALEFHFVSNLDEAFALTLDRKEPKPAEELQPVG OJF2_RS02075 MPYHIDGPESGKLSAAIADAVRAPTKLDQVLTTKLDDSVWNYAG LGSDYTDIRFELVKAYNSQWHIDKLMAALLEVAPDNGLLLDFAWRHRLVQRPPDRPSD PALGRDSLERMLDPARGFADPVAFLKRFGEIMNRVCRISVPHDKGTMYGTGFLIGDET ILTNYHVMEPLVTKVPGADRKNVDLLFDFRTAPDGVATSPGVSFKLFDHDTDWLIDES RYADADLAVKPVDEVLKADRPEDRLDYAVLRVAGSPGGNPIGVKPVAGSPPRGSLPLL DRDDGPDLEPSAAIFIFQHPKAAALRLDWEKPAVLGVNTNRTRVLYNVNTEGGSSGSP CFNAKLDLVALHHVGGKDWPAQVPFLYNQGIPVSAIRRLLEKRGKLALVK OJF2_RS02080 MGPAAGSCSLRRRVRPSRRPWRDEGRRPLFDALLDAFPSRSGLE QMLSLELDWKLEEISEPANLRVTTLRVIQHAESRGPQGVAQLVAAAFWVRPQNPLLLA CRQRLGGRRP OJF2_RS02085 MSTLVQIGSLDGAVPGARRHPLEPLSREEVRAAVDVLRRAGKVA ATTRFVSVALKEPDKATVHEGIAAADVAREAFAVLFDNAANACYEASVSLASGTLLSW EHIPGVQPTMTIDEQVECEQAVLNSPEFRAKLKEHAGTDDTSLVMVDIWSAGNYGDPE DGTRRLARPLCFLRSDPTDNGYARPLEGLRPVVDLNTMEVVRVEDHGRWPVPPGESNY AASRADRFRDDIKSLEIAQPDGPSFQVDGYRVAWQKWKFVIGFNAREGLTLHHLRYDD EGRERSILYRASLTEMVVPYGDPRPTQRRKNAFDVGEYGMGMCANSLVLGCDCLGLIR YFDAHLCDSRGEPFVLKNAVCMHEEDYGILWKHTDRRLPDAPEVRRSRRLVVSSVSTV ENYEYGFFWYLYQDGTIQFEVKLTGILSLGAAMPGESPPHGVLVAPQVYAPNHQHFFN MRLDFDLDGVANTVQRVDVAADPIDDANPFENAFRERATPLSTEKAARGNLSLETART WKVVNPNVLNHVGEPVGYRFLPGDNSVPFASPDAWWRRRAGFVENHVWVTPYHPGEKY GAGDYPNQSRGDDGLKRWTEADRPVEDTDVVLWYTFGHTHIPRPEDYPVMPTAYIGFT LKPAGFFTRNPAVDVPPSPKKGGMSCCH OJF2_RS02090 MPILERLYNLEVEFHRQFRAASVDPAEAWSIHTSYALQNGYEPL IRSVGIVDAAMLNSLKERMVRGHDPRDVHAAYQSLRRLIAVA OJF2_RS02095 MKIATYNVNGVGARLPVLLDWLARTRPDVACLQELKAPDEKFPA DAVREAGYRAVWHGQKSWNGVAILARGEEPRETRRGLPGDPDDAHSRYIEAVVNGVTI GCLYLPNGNPAPGPKFDYKLGWFRRLNDHAAGLLAKGGPVVLAGDFNVIPTDADAYRP ERWVRDALFLPETREAYRVLLSQGWTDSLRAVHPHAKIYTYWDYFRNAFARDAGIRID HLLVSPALAPGLKAAGVDRDVRALEKTSDHAPTWIEVDAG OJF2_RS02100 MHPIKDEPLGSATSARGVAMRRDPAGRPGDTPRVPELDALRGLA AMAVVVFHADARWFRCGWAAVDLFFVLSGYLITTIILRHRANRGFLASFYARRALRIW PLYYVVVGLVAAISPWLKYPPDWSALPAVLTFTQDLSEMWTGHPAAVFSPYLGHLWTL AVEEQFYLIWPALVLLAGRGRVARLAVAVAAASVAARWSGLNYALLIARADGLAMGAL LAALAMRAGDDPGRRRGLARGSLAALLGGAAAVWLLGGLTFLKRVPSPGPVMLAYNVT FLGAVGLIVTGAGRPALAFLRWRPLVRLGSASYGLYLIHQPVLQALVEMANSRGIPGR PARVLIPGILASVALAALSYHRFEAPLLALKARFGYADAEGRRSEPGPTRRRQRDGTP SVRL OJF2_RS02105 MSTLPVEQDWKEMLEELLPPQGLWSEEEYLVLTEHRSRLVEYTD GFLEVLPTPTDRHQRILKFLLFAIAAFAEPRGAVQFAPLRLRIRPGKFREPDLLLLLS ATDPRRQDRFWRGADLALEVVSPEKPGRDLVEKRHDYAEGGVLEYWIVNPMDETITVL ALREGSYREDGVYRRGESAASAILPGFSVAVAAVFDVP OJF2_RS39855 MLRHVALVAALVAMPGVAFARVNARDFGAKGDGKADDTAAVQRA LAAAAADRGGVVELPKGMYRIDGSLDVPPGVCLAGEWQAPHHANTEHGTVLLATGNAG KEDGPPLIMLHQSSAVKGITVFYPDQDPTAVKPYPWAIRAEGMHGTVEDVTLVNPYMG IDFGTHKNELHYIRNVFGCPLKLGVYVDGTTDIGRIENVHFNPHSWQRCSLGGKARTE AGWKALWAYLEANLEGFRIGQTDWEYMSGCFVILAKTGLHFVKTDRGTPNVVLTQCGS DIGPIGIRVDASQPHAGLAFTNCQVMATVEVGPENSGPVKFSNCGFWPIPKTGSQAVL EGKGTTIFQACHFAGWAADGSDAPCIDVRGGVALIQACDFFKSDKPQLRVGPRAAGAT ISGCRLQGGERFLIAEPARGRVQSGLNLTE OJF2_RS02115 MADRLVIDASVAAKWFLDDEQDVDLAEEILLRFLQGSLELRAPR VFRYEVCALLAKACGSKTASGNRRLSKDDGQQAVKQLFQLGIPLSDETEAAAAATLEK CISFSKTFKDMSYLHLAIELDCLFCTADGRIRDSVPPAFPTSRVLLLSDLRKP OJF2_RS02120 MSIANEQGHALGDRIVGLDPEAAGAIEASPPLGAADHDALIRSL TPEKRALFESIASLRRKIGPVAFDVVNALRELREDG OJF2_RS02125 MAMESPAIAPGPLDRIFAHGVLTGLPDDRLLDRFLADRDGDAFA ALVARHGPMVLRVGLATLANASDAEDVFQATFLILVRRARSLRGRPDLGGWLFRVAHR VCLRANAVAARRRAKEQAAARMTAMTSSNDPAPPDDLAPSLHEAIARLPESLRSAILL CDLREIPQRDAALALKTSERTLRRRLARARDRLKDRLTHQGLAFEAALLAFRSRDAAT VVPPSWSDAVIRAALGQAAATASARALAGSAIDDGVSRMVTFAAVGLAAVALVAWAGV TALPARWARASEATGGIAEQHGLETAPITVTGKATDEQGRPVVGATVYLASTRGISIN GIDAPLGAATTGVDGSYRFDNARLPIVKERDAPPWGTFQVYGTAPGHGFAWHEAWQYY PRRRPAERNTLAITNVSFDGKPVVMDLRFPRRSFLAGRIVDESRRPIPGAEVRLRQCD HLDISGRATNVNIRQFASISLAPASMTTTRTDEEGRFRIDGLPVECGFWVHVKHPDHA LQTLFAATTEQSPTSFNYPRDSVHTWIDPPPAQTGPLLIVLDAVRRVAVRTVLAETRQ PASGVGVSASRGAYAIQASGTSDADGRLELRLPPGQYDLYATATADGSDCVRTGGTLR VAAEPAELPFEFPVRSGSIVNFEVVDAETRQGVPGVSLRCIEGCDINRGRVQSRTGTV DDPVTDARGRLRAALCPGEQTFSILQLPPSSPYQPVGQPKSVTLRPGDTVTVRFELRR VGP OJF2_RS02130 MSTWPSTTARRVLAALLRIGWRVKRQVGSSHRVLEREGWADVVF AYHDGEEIGPRIL OJF2_RS02135 MPLPIEVEQGDDGRRLAEIRNLPGVMAYGATPEEAIARVQVLGL RTPADRIAHGEPIPPGLDVLFAVPA OJF2_RS02140 MKLETLCLHGGTQPDPTTLSRGVPVYRTSSYVFKNAEHAANLFA LRELGNIYTRLMNPTTDVLEKRVALLEGGPELGGLAVASGTSGIFYSIINVAQAGDNI VSARNLYGGTYTQFKDILPALGIKAKFVDSHDPKNFAAAIDEKTRALFCETVSNPALD VTDLEAVAKVAKEHGLPLIVDSTFSTPYLTRPLDHGADVVVHSLTKWFGGHGTGIGGV VVDSGKFNWAAGKHPLYTTPDESYHGLRWGIDLPDMLRPLAFILRMRTGPLRNLGACI APDNAWMFLQGIETLPLRMDRHCENSLAVAKFLKSSPHVEWVRYPGLEGDPMYDLNRK YLRGKGGSMVVFGIKGGAAAGSKFIDSLKMFSHLANVGDAKSLAIHPATTTHSQLEEQ QQREGGITPELVRLSIGLEHIDDILDDLGQALKASALAAVAV OJF2_RS02145 MNDSIGETRTQFFEYNDPAHPLLLRVGPPLPAFTLAYEVYGEMN ADRSNVILLYHAMTGNQHAAGFNPEVPGLDGRWTEENHEGWWDGFIGPGKALDTDRFC VVCANYLGGCYGSTGPATAHPATGRPWGPSFPVLRMSDIVDSQMKLLDHLGVQRLHAV VGASIGGFLALLTSARYPGRVRIVLPIGTGVETSIYQRIINFEQVNAVESDPNFRGGD YYDGAPPDQGLALARRIAHKTFVSLDTLRERARTELVSTKPPYGWYEMNHPVESYMLH QGKKFVRRFDANSYLRILDAWQWFDLVSEAGATSFKDLFSRCRHQEFLVFSIDSDLSF PPRDQAKLVRLLKRAGVPVMWITVHSEKGHDAFLLEPRSFAPHIHQLLEDTRTPATVF PSS OJF2_RS38950 MVDYVVKLTKDATKVSPADHERLAAAGFDDTARLQITLIASWFN YINRVADSLGIGRE OJF2_RS02150 MPWIRTVLPEDDPRVGDAMGRQRVLYPAEYAEPVHQLEEGLPGI VASHTLIPDALYHAFSTFGALMSPSLPLGRRQHEMIATMVSLTNACHY OJF2_RS02155 MANLSSDPGAAEDATAPAFVAGADPASASILAPAAALPRQLGLA TAAAVIVAEVIGVGIFLTTAGMVKALGSPAWILAVWLVMGIAAIGGALCCGALAARMP REGGIYVYLKEAYGRRVAFLYGWLSMLVTDPGITAAVAVGLATHLAYLVPMTAWARRG AAVAAILALAGVNIAGVRFGSGVVKALAAIKIGVLGFLIVWGFGSLRGDWSHFAPLVE RRPGSGPLAAALIGGMIKAFFSVSGWWDVSKIAGEVRDPRRTLPRAMVLGVGAVTVVY ILVSAVFLYLVDPRAIDGDAMFATQAGEVLFGRAGGVVLSLIVVVAVLGSLAALLMAM PRVYHALAADGLFFRPIAEIHPRFGTPARATLIQATLASLLAVVVGDFDLILGYFVVP TVLFLGMAVASVFVFRRRDGAGAFPVPWYPLSPVLFLVPTAAVLALLAMGNPRHTGIG LGVVLLGIPAYELLFAGRGGKGKPAEVDAAA OJF2_RS41085 MLSPTNILRPFDAPASLAEQTFARMGSLGVIAAGLMALVVFAL OJF2_RS02160 MRPTSSGKLMRFLAGASLLVLAQGLLAPAAKAGCSHGVSSHAYD RFVASISHLDDLITGGAAAGQDLAPKTPPPCSGPGCSGHVPPPAGSTAVVTPPSLDHW GVLDSQAPPSSRATHGDRQEESLPLPAILSSGIFHPPRSFA OJF2_RS02165 MITDARSFRRLRRAACIGALGLAAAIPATTAARAGAQEPERRAK EDELREAIRELQSLRDQAARKEAEGRRDAEKDLKDAADRLKDQAGEAGKDVGEILERR IKELGNRLSDDLSPVAGQLLDRLSRAAEDVSKALEKDGVLSEDFRKALERSGDELCEA FKEGGPLDLRSREAAEKASKDVQEAVEKARQELHGAVGDRLDQARDPARQGLRTPTPT PEKGDRPAAEAPPAGPGGDVEQARREVMRLQQELREATRRLNEAAMRHRRDAREMRPQ RIPRRGPDARPMPPVPPRPPAPHSPPGPEAQRRLRELEGKMDQLLKELKELKQEKPSK DGPDDEDDSSI OJF2_RS02170 MIASMNPRTILPLIPLALLASPGCSALLANLAQARRTEVTTFAL GGQGRPSVVVETFNGAVKVAGTSGREVKATVTKIGSGRHQAAAEADLANVQVECKLEG DTVRVVATRIGPRSAGSSGADIEMQVPADASLTLTSRNGRIVADGVHAPVVAHTSNGE IDVRGGRGVLDLETSNGRIDAEAADAVVSARSSNGEVRFAGSLAAGEHSLTTSNGRVI LAVPGSARFRVSARTSNGRVTSRLAGLAVEDGKPGSNHLVGRLNGTPGSSPSGAVTRA STAPAVNAEAEDAIDVKLETSNGEITLEPVPTAEAGRP OJF2_RS02175 MMKIMTCFACFCVVASALATAARAEDPPIPRPTAEHKILAAEEG TWDATIKSFEAGPESAPTVSKGVEVNTVMAGGLWVASSFKGDFGGMTFEGRGQYGYDP YKKKYVGTWVDSMSPSLIVLEGTYDAATKTLSYAGDGVCPIDNSKLGMRMVTVAKEDG RRVFTLYATGTPTGGKEAKMMEIEYTKRK OJF2_RS02180 MRILVVGAGATGGYFGGRLLEAGRDVTFLVRPARAERLAATGLV IRSVHGDAALPSPPTVLAEDLGGRGPFDAVLLSCKAYDLDAAIEAFAPAVGARTVVLP MLNGMRHLDALDARFGVERVLGGACFISAALDDEGRILHRNDVHGLVYGARADGQRAS VGAISAAMEGVRFNARASDDVLLDMWEKWAFLAALAGATCLMRASIGDIAAAGGPDLP LALLDECVAIAASAGRPPREKYLAWARGILTAQGSPMTASMLGDVERGAPTEADHILG DLLRRAPGGPGAGASSPLRTAYLALKAYEARRLRERPGSH OJF2_RS02185 MTLARDADGPQLSRDEVDRLEGPVLLEFGASWCGHCQSLAPGLA RLVDEHTEVRFIWVEDGPGRPLGRSFRVKLWPTLVFLKGGKVVEQLARPSLEQARRGF EALGEA OJF2_RS02190 MIEYDPNRHWLRDIRHLGTSWILRRLVRATAITGVYSVAVSVAL IRLDLEGRRAISGTFSLLGVILGIILVFRTNSAYDRWWEGRKLWGSLANHSRNLAIQL DALLPGDDVELREWFSRLLADFALALSGHLRGRVDPSILAGPWMAEARVPPGSPPPHV PAHLARVLVRRVWALRDAGTIDGFGLLATQPHTQALLEVAGACDRIRRTPIPFSYSVF IRLFLLAYAAILPVGLVPEYGYLAVPLVMLLVFALLGLELMAAEIEDPFGLDCNDLPT HAIADAIRADTRQLLGLACNPAAAAPPPYSKVF OJF2_RS02195 MYRDVLAIVLAGGKGTRLEPLTRDRAKPAVPFGGIYRIIDFTLS NCINSELRKVLVLTQYKASSLNRHIDQGWKFLCRELGEYVEVIPPQQRLADTWYQGTA DAIYQNVYTIETAAPRDTIILAGDHIYKMNYARMIDFHRDNRADLTVACLPVPREEAT EFGVLDADDSGRISAFVEKPADPPPMPGNPGQALASMGIYVFHTAAMYEQLFKDAARK EASRHDFGRDIIPAMLAEGLRVFAHPFRDENRKTAAYWRDVGTLDAYYQANMDLISVD PILNLYDRDWPIHTFQRPYPPPKFVHSDPDRRGAAFNSIACQGCIVSGGQVYRSILSP NVRVNSFALVEDSILLDNVDVGRRARVRLAIVDKDVKIPAGFEIGWNRDLDEARGFTI TGDGITVVAKGEELERFT OJF2_RS02200 MPQPLPSDRPKVGPLAALAATVLLAACAAGAAAQEVPGVEGKDR LRELQARYAASANEKLPRAYHFGSQGPGDVFSNHRSHSNRQVAVYTFGRRIDLSAVTG SNSRYRDAGRIEEVYGRLPENTLNPEADYADQSDLYRVLKDAAGRGVKHLFLVWFDGM DWPTTRAAAIVKSGKVYDEGRGSGLFFQDYTAGGSTQYGYVVTSPTHDFTVQDVDRQV VTVPGNSLPGGYDAQIAGPNPWTLGPLGPKAPGYFKGQNANAVDKAGVLDAGRILHAY TDSSQSAAEIVSGVKSYNSGLNVADDSRIVPTLFHQLQEQGWKTGTVTSVPFNHASPA GMYAQNVERDDYQDLARNMLGLPSIIQEVRGSKLYPGLDVVMGTGYGIVTTSSSLKMQ GKNGVAGPLFITDADRAAVDVRNGGKYVCVHTEPNAEGGKVLRAAAAEAARSKARLFG LFGAKGLDHLPYRTADGRFDPAPSLNSKGLPAPAEAYSPTEVLAQPTLVDMAEAAIEV LTAEPEKPFILFVEAGDVDFALHANNLDNAVGAVHSGDATVRAIASWVEAHSNWDESA MLVSSDHGHYLVLDDPKALIDGK OJF2_RS02205 MIRKVRVQNYKSLRDVSVDLERFTVFVGANGSGKTSILQAVHNT MRAASRSDADKVFVYAWYGDWIYTRGGQGEWSIECEMAEGRIAVRGQPPLIYPPPPDF IWIYTRGGQGEWSIECEMAEGRIAVRGQPPLIYPPPPDFIGKSKWRFPLVIHRHGALD DVYGLVLVRLDASLMARPSYSQDDPPRMASSGRGLASVLAFMALNDPQGFARLVEMAR ILIPRLRRIRFRKEPVYRTESELVRFGQDAVKRRDRRKYQGELILFDFDHAENVSARH ASEGTILMLGLLTILLGPSRPRVMLLDDLEHGLHPLAQKQMVEVIGQILQQYPDLQIL ATAHSPYLLNFLSPEQVRIVALGEDGHTRCGRLSDHPEFETWKEEMAPGEMWSLFGEK WLAEQEPAR OJF2_RS02210 MSLRFAVVYEALADFRTASELADRLLVESIDWLAEADIEHQRSW VHETDDGPLTWGRIGALASAANIRAMGHFDGRPGAPDAAVARRAILYLRRTFLDLAGI LLIRHRDHQPERRTGLEQAR OJF2_RS02215 MVIGLAIVERESWVVSGFDPRNEDETARLEAERQRLGFDPRLRS HELTACKDDRAPRSPKRVLHELCSRDWDRERICWYETRLDALRIRGRENGLAAFLDEV RDHLAVLIGDID OJF2_RS02220 MFESHRGLIRRRPSSARGRRRGVAVERLERRELLATFLVQNVND DLNSGSLRWALTQAQADSDPTSLIKFQIGGAGVKTIPLGSPLPTVSHPTTIDGTSQGA YAGTPLVELDASALKSTDAALTVTAGGSTVKALAINGCPGTAILLDQGGGNVVAACTI GTTADGSAAKANGVGIAIANSSNNTIGGTAAGAGNVIAGNTGDGIRATAAGATGEVIQ GNLIGVSRVAAQAGLSLGAGFDGLQFNDTIGWVPPEPRVAVGNSLVMETVNTTLRISN RDGTTVSTTDMSAFFPNAVADDLIPQGVFYDEIAGRFVVFAMETNSSASTSFLDVAFS DAGNESSFSAKYRISVKSGSDLAFEPKFGYNADAVALSFNMYTGGTTYAGVQLLSIAT SSIGAASLTTATVSRPDDYTLIPASMHGATAGQPMYFVEADPQTSSSNKVHVLAWANP LNASSAFTDSVVTVAAYSSPPDASQAGSGSLIYTSDAYIQSVAWRDNRLVATQTIASG GVAAARWYEFSTDASTPSATPTLAQSGTVTRPGGAAVYYPAMDIDAAGDLGMTFMSSS STEYMSMYVTGQVVGATAGSMLAPTLARAGQAPYTPFDPAPYAAGYNSSITLDPAGGT FWAASEYAVPAQDGANWGTWIQGFSLSSAVSFTYKAMGNGGSGILLNGASGVVIGGTA AGAGNVIGANGADGVRTTGGSSGTLIQGNYIGTNASGDATLGNVGDGLNLQTDANTVG GTTLAALNVVSGNKQAGIAVSSSAGNLIQGNYVGVLRSGAAALANGDDGILVTTGTGT TIGGTVAGAGNLVSGNGGVGIHLNAAFTKPNTTGTLIAGNLVGVNASGTAAIPNKGVG IFQDGADATTIGGTAAAARNVVSGNGDDGISMGPGDHSLVVGNYVGTDITGTLAIANK NNGLDWTGASYATVGGTTAAARNVISGNAGGGINSFVVGDNGHELIQGNYIGVDVTGG KALPNGATGVRIAGPTNNTIGGTTAAAANVISGNGKDGIEFTVGTAAGTVVQGNYIGT NAAGATNLGNAGTGIVIWSDDVTIGGTAAGAGNVIAYNKGGTLDQGDGIRFIFDVHHN SILSNSIHDNAGLGINFGNGPTPNHVDGAGATPGPNDYQNYPVIASAVIGGGGIEIKG TLNAGASASYLVQFFWSPAADPSGYGEGQYYIGSATVTTGADHNGSFDAIFPGVDIPG GSAISATATDASGNTSEFSADVETVVIADVSIAGSASSASSPAHAGDTLTYTFTVSNS GPDPAHGVVFSDTLPASLAYVGGSWTSSVGGVTPTVSGQSITAALPTIPVGGSVVLTF QVTTLAAATPSVSNTASVTTTDQDPDPANDSATVTTTVDTSADLAVTSLAVSTAGPYY AGQSFTYAFTVINKGPSTATGVQVVDTLPAGLTFVSASGGGTYAGGKVTFSIPSLSAT ASASYTVTVTPGASAAEAPVVNVVSVGGSDFDPDHSNDSAQVSTTVLPSADLSVAVVA RDSGSNVVTSALAGQTLTYTITASNGNLSDATGVVVKFTLPAGATFVSATGGATPTGG VITFPSFSLAANGSQPFTVQVKAAAVSTSTATAGSATISGGQHDPDGTNNNGSAAVTV QPLSDLGVAVVASSPRLYVGESLTYTITVTNAGPSTDTAVRVVDTLPAGMTVMSAVSS VPGVAPAISGNTVTADLGQLGVTTGLPTLPTITIVAVPSAAATGNVTNTVTITGVADP SDSTARAATEVDPSADLAVSLSAPSSVLVHGQLHYTLTVTNKGPSAATGIQLVDALPP GVQFVSATGGVTPDADGHLTFQVASLPAAAGANAATFDVIVIPQLPAVGATISSTATV AAVEHDPAGGNNAAAASTKVNPAVDLAVSRLSASPDPVVVGSTLTVTYVVTNNGPSPA TNVRVAAPMASGMSFGGGSASPSGTVSAQGSSVVAVLGTLAPGASATVTFTVTPGAVG GLTTSATATATEQDPDSSNNAASVTTTVLDRLGTIAFAASSYSVDEGAGSATITVSRV DGTRGTVSVDYRTVAVNATPGLDFTPVSGTLTFGPGETSKTITVPVLANPWDAVNEVL DVVLGNVRSADTPGQALAGTPSTTRLTIVDTDPNTTPLAVSAFQWTGAINGISQVLVT FNKPLMASAATDASNYLLQSVGADGKYGTGDESRVPVAAAYDPSTFTVTLTPTAALPA NTFFHISLKGSAGGLEDLGGNELTGDGATAGTDYTAMFARGTSLRYYTPTNDLVTLTL ARGGVLDDLLTGNGQGQRLTVVNRVARKSVLSGSVKTLAGKNGQAYLGETIWGLGRFG DVRVKMHAPQFLLNYYPFSPGSRASQMGTRGPVTVTSAASAAPAARVRALHAMSRPFH AFRR OJF2_RS38960 MGWDTRSGDVAATGPGRKGWRRAAARTRAKDRARRFLLESLEDR AVPAVFDVSSGLASDLISTINVANTNGDPSNTINLTGTYTLTSVDNYWFGPTGLPAIT SNLTIAGNPTTPTTIQRDTTGGAPNFRLFYVAGGQSGVTYGTLTLTDLTLAGGVAKGG NAGSGGGGLGAGGAIFNMGSVALDGVTIRGSQAIGGSSGINSGAGGGGIGSDNDGDNG GGFGGPAPGAVGGSGWAGDGETGGGGGFNASDSASSNSYHGGNGAGSGNLGGAAGDGG TYGDGGGGTSYPMDGAHGGDFGQGGQGSGGDAGGGGVGGGGGGGGNGAGGGFGGGGGG NPTYGGGGPGGDGGFGGGGGASNPSSGGRQGGWGAGASGSEALGHGGGGGGAGMGGAI FNFTGDLSIVNSTIAYNGATGGNATWAAQPGGGFGGGVFNLNGTVTLVNATFAQNVAL SGTHFGSGTGQAAVVSNGIDVYNLAFGREWREGEDFTKGAPVTATVQLANSLLASSAE DQSALATQADSSPHSTGVGGSNAAVVNAAIYNIMTASNVVRGVTGTITTGFVDTVTVP DLDPAGLADNGGPTQTIALESQLNTSLAPETTIFGLTVPTVDQRGISRPESTDLGAYQ IVSAPTASLDSATDINAATGSTSTTTVVITYTDQSGSGIDTSTFGIGNITVNNGATVT GYSAVGGTVTYTITAPASDWAASTQGSYTIAIVDGGVKDNDGNGIAGDADFGSFYVDT ALPTATLTSAPTVNASDASASTTTVTIAYSDATSGVDTSTFGIGNITVDNGATVTSYS AVGAVVTYTITAPAADWGSSTQGTYTVAIVADSVKDLAGNGVAGDASFGSFLVDTVAP TASLTSAPTVNAADGSASTTSVTITYSDATSGIDTSTFGIGNITVGNGATVTGYSAVG TTVTYTITAPALNWAGSTQGSYTVALVAGSVKDNAGNGIAGDASFGSFLVDTVAPTGD LTSAPTINATSASASTTTVTITYSDATSGVDPSTFGIGNITVDNGASVTGYSAVGSVV TYTITAPASDWAGSTQGTYTIGLVAGSVKDNAGNGIAGDASFGSFLVDTVAPTASLTS APTVNAADGSASSTTVTVTYSDATSGVDTSTFGIGNITVGNGATVTGYSAVGNVVTYT ITAPALNWAVSTQGTYTVTLVAGSVKDNAGNGIVSEGSLGSFLVDTALPAATLDSAPT VNAADGSASTTTVTVTYSDATSGVDTSTFGIGNITVDNGATVTGYSAVGSVVTYTITA PASDWFGSTQGTYTVALVAGSVKDNAGNGIAGDAGFGSFLVDTVAPSASLDSAPTVNA ADGSASTTTVTVTYSDATSGIDTSTFGIGNITVGNGATVTGYSAVGNVVTYTITAPAL NWAVSTQGTYTVSLVAGSVKDNAGNGIVSEGSLGSFLVDTALPTATLDSAPTVNAADG LASTTTVTVTYSDATSGVDTSTFGIGNITVGNGATVTGYSAVGTTVTYTITAPALNWA GSTQGSYTVALVAGSVKDLAGNGVAGKPSFGSFLVDTAFPSATLASAPTVNASNASSG TTTVSITYSDTISGIDTSTFGTGNITVDNGATVTGYSAVGNTVTYTIMAPAADWGSST QGTYTVAIVAGSVKDLAGNGVPGDAHFGSFLVDTVALTATLTSAPTVNAADGSASTTT VTVTYSDSISGVDTSTFGIGNITVDNGATVTGFSAVGNVVTYTITAPSLNWAASTQGT YTVSLVEGSVKDLAGNPIAGVSSFGSFLVDTVLPTATLTSAPPINAGGAAASTTTVTV TYSDSISGVDPSTFGIGNIVVGNGATVTGYSAVGNVVTYTITAPSATWGVSTQGTYPI GLVAGSVKDLAGNPIAGDEAFGSFTVDVSVRTGTSTTVLAEPSSPSFGQSVTLNATIA GGSEAAQPGGSVTFYDGTTALATVAVGPDGTASYTLADGLDVGPHSITASYGGDSLFA PSVSPAVDLTVSQSTATTGTVASSAPQVFYGQSVTLTATFQAPSNGTTPMTGTVSFYD GSTLLGTAPMTTPLAGEVVSGAMATGQASLPATLSAGDHVITAVYSGDANYAPASSTT TASVVVAPATTSSSLTVNVSGNSATLLAQVVATSPGTPTLTGDVLFFDNGQPVGSAPL VDGVASLTVANLAPGAHHFTATFPGGQDATTSGSTAVVAVVSGGEVVGVARYGYHWRP TTLVLTVAGDVDPAIATNPARYIITGPAFGRRMGKRIPVAAAIYNSQAHTITLSFHRR LLLHRTYTLSIPDIGYTKAITARLLAGQNPRAARLVTRRGH OJF2_RS02245 MNSTGERRTGLTFGIFPGMIGTEMDHCGGPMHEPERTAEAIGAL QAPGRPFVVRSYVIYTGKGRGDRETPGDLARYARDGRRIDRVLCYATEDGDLDDWVEY VRRELRRHGPLLDALQVAEEPNNPDFGKGGNGGFPRVREAIVAGVLAAREEADRLGLD VRIGFNACPSFDPADPFWPAMGRLGGAAFRDALGYVGLDFFPDVFRPIPFESLGPAVG GLLGHFRGTCLPAAGIGPGVPIRVTENGWPTGPGRPEEKQAAVLEAVVRAVTDEAGRL NVTHYEFFCLRDGHSAKPDPWTQFGLLRDDYTPKAAFEAYRRLVAELGA OJF2_RS02250 MIHTLAPRGPLAGLIERVWLDDAFERPHRAERILPGGSLDLIAN LTPGTDPAILAAGARAGPFTFHAGGTRTVLGVAFRPGGAAPFLGVPADEIRDAIVPLR ELWGPEADALSGRLASAGSPGARLAEAERTLLGRLARSPRRHPGVAMAVEILGDGTGG PPVAAVARRVGLGPRRLSRAFQAEVGLPPKLYARIRRFGEVLRLAASASRVEWAAVAQ ACGYYDQAHLIRDFRAFAGMSPTEYLAMRGGLANPHHLPEPS OJF2_RS02255 MSRGPEEILEEWLVIEARSGRPAALQALARRWHPRLVAHAYRRT GQPEAAAEVVQEAWIAIIRGLRGLEDPARFRVWAHRIVDRKAFDWIRQRRRGRELVSR LADDPSLHGPGCDPGAEARRRESEGREESIDRLRSALRRLPDDSRILLALFYVDGLSV VEIAEALSLREGTVKSRLFHARNRLRETLEARP OJF2_RS02260 MKPHDRDFDDLLSEALSREEAEVFRRLGKPSVFHLVTDTFRGTM RWLNILGYAVTIVVFGLGIVCLVGLLGTDRPPMMLRWGLGFGTCVLTVMALKVWFWME MQRHSLLREIKRVELQVAHLGAQLRDARLVPGPHADESGRGPS OJF2_RS02265 MQTEDDPRDDRPGAADPAGGPTRRELEARIAELERRLAAAGTPP APLELKALRVVAPEGWGAGWVLRPSPRRRNWMDGNPHAYHCLPLVIANQWGWQVLCPT DVRVTWDGSPGPDGLVVEADPAYAPAIKSQFGSGIVTFSPPWLFRTSTGWDLLTKGPG NRWKPNCVPLEGVVETWWLNYTFTINWKVVEPGVVDFAKGESLAQLVPVPHATFQGAR ARELPIALEEPKAAEELLRWREERRRIAPTADAVHKLYRRAEGIPDHLQHVPVPALEM QRLVGHDRKTDEEDLPRG OJF2_RS02270 MDEALRRELVAMADEDQATRARLAADGSLFDGYHPEMRAVHDGN AARLAEIIASRGWPGRSVAGEDGSRAAWMILQHAIAQPDFQRRCLVLLQDAASRGEVP CVEVAMLEDRIRVFEGRPQRYGTQYDWDDRGELSPHPIEDAEHVDERREALGLPPMEE NTRRMREGAAQDGEMPRVDRETRRGAFLRWAISVGWRRPPTP OJF2_RS38965 MIRPLRPLPFLGLLALLAAVAPAYAQRRMGGMTHPGMPMNRGMG GMARPGFGGNNIFMGGTGQNIFMGGTGRNVWMGGRGLAFGGQPGVGLGYGGFGWNGGY GGLGWGGGYGGLGYGGLGYGGLGYGGLGYGGLGYGGLGWGGGWNTGLGWGGWGYGGGL YGFGTGAYGAFGPGELGTVINAPIYTNGFGVYDYFPTWGLGNYGAWGLGSVAGDWLYG GYANPYYNELMATVPAMVGGAYDYRQPIKPAGDPFDRADEPTDDQVVEQLIATAKHAF KAGDYAKALDLTDRAIKDRPDQPAVHQFRALCLFALKRYEEAAAVAYAVLSAGPAWSW ATLVGLYPDVEAYTGQVRALEAAVKAKPADPAGHFLLAYHYMVQGHQQAAASQYEKVV ALQPDDKLAASFAKAFRRVAERTPQGDAPAGAAAPAGEVADANAGAAANPGARTPDGP PPSEPPPPPPPALVGTWKANPSADVAITLTLQADGEFTWEVNTKGKAQTLSGRAGYKD GTMALLQSDGPPLVGKVTEKGDGTFLFAPPTGSNQQGAGLTFRRS OJF2_RS02280 MNAETDAEAIDPLIGKMRAAAAPFRKTLQELHRRHAGLADGAVA TYIPELALADPSWFGISVATVDGLVFEIGDSGRPFSIQSISKPFVFGMALQDHGRDGV LRRVGVEPTGEAFNAIILDEATNRPFNPMVNAGAIATTDLIEGADAGERFKRLMAMFR RFTGRDVHIDNAVFLSERTTGHRNRAIAHLMRNFGMVGDRVEESLELYFQQCSALVTC RDLAVMGATLADGGRNPLTGETALEPSYVKDVLSIMLTCGMYDFAGEWAYRTGLPAKS GVAGGIVAVVPGVAGIGVYSPPLDAKGNSVRGIRVCQDLSQEFGLHAFEARFAGASLR DSCNPPRRGG OJF2_RS02285 MGKIMAIHSFRGGTGKSNVTASLAALLAGAGKRVGVIDTDIHSP GIHVIFGLRADRIRHSLNDYLWGRCPVHEAAHDVTPALGEAAAASPRARVFLIPSSVK PGEISRILREGYDIGLLTDGLRDLVATLDLDLLLIDTHPGVNEETLLSIAIADFVLLI MRPDNQDFQGTAVTVELARQLDVHSLQLLINKVPPGVDWDRLRLQAEAAFKAPVIGML PLDGDMARLASESIFAVRHPTHPITQGLAQVAARMLG OJF2_RS02290 MNQHIALYLLESPQSHPLQYWAFEQGHLVRIGRSWDNDVVLSTP YVSRAHAYIHFDSGDWYVTSISEQGVLCSSRKFRTLKLLDGVIFRLGQAGPHLRFSNA DAGALDATTLSHEVPGASSMHLDEGQLMREVGEIVGDPYFQRLKAELARLRRGPHEAA TAEYPVEPPPGPPRGGRGPA OJF2_RS02295 MTLADFASAIARRVDWFLVGMAAAVGLAWLFPEPGADGGWMHPE LLTKLGVSLVFFLHGLALPFSALKAGTLRWPLHLTIQLCTFLLFPLLGIGLLGAAGGR MPEGLRLGFFYLCALPSTVSSSVALTAAARGNVAAAVFNATLSSLLGVVLTPLWISSY LGAAGGGLPLGGVVLDLVLWLVLPLIAGQLLRPWLGGWAARHKPAINRVDRGTVLLLV YTSFCDSMMRGVWTEQGWGEVLGTFAGAAVLLAVALAATSAACRVLRFGEEDRIAAVF CGSKKTLASGVPMASLIFGLNPDLGLILLPIMIYHPLQLVVGGVLAGRWARR OJF2_RS02300 MPTPAPPGRRTFLAVAAVALGCGLSQARQGPGPDAPKAPYAKVQ GRVIAKQAGANVAGLRVVLRGMGTGRVKANPVGLMEAETRTDADGRFRFDRLSEGTVH VFVEDAPAPWTSVAAAGVEVRPGWTRAVRVDLVRGVEVTGLVLRRTGTPYGGVEVGMY GAGRPRGGTTPLVATTDDRGRYRFLLPPGEASFHVLSEPEGEANRAAIIPEGADRLEV PPILAGPVLVVGGRVVDAAGVAVEGASVSVIGGRGEIADGIAATTDARGEFRLPPGLN GGVPAGSVAVMRIQLRGGGEQKVAARPEDDGTVRLVLDPPRGPLIPEGIAPGLDARAR RW OJF2_RS02305 MHEYDKSSKWLIQHHGAAILRLAGITGVVEWRPLQAEVVQARSL PDGLIAATLAREPAPRLFVLELATYPEPRVADQAVRDAAFVYLDRGELPEVVVVVLSP KGRFRVPREFELRSALGRTSWHVRWHVVELWTIPAESLLTAPEVGAVPWALLGRVEGP PEPFFRRCRERIDREAAPEEREGLLAVSQVLARLRYDDEGLFRLLGGEQTMLELPFLD KLREKWTREAAHDVLVRTIVNILATRFKTRAKSLEAELARIDEVKRLEALQTLAVTCE SLKDFRAGLRAQSAKAEKS OJF2_RS02310 MQHAVKVLISEDEIRERVHALGKQIEADYQGRPLTILAVLTGSL IVLADLVRRIGVPHRIALIQASSYPGATTTATTLVINETFAPDVSGRDVLLLDDILDT GHTLSALVRHVADRGAKSVRTAVLLRKVGRQEVPLEPDYCGFTIPNAFVVGYGLDYDD DYRHLPFVGVLDQQDQEAGPR OJF2_RS02315 MNANAHTNADGGQPLKLALITRRYPPLIGGAEKVLSYLAEALAR EGADVTVLTSRAPGLEALPAEEELAIEGRRGAGRLRVVRLATSPVRFLGTWLYMRSLA RWLGEHPVDLAYVSMLKHDAYVAVGAGRRRGFPVVLRPEGAGATGDLAWQSWGRFGRR IGARCKEADAIVAISREIDRELRQAGYDPSTIRPLPNGVPVPAQSWQKRPGWRDAPRA SYVGRLAPEKGIDTLVAAWPSVREAHPGARLTLVGEGPQRPALEAQARGLGLELGPSG AIDLPGASSDVAGELRRSDLFVLPSREEGMSVALLEAMALGIPLVASSIPGNRRLVAD FKHGRLAPPDDPAALARTILDQWANLDRAFHMGRAARSRVRDEFSIAAVARRHLALFR QLAARGTRPATEDAAGSGSTEGKT OJF2_RS02320 MFVVLKVLQLIPTLDRSGAEKQMVLLARGLPRDRFSVEVATLTR GGPLAGELGEAGIPVTDIGKRWKVDPLALGRLTRHMKARRFDVVQTWIFAANAYGRIA ARRAGVPVVVTSEMAVDLWKGKVEKAVDRRLATWCDRLVGNSRAVVGYYRGLGVPDDR LAMIYSGIAIEEPPAHAGDPAATRAEFGIAADAPLVLFAGRLAEQKRVDDLLKAVDLL QHVQPDLRTILVGDGPLRGPLEATARAYDLAGKAFFLGHRDDVPRLMAAADLVVLPSS YEGLPNVVLEAMLHRKPVVATAAPGTTEVVADGETGVLVPIGDPPLLARAIRDLVRDP ARRRRLGEAGRARVESHFRAEAMVDAFARLYEGLARRKRD OJF2_RS02325 MSTKIRWLGHSALLLETGGQDVLIDPFLTGNPKAAAKAEEVPAD LVLISHGHGDHVGDAVAIANRTGATVLSNYEIGTWLQKAPRNLTKVHGLQHGGGFTFP SGLRVKLTLAFHGSELPDGSGGGNPAGFLITTPDGCKIYDAADTAMFGDMALIGEEGL DLALLPIGDYFTMGPDDALKALKLLKPKAVIPIHYNTFPPITQDAHAWAERVKAETST TPVVLQPGEWYELRK OJF2_RS02330 MERRASWMRSFCTPPMAILAVASTAGPAAAADAARIALKVKAGW PASYEFQGVAPLQRAREDLAQRQMQQHYLATLRKLGIGSGSEGLCGKSDVPDSSTSPL RHGHRGPAGAARPRVAKRAAARG OJF2_RS02335 MALRPRAEALEVRDLPAGIVEYPVPTTNANVGHITTGPDGNLWF AEHGPNGFVGSAAGKVGRITPSGTITEYALGTGHSPYGIVAAKGSLWFTDEAAGAIGK ITTTGVVTQTLISSSESSTIDPRGIALGPDGNLWFTEYGASKIGVLDPATGKVVAEYQ TSAMSHPGEIAAGPDGNLWFTEDASTLNGQVPAIGRVTPSGQFLNALFLPTPAGTMGG GGDTPVGLVTGPDGNVWITSEAGNIDRVSPAGTFTAFAVPFTTSGAGSTLGGIAVGTD KNLYFADIRNNAIGQITTSGAITETALPKSTSIPLGIAGGPDGNIWFTDQDPSQDRVG KLTLAGSSTPPGGTGGGTGGNNGGGTGGGNNGGGTGGGNNGGGTGGNNGGGTGGGNND GGTGGNNNGGSGNGGNNGGGTTNQTPPPASSPTPPGSPMAPPRVVSVQVAHPRRKPIR VVLRFDQALRARPRVGPSSFVLGAPSAAGTSVRISQASYNARTHAVTVVITPARRTVA TGTVTLTALAARLSNARGQALDGNGDGNGGDNFVAVVTLG OJF2_RS38970 MAAYDEGSTSPSLLGRVADWRDEPAWSRFERRYAPMLRSWCRNL GLPAHEAEDLCQAIWLEVAERMASFQYDPSRSFRGWLRTLCHCRVTDHLRGRAARGGR AVLYGDAAEIADPRAARGDDDDEIDPFRDYLRAQAVEAQAAARASHGARTWESFWLMA VCDWSLERTATHLGMSRTAAFAAKDRVAKRLAAEGERRLRAWSA OJF2_RS02345 MNCPPDDVLRSIGSAGDETFRALEAHIEACPDCRAALSRIARER PRLAAGPAASGRRTWPRIDGFEILSELGRGAMGIVYLARQERLGRLVALKVLPAPAGT GPDDPARRRWLREAKAVSLIRHPGVVTLLDHGECDGWLYLVLEYVPGGPLGRRLGAPM PPKTAARLVEQVARAVEHIHRNRMRHLDLKPSNILVDMEEGTPLEEAVPRVTDFGLAV ADDARNLSEASLAAVRGTPAYMAPEQASARADQLGPATDVYALGVILYELLCGRVPFR GKSPVETLDLVRNSRPASPRSIVRGLPRDLVTIALKCLEKEPGRRYRSAEAVADDLRR WQDGRAIRARPASPAGLLARWARRNPAVAGLAASLVLVAAVGLAALDALRRQMEQRER DATATYRIARDVLADAADLGWRIRSERTGRARDPILDGYEKLWPEQETLYRIGRGDAS ARDSLIRLGRALASRLVEHGEHDRAAIVLRRAAAILDTERARGVRDPSLLALSGGIDR DLALVMSSAGRPAEAAALFEGAARKLAPTGEGDPPPDGRELFKCQVELAEKLDALGRH EEKRPWLDEAERLFARLDRPRRADVATVAARLFLMRGDEARSLEVLRDAHRFRPTDPW LALDLGRGLVGAAGPLPEGGRREALLLEAHDVLRPIGDALEAEALRDPANSLAAATMA SFHVTFGRALLGLDRPGAALDSLRHYAESGRLLGRNEKRDVGLDIYRLRNTCIDSPWR KLARTAPDGAVTPEGLEAESRFVLLEFLLPLEMAPIAGWAAVNAESGEQMKLRRRNRF DLARRDAAALAAFADRLAADHPDDPFAHLASCEAQAQVFKNVCRGETPPMSEAVGALH KGLAAARRARELDPANPAAREALATQERRLAKFLAEHAIAARGEGARPAN OJF2_RS02350 MLHAGLREASLAFPESVSSVHVARGKLGRRAGGIDRLLQRLDCL VKVMVAAADIPEGLDARLIGDAMGIGNPRIFGHEVLGEGLEAGRNSHGPVKLCSYSIA FGLNTLFQGHGRGNAGDSIK OJF2_RS02355 MADARGVTGAEMVRRVEAGWDAINVETARTQRAMDPSSGADWIA VGGGHAAFLGVGSFLSQAQGLGLDGPVGEAEIERLERFFHDRGTPVQVEVATLAESSF LTALSLRGYTIADQSHSLVRPLGGDRDGENGPGGAARESASIEVVRVEDDGGWGTLLD VTLRAFFGGLEALPPLMREGMLAMARLPGNTGWLALADGEPAGGGSLWIHDGLALFYT DGTLAPFRRRGVHSALLDARLQHAREAGCDLAAIVTPPGGGSQRTAQRAGFVLTHART MMVRYPGG OJF2_RS02360 MAGGTRPRDGGTPRRERRGRARRFCLEVLEDRTAPAVFDVASGV ASDLIAAIDAANANGDDGNTINLAGTYTLSAADNDWFGPTGLPVITSRLTIVGSKAAP AAIVRDAAAGTPDFRLFDVGGGQLGEAYGTLTLRNLTLANGVARGGSSGTGGGGLGAG GAIFNMGSVTLDGVTVAGSRAIGGDAGAAADGTGGGIGSVGGDAIGDFGVGGNAGDPD GEAGGFGAGGGGGVSGIGGPGGWGAGDGGSGGGGGAGMGGAVFNFAGGLTVLNSTIAG NQALGGAAGAGGSAGGGYGGGLFNLNGSVILVNATLAENDADTDGLDVSSLAFGREWR SGGGFTPGGPVAAGVAMANGLLASSATGKSALASQADGSAASTGPGGDDSATVDAAMI DASSPSNAVRGVSGTLASGMVETAAIPALDPSGLANHGGPTPTIALVGSGLLGLSPGT VFHGITVPAADQRGATRPVGGGDLGAFQVTSTPTATLTSAPPANAAVSTATTTTVSVT YADHSGLGLDPSSFGTGNIAVGNGATVASYSVAGDTVTYTIAAPTANGVTWGDAPQGA YGVTVVAGAVRDLAGGAIAATDLGSFMVDVVPPTATLNSAPTVPGSSGGQDAETLMVI YTDRGAGLDPSSFGVGNLSVGNGATVAGYLVDGNVVYYTVKAPAANWADSPQGLYRVS LVAGSVKDLAGNPIAGVAAFGAFRVDTVPPSAALTAAPAVNAATPTRTTTVAVTYADA NSLVSPLTFSKTNITVSNGASVTGFTYSGNVVTYTITAPAATWSASPQGAYTISLATT VKDFAGNAIAAVPSLGSFLVDTVAPAATLASAPTVNAATAGNTTAVAVAYDGGVSGLG AGSLGVGNIAVSNGARVTGYVVSGNVVTYTIAAPGASWAASPQGGYTIAVVAGGVKDG AGNPIAAVASLGSFLVDTAPPTAALTSAPTVGAAAAGLSTTTVSVTYDGGVSGLDASS LGVGNILVGNGASVTGYAASGNVVTYTIAAPAATWSASPQGAYAVTLVAGTVKDGAGN PIDGPLDLGSFQVSAATVQARIVAAPTVAAASAGSGTTTVTIAYDGGASGIDPASLGV GNIAVDRGATVAACSASGGLVTYTIAAPADSWGVSPQGAYSISIVAGSVKANDGTAIA GAAGLGSFLVDTAPPSAVLAQAPTVDAAGAAGTVSVVVAYSDGTSGLDPSTFGAGNIA VGNGAKVAGYSAAGNVVTYTISAPGATWAASPQGAYAVSLVAGSVKDLAGNAVAGASL GSFLVDTAPPSASLASAPTINVAGGAVGAATVTITYTDGTSGVDPSSFGAGNIAVGNG ATVAGYSAVGNVVTYSITAPAASWAASPQGTYAVAVVAGSVKDLAGNPIAGAGLGSFL VDTARPTASLPSAPTINAAAAGGATTAVVVTYSDATSGLDASTFGPGNLSVGNGATVT GCSVSGNVATYTVAAPAASWAASPQGVYSISLAGTVRDLAGNAVAAAPGFGSFLVDTA APAASLSSAPTVAAAASATSTTTVSVAYADGASGLDASTFGPGNVAVGRGATVVGYSV SGNVVTYNIVAPAASWAASPQGAYAVSLVAGSVRDLAGNAVAAASLGSFLVDTAPPTA SLASAAAVVASGAAGATTTVSVTYGDAASGVDPSTFGVGNIAVGNGATVASYSVAGGT VSYTIRAPAATWAASPQGSYAISVVAGSVKDLAGNPIAGIPALGSFLVDTDPPVASLA TTAAINATSAGLATATLTVSYSDAASGLDASTLGTGNVAVGNGVKVTGFVVSGNSVTY TIAAPASSWAVSPQGTYPVSVVAGAVKDLAGNAVPGASLGSFLVDTAPPTATVASSPT VNASGGASATTTVAVTYSDATSGIDPATLGVGNIAIGNGAAVTGYAVAGNVVTYTIAA PAASWRQAAQGTYTVSLVAGSVRDLAGNPIAAVPGLGSFLVDTAPPTASLASAPGIGI AAAGGSTTTVSVAYGDATSGLDASTFGAGNIRVGNGATVAGYSASGNVVTYTIRAPGA SWSAGPSGSYAISIVAGSVKDLAGNAAPAASLGAFVVDLSRLPAAATLAGAPGAASFG QAIRLTATVSAASGSPRPGGTVTFREGGTTLAQAAVGADGTATATLAAGLAVGGHAIV ASYGGDPLFAPVESASITLDVGKSAATSTALAPSSTGVYQGQGVTLSATFQAASDGAT PMTGSVAFYDGPTYLGAAAITSTISGAVATGRASFATPGLAAGSHAFRAVYSGDASYA GASADAAAVTAAPATTAVTLTASTSAQSAILTASVSVNSPGQATLAGVVNFYDNGALV GSAPLSGGSASLALGNLPAGTHRFAASYAGAQGVSASTSADVGAAIAAVPPNVVAVAI RPVKRKPPVVVVTFDKAVDPAAAAIAARYAVVGPIVGKKPGKPIRVISAAYDAASHAV TLTFKAKLTASKSYRLTIADLGFTAVFRGSGIVGK OJF2_RS02365 MKHIRSLFHAGTAAGLSDGQLLERFAESRGEAAELAFATLVERH GPMVLRVCRGIVRDDHEAEDAFQATFLMLARKGRSLWVEDSLGPWLHRVACRVAIAAL HATGRRRAAQRRAAECARDCARGHMPDDRGRAIHEEIDRLPARYRMPIVLCDVEERSY EDAARHMGCPIGTIKSRLARGRERLRDRLTRRGLAPSAVATAFHLPEAQVAASLADRT TRAALWCVTGRLAGAPASATVAQIVKEASRTMAMARLHPSAFVGIALGAALIGGVAGS MGGRMGAVAPAARDEPGAEDPRGDLDRIRGTWVRVSTSVGKNVVRRLVVRKATKPPEG EIPAGAGWLDFEWRGEGDPAEAAARRNRVLLDPTAAPGKIDFLPEGEGATLMPGIYKL DGDTLTVCFRPTPGERPGGFAPASGPSILDVYRRAGP OJF2_RS02370 MATNLGELLGAESDNLLNHVCKTIPKESLHLPGPDFVDRIFLQS DRNPRVLVNLQRLFGTGRLANTGYLSILPVDQGIEHSAGASFAKNPAYFDPENIVKLA VEGGCNAVASTYGVLGSVARKYAHKIPFLVKINHNELLTMPNQFNQVLFGTVKEAVDM GAAAIGATIYFGSDQSTRQIIEIAEAFAYAHEHGLATVLWCYLRNNAFKTGGTDYHVA ADLTGQANHLGVTIQADIIKQKLPENNGGFKALNMGGSSYGKLDERMYTELSTDHPID LCRYQVANCYMGRAGLINSGGASGKNDFAEAVKTAVINKRAGGMGLISGRKAFQRPMA EGAKLLNAIQDVYLDASITVA OJF2_RS02375 MIAARGTHRSRLALGLLLGLAAVAGPAPRRAASQAPDDGTRQMT MMAILATPGSREMDTRLRVVSTQLRKILPDHGFRLLDVKSKQLAGGQSISCDLGHGYR ARTVLEDSSDDAGKILFRCEFTNGKEVEFSKEVRSPENQLFFYERTLKDGSRVLIGIG ARAPLD OJF2_RS02380 MRCDDVIHALSSPGTGADEPAVARHLASCPDCARRADEAARLER LWDATAPATPGPDAWDLVWSAIDAQLDAARPAMPGPARRPHLRVFGVAAAPTGEAVSR PRRAWAAPAIVASAAAAALLVAIAPAWWAPRPRPEGAPSPAVAASEHSAESRSPQPQP LAEPPLEIQEGQVVLIRDDGGVSKIIDVTSLEPANGEDPWFVFFNRLESGDHVVAMSE OJF2_RS02385 MTEAPPSVQTADEILVERARGRDRSACEELFLRHRTDAYRAAYR LLGNEQDALDAVQDAFIKAFLAIQDFDGRSGFRYWLLRIVANAALDAGRKRKRRPRLS IGDGTTGLPEPAGYEDPARGLHRQDLRRALDAALGGLSVKIRTTFVLFAELGMSYKDI AEAQGIAIGTVMSRINAARTKLQQALDWDALKGMDEPSRRESRPHDPRSSHEPE OJF2_RS02390 MNTIRVVIADDHPIERRGICLILEETPGIEVVAEAADADQAVAA VAAHRPDVLITEMGLPGRSGLDLAERVTREFPRTQTLVLSMRASRSHARLAMAMGALG YLAKNVDASEYAAAVRAIARGESYVALPAAGPPAAEREQPDHAGERNPASLTPRQLWV LKLVAEGLTSKAVARRMGISTRTVEVHRRQIMQRLRIRDLAGLVRYAIRNKLIDERGE DPPRRT OJF2_RS02395 MRLNGESGVRGGPETRHPGVRDSRPVGGRSGPGDGESSAEAPAA GTASLSRLLGQLIEEIDRFRHAGRPSPRGSQSLLCYRDVGHVYMEVDVPGPPEVVADV SVVGSRVFIRLVR OJF2_RS02400 MPQERGGPEPGDGPPPPRTRDQAPAFTAEVSVTYPPDDDDVEAC SSALGAGGVTLVAQVPPGGGPEVSLPLRFVPVSGVPTSGGPFLGRVIDVRMADDAAII AVAFDGPLGPGHMPGLFREAASGRPPPVGGADASPRAGTAPPARPRRGPSAGRPGPPG LAVHLIEDPPRWNPSAS OJF2_RS02405 MESIRVLIVEEFPLFRLGLRSLLRGVGGISVIAEAGAALQVRDL VEALVPDVLLVDIDSLSREEVDLVAAAARDFPGTKCLALSSGAEREQMCRAVFPDSVT ILSKHATEAELELAVRLASAGRRYDARTAWGRPPAADPPAEDRPIRTQAHGARPTDGA AHDPLTLRQREILVLIARGVPNKGIASRLGISIKTVETHRLQLMKRLDIHDTAGLVCY AIRKRMIDPTS OJF2_RS02410 MLLRISPRTTNRTKVMGHLGEAPAAPRRRGRRTNRPRPLLESLE ARTLLTATAVADSYRLIEGVPFSAAGTGGVLANDVIASGNALSVSAHTSPSHGSLAIQ PDGTFTYTPNAGYAGADSFTYTANDGLGHTAIGTVGLTIAGKQDLAAVTAGPRLASVS TSQSALLNGLIGGLVGVNLTAVDWNSIAGGDVRLGSLLDTLRANLSLSDTSQVLSADL TLGQVLSAAATAAQADGETALVTGLNGLIAQVPGLTQTIKLGDLLQVDPNQGSLANIG INALDLVTGAAQLYNFKNVATTPSPVTISGSSLGLGSLINSVQLYAQVIEPPVFVRAA EGVTFHTAAVRTELALDLVDINLDTTSLASGLLSSLGLVGATVTAGASLGQLDVYAEV ASGTGVLSSVDAIAGAVTVQATPGLANLYVGDIAPSVFFNRTHAINPATDVGYGSVGG LNLAVNSALLGNLANVSVGIQARSSALGTAPLGGGLTFNGPFPETQTVGSGAAAVSNL VTTLVTNLQLQLSGALGALLSPATSTILSTLKPVVGDAVGPVLSSALTNVADPLLQGL GIGIGQMVVTVQGIGYSPPQANADFYQTNQGVPATLAVLDNDAVEAGDNPAVVAVTQP SHGTASIRPDGSILYTPSPNYFGPDSFTYTLADSAGLTSTATVTLTVKAVNLAPAANN DSYTVGENASLAVNAALGVLANDTQNNNLPLSAVLVSGPSHGTLSLNGDGSFTYTPAA NYYGPDGFTYRATASGGALVSNVATVNLTVGRVDLPPVAGDDSYATTRNTPIVRDAAL GVLANDSQNNNLPLSAVLISGPSHGLLVLNPNGSFVYTPAPNYSGADSFTYQATAAGG TLLSNVATVSFTIGHVNLPPTAINDFYAVASNATLSVNSASGVLANDTEPDGLTLSAV LETGPSHGTLTLNNDGSFTYTPAAGYVGLDSFSYHATAGAGLDSNVATVTLTITPSAP NLPPVALGDLFGVNENSSLNVTAPGVLGNDTDVASLPLSAVLVSGPSHGTLSLNSDGS FAYTPNANYFGVDGFTYQATDGTLQSNVAAVVINVNRVDQAPVANNDAYVVNENATLA VVGPGVLANDTQNNNLPLSAVLVTNPSHGSLSLNADGSFTYTPAANYYGPDSFSYRAT AAAGALVSGTATVNLTVNRVDLPPVAVGDSFSVNENASLTISAPGVLANDTQNNGLPL GAVLVSGPSHGTLSLNSNGSFTYTPAANYFGADSFTYQATAAGGTMISNVATVNVSVN QVDLAPVANNDAYAVGENQSLTVPFVTGVLANDAQNNNLPLSAVLVTNPSHGSLSLNA DGSFTYTPAAGYFGADSFTYRATASGGSLSSNVATVNLTVGRVDLPPVAANDAYSVSE GAGLTASAGAGVLANDAQNNNLPLSAVLVSGPSHGTLSLNSDGSFTYTPAAGYFGSDG FTYRATASGGSLVSNTATVSISVARVDLPPVANGDSYAVNQNGTLSVLSGTGVLANDT QNNGLPLSATLVTPPSHGTLALNADGSFTYTPAAGYVGQDTFTYRATASGGSLSSSPA SVTVTVVQAAMPPVGVGDVYSTTYNQRIVVPGTIGVLANDVNNSGTPLRADLVSGPSH GSLTLNADGSFIYTPINFGGTDQFTYRVTDGLGRSSLATASIDVKDNGPIVTQMVRYG CKPKWAEWMLTFDRPMDPASVANPANYQLLVATRGKTFAPRTFKAVKLIKAVYDPVNH TVRLYPKGPQVARKYYQMTAFGSGLTDTSGVHLDGDANGVAGGNYVHRFGFEAFVGQD PWTMGETTRAASLVASADVKVGHKAKAVHHPKRHPVNHQKAKANPCAPAASAPANVAA VDHVLAGGLG OJF2_RS02415 MADEVAGRPRPGGSHRPSARPTPRGAVSCVPLEPRALLTATALA DAYSVISGVPSSAGAQQGVLADDAIASGNAPSVSANTTPSHGMLAMNSGGTFTYTADS GDVGTDSFSYAASDGRGNDHHVRRGP OJF2_RS02420 MTLRCPSPMALAGTLLTLSCGLLAPTTASADSVNPNASASTATA SYRLTTSTGISTPSSDIQGPQVIAMVLPPGSVVPPANADGTQGSPLTILPDSSGFDQS QLVVALKDTTGADGSPEQLFGLVFFGKGLDAGGDLHFSLSINGALASNPPVLESLTPG VTITSDAPASGGSGSGSNPGGGSGEGPTGGDGTSVPEPLSMALWSSVLVGIAARRRLQ PRR OJF2_RS02425 MSETPAHFVAVCPSCLSSLRIRSVYDGAQVRCKHCDHKFRVFSP DRIEAAEGDGRDEHDEYMVVSCPSCETALEMPQEFAGHRVRCNHCEAMFLVEHVVKTP ASWTSAPDQDDPVHHPRGILTPDDAPGGAGRAGPRPGTSEVAEELASLRSGHERLEAE LAARDGELSRLRSQNDEAEARLGRAVEESTSLRRRIDELEAECGRLGGLLRDHEAVAN EREGLAEARGRLERELAEARLLVDEQAVRAQRAEEGLEAARAELERAGVQLRDLDAEH ATLRGDRDRLEGLAGGLEEELRAARDEAARVAGELGSHREALAAARAESGRLSADASG LQAELELARRTMADQGAEIAAREREIAAREREIAERERAAREEREQLGGEVDRVRASL AAIERAHESELTSALDEARSRHAHDLSSAIAELRARFADELEGALNEARGRHGDDLAR LQSRLDESAQAAARLEADLRDVARARSISEAELAAAREEIAALRKQLGDTQTATRTMS SMLEGMGIRLH OJF2_RS02430 MRIRPLRARPWGALVLGLAASCLPGCGDSAAEDPYRNMEMIDPA AAGAARQSRVVDPVLEEERRANESFSPTSKEKGQDRRAPKAGA OJF2_RS02435 MSGTSRISGNSRGFTLIELLVVIAIIAVLIALLLPAVQSAREAG RRAQCTNNLKQIGLGMLNFESTYGHLPQGPYDGDPAAVTTSGAADKDNYPQNGACCNA ATPNGWNQFFKILPYIEQQPVYNMANFSTPPIADGRDSSMDGEFAVSQVVIPSYNCPT RRPNYTYVTVSSGVATAHARADYAGCAGFLYGSAYGCGNGAFIPAPPNGSLPNYGPLS SNSYNKGNTPGGKGAIVWGGLGAVRRLADFTDGTSNSFLVGEKSLSPAVWGSAGGDNE MWQNSGWDEDCVRWHFPPVGDAQAPPYKGICNSPPAPNDKSTGTLWQRMFGGPHPGGV NMLMSDGSVHFIKSTVNPATFRMLSAIDDQGVLSADSY OJF2_RS02440 MRYRVAAFAMSALLAAAASRPAAEEPSLYAYLAGTPAPSMIGYT PSELDPRQEANQKKLATSSIRADLEALRPAFDGLVLYGYNEACTPRIVGLAVELKFRA VLLAIWDPKSAAELDGVAQLARLHRDELAIGVIVGNEGLTFGRYEADDLAIAARRLRK TLPAGVPIGTSEPLAAYAKAERKLMSYGDFLAPNIHPVFDRKGLGPAEAAAWVREEAL ALAARSGKPVIVKETGLPHAGRENYSPEAQAAFWDAYLAPGRVARPSPDSAPAARAFH SVAFEAFDLPWKSEASGLVIEQSWGLLSARRKPYPAFQVWKDRGAR OJF2_RS02445 MSPHAPQIEAFLSGPAQVRRLVADMSREQLEARPVEGRWSTLEV VCHLTDSDQVWCHRMKRVIAEHLPLLIGYDETRFAAAMRYHDRALEPELSLMEAMRRQ MGDILRALPAEAWSRAGVHNERGLVTLEEMVRIETEHVAHHIRFLVEKRKALGLPAEP A OJF2_RS02450 MHDYDKSSKWLIQHHGAAILRLAGVEGIVEWRPLQAEVVMPRYL PDGLIAATLAGEPAPRLFVLELATYPEPRVADQAVRDAAFVYLDRGVVPEVFVVVLSP KGRFRVPREVEVPSAGGTTALHVRWHVVELWTIPADDLLAVPEVGAVPWALLGRVDGP PEPFFRRCRERIDREAAPEERESLLAVSQVLARLRYDDEELFRLLGGERTMLELPFLD TLKEKWMKEAAEEAAREATRKATHDNQVRNIVDVLTARFGSRASTLRPKLDAIGDEGR LNDLVKVAAVCESLKDFRAHLREAAGEGKAR OJF2_RS02455 MRTGGGISIGRMMIAVALIAANLALAQALPPEFRLFPTIWVLAA VPDYVAFRKLVQGREMGAFDHAFLIALVPTYVSLANLAAAGRIHPLGVLVRCWEHLSG AGTVGSPAGGPDAGEIWMACALSVAIAMAAGWAAARVGRRTGWDVAAFLRRSLVGLGA ACLLITAADAAGRWPETTPAGQAVRISVMALCMVAGGSICRSRLRSAAAATCEGPSRP AAGIAPR OJF2_RS02460 MAKRRSDDVSIGKFDILAAYTYAKALLDGLDEDRAKERGMVAAI MGARARLGFGKKAKHGDSDYEADRKAAEKKRKTTITAESFDHQVADKLGPFFADEFAP ALTKLIEAGLSYDEVKRLVKIPPLWGAKITGEQFRQRVAKAPRK OJF2_RS02465 MGVDDRGGAGRLGATVLLGKGLTPGKLRGLQRISNPDGTLTMLA LDQSSSIVEMATGALKAGGEGREPTYDEIVDAKLDLMRNLAPAASGVLIDAYYGAWSA IASGAIPPRVGMLVRYEVSGGPTNRLGAPLAVAEPGWGVEKAKLMGADALKLLAPFEP TERSSAEHQFQVVEHVHEECKKHDLVFLLETVTFPFGGETKSDASYLDRKAATVIEAA RQLSGLCDIYKAEFPGTLDRESDEQLLDNLHALDAASERPWVLLSAGVDFDDYFQQVE MAMEAGASGVLGGRAFWKEYFLQGDAAARGRFAATTARERLAAVDALVRERGTPWFAR YGLDGPEMTTIRAAEGWHARYASRRGPDAGAAPAARPAPGEGC OJF2_RS02470 MLKKLFKLTTVFAILLVAYQGYSRGFDYVAQRLTSARKVRDLPF VRQTPQSQLEAIRYAREAFGPHHWTAADDLGLRYYVGARGFWMYAQHYKRVMEENGVK YNGKRIDLTPIAIILKTADGRTTKTVIADHARLDLSQPLGLNPKSDSEPLVVKFARLE GNVFIRDDKGTPENLADDLVIGPLTHVEYDDDKLEVTSQSDVLIVDGGTRVTGEGGPD GGLKILLRPKASAPQATTRTSGFDGAQKATLYKKPHVVFTDVGKAGVLPGAPEVKKDG SGKVEATVKVDPSKAAAGAKAGPGTKGDGKAAGEPTPLDVRADGPMQVEFPRPVAPVK VGPPAPPGPTLVNFTRNVVVCRGKLDSTPGPDRLDADSLDLVLVQPDRPSPPDRPADA AKPGAVKPAGAAPMPADAGAVAASKPAGDAAAQGEEKGLFGDLTLRRVKATGHAVWLY LPSQGAKIRCNELIHKVAMPDQEGLTYFRGDTPTRAGGSPGTPKLEVTKIDYAEERPQ GADGPVKRTPVSVTRIWAVDATLIDNGSGSMETANLFAHGPGYLETRPIPAPGKAPAK DAPPDRTMSWQDSLEIKNLLGPDKLIARREIIVKGRPHIVDSLRQSSLDAHDVVVATL VPRPADPAAAAGAKGGASPAGSFRIKHLLAQGNARLIAPSRYLTARRRLDVDFDELAR PTVSTDRGGPTPPSGGSAPPSSAAPRDTIVADAGKQPAGDGPANGGQSAASKKAEEPP MTAIADVVEAKVLLDPDAPSDPSRPGPSGTSKAAGRATAGTGTATAALRTPGASGGGA GQDIRDVRMFGGVRLHQDPAPGKVKASDARGECLVLRNEGPGRAIFNLYHEDPRLPKE QRFPAVVRPRALVITEDMTVEGNTIGVNQITDQAWVYGAGKLIQLTDRGMLTDRNDPA EGEDAPRDKPAAPKKPKMRAGKVQSDKIPLIITWGDRMFFEGRSIDQENRPAARATFF KDVRALMEDGELRCDKVMTTYTDRPVPLADLGNLKKKPSDGAAARGQGPDGEQAAGAE AERPKPDLAFIDMVGKAVAVSRKVDENRPVLLNRQKITGDRIIYDRRTGDFEVPGAGV VYLHERGNQSVLRPEGGGVVAGGHEVRPIADRAGGARPSGARPAQARGAKPAADAGGA IPPLVLTQIAFSREMKGRFGTGKADDQAATRHAEFFGDIQAARAEVRSEAIPLDFDRL PGDAYFLTSQTMRVVTEPPPPGSPRNAPARNFLKAWENAYARSTDSTVQADVITYDSQ NDLIYATGEDGRQVIAMRQVGPGQPGSPMRARALRVNPKTGDAEVIGPQDMVLLDNKT GSRPAPVAPPDPNAKPGKPRKPGYKLPQSNYERKGFTGR OJF2_RS02475 MAQATLAELAARCSGIELLALDVDGVLTDGVIELDDDGVESKHF HVRDGLGLALWHRAGKRSAILSGRAAEVVRRRAEELKIGHVAQGLADKGEAFRAMLGE LGLDARQVCYVGDDLIDLPVLRAAGLAACPADAVAEVRQSAQVITEAPGGRGAVREVV ETILRAQGLWHNLCEPFRVPAM OJF2_RS02480 MAMITDATLGFETEAEGVAFARNVLRIEAEALERVRERLGPSIA RAAELVYRCPGSVIVTGMGKAGHVGTKLAATLASTGTRAFPLHPGEAIHGDLGRIRAD DVVIALSQSGETEELLRILPAVRKLGASLVAITERASSSLGQASDCCVAIGRVEEACP LGLAPSASSTALMAVGDALALLVSRMRDFSPEDFAAYHPGGSLGRQLSRVEEVMRTGR QIRRARPEETARDVFVRLAGPRRRSGALLVEDEEGHLLGIFTDSDLARIFEKRREAVL DRPIAEIMTVDPKRVRVGAMLSEAVELMQSHRISELPVVDRANHLVGLIDVTDLIGLV PADFEE OJF2_RS02485 MIPGPVFTFELLRTSRRGSFYVMRAAYAAILLWAFYTVYQTWVT WGGDEPPMTAMKALAISSFGAVAVSQVAFILAITPALVAGVIAEEKQRKTLHYLLASR LSGPEIVLGKLLARMLHAAVLLAVGFPVLSLLVLLGGIDPRLIGLACAAAASTAWLLA AMSIWASTLARRPREALMAAYGIEFLWLFVPAMVGMVPSTGWFALDGLLDGVLDILRQ SSPVGMAYRTFSAVLMGGGLRVEDLVAMIAYQAVAGAVFAVAAACQLRAVFRRQEGRA GREAGPRRRWAARLGMRPSLGDRPMLWKELFTARPRGFARIIGGLVTLAAGGAFLYYA VGYGWDAFREMRDHAYARSADPWDDANVARWKFHFFLKYTLPFLYIPALLGLAGAAAS AITSEHEADTWVSLTATDLTAGEILLAKWLGALRRPWRIVAVIVLSTVAGVLVGSVHP LSLPVQLACLASHGAFAATLGLWISLHLRSTWRAQFLTVSVLLLVNLLGQAALNLHHY PIPMIWPGFAPYDLSKSILSPRFPDALKAEAADWKYRSWDIDDGFLWTATFLALGLAV YAAATIGLGKLCLLKFDDVAGRARRPAGRTGQTTNTDHRN OJF2_RS02490 MGTIFRVGMMRSTARVAAAGQAGAARRSVRGTRRGWLLGLALVL TAPLAPAQADPLSFTVGVQADFEFMLLGGTVLNPGPDTPFLPFRAIGDLTFQLDASLN DPAATTVPFMNVTGVLQGVPPSLPLTLPFTLTPNVEFLGGELTNIRRDALGHVASADI ADLSMRWALTSTNPDFAVTLYTQVGLPFDATGVTLPFAVGTVLSGPEPFNGYLDTGNP ATDPLVAIGRNRTLTVIPEPSSLILASLGLAGVGGLAWRRGARRRTPA OJF2_RS02495 MSPNHLAGRIPAGTLDRLRSRASAAFRLRFGTTLVLLAIGWPLL LPGLLSLVPGYVEFEFETPAALIWAWLPSAVMLVAGLVVSLVPGLRARRVPPPLVGIA LAAMGAGGLRVTYEHGVDLTARAMLLGPHAVPALLRALAAEGTNSRETSSSRIARLDL LSLGHRGVPRLIGALTAPDWSVRAGAAGVLAQLGQEAASAEPALIEALKDPDARVRSA AADAVLGLAPSTRFNVPVLIAILDGAGSLERSDAAIALADLGPSAPEAVPALCGALKD PLWSVRLNAARALGRIGPSAVAALPSLEEARGDPNPDVRSSAAAALDRLRAR OJF2_RS02500 MGRILEWASSYDQGGKGWSRVVTYIKGGSPAALAQLAAMKDPDT LAIDELAKVLEASGTLDEEHRRALHAMVAAKAFSSLASWLDRALAEEGPDEDRFGTAA AEVAGQGVAPRTLLHLLANVARDDRPTSAGRALLALSDEDLAWVLARDEYSNLKTWLE FLLDAAPDRMPPILDRALDPDNPWDWDVPMEKDPARYAEILAPKVGSIQSLRCRYYAA RHLFRADPARFRAVALEAARAMIVDGLEWQSDADDAMGLFGVDVRDAVIAYMKTPTRV LSDRGLSFQHSSVLHSAVDRLGRDAIPVVLAYLDTMRPEPEESHAAEVGYLMDLDDGR VTERIRQELDLGLKAKLIDHRGRFDMLELAARWGPEKMADPLWALVRDPGKRARELAV PVVAKLGDAAVRPRAIEMLDGPKADLRETAVALLAALGTPEALDAVAARRDVEPDEGV RDAILLALEAAGRPAANPDIAANVARMSASLKKPVAPWADEAKLPPLHNRDGSPLGPE ATRYLLVRQSRTGEIAPDIEARALYDRIDPATGADFAEALLDRFLDSGGEPSGRWALA VAGRLGDARVVPALVRSLDGWVKKNRLKMAEYAVQALALVRDEATLSALDDVSRRYRV KPKNVAQAAGEAIRGAAERLGITLDELGDRVVPALGFEPGRPRVVEAGGKRIEVAVGL DFKLHYRDLATGKPVKSLPASTPKEAKAELKDVAAGLRDAAKAQAARLEEQLVRQRRW PVARWRGLFLDHPVLFPFAVRLIWGHYDDSGTLGDTFRAMEDRTLTRPSDEGYELPDS GSVGLVHPLELDAPARDAWRTHLADHEITPPFPQLERPVIRVPESRRGARFLVDFRGK TVHALSFKGRAERLGWTRGPVLDNGSVHAYVRKSPAGVEAVLALEDMSVQPFEDQETT LKDAAFFRSGAWNGFDYNPLPEDESDPRLLPLGDVPPVVYSEVMGDLARIAGQAGTGE GEGEED OJF2_RS02505 MDRPSYLNFDRAAYAWRPDVDYRAHPELYRVGKGEQGVLICEPY KGELVPHWRFKTPEVARASSRAIYGLFLAYLRRGDFVGADMARKFLQMGFTRARRYAN YRGGRKYDPGDKHPLEKGTGDPAKAESARIFFEAWKKAEAKPAYAKRKAEWKEKYG OJF2_RS40330 MRSPGALLVLAASALSLAPAPARAAAPPTWEELRRLPKDCPVVY DNDWLGDTNDDEYLLAKAHLGQARLRGFILSKDQWDNGRQYKVADGRADFERDLAIAR RSGLRAVPEVTLGADRLLERPASGRVEDAKPVASAGTDLIVREAREASPQRPLVVIVG GPLCTVASAVLSDPSIADRMLVMMTDIDGYNGSDPWANYVVATRCKLVNFGASPLGWP QRPGPPIMPPARFDALPDREITRSMKKVALGFWERSTRKEKPDRDDGFADGAGTFLLY RPETWTGVKKVRVSGAWSHEDAPSGPYHYLDATGIEPGLMTEEFFATMAAALRPDGRG AGREVPCPAKVSDNHRYLIDQRGEPFFYLGDTAWELFHRLSRDEANTYLEDRAAKRFN VIQAVVLAEHGGLDVPNANGDLPLEGKDPTRPVEAYFRHVDAVVARAEELGLVIGMLP TWGSWWHDGPGIFTPESARSYGEFLGRRYKDRPIVWILGGDRPVEDDRQREILRAMAA GLRQGDGGRHLMTFHPPGGRSSAEWFHGDEWLAFNMIQSGHGYDHDNYERIAAQYARE PAKPCVDGEPGYEDHPAEFNPKNGYLDDYEARKFAYWSVFAGACGHTYGCHDIWQFYD EGRRPITAARTPWKAAKDLPGAGQMQHLRALVESRPVLARVPDQSLLASDAGRGTNHV QATRAEDGSYAFVYSASGEPFTVDLGKLSGGRLRACWFDPRDGTSRSIGSLDRKGRKE FHPPSVGKGHDWVLVLDDEERGRPEPGRSAR OJF2_RS02515 MRRASLSVLAITLAISSAGAQGLPKQPIASGLTPHSAGIPIRFR LDREGVATLVVEDAEGNRVRNLVSEARLPAGENTAWWDGYDDGEWDEHHNLVRRRVPG GTYRVRGLVHGGIRMRYEFSVYSPGTPPWKTRDGSGGWLADHSPTADVVFLPVGGPGR ARGPSRLLVCSTSGETGEEFVWLDEDGRRLHGTNDGFWGGTHLARDAGPKAVLGDDAY VFISGERDPDNDAMEVRAFRADGRIESVAKVTFPHDSVKRFKSNDEAYGANGLAARDG LVVIAFTHQNKLIFADARRRSVTGEVGVPSPRGLSFDRQGRLYVITGGKVKRFSVTPG RAGLADEATVIDRGLSQPRRTFVADDGTLYVADWGDSHQVKAFSPDGKLLRTIGRPGG PQLGRYDERRMSYPCGMAIDGKGRLWVAEAETYPKRLSLWHAGDGSFVRAWYGPPKYG GGGAIDPHDRRRFYYAEYDRGGGIAFDLDWEHGTSKVRSIFWRPEKFEETVPGPAPER ACTVAGRTFLTNCFNGQLRFNQDRGATIWRLDPDEVARPVAVLGNAADLNHPQWGWAM THRDAINRLWEGKDPARVFFAWCDDNDDHVAQPGEVRWAETTRKDGRGEPYAEVGLMP LIYPDLSVTTSHGTRLAPPTISAKGVPIYDLSKVSVVGPADIQRSPLVGRDQALTYRD GTDALFGSDLDGRRRWRMNWVEGDPPSGDHLIQATRPNGPPVRPLAGEAGDLVAYSGE KGAIFLLTLDGLFVQTLGGDERALPNWRMPEARRGMVIEGVTFSAEHFHPTITQVDGG EVYMVVGHEHSSIVRLEGLDAVRRIDLGSIAVDDSSLRGLPGTLVERAREQGRQVLDV AIGDRAPEVDGILRDWPAATAWADVSGQATASATVAGDRLYAAFRTGDPGLLRNGGED YRYLFKTGGALDLMLGADPSADRLRREPAPGDVRLLVTQPGGRTRAVLFRAVAPGAGQ GRGLLYQSPIGQVRFDEVADVSGSVTLAGRDGDFELSVPLAVLGLRPEKGAEVLADLG ILRGDGTQTTRRAYWNNLDTGLVSDLPSEARLRPANWGVWRFR OJF2_RS02520 MLLAPAALLSLAALGWSDPAGDRLVVSSFRTGELELFAVDTATG DAVNLTRSPGSIEKYPACSYDGGRVSFISNREGTDNLYVMRADGSEVRQLTREKPGIN AGMASWTADGQWLYFGLFGGGPPRMCRIRPDGSDFRVVGEGIDPAVSPDGTRIAFARQ LGDGHHLFVAKADGSDARQLTKAGNGWAGVHAAWTPDGRRIVYADRVGEALELFACEP DSGLIIQLTRLGAAATSPSVSPDGERITFRLCDEVYWRSGETSRRAYSERRADKRPVW IMKSDGSEPHLIEVLHYQTTIDGSRAPFLRTTAGR OJF2_RS02525 MGDDGSSGRHGGTSGTPAGGATGGGGRFRRDPHPSLRPFVVEYW GLARDLAAMGGFTITPDRFGELICCADALYAVGRDGRERLPDCFLVGLLEGPLRIEAD GVVRCMAARLQPWTVGRLLARGPGPTPGGWMAAGALLGPRLARVVELVRGRDWEALFG IYDRILMEEIGRRDLGGAAIEVVGPFLGEGPCPTAAVADGRDTSRRQVERRVRALTGT SPKRLAGLARFQRARDAIWADPAIGLAGLAISAGYSDQAHMTREFRRYAGQTPARFAR EMLARKLQLAALDVAFVQDPPSADA OJF2_RS02530 MRTKLATINLQVADPQRSRRFYEDVLGMVEDARRSHPPSFVYLR SDGCDLTLATPPESSGAQPSRTIELGFLVDDIEAMKSHLSARGIRDHREESMGWGRAL ELRDEDGYRIVIYSFERAGGVDRR OJF2_RS02535 MHAPRSRRGFLGDVGRGMLVASVGLGTAADMGLAVARASEDDGG PITFGGLEPLVRLMQETEVGGLMPALVGRLRAGTELKDLVAAAALANARTFGGEDYVG FHTLMALAPAHRMAGELPEGQRALPVLKVLYRNTNRIHEHGGGASEVLHAVRPGALSG GQDPGEALRDAVRRRDMAGAEGTFAAIAAGSPEDAFNSLLVAVEDETEVHRVVLPYRA WELLDVVGRDRAHTMLRQSVRYCVKSERGGDREAGADEPRRLLPRLFDQHRLPRPSPG TKRPGDGWVAATASTIFGSTPAASADAVAAALAEGIDPNDVGEAISLAANQLVLRDAG RPERWAAPGKPPGSVHGDSIGVHACDAVNAWRNMARVANPRNAAACLILAGYEVAKDR QARAAEFLKWSPRPLGEYVEAVRTTDAKGLLAEAEAAIRANQQEIASATVHRYGELGH DPGPAFALMLKYAITEDGALHGEKYYNTVREEFASTRPAFRWRQLVALARVTASECGR PAPGVAQARELLKA OJF2_RS02540 MIRRSRRTKIAAALLVLIAATAAEARSEVIVSFSYSGRGGPDFA GLIATGTGSFAFAEGLTTIGLADLTSFHFVLDENTPNTATFGLADLSSFSASMGPGLT LTGLSLGTDAVQGDNPSTEPREFDVASLDPSGASTSYRIVIVSFQQTVGTVTITSVAV PEPSTIALVASGAPLAFLGIRSRRRAARAAA OJF2_RS02545 MNHHTFSQKRMSPVAGDAEGPGRKALQLCHQVAETLDEVLAECA DPLLQGLRVVDVEPAPDASRLLVTVALEEPVEDLPPVDPRQIHHHLSRASGHLRSEVA GAITRKRTPMLVYRIVPAEA OJF2_RS02550 MKVMVLGLDGATWDILGPLMEEGVLPNLAAMRREGPAGVLDSVF PPLSPVAWTGVMTGRNSGKHGVFEFLDYGHDPMDVRVNSSRSIRTELIWETAARHGKK TVAGGVPMSYPPRQGEHFPGFYLGDFLSPENAPDFTTDPALFDELQKVVGPYRAWSTA VHEGGNEAAVLDDLTAFLDQHLKAVEFLARRCEWDLFMFDLMATDRFGHELWHVWDTA HRAARGREEELKALRPKLLEFWRTLDRGVGRVRDALPPDASLLLMSDHGFGPIEWYVN FNVWLLENKFISLQDSFYVRQKHWFYRRGVTPAGVFALMTKLGMANYRVSRFHGKQTN ALDRLGESAFLSRRHIDWGRTRAFAQGNFGQIFLNLQGRQPHGCVSRADAPGLRRDII AGLKEIPHPETGEPLVERVYESEELYDGPHAHLAPDLTVVLRDWKYRTIGLHDFTTNK VISPAFGPTGDHRMEGIFIGSGPAFRPGAAPSGADLLDIAPTVLRLLGVPIPADMDGR VLDEVLDPSATPAAVEAEDAGSHPAEPVAATYGAEDEASIRERLTNLGYL OJF2_RS39865 MVNIQDLFDDAKCYTTIRDMRWPDGVACPHCSSASVIKDGRDDT EPHRQRYQCRGCGRRFDDLTDTIFAGHHQPLRTWIACLYLMGLNLSGLQIAQELEVNK DDARAMIRQLREGIIARRPPVALEGEVECDEVYVVAGHKGHPEAVKKKAGPPAAGA OJF2_RS39870 MEKEKPPIFGMLQRGGEVVIRMLADVKQATIGPLIRRTIAAGSL VYTDEYDIYSRLEEWGYAHETVCHAAGEYARDDDADGFCEVHVNTLEGFWSLLRSWLR PHRGISQECLPLYLGFFEFVHNVRARGKRLLGALISQLLAPPRNPS OJF2_RS02560 MVPVLVLCTNPACRASYSLSEADLRDERCRSCGHPLEVPRDSAS RPSTSSSSGRSAPASGSPRLDDGSSFGRYRIVRCLGQGGMGSVYLAHDTQLERPVALK IPRLAERDGPEAIERFRREALAAAALDHPNLCPVYDVGEVDGSPYLTMAYIEGRPLSD LVDRPEPVTPRQAAAIVRKLALALQEAHDRGVVHRDLKPSNVLIARRRELVVVDFGLA RREGAGDARLTRSGMILGTPTYMAPEQVAGDVDAVGPRSDIYALGVILYELLTGRVPF DGPVTLVLAQVMVAQPEPPSRLRAEVGPDLEAICLRALAKRPEGRFATMAEFAAALNG CVRGPAAGTNPVGGPIAEALAGPPQAAPAPPGPTRGESLLASLFEGLASRTSAAATSV PATEPAVATTPPPPRRRRPLAAAAGGAVAALAIVLGIVIYVRTGEGTVRIEVSDPKAD VQVKVDGDAISVEGLGEPLKLRVGGHLLEVEGKDFASRSESFTIKRGDNPAIRLTLVP KPKAPEPPAGSGDGPRPSEGAAAGPKPSAGTVDYLERIGVAQRLIDEGKAHEARAALA ACRPEDRNWEWRYLSALIATPADAEGGAEAERSVRPARFLAFDNPGRAGIVTFSPDGR EAAVGGNDEKWTFAPLNFYDASTGRLRSSIPDVWGGFPAYSPDGTLVAAIGSNTIKVW KAATHELVADLRGEGSEKYLFTQLAVSPDGRLVAAGDQAKFGVRVWSVGDGKLLHSWA DHSNKCWLVAFTPDGRRLVSYGADGMVRVREVRTGNESGSFRVGDVSQQTFGGLSADA RRVAAIADSHISIWDVATGEALVTLAGLRARSASFSPDGSRLVTGGQDGQIKIWDTRT GKLALTLRDQGPVTWTSAACFSPDGRRLAAWAGGGEYITTVRIYDAGRPFLAAPPEAH GAATGPRPAEARDRSSQAATSAKVPSPDPATTRNTKLRVGVPDRLRDYRDQLAKAMDA GRARSWDLMVNLLDECPDDLRGWEWGYLRRMAHRSIRIATTGSQKGVRPDEPPRPALT LNLPGVVSFVAFSPSGTRLALAHSWDGKVLVSDVRSGKEVVNLFGHTKQVWGIAFSPD GTRLATGSSDRSVRLWDAGSGRLLRIMEGHSDGVEPVAFSLDGRYLASGGNDGVVRIW EAATGREARALSEPTGGVHGVRYSHDGRQLVCISTDEKGTVNVFDAESGQSRKTFRAQ PWPGRMDISPDGRNIVTSSWGEPRLVVSDLATGQARRTIGGPDTKVHLVRYSPDGRWF VSSDGDGNLRFWESSTGREVHTVHAHADAIWGLDFSRDGLRLASGSYDRSVKVWNLLV GSPECRTLRGHKSRVHMAIFSTDGSHVASSSVDGTVRIWNLDSGAPPRILKGNGVQLY SVAYDPDGKSIAAVAHDGLAHIWSVATGDLIRTINAGPEAAYGVSYSRDGKRLTTSGV AGFQTWEVATGRKLMDQGPPGPKVPGVATDAVLASTRDGRRAATRGSDGTVVIKDVQT GATIAKLVGHTQLLGSATYSPDGRRIVTWGWNPPDRWDPIPPGTFDVPGDMRIWDAES GQEILKVVGESGVIFHASFSPDGQKLAVANAGQTVDILDATWPAPSEGPR OJF2_RS02565 MPVQVLCPNPRCGASLSGSGAELAGLNRCPRCGTSLGGSGVGAG AAAFAAPAGLAPGSAFGRYRVDRRLGEGGMGSVYLAHDTSLGRAVALKVPHLAAGGDP GVLERFRREAQAAAALDHPNLCPVYDAGEVEGVPYLTMAYIEGRPLSQLIGPERSLPQ RQVAAVVRKLALALQEAHDRGVVHRDLKPANVLVSRKRDLVVVDFGLARREGGGDARL TRSGMIVGTPAYMAPEQVAGDASAVGPASDVYALGVILYEMVSGHVPFDGPAAMVLAQ VMTAEPPPIAGWRDDADPELESVCRKATAKRRQDRYGSMREFAEALDGYIRGGRAASP STTLADLPAAGGESPTPTTGAETLIGRLVGRLGPGAEPSEPMRVGEGPSAAASTREAK RPPTRRLPLVAAGASALAILLGLVLYVATGSGTVRIEVSDPKADVQVKVDGKAISVEG LGSPIRLSVGEHELEATSEGFEAYGRKFTIKRGEAATVLVELVSKRSVATVARTIPEE GGASVPSQPTVVPAAARTVAEGSSQPKPIGGDATRVRTPEPETHAADTAEIARRKAYA DGIQSAQLMIKSHDLGGAGKALAACPEGLRGWEWYYCRSMCQEEPAVGRPRPREAGGD PRVGLLEFRPDGIPLGLSFSPNGKRLAYVTRSGELFVLDLTTRRPLGRRKLDRGAYRV RYSPDGGRIATIGYDKSGAVVEVWDARTLARSRVFEGHAGRLGGCILFGPNARWIATS CEGEDDSTVRIWPLSAGVGRNVCRGPRRVVYSLVADPAGRWLASVGAEPVVRFWDVAT GRELFHLDVPGFARDCDCTPDGRQIALACFGFVAIMDVESRKLVGQFSSGNDEHFIKY SPDGRRLVTARSTDLRLEIWDPASGRELLRLDEDRFKGDCCMGLDFSADGRHIATSDG AYGTIRIWSIPEVRDGAGPTSPVGHDRGSSGGTTK OJF2_RS02570 MNDPLVRIGLSETACDFRPLALDPGLPMLDPEGATYRVLRRWLG DFAAEPVVRGGRVEFHAQREGRRPLGIELEPASAADLAGPLKDQHAALQQRLAAIRPA TASERLILNQLRLPERHRDCFLFKYRTPGGPWQLVWLWGYVRKDEEPGTAVLCGRPEC ATLFVRRGSRPSRCPACAGAGGGERKRSNALPAAAALVVLAGLAASGYWFLTRKPAPP PVAVANVEAPPTAPALRLVVSPETWSGPVGARVAFQVRKSGPDGTSEDLGPAVLADVE DPRICRMDDATMRATALAPGKTAAHFRLGALETTVTLSVREPGAPRTLTIEPADPAIN VGSTAALRLIGEYDDGSHADLTEAARWVAEPRQRLFCYGGWIEGLAAGEAEVAAEYRA GPSMVKPLRASRKVVVKDEPYRSLAVEIGPDPLRVATPVEVRVTATTGSGRRVPVSKS SALKLEVDPPQVAGIRDGRLVGQATGAGRLLARLDKLEAAREFRVAPGEPLPTSDGLP THLRMAVGEVASLPGMRDVRGLESRAPGVVAVNGDRRLVAKAAGSATIVATLEPQAAS AKPEDKATLAGPKVREVKVDVEAVELASLAIVPKRIALPVDEPVTARVVARGRDNREV ELAPAVLAWEMLPASDAVGFDASRLEFRGREAASEATHPIAVRHRGLRATGEVEVVSS PFRLSVTPPGPIELPVGQSAPLQVWADRRGNRRSEIPADRVQWEAAPSPQFAIARGEV TARGPAGGTWEIRARYRGQASAPVLFRAAPAGTDEGRLVADRPVLMPGDSGRLRVERS GQPGTGPGSPDEARFVSSDPAVLAVEAKGGIYRAIKPGEATVRVAFPPPRGEISSPFR VIDPASARLAFEPERLTLGVGQVGNLALMVSAPGQAAVPLSDLGELHLTVESPSAVKL EGLRVLGLSPSGPLGVGASYRSLSARATVQVVKAGAVSPLRFEPARVTAPPGSSITPR LQEQVPGHPEQWRDVRPDAVTWKPNPAIVATPGTATAPGQLTIGKAARGDVEAVASYQ GREARLPITVDEKANAHASSGARLELVREPAGLSIPVGGSQRYSLMIREGGQSRPASS PEWPADFEGEFVSWKAPVLTARKAGHRQRLTAGAEGKSMTFEAFTSGPPRPPEVAAVP PKEKPRSVRIAPGGKSPLRVTPGGRNGDFRVQATYGDGETRDVTDRSFVRSRAGRDSR SKGMNGSPPREGPSQPGAGVGSPAQAAPGDQASRGDGKGGPATVGARQGSGTGAARGD GKDGPATVGASQGSGTGAAGNGGRGIGPAGPAGRGTGPVAADRGVVRGLRPGKDVVDA EYRGVRSDQGLDVDVVEAPAIDAIRIEPDQVRLSVGESQPLRAIGLAGGQSVGEITDR AGLVWKSRSPRILAEDGPTVTATAEGRGGVTAQLGSIVSAPAEMEVLSRGSGSVGGLE LRPAEMTLRVGESVQIGRDVLVFRGGRDVGGRCRVVAPHPDLLAPGPDGRTLTGRSPG STTVDVMENGGHASLAVRVLASTDPSAGPAARAVDPKDTRLVIEPGEVSLLVGGVEDL RVYLVDEAGRWTDRTAGASLESSKPEVVRIVGARATARSSGQAVVTARLAGTQLTTEA AVAVADSRATELRVEPASLSMYAGERSRLRVRGRDEAGDRELADHPDLTFEVAGKDPG SVGVGPGGEVSAWSPGEAEVRVRWRAGSPVVVPVHVSAIDPSRIELSPAEGAVSRGDR MTFRVHAKQGDRDVELGPDQGVVLEVEDGSVARVESGLVVLGLSEGETNVVARRRGAR AVARLSVLPPRAEGSTSPRPQSLRLEPESMRLAAGATGRSLKVLLVASDGHERDVTAT ARLELQPAAGVVSLRQDPSGIVVAPSKPGFARIRADVEGLSAPRPLDVEVVEAPADAA RLRVMPSSLRLHPGEVAALDRVVLDSGPGLDLADVPYRLSIKAARVAAVEGGTRVRAL AAGTAELEVEADGPPGRAGSLSARVPIAVEDSGAGEGEPAGLRLTGPTHTTVGAVVEF GVEEVLADASTRPVGHPGAALVVEEGRELVATRPGPALRAEAPGVASIRARYRGLISN SLRLDVQPRAASYRAIELEVDPHPLVVGEERQYRLWGDPADGGPRQDLTGLVGVAGGP EVRLEPAGADGEGPIAAARAGRVVGQKPGRARLRGGWVDGLASEGVPIEVVDAPAGTL GIEPASPSARVGETVTLSAVLRGVSGGRSPRPVEARWESLDGGRLDPGGEAGEFVARA AGRARVRARFGGQEAVANVEVAAEPFGEVRAGEISIDGDAFQVPLEVRAPRGGAGFEY RIVAEDGEATAWAPAEGREDSLTARLRSPKLKLRPGSTYQLVIEARAPATTTERYPYR FRLGLNVIRDPHSPH OJF2_RS38975 MAFPDPRDRRLIRKAEEVTAVAEELSDWVAAFGGRRKVEGLMPI SEADEHELLAQRRRARNLYNSARVPVAAAIYGPSQVGKSLFIGRMLEPMDASFSPLGR DEAMGEPGYYAGLSFTDDLNPQSGSNEATALVTRFTTRERTDPQVLAGYPALARGLTR AEWLSVLGRGFRAECRAPEAIWDEASLEALFGRCDPAPDGAADRRWRADLLDAYAHLR RGDPLRLPVPEAAFNGLLARYPLSDGGYTELASTMFWGGWPELTAMFRSVLRCLAAIT AAGRPGLLVHWAGVRFLLDSQRTATVENERSRVFRRVAWEDFRLVEKGGWRVLDFEPG RGGGAEDLAVLQAALLELVIPILPHRLDENWRRAVEEIDVLDIPGMRAGRDGAEGGTR TSAETLEERMEIVKRGKVLYLFDRYIEDLQVQTLLLLVRGGNLEVRGQMKSYVNRWGA ARYGKDWPQRVRDVPPALFVGLTGIDEEFRDRSTSAGKELYENRVRQLIDTLGPVMTD FGARNAPFTNAYPLRYPGTWDASESQRARYGPEKWQRARAAFLESEGVRRHVAEAERR WDAAMDDRDGGLSLIGDGFRQSADAAGKQRDLERSLEEVRPRLLGLARSWLASGDANR ERERRRQLARRVVDWLRSEPSKSYSRVHGLSQALALREGDAWALADFSERRPAGERIR TESPELLFGEALRGFLGDWAAALAPDRWREQTDGRAEGAPWIGLDDFLDLARSLKDYL LSDAVLTPLRDRLLKIVDLRLKDEAVRRHARRKYVRLALNDVILNLGLSVAPIVPVDE AGLAGLTLMRPFVRRWASRLEPCCAAGAGGDAAIPPGNEDLRALLAEWGDLPDGEAD OJF2_RS02575 MDEIFANSRIQTLAVPLPPQARFGPERVGRGWQRCVCRPEGVAV RYPYIQWQVIQGTKPRLFLAVDTSCGDREVGFFCDREGLVGDFAVRLAGLLGLDGRPL EIPPVAFVIRPPVGGDPRDVHLVVDLGNSRTGALILEMSGETAPVPQMVPLRLANRWQ LDGWQAGDDGGDAPSEHWFSARTLWCTTPHLPPEPSTRTVYREVRRAGLLHERVQTLG EKVSVTPDLFRDISMARMGTEADLIGGAIRLDGDERTGLSSPKRYLWADDESWLEGAN WFMADPSDRHGGDAHAARLQGPLLRYIPEDDPDVLIEGSDLAREPLASEAPARPRHAP RILMVAAIYELLCQAFSYIHSRPYRRRIGGASRPRRLRSLSLSYPSGIMAQERDRLRR QAEKAALIFHATLGSQQEAPPMVSLGIDEASAVHLTYIWSELRMLGQDSRLWFRLVGR ERAAESPPGPEAAAVAPDASPADPGSPRPRRARPPREAAGEPGHDLRIACIDIGGGTS DLMIARYTCQQGIEDSIRGEILHRDGISVAGDQLVKRLLERVVVPAFAEAIGLDDATC QLLFGPEIPRNRELRPQRVQWVNRLFVPLAQAYLDAAVSGSAAEISHTDPSLVPEDVL ASLGAVLDRIKGAGYCDLREGLGLRLDRALLDDVVHEVFHDLLLDLCGRIVAHDVDVV LLAGQPTKLAVVQDLLRLLLPLPPSRVIPMYRHYAGNWYPYQDAAGRNPGLIIDPKSA VVVGASIHFLAHHGLLPQFRFAMTDTSRANAFHWGVMTGAVSGIPDDRLLFRPRSPSV HEFVTSSRRVLIGRRLSESERAEASPAYLLKVDTGDRLGRTEIKVRIRRASDPGTGEE ILELESVEGEVAGEPAVAGGNVTFTWRTLADEHFFLDSGGLDNIEPLAR OJF2_RS02580 MNDSVPDPDATLPSDGLRATEVIRGGAAAPLQVLRDLAGLAAQL GRIQEAVAADIGEGSEAGEAPSAAEAIRASLARIEAKIDDLSGRLGAPPAAPTALPAE DSRSRSESGPSASPGPEENNSAAGWGRVILGDDLWAEPEIASDRARLLDQFLDREPAA CSFAAQLLLFQCAPPARRSLMMKDLGEAYYQWQPQLDDGVMPLEVALARWAAGLCEAA GLGHRIELVRPGSRFNPQQHRSLDRGVEVLRALGWVVLREDGSVFQKASVNVR OJF2_RS02585 MSSNDAGSDVDPLAILGLDASGALKLGEAGLREALSRCRKQWTL DAADPSRRAEALRRLKRLQALEKELERAGGLQAYLRQIDAGPSHDAELEILIELARAG RPSLSRRQGELIRGEAMARGIPPRAVDAFLARVPIGDPASSSDPVAAAIPLPSRSPCL SPGELRAIHEPLGGTGKATYYQLLGASPGTSREGLAIAAGRAAGEGDGRDLDRRSEAL RGGLARWRHLLDEPDGRHRYDNALFNDSIHRFVKIVDLVLCGDDTTHDQVDRLAEFGA RDFGLSLEAVRQCIAARMAALGVSIRLRPGDVRFRTELARLAQAAAERARQEAEAQRL LDEYEDAVKRRRLYSAASLLARLESAGVASDEEMGRTLELRLARIRGELASIDEVARD PALALRALERYAAVLRSCADCSEALLGVRTLPVAAPPAPIHVEATRHGEARRLTWQVQ GSAPPGCVYRVLRACTAPGPPPTEYPEGSFQLIFEGPETVHADIERLPAGSIVAYAVM AVLRGTIRVHGKPVREYEAASGAGMSAPVLLWNEVRSLRIDAEANTVRLSFLPPGGSR QVVVERWVGGPEDRPASPTVLAGSSTGAIDDGVFEPGACHTYRAFAVYDGPAGDFHTP GTHARHRAPAPAVVQPVGPAEDPDQSEGAMGGEAARGAEPPRVASSSSRLLWRFVPDW PTRPFRGCPAVGRDGRIHACLGDRVVALTADGELAWAYGTAGPIPGSPTLDAEGRVHV HAGDGRLHRIGDDGRPDRPPIDVGEPQGWASPLVDRAGVAWICAQGGGLLRIEPNRDA TARRFLRTPRRFDCIGLIRGGILIVGGEDACVAAIDVRGARGKELWDALDDRGRTGGP VNSALAIDADSRVVAAGRDDVLRGFDEEGREAWSFALPGRLMGSPVVDGDGRIYLGLT RPGAAAGGALACVERDGGRCRWNYPTAAAVESTPVIGDDGIIYFGDNLGRVHAVDGEG RAVWTDRLDSPVRSAATIAASNRLVLGLEDGSLVALECSSAGLGGGWPKLMRDLPQVP VLD OJF2_RS02590 MHVTLSVSEGPHKGREFTFREHDTFIVGRASYAHFRLEAHDRYF SRAHFLIEVNPPLCRLMDMGSTNGTFVNDAKVNEIDLKDGDRIRGGKTVIDVAIGVDP GDEDATAASVPGAEGLPNTVSVRSPDPDDPEAARRGPERPEVPSRLGDYMLIRELGRG GMGVVHLARRGDEGEFVAIKTIEPKVDVTSRDVDRFLREASILKDLDHPGVVRFLDCG DCNGRVYLAMEYVDGPCLSAAIKRSGTMPVRRATRLIRQVLEATAYAHDRGFVHRDIK PGNLLLAGQGAGESIKLADFGLARAYRESKFSGLTLQGEMGGTIAFAAPEQLTNFRES KPASDLYSIGATLYMMLTGSQVYDFPNKLNRQVLMVLQEDAVPIQSRRAELPTGLARV VDRALSRQPADRFPDARSMSEALLPFSA OJF2_RS02595 MTVQVICPNADCATEFSVPSLDGPAVLYCPRCGRSIAGSSSDSP AADSARRVASRGGPELEPGSSFGRYQVVRTLGAGGMGSVYLATDTHLQRRVALKVPFL DGADREELLERFRREALAAAALDHPNLCQVYDVGEVDGSPFLTMAYVEGRPLSDAIGR AEPIPVRQAAAVVRKLAVALQAAHDTGVVHRDLKPANVLMSRKKELVVVDFGLARRDG AGDVRLTKSGAVLGTPAYMAPEQVAGQAEAIGPQTDIYALGVILYELLTGRLPFEGPV ALVLGQIMVAQPAPPSALRPEVDARLESACLKAMAKKPEDRFSSMREFADALGVSLRG EPDPAAEVPALSAVGEPTAAPRTGGESLVGRFFEGIFRRSGGGSVGMDSKPRETPSRR DRTLRRVAWCSAGLVGLSAVLAVAVYPRKTDHGGSRDGKPPASTSAQAKAADAHPAST DIPGGEAPARSTGAAQAESSGKAQPLSSEPVASTATSTVHDAGKAGGPQGGGIATMPP ESLADAGFRPLFNGKDLSGWKVLSGERNNWKVADGHLVGSGPWSLLFTERGDYADFHL IVEMRVNAGGNSGIMFRRPLTNEVVLRRSATDEPVRAYEAEVSEDPGEVYRTGSLRTY ATASPAPFVPDRWLRYEVIARGSRIILKIDGKTTVDFRDPRPAFTRGHIALQQLREGS VVEFRKVVIKELPPEDTDPATVPTKDVVRRLAPPFFNGKDLTGWTPMRTLDDAATRHE AGAGGWSVVDGEIRCDSVTSGWLRSNRTYGDFELDLEYKLASPTSNSGIYIRCPEQGH HSVAGMEIQLIDDRLSSVRDEVNANARSGAIWRAVAPKARAARPPGQWNSMRIRCLGD QVTVALNHAQVVDADMNRVPELQGRPRSGYIGLSNWYGEAAGVAFRNLRIREISPDGS GEVGEDGFASLFNGKDLKGWVIDGGSGNVWKADRGDLLIRGTGDYRKLGYLLTRQSYG DFLLRFEYRQFAGSNSGVAFHAFPSDAVAGLPRHPEISLQPFGANFEPTGTLLWSTNN ESRDAIQPDRPAEVRSPSLWNTMEVEHRGGKLRVACNGQDILTTDLALLAARPEALPG MRRRSGRVGLQAHTGEVRLRNIRIKRLD OJF2_RS40335 MRVALIFDDRLRPDTTGIHARAALRSLADVVHFLPDRRGEIPAS GFDLYLSMDDDSDHRLPSPLRPLAYWAIDTHLDFDARLERARRCDLVFAAQRDGADRL RDRGVATSTWLPLACDPDVHRKLDVPKTLDVAFVGNLFPGARAELLGRLAGRFPGHFI GRAFGDEMARIYSSSRVVFNRSLGDDVNMRVFEALACGSLLVTNDLAGNGLGELFVVG EHLVTYRDADDLLAKVAHHLQHDGERERIAAAGRAEALAGHTYRHRMEALLARAGEVL GDLGRVTIPVPFAGGSHVSFRPSGRPPGLTSVIVPCFDQLEFTRECVRALVRKTGRPW ELIVVDNGSTDGTDRYLAGVADAAPMAVRVIGNASNRGFPAAVNQGLAAARGEYLVLL NNDAVVTDGWLDQLVALADSDPAIGMAGPMSNYASPPQLVEDVPYKDLDAMHGFAARW REEHRGQWLTAGKLSGFCLLMKRAVYEAIGGLDERFGLGFFDDDDLGRRARDAGFTLA VARDLFVHHFGSRTFTGQGIDAAALLEANAKVYAAKWGELQGTAVTLAPWPGHSHGRG FETQIDTDEHRWGEGVRDGKTAGGGRVGRGCVPGVGVEQVRAGGVPGSPNPISMPYPC ESVSIRGSKSPGPIRGGTKAQVSLTMIVRDEEDNLPQCLGSVAGLFDEVVVVDTGSRD RTTEIARGFGARVFDFVWVDDFAAARNAALARARGDYAFWLDADDVVEPQERAKLERL LASLPADEAGQAAYVVRCACDPEPDGRGGNTVVDHIRLFPVREGVRWTYAVHEQILPA LRRAGVPVRWSDVTVRHTGYSDPALRGRKLERDARILEADLAERPGDPFVLFNLGSIA VERQDWPRALELLQRSLSGSAPSDSITRKLFALIARCRQMLGDLPRAIAACDEGLSFF PDDAELLFRKAVAHRGSGDPAGAEASWRRILGLRRPEEFASVDQGIYGHLTRRNLAAL AEERGELAVALEHWRAVQHECHGDEEALHAVRRLGNSAGGP OJF2_RS02615 MDWNLPWDDPANVQLSDFSSFFCDDALAPKDSLHPFPETGILAI TGPGTAFGDGSEDPVPLRGIPPQTILCAETRASGIPWPAPGDFDVRDMPHTINAPNGK GISGRYKGGFHVIFADAEVWFLSDGVPFDTLSRFFTVADAAKHNRDKLLKPFVLWGPG QY OJF2_RS02620 MKRPDRRPRRAPIKLAMEPLEIRWLMSAGGKEAQATKLSEVLER IGRSQTTLETFARGLAHSPGRASGLGLAGLASELRQHGAYARQHGWAASLVRELTNHP RYAAAHHLTGLITTTTAGATPVSQPGAGDSSSTSTITASPSGGATTTAGSSTSAGGST APTQPQPSTPAPAVPTVPLSRSVSVGDTLDVNLASAAGASGSAYIITPQPLPENMSFN RETGEFLFMPAPGQAGRYDFNISVQGGASPENIHLALTASQPALPSTQVSGRVVDELG QPLAGMPVAIDGATTVTDSAGRFVLAGIATNPGPISAGGSTATAANRLPLISPVAQLL AHSIYADVNNVIASPLILPKIDWSASSSFAADAATGTTDIASSALPGFNIRVPASPGL QAESATGGTVSTAQLSAALSAQHMPDGTQGGTILYKVTGADLTRPVQLTLPNTQGLKP GAVVDLITVNMLTGGHDVTSRMVVSADGKTLSSQGTVVLAHAATTTTAPPPGQLTADS WDPIIGYNTFIGCLGEGNEGPTATAVQQCTCPIGAGGAASPPNSGGTPPAGSPAGKQQ AYGVMNSDASLVSGAYFQDHQLVPYQSLGTSQSIDLQYSSLQATSKPVVQAMFTTPPD GNAGGLTSVTATVSLGGVVQGSAVTFPTPSGLLDSTTYNIPLQVDATALATGVYPFVM TITENWGIEFGATSLSFQVAGEVDVVNAMASPLGAGWTVGDVQQVALATAGGPAVVAQ GQNGMEHFDYVYNNGQSQYQDLAVVAGTSTAQMLPNNGAGLFPTASVSSATTAGTVAG DFNGDGKPDLAAAAGSTLAIRLNNGTGGFGSATSITLASGKTARALAEGNFTGHTNGV LDLAVLLAPSSGSGSYTVAVYTGSGTGTFTGPTTTTVGSGTASNTSPDSMAAGDFNGD GKTDLAFTSDNGVLVVLQASSGGSFGTATTPTLPSNHSAIGVTTTDYNSDGKVDLVVE VDNWNVTEVGLPFVALDLMAGSGTGSFSNVSTYQTVGQPDSATLGLVAGAFNGSDAGL EIAVPVSTSPTVGDTYIDIVPLSTSGTWGNGIIYAAGQDNGTTPGNIVAADFNGTGRP GIAMSDSYGKLNLLLPDPATNQFYPVQSITIGGGVVMLAVAPFEGTGAVAGFRGPSSN PSTLLHNGNGTWTRTYPDGTVIQFDSSGRETSITDRNGNAYTYGYVSAGAAAGAVQSV ADPVGLRTTFAYNGSGRLSTITDPAGRVTTVTMDASGNLTKFVDPDNAATQYGYTTPS NHRMTSETNPNNHTATITYNGFGQLTSETLFDGTSSTGVTGAQTPGLLAPGGSGTLSS SYQGVVTDPDGHATTVTLNWMGHTSSVTDGANTTASTVYDRRGFPVAAVDPLGRRTTY TFDDNGNVTSIYRLVSSSSGGSTYETETIAYNDPYGIPTSITDFNGNTTTFTLDSHGN VTRRTDPDTLHEDFTYNARGQVLTDTDRNGNTTSYSYDSNGRLTTIQYPGSDTPVVKY TYTSAGDLQSVTDANGNRVTYTYDNAGRVLTSQNPIQKAASKTVNFGYDADGNLTGVT DANGHATSYMYDARDRLTTMIDAANQGTGKATVYAYDPAGNLAQVTDPLGHAVTFAYD GDNRMTGTTDGMGDRVTWTYDNAGEVTIYNDGDGHPFTYSYDPLGRLQAEYGPIKTAG SGGGSYSGTQVASYTYDKNGNLIAFRDGNNNVTKYGYDSLNRVVTVTDANNNITSYTY DNNGNVQTVKDANGHATSYAYDARNNQIRVTEPTGGGTTTYQYDPGNRLKSLTDPDNN TTTYLYDSANRVTTVVDPLNHYTTYVYDVMDNLATMVDRNGRIHQYAYDADDRETTEK WIPIGGGTATNTVTYTYDAAGRTTQVQDATSKTALTYDNANRLLTADDAGTTGLPQVT LTYGYDPAGNRTTLVDSKGGLTSYIYDARNELVTMTQSGTGISSKRVDIAYDNGGRMT TITRYSSLTGGTPVATTGYTYDAGDRVTTITDKNSSGTVLASYGYTYDPGDRVTQEAR TWASGSSTDTLTYGYTNNDQLTSVSHTNASFANESFSYDANGNRTGTSPTDNRIATDG TYNYTYDNEGNETVRTKISDGSQTIYKYDYRNRLVEVDSKVGTTTTPLATYTYDALDR RIGRTEGGVTTATLYDGASPIMDFTGGLTSPTTRYLQGIGAAVDQDLARDQSGIVAWY LPDRLGTVRDLVDNTGAIIDHVDYGAYGNQLAESTPAYGDRLAGFAGLDRDPATGLNL AVFRASDPKTGRWTNQDPLKFTAGDRNLYRYVGSSPTNFTDPTGLEVFPPPGHNPDGT PKNRPHQMPTDPSGTWTPTQFFGPGSPLIKQTSWGCGGLAALRLGVTPDYPISKYLGK LHPNDILSLPNIQDYPTLQQAKDALHRIGGKGKILLVDSPYPQWWTDGPASCNFSTYW PGPDGGYWEWQNHGDMQPGRVIRHEPNPPDLHPYKTYYLIPTPKVKPL OJF2_RS02625 MSRMMKVRAGGGRGVRDDFIPLVAALADRSLPPDGPALRSLLRE IARVADRSLERSGLRGRADASGEDVANAVLFDLHRAAAAGRLRIGDVDALAGLVWGMV KAEVLRIRQRTHSRSRGGRGRSAGVSGIAGGDMPSNVARPAHQLVELEDLTDRLVDGL PTPEQLACAREIEARFAGLFADDAWREIARGRLQGLTNDEIARRTGQSVSTVRRRLRS GLDAFEAVQRTPTMPGGGE OJF2_RS02630 MRLLFSSIHCLLDPSSGAAIATRELLELLASRGAECRALTAGVL DYEKETTVDDALATLGLPAPRSAADLGGGRSAEVVDLEAGGVRCTILPTRSSRAERSP DREESAVFLDLATQVLERFRPDALLTYGGHPAGLELMRRARARGVRVAFHLHNFGYRD RRDFQHADVVIFPSEFSRRHHARLLGLDGPAIPYPIDLPRVIVPESNRDPRYVTFVNP QLEKGVTVFARIAIELNARRPDIPLLVVEGRGTADTLNRLPVNLSGLSNVQRMANTPD PRNFYRVSRAVLVPSLWLESLGRVAVEAMANGIPVLASDRGALPETLGNAGFLFTIPG RYTPDSIEMPTAREVAPWLAILEKLWDAPDFEARHRAIAYTEANRWDPAAIGREFEPV LDPPDESAPP OJF2_RS02635 MPSIPYAHSLPDRPSEDWHSLEDHLLGTARLAEGFASAFGAGEW GRLAGLWHDLGKYSEAFQGYLRSVSEPDATGHKSDLAGRVIHSTAGAQHAVNRGLVGR LLAFAIAGHHAGLPDAEAGESGLSMRLQEVPEPIDRAPTRLLEHPLPPPPRLCVIGDG PRRAFALAFFTRMVFSCLVDADFLDTEAFFSPRRAGLRPDGTVSCSDLLGRLGKALEE KQRLAADTPVNRRRREVLAACLEKASLGPGFFSLDVPTGGGKTLSSLAFALSHATQHG LRRVVYAIPFTSIIEQTANVFREALGDLGGQVLEHHSNLEPDDPVRQTDRSRLAAENF DSPLVVTTNVQLFESLFASRTSRCRKLHRLARNVIILDEAQTLPPQLLAPTLAALEEL VANYGATVVLCTATQPAVTRHDGFPIGLDGVRPIIDDPARLHASLGRTSVTLLGATSN DDLIGRLRAERQALCIVNSRRHASDLFRRLDDPAALHLSASMCAAHRSEVVAEIRRRL LPAVNEPCRVISTQVIEAGVDVDFPACFRAAAGLDSIAQAAGRCNREGLLSAPDGSPS LGRVFVFDYDRKEYPTASLIEQAAQCFREVAPDHQLGLLAPPATKAYFRLRYWQQGGQ DGRGWDRGDGGQSVMGCFAPDRKTLLHAQFRTAVSAYRLIDDAQTPILVPFGDRGREL IRELEMMPEQPEPERLRAFDRNAQRYVVGVYDRGLKALLESGVLLEYHGRYCLGNREA YHERLGLTFEALGLDPDRLVI OJF2_RS02640 MSIRLKVWGDFACFTRPEMKVERVSYDILTPSAARGILEAIYWK PEIRWRVTRIQVLNPVRFTSLRRNEVGAKIPAGTAAAAMKGGRGRLGMYVEEDRQQRA ATILRDVAYVIEANFDIVGGDDNAGKHLDQFNRRARGGRCFHRPYLGCREFPADFALL EDGEPVPPVHEEWAGERDLGYVLNDIDFRDGMTPHFFRAVLRDGLVSVPPLGDREVRR OJF2_RS02645 MSTLQSGLLPALVRYYERLERDPGQNVAGFGFSIEKVSFCVVLE LDGSLSSFADIRDRGEKGKATPRRILVPDGGGRSGIGLKPFFCWDNTGYALGRDNKGK PERAAQMFEAFRELHRSFLHELQDDEGFVALCRFLETWEPAGAESLDDWDEAAGLNVA FRLRNRDSYVHDSEAVRSCWSAHLARQMGGESGPRGISLVSGEEDELARLHPQIGGVS GANTTGAAIVSFNLNAFESYGKSQSYNAPVGERDAFRYSTALNRLLADQARKVRIGDA TVVFWSDSAEGGEAEAVFRDIFGDDQGMGNEAEHAATVSRLKVFLDAARQGRLADALR DPDAPFYVLGLSPNQSRLNVRYWLVGTVRRFAERLSEHVGRLDVIGARPDDPPLSIRR LLWETAREPKAIAPQLAGEVARAVLGGLPYPQALFQAVIRRVRADSVLNHRRAAILKA YLIRNENEEVSVALNKDHPDQAYHLGRLFAALEKVQEESADSKLNSTVKDRFFGSAAA TPAAIFPRLLRLHQHHLNKMSSDGLRITREKLVGEISGRLDRFPSHLPLEKQGLFYLG YYHQRQDFFTKRPDTNPEANNG OJF2_RS02650 MADSSDSGQAIQNRYDLVYFFEITDGNPNGDPDAGNLPRIDPET GQGLVTDVCLKRKVRNFVGVTRGEEPPFEIYVKEKAVLNQQHERAYEAEKLDPKKRTT KGKDRTEEDRRLTRWMCKNFFDIRTFGAVMTTEVNCGQVRGPVQFGLARSLHPIVTLE HAVTRCAVTTPAESEKQEGGNRTMGRKFTVPYALYRAHGYVNANLAGGKNGTGFSEGD LALFKQALDRMFELDRSAARANMRPVACIAFRHESALGNARADRLFARVRCTPKAGVQ PLAGDTNGDAEGRPPRSFADYELLVDEQGLPEGVTIERWIDWS OJF2_RS02655 MPYAESDLLPISALQHLLYCDRQCALIHLERLWAENRFTAEGAI LHRKAHGGRPESRPSGRTTRALPVRSFALGLFGVTDVVRWDPAAGGEAVPVEYKRGRP KKGDCDRVQLCAQALCLEEMLGRPLGRGEIFYGRVRRRVAVGFTPELRRATVEAAARL HDLIGSGRTPQAVPGRKCDRCSLRDLCLPRLGPAAGSARRHFDRSLDALLAGEGPTD OJF2_RS02660 MKTHLNTLYITTQGTYLGKSGESVQVRAEGKVLAQLPLHNLEGV VCFGRVGCSPSLLGACAERGVSVSLLTQHGRFLAAVRGNTSGNVLLRRQQYRLADRPE VALDVARRIVLAKVANSRSVLLRAARDCVAGDAARLDTLRREGGRLAASAPGLREATT IDQVRGLEGEAATHYFGAFDALLSPSASAEAFRFTGRSRRPPLDRINALLSFLYTLVL HDARSACESVGLDPCVGFLHADRPGKPALALDLMEEFRAFVADRLAFSLINRRQVSAD GFEVRENGAVLMNDATRKAVLAAYQQRKRETLAHPFLEEQTTVGMLVHLQALLLARWI RGDLDAYPPFLWKG OJF2_RS02665 MYVLVTYDIQTTTAAGRRRLRQAARACLDFGQRVQLSVFELKVD PARWAQCRARLLGIIDPAVDSVRFYHLGSNWESRVEHHGVQTGFDIEGPLII OJF2_RS02670 MSVYGWASTHQAWRALLRLVALLGGSLHGWLNIRSRGKLSASFR EPSSKVRVSYGAPSRRGFA OJF2_RS02675 MQVRRSLSVRPCFVLPYMIGHADEASDPQFFRAFGVPFWAPARV FGRGSMCWYRLEVALGRDSVAGTTVRQAGMPVRLLADERHQLRGGIKNDVATTEGRAA AWAPPWRRQPAPRT OJF2_RS02680 MAYSMDDAIAVYYKYMNSEGGQPLQLSQYGWEEGVDDRHYVYRD GDGDLEIRVSFVDRDGNARIEFRDGTVGEAGLFDLDSADE OJF2_RS02685 MSHIVTIATEVRDRAAVEAACRRLGLAPPVEGRAALYAGEAAGL VVRLPGWTYPVVVDATTGQVRYDNYNGAWGDPAQLDRLLQAYAVEKATIEARRKGHAV AERTLEDGSIRLTIRVGGGA OJF2_RS02690 MKTIEITVDAKGNATVAIRGFAGPECREASRFLERALGPTATER LTAEYYGQPQQAGRRIEQSS OJF2_RS02695 MSLAERLSEYVRAAFAGIWIRSSEHDDALQEIAGLCRRQGWSLA TWDVDRGLAVAGSAGEAHAAAGVGDPIAAIRALGSLATDDGTALLVLRNFHRLLGGPD VVQAVDSAVSAGKRARTFVVILAPVVQLPVELERQFVVIEHELPDRTQVLQIARSIAA EPGELPEPPGLVAVLEAAAGLTRAEAENAFSLSLVRHGRVVPDVLWELKARMLKEGGL MTVHRGGESFADLGGLEALKAFCRRSLARRAAGSPSRPRGILLLGVPGTGKSAFCKAL GNEVGRPTLVLDIGALMGSLVGQTEERTRQALRVADAMAPCIVFVDEIEKGLAGIQPG GQSDGGVSARLFGALLSYLNDHETDVYFVCSANDVSKLPPEFTRAERFDAVYFVDLPG AAEKEQIWRLYQERYRLDASQRRPRDRDWTGAEIRACCRLASLLDVPLVEAAGNIVPV AVTAGESIERLRDWAAGRCLSADRPGIYSREAGPERPGRGVRRADPSAN OJF2_RS02700 MLAAHDTDTTETTMIPRGLRRCLVDPVRAVRAVIVACLALMPGC DEAPRWKGVGTDAKGDDGATHRDARAGGEADEPAP OJF2_RS02705 MSPPREPAPAAPGRLPWLVAILLSCLALARYLPRVTYLAATSAA GLLGDTPPSIAPSGPTVVQLERLRHLVSRRVHVADVLVGESRWLEGSWLVVGDALIGV DASRAEVVGRDELSRTATIVLPRPAVISARVDHERTRRWDVRSRGWIPLAGALLGDRG AMEGRAMLEAQRLVERAASSDDFATSAREGVEGLLQEFYRGVGWRVAVRWKE OJF2_RS02710 MTTLLERIDSRPADDMAAPAVAGPEPAARLRATMAACRVQFTWF GTKRTLTAEQKAQAARAFDAEGHFLSAGKKLLDTKHSAFRAVTAIRTRATDHWRGLTL PFPEPGVRLIRHADVEDFDRRMADLREELEDAVVTLDRHFGELKEAASRRLGSLYCPS DYPATLVGLFGMAWDYPNVEPPAYLAGLAPGLYRQEQDRVKARFEEAVQLAERAFLDE FARLVGHLTERITGANGDGTPKVFRDSAVDNLCDFFERFRTLNVRSNGELDELVDRAR QAVRGVAAADLRDSASARADVARQLSTVRESLDAMLVDRPRRRILRGSPAGGEA OJF2_RS02715 MDLTISPGGVVRTVYGEAIDLAALGTPMIERASRVEPDASGRWV ADLSPVGGPRLGPFALRSEAIAAELAWLAANWPGPGLCTSPPPDSVNSGIHRPHP OJF2_RS02720 MITIIRGTARRLLAVLDRRVRGSHRGAASPPLVLNAEGRRLRAR FQAGDLAVEYVESASGGELDSVPVALEALARVGGREDTTVDIESISLDRTAVRWRDAS ADRLHVSDHPVICRSASTRRSPTSPATGPA OJF2_RS02725 MTSDLPAALAAASEICTGESSRYALDCVPLRGSAGEVLASDDRQ VLIRSGIDLPGETTC OJF2_RS02730 MNRPVTLDLNGHVAIRGRGGDSGPSAWLILNRSSYTGAPVSESL DRTFLLRAVDLGFDELAVADPSTPIVCRRPDRTYAWQPLYPAPAPAAAPDATLIDSRH QSSECSEAPSPRRTMIDPITPVGREAPDRDAHPASASGLAELIREVEGVHAQVAAARA SLARLIAGLRRLRSSRGCWPTR OJF2_RS02735 MKSGFRVILSCSLFASIPSACSAGVILSVVSASAPSASQVMLQP GQTTTFDVMLSGIDPMTPAIGNLSAEIDFDASLLGTPFNVTAGGVVSDPSSFVSAAGP GQANGTFSILVGSSSPITTNGTLFSFQVTAQMPPGSSGAGIFTIPSIVATDPDFNLID SAEGTSVSYTVTATAVPEPSSLLMLLTACGFGLRRVHRARSHRLAGTSA OJF2_RS02740 MSLHRRKRTRRTGPLKSRPLPEQLDIRLMLAGDASNVFATFDGS IVPGDQSSRMSVSVKPGDFNLPGNGGGKVLIGFELQQSAGSSLDPAAVQLLDAKGAIV APAYINSDLADRKASVELVALPTGDYTLVAGADRGTSGAFHLAAFLAGDVNGDRSVTA DDLSAILKSYGAVPGNPLYHSEADANLDGLIGAFDFNQGLLNKGAATRLNPMGLDLAM SPSPVALPGGVLATSSPTVTLSGRTEAGAAVRLSNGATTNADSHGVYTFSGVALSAGA NPLTVTATDGFGQLRSTSIQALLDVAAPTVAVTGPASGLATNSNVDVAGKVADDLAGV SSLMARTDSGEAVPVTFDPSSGQFHFATTLKTDGTSDGVHTVSLTATDRVGNTSPAKV ISFTLDTAAPLVRVDSPAASLVTNANVTIQGVATDALAGLGGLTAQVDAGSPVVVSLG ASGAFSFATTLATDGGADGKHTVSFVGTDKAGNSASATYSFTLLTRAPTAPTLSLDPA FQLQGDPLRTMSAIVTLDGKADPGIAVELVGLGMTTTADSSGDFTFSNVDLSVGPNLL LARVTDAAGNQSSATATITRVASASLITLRLSDDTAPGGATNGDRITSDPTVVGTLSS DAPVTATTKLVAGFDATPLASYVSIQADVDDHGGFALNRSEIDRIFGAALTDGAHTLH VALLNHPGEVALAAELSFTLDDKAPRLAAAAPADGLVTNKNVTVRGTVGDALSGVSAL TASLDAGTPFAVTVAQDGTFSFATALKIDGSDDGQHVVTLAASDVAGNVASDTIHFAL RTTPPKQPTFALAAADQEGGQPLKTTNSQVTLTGQTDPGVSLAIKETGATAQSTNTGA FQFPGVALALGDNTLTVVATDALGNVSQYRVTIHRDASTGGVNQVIYWNQVQLQAIVN DATTPEVASRGLAMVSAAVYDAVNAIDGTPGYYVTTGAPKGASADAAVASAAYTVLSY LYPGQQAYLDATFTADVAGIPGGQSKADGEAVGQTIANAIIAMRKDDGATNYVDYTPG TDPGDWQPTAPAFMVAENPQWATLKPFAMTGDSQFRPAGPPDMTSQAYADAVNKTLSI GSINSTTRTADQTQVARFWNDGAGTYTPPGHWNSIAEQVAQQQGVSLAQAARLFAELN VAEADAAIVAWDAKYTYNTWRPIQLAGGAGTGVNGQIQTIANWMPLINTPPFPEYISG HSTFSSAAATILTSVFGANFTFTANSMGLPGVTRSFTSFTQAAAEAGESRIYGGIHFE FSNQDALTAGANLGAYILQTFSTSTDNTPPTITLANPTTGAVTANANVTIKGTVLDNL SGVESLLAQVDGGAFAPVTFDAHGNFVLTTAFATDGKADGTHTIAFEATDFAGNTTPV RNVSMTLDTMAPAITLTAPSTNDKLTAASLLTGTADSTGSAITSLVYALDTGTTIPIA FGADGSFSQSLNLAGLSIGYHTLTVTARDAAGNSTQKSVTLSLVAPPALSLSDVAPAS GADDVGVTFRPKIRFSRPIDTSTLNASDFFLTDPTGATIPATIVPSSDGSYAWLYPAN SMPGASVITLTVDGSKIKAADGTLLDADGSGTPGSMLMETFTTVSTSSVPSTTLSGVV ADPGLDLKPETRDDVKAGNDGILMTGDDAYLLPIAGATVYIIGQEGNKVITGPDGSFS FSSVPTGDVKLVIDGRTATNAPAGYFFPEMVMDLNIQPGQANTVMGSMATPQGEGTNP TDKGVYLPRVPSSILKTVSTTAPTTVGVDGISAPNLTPQQQQELKLTVQPGSLVGANG QAMGTGQVGISTVPPQLVMDMLPTGVMQHTFDITIQAPGVTAFATPATLTFPNFFNAA PGTQLFVLSFDHTTGRLVIDGTATVSDDGQTVTTDPGSGVTAPGWHGLVPPSSDAKGP NPPPPCLRKDQWVETVLDITDQVAQCASNFLKVAEAIKDILAAIGTAEQTYEKAMAAY KDFVKNGSTCSKITDITNTVSNAVQTVQNVINAITDGSAVNPINQAVGIAKCIGNLLT SASNVCGRIVENEGSACNTLLTRTVCLGIDVAQTEYGKVLALIDSAERVEKQGLAQLG VTLLTQIASQLATLMNDGCNLNTSGGMHAEGGPGDDMPPDWTPEQQQQFLDLLQQGAT EFQKFSTNLQPVSDFYNATLDFGNGSIAVHEAAIQFAFQAVGAANAYYLFQYTSPGGK TVELRGRADNSGQVDAVLPNNTLFTFEMYDPKTDRIASYSGVTGDSGSQTAISTLTFQ PTGAVYDAFGNLVKAAMTDDDGDGLVNEAEKIVGTNLKQVDTNHDGINDLAELQDGIN PLGSTSFPNGVIASMPLQGEAQAVALIGSTSSQGGQTAYVATGSYGLAIVDASRFNKP VVVGQIALPGNSVAVVADPTDGLVILASGPGGVNIVDVSDQTMPMLKQTVKLPSGAQA VTFFDGLAYVASGASLVSIDPLTGEIAQILPLGGGQITGLAREGASLYTMDDRDTLRV VDVSGFVMVARGALATPDGGGQLFVDNGIAYVAAASNFRGGYDTVDVSNPDKPTLLGE SNGLQTSVLPATAIVPNGSGVGILLGTPQRSSTGPVVEVMDLSDPTSTTAFLTLYSLP SSPANAAIASGIAYIADGSGGLQVVNYEPFDSKGTAPTVSISSDVGDADLHTAGVQVV EGNDIQINVNAKDDVQIGYIELLVNGQVVANDVSLPYDLNVVVPAISVAGKALTIQVQ AFDTGGNQTLSNTLSYDVIPDTIPPALVSSTPSAGANVYFTPSIDLNFNKPLDPSMLD PSGIKLLFLGIGGQPGNGDGTSVAIGNVKLHALGHTLSVYPSTSLDTGNYELIVDPMV ISDRAGNEPSSPVTLLFTIHAASDIHAAQGFPTIYRAPAANVGQTIAFHIPNATGNTK ITFPTNTQGTAGTVDVAPSKIDAASGIAYFVVPDNATTGNLTINVADADFPLYLQIVP TLNVISDTSSGYVGGAIAISGTGFMSGATSVNFGATSVSGSSVSVTYDYLDGTYRTNG EVNLTVPTGADFGPITITTAGGTSNAYALSFSKISSIAASGTPADAFKASANVGQAIT LSGSGFSLSTSVIFSAIDYNGSQSQHLVKPIAVSPDGTQLIVVVPDDATTGTVGVIGD AMNTRAFLQVVPLVTYTDFTSVAGDGSSAAAQIRGLGFVEGAGTYTFGSTSVIDTSIN SGPDVTYDYGDGSYRTDGQVNLAVPLGGNPYGAVTVTTAGGTSAPYAVGLSAIRSAAA SGTPADPAKASANPGQVVTLSGSGLSTSTGVVAEYLDYSGNPAYELLHPFYADAAGDL AQVTVPGYYNGAFAWRVVGSATAATLQVVPVVTAAYMTGAGAAQVQGFGFEEGNGSSY SFAGQAVADAGTSTGPDVVYNSANGSYLANGLVNLSPPTSGPGALTVTTAGGTSAPIA WSAIDPNLGDLSDVAASPGGAVYVATYNGNQVQRIDPASGAAIGQPFALPGGASYGLT GLQAAPQAMALGGKPVPAGSLLVIDGYANPDRVDAVDPSTGAVLATLTLHDNLDANAG VYDPATGRLYLLRGSANQVAAVDPVTGLTLSQFAAPAGVDYWHGGLALDPATGALWLG SSASTVVYEVGKATGAVLQRVDLSPQGVGGIAGLAFDAAGRLLVASSNGVVYRVSSAA FLPAVTTPALTGIVAAAQSGTPTDATKPSADVAQVITLVGSNFTTATQVYFPTLDNNG NPGTAIVYPSAVNAAGTQMQVVVPNTATTGAITVNAIGGANFGFGSNADSIYRNLTVP FTAGGSTMHIQFADNGSLEGLGNESWGIDNVRVYDSTTGSLVYSADFENGAGAEWSTS QTDNSGPATFTQFLGRFSNGQATLTLPSTAGKSYTLKFDFYAIDSWEGSDPNSGPDAL KVAVDGTTVFNQTFSNVGGLQSYHNGVGSVLLQVVPTLTGVAGRPGADGAFTLYGSGF MDAATTVTVGGLSRVGVYTNQGDPAVSGARNDTLSGLVMPTAVEGTIRVATAGGYSEI AVPAPAPPPFVEFDALNAVTTAGTPADAAVASAVVGQAITLVGRGFSGSTVVQFPAED AAGAAGVLSRTGTASADGTALTVVVPAQAVTGTLRVVGAAGSFRLQVVPTLRSVGGAV APGGSILIEGAGLAAGEVQVSVGGKAAALAAGAVHDVFADGLSQQAIDVRVPAGAAGA WVTVTTPGGTFTWRSAAPASQPALAPAADPGDTLATALALGLAEGGVLAVSQKVGDGP DGANDVDLYSFTGTAGDLLTVDMGPANTSPYPDVRLFDAAGHQLAIGYYYGQEVAAFA LPAGGTYYIGVSSDADRAYDPNKSHSGSGGGYTGAYTMTATLASGGGTSLAGFTAPAA VTSQSSQAPAADPGDTLSTAAGLGLAEGSRLAVGQRVGDGAGGANDVDLYSFTGTAGD LLTVDMGPANTSPYPDVRLFDAAGHQLAIGYYYQQPELNMFVLPAGGTYYIGVSSSGD TGYDPTKAGSGSGGGYTGYYTMTVTRQALTGTAAIAGLGTPARAALASANPGQVITLL GTGLRAGDQVLFQTVGQDSSAVGWVSASPISVASDGTSLQVAVPDAAASGAVRLAREG AGLFLQVVPTVSRVDENSSAYHGAGLTIEGSGLVEAGTAVRFGGQALADDGPSSGPDV TYDYAGGSYRADGQVNLTVPYGVPYGPISVSTFGGTSNPFAETFTAIEGLAASGTPAN ASIASANVGQAITLDGSGFTLNTAVVFSAIDYNGNRYEHVVKPIAIAPDGSKLIVVVP DDATTGPVSVVGDQLNTAAPLQVVPLVTYADFTSVSGDGSSAAAQVRGLGFVEGAGTY TFGSTSVVDHGLQVGPDVTYDYADGSYRTDGQVNLAVPLGGNPYGAVTVTTAGGTSAP YAVGLSAIRSAAASGTPADPAKASANPGQVVTLSGSGLSTSTGVVAEYLDYSGNPAYE LLHPFYADAAGDLAQVTVPGYYNGAFAWRVVGSATAATLQVVPVATAAYMTGAGAAQI QGFGFEEGNGSSYSFAGQAVADAGTSTGPDVVYNSANGSYLANGLVNLSPPTSGPGAL TVTTAGGTSAPIAWSAIDPNLGDLSDVAASPGGAVYVATYNGNQVQRIDPASGAAIGQ PFALPGGASYGLTGLQAAPQAMALGGKPVPAGSLLVIDGYANPDRVDAVDPSTGAVLA TLTLHDNLDANAGVYDPATGRLYLLRGSANQVAAVDPNTGLTLSQFAAPAGVDYWHGG LALDPATGALWLGSSASTVVYEVGKATGAVLQRVDLSPQGVGGIAGLAFDAAGRLLVA SSNGVVYRATTTPSGSPPPPALTGIVAAAQSGTPTDATKPSADVAQVITLVGTGFTAS TGIVFVTRDNNGNVGTVTVTPSAVTADQTHAQVVVPNLADTGAVTLAAGVGSVLLQVV PTLTGVAGRPGADGAFTLYGSGFMDAATTVTVGGLSRVGVYTNQGDPAVSGARNDTLS GLVMPTAVEGTIRVATAGGYSEIAVPAPAPPPFVEFDALNAVTTAGTPADAAVASAVV GQAITLVGRGFSGSTVVQFPAEDAAGAAGVLSRTGTASADGTALTVVVPAQAVTGTLR VVGAAGSFRLQVVPTLRSVGGAVAPGGSILIEGAGLAAGEVQVSVGGKAAALAAGAVH DVFADGLSQQAIDVRVPAGAAGAWVTVTTPGGTFTWRSAAPASQPALAPAADPGDTLA TALALGLAEGGVLAVSQKVGDGPDGANDVDLYSFTGTAGDLLTVDMGPANTSPYPDVR LFDAAGHQLAIGYYYGQEVAAFALPAGGTYYIGVSSDADRAYDPNKSHSGSGGGYTGA YTMTATLASGGGTSLAGFTAPAAVTSQSSQAPAADPGDTLSTAAGLGLAEGSRLAVGQ RVGDGAGGANDVDLYSFTGTAGDLLTVDMGPANTSPYPDVRLFDAAGHQLAIGYYYQQ PELNMFVLPAGGTYYIGVSSSGDTGYDPTKAGSGSGGGYTGYYTMTVTRQALTGTAAI AGLGTPARAALASANPGQVITLLGTGLRAGDQVLFQTVGQDSSAVGWVSASPISVASD GTSLQVAVPDAAASGAVRLAREGAGLFLQVVPTVSRVDENSSAYHGAGLTIEGSGLVE AGTAVRFGGQALADDGPSSGPDVTYDYAGGSYRADGQVSLTVPYGVPYGPISVSTFGG TSNPFAETFTGITATATSGTPADSTKASANPNQTITLTGTGFTTGTAVTFPAIDYNGN RYEHIVKPATVSSDGKQLTVVVPYDAMTGPVSVVGDQLNTAALLQVVPVVTTAYMNNT ASAQIQGFGFIEGNGTIYSFNGVTLVDTGTTSGPDVLYNYVNGSYTTNALVNLSPPTS GPGALTVTTAGGTSAPIAWSAIDPNLGDLSDVAASPGGAVYVATYNGNQVQRIDPASG AAIGQPFALPGGASYGLTGLQAAPQAMALGGKPVPAGSLLVIDGYANPDRVDAVDPST GAVLATLTLHDNLDANAGVYDPATGRLYLLRGSANQVAAVDPNTGLTLSQFAAPAGVD YWHGGLALGPVHDNLWVGSTTSALVYEISKTNGALLQKVDLSSQGIGGIAGLAFNAAG QLLVASTDGVVFVANLNTQAQLGLAPPRAAAAGSPPAATLTSEELAPVVSAAIELWAA AGMDGAQLASLNRVVFRIVDLPGAYLGLSAPGTVYIDPTADGYGWFIDTEAADRAVFN IGGPAGGATAAEGSAAWDRMDLLTVVAHELGHELGLEDDPESDLMGTFLTPGVRRLPP GAVAQPGFAVPPAVTAASTLQSAVGSWDPTSTSGAVAVINSTSPTVPAAPVTLESRPI PAGPRPSHKSRPAIVHSAGPAPARDSLVAVRSRRVSPGNGPRAVARASARTNRKATPL LADAIDLLIGKGEMPRTSLKSPPGSDRAPGEQAEAVRDPLSPASIDVLLAWYGSVSPV EVDSPGAVHRRGRKR OJF2_RS02750 MSKRCQVSTAIGLTMLGPIYKKHFDHAIHGEGMVEHLEHLRRRT AGPMIIVRGGLHVHRSSPVKAFLAEHPEIGMERHSSYAPELNPQAH OJF2_RS02755 MPGDRVRMPGGKTGTVRSADGTFVSVRTDGAGGRGPRIRSCRAD RLISLDEEEREEIAKLEDAEIVDRWPRIRPEAFRGIAGEVVELAGPHTEADPVAVLVQ FLVAVANMIGRGPHFRVAGTEHHLNLFACLVGATGSARKGTSWDVVRFVLRPVDPSWA DARVRNGLVSGEGLIHNVRDRRVERKEVVTGSGANRSRSVEAVMIDAGVDDKRLMIVE AEFARTLKAMAPRGNTLSDVLRLAWEGGTLSGMSKHAPETATAAHLSVLGHVTREDLQ RHLADEDMANGFGNRFLWLCARRSKELPGGGNLEGVDWGPVREEIEAIRAFAANPTGT DDAPVLILRRDDPAEELWRRMYTELTADKPGLVGNLLSRAAAQVMRLACIYAVLDRSP VVSLPHLEAARAVWDYCEASARYIFGDAHGDPDAERLLAALGQAPEGLTRTEISRVFQ GNRTSAWIGNLLGRLLSEGTIHRRGGADRRGRAQERWHAGRSSGPRA OJF2_RS02760 MLQLLPASVLSAVVSVLLAAGGHVPPAALVATTAVSSALLAWAV TRRPFIQGVVGEAGVGRRHS OJF2_RS02765 MRDWVDDAVAWLQDLAGRLDAAADRLEAAAMAHGGREGSVRQSR PAGGDEAGDGRHSVAGDIAAAILERLEAVESALAELVARDLRKAYYSCEEFGRRVGLS PATVRKYCAQGGLLAEKKKTAAYSAKAEWAIPHAEIERFQREGRLLPKPGKPGP OJF2_RS02770 MIFWAAGSRIGRAQALPVWWPASSPIGPQDENVSGWTYLIVDVA PPAEDLEPYDSDRCMEEIDGYRTFHVPSALLDGKIVISMGGDISDLPHVLDGMSETER EAYLRAQQARYDAMIEEGGQTETWT OJF2_RS02775 MPYSFFHRTPAAEEILAGGFRDRRDSYMIVGLEIEGVWISDRPL GPNEGTKGSHLLLVELDLPDEELAQYEIVEANKPYREWCVPSAIANAGRVRRVSIAEE DAFQPPEVEEYSLPSPTWGEFRVFREPEGPINPPLHVPGKWYLSPQPCPNEYDVTDDD PPYWSPHDTRQGAEAAARRFEAATPPPEGYRSFRSYLGGESRTGSAAADAD OJF2_RS02780 MHAAAVAPHGAGPEAEAWYERRRRALLESGSSGPLEELASEPGD VSELVGYLGLHAARTPYRGRLAEGRSIGSGMVEWARKTAVGRRLKQTGTRWKVRRLER MASLCCLAYSERFDAYWKQAAG OJF2_RS02785 MVDVQDPGRTGQVLPDLAPDPLRPVGKAVSSSVRFSPSRAADRR HCGPNAVLVRIAAVATRTCGAGIRCSSQSSRSSTGSPRRLLAKIDDRTSRQPPVVLTQ VPSVWNSTSPSASRNGRAASGSSRCQARMRPPWRSQILRTVLSQTTRPATSRRWRAQR SNDQSAPRVQSSRWACGLTKPSRPRRSSGAWACWPRRRQVK OJF2_RS39880 MGRGMGERQSGGEPGNNGHMRRDASIQDLAAAIILAPQGAIAAA AGEIAAGISSFLFDGVEIRVIMWGGRPWFVAADVCRPLDIRNSRTAILTLDEDEKMTV ANTYSHSGSRGGPQSLQVVSESGLYALIFKSRKPQAKRVRRWVTDEVLPAIRRTGSYG APGAIALAERGAHVEPAAAASSPMMALFHHCGHPLRGIRRGPRPRDVVFPIRDVCHAT GSPRLVTSYEFLSPDQRMTVDVPDRTFDDVLVDAVTSMGVYVLFGKSRSPGRYAFIAW FTNVVLPAIRAHDEGGPAGPEVLEVLREQAKVQAALAEASRRRLLPRIRRPSRPRSPA CARTWGRWSAARSSWEAGRPAAARGG OJF2_RS02795 MSEEIQGAVTVRIFADLDRWPGHAVRGLSDRHQLWIGPPGKGKT ARVHRDVANSICDDPFAGMEGRVAAAIYTGRITAAQWFVRGWQHRLEPLLVLNDVTIG RGDAAWRDMLLQFMERPGRRTIRWDNRSGPKYGAEDLREIEDDLRSRDLLDRLLGVGA GTDGASGREPEPSPVRSQEVHLPPTVDE OJF2_RS02800 MSGTPTSPRDEPPEAELDRLLQRARGGDPEALPGLRELLDSRPE VWKRLGDLASHARRAWVGLIAGQDFALGESILRQVERLGAELAGPRPTAMERLLVERI QASWLQLQHAELAAAQVGTTSVAVAEFHARRLDRAHRRYLTGLGALATLRRLAPAGGV PGDPLGGTAIAAGDTSACDDLPGRRLRIAGSASD OJF2_RS02805 MGWQRRGNTLYYYAPRREGGRVRNRYFGRGPEAEALARQADAAR RERDHVAGLKRLLGCPDALVEELAGGADRLLEVTLLVSGYHRRDRRWRPRHVRHADVP AR OJF2_RS02810 MIEQTARRGRRATAAGAGSRPPGYLDYAAANPMRGPDWRWRLAG LSLREELPDRPETRDPWVRRIREYLLALRGAGRAGGRGARRAIDPPVRAAALLHASPD PHPRGELEAWLLTGVPIAAIAARCGHGEGVVEAYAACFFDVRARLDAEGWILHVALGG QVARGFPYGDVAAIWKFVGYLRGEHCLSLVLHVFPGPRPRPWPATIAATGARRRRLVA ACRKLVLTRWLRPGCGVAGAAARLASRLRAAAASTRGPEPAAPPRPVEIAVDLRDAMA GRDGSPAGRTDASPAGPTPPDAEGAVASA OJF2_RS41090 MDRTSLTRTSFHIRRDQLDALRTHARETGIPASFVIRRGIDLAL GGRHRPAGREAAPPRGDEGGEDARGTPPGSAGPGAGAPSA OJF2_RS02820 MADGRSTAGRRDGGGSGGRIPPHAVLVPDRQPAGWRLPFPHQAE AWEALDAADARAGGGPLQGLVVMPTGSGKTFTAMTWAMGRAVGRGDRVIWLAHSGLLL EQAADAAHRAVGRAWGRDRVSVRIVSGSHCPPSHIGDGDDVVACSIPSLLRHRGHAAR LLGAPGALLVVDEAHHAAAASYRELLGLLPDHRRLIGLTATPTRTRRDERPVLAGLFG GRIIHRVEHDVLVERGVLARPIPVRVSTGVRLDGLLGPDDLRLLEEHGHLDAATLRRL GRIEARNQLVVDHYLARRDRYGRSLVFAGSVDHAALLADRLARAGVRADYVAARRPDG GDDRSALDRFRDPGGDLEVLVSVGKLAEGADLPLTRTVILASPTGSEIRLRQMVGRAL RGPAVGGTEHAYLVAFEDEGRLLGRRLDPSRLAPDLFGPAAPRPPAGRRARTVAGPPR AARPPWPEAVAAARDLRGRSPAASTRAEEAAPSRWYVLRPGGPDAPARTALAYGDQVG CWEGAIAHLAALPRGDLGRVDWRAARDRFFAGRAWPEPAPDDLDDLVAHYRATGLAPR GFDREARAACEPAALARLIRDGDLGERSRLELLEGRYGELAAAIYPTPRSFREAVDLE LHLLFHPEERPGGPGPDPVFEEASGPGRGGRREAAVAARPGPEASPGPSRPGRRRARA GGPADPLPADGPRPPR OJF2_RS02825 MTTIRDRDRVTFRFETDGLFTRVAPPLPGWVLRGLEFRRRVYRA GGPRGVESSVETHRAWSRDEDGATRIFSGHVPRVRRLLLEEDGHDVVVEDSAERDRHP RDRASRRGVAPATRELLLGLALEPRGQLRATRPGDVSRLIRAVARSFGGKVMVACTTR REVYRLADDLRRSMGEPVLGITKGLATSDVRIQVGTLGSLDTDGAAVVVLAGTRQALH SKMIEELAWIDRPRLHRPRIYGIRVPSDRLSPREELEAEGLLGPFLGEAAVRPAARAP EVLLAGWRGGGLPGIGPGLQWKRRAIWGNEDRNAAVAAIAAALLGGDASLLREHGLSL DDGANRARRRGRRRVAVLVESPEHARRLAALLPGWAVLSGIPGTGPGSHDRGGRDAGP SPWRGLIVTLVRASDAGLPRVDVVVRADGAAGAPAGGHTRRGILGGGPTIVDLDDRFD AAARDATRSRLHSYAVLGWRVRDGRGASPGAADE OJF2_RS02830 MFDDSMSYVPSRAEKRGRTRSRREELGEVSSPRPVIQQDELDEM RELDRRLRQVEARRQQLRASLLQRLSSGAAIEAGRLTARLVERRCRILSAKKLLPLIG KAEVRRLQDLAGPTVYRSVMIMERS OJF2_RS02835 MYNGLRRRGGSSELAGPHARQAPADAHAGVHRDFLARHEGECAE VARADRRTRKGFAGRLFRRATDHRNLMCAIEHLDRRGGRGPGPDGIKIDDLDHGERHD LARNLRAALTAGTYRTGPDREKRLAKTSGQGHRTLRIQDLQDRVAQRAIVQAIQPFLD PTFARTSFGYRPRIGREHALATALHLASRRGLWVWAADDIRDAFDHVPHGRLMDVVAR HLGEGKMADLIRVAIENERGKGLRQGGSLSPLLLNLYLDHVLDRPWARERPSTPLLRY ADDLLILAANAREAEGAHAHMRDRLRSAGMHLKGQGTTGHDLRTGQPVEWIGFEIAWK RGRFIIRPSERNWWRLEDELEAAHEGPDAPIRAIETIEGWLGQMGPCAADIDIAEVHA RIAAIATELSFEEIPDVERVARILGDALRRWEEILRETGERMAEEDDGGSAKPTSSDR NAVTSTGRDAPDGVPTRSQQRPGPSGHDCGITPPIAAGEETVPTCPVLECPGPPASPG RDIANPPSPRDPAARPQAARDTAAAASIPPRCGSSAGAAPTSRRPTVLVRAITPRGTS RFAGPAIAQILIGLRKRASGTATFDAPDGGGARVGGRLAAGSRDRSARSGEDARAPPA GIGPGIEGDQPTQSASAKGVRMMLPPPHAGRGGWGMSPPPPLHVGRVGHPARPRRTRA PPHDGRRAARRPGCRGRRPAATRRPPAPRVRRHRNERPDDRQRCRCGRGRSGSLISSS PMPRRRGWSSIGRPSRHRARPSPSAPDRRTVGAASSLSDPER OJF2_RS02840 MSTSLLYHAFGIRGYRYTRTDYDGGSVTFHVARDPSTCRCSACG STDVIRRGEVPRAFRSLPIGLKPTVILLRVPRVECRACGVVRQVEVAFADARRTFTRA FERYVTELGRRMTIRDAARLTGTGWDMVKGIIKRDLARRYAKPKLKHLRRIAIDEFAV ARGHRYMTTVLDLESGAVVFVGDGKAASALKPFWKRLRPSGAKIEAVAMDMSAAYRKA VRENLPRAVIVFDHFHISKLFNEELTELRRDLHRELTDGLQRRVLKGARWLILKDPAN LDEAKDEKRRLKEALKLNEPLATAYYMKEELRWFWMQPGKAFATSFLDGWLRRAQASG VKVLQQMAKTLALYRSGLLAYYDAMITSGPLEGTNNKIKVLKRAAYGYRDHEFFKLKI LSLHETRYELIG OJF2_RS02845 MLLKTILNRVAPQKGFVYGRVTLTTEGERPALEVEIEPRRGSRP VCSGCHRKRPGYDRLPPRRFEFVPLWQVAVLFVYAMRRVDCPKCGVVVEEVPWGDGKN HLTVAYRWFLAGWARRLSWQEVASAFHTTWEAVYRAVDHAVAWGLQRRSLSGIEALGV DEVQWQRGHNYLTLVYQIDAGARRLLWVGLDRTEESLRGFFRMLSDEAKASIRYLCSD MWKPYLNVLAREASGAIHVLDRFHIMQAMNEAIDEVRAEEARRLKREGYEPVLKHARW CLLKRPENLTKKQTVKLAELVKYNLRSVRSYLLREDFQRFWTYQYSGWAGRFLREWCA RTMRSRIEPMKKVARTLRGHEALILNWFKARGTISAGVAEGLNNKVKLTTRRAYGFRT FHAVQIALYHSLGDLPEPEFTHKFC OJF2_RS02850 MAETAGKFRGGTVREAEHAGGEVGGPIDTLAFRFDGQDVRVDAE AGGVWFIAADVARPLGYRLAANLTRMLRAHQRGIRLVNTLKGPQKMLAIPGGGLYRAI LCDRSKNAERYQDWATDVTLPAIRGTVRYEASSVAIIGTFAATFSCRSPPRAPYRWDR HMCLRRPSRSRTRSEHVARLMPLEHTMISRLPPGITGRSICPGFGGWPRIEPTVEEGK GSRPSLARPVHPGESAGRCDGPRCGTRGEGMSGRPRLRPPELAAGSHEGICRGE OJF2_RS02855 MTVRDVATRLEISASLVYQLIDSGKLRCCRHGMGRGVIRVTEEQ LAEYLEACATPQTNSEFVVRASHRPKLKHPKV OJF2_RS41095 MRSKPRPDRFLPILGGIQSDMQGRLSVGRTCRKDRLRKRAGQR OJF2_RS02865 MRTSSKPWYWKAKDAWYVQIDRKQVMLAKGKAKKAEAKRRWHEL MSEGLPRDAPFQLCIDRYLTRIGPPTHRSRKVVLDAFARHVGKVPASRLTKRHVETFI KPNWSPSTTRSAIKTILACLNRAVKDGLLDANPVKDVEKPAWERREHIMTPEELQRLL AAAREPFRTLLIAMAETGCRPQEICGVRVENCLPDQGMWLVENKTRNQTGLKMRPIYL TAKLVELTRGLMAGRTEGHLFLNRYGKPWRTDTLRCRFKRLREKLGLGRGVLPYGTRH RFASDAINGQRLDSLVVARLMGHSDPAMLARTYFREDASAMQEAMEKARGNT OJF2_RS02870 MSRLLWPAAILAAAMIPIPARAESEPSGRWPVATNEEAWAHLPK ALSGGGSRLPAWARATAEGLPRTTAAMLGLDRRHRTMSPLGASLRGKMRWVAADANRC EYTRATAEADLRRAGVPEAEVAALKGGPGGWAQGERDALRFARQMTVDASAVTDAQVE RIKAAYGEEKLAAMVLLLAAANFQDRLVLGLGIPPEEGGPLPPVDVTFEKDSKPEVPP REKPEGRRGPDEPTAVDDPSWMEFDFDALKKGLADQKAAPGRVRVPTYQEYLAKLPEW APRPKAPVRIKWSLVCGTYQPELAAAWSACTNAFREEAKQDRVFEESLFWIVTRTIHC FY OJF2_RS02875 MGHCEMLLAVAGLDQNALEERTRLLASKDWTSLPPYDRAAFFFA KKFSKEPWTIDDSDRARLIAHFGRYRALDVIWWASRCHYMTRVADGFQLPLERENVFA PPPSAEAAKKKP OJF2_RS02880 MDHERHLDALYAAGISAGLPDDELLRRFLERRERADRASRAAEA AFEAIVRRHGPMVLGVCRRYLADPNDAEDAFQAIFLILFRRAAAIRIGESLGPWLHGV SRRVAARARTVALRRKSREAAGVEPATDPAAEGRRRDASEALDEELGRLPARYRVPII LCHLEGLTYQEAARRLGCPVGTVGVRLSRGRELLKARLSRRDATLAAGLWMAGADPAA ACTPPPSLVEATLRAATATRGGPGPATAASASIASLSEGFLRAMFMDRVKAVALGSLS VGLLIAGGGLLLRQASAGQGVGPGIAPPGVSARGPETGGDERTRRSRELIYFFRDYRV FSRDEEWARTIRELAAIGKDAVPELVAELDRADRDATLRSLGFTLRAIGDPRAVPALI RAIPRTLRQPGSDCGVGIIDRELRAFMREHQDYRNDRTNYVSCGRPVNEILTALKRIT GHDEFSDSAGNDVRHIFLGDAPDEQAGQRASFDQCRQRWESWWSAHWREFATPEELQS VERPGRDEDLVEAAGVARYGPLFPTGKGVRLGPVRMLRLATSEYANAKSHLDLDTGRT FAVHEGMKAADWVDPLEFVEQVGAWHRRNGIDVRCQGLRIDGTDLQLWRIDDGRWDTI EAEVRKEGPLALGPEANDTMVPFAVPATPRELATYLFTTREGGRGILQAFARDPDADR FRLRYRMWMASMAEPADRTVGGPARAAPARAPFGPVIVTTLEPQGEGRECFLGIRSGR KAGPPGFLRPDAMVNHDSLARDRRFIRFYRDQDLDLLCYDLLGGRGRPLPMPEADGAT ATSSQPGSELVGFEMTVARILPQSFEELTVAEAADVLDRVPPSRDRIGWMTAAGDLAE RPDTFAFRTRGGMVGLLQRQPAPEAPGRLAIRYRLEPVAGPRAR OJF2_RS02885 MRRVARRLALLLGAALTSAAASARPGSGIVVDDGGRIFFVDTGA GVWIIDSRGRLARHGGEGFHWLAIDRRGGFAGRDMPRDAGGQLPVLGRDPTLIRGLRP PARRRGRSPGPGPARARRAGRRDDLRRRVGLRRPAPDRPVRRP OJF2_RS02890 MAQRLLEARARNGYPLGLYLRWSARSLIASLALFGLGLALLAYY EAWPAFCVVAGLYAGMQLRDIAYLRISRRSWPFLSVVLDWDKVRAVAGPMDSNAEPAT RREGR OJF2_RS02895 MRGPRRDVSSRRDFLAAAGGAVTLAAGVASPAVGAKGANERIGI GLIGVGGRGTDHLEAILKVASAHNVEIRSVCDVWRRAGDVAAEKVKKASGREPRACTR FGELLDDKGVDAIVVATPDFGHGAILTAALAAGKDAYIEKPMTIDVDSAARAVALAKE KSRVVQVGTQRRSDGHFRAGMKAVAAGEIGPVNRASAAVAFNEPRWRRATSDVHEKDV DWDAYLLGLPRRPFDPKLLRMWQLYKETSNGMPGLWMTHFADAVHMLTGATVPASAVA LGGIYVWKDGREHADTFHAILEYPQGFLFDWGMGLGNAAGGHFTIHGTKATLDADRWH VRREKGSQGPHSLKPEATASHMENWLDCLRSRKPTNAPIETGYQHVVATVMAAKALET GRRQAYDAGKKVIVAC OJF2_RS02900 MTHSRRDFLGGALAAAGVGAATTSGVGTDSPGSGPKIARVDTFP IVYPTVGRFKFFEGPRGEPGGRPAVLVKVTDEAGAVGWGQSVPTPRWSYETIEGVDST IRKHLAPILIGRDPADLGGIHAAMGRAIAPSFSTGQPICKAGIDLALHDLIGKRRGAS AASGESWRRLAGGRGPGAGRVTLSWTLNPKSLDDVEGLVAKGKERGYRHFNVKVAPDP KVDLELCRIVRRLVPDGFLWADANGGYDEATALEIAPKLADLGVPVLEQPLPANRLGG YARLRRQKALPILMDEGIVSSVELVEFIRLGLLDGVAMKPARCGGLTEAKRQVEILRE EGLMVLGSGLTDPDVSLAASLLLFDACSLETPAALNGPQFLEGSVLKDPFVVSEGTLR VPEGPGLGVEVDESKIGRLAVKLG OJF2_RS02905 MPICLFLVMTGVLGAQEPAAKVPDAFRFAPVDDGALGLWEGDRP VLVYNHRARAAGGQPLNPSRSAYVHPIYGLDGEVLTDDAPADHLHHRGLFWAWPHVTV GGEQVDMWILKGIEPRFGRWLFKEAGKDRDVARLGVENGWFMGDRKVLDERLILEVHR ATREGRAIDVDLTWTPTDRPVTLGGAEGKSYGGLTLRYAPGEKTAITAPSGPAKDDLY MTPLPWADLTRTRPGRAERSGATIFVHPSHPGFPPTWLTRHYGVLCVGWPGVKPATIQ PGEPIHGRYRVWIHKGEPDAAALEKVFKAYTDAVGQSDPAGASPRSAKP OJF2_RS02910 MSVSDRRWDDLPGQIEDAIEFLQRHRNDLERLRAAPGVVDIRLD FPYELRIDEDNVWAQFDYLPPKLLALAGALGIGIEMSLYPRGRDANDAAGGPATRPV OJF2_RS02915 MVKVVRGIIRGRIIELSEDLGLTEGQQVEVRVDVVAAPEPVHVP RPWGEGLLRSAGALAADWTDEDDRILEQLERDRHRPSTREIPD OJF2_RS02920 MPQQPPRSQRPSMPMTRRREGLYDPAFEKDACGVGFVADLKGRR SRGIVESGLQVLKNLQHRGACGCDQDTGDGAGILMQMPDAFFRGEPTFADALPPPGDY GVAFVFMPKGASQRLICHRTLEGIVAAEGQRLLGWRDVPVVSSSIGWLARSQEPVMEQ LVIGRGEDTPAGDAFERALYVIRRRAENWAHTESIANDAMGFAVASCSARTIVYKGML KSDQVEAYFPDLADPRMESALAIVHSRYSTNTSPRWSLAQPFHILAHNGEINTLRGNV HWMHAREPSLRSKLLGDDLKKTLPMQFEGLSDSAALDQVLALLVQSGRSLPHALMMLV PQAYEADDTIPPALRAFYEYHAGLIEPWDGPASLVFSDGLRVGAMLDRNGLRPARYVV TEDDRVVLASEVGVLPVHPEEVRVKGRLQPGMMLLVDLAAGRILQDDEIKRSISEAKP YASWIEEHRRTLDDLPTTAAANGDGHANGNGAVRAFDPGALLARQRVFGYTREDVVRI LLPMGQDGKEPVSSMGSDIPLAVLSRRSQILPSYFKQLFAQVTNPPIDPIREKVVMST ETLLGAQANLLDETPEHARMLRLETPTLTNADMARLRAARSPGFVAETLPTLFGRAEG PAGLGPALGRLCDEAARAVDRGATILILSDRGLGEGLVPIPPLLAVAAVHHHLIREGK RTRCGIVAETGEAREVHHVALLIGFGAAAVNPYLVFETYEGLQEEGLLLDPQGAALDV AKAEENYVKAVDSGLLKIFSKMGISTLLSYRGAQVFEAIGLSDELVGRYFPGTPTPIG GIGLEVIAEESLQRMNVAYPEEPGPELPELDPGGEIMWRRRGEFHMWNPETIRKLQHS LKKKEFASYRAFADACNEEARNRCTIRGLFEVRHGRKPVPLELVEPASAIVKRFCTGA MSFGSISKEAHEALAVAMNRLGGRSNTGEGGEDPARFKREANGDSRNSAIKQIASGRF GVTANYLANAVELQIKMAQGAKPGEGGQLPGHKVDSFIAKTRYSTPGVGLISPPPHHD IYSIEDLAQLIFDLKNANPHAEISVKLVAAAGVGTIATGVAKGYADRILISGDGGGTG ASPLSSIRHAGIPWEIGLAEAQQTLVRDGLRGRVRLQADGQMKTGRDVIVAACLGAEE YGFATAPLIAMGCIMMRKCHLNTCPVGIATQDPALRARFAGTPEDVINYLFFVAEEVR EHLSRMGCRTLDEVIGRTDYLSVADLSDHPKARHLDLRALLEPPRVAHGAPSRQVERQ PDVLADQLDWELLRHCKGALEHGNRVQRTLPITNRDRTTGTLLSYFVTKSHGEHGLRE DTIDLTFNGSAGQSFGAFLARGITLRVRGDTNDYVGKGLSGGKLVVSPPPEAAYAAEE NMAVGNVALYGATGGEAYFRGRAGERFAVRNSGAKAVVEGVGDHGCEYMTGGVVVVLG ETGRNFAAGMSGGIAFVHDPEGRFRGRCNPEMVDLVPVEDYKDVGLLSNLINRHVHYT GSTVGGAIVDDFSTALGSFVKVFPRDYRRVLEQSRIVQRQWELING OJF2_RS02925 MADPRGFLNIDRQKPTPRPVHQRIKDYLELYQPMPAESVRAQAS RCMDCGIPFCQDGCPLGNRIPDWNDLVHRNRWKEALDALHDTNNFPEFTGKTCPAPCE ASCVLALSAESVTIKEIEASIVDRGWENGWIVPRPPARETGKRVAIVGSGPAGLAAAQ QLRHVGHAVTVFERDDRAGGLLAYGIPDFKMAKRYVERRIDQLVAEGVRFELNAEVGR SIDPEALRADHDAVLLTVGATRPRELDIPGRGLEGIVQAMSFLTQQNRRGFGLPEAGP PILATGRNVIVIGGGDTAADCVGTCHRQQARSVLQLDYNPMPPEGENPDTPWPLWPKI LRVAPAHEEGGRRDWQIKTKAFHGDGHGRVKELAAVRVHQYYDEAGDRQFEEIHGSEL IFPAELVLLAIGFAGPEGSIPDALGLEMTEQGAIRCDPRYMTSREGVFAAGDCRRGQS LVVWAIAEGREAARGVDEYLTGRPSALRARDRSPTQPEGGPATAAAGR OJF2_RS02930 MAPGSTLQTVTQAQSQSQGQAQSPLGAPATRHALFEDYALHPKA WDELFAGAGRSHDYCRVLFDRLGQLNVGEFIDKRTSADLAFINNGITFSVYSDRRGTE KIFPFDLIPRPVHGAEWDDLEAGLVQRIRALNIFLDDVYHDARILKEGIIPEDLVLQS KGFRPEMVGFSPPGKQYLHVVGTDLIRDRDGRFLVLEDNGRSPSGVSYVLENRVVMKK VFPQLFQQCRVRRVEDYPRRLREALSSVAPEGAGDTPTIVLLSPGPYNSAYFEHCFLA RHMGVELVFGQDLFVHDDRVYLHTTRGPQRVDVIYRRIDDAFLDPKAFRPDSMLGVPN LMRAYRAGNVTLANAVGTGVADDKAIYPFVEDMIRFYLSEEPVLKNVPTYICARPDDL KYTLEHIAELVVKAVNESGGYGMLMGPWSTSAQCAEFAEKVKENPRNYVAQPVVTLST SPTWTDEGLAPRHVDLRPYIVSGTSTWVLPGGLTRTALVKGSLVVNSSQGGGSKDTWV MENGR OJF2_RS02935 MISRVADHCFWMSRYLERAEAAARILEVNQTLLLDFAVPLEQQW RPLLIISGIHDQPEDLDGEAVQNLMTWEADANPASIAASIAAARENARNIREVLSAES WERLNYYYLWMQSPAARTLYLSDRTAFYHRIRRINQLIAGINEGTMSHGEAWDFCQLG RYLERAGQTARILDVKYHILLPTVDQVGTPVDNAHWVAILTSCSGYEPYTKERSSSDP GVAVPDFLIFDPLFPRSVRFCLNRCRAAAYAISGHHAGKPGNAAEHALEDLSSWLNLT KVDDFIQAGLHEALTSIIDRIHGIGEAIHRTYFDQRANMIPEHWAAAGTPAAAGAVPQ ATA OJF2_RS02940 MPIHVALHHRTVYRYSRPVTLLPHVVRLRPAPHCRTPILGYTLR IDPAAHFLNWQQDPYSNYLARLVFREPVRELSIVVDLVAEMTVINPFDFFIEEAAEEY PFTYEPVLARELIPYLETEPAGPLLSALVEEARDAGVPTVDYIVRLNRAIHARISYLI RMEPGVQTPEQSLALGSGSCRDSAWLLVQLLRRLGIAARFVSGYLIQLRADIPAVDGP SGPESDFTDLHAWAEAYLPGAGWVGLDPTSGLLAGEGHIPLACTADPLTAAPVTGSYS WASTPGGDPHDTCRADFSHHMEVTRIHEDPRVTRPYTEDQWAAIDRLGREIDEHLKYG DVRLTMGGEPTFVSIDDMDGEEWNTAALGDDKRRLAGRLLKRLRDRFATGALLHYGQG KLYPGEPLPRWALGCFWRADGVPVWRNPDLLADEEKDYGHTAADAERFLKALAARLGV DPDCVRPTYEDHAYYLWKEQTLPANVDPRDSKLDDPVERHRLAQVFDRGLGEVVSYVL PLKAEEVEEDEGDGPASGAAEAGAPGPERHVAPVPSQQPQAKARPGRTVWKSDRWDVR RGNLFLMPGDSSVGYRLPLPSLPWTAPEDAEPTDEVDPFAPRAPLPPRPAPSRGRRQG VSSVSQSPSRPGGGPSPEEMRKDHEAGQSVKGVVRTVLCVEPRDGRLHVFIPPQRRLE DYLELIAAVEETAAELGLPVIVEGYRPPNDHRLLGFSVTPDPGVIEVNIHPSHSWDEL ASRTTILYDEARQARLGAEKFMLDGRHTGTGGGNHVVLGGPRPADSPVLRRPDLLRSM ITYWHNHPSLSYLFSGLFVGPHSQAPRIDEARNDSLYEMEIAFGEIPGRGEEVPPWLV DRVFRHLLVDVTGNTHRAEFCIDKLYNPDSSSGRLGLVEMRGFEMPPHARMCLAQLLL IRGLVAMFWERPVADRLIPWGTELHDRFLLPHFLDEDFRVVIEDLRRFGLAFEAEWFA PHFEFRFPLIGQVAIGAITLELRQAIEPWHVLGEEAGGGGTARYVDSSVERLQVKVLG LTEGRQVVTCNGRRVPLHPTGTIGEFVAGVRYRAWQPPSCLHPTIKVNSPLVFDLYDP WLKRSLGGCTYHVVHPGGRSYETFPVNANEAEARRHNRFFPFGHTPGEMEVPPPERHP VAPLTLDLRRPPR OJF2_RS02945 MPALSTGADVQEEWAWAGPGASPWASYRGLEGSYDEVKGAGGGA RAHWRSYIEAVENLGAGEVHRRWEESQDLIRQNGVTYNVYGDPRGMDRPWQLDPIPLV IAPEEWARLGDGLVQRARLLDRILSDIYGPQRLLARGFLPPELVFGNPAFLHPCHGLQ VPADRRLHLYAAELGRAADGSILILGDRTQAPSGAGYALENRLVLSRMLPDVIRDCRV DRLAPYFQSVRDLLQSIAPHNRDNPRIVLLTPGPYNETYFEHAFLAGYLGYTLVEGGD LMVRGNRVYLKLLGGLQPVDVILRRLDDDYCDPLELRGQSFLGVPGLLQVLRAGNVAM ANALGSGVVETPAILAYLPAICREMLGEELKLPWAESWWCGEPVGMGHVLSKLHRLVI KPAFAHRSFEPVFGDRLAPAQLRELADRIRARPGDFVGQAQVELSSVPVMAGDRLEPR YQTLRAFLAARDDGYAIMPGGLTRVASSADSKVVTMQRGGGSKDTWIVADHPVTTFSL LPSPHRAVRLSRAGGDLSSRHADNFYWLGRYVERAEQVVRLLRAILARLTEKAGMAEA PELPALLRALTAQTQTFPGFLEEGEAPLDRPEEELLSVIFDRERPGSLASTLVMLQRV ASKVRDRISVDMWRTLSHLMVDLIADLNAMAAAADPGGGEAAGDAERPAAARTDARAV LSEVMEVLDAGISRLAAFSGLVAENLTRGQSWTFLDMGRRLERSLQTCGLLRGTLAVQ GRAEGGILEALLEIADSTMTYRRRYLSTVQAAPVLDLLLADETNPRSLAFQLAALADS IDRLPRDPALPGRSAEQRIVLASLTSVRLAELDALAAAGEGGPRPRLEALLRKVEGDL PILSEVLAASYFSHLQTSRHLGNHAAGHPPPGPGPSGGPPPGDDTAATAPEPAQGP OJF2_RS02950 MIYRAIHTTIYEYVEPVSLCHNVVHLTARGGPWQAKLSGELRIS PAPSVMTERIDYFGNTATFATIGEPHRELSVTAINVVDVTPEDHPDPDRTPPWEAVRD LLRAPASADALAASQFAFDSPYVPTGPGLAEYAARSFPPGRPIFEAALDLTRRIHAEF RYDPTATTIATPIREVLERRHGVCQDFAHLQIGCLRSLGLAARYVSGYLVTRPVAPAG RPDVVGAEASHAWLSFFCPGFGWIDIDPTNNQVPHDRHIVLAWGRDYDDVSPIKGVIL GGGNHTVKVTVDIVALPDGDADLPVTIADD OJF2_RS02955 MNVSLTPELEQLVHKKVESGLYLSASEVVREALRLLEERDRLQA MKFEELRQAIQVGIGEADRGEVEALDVQGTLARVRDRRRKGGKGS OJF2_RS02960 MRRVTRTRQAQQDLEGILDYLDGQGSEAADRFAARFDDACELYA AHPQMGTSAREYAPDLRHFAVMNYAVFYRPAPGGIEIIRILHGARDIPTLFES OJF2_RS02965 MSSAGEPSRGEAPVVIDGSRGEGGGQILRTALTLSLLTGRAFRM TKIRANRDKPGLRPQHLKAVEAAALLGGEASGAEVGSRELVFRPRPYEPRDLKLDIGT AGSAALVLQTLHLPLAMRAETAVRVVITGGTFNPKAPAFPFLEETWRAHLSRLGLSVA LAMPAAGFYPRGGGRLEAWIEPGRPAARALPDRGRLRRIRGVAGVCNLGEGIAARMRD RALQQLEDSGLNSDIEIDAVRWPGPGQGAAVAIWAEHEDAAPATFVGLGERGKPAEAV ADEAVEDLLGFEAVEPAAVDPHSADQVLLPLALAEGPSSYSVSVVTEHLRTNAATVRL FLDREIAIDEPDDPGLPARVTIR OJF2_RS02970 MCSKRPNWLAAGLSASALVAACALIGLAGCNSNKDQLRPQDLFG RIGGGQQGQIIEPKKCAIEVAILDRPFKDEALNAAAWKAVDEQSVAPEARRALEVNGL RIGRITGELPPAIDAIRNAPPPHKVEPATFLLDDGDQTLISVAENAEQITLLLNREGH PYGKDYQSASGFFRVTATHHGTDRVSLRFTPELHHGPPRRSFQAIANGGSYAPQEFRI ADGQEEETLQDLSATVDLGPDQVVVVGCFPEQERSLGGFFFTRSEAHSDVRRQKLILI RASRNHVGTLGEKVGQSDRPQPGGLLGRLAGRAGKAGNPAGAAGATAIAKSGGGDATK DAAVIRAGGGSGPAR OJF2_RS02975 MSDDAASAGPLVRGLDLVREIAELARKHDRFLWGEPETGDEDGP AELTERDLAEVRAALEGFQESLRMAWESDRRPLRAWRRGSGGPPERLVPLEHAGSAWP PPCRADEAVQLLLDFDAKLRALVPAVDDDEPFDVDDFLEGWDTDAEAVAKAAPDPEDL ARLKAEVLEEFRAAPEGPPAGPASPPAPGVDPEAAGAAVLGLLEAIAGLLELARGAGL AAEPSSVLLAKGRLRAAFPAAIDAPVMAARLLHRNRGGVRMKWYKGMATSCSDAVLDV GADLMDRLFDATAAEGEDGPGGWRQDLSEWKETVEWMGEQLDEVPPGFGDLADRVRDE HGRSGSIELPLAYTYVFEDQDEPVVVNRRAESIALLDQFRIPTEAAPGAKLMAGESAV EQIFYVPGEAPHRFLTKIGGLPYRLAHEAWPAGRDGRPLTFVAQFCVPAAYDLFPDGL YEEVLLIFARSESDLLSVNPYQDGLHFEWWPLGASPAKLVQAGDVPKTGWRIKPYFGV HLRTGDLHLVGPSPHVKIGGWPDFLQGGPRRGYYGGEFLLQLGRPGPVPPGLDRWAHQ ADPAAKGREITIGDDGYLYLFMRADQTIDAYPECY OJF2_RS02980 MAVAIRKTAAYATEDLLSMPDGERHEPIRGRLVEKAAGARSGWV ELKLGAAPLAFCEAHDLGWVLPGTTDYRCFSHAAGRVRRPDISFVRKDRPPGGELPEG FSIVPPDLAVEVVSPRDLASELEEKLDDYRAANIPLAWVIYPDSRSAMVYRSDGSMSR VREDEDLPGEHVIPGFRLPLGAILPTASKGPGGSPPAAPGEAAREG OJF2_RS02985 MSLCSPAVALGTIDPEQLGAALMAGTCLAFAAYLAVRFLRPGGS KVPYPEALWALAGFFLASGLSRLLDAMATRGGAPGVLALPLPLSAGLLSLGMTVLSCA AAFALAGLLHVRRPPCDPRQLDREAAARRAAEEALRRSEAVGRKLELVASRTDDAVVV TDLHGRIEWANDSFARMTGYGPDEVLGRSPGGLLQGPETSPATVAFMRARRRAGQGFR AEVVNYAKGGRKYWLSLGVQPIRDEDGRLTHFLGVGRDVTERKAAERRMQVQHATTQL LAGGGRLDETMPKILSTIGGMLDFDAAGFWVADGGDGGRLRAGADPWVSPRMRADGAG PRSLPGEALAARVRATGGSAWVADLAAEPEGSASRPDPPLRNALVVPVTAGEGGATLG VMIFFSRGPFRCDGALLQAMTTLGRQVGIFLERDRTQAELRRVNARLRAVLDASGQVS IIATDSDGVITVFNAGAERMLGYEASEVVGKATPLLYHDEREVREHAARLSAELGTPV EGFGAFVARARRGGREVGEWTYIRKDGTRLRVLLSVAAVMDSEGQVSGYLGAAIDLSD RQRAETQLRSSESRFRRLVEANILGVVVGGIEGQITDANDAFLEMVGYTRAEMDAGLL PWDAIIPESSALRLHLCRAELWSRGRCEPVELECRHRDGRLIPLLVGAAMLDEARTPC RGAPVVAFCLDVTERRRLEDELRRQAGELSEAAARKNEFLAMLGHELRNPLAPIRNAV RIMKRRGMDDPTLVEAREVIDHQVRQLAQLVDDLLEVSRVAGGKVRLHREVVDVATVV AFALETSRPAIDEGRHRLSIAMPPEPLRVDADPVRMAQVLANLLINAAKYTPEGGSIR LSVRAEDGHVAFRVRDNGIGIPPEMLSRVFDLFAQVDQSLDRSQGGLGLGLTLVKTLV EMHGGDVCAFSQGLGTGSELVVRLPLWTAPPAEGDVPGAGGRSDAGAAGGEAGASASP SGAAGAQAAPRPRRVLVVDDNVSSAETLKILLTMEGHEAESVHDGPSALRALEDRRHE VVLMDIGLPEMDGYEVARRIRARDGSGRPLLVAVTGYAEDEARRRSREAGFDHHLVKP VDPDIILALVSSMEWSDAPLPPWDMTRSAEDFAVEELEEIRRGR OJF2_RS02990 MRRSTTLYVALACLPATLAAPAMAQKPSPETTVSKSVLALSDIT RDARTGMPQLVMRKAHAIAVFPDMFKMGFIFGVRGGRGVLLVRQPDGSWSSPVFLHLF GGSFGAQAGAQSTDLVLVFQSPRGLERFLKGKGKMTLGMDVGVAAGPTGKRFEAATDV ALKSEILSYSNSRGIFAGVSAEGGTLQVDWKANTLYYGQPASPGAILAINSTLPVPAS ALPLKELLAEKTAMPARIAVRPKASPVIVSGGDTILDDEDATIRLEPEAPASAARPAP PRQAPKPRARPAAPADSPDDLDAIPSTPRPTKRPAPARPNDEDLPEAAPGPAIDSDVP AASAGKKPKPRPSTPTPATDDVEVPALEAPKTATRPGA OJF2_RS02995 MRPRRSTTPRLEAIEDRVVPSAVSLNLSPADRAHVRSFNRTVMH AADKVQDTVAGLFHQQHAARPTRSAFGKPAPHKAETLFGIPFIKI OJF2_RS03000 MPGTDRRQRHPARLRLALSLAVGVATASLGGCASIVIHERPVLD RSARDRGPVPPGGASPGDAESLLQRGMEQDRRHPGLAIVSFRDAALAALPRVAEEGVS TRIDIAAARGAQGTYRRAIEYALEAAHREAAAEGLRWTEVLARAGIGVQGRISLYDAT RCDDVLPTRRYEVTGFRHEVGAGGVGAPVVAHVTRAGTWGQNSAVAEQVARAARLGEP DPEVKAVFAAPCERHFPKSLFYAGSAILRPGGAGEPPAVLEIRDPVRECTPAWRIDPG GPDLPLAYDMTIPLAKQFHVNNLNLLGALGVLYPSQYNGNTGIYMVDPYEPGKIPVVL VHGLMSSPEAWDNAMNELRGDPELRARYQFWLFFYSTGNPILASGARFRKALNELRDE LDPTRRDPAFDRMVLIGHSMGGLLSRLAISRSGQQLWDTASKVPPDAVKVEPGLKELL VSSLIFEPVPAVRRVIFVATPHKGSPLGDEPIGRIASRLITVPSDVIQVRRALLESNG GDVAAEFRGNRYATSVAQLSIGNPVIKAINSIPMSEAVAYHSIVGFDGKTPLPAGGDG VVPYLSAHLDGAMSELIVSSGHSSQETEDAIREMRRILVQHLEEYDAERSALAAGERP TPAPTRPGGSTPVRRALGDPSMRDPSTRVITGGKGGVPLDLRIIR OJF2_RS03005 MKNHLKLRAYNPRAILPRPRAFTLIELLVVIAIIAVLIALLLPA VQSAREAARRAQCTNNLKQLALAAMNYESAHSTLPPGGFTRRATWPGSKWNIGTLTYV LPQLEQPAVFNALNYDWGLWAGANVTIAGIGLSAFWCPSDAAASQPGAIVAANYPGAP AGVGWMQAYSSYGGIVGAWSLRLHVDDATFALRKANQTGVIYAHSSTRLSEITDGTSN TMIYGEHLHGIYDAAGQAQYHAWNSGYWTDTMIDAYYPVNASSRLLKLTDATARDYIA MSLESRHPGGANVAFCDGSVRFLKDSIDCWPIDPATNVALGVPFNADGTGRITINPGA KVGVLQKLATRNLGDAVSSDSY OJF2_RS03010 MEPREPAPSRGPSPCTSPSCGCGPGAGAGPAPIGRRDFLGIAGL GVASAAAASIPGWPAVAGPFAAEDFEDLVPRDKKLNPDWLRSLTRRGEPEVYRGKELA FVGMPVGGIGCGQLYLAGDGRLWYWDIFTSLTPIRREGVIYQGPNYEKPLRPKSVVEQ GFAIRVRKGDAAIVRPLDSRGFRDVAFRGEYPIGRVTYRDEAVPVEASLEAFSPFIPM DLADSSLPATIMAFTVKNTGDAPVEVSLAGWLENATVRDGDGGLNLRRALAVEPRPGG AATLVGTVEPGPARSASRPDIVLADFEGADYGGWKAEGTAFGEKPYREDERKFYTALV GYEGKGFVNSHMMRHGEDPAAADAHRGTLTSPEFAIERDFIHFRIGGGEDANRLGLRL LVDGKAVRRAAGKGGGAMRHASFDVRDLKGKTARLQVVDDADGGWGHTTVDQIVLSDS PMYASIREVPGVGSMALGLLEGPGEALAAADLAAATTAEGLDPAKLFDALRPAAAASA SGPAEKALVGGLGRTTTIAPGQSAAFTFAIAWFFPDYGENRGEMSAIAGMEKARRAYA SRFDGAKAVADHVAKDFDRLAGQTRLWVKTWYDSSLPHWFLDRTMIPVNTLATQTFHA FDSGRFWAWEGVDCCAGTCQHVWQYAQSVGRLFPAIERDLRERVDFGLAWHDDGAMDF RSENDRSVAHDGFCGTIIRAYREHQMSPDSAFLKRLWPRIKRSLEFIGAQDADGDGLL EGKQMNTLDSAWYGPMAWISSLYLAAMAAGAAMADEMGDAPFASRCRRIVEAGKRSLV EKLYDGEYFIHKPPDYTRNNTNIGCHSDQMLGQSMAFQVGLPRVVPEPEARAALRSIW RYNFTPDVGPYREKFKTIKGGRWYAMPGEGGLLMTTFPKGGADKATGSSSNFAYYFNE CWTGFEYQVASQMFWEGMTTEALAIVRMVHDRYHPARRNPYNEVECSDHYSRAMASHG AFLAACGYEHHGPKGHLGFAPRVTPEDFRAPFTAADAWGTFAQKREGEGQSGKVEVRW GSLRLKTLAFEAPEGKRFSAAKVTAAGKSVAAAVSQDGRRATVTLGEDVNLAAGEALE VVLS OJF2_RS03015 MPPINREVGGTALLEGSTTSLLAASDSGVAGALEEYSRLLRRGR RPGRAEFLAKYPEIAEALGECLDGLEMVQAAAFDFSPCRSAGEAVALDGPEGHGSLGE FRLIREIGRGGMGVVYEAEQVTLGRRVALKVLPGPASMDARHCRRFQMEAQAAGLLHH EHIVPVYAVGEDRGTPYFAMQLIDGPSLARVIEELAASPRPGRAGSAPDADADARPVD DRTDGRAAPSAATAATPRSVHDALARARGREAARLGLQAAEALEHAHQLGVIHRDVKP SNLLVDGRGKLWVADFGLARLPGEGRDLTQTGDLVGTLRYMSPEQARAERAGVGPATD VYSLGVTLYELATLRPAFRAPDRQELLRRILHDEPAPARSIDPSIPRDLETIILKAME KDPAARYATAGDLAADLGRFLDDLPIRARRPGPLGRAAKWARRHRSAVLASVAGLLLG LSAVSLILWRAVKTQDQALTRQRLGIEYALGSFDQLVRPLVEGKPRPLAGDPEAARIL GLAIAYDDRIPGLFTDTRAVRETIAGAARQAGYCRMALGRAKGRDDYRRSIALYEQLA AEHPDYIWLRTRLIETLREYAGLLDAPGDREESSAAIRRAVAVAGSLVGDRNAGASCY QLALAPALRGLVQDLLKAPDATPEDAGAALRLARQLAEWHPDDAEARQLVEAAVRRNV P OJF2_RS03020 MTRRLLVPSLVLVSGLLGMTQDDGSAKKQGDKPSADAAKASPNR PAAARRKKPELATFGGGCFWCTEAVFERIPGVRSVVSGYSGGSVPNPTYQQVSSGLTG HAEVIQVEYDPEVLPFGKLLHYFWTAHDPTTLNSQGPDFGTQYRSIILYHDEAQKEEA LKQLEEFNARRARRSPAVTQIVPFEAFYPAEPYHQDYYRNHPEADYSQAIIEPKVYKI RQKLKQEAAAEARSERAKGGSKEKEAAKGKAKAGSQ OJF2_RS03025 MIRVGIVGLGYMGRMHYRCWNGLLGAKVTAVCEANPKVLAAAGE PTKGNVGGAADHIDLDSLKVFSDLDALLAAREVDALSITLPTFLHADTTIKALEAGVH VLCEKPMALSVADCDRMVAAADRSGKTLQIGHCIRFWPEYEAARELIRGGTFGRPIAA SFRRYSAMPSWSPDSWFADEQRSGGQPLDLHIHDTDYVHHLFGMPASVSSVADVPQSY IATQYRYPGGPAVVAESTWRMAGSFAFEMSFVIVLEGATILYDNNASPAFRVLASDGT SPDLRIPVSDGYAREVEHFSRIISGEPLQPVITPTDARETIRLVLAEKQSAREGRPVT L OJF2_RS03030 MSVPLRIGLIVEGHGEYEAVRMLLQRIWYELLGGDSLDVARPFR FPQGTLLKEEGLKQAVDTVRIKLGPDSPGGIRALILILLDSEGKCPRELAPRLLAWAK EARTDADIACVLPHPMFETWFAAAAASLAGVNGLPANLPAPDDPEGQSFGKSWLRRQL PRKYSEPVDQPRFAARMDLAQCRARSPSFDKLCRELERRLTASRD OJF2_RS03035 MATAADDEIETDDRWTKPPFLRRVRIRGYKSIEFCDVALQPLTV LVGRNASGKSNFLGALAFLADALEGGTDEAVRRHGGREAILHRPGRGTTVSLSLEAGF RDRETGNSFEAVYDIAIRLPARAKPHVLSEQIQIRNRRDHSLVGFSRKKERIDWTGFR GEDEAPPPWCRRDRLALSLYRFPQLPEWSPGLLLARFFKFAPDAIRELRKPTPGNLLE RDGRNLASVLASIEEFDSDVAGRIQAYLSVIVEDIQAIRVVHYGDFETVRFLVRSTEK KPQAFDAACMSDGTLRAIAALVAAFQAVLPYGPGVIGIEEPETALHPAAMHALIHALD EATANTQILLTTHSADLLSDPIVRPSQVLVVRSRQGRTLITPVDVASRDIIAKELYSL AELQRQDQLDLDEEDLRRQAAENAAEGGR OJF2_RS03040 MTRFPSAGFGLLAVLAPLLVSPGLRADPPAFRAGFAERDVSPSV GMEQPGGYGKAVHRAFHDPCKARAAVFDDGARRVAIVGLDALFIRGRTVRSVREAIRK ACGIEPGSILIAASHTHSGGPIGYTLPGELDGDGTPPLVRSLAYDRSVVADAKYLEKV EAGIVEAVKEADSRLAPARAAAGFGVEDRVAFNRRFRMKQGHAMTHPGQGNPDIIEPA GPTDPQVGVIGCWDPDGKLKGCVVNFACHCTTGPPGISADYVAYVEKAVRGVFGEHAV VVFVPGAAGDVTQVDNRAPRKIAQFGEVSARFVGGRVGAEAVKVLLAMEQSAGPLSPV AAAGKVLKIERRKPSPAHLAEAMALVRKDPEDADATDWTFAKETVVLAARIAREPVAD VEVQAVQVGPAVLLACPAEYFCAFGLELKARSKFPFTFPVSLANDCVGYVPTEEALTP GPLGGGYETRLTSYSNLAPDAGRRMADALIELSAALRPGAAPEPPTLPPFAGRPWAYG SLPPQRD OJF2_RS03045 MGEIEFSCPSCGRSYRVDAGLAGRKVRCKGCSRVATVDAARGDE PRRDDEATAPASRRGLSFACPNCGHGFRLSAGAAGKRARCRKCGEVFRIPAEGASAAA GAAEAGDPGHAPEEGAPRPAAPAPPMIASDWAAEASADWPAQVAAEPGAPSRARSIVL AAAAAALGLALCALYPRARDAFEGLTGAGGGPNDPAPGDPQADMPDVAPDRLELVRQH QRVLGELADAYVAMAIACTEMRSPPRFESGRDGLLAASRKLEDAARRGAGLAKLRPEE QAALGLFVNERVVRAASQAVQQVNALMGTPGIRGDFGAMRQAIGQTIRQLGREYPSDA PRPTAIVVMGKVPGDASRVISEKARALLEGATSVNVGWRTEGDRSELRISPVLSARDF ADRIDFGKVRRVKGRRIELDVDMPSAEEIARHAPRPDPGPAPQPAPAPSPAATAPRPP GGTAAGQAARDPSSPAITAFRWVDAAGDFVGPIRGDEDPGHADGTRDQHFLLEMHLPE GSSLEQITLAGAGPDRWVTRRDGHHWPIAVHKGDDVITRSFQDRVGDFSGEQAFDLYG NGGEGSGPGTDFKVEVVVSVNGDRRTLQARCHRP OJF2_RS03050 MEATEPPAPRSPNARLGTLSLALNLILLGWLLYGAFGRHLTPGG GVSLIIANDLPWQITELSLSHPGGSFALPVLDADQSVGTSIPVGTAFDATVTFKGPDG VACKEAVKVKPVGELLVVVRILPKLEEATLKSPSGAEEKVFKAAPGRARLVASYQGPV QYN OJF2_RS03055 MEGLLPAAAVAALPLVATCLAGCGNEGARMIPMEKKRDEMTRDS EQLPGIPVKDAPKSKRR OJF2_RS03060 MSERSSRRGFTLIELLVVIAIIAVLIALLLPAVQSAREAARRAQ CSNNLKQIGLGLANYESANNCFPMGGFRNNGGGDLQYSPCTGRHESSFLLAMLPFLEQ QQVFNAENFNLHFTYSANTTVMAVGINAFFCPSDPDVTEERPDPGSFCNEPGGSGCPQ AIMRHPSYRGNAGTAFYVSRYSERSCDANYGTRLGKADGMLFFDSAVKISSITDGTSN TMAVGELAYGLLDYGGRYDWTWWTSGNNADTLANTLEPLNPQKKINEGTTDTGLLGIN VSIMYHSFSSRHPGGMNAAFADGSVRFLKDSINTAPYNPSTGLPVGLTQDSNGIVSYG APWGVWQAISTRNKGEVISADSY OJF2_RS03065 MTPNLNRRQFTSLGAASLAALSIGAAPQKPTGKRRPVVVASGNV KTAEIAMDLLTKGADPLDAAIAGVAIVEADPNEHSVGLGGTPNEEGVVELDASVMHGP THGGAGVAGLRNIVHPAAVARCILKRTRHVLMVGDNALKFAREHGFPEQDLLTEDTRK AWIAWKEARLRGARIPENLAFADPVVRELVQRPVHGTIHCSCLDTHGDLGCVTTTSGL GYKVPGRVGDSPILGAGIWLDNGVGSCGSVGLGEVNLLNCASFLVVENLRRGLKPKDA LVATTRQVVETSTRDPRFRDEKGKPAFDVVFYLLTKDGKYAAANIHGPARLVVADGDG IREVDSAALLD OJF2_RS03070 MDPITRSIDKVEELLGHSPHPAIVAVPLGAWTVSNVADALYMVS GNEALDDAAQVSMAVGLVGAAGAAVTGLRDYGYIPKDRQPNHSIATTHAIGNAVVGSL FVTSYVMRARCRAAGHRAGLLPRLLALAGGALSVYTGYLGGKLVEEYGEAVKPVMEGQ DAGREGRRLESGTSGQGREIPLGPQETREPAPESAGRRNGGPRRTR OJF2_RS03075 MTANHFARPRRRGAAAIATTMLAALFAPAVGVNALANDAPRPAL RPAEPLKMTLEGPVRGYLDRITENWLIPATAGNPAILAMFADRDRPPYRNLLPWSGEF AGKYLTGATAVLRATNDPRLRAHLERFVAEFVALQDADGYLGPFPKGSRLTGQAPNVD GKPGPTWDAWGHYHAIVGLLAWHDLTGDAKALDAARRIGDLFVAKFLGAKSPRLVDTG STETNLAPAHGMAILYARTKDPRHLELARQLVAEFAAVGPDGKPLAGDYLRRGLAGSE YYQLPRPRWESLHPILALAELAAAGGAPEAADAGKAFANLWWSIARLDRHNNGGFSSG EQAQGNPYDPRPIETCCTIAWMADTVAMLEMTGDPIAADELELSTFNSAMGMYSPSGR WSTYNTPMDGDRRANSHEIVFQARPGSPELNCCSVNAARGLGMLPEWALMESPDGRIT LNWYGPGSYAAETPSGEKLRLETASDYPRSGLVKVRVRPEQPGRFALRLRIPHWSSKT RVAVNGEPVGAVKPATYLELDREWKAGDEVALELDMAPHLWAGEREAAGRVSIYRGPI LLAFDQRFNPGKPADPPSLGKSITLGKLVDWSASPTPVLLVEASTTAGPILLCDFASA GADGSPYRTWLRAEQAGPGPFRRERPWRSRPAAP OJF2_RS03080 MIATAWTDSDAEFEFLGDGTAGNLAAEPAPQAAEAADRAEADDL ALLDSYSRAVVGAAEKVSPSVVHIEARFAGRGRGGSGGGTGSGFVFTSSGYILTNSHV VHGASPIEVTLHDGTSHPADLIGDDPATDLAVIRVHAGELVPAVLGDSKAVRVGQIAL AIGHPYGFQSSVTAGVVSALGRTLRAGSGRLIDDVLQTDAALNPGNSGGPLVDSRGRV IGVNTAMILPAQGICFAIAINTAKFVAGRLIRDGRVRRARIGIAVQTVHVPGPAAGGR RNGAGRGGVLVQGVEPGGPADAAGLEEGDVILGLDGQPVGGIDDLHRLLTEERVGVRT PLRILRRPEILTLQVVPAESQGD OJF2_RS03085 MATGQARGFLRQLDGLLADGTAAGLTDGQLLERFSTRGGPAAEQ AFAAIVERHGPMVLRVCRGVLGRSREQDAEDAFQATFLVLVRRARSLWVADSIGPWLH QVALRTASCARGAAARRRRHEAAGARPAEATVDRGDDGGLDDLGRILHEEIGRLPDRY RIPVVLCDLEGRTHEQAARHAGWPVGTVKSRLSRARERLKGRLSRRGVSPTAALVPAI RLGLDPEVPDALIRATTAAAVRLAATQTLAGTSAGLVAQGVLTAMARSSWWKVGGAIL AAAATVSGARLVSGQATTAKPSDPPSARPPAAEEKVVLRPGPDVELATYRAQPGPFRA TVRGRGRLEAIDRADVYSGVEGKTTIISLAPEGTRVKKGDPVAELDSATLRDQLVNQR IAIQDAQKAVDLADRVLQTAELALREYQQGILPRDRDQRRREVDLAAAEREAVARRIE RARKGLEAMQRATSGKADTPTPADVAARFILEDARDRAEVDLQRAGAAIEAAKGRLAV LEQFEARKTTIALEAEVLKAQAARKAAAEKAALEDSRRAKLESQITHCKLLAPRDGRV VYANDPMRASGSPTAQIEEGATVRERQKLLSIVDAEGPLQVVAKLDEAMIDRVREGQK VQVRIDAFPDRRFAGLVVEVAPLPDPGSFFQSAKVYTTRIRLADTQAPLVPGMTADVE VLVAEREDALAIPAEAVLTYEAKDHLAVKRPDGSIELRTAELGDSTADADPSSIRVEV KAGLRDGEEVVLKPFDLLSDEQRRSVRLGAPTPPARPKSARGKRARPAR OJF2_RS03090 MATGHEQRVAWQLDGLLAGGAGAGLADGQLLERFATAEGPAAEL AFAAIVERHGAMVLRVCEAVAGNRHDAEDAFQATFLVLARKGRSLWVADSIGPWLHQV ALRTARGARVATARRRRHEDALATRTEAAGGGADGEDADHRELARILHEEIGRLPDHY RVPVVLCDLEGQTQEQAARHAGLPLGTVKSRLRRGRERLRLRLIGRGISPSAGAALHA IPPGLDRPLPDALIRSTTAAALRAASLRTLAGTSAGLLAQGVISAMSRSNWWKAGAAF FVAATVSGAGLAAGRAASGPAAASAQRPGGGGEARVQPKPPAEVAVLPAVPVHFRVNV SGRGQVAVANPAKVAADVDSPLAVVSLQPEGTRVKKGDVVVELDSSALRDQFNNQEVA TRTAEAAHQNARTAREVAEVALEEYTGGIYPQDRAAAFGEIKLAEGRLSSTHARLDRV QQARQKLRGIMARKDHEVTAGDIVAELDLDERVDQVGQDELRGKMGLEVAQDKLNRLE KHTRPATIKALQGEIEKARSNERVKEAAFRLEKEKQARLAAKLRNCKLLAPLDGYVSY ATHAAGPWPEKGRIVGPRQHVFTVVDVSGPMEVAARLLEPTIHRVTEGQKVDIHVDAL PEKRFAGTVTRVPNGPDETRTAPGKHVYTTMIRIEGTDESLRPGMTAEVDVLVADHPN ALVVPHAAVIGRDTPYRLAVKQPDGRVEIREVILGDADESRVEVKVGLKPGEQVVLQP ASLLGETEAGQGGR OJF2_RS03095 MATDDSSKPGQAPVLCDFCGRNTGEAGPMIEGRALLPMGTGRPI AHICAHCTDLAEEVFEQHERKNAKLEKLPTPRELVAHLDDYIIGQDRVKRTLAVAVVN HYKRVLGGEVKDELLKDIEVSKSNVLLIGPTGCGKTALAQTLARRLHVPFAIGDATTL TEAGYVGEDVENLILKLVMAADYDIPAAEKGIIYIDEIDKIGKTSQNVSITRDVSGEG VQQALLKLLEGTVANVPPQGGRKHPEQQYLQVNTKDILFICGGTFVNLEEIIGKRLGR KMIGFGRGDEATQDRELERNELVAQVTPEDLERYGMIPELIGRLPVIATLNQLSEEDL ARILNEPKDALTKQYQVLFHYDGARLEFTGDAIREIARRAKARGTGARALRSIMESLM LDIMYELPEREPGQTYTITDDVVKGTRSLFAA OJF2_RS03100 MTYSLGWTRPIRWAGLAAILALPLAARGAGPAKLAAGARFDLRA DAAVGTIDGGRVVQGTGTIDRPNWLKGREQAAAYSVNFPISRLGWRELAVRFTPEGTG NVSVTLMGPWEQASPGVLYREEAFWDGLNVDGATLEGGGFEPPSAASAWQSGGGSIIR KAADAPALEGSHLARTWHNATLTANLAVRAGTPVTVLVHARAVTPEGFREMKRIAGHD TPAHRAALKFRRGANLGNGLEVAPSQDWGVKYTAEDIRHIKAEGFDHIRIPIGWHHYT GPAPSYTLRPEIFRKVDFFVNEAAREKLNVLINIHHFDDFTTDPKGQAARFLAIWEQV AAHYAKAPDGLAFELLNEPKDAATTEVINPIFAKAVRAIRKTNPHRTIVYGPGKWNGI EELPALMLPDDDRNLIATVHCYDPFRFTHQGASWTGNGPDSKVVGIVFPGPPRTPLEP DSSLDLSRGFRDWLHAYNTEPAGSNPCGPEVMDLAVTRIKEWSDYYGRPVYLGEFGAY TRADPASRANYYGLFRRRLEAANIGWALWDWHAGFNYWDPKANAPEPGMHDALFGGAK AGR OJF2_RS03105 MSPQSTSRREFLGRGLAASGLAAAAAGLGPSPRASAAAPQQPAG TAAPAAGPLIDRAVAFLRPRQDAKGGWSTQREPGVTALVAAALLRSGQVPPADPTVEK ALRYLEGFLTDKGGLSEVPHANYATAISLIAFKYANVNGRYDRTIRSGQEFLKRMQWD ESEGKPREDVFYGGAGYGGSNSRPDLSNTAFFIDALHDTGLPPDDPALKKALVFVSRC QNLKSEFNDQPWAGKVNDGGFIYTAAQGGTSVAGKDDSGGLRSYASMTYAGLKSMIYA GLTKDDPRVKAATEFIARHYSLDENPGLGQVGLYYYYHTFAKTMNALDSPAFEGAAAD RPQLRLPNQATSTFTDASGRAHDWRAELVAALAKRQQPDGSWVNQADRFMEGDPNLVT AYAILALAYTRAGAKG OJF2_RS03110 MTNPCRRTALWAATIARAAVAWAATSMAVAAEPAKSNRPNIVII MSDDMGYSDLGCYGGEHATPNLDGLAAKGVRFTQFYNTARCCPTRASLLTGLYPHQAG IGHMMEDRGHDGYRGVLNRNAVTIAEVLKPAGYRTYMVGKWHVAPGLGPKADRATWPL GRGFEKYYGTIAGAGSYYDPAALVRQETLITPENDPEYRPGSYYYTDALSDNAVRFLR QHREESPEKPFFLYVAYTAAHWPMHAPEAAVAKYAGKFDAGYAPLRQARLRRMKEMGL LPADAELSPGAEDWDAVPDKRWEARTKEVYAAMIEIMDAGIGRIVGELKASNRLDDTL ILFLQDNGACAENTGRQANKQPAPEDLKPLGRDGLQSKIQPPMQTRDGRWVRTGPGVM AGPADTYIAYGRGWANVSNAPFREYKHWTHEGGISTPLIAHWPAGIRPDRSGAFERQP GHLIDLMATCADLAGASYPLEKDGQPIKPREGVSLRPALNGEPLARAQPLFWEHEGNR AVREGDWKLVAKEGRPWELYNIANDRAELHDLAVAHPDRVKDLAAKWTAYASRADVLP LGAWHAQPANRRAGAAAKKAATPDDR OJF2_RS03115 MIGSPLFRSFFLGGFECSTHRRRCGRRLDQVAATAHDRFAATDY ALLKAHGIEAVREGLRWHLIEASPGRYDFASVLPILRAAREAGMQVIWDLFHFGVPDD LDIFDPRFVDRLAGLARAFAGLLDGESDDVPWIAPVNEISFVSWAGGEVAELDPYARS RGRELKRQLVRAAIAATEAVWDVLPSARIAHIDPVFHVIAHPDRPHEAPDAEAYRRVQ FEAWDMLSGRAEPSLGGRPKYLDVIGVNYYPWNQWIYNGPTADGTTIRPDHPGYRPFR LMLHEIARRYGRPLFVAETGTEGDRRAGWLHSVSREVRAAILRGVPVGGICLYPIVNF PGWDDDRHCQNGLWDYADEAGRRAPHEPLAHELRRQDRRFRRIRRGLRVLGA OJF2_RS03120 MTPLTRETCSESRLRSFLDDELGEDESRKLAAHLDHCEACRDAL DRLASGSRIVAELRGASPAAGPRGRVGPEAAGPTRLHRGDSPNVEELITLDFLEPSPR SGCLGRLGPYEVTRMLGRGAFGIVLKAYDPALGRYVAIKVLAPRLATSAQARTRFARE ARAAAAVVHDNVVAIHAVDSWNGLPYLVMPCIAGRSLQDRVDGDGPMDVKEVLRVGMQ AARALAAAHDQGLVHRDVKPSNILLESGVERVKLSDFGLARAVDDASQTQSGVVAGTP QYMSPEQARGDAIDHRSDLFSLGGVLYFMLAGHSPFRGDSTPAVLRRVCDDRPRPLRQ VDPDIPGWLAKVVDRLLEKDPGRRFASAAEVAELLTHHLAEVQRTGSSATPTARRSGP ITRGRASMLAAVPAALLLAAFGLPGRRDGAPQDPTKPAAAAPKAEAARPGDAVVTASS GGGPAAEIVGSGRAAEKAWEVAGFSTVAVGSTFQAVITRGDRFKVTTSSDEKLVEFLD VAREGGTLRLRLKPGRNYRYNVPLRAEISMPSLEGIAVKDASRATLNGFRNEGDFRLS VSDASRVDGSIELNRADFRLDDASRLRLAGSARAVRALAEDASRLDLSKFPIGELGIK LSDASRADLLVPSTGRFLADLSDASELAGTVNAAELGLRLADASRTHLKGKADSATIA AKDSSHLDLSGLSVGDLRVELKGPSAAVVAVHRNLEYRLEPGAKLDYTGGPASVRGTK PKSATLRSR OJF2_RS03125 MAESPSTHASLVIRLRDPEDERAWSQFVAIYGPVVRRIARGRGL QEADAEDLAQEVFRAVARAIDRYDPDPRRGSFRGWLSRIARNLIINLLAARRHQPLGS GDTDVLRLLEQRPDPSSEDSAEFDAERRRGLVLWAADRVRHEFSDVAWQAFRLAGVDG RPPREVAEALGMSLGTVYQYKSRAVVRIRRELEQFDWESTDHP OJF2_RS03130 MRQELAGRSARWKSLAGFAAALLIGASAARGQNGAVGVAYPASG ITIDGRLDDWPEGRQTYPIERVEAGDKRRDPKDLKASFRVAYDPGAHALFFAVVVDDD SIVLDGPGEPAWDGQDGCELFLDAAHSEGGSPFTQYARYGNQSRTVGPAEVPEARRKV AVERAGSRITYEWRIEVDPPLDPDRAIGFDVSVADKDEDGSFSWVAWGQGTQKIQGAD RCGELLLARPETRFGELEGRADWEESSKLAPPSRVRVRSASSSRLWRDAPVDPYGSYR VAMLPEGAYTVRPVDTPDVRVREDATVEVRVEPGRLATAEPLRVTPIPPPGLIEARGI LRGDGPVDAAAIDRFARAYLDYFKTPGISLAVIKDWKVVYRRGLGVKDAATRQPVADD TVFEAASMTKPVFADLVLRLVDRGVIELDAPLYTYLPYEDIAHDERYKQITARMVLTH RSGFPNWRTGKLEMLFAPGTRVSYSGEGFVYLGKVVEKRTGKKLEGLIQAEVLGPLGV EHASLIFNDDVARLTATGHAGASPLPKWKPTEPNTAASLHVSAGEYAKFLIGVVRGKG LAEATAREMLRPQVAVGPERPRSSWGLGISIEETPLGLSYGHGGRNTGFTSRSILYRD YGFGYVFLVNNDDAEKFDDVLGAYLITGLAGLKAPAPIAHRAVKVDPKLFDAYAGRYE VRPDEIVTVTREGDRLMAQSTHEGKVELYPESEADFFTKPTSRSSLTFVKDEKGTVTH ITLHEDGHDSTARRLKGD OJF2_RS03135 MAATAEDRSREINYDESKVGTYVLPDPLAFADGTPVKSPEDWTA RRRPELAKLFESQMFGRLPEAARAVKPRCVVTSEAKDALSGKAARRLVDIHVGDDPAA PAIHLLVYLPANLEPGRRVPTFLCLNFWANHAIADEPGIPLSTRWIGSGAPAGVVDNR ATEKARGSDHMIPVERILARGYAVATAYYGDIEEDHAGAMKNGVRGLFLRPGQVEPAA DEWGAIAAWAWGLSRAMDYLEAAPDVDARRVALMGHSRLGKTALWAGVNDPRFAIVIS NNSGEGGAALSKRNYGETIEHLNTSFPHWFCGNYKAYTGRPDALPFDQHEEIALIAPR PVLVVSAEEDRWADPKGEFLAAKAAEPVYKLLGTDGLGLDTWPTPAIDSLSRGTIGYR YRPGKHDVTVDDWVAYMDFADHHWNIRR OJF2_RS03140 MRRTPWRPAATLGALLLLNGAALAQEAPSRAATPTFAVTIRPGL ADEEGASGRLLVVLGKPGGGAPRLAIGHTGMDAAPVLGRDVDGLKPGVAAVLDDKSPI FPIASLASLRPGRYAVQAVLHTNRDLNLVNAPGDLYSEAKVVEIDRASGPPPRIGLEL SRAVPAEAMPRDEGLIRYLKIESKLLRAFHGRPIFLRAGVILPRDFDREPGRRYPLRV HVGGYGARFTGVSGRMAPGAAFRSAWMADDAPRMILVHLDGAGPYGDPYQVNSANHGP YGDAVTRELIPEVERRFRGIGKGTARAVDGGSTGGWVSLALQVFYPDSFAGAWSSCAD GVDFRSFQLVNIYEDANAYVNRHGFERPGSRDTTGEVRTTMRHECQVENVLGLGDSWA MSGGQWAAWNATYGPRGPDGRPVPLWDPRTGRIDRSAVDHWKAYDLRLVLETNWPKLA PRLRGKLHIWMGDADDYFLNNAMHQLDAFLSRAEPPYEGSITFAPGKGHCWQGLSEAE ILRQMDAAFRKAGGFE OJF2_RS03145 MMGTSTSVHERIGTLLDRGTLGGEPDARLLEIFLDGDRAAAEAA FAAIVARHGAMVRRVCFGVLDHAEDAEDASQAVFLILARRARSVRRRGSVASWLHGTA RHVALRARREIERRRRRERRWAEATDRVVEPPEDRLSALAREDLRRELDRLPKIDRAP IVLCHLEGLSHAEAAERLGLPLRTFQSRLLRARNRLRDRLRRAGLEPAAGSPLALPHD WAEKVARSAIDLAAGRAVVTAPARLAAQFHAARSATRMAIAATAVMVATVVTGAAAMT NGPASNDQAERERASGAGANSANDHANRILELRAVDRELGRPIEGAEIEVKTAGPWHA SHREVNAMIRRRTDALGLCRIDFPERLPAFRIDARKAGYAARSYYRRVHSPRDGLPRE VTMDLTRAVSIGGVVMDHREAPIAGATVNLWLTSRSKDSPTYTHIEAKSITDEHGRWH FDEVPPTWSSLGLHAWHPNFVPTLRQPASLAPKPTDDELRTGKASIVLDEGIVAEGLV LDPAGRAVPGASVVAGDYGSYADSGPGPATTDAEGRFRFHLPAGMADLTAQVKGFAPA TAKVPVEPGMKPLELRLAAGRRIAGRVVDRRRSPLKDVTVEVHQWKGLRVLDWSGKTD AEGRYAWDAAPAEPVYLTFSHPDFNTQDQRLFQAGDPEADVVMHEPLRVRGRVMDART GRPIPKFTIHDGYYEDNAHFGFIDTAHPRWTSDEPRREFTDGSYEIEYDQPSIAAVGV RIEAEGHLPATSGRIAIAGGEAVFDAKLEPAVGPSGVVLGLDGRPLAGATVVLWDGYG RGMWYSSRPPFLVASDLSTRTDAEGRFGFTPQAESFRIFIEHETGFAEVNGRDLEPAP ARTPTIRLRPWARVEGTVMIGSKPAASASVDLLSEGGIAWSPGEAVPMMQSERRVTDV EGRYAFERVLPGRYTVARSFRLARSSSEDGRANSLAIGIEAGRTVRVSLGGSGRPVVG RFIIPAGIDPAATFSIQGQQLERVTSGSGDAPGARFTADTNVRPDGTFRVEDVPAGRY RLRAEVQGPTDERAGQPGRKLADADVPVIVPGASGGPPEKPLDVGTIMLKAIPAGSP OJF2_RS03150 MASEPDARESDVPATAIPAPARLSDDGDEDIPVIVEDMLHQERV DLEAGMSPVPRATILLIVACLVVYGRQVQVGGLANAARVIQTGATHRDEVLDGQAWRL MSGAFMHANLEHLLGNMALLYILGMACEHAFGVQPFLFLYVAACIAGGLVTMTTEVPA VGASGAIFGLAGAIISLVYVRRRRIELRDHRVGIVLAIWAVYTLALGLLSPIVSNVCL LGGLLGGLILGAILPPAILIDRRELARRPITRLQGALALGILAVSAILFVPHLR OJF2_RS03155 MPISLVCSTCGRSYQLKDEYAGRKVRCPGCQEVQVVPAAPDGDE AHDAWQADDGLHPAFDRDTFLLRQKLMTLHARYDVTDEHDRPVLFVERPAHFWKNIGV AFLAVLVAVGGTLLGLVAGFGIGEAMGNNAVGAVLAVTFLALAIGSGIAMGIGLSPRR HIHFYRDESRSERLLDVLQDRKWAPITATYTVLTPEGQFLGRMEKNYLYNFVRKRWVV KDEEGRRILIAREDSLILSLLRRFLGPMFGMLRTNFVLLAPAPDGQERPLGEFNRKLT IFDRYVLDLTRDRGRTFDRRLAVALGVLLDTGEHR OJF2_RS03160 MAEPTSDPGCIFCKLLDGEIPSTRVLETDEAVAFLDAFPLNPGH TLLVPRAHHAQLADLPDALAAHCGELLPRLCRAVKAATNAEGANVVVNLGRVAGQSVD HVHYHVIPRFQGDPIRWPWPQGRLAPEDAARLAAAIRAGLSS OJF2_RS03165 MRHQKAGRKFKRSPEHRRMLLRNLATSVLEHGKIRTTLPKAKEV QPYVEKLITLAKEGWNLNNFRRCLSVLTSKQVTYKLFHEIGPTFKGRSGGYTRIYKLA KVRQGDAAPMAVISLLGEKDKLKAPAKPAVVTTS OJF2_RS03170 MRIRWRGLELPSRVVCNRETLTDTFGEFHVEPFERGFGNTVGNS LRRILLSSLEGSAITKIKIQGVQHEFSSIPGMVDDITDLVLNVKLLVVKNHSETSRTI RIDRDRRGVVTASDIVHDESVEIINPDHILCTLTDDVAFHMDMTVENGRGYRTAAEGH TEDIEIGEIPVDASFSPVQRVEYDVQNTRVGQRTNYEKLVMRIWTTGVLGPEMALVEA AKILRKHLNPFVQYNEPGPGISADSSGHDGGQHAPIDAELERKLDMSLAELELSVRAT NCLESEGITTVRHLVSRSEDQLLNVRNFGETTLKEVRAKLHEIGLDLGMNVPPKG OJF2_RS03175 MGRHTGPVCRLCRREGTKLFLKGTRCDTPKCAVDRREGMPGMHQ FRRGKSSEYAIRLREKQKVKRFYGIFERQFRKYFYEANRKAGNTGDALMSLLERRLDN VVTRLGFAVSRPQARQTIRHGHILVNGRKLDIPSYLVKPGDQIAIKDREHSRKLIATN VAEGAPPVPEWLDRISSDPPEGRVSRLPSTQDVGLPVTPQLIVELLSR OJF2_RS03180 MAKAKKRKTRRNVSRAVVHIKATFNNTTVTITDPNGDALCWASS GTVGFKGSRKSTPFAAQRAAETAAATATKYGVKEVEVKVKGPGSGRESAITAIQASGL SIKAIEDVTPLPHNGCRPPKKRRV OJF2_RS03185 MPRILGVDIPNDKRTEISLRYIYGIGPFLAQQLCERSGIDPAKP ARDLTEDDLAKLAALLDNEYTVEGQLRRQIQQNIGRLREIGCYRGLRHRKGLPVRGQR TRTNARTRKGPRKTVAGKKGVKEMR OJF2_RS03190 MKVRSSVKRICEHCKIVRREGKVYVICSNPRHKQKQG OJF2_RS03195 MAWITCPYCGRRLPSPQHRRAVTCDGCRRAFDPAKVRMIYPPAA KQVLGWILVLGGMCSLAGAYLGVARLGEDNHGAFRSWLGRLAVALVLIFVGVSLKAGR LGVEGEDATNGPGLVDEQSINDPVGETWEAEEGA OJF2_RS03200 MQIDHVPLLRIQRELHDLPRGRPRFSAYIRTILNEGGADGARLP LVAMNPMGRDHVTALLDDLLALDADRIAAEAVAEAVPTVAEIPGSCKLGLVIADDLMG GWTNRFAFEFTDRFGHRDDAGRPRADRVSPIAPDLPRRAKHSWLSCPLWSSEPASVRA VRESVLATIHRLAYLHRHGPAMTLRDRLAQEGHVLAAAGCEAPVLDADDLAYTREAIA PFLDAADMRTAVECLFGDEAARTLGFTPRGLSPRAGLALALNDARRSPALAGRTPFD OJF2_RS03205 MRTIHQVRDRLLDTLRQAVQRPGLFGGTDFGVELVMQTLLRDLT FIDEREGDLKAAMDDLVARGQFSSMAVRGSFLQYVDSRNSYGDEVASVYAAVAHRLGY LTMGSTVPDADFGRMRLGLPVVCRDRDWTAGDVIARFGPPSLRVGWVFAYVPADGSRW LYFDFDGASAGELERDCLLRDVRIPADHFSLGLVFTPHGRSICPDRAGPETQRGLSRG PGGPGRGR OJF2_RS03210 MAVELIFSPEATTDLQEAYDWYEARTMGRGEDFLVRVDACIQSI LRLPESYAPFHGDFRRALVRKFPYAVFYEYARNAVTIYGVLHTSRDPAKWRERLP OJF2_RS03215 MNPAIASVFDLTPSEKLQLVEDLWDDLAANPEDVPIHDWQVQEL ERRKLNLLNNPASGVTWEQVKQRIRNRHGR OJF2_RS03220 MFENELGKFRVLLALEGYRLTSIQGAVAQVAFAFPPPVSPRAVQ SHLVRHFGMAVSLATICRTLARLRDWELIRLSPGPENDP OJF2_RS03225 MSSHRDPGRRPTTFSLFKAPERPAGVSTGPGGSRPVVKLKSPRE ISLMREAGRVVAIALDRCTKMAAPGVTTADMDAAVAEVFREHGAQPLFLGYPSSTRGK PPFPAVICASVNEQIVHGIPNRRPLKEGDVVSIDTGCRLNGWCGDSAVTIPIGPVSAE SKKLLGVTKETLDLAIRAMTRCRTWSEVASLMERYVKSQGFHVIEKFVGHGIGQDMHE EPQVPNFVSKALRKSDIRLEPGIVLAVEPMVAVGTKEVRTLDDGWTVETKDRRYSAHF EHTIAMTPEGPRVLTYLED OJF2_RS03230 MDKLITIFKVPELRRKILFTALLLAIYRIGFYVPLPIVNQAQLH NWEEQQSTNAAGKLFGTVAMFGGTSIGMSTIFGLGIMPYISASIIFQLLGSVVPSLEA MMKEGESGRKRINEYTRYATVALCAVQSAAWINYIMSPNIGIILPQYRDFWHGLVCVC IMTAGTIFLMWVGEQIDEFGIGNGISLLIMAGILARVPVALNGLWQNATSKLTPEPGK YGIITLLLLLALFIGVVVGVIAITESQRRIPTQSAKHVRGRRVFGGTRQFLPLKVNQA GVMPIIFASSLLIFPSIVFKSLANMTAGWRGDTWTVGSSFAGLFQELADGFTRQSYIY TICFIMLIYFFSYFWTAITFNPKDMADNLKDYGSFIPGYRPGRRTADYLENVMLRITY VGAAFLAIVAVIPSLVQTSLNVDNTVANFLGGTGLLIVISVCLDLVQKIDSHLVMRNY TGLINRK OJF2_RS03235 MQLHDVHQGVHRRKLKKRVGRGIGSGHGKTSSKGHKGHSSRQGF KQNPIFEGGQMPLARRVPKRGFFNGAFKKEYILVNVGDLEAAFDAGTVVDEAALRARG LVKGYAYDGIKLLADGDLTKAFTIRVTKFSAAAAAKVTAAGGTVEAVPYTGRRLEPKA EGATQA OJF2_RS03240 MSSDARDRDRDRGEWSESVVSIRRCAAVVKGGRRFSFNALVVVG NGRGQVAWGYGKANEVPPAVEKGVKDAHKQMKRVNLRSGTIPHAVVGKFGAARVLLMP AVPGTGVIAGGAVRAVVEAAGVKDVLTKSFGSNNKLNLVKAAIDALTQLRTKDEIARL RGVTL OJF2_RS03245 MDTANHHLAVQTRRVRRQRRVRGKLHGTPERPRLAVFRSSKHIY AQLVNDENATTLAQASTMDPEIKAQVKYGGNKAAAAVVGRVVAERAKQAGIDKVCFDR RSYKYHGRVEALAQAAREAGIQF OJF2_RS03250 MSRIGRKPVAVPANVKVSIAERTVHVEGPKGKLSFEHRPEISVA YDEAKRSVDVKRQDDERLSRSLHGLTRSLVANMVQGVAEGYTKKLEIVGVGYQAQLKK ANTVALQVGYANQVVLEAPPGVSVAVPDPTHITITGADKQAVGQFAAVVRKVRPPEPY KGKGIRYEGEAVRRKAGKAFGSK OJF2_RS03255 MMTDPIADMLTRIRNAVRIERQQLDMPTSNMRKGIAQVLKDEGY LWDYEEIETAPARTLRLHMKYGPNGERLITKIDRISKPGKRVYRGYKELRPVLGGMGI QILSTPQGIVSDRRARESKIGGEVLALVY OJF2_RS03260 MSTKAQRIKSETPRKFAVQHRNRCRLCGRPRAFYRKFGVCRICF RNLASRGLIPGVKKASW OJF2_RS03265 MARLQDLYKSDIAKAMASKFNLTNPMAIPRLEKIVINMGVGRAT QDKALLETAAESLGRISGQKPVITKAKTSVSGFRLREGNDIGCKVTLRGSRMYEFLDR LVSIALPRIRDFRGVNPNSFDGHGNYSLGLAEQVVFPEIDADKLHHTQGMDITIVTTA PNDDQARELLRHFGVPFRQPGAGKGGPGGRP OJF2_RS03270 MYIRKDDQVEVIAGDDKGTPDNRKFAKVLRVFPARNKIVVEGVN RVYKHMRPSSKNPQGGRLSKEMPIDASNALLVCFKCNRGVRVGHRFTAEGQKQRYCKK CGQSLGDVGPRKAAHAAAAPKKA OJF2_RS03275 MIQMQTRLDVADNTGAKEVMCIKVLGGSASRYKRRTAGLGDTII ASVKKATSGGDVKAGDVVRCVIVRTRNQTRRPDGSYVKFDRNAVVLIDAEKNPKGTRI FGAIARELRDRQFMKIISLAAEVV OJF2_RS03280 MSPEPKASTTNTPAAPRTRRKTEIGVVASDKMDKTRRIVVERLV PHPKYGKMMKRRTVCHAHDEANETHVGDTVEIMETRPLSKLKRWRIVRIVRKGAQQAL AGEGQPNAQG OJF2_RS03285 MSKPSELREHDDEQLHIALKDVQSNLFRLRLQSETERLEAPSEI IKAKREIARIKTILRQREIERAAAAAAPTSS OJF2_RS03290 MALMPKRVKFRKSQKGRVRGNATRGNYVAFGEWGLQALAPGRIS AQTIEAGRVVASQSVKGGGKLFIRIFPQKSVTAIPAETRMGKGKGEIEYWAAVVKPGT VLYEVSGLSEEAARAAFARVAHKMPIPCRFIGRRPTI OJF2_RS03295 MGQKVRPTGFRVGIMEDWRSRWYASKHEFSDLLVEDFKIRKFIK GKYGFAGIPKIEIERTRDAVTVLLSTARPGVIIGRKGAEVEKLQEELQNLTGRRIEIK IVEVARPEIDAQLISEDIAEQLQKRSSFRRTMKRAIENTMDGGAKGVKIQLSGRLGGA EMSRTEASAAGSVPLSTLRARVDYGFTEAKTAQGHIGIKVWVNQGDYLKMEAGDGSDA QAGQVPKKPKRPRKR OJF2_RS03300 MSTITQYKATHRFARISVRKLRPLLDLVRGKYADDAMDILKYMP HRGARMIEQVLKSAMANAEDQGIRNAGDLVIVDARGDGGPMFKRLMPRARGMAYLIRR RSAHIAIGLTDLAAIEDHGHDDHDHDHDED OJF2_RS03305 MGRSLKKGPYVVERLLEKVARAESTGNREPIKLWARACTIVPEF IGKNFAIHNGRTFMKLYVTEDMVGHKFGEFAPTRTFKVHGGKAGKGGKK OJF2_RS03310 MGIRTYKPTTPGRRNASVSDFSELTDKNKKPEKSLTEPLTKTGG RNNQGVITARHRGGGHKRKYRLIDFRRNDRDGQVAQVTHIEYDPNRSARIALIVYPDG VKRYIIAPEGLKAGMSVSSGPSAEPKVGNCLPLSKIPTGMSIHNLEMEPGSGAKLCRS AGVGATLTAREGAWAQITLPSGEVRRLPAACRATIGMVGNADHMNIRLGKAGRSRWLG RRPHVRGMAMNPVDHPMGGGEGRSKGHTPQSPTGVLAKGGKTRRRRKPSNKAIIRRRT SVRYGQLKV OJF2_RS03315 MVTLRRAPQYERKGPKLDPYQVVIRPLITEKATHLSERHNAYTF EVNPLATKTEIKEAVEALFPVKVLDVRTQNRRGKMRRYRLKSGRMRDWKKAIVSLHEQ YRIDFY OJF2_RS03320 MLTIPVFNLAGERVGEEQIDPADFGGAINKQLLHDVVLMHLAAR RVGTVNTRGRADVAGSGKKLFRQKGTGNARAGAKRTNKRKGGGMAFARRNRDYRYTMP KKAVRSAIRMALLSKFQDNQALVIDGLSLEKPQTKAVVKALRAIRRPDLTEAEAAENV GETKAAALRRTLDGRTVLLGLPGQDPVLYRSARNIEGMTVAPVAEFNTYDILKQRYLV LTREALAALKDRVQAQGARREPATTSPAAAAAGTENS OJF2_RS03325 MQVGLLGRKVGMTQIYQEDGTSVPVTVLECGPCTVLQVRTEERD GYHAVQLGFDDKKRKNATQAARGHAKKVNAEPKRYIREVRQQKPAEVAEGQTLTVEVF DGIKRVDVTGTSKGRGYAGVIKRHGFRGLRATHGVKRMHRHPGSSGPSADPSRTQKGI RKPGQFGNVRSTVRNLEVVKIDPANNLILIRGAVPGPNGGYLTVRQTNKV OJF2_RS03330 MSNERIRIRMEAYDHTILDQSAKDIVDTAKRTEAIVRGPIPLPT RIERYTVLRSPHIDKKSREQFEIRTHKRLIDIVQPTNKTIDALNKLSLPAGVDIKIKA SQSGA OJF2_RS03335 MSTPRRVRRPGARALRARGFRVEHLEDRRLLATSPFNAPSLSGL IAEAWQGQDTSRAAIRTMVTALQSQLTGGPLADYTAGTVDGSGLVSEATGLVAGYVQS ADSQLLPHFVNIDTILRLQGQKVVADLASLNQQLSAGLITDSDFQTQAKTAIDSLTAG PIKSLDTPVGAYVTTTQAFVTQLETQGQSLLSGTSASTADLTTTFQAAVAAYRADMFA GLLVTHPNIAGRVDASLTTLEDSIAAIDPTDPTAALSSAKTAITTFQTAMLGSSGLFG TGGPVYAANDRYGYVPINLTVPQSSTTITDVSAAADFGGTATLTAKLATASGSPLSGQ DVSFLLDGAFVGTAVTDDTGVATLSGVPTSDAVGTTSNAVVASFAGARGDRPAASAGD LVVSQAATALGAVSGTGTFGGTASLTATLTSGQANDPVVGQTVSFAVSGTNVGTAVTN SSGVATLAGVTTSLPVGTNTGAVTASFAGGGNYAAATSATGNVVISAAQTTLASVSGT ASFGGTATLVATLTSNATSQPVSGATVTFTLDGTNVGTATTNSSGVATLTGVTTTDAA GTHTGAVVASFAGNGSFGGAANATGNLVVSQAATALSAASGSATFGGTATLTATLNSS ATNAPISGATVTFTLDGTSVGSATTNSSGVATLSGVTTTDAAGTHTGAVVAAYAGDAN HAAAANATGNLVVGQAATALSAASGTATFGGTATLTATLKSSVTNAPISGAVVTFTLD GASAGQATTDSNGVAQLTGVATTDAAGTHAGAVVASYAGSTNYLAATNATGDLVVSQA ATTLGAVSGTGSSDPGGTVALTATLTSPAAPGGVQGQTVSFYLGTSTTAVGTAQTNGS GVATLSGLDNTGLTNGETVTAKFAGAGNFAAATDATGTLTLATG OJF2_RS03340 MASDKAGRAGYGRMADRRGRPSRRAVRAGKHPARRLHLPLMEEL EQRLALTAFLNYTAPAAGSNLVLRVASVGGVANLQLYDNAHATVIQQAALTQDVKVQV TGADKASDSLTIDLSYAGVGTAEPISVVFKGGLPAGGVADKVAVAGSGAAYQPTSFAL TSDAPISVAGTLKAAQDITLSAVQQSAGAVGPAGTIAANATAGITMTGGALTGRSITL AAQSTVGVNSQASSLFGGLVGVGLVTSSSGASVQVSGGTLTASADLNITASSSVNVAL AAAPAAAGTTKADAASSTSTVASAATVAISGGTLSAPSGKATIASTNTVNLTTNADGT LGTFAKTAAGGAVAVTALSGDTSATVSGGTLNAAGVSVSATSNRTVTTYARSTQGGAA AGSPGPTRGQQALTTYGAKTPDGSVTVAGAVAVTSLKGDTKARVIGGTLTSTAAPLAI AATASQHPTTTADASPTTGAAGTGVGAAVAIGKTTADDLASLGGTASVTAPAVNVSGL MPATVNGVTPASRYSVSATSGPSAAAIGVAGALAIDATTVAARAIIEPGAAVNLHGAD LTLTAQSTTSSPTSALPKVVGGASVGVGASVAMDAPDVSSRAAVEKNAAIAGARSLTL SATGNHTATASSLAGAAGGTAVAGALSLDVPSGDTQAIVDTGPALALTGGLSLAASRT TTATTNVDSATATSGVAVGASTAVTIADETAAATIGRSVTTGPAAVTIQATGQGTAAT TALASTAGAKPGTTAVNTLLGNLATFASGQGWTPGTVAIPKAATPDGQLGVAAAIAVN LASLDASAGLLAGAVLQAGGALTIQATSTGADAATAKALAVNSTTNVAGAVAINASSP TAEATVAGKATATAATITATANGQTTVTANSGQGSTAVGVAGALALDLPSASSYAAIA AGGGVTLNGPATADVVVQATTNVSGDVATADGKAAGFAKTGVGASVAIDVAANGAKAE VSGAVTSPDQVTVAATGNYATSALAAAGAAGGTAVAPALALATSHNVTMALVGAAAVI GAGGQVLVRARHRGKTSSQGRGDTAGSAVAVGAALGVGIGLDDDEATIAGKVTKASSV TVEADLGETGTAQGLAGAKGAASGGTGINGIIGKAVAFGKSSGWLPATVAVPTATTPS GDLALAAAAAANVDLTTGGATLAATGSVTTTGPLVVHTLSNLDDSATADGRPANGSTA GVGAALAVNVARPSVAASLAGTAAASAITVEAAMGSNGVYTFQANATSGAGTAATGVA GALAVNVGASQATAAVRDGAKLTIAGGGLLVHSADATKDLSTALAKPSGATVVGLGAS VALNASANQSRASIGSATITGEKDLTADADGTHAVTTSSNSGASVPSAAAAAAIAVGF AGNQTVAEVLAGPAALTIPGTLTIHATDAATLTTTADGKAAGSGVGVGAAIAAGIDRE VTTAQLVRSATVNAIVLQADETSPAVTTANAGAAGGKDLGKSLGDFVNSAIALADPNA GKPGQVKLPLVGDTLTAIQDQIGVKLPPLSASAAVAVSGMLPQTLAQIGANAALTSAT PVSIRTNVSDNPVSTADGGATGSAVAAHLSLAANYAGGSNTVAVGNGASITAPSISLA AGGAGSQSLTARASAGAGSVASAAAAAAINAGAPSSPDTIQVTVGAGSKLTATAGDIN LTAASDVTSTTLAGGSTLGLAAGAGASIAGSFLQEMTNVAVAGQLEASKGNVTINATG RNTLQGGAAAGSVAAGTVDAAVRADVLSRTVQAHLDAGASVHAYGDVTINAGTTDVPL SLAGGLGLGAATLSAAATGVALNEHTWAFAKGATVRADGNVIVSARSTSSYAPLAGTG ALGLIGAVNVSGALFYKSADTRAYVSGGSVDALALRGLATVPTDAGPGSAPTTRQVRG VSVAATNYDEFKPLAGAIGAAGIVAVQGSGIATVVDNHVVADIDAGAKVNQNDAGAAA SQLVNVLSRDDTVFRGIAGDVGAALGVGVSATFDFALLSKDTEAYLGAGAIVASNSSV EVRASSTDDLNSFSGTLNLGGLVSVAAAGSMHIIQDRTQAHTDAGAQITAPGSVVVVA NSVSDDDVIAVVGSLGAVSGQGMYSLTDIGGATFSAIKVGSVSVGGTPGLTSAAVDST VTAPSLTVTATSTNTPSAESVLVGAGAITATAANPTARTSLQTSASLGSSANVKVTTL TGPHASSTNTATAFDLHLNAGAITGAALLPVADAGGSTRAFVAEGAHLAASGLTVQAD AANKAVVTPFLISAGGISGAYSNPKASTSHDVEAYVGPAYDQAPNGGLSGSINVGAGN VAIIAISPSNTATINEVTAQAGALTLSYMHPQATVGGSTRAHLGGTFGITAAAVNVAG TSSNAATSNVISVDVAAATGVGNTQGAFTNHATEAGVGARAALALNGTLSIKAGSTNA ATAGEANILANFGGVQYAKAEANAGGSTRADVQEGATIKAAGLSVLANASNTAAANSF TAALSAFNVAIAQPTAQTSHVVEAYIGPDTGKATNAGLSGSINVGSTAIAVHATTDRN EATVQQVAIKLGTISVSVEQPRVIAGGATTAHVGGKYGITASTVDVLASSPDNLATGN AVSVVAATAKVGVADKAAATSHATDAYAAAGSSLAISGLLSLHSTSVNTAKSGGAAIA ISGVDVAVVKSEADAGGSTTASVREGASVTAKGLDLSAVSSNTAEANPVNFGVAAVKV AVAHPIAKTSHTTEVYVGPAGYADPTAGLSGNIAVGSGAVTATASSTNKATVDSFDVG VSGVAVSILHPEVTAGGATLSHLGGTFGISAGKVNFLASSASTATANAVSVELSGVSV TDAIKSAATAHTTAAFVGRKSNVTVSGAPLGLDAEAINTATAGGLTVNLAAVPVALVQ PSANAGGVTWAYVGEGTSLKAPALNATANAANTANAAVTMALAGAVTVATIKPTAATT DDVQVFVGPAAGVAPDAGLSGSIALSGAANLTATSNDTAQVSGTNIAVGAVNVRTVRP SINAGGSTLAHLGGNFKINASAVNVTASAPAVVATTKTFSLDIGAVNSAVGSNPVTAS HETDSYLSAGAVVTVGGGPLTFRATSNDSASADSTSVSLGVANVASLSAAAVEQGGTR AYAGNGASLTAGNVSFLADSTSRASASQSSVGISLLGSVKLDPSATAQHTVEAYLGAG SSITASGAVAVGATSNQRADASSNGVQGNVLGLADVSPRALVQGGTLAHADGTVDASS LSVTAASAQTSDADAEVISINVAGGGSGHADARAIGTTQARLSTGASFTVTGNALFKA TAVPTATATATGGGGGLINGSSLAASTTIGGLTQAFADDKSNIWQAGNLEFDAYSTAT GSSRAFSGSGGVFSDGGADARADLNPTTQAFVGKDVLVEYVTGNLTIQAQSIRAEGDA TAEVDGGGASFVGASNSTVNSSPTVNAFLGAGSIVYVVGNVLLSASSTAQPTGAVPGD TFDPSKAVNVAADTITFPAHGLVTGDTVTYNPNGSTPVGTPGGALRTGAFGVLVMDAN TLMLGAAFNAASANAGDVFSPQAGVDPNLDVIRFATPHRFETGDAVKYDPAGKASIGA GLNAAGTYYVRKVDDFTIQLYATKAAATAAGKTFDPSAAGAVSGSTITLPGHGFANGQ AVTYDAPAAALFGSQGVDVSLAADHTIGGNDANANNIYLGTNNGQGAILGHGLLTGER VTYRVEPGMAPIGGLTSGGTYWVIKTSDYTVQLAASYADAIANKPIALTPDKGTAAAA VRHALVPAPISGLVDGRTYYVRNATSSTFQLSATPAGSILALGVGSPADVKGAHAFRA AGIDLSASSGTQSLHIDLSTAPAGNDKLLGPGGVSLRTAAPPPGDGVSSTSAEGGEGG IVASGKPSAHTNASATVNAYDAASSLQVDGNLTILSNATASTTAHGDNSGGGLAFGSS VEAGTSFKDNTSAFLGLDKGNGVIDATGMTVSVGGNLRIASTSSLANTNVSASSDGGG LYSHADAQSNSDFYGTTRAVIGGAASVTARTVSILANLTSARGDLSTDATAGGLFGGT DASTNGTWNPLILAQISGGAAIEADGGLDIKAVTGGVGANQHNHAAFYGIGDADADSN INTNLTTQVKAMAGATLVVGPRTPAAAGLPASYATPLATPSGFPVLALYADASGPSGS RRIDWDADVTFLSGPDPDLEIDSAGNIVRAVNASVNFGQRSGNVNGLISVDSIYNSIR AQALFQSDPSGGTVIATSRPTGPLFTYREAYRTVNITNSSPYALNLNDISPINRSPYN FQNQITLDANDVGAFQFSVKHVFSPTYITVTDYGGTSQAPSDVVVNGTIDNPIGNTQI SSYTGSIYSPGGEIIHIAAGPSIASATGQIITDSFSLYAARAVGTYYNYLPIRVVESP DGLRAAGQGSRSVYAQGNVYLELQEYYRYYGGGYIPFYAMAAIDRVFGGGSVTLYLAD PVVQTVSPTFNYLVWVYETGAVGSPDLPNPMTVIDHFRPGTAGSSPTVYPMGVFGTTT SNANIGVYYNFGTSNDSSSGIVSGGDISITCDTFQFVAGLGYYHLGPNGKLYVSNFFY NYMTAR OJF2_RS03345 MDDTGARGGSEGSKQKPKRPWRRRLCLAALLLVAAGGLLLWALP WMAGLSPSRLAIVARVNRALAPSRVEVGGLSISWFGTIRATGLSLTDKDGKVIVTAEG AELDRGLAALLIDPSRLGTITVDGAKADIERRADGSIDLVDALTPPRPAEKTPPPRGE GKPLDLTLKIVRSAVVVRSPELVEPITAGQAEVTVRLPAAADKKLGWQVRLATPPGGI AEQTLAVDGEFDHRAEGSPDTAVRIAGKDWPLAIAAGGLVTRGRLDGEIRAGRSAGRW GASGEADVLGLDVDGPALAGDRLKLDAVKGSWDLAQDGGAWAIRKLAVDCPVGSLGAA ATVGGDPAKGADAHLEGRIDLAALARLIPRALRLREGIALDRGEARVVLDLKTAGDAQ EASLEANVSDLAGREGARVFTLGAPATLVARGRRSAAGLTVDALELKSAFASLKGSGD PGSGIKVSGTFDLAAVQAQFRELIDFGGVALAGRGGLAADYRREKSAFIGRGVLEVRG LDLAGLAASPYSREVLRLEVDATGPADPAGLPASWAELRAKFQTPREVASLAATSRDG AVAWKGAASLPVALATRSGRAGAESSGRWTTAAATAPGRGVIEVDELRLNARPDDPAL AADGLLLASVRGRLDLDADELTVAPLPPRPGEKTPLELAGNGLKLRGLLKNPSAPESA DVEVRGDLAAIDRALAIWTGQAGSGLAGPAAARIIAEPDGGRLKLRLAAKAPAALGGK AVDLAFRGAYEAATDRLDCQSLTAVTPYARVDASGQVVEATARRVADLSGTLAPDWQA LTAIVEQAVEAEARLEGRPRGFRVKGPLSGGSLAAIVKGLEEAELGVDLTSADVFGLA LGEAPVVVRCKGGVLAVDRIQTTLNEGRVDLRPGLTVDDAQGIAVLLGEGSALSGVKV NDEVSRRVLSYVAPVLDRATHVSGTIAADMKGEIPITGPPSRTTTLNGHLAFQDVVFA PGPAAAEVLSVVGRRAQEGIRMQQPVQLSIANRRVIQEGLKVTVRQGVDVGLKGSVGF DQTLELKATVPITKAMLGSQAPAQLGDALRGNDITIPIGGTVSHPTVDRRALRVALGN LSKGAVRQGLQKEASKLLDRLGPPPAAGPGTPPGARRPRSKADALEGLEDQLLRRVLP GGKP OJF2_RS03350 MDADDFRELAIGFDDVEEGAHMGAADFRVGGRIFATLAHGHLGL GNLMLSPELQRSLIAEAPDVFLPVSGGWGRQGATHIRLAEASPEQLLHGLRLAWNLRV QKNARSGKRKAPH OJF2_RS03355 MEPKIVEAGAGRSLNVLGDNQLIRLTGEDTGGALTLVEQANPPG VGVPMHVHANEDEVFHVLEGALDFTVGGRTRRAEAGAVVFLPRNVPHAFVAVGPGTTR STVTAFPAGIELMFYELGELPPGPPDMARVLDICGRYGIRFLEPAQPGDDVAGV OJF2_RS03360 MPRARRRGPGDTPVGSVVVLDGAILGEGIEALPAGDSITGHAET LACRAALDATGRRDLAGAIVYTAAEPCSPCGSGKETPVIGAVTSIHPIPIDPRSSAGA RPRP OJF2_RS03365 MGPDLSEPADFLTSHKFHDDPDILAAAPDAIGAGPVKPRRRDLF DDETFLKITGRTREEFEEEKEYDDLLRRGARSQEVSQAFAIIRLGFLTLVSGLAVTFW TLAFAVYRGGGVYVLAWGPVLFGGLCIFRGLGLLFSSPPLKDGR OJF2_RS03370 MQHGDIRALTFDVFGTTVDWRGTIIREGERLGAAKGIQVDWTAV ADRWARKYAEARNRQGPWLPLDQILRRAGGEVLEEFRIKGLTPAEHESWSGLWSRLDP WPDTLPGLERLRSRYLLACLSNANTALSIALARHANLPWDQVLGPETVRAYKPSPRVY CMALRRLGLEGEEVMMVAAHLFDLEGAKALGFRTAFVRRAGEDAGDPTKAPYVDLIAE DLQDLARQLSR OJF2_RS03375 MDAPLSHGIAQLSSDVRIHYGLGGEGEATALLIHGYPQNGWQWR HVVGPLAAAGFRVVVPDYRGAGRSSKPTAGYDKRTMAADLRALVREHLKIEGPLAVVG HDIGSMVAFAFALQFPDDVSHLSLCEAPLPGTRTYDEVVGKTRLAGDPLWHFSFHNAG DNLAEALTFGRERAYIDSFYDRLAFNPGAISLEDRARYAEAFASAGAMRAGFEVFRAF DKDAEDNRAALEARGRLRMPVLSLGGAHSVLAPGADEPMMKEVAEHATVRAIPAAGHW IAEENPEAVAEALVGFLRA OJF2_RS03380 MSTITLQQAQATVSDLVHRLASGEEDLIIDGDRPVARRVLPVPS AEDRPIPRPGTLRGSVLSPGHLDDPPEDFRGSGEWDSSSARTP OJF2_RS03385 MQSMAPADLGRRRRADRTPGHRRHVLEFVREGLEDRTALSGPGA LPLAGLASAISPVASIVRDVVPSGGPGGPAVATSPGPTGLPLSIGGGTTASSSASPGI PLVGSLASVTGAVLGAAGSGLGLLGGPTSPNPTALAPASSGATSPGASAASATGGDAG ISLDLLGLVGARVSLPSLLSDVADVGTGAVGVVGDVLGPLGGGLLDIATGGGSGAANG GISIGVGPDLGGTRSSTSAPTLGLSLLGLSVDLDLATPLLGPTGGSSGPSSPPTSPPP ATPGDPTHGPISSPSQRGAPPTDEPGSPSGNPGGSSGIPVTPPGTGGSGSTGGTGGSG STGGVGGVSTSPPGTGGTGGTSTSTTNPAGPGGSSTSTTVGGPGGTPTSTDAGTSGSV GLPGRGPAPATGLGSSDPQVDGGMAGAAAVAVAPGAGSARGPDAAGDAARAGGAPPAG QTAPATAASPAGAAGDEAVAGDRRHEIGAEPAGIERPAAPEVSPADLLPGDLDGLERA LVRLMRRLDGMGQDLGGWLAHCDMLAMLAAAGTAALTFEVLRRLEGRRQLAIPRARFA STGRPGPFYRPRECRSGRPGAGRRMGGAAII OJF2_RS03390 MDPRARYVFPRRWSSDRLASPEELRFRARPDSALREAVAGWAVG DAEPTGAVVDLDAETRAPTGDAAAPDAEDSGRRGAPDSEPPSTAHLRPMDELAGFRIV AKLGQGAEGAVYLATQTDLADRPVVLKVGPLLGGEHRSLARLQHAHIVPILSMQDLPE KGLRLLCLPYLGGATLERLLDELAGVPLRVRRGRHILEALDWHPCPVAIPPPAAGPAR QFLAVESYERSIAWIGLCLAEALHHAHVRDLVHWDVKPANILLASDGMPLLLDFHLAQ PPLRPGGPVPQRTGGTPPYLAPEQFAAMVAIAEGRPVPAVGPGADIYALAMVLHVALA DKLPSGDARIAARLRRANPRVSPGLAAILARALARDPRRRYPSAAAFAEDLGRHLDDR PLAGVANRSPVELWTKWHQNHPVALARAGAAATALLGLAAAGLVAWFAADRRIGDAVG ELERGRLQLAAGDHRGAAQSFDHGLAILASGTPLDRLLPRAREAWAGLVAARARGRRA EAAAELHGLADRVRFLYAAGPRPTDAARRLEGRLAAIWRARGRIRRQFAEDADEESRA RLRADLLDLAILWADLRVELADEPLRPEAHREAMRTLAEAEAEAGPSPVLSEERRFHA EAIGLREPPSRDCGTPPRTAWEHYALARALLRRGDLEGAAAALDLAVALQPQSLWAQF YRGRCALLRNRPAEAVDAFGACVALAPEAVCYFNRSLAYAGLGVADRSRDDLARARAI DAGLTALPPRVPAARRAVPGRR OJF2_RS03395 MKRRRADFRPRSDPAGRWITHSRPRTIAIGDIHGCSRALEALIG AIAPTPEDLVVVLGDYIDRGPDSRGVIEMLIDLGRRCRLVHLMGNHEEMLLDVRAGRL PLRWWTMMGGDATLRSYGAGSDVGRIPTDHVRFIEESVDHFETDTHVFLHANYDPYLP LQAQPSSISRWKSLSETVPLPLPWNKPVIVGHTAQRAGEILDLGHIKCIDTACCAGTW LTAMDIDTSELWQAGVEGRLRRGEG OJF2_RS03400 MRSNPPAVIRRLSVVAALVIAAASAAPPAVDEGRAPAARGGRAA WTTSCVVGSPDPPPPFKVVRAFPSLKFDHPLLLARVPGSDRMVVGEQAGRLYSFANRP DAKAEVFLDLPGEIRTVGRLAGAKEVEAVYGLAFHPDFERNRQCFVCYTLRGADPARP NLPDGTRVSRFRVAVTDPPRVDPASEEVVISFLQGGHNGGDIHFGPDGMLYVSTGDAA NPSPPDVFNTGQDVSDLLSSILRIDVDRRDEGKAYAVPRDNPFVATKGARPEVWAYGF RNPWRMSFDRETGDLFVGDVGWELWEMIHRVRKGGNYGWSAMEGPQPVRSDQVGPTPV LPPLIELPHAIACSVTGGRVYRGRKLPELAGAYVFGDWETRRLWAARFEGDRVKEMPE LARPSVRIVAFGEDREGELYFLDHDDGTLHTLERNDAGARNADFPTRLSQTGLFDSVG GQRPAPGVIPFAVNSRQWLDGATEEHWAAFPGGSSATLHANGKPVPGLVGWHEFRMHF PKGAVLMRTLSLAGRRVETQLLHFDGGDWRAYTFAWRDDQSDADLVPAGGAEREVLDG GGGRRRVWQFQGRTQCLTCHSNQSEYALAFLPEQLNRVGPDGRDQLVALTEAGYVRRA GDDGATLPPFDAATAAREPRLADPGDEGRPLEARARSYLHANCGHCHSDGGGGSVPLR LKFPTPVAEMRAVDARPTRGDFGLPDARIIRPGDPYASTLYFRMAKFGRDRMPHVGSE LPDEAGLDLIARWIAGIGGGGPRAEVDAAGRSPTDPRSAQVLARKLGRGELDAAGRGE LLASAAKLPHGPIRDLFEGYLPSDGRKRLGPNPRPRAILDVAGDPGRGEALFWSQAVR CGTCHRVGTRGTAVGPDLSAIGKARPREDLLQSLLEPSRRIEPQYAAYAVATHDGRSL AGLLVRRDEAGVVLRDPQGKDVAIAAADIDEMRPSRSSLMPDGQLADLTAQEAADLLA YLASLR OJF2_RS03405 MNKLATTIITLGLMATPGLAQESAGTSPSISSVGEAMGKCVADR EVAGAVTLVATPERIAHMDATGKADIAGARAMRPDTIFWIASMTKPITATAVMMMQDE GKLSVEDPVEKHLPEFKGLKDREGKPVAVTIRHLLTHTSGMAEATPAEARGIKDLAGL MPVYVGKPVQFPPGSKWAYCQSGINTAARIVEVASGMPFDRFLERRLTGPLGMKDTTF YLNEGQVPRLATSYRRGKEGELNATPVSILYGKPPTSRDRFPAANGGLFSTAPDYARF CRMILNGGELDGRRYVKPESVALMTSVQTGDLKTGFTPGNGWGLGWAVTREPQGITAM LSPGTFGHGGAYGTQAWIDPIKKRAYILMIQRADYPNSDASPVRKAFQEAAAAALDGS K OJF2_RS03415 MTGRSNRLRGLCLAIVLAAPAPMLVGCQPADVGTVGTPREAGAD GKAVAPRPNPRAGTDAPAKGGKARPTESSSGR OJF2_RS03430 MGSEPDQPDLRRIRNIGIIAHIDAGKTTTTERILYYTGEIHRMG DVDKGNTTTDYLEEERERGITIVAAAITCRWRDAAGDPITINIIDTPGHVDFTAEVER SLRVLDGAVVIFSAVEGVEAQSETVWRQAAKYHIPRLCFINKMDRIGAEFDRVYAEIE ERLLDSRPIPIQIPIGAGPEGTMGEFQGLIDLVAMKALHFKTEDLGSTFTVDEIPEPL RLEADAWRETMLNNLSAFDEQFAEQYMAHLDGAELSEGMIHAALRRATLTGRVQPVLC GSSFKYVGVQRLLDAVASYLPSPLDRPPVEGLHPKKGTELARKPSPDEPFSGLVFKVT SDAHGDLSFLRIYSGTLKAGTRAYNPGKEKKENCSRLYHIRADDREQIAVGTTGDIVG IVGLKDTFTGDTLCDATHPILLERIEFPETVISMSIEPVSSADKGKLSDTLNALSRED PTFTYKVNEETGQTLISGMGELHLEILKNRMTRDFNLKVHVGRPRVSYRETIKKAVKR IEGTCIRQTGGSGLYAKVTIDLEPEAQAKGAPVLHFVNKMKGGTIPAEFVPAIEAGLR EEAKSGGRTGYPLVDLKVTLVDAAYHDVDSNDLAYRFAASDALRKAVEEAGPVLLEPI MRIEVVTPDDYLGNITADLSARRALIERTSTRGKLMVIDARAPLEKMFGYSTAVRSLS QGRASYTMEPLEYAAAPDSMLEAIAGL OJF2_RS03435 MADFPGMPLGGDESPDLRLPPALPRHEVPVVRATPVSLAGYGVL VDDPNSYRVELVAWPALGWRPVDPGTGLGGGLASARLHVRREGRALLASDDEGRDDPA RGWTIVGWAERPDEAGAAGPAVILPGASYRPDGGQLVVPVDPGPYLAVLARPGDDVGP GDFAGFFVEPGRALSIPPGVWCAAAAAIPAGDGGRFLVRSGRVRARISCDLREEFGVV LSVPVRPPVP OJF2_RS03440 MSDLPPPRSHGAVPARILVAAVSAAAVALAAMAALPRREPRPSW IPIVPAYFYPSGSRDAAWEAIAAAAGDVPVRVILNPASGPGATADLRHASACRRARAA GVRILGYVHTSYGARDPGLVRADVEGYTRLYEVDGFFVDEMSNDPRSVPYYAELRGFI KGLNPAFEIVGNPGTLTDEAYRTTPTADVLVLFEGTAASFRDFGPPAWALRYPPGGFA AIVHGAGAAGDMRRAFDHASRAHLGGLYVTDGDGANPYDHLPPYWAGEVARMKRPR OJF2_RS03445 MPMGIGVGSPRAWGLVAACGCLALPSTVSCEDVKSARAYFVGNS VTDTIRYGSLAKLAESRGRTLTWGRDMIPGSPLSWLWDHPADGFRQEPFGLYPTALGE YSWDVLSLEPFDRHLDGKDGDLAAAGRFIDLALRRSPDVQVYIYSRWPRRDEGKDGSL SLDYRAKWLRKYTGGWDGTEETRDYFERVVAGLREAYRGKAKPALLVPVGDVLLELDA RMKAGKVPGHSDIAQIYVDGIHFNNVGSYVVGLTYYATIFRDDPRGLPAGPYNEDLDP AKGRKIDEALAGAIQEAVWSVVSTHPLAGVRALPSRP OJF2_RS03450 MTARVGTDAGPTAGASSRGSLDEAVAIDAPCNEFERALRQGRRV PASELLDRFQAAGGDPSRLSPELLALEEELRGGGDRAGACERPAPSSPPVPARRVGDY ELLGELARGGMGVVYRARQVSLGREVALKMILSGEFASEIELRRFRAEAAARPGRGAT GRRAGYRDRPDIPGPVGRPGRRAAARVGASGRGRSTPRAGPHDGLRRDLTISQRRA OJF2_RS03455 MRVGTRAEARAEGAGRVEDAEILAVPAVPVARVPPRGVVPLLGR LGDDQLRRIALDRMEGYTTDEIAERLGCARRTVARRLDLICQIWTREVA OJF2_RS41100 MTIHRDVARQVLLCEGPRPGVVLLTVGTPLVADSGSHDGRRR OJF2_RS03460 MSESGRCSNCGAMRPAGFLHGLCPACLLRAGAAGRNGDSAGASA TASAGWRGGGSDGRRDPSRSIGPGGPGPSFGIGTKLGERFTLDAELGRGGMGTVYRAS DHLLGRRVAIKMLRGTRTENEAGQIRLEAQILARLAHDRIVRLYDFGEADGTCFLIME EVDGPSFADRWPDLPLSDRLRVCGQVAQALHFAHGQGVIHRDVKPGNVLLTSGDEAKL SDFGLSLAAGDRGDRDGSIRGTPLYMSPEQAQGAALSHRSDLYSLGVMLYECTTGEPP FVGDIASLLERHRAASPVPPRNRNPEIWSTLDGLIRSLLAKSPSRRPGSGNVVALELF EEAERAERLRRINPGVRRSGPGGQADAIPPGTPSASGWKPGAASANGARHSDREGMDS SPACSAPSMPIHPEPGDADPGSSPPRKPAGSSRVRRSTAAAAADHPVARRMLQETTAT PILLSPEERYLCGHYLAYLLGGARRQGLLLGRPLDARNADRARFLLAMAWLSCVGPTD ESIELAKALLDDRPDVRAALTPVVVMKYLASRDTPARHQWFRRVRSRLKDASAYARRK MLDSKGALNPGLMPRSLDDLRLIAPPRGVMDAHRVSLWNRVAEVWQEEDEFRKAVLRY ATGSDARDSASVDLWPEVVYPLIEHTHWQRTFRPRLEAIWDYVVGQILKAPVPGVRLD RMMIVAIPLDVSEQLDRDLATFVEDPELREGETSSDEIQTPDDGWLEAEREGEGRKSE RLPFYCDARIPREEAPADDDAPPDRTLVPLWPPDPFVFTQASLRDMWEEAMNLRHTSA GPRFLHRNVPVGPYRFAVAPTGVGRSELRAGAAIRAILQGLSTGKEIEVFTPSTIAAN AASRTAIAIWLYENQSVLLAYLDFQSRDRYILWHAPKAHQFNLKYPEEVRTVLPTVNL EIPDRFDGLLAGR OJF2_RS03465 MASATQANPVPDLMYDWLTVLQSKAEGLNAYEKYIRDAQQEGAT QCVEMFRKLQEQDSRQVQEIKNHVKMMFNK OJF2_RS03470 MGKRKVTDCAEGCPVEAALDLIGGKWKGVILYHLLKDRVLRFGE LRRLLPGVTQRMLTKQLRELERDGILTRTVHAEVPPRVEYALTEMGKSLKGVIRALES WGNARKANART OJF2_RS03475 MKAVGFTKQVPTSDADCLVDVEIPEPVPGPRDLVVRVKAVSVNP VDTKVRKRETPAAGAARILGWDAAGVVEAVGPEVTLFRPGDQVFYAGDLTRPGTDSEL HAVDERIVGPKPRSLDFAQAAALPLTSLTAWELLFDRMRVPHGEKTRRGTLLVINGAG GVGSILVQLARRLTGLTVVATASRPETVAWVKDMGAHHVIDHREPLDRGLKAIGIDRA EYVAALTATDRHLPAIAELIAPQGHVAVIDDPPALDILPFKRKSVTISWELMFTRSLF RTGDMVEQHRILSEVSALVDAGLIRSTMTTRGGPINAANLRALHEAAERGTAIGKNVL EGF OJF2_RS03480 MRQFDADEALERAMGVFWRRGYEGATLDELTAAMGINRPSLYAA FGNKEQLFRRVLERYRAGPVGFLRDALEEPTAREVAEAILRGAWRAFCDPGNPGGCLV VQAALACGAESESVRQELAAGRERAVAAIRERLRRAAREGDLPAGASPRVLARYLATV MHGLAVQAAGGAGASELRRVADLAMRAWPTA OJF2_RS03485 MSIVHAWVVPGASQPMVRREVDLGPIGAEEVEVQVEHCGLCHSD LSIWADDWGMSQFPAVLGHEAIGKVVAVGPVAKGVKVGDRVGVGWYAGSCMHCRQCLS GDQHLCAEAQATIVGHRGAFASRVRSHWAWAVPIPEGLPAAEAGPLLCGGITVFSPLA MHARPTDRVGIVGLGGLGHMAVKFAAAYGCDVTAFTSSEHKFDEARGFGARHVVASRD SAAIGKLARSLDMLIVTVNVPLDWNALLGTLAPNGRMHVVGVVPEPIPVPAMSLIGPQ ASVSGSPTGSPVGIETMLEFAARHGVAPTTEHMPMSQINDAFARLQAGKARYRIVLDA DF OJF2_RS03490 MRLLCLSAAVLIIMPCLAAWPAVRPPAAAGGEGASTTVTRIGVD HVLVTAGRDYETVTARFREQLGAFDAAALKRSLEAGDDAATRAAVERMAGPSGFMLFN TQEHGALLGLVDIRAKAVQYVVGNPLFAVEMTRHAVGAGLYAPLRVLIREVKPGQTCI EYDRPSTLFGQFRDPEVDKVAAGLDRKLESLIESAVR OJF2_RS03495 MNRRRFVSASIGAAGALAIAGRVRGDGPPDDSDPTSLIPTMMAA TAKMPIESRPLADGLHLVYGPGGNIAVLDGPDGLTIVDAGLPNRAADTFEVVKRAGGK PVVRLINTHWHFDHAGGNEAFGRAGAAILATPATRRRLSTEQYTEIFKMKSPASPPAA LPVVTLDEAEVHVGPETLRIKSVPPAHTDGDLFVHFTKHDVIHTGDLFSNGFYPNIDA SSLGWIGGMVAAADVLLKLAGPSTRIIPGHGPVATRDDLARYRTMLATVHDRLAKLHD AGKTLREAIDARPTADLDAEWADGFFTGGMFTRVAYDGLVKHRAGAGKAS OJF2_RS03500 MRRLHGLILATACLGVGPGAGPQALAGGAADAPERVTFTEHVAP IVFRNCASCHRPGEAAPFPLLSYRDVKKHGHLIREVVGERTMPPWPPAEGWGHFQDER RLSREQVELIGRWVESGMAEGPADRLPALPAFPAGGWTLGTPDLVVTLPEPFDVPADG KDIYRMFVLPLNQAEDRWVTAVEIRPTARSVVHHALYFLDSTGAARKLDEAEPGPGFS RMAFPRTGSLGGWAVGATTRRLPMGLAYPLPAGSDLVVQMHLHPSGKAEREQTSFGIY LAKEPPRKRILGTQAPMLFGIGTDLRKKGIEPGDSHFTIHGTWEVPFDVDVVSIGGHA HYLCKTMKAVAELPDGKELKLFAIDDWDFNWQGRYNYAEPVRLPKGAVVRTTLVYDNS ADNPRNPSDPPVKVRWGEGSLDEMGSIGLAFVAVNEADLASYKGPAIFGGGDQVGRLG RRAGPAAGAGAAARRGGQGLFGGRDPAQVLMLFDALDADRDGKLKGDEIPARLRLLMI RIDANRDGVLERAELEKALAGARDAGPAGKPGPAADSRDDPAMTDLAGHAWHPLRPAE GSKANVLVFLAKDCPVGNTYTSEITRIARDYEGKHVAVLVVHVDPDVTADDAREHAKE YGLTVPILRDPDHVLVKRAGAETVPEAAVITPDGRVAYCGRIDDRFGKLGRQRTEPSR HDLRDAIDAVLAGRPVAQARVPAIGCPIADMER OJF2_RS03505 MSGSEMPYRTLGKTGERVSAIGLGGWHLAIKSVDKKTAIQIVHA AVDRGINFLDNSWDYNDGESEERMGKALKEGYRDKVFLMTKIDGRSEKEAARQIDESL KRLKTDRIDLLQHHEILRFDDPHRIFDPEGAQVAFEKAKQAGKVRYIGFTGHKDPQIH LHMLDVAKQQGFHFDAVQMPLNVMDAHYRSFGRDVLPRLVEEGIGVLAMKTMGNGIIL RSGAVTAIECLHYALNLPTSVVITGIDSMEILDQAFEAARTFRPLTGEQLEALMAKTA QAGSRGEFELFKTSSIFDATAVNPDWLGEEPERLTSLMPATG OJF2_RS03510 MASLVKQIDSKIGENKSLKGFVVLLARKGDKAEEGLEKLATSAG IKHVPLTLTRDPDGVPDYELSREADITVVMWSHHAVKAARGFKGDLTEDDIRAILSDL PKVLDK OJF2_RS38985 MRFRFAPGLSAVVVSIVMVGTSLAGEPVKSGQQVGDPVSPFDVE AITGPQKGSTLCYR OJF2_RS03515 MNRKHQLSHHDDSPDLFRRLEECRSRLATDFVGICFRTAAYKRA NQRDLISGRGSAIHGGRWNPPGLPTVYLSADLITATEEYLQTNRRAGLPDEAALPKVD VGVTCAMDRVLDLTRADVRRCVGISEEQILGVRVLEPTGVEVITQAIGRLAVEVCFQG LLVPSAARPGARNVVVFPGKLSKRLAIVNRERLPQRPPRLKADRKTF OJF2_RS03520 MAAHAKRAPVGRQGKAGRSRPSGPKKSPSVTKAVKTPVAASLGT APPKQKRPASSAAAKSASGGPVLPPDMARRIAKARKRLGLTQRLFARMIGATDRAVAS WERGAAVSPMASKQIAELERLAGELEGSMKPEFIPTWLNAPLQVLGGSSPIEAWGRGE ADRVWRLAFFMGSGLPT OJF2_RS03525 MAAAIQHVEMTGVGEGGMDTTGPFETPANVWPERCPTCSFPDID AVPQPYRLTRKTGRPVEASGAVLGNFLVRERPRAILEAVAPGACTFHPTLEPKGDVPS PWWLAVPVGTVAVSEVIPQVPRCPACGEPKVAHRGVHHRWHGPGETDLDVFKSKGWGS YETVGEEANWYWMNVLKLKSPPKPPPGRWTRVSLNRNLYFSVRLEDLFKRAGVKGLVR VVRQNAAPSDDDRRWVDEQLARLAGLGLLEAVAPAPAPPARPAAPSPFEAYLEAASGK RKGKKAPPDFAKAEEALGRPLPPSYKRFLAEVGPTTFQDVDGEEGFEARVLPPAKLDM QSFRLGAIADFDEEDADSPRVDGLLFASTAHGDAFVFDLAGGAEEPAVLLYNHEGNYF EPYAANFAACIARWAGV OJF2_RS03530 MTTPSVPDSCPALRACLAGLLALALAPTRPAAAAGPDTPYPAKV SENRRFLLDQHGRPFFYLGDTAWELIHRLNREEAGLYLKDRADQKFTVIQTVVLAEHG HEQPNPQGHVPLVGNDPTRPDEAYFADVDWVVDRADELGLCTGLLPTWGDKWNKKWGR GPELFTPENARIYGAYLGRRYRDKPVVWILGGDRPIENDRHKAIMRAMAEGLRQGDGG RHLITFHPTGWQSSADRLHDEPWLDFNMCQSGHAFNHENFRLIAADYARTPAKPCLDA EPGYEDHPSEFKASNGYLDDYETRRFAYWALFAGACGHTYGCHDIWQFYDKGRAPITF ARTPWRQALVLPGSRQMKHARALIESRPVLDRIPDPSLIVGDPGKGTDRIAATRGADG SYAFVYSSSGMPFSVDLGRLSGRGLRTWWLDPRSGEARAGESFAREGTREFRPPSRGK GNDWVLVIDDASRAYRAPGGLAR OJF2_RS03535 MAQVEGSTEVLTAPVVPGHELIRLLGAGGMGRVYLARQVALGRL VCVKVLAIPDLEDPILCRARFRRESELLAGLSHPHIIALFDYGVTADSDLPYLATEYI EGGDLRSRMKPGRPMPRERVREILHQVGDALEHLHGKGIIHRDLKPENILMPTETLCK VCDFGLAVLQESAGSLTRSGRGLGTLGYVSPEQQRGDRIDERSDQYSLAALAYELLTG KRPLGRFRPPSRVNAFLPAGLDAAILRGLEENPADRYESVAHFVRAIEPYLDPDHVGA GRLSPRARRAALGGVAILAALAGLVALARGIPGADRRPPADPPAPPAQVPAPEPRSAE FRRLTELRAYLLWVAQGRPNGNDIATRNWLDAEKLVEGQVNARAYELWVRQGRPEGAE GAAAAGRNRRDAERQLLKEVEEEARLHPPN OJF2_RS03540 MSESTRRGFLAAGAVGTLAAAPATANAAGGFGGQPRDGRRGSAA GPEPVKIPTMLADTEAKPGPAFTPLPPEERLGFAVVGLGELALHQVLPAFGRAKRCRL AALVSGDADKARAVASQYGIGRENLYDYKAYDRLADDPAVDVVYIILPNSMHLEFTSR AAAAGKHVLCEKPMATSAADCEAMIAACEKAKKQLMIAYRCQYEPHHREAIRLARSGE LGPLRLIEATNGQNQGPPGQWRHRKALAGGGSLPDVGIYCLNAARYITGEEPSEVSAQ VLTDSSDPRFAEVEDRIAFQLKFPSGVLADCRSYYSAHESRRCRVMAERGWIDMDPAF SYQGLRMKVARVERGAEHVSEVRLPSPDQFAAEMDHMAERAARGERPHTPGEEGLQDQ RIIEAIYRAAREGRPVPLAAAPGRDATRGPAPG OJF2_RS03545 MAHPIPAGQPVITPHLVIKGAAEAIEFYKEAFGAEEIYRMPFPD KDGTFKLGHAELKFGDSKLYLADEFPDYGSVGPANGGSPVVIHLAVTDVDAAFEKAVA AGAKVSMPPQDMFWGDRYGKLIDPFGHHWGISEHLEDLTPAEIEARMKEAFAGSPSCE OJF2_RS03550 MSSEAAHAPFRATVACPSCGTELAPSLLACPACHQLIHADHLKA LAHAAEVAERDGDLSAALAAWRQALALLPPSTRQHAAIVERIAGLSRRVEGAGPLPSA PDPAPAGPDVQGWSQGLAGAGGVAGGLALAAWKFKFLAFMLLGKAKLLLLGLTKASTF FSMFAMVGVYWAAFGLPFALGLVLSIYVHEMGHVAMLMRYGVPATAPLFIPGLGAVIR LQQAFADPREDARVGLAGPTWGLAAAAFCGAVYLLSGWGVFAALTHVGAFINLFNLIP IWQLDGSRAFHSLDRPQRWLAAAAVATAWALTGDGLLVLITIVAAGRAALSQPAPRPD RGALVHYVLLVATLSALAHAPAFHVRGGPGAAAGRGLLAVHPSHERVPPRSVLSTPAF LLYIR OJF2_RS38990 MPQDEQPGDAPAELQFDRAEFATEASGPSPGAASDAEKAADGPR CAACKRPIEDAYYEAAGHVVCPACRERIGAVAAAGSPAWRASKAVAYGLVAAVMGSVL YYAIIAITGMNIGLVAIVVGALIGGAVRAASGNRGGLGYQALAVSLTYLAIGAMFIPM MVGAMQAAMEQRQDAPAAAGEVAQAGQAAPAAANPRAPENAWVMLGRLIAAHPPFLLV LGRVVLMAPVEMATASPISGLIYAFAIWEAWKLNRRRAGVAFSGPYRLAAAGVPDAEV KPEAHDEL OJF2_RS03560 MTSASLMPAPAAPAPRPEPARDRDTAARADLTSPASPLRVLWFY DLDGCHGPTGVTRHALGQLERLARRGDVALRVATGRMSQPDGLAYWASLEDLPRRELP LGTRHLLRWWRLSDWPPLDALCGPADWVYCPAEYDVPVKSARLAVTSHDVLQHLRYLP PRNRERLAAAFERADLILSVSEFNTGQLVEAFPSCRDKVAYVPNAAEDLFFEPATPRQ RGRARADLGLPEGMPYLISVANFQPRKNLPRLVRAAAALPEVAGGDLALVLLGTGAEA EARPLREAVAAAGRRAVVRMPGYRQGEALRAAYAEAAALVFPSLCESFGIPVVEAMAQ GTPSALADSTALPEIGGAAGWYFDPLSEESITATLRELLDRRDERDRRVALGRTIAAR FRWQAANDLLVRWLRSREGGT OJF2_RS03565 MSWASREVEDWTRRARELAEADAGGDEIGLLGRRLGHLGEGFRL RQATDAMADDAGPGPGEEQAREAALRASIALQRAVLGQLDAEIAAGPDPEPDAFDAGE GDGTGAPTARALIAARVKEWLPKGKAALRSLVADHQVRRMGRALAVHGMRGDLEWLSA VVARLDGEPAPIATAALEVDLGRVDNDLRSLRGGGREEEARERERLIDWAEALRRALL GRRVRRELAWPAAGMPPERLLAMRLQLSRLEAVVEGAGGERAAEWAEALSVRRVELAD RAADAFRGMDPADARRHCDRAVQATQDEVSEAIAFVEDMPLRRAVSRLELAGQDLDQL ATILRPMAKEEEEKDPTPRTPSPAIAPPREARERDEERREEDDEARGRARRQLADVER MRRRVRGEWQEKLLALRLRERLGPRAVRVLESAVLWLIVALIALVAAEAALRNGGRLT GGVRAALGWADLVVCSLLLAEFTVRVAVAPRRWLYFRRHFAIDLLASLPLGFLAYLIE VEESGAAQAQAEEAVDLLQFLQVGRLVQVLRVVRIILPALRVIRLGLFVLRLGDQLVR RHAGLLNRNVVLFEPYHAHRAESGHRHVLAALRAEQEHAASLVQSRLGRGQRLRLASR GLADLGVRIRCLPGEAFDDPSGVGEARDGREIPVEALVDRMIQLTPEQLVDHMGPGFV TSADRYMRLLDAPVVRRLPVVRNLVAYRQKSPAEAVTLAANYAGHLVQQALEVVYFLA DLQGTVSPAVFLDRLGAAVVSATRNPAKRLLWLGSAFLLLFLVVNALPIFRPFRRFVD RLQDLLGWPVIILGALCLVLWMLGAWFRRIANQSADFSERVVEAQFAAQTRTLKSRRR EEDGRFLLERVIDPEFLLRSSDNAHPGLRREDAAGAVVGRGEAADEDRELVFLRNIGL LYQDYLDGSPFHRSDTRASVQLLGNLALTNLRRSHLGHLLREGRVLDRLDLNRAGRVL GGPYLWFNYITRMIVQETAILLLDYNRHAIPLDRLACSPEPSRRAFRDWLARRLRVEP EEVELPPPSVPAALPGSAAEEGTPVASPRRPEAEAFLETVEFTAIDFLADDPARDAVI RARFGPQVAELVRRDRQQNVRRAFRSFPLHELPLAQRTINPYAFYEAYLSRGRIVFLP FLALGAAARMVAASVRGVHGVVEEILHPSADPVEEGPADSYATAIRKIHRMRKPVFMG SLWLRARFDVEYLGLALPTAPASLAAEPLMEHDLDFIRASRQDRIIAEQIRLGHQRRL QWIGRWLERLGWTFDRLPGYLAAEMPYLGNRGGEALRALVAACVLDHDDIATLAVSIE GLARVMAHGADEEGDRSLLPPSLPDPVINLRRLWHPVARPRRPTSDLFGLPCFPDYGP AQRARILSYLRRHRRAVRGWIHVVLGQGGPDPWAAVRARMREVLLRTDLWSDQVLVLR AVQTLAMLDVQHNCSLVRELGAYDARPARERIDV OJF2_RS40345 MARSRRSDVVLADEVGAYHCVQRVVRLAALCGADAATGLDFGHR RDWIRGRLEELAGAFAVEVAGFAVMDNHLHVVLRIRPDLAAAWDDAEVASRWAAIFPG PPPAGPGAGRRAGASGPASASSAEAPDPADVPTPATAATPADPARLAELRRRLADLSW FMRALAEPIARRANREDGCTGRFWQGRFRCTHLLDEAALLACMAYVDLNPVRAGIAEA PDRDGRTSACQRAEALLAARAAADATPPAPRDAWLSPIELIEREPAKPRPPAGSPAPA PASRPRPPRASDRGLLPMTAAQYLELLDWAGRQSRPGGGTIPATSPPVLDRLGLRSES WPAAARGLFGRPRRAVGTAESLRAEAARVGRRWLHGIAACRVAFPLPA OJF2_RS03585 MKKRAEGSNFGDQLIAGLTELAEALEAGEPLDKRFTVRTVKLNL SPRAYTPADVKSVRKKLGASQPLLARFLGVSVKTIRSWEQGLRPVPAIAARYLDDIMA FPEIWTSRIQAGTEPQA OJF2_RS03590 MFSDDHLKVIRSYRLTPGPLATFIEIGAFTSQWEQLGLTDADRY VLQLSILAQPSAGLVVAGTNGIRKIRFNPPGSGRGKSGAYRVFYLYAIEHQLVFLLGV LSKTEKANLTKAQRNALAGLVIRIKSSLEVMEDRK OJF2_RS03595 MSERKAAHRGRVLIVDDHPAVREALALRIGRQSDLTVCGEADDT TEALRLLEEAKPDVAVVDISLRTGNGIDLIKRIRDRNDAVRIVVWSMHPEALYAERAL RAGALGYVNKDQATDVIVEAIRRARRGEVWLSEAMAQRMLMRSVGPGGLEVARSPLDS LADRELEVLRLIGQGRRTAEIAEQLHLSVKTVETYRDRIRQKLGLPDGTRLTHYATQW VLENE OJF2_RS03600 MAGKGAALERLAAASSRLWGIVDEREGLAEILSAAMEMVAADRG AVHVLDEPRGMLVAAARRGYAGDIPGHLLEVPAGEESPAARALRAGGCTAIEDVEADP ALAEGWPIVRASGCRAMRCAPMLGREGRPLGALSVFFRSPGRPRGAAPGCLELAARLA AGFRERCRADAAVRADLERLRRAFAIGRFGIWECAIPAGPVSWSPEVFAVAGVPADAP GGARGDLFRWVHPGDRDALRRRVAEARAKEGLPFEHEFRIVGVDGAIRWLNARAGPGR DAAGGEPRLVGVVAEITDRKGAEAALRESQGRLSALVDATSYAVFRMSPDWAELRQVD GRGFIPETPTPTRDWLDAYVDPEEQPRVLAAIDKAMRTRGVYELEHRVKRPDGARGWV LSRAVPIVDAHGDVLEWFGAASDVTARKKAEAELLASRARFAAAFRISPDALSISRLA DDLLLEVNPAWERLFGFRPDEALGRTSLEVGLFADPADRGRTVALLREGGGAILNLDV DIRRKSGEVRHASLSCNRIEVDGQDCLLTIVRDVTERRRAEEELLDRQARLSAILNAA TDAIITIDRRGVIESVNPATEALFGYQGAELVGRNIRMLMPVPYDDHHDEYLARCGET GDRRIIGIGREVSALRKDGTTFPIELTVGEIDHKGLFTGFIHDLTRRRELEREVVEAA SQEQRRIGQDLHDTAAQELTALNILAADLAEALQGDPAGNGLLADRLVAGLRRCQRQM RAVIRGLLPVPIFREGLMAALEDLAERTRRDGESSCVFECDEPVLVADNLTATHLYLI AQEAVHNALKHARAGSIRITLTDGGGLTLRVADDGVGMPILREDGAGLGLRIMQNRAA IIGARLSFEDASPSGTIVTCDLGRKPDV OJF2_RS03605 MIDLERRPPIVFVEPRTSLIRLARVDESLGLHLRVAARFAAMAR RFAAEVRVRLDGAEADGKSVLDLMCLGAACGAVLELEARGEDAEAALAALSAQLVAPP AEADGRPTD OJF2_RS03610 MTFPSRDCTIHLPAAGTLMTECPGLSEPSIRAISSSYSQLIEAV RCSRLEASLVRSRLYEILEHIESYVPDELGARRRWMSVRTEIIAKLLAHDE OJF2_RS03615 MRAMVLEEMAPVAEGSTPLAARERPVPRPGPGEVLIRVAACGVC HTELDEIEGRTPPPRLPVIPGHQVVGRVEAIGPGVQASRVGDRVGVAWIHSACGRCDF CRAGEENLCDEFRATGRDVDGGYAEFAKAPEAYVAPIPDNLGDVEAAPLLCAGAVGYR SLRLAGIEDGQGLGLTGFGASGHLVLQLVRHRFPGTKVCVFARSEGERDFARELGAAW AGDTSDPCPESLHAVIDTTPAWTTVVKALGNLRKGGRLVINAIRKEDRDRDALATIDY ARDLWLEKEIKSVANVTMRDVREFLRIAGEIPLRPHVQEYPLADANRALVELKRGGIR GGKVLTMA OJF2_RS03620 MRRAAFLVAAMVVASGARADEPPFAKGLPDRPDYFPIAVWLQDT SNAAKYRDVGINVYVALWRGPTESQLDQLDAAGIRLICGQNRAALRFKDRPTIVGWMH GDEPDNAQSLGEGKGYGPPIAPAKIIDDYHEIRRADPDRPVMLNLGQGVAWDGWYGRG TRTNHPEDYAEYAKGCDIASFDIYPACSTDAAVAGKLWYVPHGVERLRKWAGPDRPVW CCIETTRISNVNRKATPAEIRAEVWMALIRGAKGIIYFAHQFKPTFIEAGLLADRDVA REVAAINRRIRDLAPVLNSPDVPDGVKVEVIGGRADRIATLVKRQGDSAYFFAASLDE KPTEVRFRLPAGVDSSVEVLDESRTLQAPAGGWSDRFGGYQVHLYRSHPK OJF2_RS03625 MRTLMAIVAIVAFALGLVLGIADLVRTRIQAEKYRRKAESAARH EKRSREIDAMDPKTRAREAALAIDDPYLDAPDWNRRMIPWYEKMKNKYDHAASNPREP IPPDDPPPL OJF2_RS03630 MKLRYHRPTFDLLGEAPKSSKAAAAAVAARERELGISFPASVRE WYSLQGVDDLMKKASGLGPFRPIAELGSPREVAHGMIGVQDECQGVAAWYICLDGSDD PPVEVQSEFIAARDESCTIPEEDEDDWPKFGWARAADRFSAFLLERFRAAAGDGSIRA IRKAIGWVGDSVTTDESGRIVFVSFTTGSLSNRQLGVFDGLGSLDYLRVSWARLTPEG WSSLAARLSVGHLDVSGDEFDDRCLARIVAFTGLSGLSLGARSVTDAGLADFLARKPL RKLHLSSEDLTDAGFASIGVQATLEELSLGVTRVSDAGLRTLAGMPALRRLVLSATAS TDEGLEPVTRVPRLRSLNLQSTGIRGPGLRHLAGLPELQELNLRNTGIDDSAAPLLGA LTELQDLDLGNTAVTDAVLDALATLPVLISLKVDGTGVTDAAVEQLRKGREGLRVIGK R OJF2_RS03635 MSGPVLRFATPITRSNRTRRRRRHRRLEMDLLEWRCLLAGGLVA AYGFNEGSGGSLGDASGNGNNGTISNATWATGKFGTGLKFSGATNSYVSIPDAPSLDL TGALTIEAWVDPSSLSSPEANWCAAVAKDHPNSSNDISYAIYAATGTSTPPGAHILVS GGDKGVPASSKLTLNSWTHLAATYDGATMKMYVNGTLMKSQAQSGSVVEVNAPLKIGG DWSGEMFAGIIDEVRIYNVALTQSQIQGDMNAPVDSIPPTVAMTAPSGGSTVSGKAVT LSADASDNVGVASVQFLVDGKGVGAPVASSPYRYTWDTTGIANGPHTLAARATDLGGN SSTSAAVSVTVSNQDVTPPSVGITAPAAGASLSGTTTLSAVATDDQAVASVQFQVDGV DVGPALTSAPYSTSWDTTAVSGGAHTVVAIATDSSGNVATASVGVTVNQQGDAIPPSV AITSPAAGAWAGTLTLSAAAADNLAVRSVQFAVDGADVGPPITTGPYQATWSSSLVAD GPHTITAKATDTSGNTATSSISIQVVNGGQFGSVINMPVDPYDNTAIVPMNVVLLDNG KILMWDGGPDCLGGQSPTVWDPAAGTFTFVPEVTQAEVRDLFCSAQTVLADGRVLVAG GHDCTSTTYIGTAIANVFDPATGTWTYLPDMHDRRWYPTATTLPNGSALVTAGSANNT LDYDPIPEVYDPVANTWTKLTGASQVIPNYPFMFVLPDGRVFAAGSDEAKMASYVLNV ATRSWSVVDPTALDAGSAVQYLPGKVMKAGSSYLSAPADNGGGTPSANTTYVIDMNGA SPAWQQTASMANARTHLNLTILPDDTVLATGGSSDIGGVNPAHAVYPAELWSPVTQTW TTMASMVTPRLYHSTALLLPDGRVVVAGGGHNYYNNIAYPSAEIYSPAYLFKGARPTV TSSPSTLSYGSSFFVGTPDGSSIASVALVRNGSVTHSFNMDQSYVPLAFSQTAGGLTV QAPSDANLAPPGYYMLFLVNSNGVPSIAPFVRLPAGYEDTQPPSAPTDLAAGGSPGSV SLSWGAATDNVGVAKYYIYRSTTPGFTPSGSNLIGTSATTGFVDYVAAGTYYYRVAAQ DAAGNLGPASEEAAGTSLADTVAPTVSLTAPSAGATVSGTVLVSAVASDNVAVAGVQF LLDGQSLGSMVTTAPYSISWGTTSASNGAHTLGAVAYDASGNQASAGTIAITVSNAAP TGLVASYSFDEGAGAALNDSSGNGNNGAIANASWSAAGKYAGALSFTGATTSMATVPD GPSLHLAKGMTLEAWVNPSSLNSPDLGWCAAIAKDHQNSSNDVAYGLYAASGSGTPPS AHILVSGKDYAAQGASVLPLNAWTFLAATYDGSVLKLYVNGNLAGSKSIKGSITSTTD PLRIGGDWSGEMFTGLIDNVRIYSVALSASQILADQSTAAPALAAAPLSASASIQVTP SRQAAMLPAAGVLAITTLSGPSNARARAVVPSTAMQTTGPGTPMPAMVLGEAWKSRPM TLLAPRKPSAIHFGGGGRLWD OJF2_RS03640 MRLIFDGHLDLAWNAMSWDRDLTLDLDRMNRAEAGMTDHPARGR ATTSLPEMRRGGIAACQATLLSRSRPQARKPEGSPRMSLDFPTSDLASAHARGQLAYY FLLERRGLLQMIRTASHLDAHWAAWESGEGEGPAAPPIGYILAMEGADPIVEPEQASA WWNLGLRSVNLVHYGANRYAVGTGADGPLTSDGVRLLKEFERLGMILDATHLSDTSFF QALATFDGPVLASHNNCRSLVPDQRQFTDDQIRLLLERDAVIGVALDAWMLAPGWVRG QTSREVVRLEALADHIDHITQLAGKPTNVAIGSDLDGGFGTEQVPAGLDRISDLQKLD AILSARGYDSAAIDAIFHGNWLRFFRRTLPA OJF2_RS03645 MKSLVMAALALALSSRPSMAADPPGWSVTSPDGKVALTVGRSGE GDAARLVLSASVAGAPILGESPLGIVRDDARFAEGLTLASEGAVVAHESKYTVPHGKR REVADRYNERVLGFKDAQGHTVELHLRAYDDGVAYRYRFPEDGSKATRTVREELSGFR LPEGSTVWANPHDDPGEYTPGYETFWKYEVPAGTPAPKAAGWSFPLLYKTPAGRWGLI TEAALDRSYCGGRLAGEAPGGLYKLRFPDAGEGNNTGSVEPSWTLPWATPWRVVIAGE SPATIVESTLVENLNPPSRVADTSWIKPGRSSWSWLSDPPSPQDASKLKPFADLAAEM GWEYTLIDANWDIMRNGTVHDVIAYAKSKGVGVLMWYNSGGPHNYVTERPRGLMDLRK VRRAEFQRLAEWGVKGVKVDFFQSDKQNVIALYQDILEDAADFKIMVNFHGCTLPRGW SRTYPHLMSMEAVRGEESYLFDEKYPAEAPRHNAIQPFTRNAVGPMDITPVMLRDNRY KRLTTAAHEMALPIVFETGILHFAGTVGEYLELPPVPKEYLKSIPVTWDETKLLAGEP GRFAAIARRKGSTWYLGVINGEGQPRELSLDLKPLGDGTWKATILEDGESHSVPLDRK ADVASSEPFRISLKPNGGLAAVLVPGPGR OJF2_RS03650 MSTPVTRSPRPEIVYPDSDGEPMADNNLQYEWIVTITGNLEILF RDDPNVFVVGNMLWYPIEGDNKTRIAPDTMVAFGRPKGYRGSYMQWIEDGIAPQVVFE ILSPGNSGPEMTRKREAYERFGVEEYYVYDPDEDILTGWIRRGDRLVAIPDMESWVSP RLEIRFDTTTRPMTIYRPDGKRFLTMSELAVRADEAVEERDAAVRQRDEAMEERDAAL RERDLLLAKLRQLGIEP OJF2_RS03655 MSYGGNADPTGIQVTFLDQTGSKSVKAVIAYTVPVSRIIPNIIT KMNLPATSPDGQPMSYALDHKEGGRRLLENWTLVEAGIQNGDHLIVYPEVVAGRP OJF2_RS39900 MYESPRIRRLKNDLAALERLAAESSIFRFQAAGKPPNAYTITFN GKGLSRDRGKVRVVHSHKVEIKLGVSYPRTIPELRWLTPVYHPNISEIGMICLGGYGT HWVPSVQLDELCTMLWDMARYHNYDIRSPYNRDAALWVANQSAILFPTDPRPLRDLRA AQGRVAEPAGANGRQADSDDARSRRRGLFRIGSDSGPPTPIERVRRFMEGYGWSRSED ESMPRPSPGPDGLAARDGEVWQSAGAGPIEGLAIDESAAAPAPPGTPVEEAPPPSPSG GDQTPASAPPPAADPPLPADEPEPVLILDWDAPTPAASPRPSPGGEEILFIE OJF2_RS03665 MKTDQPAASPTPAGADAGAEAESAPLRIDDDDRYGRLRLISWWR QERLAAARILVVGAGALGNEVLKNLALVGVGTTYVIDLDDVDTSNLSRSVLFRAGDSG SSKAEVAARRALELNPEIAIHPIHGDVITDLGLGLFADVDLVVGCLDNREARLWVNRQ CWKVGTPWVDAGIQEIQGVVKVFVPPDSACYECAMTERDYQLLNLRYSCPLLKRDEIL AGKVPTAPTIASMMAALEVQEALKILHGMPVAAGSALVFNGVANQFYATRLPRREDCL SHETYPEPTEAPLGHADSVAEVFELARRPHGDEPGLEGPLSLALERELVAALDCPRCG WHAAVNRPRTKVRQSEATCPNCREAARPEILSSVEEGSPHAAGALKDAGVPAYDIVRV DGAEGSRFFLLAGDRPAALRGRGLDLKYDGETGR OJF2_RS03670 MSGDEMSFEEVRYREPVRMLRPDRDVQFACLSYQVPGQADLPIF VDHRAADAMERHALSDTSVELGGILLGKECVDERTNTPFVWVTQSLEAKHYANTQASF TYTHDSWEEISRERDRKFPDLDIVGWYHTHPSFGIFLSHHDLFIHEHFFAQPLQLAYV IDPIQQTRGFFQWRDRRMVQVEGFYLTADRGDRMDLARVANEIENFPSPQGQGGGGLS PRLEAELIKMLSRPAAPAYVPSPADRAQAAVTFGLLGMLLGMIGIIGALWMYQLNARM QEQADTLKTLAGAVDRTAGSQRLAVDTLLDRAGKESPADFQERYERVGKARDEARAKA AAQEAVIVALGERTKDLEQRSAKLAEDLAAEKEKAEANEADAKLTRKLRDRVADLESD HDRLKAISETVEGKAADEALRRLGVFKTATYALGFLSLALAAALAIVYTRSIDEPASA PSPTPPPRPSEPTTHRIT OJF2_RS03675 MLAPHATAEPPPTPGASTAAAAPGADELTPVEVPAPTEKALRYY EGNMRLWAFHVAWSILVPAAIFFSGLSARIRDLARRIGRGWLPTVAIYLVLYLGLNAA LDLPFDYYAGFVRQHAYGMSNQTFAKWLTDLVLGLGVDMLGAAAFGWVPFLLLARSPR RWWLYVAILWVPFLFFVVLIKPIWIDPLFNTFGPMKDPALERSILDLASRAGIEGGRV FEVAKRVDTNAMNAYVTGLGGTKRIVLWDTLIAGLGERELLVVMGHEMGHYVLGHVLR TILLSSLVMLLGLFLVDRVGRAVLAKFASRAGVHDLADVASVPLLALLIAVNGLLLGP IAMAYSRHQEHEADRFALDLTHANHSAGMAFAKLQRENLGNPRPGPIYTFFRASHPSI GDRIDFSNAYHPWADR OJF2_RS03680 MDLRPSKASMSRRPGRSRRAFRPDADGLPKRELLSGIPLADGSL AYVNTSHELYVTRGGQQVFQTGGVVALDPLPGGKAAVLLGNQQLRTFDGQHWATLSGG ITSIAPLPGNKIAALPSNGDLQAYDGSRWSDLTWGVKSLASQSDGSIAFTLTDGVQLG YDGRRFGPLTTDVQATAPLPGGRLTVLRADGRLEAFDGQGWTTRASGVKSIAPLPDGS VAVHSGAWQIQTFDGQRWTTQTGGASQMLPLPGGSVAVLLGNQQLQTFDGKRWALVSG GITSITPLTNGAVAALSANGNLTMVDGKDQTLLAGKVTQVVLANGGTLYYANSLSGLY RYDAGTRTTTYIAGKVAQMGVANGGTLYYLNGSTHALLTYSPTGGEALVAGGVARMSV GGDGTLYYLNLTTRRLLTYSPSAGERTIGTGVVVGGDLFALIAGDKQVYRYSQGAAPA NVSPYDAFSQIGVDTSGNLYALSATGHQVFRYAGGTGWTNVSPYDAIGQIGVDTSGNL YALSATGHQVWKYLGGTDWTNISPYDAYGQIGVDATGNLYALSAISRHVFRYAGGTGW TDVSPYDAYGQIGVDASGTLYGLSAVSHQVTKYLGGTNWTNISPYDVFGGIGVDFDGK LYGLSATSRQVVRYSGGTSWTNISPYDVYGQIGVDATGNLYALSGTSHQVFRLDPGAA WTNVSPYDVYGQIGVDAGGNLYGLSATSHQVARYSGGVIWNSASPYDNYSQIGVDATG ALYGLSSVSHQVSRYAGGVIWTNVSPYDVYSQIGVDAGGSLYGLSMMSRQVGRYSGGV IWTNVSPYDVYSQVGVDAVGALYGLSITSHQVARYAGGVNWPTVSPYDNYSQIGVDAL GALYGLSSISHQVSKYAGGVTWPNVSPYDIYNLIAVDGLGTLYGLSATSRQAVRYAGG VIWPSLAITADQITTLGGSLYALSDGDHNAYRFAGGTSWQASDNSNFRIFIPEGTVVS YADGTPGLLIVQQGQTHPITASDWKALGSPAVQGLPPSRINALPRGANYVLPPALLSS ATTPVPLADNSKVVVGVTGQLSRVLANGQSISLAQLPSFVHVAKVEASLNQTTGAVSI TLDDVQLDLDALERNYLNPILVDIQRLTKPLESLAEFLTKPLPVVSYLTDLAHLPTIT LAGLLGGKVSAIANGIEAINACKSFGGDGPINLGNISLTVSAGSTAALTGRGSFSLAS MLPGPLGLAIGQLRSGGLVFPIFDDALNLFRIAIGQEGINLFTFTESLPSLRNTISLP IASNVPTPIPGISASVSADFSYAFAAQVTVACAGSALINAPGRLADAIRIQNASLTAD ASLALKGSLSVGYGGFSILTPQITGSLDAHVHANLVGPGGASGVTVTQLLNRAASIQV GGQVDGGFKGSISYLAPEIHYAKVNTYLWGWTWVPAGIRMAKRQVDIFSDSVTLIRF OJF2_RS03685 MMFLIQAWPVTLAAAVLAILPPAPGRRDSGPVWPNAESRANSDP WIAANHDRIREMRPSVLVLNFVNGLSPAGARAQAERLAALLEEGSRYHGHADPAASAF LRYRVFKVVDLTDPGHGRGRPLANSSAYPRVAGWDGGDFGNFRYAELFGGRFARRYGV ADPDRPGAWLDLKGLVDRGIVHEVWMVCIHEKTGGPFESTEVKQAYDERSAKVPGRWV QAGNGGSPDQPFIGRSLRIAFLNAERGPGCALESLSHSMEGMASSGAIPYFTRYFNEY AGFDLKERYGLPFRSLYGREPGTEVSYPAPTTLRYSWGGSSRTLDGYRPAGGNVHFMP SGRRDYDLDNPATVLSTAEHFRLGDGADGRDRAEPWTGERFARFRECAGDCMGPWLVY WRQNMPGLDNPARDDQGKPMKNWWPFLFY OJF2_RS03690 MSRPDASGHGPSDLSRFERIVEACDRFEGLARSGELPRIERFLA EVADADRPALFRELLALELELRRRRGEAPPAADYLDRFPDSPATVAAAFAGHEGVTEP EAAAGARREAADVGPPEIPGFEALSELGRGGMGVVYLARRSGLGRPCALKMILAGRHS GPEAAARFLAEARTIARLRHPGIVQVYGLGEHEGSPYIELEYVEGGSLAQAMDGPPRP AREAAELVREVAEAVGEAHRQGIVHRDLKPANILLTSDGRPKVADFGLAKTLGAGDLT RTRSVLGSPSYMAPEQADGASRDVGVAADVYAMGAIFYEMLTGRPPFRGATVLQTLDQ VRNAEPIPPTRLQPGLPRDAETICLKCLSKEPGGRYATAVELAEELRRFVSGRAIRAR PASAAERAWRWGRRNPVVAGLLGTLAALIVAAFLVVVTLWRRAEGLRAEADSHRIDAE NSARAAATEHRRARAESARLALERGIQDCENDRIGPGLLSMALAVEAGARAEPRLERA ARLNLAAWGRQAHRLRRVLEHPAHPGGLAVRPDGRVLAVAGDDGRVHRWDLATGLPLG PSIGPGLPRRVTDLFFGVAFSPDGRTLAAAVGDPAVRLWDAATGRPAGVALDHPSPAF AVAFSPDGTLVGTGDADGVVRLWDAATGRRIGPKMRHGAGKIKALAFSPDGTLLASGG ADATARLWKVSDGAAASPPFRHGSHVNALAFHPDGRLLAAGGEAREILYWDIATGRPA GRPPGLHLVCRSLAFSPDGRLVLGGFVDNTARVWELATGRPLGQVLMHDGWVTGVAFG PDGRTLVTAGNDAAVRVWDLADGPAASAPRAFPWTASRAALDPRRRAVLIGDHARDES LFLPLPTPGRGPLAAASATLGTFFRAAAFRDDGALAAVGKLDGTIEFWETDRGRRVGP VIRHAGGQHNLAFSPDGGALLVGGTEGAGRCYSAATGEPSGPLVARHRQWIAAAAFHP AAAVAATAGTDNVARLWDVATGAAIGPPLTHRGWVYGLAFGPAGRLVATGSHDMTARV WESATGRPVGPPLAHPAVVVAVALSPDGGLLATGCRDRSVRLWDHATGLPVGPKILMD SDPESIAFDQVAGELLACDIHAVRSLTLPSPSTAEPAPLARRLGAAIGIVPSEDLGYW PIGPARWGRDHAPGDAEEVFRPRMAAADWHERESLAAEQASDWFAARWHLDRLLAGHR GAPREASAAEWQARRALVLLRLSHAEEAWRDLDAAGPDAAEDLPGLAPALADLADGLP ETASGTAEGAYRRAVGLFRRRIESHADDVSARRGLMLAANNLAYLLCKRGRAAEGEPL ARQAVTLAEADLPADDVRLGFYLDTLAEILDAQGRVADAEPLLRRAVDLCAKLPAESP ARAEVASHYASLQRRTGMTEPAGAAAVRR OJF2_RS03695 MADRGEGSVTVWIGHLKAGDPLAAHHLCDRYFGRMVGLARRRLR GGRPVGAAEDEEDVALSAFQSLCQGAAQGQFARLDDRDDLWQLLVVITSRKAIDQVKR GCRQKRGGGRVLDESALVGDRASERAGGLDAIAGDETDPAFLAMAAEECQRLLDALGD DTLRQIALLRMDGETGEAIAGRLGCSLRTVANKLKLIRMKWERDAP OJF2_RS03700 MPTTLAAILGLGLLAPSAHTFAQGPGPWNPLGPNPYGPRMFGRG FQEGMAIGAGGFVAPHPVTPQEAWGIRQGIRAEQELLIRQNIIARQQQALARQAYEQQ ARLAAAAHHEQILERQSYLRREIPRMHRRLEEMAPDAHVRRWWLRGEIDRLQAELEGI GDQLAAGR OJF2_RS03705 MPQLQPEGHAPGRFPTTQWSRVVTAASRDATEAREALSGLCEAY WYPIYAYVRHRGYAPEQARDLTQDFFAYLLERDLIARADPARGRFRAFLRTVCARSLA DRRDRENAAKRGGGRPVLSIDPGDAERRYAREPAHELTPERIFDRTWALTLLGRVVER LRREYDDAGRAARFAELIAVMTRDPGSASYAEVAGRLGTTEGNVRVAVHRLRGRYGLL LREEIAATVGDAAQVDDEIRTLFAALGG OJF2_RS03710 MIAAETCPDCDAPLPADAPAGLCPRCLLRLGAALPEDPPGRPGL RDATGAGEPRDGSRGALMDGGPRIHLRETVEDAPLIRPAWPGMPDAPGRPSRYQLVGE IARGGMGAIFQGRDLELGRDLAVKVIREEHRDDPEMVRRFVEEAQIGGQLQHPGIVPV HELGRLPDGRVFIAMKLVRGRTLAALLAARRGPDDDRVRFLSIFERVCQAMAYAHARG VVHRDLKPSNIMVGAFGEAQIMDWGLAKVLDQGGVADEGREARPRDDPAVWTLRSRSA AMESRPGSVLGTPAYMAPEQARGELDTLDERADVFALGSILCEVLTGVPAFAGESGAE AYRKAERADLSEASARLDACDADAELVGLARACLAAAPKHRPRDAGVVVARLTAYLRG VEGRLREAELAQARAETRAADERRRRRLTLALAASVLAAVGIGSAGWGRIERERRSRE ERTRGAVDAALADASARRQRALAAGGDPVPWIEAVEAARRAESALASGDAGDASGARV RAFLADVTRERDAAEAAEKDRRIVERVAAILNDFGVHADDRRADAEYDAAFRAYGLDL DATDPAAAGRALATSPAAAELASALDQWAFLRRGRTLRDAAGAERLVAMARAADPDPW RDRLRDTLGRREGGPARRVEALERLAATADVEHLPVTSVTRLAASLAFLGRRGTAIAL LRRAQASHRDDFWVNADLARELMATGRAEDAARFFSVAAGVRPRSGLALAGLGKALLQ AGQPAEAADAFREVTRLRPDDGPARVALGAALLALGEPQEADLEFAEARRLRPDDWSV RDQIALAHSERGEWADAVDEQRASARRFPNLPVAHKALAHALQASGRLDEAVAEFREA ARLDPHFSAAHLYLGRALIEAGEPRAALDSLARVEAGPPPDPVLTAPSLIARAERMIA LEARLPEVLAGRDRPSDPGDLAALAQLAFARRRHAASARLWADAFDASPALAADLAAS NRLQAARAAAMAGAEGGAGGAPSGGRSPAQWRQQALAWLEADLAASSSAIDSSGVPQR AAVARRLGRWLVDPAFAAIRDEPIPGAMSESERQSLRGFWGRVVALRARATSADAEGG AAPGNPWARL OJF2_RS03715 MFHGNLSRRGFLQHSLGVLTAAGLPTWYARQLLAAQADGSRKSS ANDRLTMGIVGVGSPASRSLQVVGESSPSVKSGQLTFTLGCDVDASHRKHATETMRKR GFKEFEAKTGDFRELVHDKSLDCVLVATPDHWHAQVAIEALKAGKDVYCEKPLTLTVA EALAVQKVVAETGRILQTGSQQRTDFRGLFRLAVELVRAGRLGKIQTIECRLNGSPTS GPLPAVPVPEGLDWDRWLGPTPKVPYRKKGNQSNCHYEFRWWYEYSGGKMTDWGAHHL DTAQWALNKDGSGPVAVEVLKAAEPYKGGDGYDCHPSFQVQYTYDDGTKVIAMSGGGT DMGTKLVNKDGKVPEQRFGPNRGKPMRVGPDENGVLFLGEHGSLFVSRGTILASDAKI LSEPLKDDPKVYDGRPTNQMQNFVDCVKSRKPPIASATVGGSSVIVCHIGVIALRTGK KLKWDPVAHRFDDAEANAMLSRPRRDPWQLPV OJF2_RS03720 MRAASMGLLLIPLVAGRMAPAQTPPAQAAPSPATAAPATPAQAA PAVEDFKPASSNQGGKDYPQVNSERRARFRIVAPQAQSVKVPEWGGVNLTKGEDGAWV GTTRPLDEGFHYYRINIDGAEVPDPGSKPYFGAGRWGSAIEIPAQDEEFYAVKDVPHG QVRRTLYFSKSTNATRRCFVYTPPDYDKDTTRRYPVLYLQHGAFEDETGWGDQGRANL IMDNLIAAGKCKPFLVVMDNGGNNFAGGPRRGGPPAPGPGRPGPGPGGPGPGGPGPGG RPRFDFSGFAKIMIEELIPFIDANYRTLADQPHRAMAGLSMGGAQTRQITLANLDKFS HIGLFSGGSIAANDPALADPSAFKEKVKVLFVSYGSRENTAAAKANHEALEKLGIKNT YYESPNTAHEWQTWRRSLYQFAPLVFQD OJF2_RS03725 MCHRSPGHGRGCSHLRALGLAMLALLGGRSVVADGAAGPDEGFV GDHCVACHDGATRKGQLDLTALKFVAGDPASRAVWIKVHDRVKAGEMPPKGEERPDAG RQAAFLEGLARAIASSERAELAGEGRATQRRLNRQEYENALRDLLGVPWAQVASRLPE DGEANHFNKSAEALDVSYLQIARFMDSADYAMRQAMARHLNRPPKATRRLHARDEPSL RNWVPRENGTLPDRLSFPVLDSHAQPDVRAGRAPATSPAMREREAVGRVSSIFSDAGG YGWNGWRAPAPGRYKLRIAGYTIWVAGGGVARWFYEGQGAAKAPVYHTLLWHRPNLDE VYPGRRDEPIGVYAQGGGQTRPIGGVDFTPKSTVSEIEVDLLAGEVIRTDGSRLFRTR VNGTDEQYVNPLATEDGMPGYAVQWIEVEGPLDAGPDADVGYARLFGDLRLVPSDPTR GGVSLEVGPADPGPAPGPGGRRGPGPGMGRGGPREALFEVESSAPRHDAERLLRSFLK RAYRRPVEEGEVRRFLALYEDQARKGAGFGRSMLAAYTAVLASPGFVFVEEKPGRLDD RALATRLALFLWNSAPDDALRDLADRGELARPEVLRAQAGRMLDDPKSRRLVEAFTDY WLDLRKIDDTAPSTTLYNDYELDDPLKLAAIEETRLFFAELLRGDLPARNVVDSDFTF LNGRLAEHYGIAGVSGVAFRRVELPPESLRGGVMTQASVLKVTANGTTTSPVIRGHWI TERILGLETPPPPPTVEAVEPDIRGAVTIRQQLDKHRTNFSCASCHRKMDPPGFALES FDVMGGFRDRYRAVSEKVAPVKGYGINGQAFAFHLALPVDSAGALPDGRPFRDIRELK KLLAQDERLIARNLARQLTAYATGAPVRFSDREAIEAILDATEGSHYGLRGIVLAIIQ VDLFRNK OJF2_RS03730 MSPQIGPESAAPFASRRRPISRRRFLRGPAVAMALPLLESMRAP FARATEGVGPGAPTPRRMLCICNNLGVLPKPFFPEGTGRGYTLSPYLKHLEAHREDFT VLSGVSHPYVDGGHPSDICFLTAAPHPASSSFRNSISLDQLVAERIGTLTRFPSLTLA VNGGRSLSWTRTGVAIPPEGRAAAVFNQLFLQGTPAEVQRQVREIDAGRSILDMVSEQ TRELGRNVGARDRARLDQYYTSVRDLEHRLQESRGWAERPKPTVKARPPVDPSSPAQY MAKVQVMYDLARLAFETDSTRVITLMLNSVGTPVVQIDGATITDSYHNLSHHGMAEDK LAQLKTIDEMHMKRLAGLLGGLESTREGGATLLDRTMVLYGSNLGDANAHSTTNLPTL IAGGGFRHGQHLAFDRARNYPLPNLFVSMLRRMGIEEAGFGPSTGTMTGLEMARR OJF2_RS03735 MTHARVLFLALLGGLGVPADGPTVITFDAAEAGKPMPSYTDRGV AFAPAHPPKKSRAAGRVMFFPHLKTPRKGILNAIANESIPVEVRFPKPVASVTLTLWG AIGSGAVVEAYGADGKVADRASRERVPERTGPEQPIPSFDLTVKAPAIAYVRFSGAPP GGYLVCDEVRFTPADAPASPPDSTQAPPPPR OJF2_RS03740 MAAGLSGEGAFLRFWSAQAISAVGSRITTTALPILAVMTIGATE EAVATLSAIAMAPGVLVGLTMGGHVDRRRKRPLLIGSDLVRAGLLLTVPAAAWLGVLG MGQLYVVAAINGAAYSLFAIADNAYLPTLIGRELLVEGNSKLETTEAIAEISGPALGG ILVQAITAPLAIVLDAVSFLASAVLLASIRRDEVPAAGVEDRPTALGDLRFGLRAVLG NPLVRPEFLAEAVASLSSGAFVGLYTIYAIRTLGLSPAGLGAVIGCGGVGALFGAMLA GRLARRLGFGPAMVACLAIGRAAGLLIPLARGPEWLAYSCLVGHQLVGDGFLVASSIL AVSLRQSVLRQEALGRANAVFHVSSGLLLPLGAVVAGLIAAASDARTALWIGTLGGLL TPLIVGLSAVAGLRAIPIQPAAHVPRTITAGAEEPA OJF2_RS03745 MSRNGVQDEAAAGPEKRWARRKHARPGELIAAALDCFAERGFAA TRLEEVAARAGVTKGTVYLYFPNKEELFKAVVRESLIANLEKALEGVAPAGDDPEARL RRLVDFMIGKVLDSPLAVIPKLVIAEASNFPELAKFYLDEVIGRGRRHVAAAIREGIE RGQFRPVDPEHAFFSFVAPILLAAIWSRTFGPVDDRPLDGPAMIRDHLELFFRGLAPG PATTARASETKRRKR OJF2_RS03750 MNGTLAVERPPAARGPQAPGPGQSGPPAPPPSPSRHGPPRIVIL LLVLAAAGGGAWSFGFLDVGKIRDRLPWKAKEDRSTIVMLGNVDVRQVNLAFKVDGRI QALAVDEGDAVKAGSVIASLDRRYFDDEVRTAKARRDALAATLARLEHGSRPEEIAQA RALVDQRAAEVHRAQVDFRRSENLLERQSVSRQEYDHDEAAAREAVAQLAYAREALRL AEIGPRVEDIANARAQLAEADAELVRIDRRLADSRLIAPGDGVILTRAREVGAIVQPG EVVFTLTLATPVWVRTYIDEPDLGDVRPGAEVEVVTDSRPSSPCRGHVGYISPTAEFT PKTVETPELRTQLVYRVRVVVDNPDGGLRQGMPVTVRLPRPRGEVSTP OJF2_RS03755 MSYADAPLVIADALTKRFDAASPPAVDALSFSIEPGRVTGLVGP DGAGKTTLLRLIAGLLLPTSGSVRACGLDTRTGLEAIRRAVSYMPQRFGLYEDLTVAE NLALHADLRAVVGEERRRTVARLLEFTGLGPFTGRLAGRLSGGMKQKLGLACALVRRP DLLLLDEPSVGVDPISRRELWKLVYDLVDQGIAVVWSTAYLDEAERCGSVLTLDKGRI LYDGPPRDLTARVEGRCYLVRGAEHRRRVLASAMRRPGVVDGVIQGRSVRLVMARGQS PPAPAELEGAGEHAEVVATPPRFEDAFVNLLGGGPKEESPLAGEVRGPSAGEGPVVEA RGLTKRFGAFTAADRVSFRIGRGEIFGLLGPNGAGKSTTFKMLCGLLKPTEGSARVAG ADLARAGGAARARLGYMAQKFSLYGDLSTRQNLDFFSGVYGLAGARRRAAIDRMVGAF ELGPYMDSGAGGLPLGYKQRLALACAILHDPPVLFLDEPTSGVDPLTRREFWSHINAM VERGATVLVTTHFLDEAEYCDRVALVYRGRVIAAGSPDDLKDSVRTAANPDPTFEDAF VDLVAAFDAGRGAA OJF2_RS03760 MSGIAGAGASLLRVRALVRKECLQILRDPSAFLIAGALPLLLLF IFGTGVSLDLRRVRLAVVVEQPSPEASSLVDAFRNSPYFDVRFARHRGEVDVDLVDGR LAGIVVLRGDFAERLGRGEEAPIQVIVDGSDPNTAALVQGYVQGVWQGWVVQESTSRA SLADRPTAHPRLSAEPRFWFNANLDSRASLLPGAVAINLTLIGTLLTALVVAREWERG TMESLLSTPARRWEMLVGKIVPYLGLGLIAMAVSVAAAVWLFDVPFRGSVGALVALSL AYLATMLTLGLLISTKTRNQFVACQAALIAGFLPAFELSGFVFEIDAMPAPIRLLTRI LPPRYFVSSLQTIFLAGDVPSILIPNGLVLLAFATLFLTLLVRSTPSRLE OJF2_RS03765 MLGRVRCLIVKEFLAVWRDKKSRIILIVPPLIQMTVFTFAATQE VKDVPVAVYDQDPGTSGRDLLMLFEGSPNFSKVIRVRSDAEAARALGDQDAVMVVRVG QDFSRELAAGRPGKVQLLLDGRRSNASRVLSGYAAEIVARYDARLAAARRGPPPASTV VARAWFNPNLEAKWSTVPALVAILSTLMGLMITGMSVARERELGTFDQVLVSPLSPTE ILIGKSIPAMVIGLGEATGMILVGVLVFGVPFRGSIPLLYVSIAVYLSALIGVGLLVS SVARTQQQAILYSFMFMVPAMLLSGFATPVENMPDWLQAVTLANPVRHFLGILKGLFL KDLPAAEVARRLVPLLIIAACTLTSASWLFRRRLE OJF2_RS03770 MKRTRRVVGKAPDLIYEVTEEFLPGGRFRTLSIEGNVRLTPGRN PHSGNYRSPFDHHGHLIADEFGGPGDADSGNIVAMHGHANNGAGGEYRAMERAVRQLL GNQTGRMRVEVGYKGTVDERPHVFEIEVWFANGMRSRWKVFNFYPYLPNPSRAR OJF2_RS03775 MFDRLIDASLRNRPLVLLVLLALAGSGAYALMRLPIDAVPDITN VQVMALTNAPALGPEEVERFITVPVENAMNGIPRVQEVRSFSQFGISGVTVVFEEGVD IYWARQQVGERLALVRSQIPAEYGQPEMGPIATGLGEVFQFEVRNADDAPTRRSLMDL RTILDWEVARPLKSIPGVVEVNAFGGELKTYEVRLDPQKLMSRGISVNRVFQAIARNN GSAGGGYLERNGQQRVIRTVGLINDLDELGDVLLEATPSGTPIHVRDVAEVRFAPMIR AGAVTRDGRGEAATATVLMLMGENSRVVVDRIKEKLAEIQEGLPEGVVIDPFYDRAAL IERTIATVSRNLAEGGVLVVAVLLVLLGNLRAGLIVALAIPLSMLFAGELMLYFGVAG SLMSLGAIDFGLIVDSAVIVMENIVHRLSHARPGEAAAEVVRAATREVRKPVVFGVGI ITLVHLPILALEGVEGKMFRPMALTVIFALSGSLLLSLTATPVLASFFLRPGASERET LPIRLAKRAYRAPLGWAIRRPIPVALMAIAALAATVPIALSLGGEFIPKLDEGDLVVV LVRPPDAALSEGIQDTTHFERALREAFPDEIKSVVSRTGRPEIGIDPAGVNLTDVFVL LQPPERWTRVHDRDGLIRAIEELGGRVLPGTFLAFTQPIELRFNDLLAGVRADVGLSL FGDDLGVLQEKSNALAEVLRSVPGASEVKAQSLGGLPFLQIDIDRDRIDRRGIDGAEV LDVGAALGGKVVGQVVEGERRFDLQVRIAPEYRQDVDAIRNLLLTTSAGKQVALESVA DIKMVDGVYEVWRKDRRRRAMVQCNVRDRDLASFVAEAQRRVAAEVTLPRGYRVEWGG TFENLQSATRRLTIVVPIALSLIMLLLYATFGSVSLAMLIFLSVPLGAIGGVLALWLR GLNFSISAGVGFIALSGVAVLDGLVLVSAIRQLVEQGTPVLRAVGEASMARLRPILMT GLVASLGFVPMALSHGSGAEVQRPLATVVIGGLITSTLLKLVVLPALYAWFDPGAPSP RADGPAPEVG OJF2_RS03780 MPDVVLLLALILAHPATPATAPAGSARDLGGSGVLACGPSTDAT GPRDVRAAGMARAASSGMLSAWDDEVEIPDEPEDDRPDDPGDALDPGRSRVEPAFSPA PSALVAGPSPLGATPVVRDATARGPCFVHLCRLLI OJF2_RS03785 MNAPLGDPEAGDRRGPAGPATEDEATGDTAMLELIAVMELLDRA GREMGRPGGGPGAPDALGDFSIVRVIGRGGMGVVYEAVQRSLGRRVALKILPAAPDDP RKLQRFRVEAMAAACLRHPHIVPVYLTGSEGDCHFYAMQLIEGRTLAAVAASRPPHRE AAELGRQAALALQYAHEQGVVHRDVKPSNLLVDESGWLWVGDFGLARMAGQSDLTQSG AILGTLRYMSPEQAAGARSVVDHRTDVYSLGATLYELIAGRPVFEADGDSRLGLLRQV ADGQPRRPRLIDPSVPRDLETIVLKALSKDPAGRYATAGEMAEDLGRFLEGRPILARP PGPLDLAARWARRNRWAVAAGLAAVLAAILAAGGLVVWRDGMLRGHNRELKEALSRAE RNEQSTRRLLYDSQMRLAQQAHASGQAELAQEILSGLAPGADGLDLRGFEWRHLDRAS RRDVSVLADHEATAMLAAPGGRLLASGGGDGSLLLYDPAAGREVARVPAHPGEVSGLA LSPDGRVLASWMNGGDGPGEARLWDAADGRALATFPRAGAAVEGVAFSADGRTIAVQE RGMKGDRSRNAARFWILAGGAVRPSPAIAPIPCDRMAYSPDGRWMATAGLDGPVVTLR DAATGRPEATLMHRQPEVGGLAFSPDGRTLASFSWMITFWDVPSRRELGSLPIPMLKH GEFSADGGRFAGDPVLGSDAVLIADIRKAPRRIPLEGILGEGLSVALSPDGRQLACGG IKQGAAIWDAGTGRKRAAYPAGAGTVRCLAFTADGGSLVFKAADGRLRAWHLGRSSES FTRIAAHRAEVWGLAFSPDGSTLATSADDHTIGLWDAREGSRTAVLKGHGALVAGVAI SPDGHTLASAGFENKVRLWDLPAGRPRAVLSGHTDRVRAVAISPDGRLVASAGSDGTV RLWDAAHGRPMAEFSGHTDTVRALAFAPAGPLLASSGNDRTIRVVELPGGREVASLRS PRQVGSLAFSSDGALLAVGDDWGNLSIWDAAAWSRRRLVKGSDAAVWGLAFSPDGRTL AAACGDAKVRLWDPATGQMTLVLDGHAKRVNAVAFSPDGRTLASASHDGSVILWRGSR DDPR OJF2_RS03790 MGLDGRETEELLRRVAGGDRDAAGRLLERHRGRLRRLVARRLDR RVAARLDPSDVVQDAMGIACLGVSGFARERPVPFSCWLRRQALTRLGWLHRFHLGAGK RSAAREACERPAASGSVGPTSPSPPDPRTGPSEAAARDDECELVRSVLARLAPADREV LTLRYIERLSMAEIGVRLGVGADAAKMRHARALRRFRRRLEGDAGGPAS OJF2_RS03795 MSRVIQVVDSPARAAAVIRMTVPQREMPSVMKPAIDEILAVLKA QGVKPAGPLFNHYLSMDSGLFDFETGFPIDAPIEPSGRVRPGELPAAKVARTVHFGPY ENLHHAWGVFTALVRSEGLTPAGGLWECYQEGPESGPDPSNWRTELNLPIEG OJF2_RS03800 MPVQIATFLMFEGKAEEAMTLYVSVFAGSQVISVEKYGPGEPGA EGSIKVAEFALAGHRMKCIDSPMKHGFTFTPSVSLFVDCADEAEFDRAFATLGEGGGV LMPPGNYGFSRKFAWISDRYGVSWQLNVP OJF2_RS03805 MRTTNLLAAMVAASALAATAGAGDVPPPAPHGPTPSARQLAWHE MEFYGFVHFTVNTFTDREWGNGDESPAVFNPTDFDAGQVARTAREAGMAGLILTAKHH DGFCLWPSKYTEHSVKNSPWKGGRGDVVREMADACKAQGLKFGVYLSPWDRNRADYGR PSYIGYYRDQARELATQYGPLFCVWFDGANGGDGYYGGARETRRIDNRTYYDWPNTWA MLRTLQPMACFFSDAGPDFRWVGNESGTAGDPCWATLDMTRPGRHPGGTSVGLNSGER PGTAWIPAECDVSIRPGWFYHPSEDAKVKTPDQLIDIYFKSVGRGASLNLNIPPDRRG RIADPDVESLRGFRAILDATFAKDLARSARIVASNVRGGSGAYGTANLLDGRRDTYWS TDDDAKGPELTLDFDSPVAFDVISLREFLPLGQRIESFALDRWADGAWREFARGTSIG SRRLVRVPPVTTTRVRLRLTSAACPALAELGLHASPAAGKPSK OJF2_RS03810 MAISPRCNGFATLSPLRSPFPWFAAHDASRPRQSGERRAGGAHP QAGRLAREAGRPGRGASGEGRRADAVGQAAGEHPVGSVVVLDDAILGEGVVAGAITGH AETLACQVALDAAGRGDLAGAALDTTAETCFICGYAIPELRVGLVVCGKDAPIIEAVT SAHPVLTDPALDGWRLAPAVIGGELREECEGLKRKPGA OJF2_RS03815 MSFQAYLDNIQAKTGKGPSDFRRLADEKGFMSGGKLRTDIKAGQ VTAWLKEEYELGHGHAMAIVALLKGTKKEGDA OJF2_RS03820 MTDLDPKTDLVLERVVDVAPELVWKAWTTPEYLKRWFTPAPWKT VGCEIDLKPGGKFHTVMESPEGQQFPNTGCYLEIVPDRRLVWTGALRPGYRPANLGAD VPFVFTAIIEIEPHEAGTKYTATVLHSTEDGRSKHEAMGFHHGWGAALDQLVALAKTW OJF2_RS03825 MPNQVAQLNLVFQALADPTRRAVVERLSRGPTATTELAQPFRMA LPSFLQHLDVLQKCGLVQSRKSGRVRTYELAPETLMAAEDWMATQRLLWKRRLDQLDS FLQGLKEPPE OJF2_RS41105 MEAVAEDIEVDRKLRALAEDDANHRAGDGGGPRPLHQPDT OJF2_RS03830 MSIIPMKHGDYRSETDSQRTTAPERGGAEAGDPARVGRYRVVRR LGQGGFGRVYLAHDDDLDRPVAIRVPNPDRVASPGDVEAYLAEARALARLSHPSIVPV YDFGRTEDGLCHVVSKYIDGSDLAERLRGRPPSTRESVEPAAAVAEALHHAHTRGLVH RDVKPGNILIDASGQPRVADFGLALKDEDYGKGARLAGTPSYMSPEQARGEGHRVDGR SDLFSLGVVREQPRMRPGRPGHGRIRAVAARPRGEPARTSSARDLYGIVPARRPAPGA IGGPGGGAGTCGGGGRDRHESR OJF2_RS03835 MPLDYVQSFLTRRPGWVVAAWLGLAAAVGFASPSLTRLAAEGQS KLLGPEAESRRAADLVRKAWPKQAYEATAVLALHRPSGLTDADRAFASRVQGRFERGD RPKEVVRVLGPDSDREIAGRLVSADGTLCLVVVPFDTSYVAPSAQKAVAWLQDEADAL RRADAGQIGGLELRWTGDAVIGRDYMAQVQTSLDRAAAATVALLLVVLLVVYRSIWLA MVPLLTIGLSLVIARGLLAWLCRAGWEVSPLVELFLVALLFGTGTDFCLFLSWRFAEH YNERNPAGVMRLTLGRSLVPLVTSAGTIVVGLLLMGTTKFKLFSTTGPSVALGLAISL AATLTLAPALLVLLARYRPKAFRGFAAPSGDLWDRAGRVAMSRPLRSWGLALLAMMPL AVLGTQTRFVMDLLSEMPRTTESGETLRLVASRFDPGMMAPLTVVLESDADLRRSEGL ALIDDVSRLLSHQRRLTEVRSATQPLGSPEPLARARLSSRLGEVNDGFRQLADGAGTL NRGLTEGAAKLRAALWLGRRVGLNVGGALGAATGSGAGSPSSPAARAMASGLGKAAAA GMARGGAPPWDLANYARAFDLLSPAGPPAGPGAPVAAAAAAAPAGSADPSVRRAGGST PAEGPPPTAAAGTGPAEVLLAEITRAADGADQIAKGARRAHREVSAILEDPVGRRALD RLLITPQNIDENPDLRKSFGVYITDDGHRARIDVTQGDRVFSDSAMNQVEVLRRRLRE YLGEYEGIDVRASIAGANAESADVRLLTHDDQVKSWFVVPIGVFLVLLLALRDPWACF NLVATMVLTYLFALGATHLLFVTILGAEGLDWKVPYFLFVLLVAVGVDYNVFLMARLH EETARHGFRGGIVRAIGQTGGLISSAAAITACSFASFLSSPLASLRQLGFALVVGITV DALLVRPLLVPCGHWLLRRSREVLGPGQPALARSDRLLSRVPD OJF2_RS03840 MGEDGELTRVVIGAAIEVHRQMGPGLLESIYQACFEEELRTAGV EFLSQHPLPLVYKGKALKDPLIIDVFIPGRLVVELKSAEQLLPIHEAQLLTYLKLSRT HLGLLINFNVPVLKDGLKRMAL OJF2_RS03845 MGHSRRVVITGMGVVTALGESLDAFWGALCAGRSGVGPLTLFDT TDFKVHFGGQVRDWDAAARFGVKEARHLDRFAQFALVAAEAAVADSGIDFARLPADQC GVFIGSGIGGLSEFEAQHSTLVHKGPSRISPFTIPKLMVNAGSGQVSIRWGLQGPCSA VATACASAANAIGDAYKLIQSGHADVMITGGSEAAITHMGLGGFAAMRALSTRNDDPQ RASRPFDRDRDGFVMGEGAGILVLEAEEVARARGARILAELKGYGMSADGWHITAPDE EGRGAARAMKRCLADAQLPPEAVGYINAHGTSTPLGDLAETVAMKCVFGDHARKLMVS STKSQLGHLLGASGGVELVASVLALRTGVLPPTINLEQPSEGCDLDYIPNVAREARVD HVMSNSFGFGGHNASLLIGKYVD OJF2_RS03850 MAVEDRVIEIVSEQMGVSKDQVNRETSFVNDLGADSLDTVELVM EFEEEFDITIPDDEAEKIQTVGQAIDYIEQHGNAK OJF2_RS03855 MAEGAAAPARASFAVDLTGQVALVTGASRGIGRAIAVRLAACGA TVAGVARSLEALKETEDAIRALGGTFAPYAASVADSDEVKKVVEDVEAKYQKISVLVN NAGVTRDGLVLRMEDSAWDEVIDTNLKGTFLFCRAVGAVMMRARYGRIVNISSVSGLV GNPGQANYSASKAGVIGFSRTVARELASRGITVNVVAPGFITTDMTNVLPEKVKAEVK ERIPLKRFGTPDDIADVVCYLSSPGASYVTGQVIAVDGGMTV OJF2_RS03860 MTSLLVAFVLAGFADDPVKADGSAMRRYEAFVKEYDAALKAWDE RYSPGGKPTPEDRAIDRYRDWPGWSFLPRAAAMAGGKADDPGTVAAFFWVGEQVRSVG LNDDAMYSTFERLLGRLTPDDLARFDGGERLRDGFRYVFMRPSPATEAFLEKAMADGP DRSARGRAGLALAKLLKAKVMMRRDPWYERPDLTPFQKYLASRRDRRLDDYFRGCDPS KTRAAAVAALRRTIAEFADVVYTPRLKGEPITVGDVAEMELNELDNLQVGMVAPDTRG VDVDGKPLRLSDSRGKVVLLSFWGSWCGPCMEMVPHERALIERLKGKPFAILGVNSDD TPEKARAVMAREKMTWPSWFDGGRVGGPIAGAWNAGPWPTLYVLDAEGKIRFKGHGPT GLDAAVDAAFAPIPAAGR OJF2_RS03865 MSPDVTIHPLERAAAFYRSGDLDAAEWHCAQLLRAAPGPGHAEA WHLLGAIALGRGQAERSRGCFEQAVGLAPENAVFVSTLGEVHLDAGRVRDAEACFRRA TSLDPNYERGHNNLGRALHARGDLDAARASFEEAVRLNPRYATALNNLGAVLRALGDH RQSIARFEEALAARPDYPEAHFNLGCSLQARGELAAAAERFREAIRLKPDYARALFQL GQVLEESRRDYDALRCYEAAARLQPGDAEMHRRLGIHLVLKKDWPAALSALERAVAIE PDEPVPFASLVCARHQVCDWRTYDSDLERLWADAERQLAAGKATAVTPFQALGLPWPL SRQLAVARSYSDGLAHHLGVHGLASVASRSARPPAASGGRIRIGYLSGDFSDHPIAHL IHGLFGRHDRGRFEVFAYSFGPPDGSPYRRRIAAECEHFEEVSSLTAVDLARRIAADG IDILVDLMGHTGVNRLATLAMRPAPVQVSFLGMLGTTGADFIDYLITDPVTTPANFAP WFTERFVTLPHSYLVAEPEPASESAAGGDATRGARGMSRRGYGLPDPDDGFVFCCFNS AYKFEPRTFDAWMRILSQVPGGVLWLCSAGPVVEENLRREASARGVDPGRLVFAPFVP RPEHLRRHAAADLFLDTLLYNAAATAALSLLAGVPVLTCPGETFASRVGASIVGAVGL PELVARDVADYERTAVELAHDPDRLDRLRTRLATLRTTAPPFDPPRFVRNLERAYLAI WGRHASGEPPAAIEVTESDG OJF2_RS03870 MESRTPAATAARDEPWLEVTGSPDLAAWLARQEVSLAFTTYQTG KLFLLGCGPTGGLSVLERTFNRAMGLWTDGRAMWLSTAYQLWRFENLLAPGVLHEGHD RCYVPLVGHTTGDLDIHDVAVDGDGRVVFVATGFGCLATLSDRASFTPLWRPPFLSGL VAEDRCHLNGLALVDGRPRYVTAVSRSDVVDGWRDRRRDGGVVLEVPDGRVVAEGLSM PHSPRWHGDRLWLHNSGTGEFGFIDPRGGRFEPVAFCPGYLRGLAFVGDHAVVGLSRS REAKTFGGLALEAELARRDTEARCGLLVIDTRTGAVAHWLRVEGLVRELYDVGVLPGV RRPMVLGFKTDEIQRTIAVGPEGVL OJF2_RS03875 MGESRRRRRVSTSLSRPVGTASPDRPGPRRRGADRRAYRTSILE RLEGRLLLASSLSVGNTTFNLAAGAAGFQVTRSGDLTPTVDVGYSVTAGTAVSGTNYS SAAPTGTLHFASGQTTATIPLTILSNNFAEATRAFTVDLTGVVDAYGPSTTFSARQTF AAGKSYSAAVADVNGDGLPDIVNLNYASNTVSVLLNTTTPGATAPSFAAQQTFSTGSR PLSVAMEDVNGDGLPDIIVANGSANRVSVLLNTTTPGATAPSFAAQQTFATGTSPRRV TAADVNGDGLPDIVVANRSSANVSVLLNTTAAGATTPSFAAQQTFAVGTAPYAVAAAD LNGDGKPDLVAANYNSGSVSVLLNTTAAGATTPSFAAQQTFSTGAIPQGLAIADINSD GKPDVAITNKSSKALSVLLNTTATNATTLTFAARQSFATGTAPYAVTAADLNGDGRPD LVVSNFASSSDSVLLNTTAPGATAPSFAGQQTFSVGTNPYDVAIADLNGDGLPDLVNA LFSANAVSVILNTTSHAESTTAGVPSPSFPRSGTFSTGSSPYAAKAADLNGDGLPDII TANFATNSVSVLLNTGTPGASTPSFAAGQSFSTGSIPADMAVADVNGDGLPDLVVANY GTTSVSVLLNTTTPGATTLTFSANQTFAAGVRPISVAMADLNGDGRPDVLVANESAGS VSVLLNTTAAGATTPSFSARQSFAVGSIPYSVAVADVNGDGKPDIVAANDTSGSVSVL LNTTAAGATAPSFAAQQTFAAGTDSMFVAAGDVNGDGKPDLAVANRSVGSVSVLLNTT ATGATTPSFAARQTFAVGSHPYAAAIADVNGDGKPDLIASNAGSASVSVLLNTTTTGS TTLNFAAQQTYGAGNFPRSVAVADLNKDGWLDILVPNMNGSAVSVLLNTPAVLGSHPA AGTIAGAPVVSSIALADANPTGAATVHFTVTFSKAVSGVAAANFILSGTSASGLSVGT PTSSDGGTTWTVPVATGGGGGTLGLTLDDRTGITDADGNILYDTTSDDGTTFTAVVGP QYTIATATTTSVVSSLSPSTYGQSVTFTATVTDPSSSGVPTGSVAFYDSTTLLGAGTS LSGSGSSATSTFTLSTLTAAGHSITAVYTATGDFLGSTSSILTQTVNAAPLTITADDQ SKVYGANLPTLTASYSGFVNGDTSASLTTLPTLTTTATAASSVAGGPYAITASGAVDT NYTITYVPGSLTVTAAPLTITADDQSKVYGATLPTLTASYSGFVNGDTSASLTTQPTL TTAATAGSPVAGSPYAITASGAIDGNYSITYVPGSLTVNAAPLTITADNQSKVYGAAL PTLTASYSGFVNGDTSASLTTLPTLTTTATAGSPVAGGPYAITASGAVDGNYSITYVP GSLTVTAAPLTITADNQSKVYGAALPTLTASYSGFVNGDTSASLTTLPTLTTAATAAS PVAGGPYAITASGAVDGNYTISYVPGSLAVTAAPLTITADDQSKVYGAALPTLTLSYS GFVNGDTAASLTTQPTPTTAATAGSPVAGGPYAITAAGAVDSNYSFTYVPGSLTVTAA PLTITADNQSKVYGAAIPSLTASYSGFVNGDTAASLTAAPTLTTAATAGSSVAGGPYA ITAAGAVDSNYSFTYVPGSLTVTAAPLTITADNQSKVYGAALPTLTASYSGLVNGDTP ASLATPPTLTTSATAASPVVGGPYAITAAGAIDSNYTISYVPGSLAVSAAPLTITAND QTKAYGAALPPLTASYSGFVNGDTPASLTALPTLATAATADSPAGTYAITAAGAVDSN YGISYVPGTLTIQAPLGISPTTLPAGTVGVAYLQQLTASGGSGSGYQFTATGLPPGLS LTDLGLLAGTPTAASDLPFTVVVSVGDGDGNTATQAYSLTIEAPSTTGSVAPSTTQSY YGQPVMLTATFTATQAGSAPMTGTVAFYVGDTYLGTAPLMAPGASGLAAASLVAGAPT ATVSGTATLPTSALPVGDSSITAVYSGDANYPAASAVSTATVQVLAATTSVNLTASNT AQGVVLVATVSVTSPGDPPIEGVVSFYDNGTLLGTEPVSNGHATLNVGTPAPGPHAFL AVFSGGGTFSGSAAPAVVPTPLHVTGLARYGYHMQPTYLLLSLDGPAASAAAQDPSNY RVVGPLGGRVRVVRAVYDPASQTVTLAMAGRLKLHARYRLTVHVAALSGPTGGGQPGA DDVLSVTWRNLAGRAGKLPTRALLDEARQQAVLTGTPRHQVAARPHAVAIDHLLATDS MPARPRRPPGH OJF2_RS03880 MERRSSTALNALLTVCGSLLVPLLAAVLVIGEWISLAGFAILVA AGVVASLLLIDRSERRSRTTREVPCGKKAAEGPGGRDPRVFPETVGLPLLVAMSLGLV GLALILTIPAALGPGRPGRPASPLLAIPAGICVVVIWASQVRLIVAGDEVRTSHPWLR LVKDRACRFGDIDTVETREVGRGGWQVTIKPHHGPGLTYRSTDRATIDALLAALSEGV ASSKPRSADLGELL OJF2_RS03885 MSTMLIALALLASPPDGPSADGPFAQRGYYITFMRMPTYDLADW RRILDGIRDDGGNTLLLWVGGAFRSEKYPITWRYNEEHENVRHDFVRELIDHAHGRGI RVLLGFTPFGYDGVNQYPLEHPETRAVGKDGKPAAAFGIGCWGYNLCPSRTESRRFMI EYAREMAFDFYPNADGLLIESSDYAICHCKDCGGHFFEREFAFVRQISDELWARRPDA TIVVFPHYFSGAQVPGFGVRAARLPFDRRWSLVFTPHSSNPEPSLMKQARGSLWWDDS LALHGPRDIRAGARKARDLGVSGYVPSLEAFTFVTTEAEEGQAWLKGKRQVPLGYGWL APGDPPYDELPMRVNRIAYREFSRNPDLPFEDYKRVLGRELFGASSATQSVDDALELQ AIFATERTWCQPSPVTSPERVRAMKERGELTTRKKADYRAALERLRAIEGRHGAGRSP GERELRRVARWALDRWGEGGGTLLEAD OJF2_RS03890 MAWFVERRSSPAAAAFSVIGSSLLVPILAAVLFIREWISAAGFV LLTASGVFASVFLNGWVERRNQTVREVRPGKKAGHPMERGHVEVFPEAIGLPLLVTMS VAVGGILLADLLAMASGAGRGKAVTAPLVLLPAAIVLVAVWGSQVRLIIEGDEVRTLH PWLRLTRDRRFRFAEIDAVEASDVGRGNRQVAIKLQDGSTVRYSADPKTIDRLVAALT DGVARAKPRPADLDELL OJF2_RS03895 MNRRQFLTNAPATAAIGGALPGLWARAARAAGPGRDDRVLVVVE LTGGNDGLNMVVPYRDDLYHKARPTLRVEPNKVLKLDDHLGLHPAMKDLHKLWEAGKL RVVMNAGYPRPNRSHFRSMEIWQSGGLGPTPTAGWLGLAADARPGGSPPCFVGQGTSP LAVQGRAVAPFAIADIEGLALRPGARLGTSDVPGDDPVDPAHRVARALAAAEMISKRA ATARPGATVTEQGGLEARLATIAGLIAAGVGSRVYYTSLDGFDTHAGQQFAHQDLLRR LSEALARFQAGIAEKALDDRVAVVVFSEFGRRIEENGSKGTDHGAAAPLLVLGSPVAP GLAGGVPDLKNTIEGDVPFAVDFRDVYAGVLADWLGVDPSAVIPGRQAARLPLFRA OJF2_RS03900 MAGDVMTTWEKDPAAAWEPYSPARDGAWDLARAAHLHRRAGFGA TPGRVAHDAKAGHEAAIRAVLEGDPEGPGGRSRSEVEEIAAAMADSARRDPSLGRVRL AWIFRLLNNPHPLRERMTLAWHGHYATGARKVENPLAMLEQIEAMRSLWDAPISKLHR AMIDGAGLQIWLDGVDSDNEKPNENLGREFLELFALGEGSYSEADVKAAARALTGYRS ENRDDLRKHVVFDPGRHDDGEKTLLGRTGRWGPADLVRIASAHPAAARRIARRLYVTF VDDVEPPPAALVAALADRIRTPGDVDVRQGIEVVLNSRLFHSEAVRGRRVKSAVDYAV GLIRSSGWRRPTPDPATIDVHLTRMGQTLLDPPSVAGWPGGLAWLGAPLLIARANFAA EVTAGQGVESHLRGPESEGRVSPAEWAAAVADSFLAGGRSRADDIRAASPKGRVEALR LVASFPEAHLA OJF2_RS03905 MHYVDLLDPGSSEWAGLAWRAAWQSTAILAPGLAAGRLIPMRPA RAHRILLAATLACLIVPAASLAARRLDVGLFQAATTPAPVPKGNAAQTSDPGPPAPAA PIPAPVPAAPPTVAPEPIPAAETAATPMPPPRDARPWRLGLDADILIVAWAFAAALGL ARIVASFVAAVRIRARSRPVVDPGILATAGAAARDLGLPFAPRVRASADVRCPAVWCW GRRPVLIVPDRDDARPDGRLAAILCHELAHWMRADHLSALAAELLTCLMPWQPLAWLA RHRMAALAELACDDWALAHARSVSPDDYVEALLNLATGRRRPLVPAAASGRSGLVARA RHILEEGSSMPRTGRLWNATIAAACIGLVATLALAQTRQAKGLAEGDEATGPETTVEG IVRDDKGRPIPGAEVVWIGDDRFLPGHIERPLGHPDHDKRAFLTMARGVTDGQGRFTL RARIKRLWAGNYEFTEVIAHKPGLAPGFRWAPTDRALEVVLEPAVPIVGHLLTPGGEP AADVAVRLTRYDGARGRCQFDVEDRNATWRDRPGFLPGEFRSDASGRFVIDGYVPAGR LASIDVRPAGYAPESLTVAAGDATGLLKLEGFANSRPLPQEFTHTLAEDRPVVGTVTD EVSHEPLAGVKVGLTPMHGTRYEKSGTAIFTTTDAKGRYRFSLKEAADYDLRAYPDAD FGYQGFERTVYGWPAGERELKIDVALRRAHVVRGEVIDEATGVPVPDATIGYQNLDPR SDVRRSGDYFAPFTTTDSRGRFAIAAATGPGVVRVDASNRGYVRFDPGPVDYGVWPSR YIHGQAQVVVPAEGTEVPPEVTIKLKKGLALQAKAVRPDGTAAEDARAWCAQLAPRFH TDSWLTEQPPRDGHFRLPGAEPGRTYRVFFLTDDLKYGAVAEIQADPARTWATEVKLR PTASMHGRLLDGNGQPLKKGQSHNLTLKSQAPDCSMPQITDFALTAYTCFPRVRPQER DNPADFRYVGLIPGVRYTVNDSASEDKWRAAVEPLKPGEDRDLGDIRPSEKGADLGR OJF2_RS03910 MTSVPGLESVSWPAIALQVAWQSTVVLAVGLAAERLVRLRPSRG HRLLLAAALAALVVTPAALVARRLDVGLLAPRSGPTAVEAIRPPAMPEPSVAMAPRPT PVASPGVRSGIGEARPPDAAPAPRTSPPSRPTMPSPATLLVAAWATLAALGLLRLLAS FATAAKVKARARLLDDPSVLAAAEEAARDLGLPWSPRVRASREVRCPVVWCWGRRPVL IVPEAEASLAGERLAAILCHELAHWLRADHASALVAEVLTCLLPWQPLAWLLRSRMAD LAELACDDWALAHARSVSPDDYAEALLSLAAHRRRPLVPAAVSSRSGLVARVRHILEE GTPMPRTGRLWSLLTAGCCLGIVAILALAQARQAKALAAGEAPPDKGTANQPEAPGKE TTIEGVVRGAKGEPLAGAEVAWVGADGSLQSNLTLPHDHPDYGKRVMKTLARATADDQ GRYTLRARVTKPGTNEPWSMVVAHKDGLAPGAAMVALDGKPVEIRLDPAVPIVGRLLT PGGEPAAGVAVRISDYSNGDYRNVSQLRMMNFAKDVAWDDRPAFYPGDFRTDASGKFV IDGLVPAGMFATISLHHPDYAVEELTVSTGEDTKPTPWQEAFSIKPVPREFSHALVAA RPVVGTVTDAATKKPLAGMTVEVTPMRKHGGMPIRAVTDADGRYRVADKEGETYWVHV YPASGSGYMPARKGMLRWPAGEAELRVDLALRRGIVVRGRVVDEDTGRPLPNVSVQYS PTRKNAHVKDGEDFRSPSLSDADGRFTLTGAAGPGVIAAESPDRDTIRHAVQPADFGY RSAVQVHGFARVDVPEEGKGSPPETVIKLKRGFTLQARAVLPDGSTAGAFKAWCPELT ARLFDNWTSPQEFPDGLFRLRGAEPGRTYRVFFLADDQKHGAVAELKADPARKAPLEV TLQPTASMHGRVLGPDGKPLQGAQILPNIQLADRGPELTEADRFDHLLTEVYVQFTGE PLKQVYPADFRYNGLIPGVRYFITWYSPDAGHSWKAVEPLKPGEDRDLGDVRSTKKGG QAGN OJF2_RS03915 MDEETLDNLGELQRAVLEAVWGMGEATVQQVRDRVAEAGRGELA YTTVLSVMQKLEKAGWLGHRAEGRVYVYKPTRSRDEADSSTARALLRRVFGGDPLRLF QHLIADEDLSDGDLAAIRKMLERKKSERKG OJF2_RS03920 MGDWGAGIRRWTRSRSGSALLAVVEATAVGLAYGIADTRISGDE GRHFLAFLAAGVALGFRHAGRAMLCWLPLGVGLYLAHVVAIARGARPPFVEENVRFSE ACLFALIPAGLSLMLGALVRVSLASNGVLRREAGPPVRFLPRTTRDVLVAVACLGVGL GLLRRAAFPPTIYAPGFTEARFAQIREGMTAGQVLSILGPPLDRRPWGEGVEAWRYSN QYTYTSDFDRRWLFLRDGVVQSIANDYWWD OJF2_RS03925 MTGRRSLSPRPRPRAFTLIELLVVIAIIAVLIALLLPAVQSARE AARRVQCVNNLKQLGLAMHNYQDVNGVLPTTFLGNVAAPYVAVLPFLEQAPLANAYNF NLAWGVAPNSTVAGTLVNAYQCPANPHAGTLAASGFATTDYTVIRNATAWDTHHAIFE AGKSCKFSQVTDGLSNTVMQYESAGRQHWYVYRTMDPTDPPWNYYGSPKWGWDIEAMA GEDNGGWFFPVAVTLKPGNATPDIAWSAGSSIVNVSNWYGAPYSFHPGGVNVGMGDGS VRFVKESAPVSVLSALSSRDGGEIVSEF OJF2_RS03930 MFKAAGVRAASLAIAVALAACAGCRRDWEARTYPASGKVSVNGK AAAGALVQLTPIGPKTPDVRGSKPWGVVKEDGSFVLTTYEQSGPGAPAGEYAMTITWP PDVAVPSKEDRLGGKYASPERSPWKVTIKEEANVLDEVKIDAPDLDAPPKAAPARKKG AAPQVNPNDPSVFRKDARKGS OJF2_RS03935 MPTAAGTDVGPARGLGRGGPASSPSPPSRPRLAEGLYRVVWRWH FYAGLLVTPVLLVVTLTGAAYIFRSEIEDAIHARLRFVEPGPSRVGASTLVDLARASR PGEVPTALELRADPRRSAVVRFDGPSPRGQSAVYVDPYNGRVLGASGEDATGAFFQAV LDLHRTLFLGTTGRIVNELTVGWTILLMVTGSYLWWPRKKEKVRGVWWPRWRGKPYVV LRDLHTVFGFYLMAPMVVILVTGLFYAAVWGWGFDRVTRNLRGKAEAAGAGPKREGPE GPAVVPRRFDGLVAAARDRYPDRDLSLTLAPAGRPVSVIASNDWNGPYGEYVMARFQL DPATAEMISHRTLAEDDHYWWHGWVYPLHVGSIYGPATKVIWMAACLVLSALPVTGIW MWWSRRPKGRTGFPRRPEAPLPRSWIALITALAVLLPVAGASIVLILAGEWTFRAVRR VSTGPLV OJF2_RS03940 MRRPRTLIVLVLPVAALVAAAAGDEPKAEAGARRELVGTWRLVS ARYGGQEYKAPEGTTTIKHVTPTQFMWASYDAEGRVSRAAGGRYSLDGDAYVETPEYG LSEDFDVIKGKPQAFRCKVEGKTWHHDGKLSNDLTIEEVWERVEPK OJF2_RS03945 MRIALDAMGGDFAPGPIVAGAVEAAIDLPELTVVLVGDAEKVEA ELAKAPQAPRDRLPVVHASQVIGMEDKPVEALRKKRDNSISKCWGLLAAGEVKAVVSA GNTGAMVASALFNAKMFLPGVRRPGIAAIFPSHQGPIVIIDVGANMNAKAEDLYQYGI MGSIYAEEILGVTHPRIGLLNVGTEDEKGTDLTRATRSLFAESPWASRFVGNVEGRDI YEGHVRVVICDGFVGNVLLKAGEGAVEFLFSILREELARMLPELPGGAGQKVAGSLGR LKSRFEYEEFGGGPLLGIRGACIICHGASGPRAIKNALRVAHSLADDRLNARIVEQLG AKLEPGEAPTPGAAPSEAGTAAG OJF2_RS03950 MPKIAFLFPGQGAQAVGMCKELDAELPAVRELFDRANEVLGFDL RALCFEGPADALEATDVSQPAIFVASLAALESLKATDPAAVEACAGAAGLSLGEYTAH VFAGTMDFASGLEVVRRRGQAMQAASLATPSGMTSVLGLDEAKADELCRLAAPHGRLW KANMLGPGNIVVSGEAAALAAVPALAEGLGAMKVVPLSVAGAFHSEIMKPADEQLAEV LSRADLKAPRIPVYSNVDARPHSDPEEIRGTLVTQVLHGVRWEESMRNMMADGFDAFY EIGPGRVLTALLKRIDRKTPCTHIPAR OJF2_RS03955 MSTARTRRSSQPPAPRRPSRRPIGRHSNGMLMTPEEFDRRSDFD DRYRYELIHGVLVVSPAPGISERDPNGELEYLLRNYKWNHPSGTLIDKTVSEEYIYLP DGRRRADRVVWIGLGRIPEPGKDVPAIAIEIVSNRQRDRVRDYEEKRREYLAAGVQEY WGIDRFRRTMTVYRKEPAEPAEVVVKEGEVYATPLLPGFELPLARLLQAADDWKRPAA PAPADPK OJF2_RS03960 MSTARTRRSSQPPAPRRPSRRPIGRHSNGMLMTPEEFDRRADFD GRHTYELIHGVLIVSPPPGRAERGPNDRLAQLLLNYKDDHPHGHVLDDTFSEETFACG ETRRRVDRAIWAGLGREPEPDKDPPTIAIEIVSNRRRDRVRDYEEKRREYLAAGVREY WVIDRFRRIMTVYRKEPAEPAESVLKEDEVYATPLLPGFELPLSRIFRAADKWTAAKR SKKPADTPPAPEQPGEAGGIPPERG OJF2_RS03965 MGRDATLKRILDGGVVAVVRSESSESLVQVVKALADGGVTAAEI TFTVPDAIEVIRRVRHEVGDAVVLGAGTVLDPETARAALLAGAEYIVSPIVNADVIRL CRRYDKVVMPGAFTPTEVVAAWEAGADVVKVFPAEVGGPPYLKALRGPLPQVRLMPTG GVDLDTAEAFLKAGACCLGVGGSLVEPKAVASGDYGRIRDLAGRYAEIVRRFRAAD OJF2_RS03970 MGRARRQATGLRDGRGRGASGPGLRPCAGLVALVAVVGLSAGSA AWGQASRDEARVPLLYQQKRSFRIPFKIDKQSQSQLKQVELWVSEDSGYNWEGKSQTT PDLGHFVFRARHDGEYWFATRTKSLNDEYSPPLNQQVEPSMKVVVDSMKPTVVLESDG RRGSRAGVRWEVKDEHLDPSSLTIEYQTAGAREWRKAPIRRLGLIGSTSWDAGTADEI RVRASVLDKAGNRGEHEITLPEGTAGAADFAAADAEPAGGPGEAAGSGSAVIVGGSGF PPVQEGPEPSPASAPAPASGGMTRRVNASRRELAATPRPSAAAGEWANPGGAPRGANA ARPNDPGWSSYGNPSPSGNGGYGPVAGFAAGAGPQAAPDAGFGPGPAAGYASAAGAGM GAVAGGNGGRVSLLPSPRFKLQYAVEDAGPNGPTAVELWVTRDGGNNWQKLAEDADRV SPFDVDLGGEGRFGLCLVARSASGLGEQPPAPGDPPQSWVEVDATPPTVQLNPPQIGT GANAGKVLISWLVSDRHPAQRPVTIFWRPDQPGASWQPVVEGQEAMGQYIWTVPPSYP SRLQIRVEAADEAGHRGGAETTETAPVIVDRSRPRSRIIGLDPNARAGDGPGARPLR OJF2_RS03975 MTTPIRVAVTGAGGQIGYALLFRLASGAVFGPDRPVALQLLEIT PALPSLNGTIMELDDCAFPLLAGVKASDKPEVAFEGADWVILVGGLPRKDGMSRADLI RANGPIFTGQGKAINDAAGPDVRILTVANPCNTNALIARSHAPKVPADRWFAMTRLDQ NRAASQLAQKAGVPVASVKKMTIWGNHSDTQYPDYKNAEIGGSPAPNVIGDDAWFADT FIPTVAKRGGAVIKARGASSAASAANAALDSVRSLFVPTPAGDWFSAGVVSDGSYGIP EGLIYSFPLVSKGESRWSVVQGLPIDDDARKRLDASAAELASERDAVKDLLGPAA OJF2_RS03980 MQGEESGGASPGGPPAEGVRRGLAAPGAWAVLAVAAAVHLLDSS DRWLLPAVARPLCEELNLGDAQGGWLATLLLLSYAAWSPVAGYLADRIHRPRLLAVGI AVWGLAAVGTGLARSYDELQVARALVGAGGATAGVVSLTLIMDLFPAGRRGPALAAYY LGMPAGAAIGMGPGAALAGATAWQMAFLLVGAPGLALALAALLLPEPTRGRAEGIDEA RLRRHEAAGPSREDYEDLMVNSSYTYSVFGLAFAMFAIGGLVYWLPAFLRAVHGLPDA RVAGVIGLIVPAAAAAGIAAGGWAASREVPRPRLLFLVPGLAVLASLPLLALTALGRG ERAVLVGAAGTVGLLFTTIVPCFAILASVVMPNMRGVAAAVAVAAAHLLGDIWSPGLM AWVAGEFGEPDAMATAFGRVLAAAGAVPVEAGPDAGPLNYAAALLAAAPAIAIAGVVL LSGARHLPRERALMLATLRAVPRRLHPLKPPTQK OJF2_RS03985 MRPEHPADRARRGFLPRLEGLEAREVPSTTAMLASGALLPASRA AAPSAGSPSSASYTIPLRMSRFQARFQGGYVIGGPRAPVERSQLYMFGGGNSNAFLHA DLQLGLSTPADPSLPTVGQAVIMLKDISNTGNELVLDLTAVPGAVDRRGRPTQFAFTQ ADSSGGSFTNGAATGTMTLIYSPGKYPGVQNVKQARGTGNLGVIFRGSIGTVNLFDTL RNQ OJF2_RS03990 MDGSPAGKGRGGPGPRLWLMAGLVVGLAGAGVRAQGPTFDVDNP PGIPKGSSMVGSALGSSGTSLFQNTPGSSDIPIGGRAGPSVSRAPVSALMPRTSQPRR EGLPEFRVPALPTANIPAYGDLDLPGSEGDLGAGAEVGAAGGLTVGDAIDFLIRENLG LIAMRYEIPMAEADVLTASLRANPVFYADTQLVPYGRYSRANPGGQTQYDVNITHPLD LNRKRQARTVVARAAKQTVEAQFQDAVRQQVDNLYTAYVDVAAAELTRVYSQKQAEGI ARLLTLNRELFSKQQIPRDPIDQLTAQLEQAQLQVRESAQAVSRATRTLAQILNVPRA QALSTRIHDRIRDDRPLPQSEEQLIDTAMQSRPDLTAMRMGLHRSQHEVQLAKRERLS DFFLLYQPYTLQDNRPFGLKSPTSWAVGLTAPMPIYNRNQGNIERAKINVTQTQVQLM QLERQVQDEVAEAAREFVLSRDAFLEIEREILPASRRVLDAAWKRYIGGSNTILEFLD ARKDYNERVRDYRDALVRHRRAMLDLNTAVGARLLP OJF2_RS03995 MDTRSPEPPRIAVAIPCYNEAAAVASVIAGFRASLPGAEVVVFD NNSTDGTAEEARRAGAEVVPVPEQGKGHAVRAAFARLADRDVVVLVDGDGTYPAEAAP RLVAPVLDGTADMVVGARRPEAGAGAMSPVRGLGNALIRSAFRLLVGRPSGDLLSGYR AFSRRFVDSVRLTSAGFEIETELAIAASMLGLPTLEIPVPYRPRIAGTVSKLSPLRDG LRIVRTIVARRKSGLEVRK OJF2_RS04000 MVPLVPAPASRSRSIAIAMALALGPWLASPPGAGAQMPAEESAR KLKPAEGLEATLWAAEPLLVNPTNMDVDSRGRVWVSEGQNYRLTRGGNARFHRMDGAD RIKILEDTDGDGRADKATVFADNIFPVPMGLAVEDHYDASGKYTGCRVFVGNSPNLLV LEDTDGDDRVDKRYPLLTGFGGVDSDHGVHGMVLGLDGKLYFTHGDGCCSVQSDRSER QQNFDVVDKSGRRVRTDQLANTLRVNRDGTEFEIICDRQRNNYEAALSSFGTIFVSDN DDDGNRGCRVIWTIDGGHYGYHTPGSPRHWGEDVPGTIPKLVGTGNGSPAGILVYEGP LLPKERGYEGAVLEVDAGSRQVNAFPIDRKGASYRTEYKVLLASDDPWFRPVDACVGP DGSVFVADWYDAGVGGHAFSDQTTGRIFRVAPKGHKAGKPAAPDFGTTAGRIAALKSP VVAARDAARRSLIAEGESGVAALEALYRDGDPMMRARALQVLAGMKGDAPALAALKDQ DPRIRELAVRLLGRDCRENGVVSYDDPKAKRPPAALAHLDALLPMADDPDAGVRRELI SAIRNLPTERVGEALRALAASWDGQDRWYLETLGLALDRREETFLARLLDGSLYGPMD VAKEGREGSVALPPYFPVDRNEAFLPAGSPDLPTTALSKALGLAWRLRRLESTRLVGS LLPALAAPELRQAADDVLGQVKDPRAAAALAELASRESAPARRGELVDILARKLEGGW NAGRDAEPVVALIGAELADAAGKPRGVRLAVASRDPRYGQALEGVALDPALPEPLRAA AVEGLATIKAPRAATLLDRLIGESKGRPNSDAIAEAAVRASARVYDATNRLRELIVAR DYPLGVRREALRSLGQRDGGARAILDMAKSGSLPEDLKTEATTLLHTTPSIGRALREE AAAVLPMPKAAGGRPLPPVGFLLRREGDPGKGRIAFFRAGQNACAGCHRVRGQGQWVG PDLSTIGTKYGKDELLRSILNPSAAIGYNYRALILAMEDGRVLTGLPVEDTPDRLVIK TAEGRRIAVRPADVEARKTSDVSLMPEGLAQGLTADELVDLLAFLSALREPVSIVGQY HVLGPVAEEGAARAIDPTAKVDLDAIRKRPAAGDLAWRRVDANAEGLADLADLAADPA RNAAYAFTAVTSPVEQKARLVVESGASLTAWLDGKTVLAEAPAAPSGGPREVELTLPR GTSTLLLRLAGPGGDGSGNGNGNGKSAGGEAAGTRAASLVTTFVSAQPVSFATTEAAP PR OJF2_RS04005 MMMTGIDSTGRTPAPPASPATPRAGRWFAALALAAIAAAGASPA APAAGPLRYRFKAGETLRYTLVQDQKQETRITGGEMTNSALQTVDMHWTVRGVDAAGV ADMSQTIDRIRWKLTTPGDSVSIDSADPNVPDSQGAAQFMPLIRALAGAEFTFKMDPR GEMSDIQVPRKLLESIQEVNPGAAAGGMFSEEGLKNLIAQSRLAFPQGPIDKGATWDN QSRVSQANVGTSIMDKHYTFQGPSASDPRIVTFTLKSDFKVEPAAGAVGAIKIDSYEG KGDYSFDAEAGRIVSSKVTERLQATFTMKDPQTGQSREIRQVTQTVNTMTLGGAGDAK OJF2_RS04010 MEPPTPVEDREELRDSEGPDDLPGPDVIIIFAAFFEGGLAFLSL LLGWWLGHNPLERFSWRLEDALCGLAAMLPLVGLFVLILHRPVGPLRQIRSFCEEEFV PLLSGSSWSDMLLVSISAGVGEELLFRGVIQGTLAAWMGDAGGIAVSSVVFGILHPIS MPYVVLTMVLGAYLGTLYLLTGNLLAPMITHATYDLALMAYLLRWRYRGRQGESIPPV DPHEDIDH OJF2_RS04015 MRVPGWIAWGLGVAALAGCLAPGVGAAGPGAGSTNRRTVIVEAV EKALPCMVNISSEKKAASTSRWPFSAEENQRPRISGMGSGVIVDPRGYILTNHHVVDK VQGVEVQLSDGTTYPGRVLQYDPVMDLALVKVEPARPLRAIAVGTSADLMVGETVITI GNAFGYENTVSVGIVSALHRDVTLSDEQVYRNLIQTDASINPGNSGGPLVNVDGELIG INVATRAGAQGIGFALPIDEVKRVAAEMLSTRRIASTWHGLVAEDLVRGAQRSVVLAS VQPGSPGETAGFKPGDELVRVGDLAVANMIDLERGLLDARPGHPTRLTVRRGGVEQPL PLDVQPLPRGMTVAAAEPTDQVWERLGVKTMPVSPEWVATVSRKLRGGLYIEAVLPGS PAAAAAVQKGDILVGMNVGTRNWETIRPDNILYVLRQPEAIQSGGAVLLLIRKNELQP CKITLAEPRARKVSSR OJF2_RS04020 MAEKSARRRQLEASLAEDPGDTFLRYGLALQCLRDGDTEEGRDR LRALIADHPEDQVAAYQQLGQSHAEAGEPEEAAVVLRAGIARARARGDLHAAAEMEGL LETLG OJF2_RS04025 MPEPSDSTDADLEAAGAAASPPSPAAEPPAPAVFTFPNRVLFGA GARRSLAAELERLGVARPLLVTDPGLVACGLAGEVAGLVDSAAIFSGVHANPTEDDVL RGLECYGEARCDGLIGLGGGSAIDAAKAIRLLATHPGRLADYDLTAGGSARITADLPP MAALPTTAGTGTEAGRGTLIQLPQTGRKTIALSPHLLPSVAICDPELTLGLPPGLTAA TGMDALSHCVESYLSTTVHPICDGIAVEGLRYVFRGLEEAVRDGSNLGARSAMMTGAL LGGISFHKGLGVVHSLSHALGGEGRAHHGALNAILLPHALRFNRPAAEPRIAELATRV GLGRGGDEAGHLITLVQLALASLPLPRRLGDLEADGLERRRIPHYARLAMLDHCHRTN PRPCTLADMEGLLDRAW OJF2_RS04030 MLRRRIVPPPFALPAALGLAFGALMASPAASRQDAPKAPSRPPA PTEARVQAAARQFDLIWQYYKQNRVELFEVYWWSRLLLESRSALAPDAREAACDEHLQ HMKDLEALVARIRRLGFGRSSDVGASQYYRIEAECWLAEARPK OJF2_RS04035 MSIVRRFAAPGLAGPFLLLLGGAALAQPRPYIGYAYPAGAQRGT TVHVRLGGQQLDEVGRAIVSGTGVEAKVLEYHRHLNPQEVQLLRQQLESMGIRPPDGP RVRAPKRPVAAKGKGRARPKAKSAAAAAIAGEADPEGATPGPALSAETRRLVERVRAR LEAHVPQPASNAIADLVEIEVAVAADAELGPREIRLVTRKGVSNPLVFDIGQVPEVRR PAMDSAPIQVLGKEEQSLRSRPEDEVEERVTLPCTLNGQVASGEVNRYRFAARKGQRL VFTTAARRLIPFLADAVPGWFQPTLTLYDGRGHEVAYDDDYRFMPDPVILFEVPKDGE YVLAIADAIYRGREDFLYRITAGELPFVTGIFPLGAAAGRPAKVGMTGWNLDGATLET PPADAAPGVVSLTARAPAFASNPVPFAIDTLPEAFEDEAEGGPSRGPQALAPPVIVNG RIDRPGDRDVFAFRGRAGEAVVAEVLARRLDSPLDSVLTLKDASGKILARNDDREDPG AGTNTHHADSYIMIDLPEDGTYRIELEDAARAGGEAFGYRLRVGPPRPDFALRVVPSS LALRAEGEASLEVHAIRRDGFIGRIEVRLAGSPAGFVAEPATLAAGQARGRLGVRTTL EATPGPVPLAVEGVARIDGREVIRRAVPAEDRMQAFLWRHLVPASDLPALVFDPEAAP KPRRPAPAIALTFAPEAEPSPAAATTAAGTRKAKAAPKFTERQIAGRLRQLKILYEED LLTDDFYRKQVAECQVAK OJF2_RS04040 MNPSRGPSDPGGATRREALRRALLAAAGLAAADRPSLAALADDF DAPTRAPAPDLASVPAAARAKSVIQVFLWGGMSHNDTWDPKPDAGYDYMGEFAGVIDT KVPGLRINQLFPRLAAEADKFSMIRSMTHGNNGHETAAYLMQTGHAPGERLAYPSVGA VFSMFKGRDYKGIVPPYVVLTSAAGRFSEEGFLGPRYKPFATGGDPNAPRFEVEGVIA RGIDDGRQEARRVLLDGLDTMGRAMAGNAELEAAREAKARADELILGKGKEVFDLSKE KADLRDRYGRHTFGQECLVARRLVESGVPYVAINYPGGWDTHSNHFATMRRQCPQLDQ GLAALLADLHDRRLLETTLVWCSGEFGRGPKVDWEPPWNGGRNHHGAVFSVLVAGGGF RGGHVVGESDGKAEEVRDRPVYPVDLLGSIYRLAGIDATARLPHPEGLEARVLPAASE GVKAAGLLAEIM OJF2_RS04045 MATTTSRLVPAVILLVVSIGQAAAQTAPPESRARAHRRPIDPLY ESVRKPEPAGPIDRAVFARLAELGIEPVLCSDTVFVRRAYLDVIGTLPTAAEVRHFLN DSTHRRAALIDRLLERDEFAAYQAMRWGDVLRIKAEFPVNLWPNAAQAYHRWVRESIA ANKPYDRFARELLTSSGSNFRVGPVNFYRAVQNRTPEGLASAAALTLMGARTDAWPPG RLRGMAAFFSQVGYKPTREWKEQCVVWDPFHTLARAAAGDEMPRGFDDEPPPLVPQPL VAVFPDGKAVTIPPDRDPREVFADWLVRPENPWFARAIANRIWSWLLGRGVVHEPDDF RDDNPPSNPELLACLERAMIDGHFDLKRFYRTVLNSQTYQLSSISRSDDPAAAANFAS YPVRRLDAEVLIDAINKVTGTAELYTSPIPEPFTYIPRDMPAIAIADGSITSPFLTLF GRSARATGLEGERKSRTMPSQWLETLNSTHIQRKLEDGPALKAILAPDRRPAEVVADL YMTILSRPPIAAEKKAIAAYFRSPEARATLAVDLPWALINSYEFLYRH OJF2_RS04050 MISDAKLAANRRNAQRSTGPRTAEGKAASRLNGMRHGRRSKLLP MPALPQEDPRELALFVDRFVRDGDPADSMERSLLEHAARLTRAIERSDRAESAYLADA VRKSAVDRADREGATEDRCRRVTRLAAELFHPLSPHEYRDADWRDDPAAALAGLEETA EGRRWLLEQWRSIRAYLVAGGDMPVGDFYRFIRLHGRRVTDLSWDLDLNAVMAAVEVA WPWCGRAVYKRFLAELHSEDWRLFEQQRQWRTFAPLPATPEEAMAVLLRDAESQMARL AAMLCEDAEGPDPDAVAFAAELELGGHRRAAAARTRELMQVLDQLRKLRKDRGAGPRG QSGEPSPADALPDEDGSDEPGPALTIPDEGGCDDPGLTRSPHGGGSHGEAKIEAEAEA EPDPEADPEAEADLWERAPIVIVEDEPEPPVPEDDGEGVQEPEGEPPAPGTFEAFERW FLEAKAARVPDDRSHGETLAQAEKRKFAEMLSIALDTPMGRAPDYGKYERRRAKQRQK EKERQEQMHMQMERQAEPSAAQEGPSRPADPPGASP OJF2_RS04055 MALVYRRALLESKQAPAAAEGPTSPTMHRGLLFKSVAVTLGVVA LFFTGAPIADDRGQHASHPTGPPYPASSAR OJF2_RS04060 MTTHETTTLETPDAPAPVEAGARNPSPASLRGLDALNFFLADVR DGMGPFLGTFLRDAHHWDAGRVGIAMAASQIGTVLAQTPAGAIIDRIRWKRLAVAVAA AAVAGGCILLYLAPVLPVVVAAQALIGAMAAVFPPAVAAITLGVVGRAAMASRTGRNE ALNHGGNVVAAALAGGFAYLFGYGAMFALVSAMAAGSAFAVMRIREKDIDHELARGAD EGPTETVHDPAHPHAAAGVSVLLKDRRILVFAASVVLFHFANAAMLPLVGQKAADGMK EGAAVLMSACIIAAQVVMIPVAIAASRLAATWGRKPVFLIGFAVLPIRGLLYCLSVNP AYLVGVQLLDGIGAGIFGVVSVLVIADLTKGTGRFNLAQGAIATATGIGAGLSNVLAG FLVNAAGFDAGFLFLAAVAAAAAAFFFVAMPETLHETSGERVAGRAGRVPRNQPAGLD RYLAEEAG OJF2_RS04065 MTSQPASIPLVSTLFGLTYLALAVGEVPSLRIDRAGIALVGATA MMAAGVLSLDEGVRAIDHETIILLFGMMVVVGYLRLAGFFAMATDHIAGRFSGPFTLL ATVIALSGVLSAFLVNDVVCVALTPLVLNLCQRLKRPPIPYLVGLATASNVGSVATIT GNPQNIIIGSLSHISYLRFASRLAPVAAIGLVLNFAVVALVYRRALLESSKAAPSGEI PSGPRVHRGLLFKSVAVTLGVVALFFTGAPIALVALGGAATLMLGRVRPEKVYRSVDW PLLVMFCGLFIVVHAFEVNVVRTWGIERWHALLESPVVLVSGLSVFLSNLVSNVPAVL LFRPLMDAMPEKELGWLSLAMSSTLAGNLTVLGSVANLIVVENARRAGTELSFREYLK VGVPLTILTTLAGVAWLKFTSY OJF2_RS04070 MDLRNRIGLYGSYFFGMAAIGFTLPYLPLFLGEKGLSDRAIGIV STLAALSGLAQFPVGLWSDRVGRKPFLVAALAVTAVATLLIRNAEGVAWLGFLVILFA ENGIGRAVVESLSGAEAAALAPKGGVGAALGALRFWKPIGIVLVALLGSWMSEEYGVD SILVPLAVAQGLAVAFALLIHESKGQGEAKPDAREADARVPAGGWVPKDPALWAFVAA MVLYHAANAPGGVYLGLFLKRDLHAPERMLAYAFAVSMVAWMLVVWPAGRLADRLGRK PLLIAGWTIMAVRLGLVAMINSPWLAVANQALDGLGNGLFAVLAAAWVTDRLADPRRA GEAQVIVGSCLVLGSAIGPAAAGFLVDPLGYRGLFAVLAGVGLLATAIVVFLVPETLR NHDEVDDDGTVEPMGTTSDLSTVP OJF2_RS04075 MMKLIAMGLSSLLGIGIAGFLGGPQPPDGPPTPPEKAKGKKGAP GDELRKTYDILRRVRSGSDGGRTEERIKDWTDRATELYRKALRAREDGERRRARELAV ASHDLARVVDHARNAARLDRTDPDLPPPPEDDGPEDLSERTLRDLHHAYRRIKDADSY GSVPDSALYLRGARDLYNAARRDVEAGRTERGGELARAAEAMTHVPEHLANAGDPDGP PAKDEPPKKKFEEAKEKRGPRGLFDPPPPKEAERPGPRGRELPPPLD OJF2_RS04080 MGRLRLEWPLAVQVGAVVALFGAALGTLWYTGARVVEREDRRTR ANARLKEASDELESRGRDALAGVRPFPDFMTPADWSALDLRLSETASRIGEGRPGVEG GYYVPSRTARPFIPPLPHEPIGGDPGPATGPASPAAAPSRASRSLYDYVDTQVDAAYR KRTELSVVEDVSPYTIAIRTAPVRVDGRVVAATWTMTRLVDPIYNDQSARGYRWFAGL SLAGLALSLVLTFRLAGTVRRQAAERERLRTDLRRSERLAALGKLLAGVAHEVRNPLA GIRGITQLWQKGLGQNEEGFRHLIDEVDRLEGIVSRLLQFSRADAQDLAPGDLNEVAA EAARLAEGSALEQGVRVVLDLEPGLPPVSMARPALVQVLRNLTANALQVMSAGGVLRI ATRRGDAGGTVAASVADDGPGLSPEVQAHLFEPFFTTKAEGTGLGLAIAREIALAHRG ELRAANRSGGGAEFTLTLPVLNATAKGAR OJF2_RS04085 MTADTDTDTPAAATILVAEDDRAIRFSLACSLKAEGYRVLEAGD GAEALARIAADRPDAVLLDLKMPVKDGFAVLEALGPALAELPVIVITAYGGSSAAIEA MRHGAYDYLTKPFDLDEVQLTLKRALRQRELASEVKALRARSIGEPDEEKEAEAGTEP DLVGRSPAMRAVFKAIGLAAATDAAVLIVGESGTGKELVAAALHRHSNRGGGPFIRVN CGALPEGLVESELFGHERGAFTGADRQKPGRFERAAGGTIFLDEVAELPASAQAKLLR VLQQREFERVGGTETLRSDARVIAATHRDLPAEVAAGRFREDLFYRLDVVRIVIPPLR DRPEDIVPLAEHILRRVERRHGWGGLSLSPEALATIKERPWPGNVRQLENALARAAIA ARGRPILPEHLDAGGRPEPALAAAAAEPADDSDPMPLRALLAEVERAAIERALRACHG NRTKTAERLGISRRQLFEKIKEYDLSP OJF2_RS04090 MSPDPNETSRPEGPPAPEPESSRLAELPREAGVMLVAVGVLGFV MPAIAGIPALMAGGLVLWPRTFRPVERWVAGRFPATYREGMRQMDRYLDDLERRYPNS TRA OJF2_RS04095 MPPSPHGTAGTDDLDAAEASEAEQLHRIEALPKEVGVLLIVAGM GGILLPGPVGSPFLILGGVVLWPDGFRRVEDWFVRRFPDLHRKSVRQIGRFLDDLDRR YPPAR OJF2_RS41405 MRRALLVLSTALLSSTVLVGTSEACHRCGHRGRAYGSACYGGYG GPGMAMGGGYAQPGFGGYGGYAQPGFGGGRFGMPGFGGGRFGTPTFGGGYAQPGYGSY GTPAYGGGSYGMTGYGGGYGSPGYRGGGYGMPAYGSGYGTPAPGGSGYGVPAARGAAG ITNAGAAALGVGGGAGPAAGPGGR OJF2_RS04105 MARRLGGRLKAPTPGDLPVFEEVLGNGLRALILPRSDVPIVVCD LYFPVGSFDEPPGQTGLAHFLEHMLFKGTDRFPKGQIDQLAFVAGGQANAETGEDFTH YWFLLPSDRWEIALQVEADRMALARIVAEEVEAERAVIGEERARDAESPQVRLDQAHL MLSYLNHPYRNPVLGWPEDLARISADDLRAFYDRHYRPDDAVLVLAGDLDPRKAMERV RAHFGRIPRRRGEPCPRSWDERPQAGRREFTLVESESLTRGLLGWHTVPRGHRDAPAL DVLADLISAGRRSRLWETLVEEERLATWVEASHAPAHRAGQLFIHVEAAPGAKPAELE ARILGVIEDLAADGPTDEELARARNRLEAGWRWEQGDLAGLAAGIGQAALRGDWREYA REHRAALGVTAGAVRKAAARYLVEETLTVGWSVPRRGRSRPAVAAASPAPDAVAARPV ARRPAPRAVPSPATPEATLAGDPGPMIEVPRSVTRLVDYRPRRSRLPNGLRVVHEARP GTGVVALDLHVDAGWLREARPGVAVLTGRLLEEGTTSRSMADIAAAVEDAGGSLETSS TGVSLRVRAEDLAMAVGLMADLAREPAFPADAMDWTVQRLLAELKADRDDPAFHADGL FRGLIYGSHPMGRDPRGTAREVRALTLEDVRAHHRAHYAADRSFLVAAGDFDPRALAR LVKAHFGSWSPAAAPAGPLPPVPPASRRKVRRVESDGEQVHILIGHLGVPRDVPDYHA LVVLDHIFGSGPGFADRLGRIVRDEMGLVYTIGGGIADTADLLPGLFRVYAGTRPEEA DRVAAAIAGQVRAMHEGAFSDDEVDRARRYLTGAHVFDLQTVEQRADRLYELERLSLP LDEPILWPDRIAAVTPAQVRQAARDRLKPTSLSRVEYGPISRRGQKSGAA OJF2_RS04110 MKSPWIPRALREAPRLDPSIRPGEVSPRRALLINPFYAKDPHAS FGKHVLTPSLALTSIAGATPEGWEVAYWDENLLQGPPPWEPFPRVVGITVHLTFAARA YELAAWYRGRGATVVLGGLHVLSCPDEAAPHADALAIGEGVRIWPEILRDVEAGTLRP VYRGSYRAPYREEPPPRRDLIPRRGFLTTTSVIATRGCHNRCGFCYLATDALQMPYQV RDAEQVAEEIRADGQPYAVFIDNNLGSRPEYLRRLCAALRPLEIIWSAAVTIDVTDDP SIVREMALAGCTGVFIGFESLAGENLDDAGKKSPRPADYARRVRILHDHGIQVNGSFV LGFDHDRADVFDRTVAWIEDARLECATFHILTPYPGTPLFRSMEEEGRLLHRDWSLYD TSHAVFRPRHMTPEELEAGYARCYERLFSHASIWRRRPADRRAVPPYLAMSYLYKKSN RIWHTLIRHRLTGPAWRPLVEWSRRRHLRFRRRLERSSILASEAAGCVVSAGV OJF2_RS04115 MALSSESFEYPAGQSHPIRIAKAPRDGKKYPVVVLVHGTSGLAG DCGKQLEGFAESIGALGYLTALPCMYSDSVPHLYDADITHKVPVLEAAIEHIKQKHAS NADVDRLALVGFCLGSGIAMAYIQKSPMGRVKAFADFYGYVNPLLGAGVAKLPPTIMF HNTNDKRFVDPAMNSRLLEKALVEHDRAVEAHNRSGSGAKLKPIDHDYREYTETNPDP QAANHIFTPGGPADVESRRLTLEWLQKYMPPVGKSLERVSVA OJF2_RS04120 MSRTSREMMGPIRALFDAGTAAGVPDAELLERFLAGPAEAAEVA FAALVERHGPMVLRVCRSVLGEPHDAEDAFQATFLVLARRAGSIRKQASLASWLHGAA ARTAGCARAAAARRRRHERAAAGMLKLAVLSEPTDDLAGVLHEELDRLPERLRAPILL CHLEGLSHEQAAEALAWPVGTVRSRLSRGRERLRGRLIRRGVAPAIAAIWAADEAARA AVPPALAAATVRAAVSSATAWKTAGMVPPSVSVLVEGALNAMFLTRIKLAAIACVGLA VGGIALARTSAQGPGRGPRPAAVAATATPTAPEDMDPFPRYAPPAAAAAARADDDALE TDAEVRRRIDIELLEQEVAILRNHVKDTMRYKFQYRRNADSTEAKQAVEAYDEARKSY EAKSLALAAARREMAEARNRRGDAPKAADSMANPGAPRGPKAEAPGAQAPAPRIGSID MKAALDRYEKLRMTSEELRSAADGRKADLLKLQAAARGEAEHLAKLVPGTEDFKVHEA RATQLKSMFEAEREAAGREFAEREARSMASFLDEVERAVAAVARAKGLNYVLRVSSGP ANAANPADVQEAVKRSVLYADPANDLTQEVIRELNRRYKEESAKPAAK OJF2_RS04125 MTTPWIGTPPRIGIRPVIDGRERGVRESLEEQVMAMAKNAAAFL SENLRYPDGTPVQCVVADSNIGGVAEAAACAAKFAREGVGVSLTVTPCWCYGSETMDM DPLMPKAVWGFNGTERPGAVYLAAVLAAHNQKGLPAFGIYGREVQDAGDATIPDDVRE KLLRFAKAGLAVATMRGKSYLSIGGVSMGIAGSIVDQPFFEQYLGMRVECVDMSEVTR RIEEEIYDKDEYARALAWTKEHCKEGKDYNPKDRQKSAAAKAKDWETVVKMTLIIRDL MIGNPKLAGRGYGEEALGHNAIAGGFQGQRAWTDHFPNGDFPEAILTSSFDWNGIRMP YVVATENDSLNGVGMLFNYLLTNTAQIFADVRTFWSPEAVKRATGHTLEGAAAGGIIH LINSGAATLDGTGEQSRDGKPAMKPFWEITEDEAKKCLAATTWPTAMLEYFRGGGYSS CFLSRGGMPLTMMRLSLVKGLGPVIQIAEGHSVDLPPKVHEALNERTNPTWPTTWFAP NVTGSGPFRDVYTVMNNWSANHGAISYGHIGADLIALASLLRIPIAMHNVPEERIFRP STWSLFGANEPQAADYRACANFGPLYG OJF2_RS04130 MKHTPLALLLTMALAAPASAQSPLIDETPAQHDARMAWFRDARF GMFIHWGVYSVPAGEYKDQKDHAEWFLETTRMPVSEYEKFAAQFNPTKFDAHAWAALA KRAGMKYLVITSKHHDGFAIYPSALTDWCIKDTPFGKAGRDPLKELAEACKDEGIRFC TYHSIMDWHHPDWGIRRAWNDKATGTPDMDRFDAYLKGQVAEVVKNYHPGIMWFDGQW EGPWTEARGKDMYAYLRKLDPQLIINNRVGGGTGDYGTPEQTIPATGFGPGVDWESCM TLNDHWGYNKNDHNWKSVTTIIRNLVDCASKGGNYLLNVGPTAEGVIPAESVERLTKV GDWMKVNGESIYRTTASPFRKTPWGRCTRKGSTLYLHVFGRPEGGSLVVPMSNKVRKA SFLADSSLPIYAGSQADGQHLALPPDLPDAEDSVIAVTVDGEVEPIVVRIPQDADGKV TLKAADADLAGGVQAEHEPPNIGFWTTAEGAASWPIEVSRPGTFAVSLDYAVPPAGAP SAFVLTAGEGTLSATAAPTKGFDDYTRAEVGTITLAKGPATLTIKPAKAVPSGLMNLR AVTLTPRP OJF2_RS04135 MVDDVAIDVQTSDSPLVDFPGEGVAMPVAVEKVGDRLYRLIGVP VLAESASFGDVIEAEPVEGGGLRFVRVAEPGGWRTFLYALPAYKLDGAWAWALLEELT ARGGHWEQVLFGLLFLCLPPGLDLDPTPWVESVWPWPLVAEEVPSKSAPN OJF2_RS04140 MADSNAATVALVTGAGREQGLGFEVCRQLARGGATVILTARDRG KAERAAAKLAGDGTVHGMALDVDDDASVLAAAEDVAQRFGSLTALIHNAVGGFDVRTP TADATIADAKAAMETTLFGAWRLIRAFAPLLVRSGRGRIVNVSSEAGSFGSAKGLGSE EYAQAIATYAVAKAALNALTLKFAAALKGQGVLVNAVCPGFTATHPGLAEMGARPVPE GAAGVVWAATLPDDGPTGGFFRDGRRLPW OJF2_RS04145 MNRPVATRPRCQLWNLGPVAGLEIPGAPFFVGQPEDNGWESPAR LGITTARIEVFCDDPDAFIARAVEAGADGSRDAIRDHEAPWGVHRQGGFVDPFGHIWL VGDRSPLVARPGGPSR OJF2_RS04150 MIAARASTAAWVLLSFTALACSHGASPGAAGPERAARQGPFVVK PYLQFGEAPRAGELAVVWHADDVDAGWAVDVRPDGESSWRPMEPPKFRRVAVAGVEPH RVYRATLRAGAPGGRFAYRVRDGKGADFEAEALAPKSASQPHRFAVFGDCGAGTPEER RIAHRTFEEKPDFVMIPGDIIYDRGRASEYRTRFWPAYNADRPAPDAGAPLLRSTLFV AAAGNHDIAARDLAKYPDGLAYFYYWCQPLNGPTGPEGGPLVAPVTGSPEQKKAFLDA AGEAFPRMANFSFDYGNAHWIVLDANATVDWADPAFRRWVEDDLAAAKDARWRFVCYH QPGFNSSRSHFDEQHTRVLSPVFEAGKVDLVFNGHVHNYQRSYPLRFAPTPVQVEAPA FDENGRMVPSHKSNGRLTLDRSFDGKDDTTPEGVIYIVTGAGGNRLYNPEQQDDPSSW QPFTVKHISRVHSLTVVDVDDSKLTLRQVSADGEELDRIVVTK OJF2_RS04155 MPRLQSAARSPLATRLAPALAAWAAVAAMRPAAAEPPRPTITVH ADRPGHPVSPTLYGIFFEDINCSADGGIYAELVRNRSFEDAAKPEHWTISGDEKRVKA VIDESRPASPKNRRSLKVSLGGGGLTPATVSNEGFWGIPVKEGASYRLSFLARVEGGL RMTPAVSLVGDKGRAYATERFVGLTPEWKAYSCTLRPNATDPKAHLSIQLVGSGDVWL DMVSLFPEETWKGRPGGLRPDLADRLAELRPAFVRFPGGCWVEGDTMKFAYRWKETIG DPSERRTQYNIWNYHATHGLGFHEYLQMCEDLKAEPLFVINCGMSHREVVPMDKMAEF VQDALDAIEYCNGPADSAWGSVRARNGHPAPFHLKYMEIGNENGGPPYQERYALFHDA IRKAHPGITLIANVPTEKRPADVVDEHYYNTPEFFLQQANRYDDYDRKGPKIYVGEYA TTVGVGQGNLRGAVGEAAFMLGMERNSDVVAMSSYAPLFVNVNHRGWNPDLINFDAVR SYGIPSFYVQKLFAENLGDATVPVDVQADAVEVAPPGGAVGVGTWLTRAEFKDMKVTR GDEVLWSADLSHGLSGWKAVSGRWDVAGGALRQTDPGENMRAVAGDKNWTDYTFSLKA RKLGGAEGFLILFRVRDEGEKSWWNLGGWGNTRHAIEVGGEIGRSVPGRIEDGRWYDI KVDVEGSRIRCYLDGQLVHDVKTPAVRPIHASATRASATGEIILKIVNAAAGPVATTV EFAGLAGLPATIKAQVLSSDHPTDENSLELPEKVAPREVTLSASGSRITHAFPGNSVT VARIKKD OJF2_RS04160 MENHPGNEGGFPRSASDWWSWREESFERPGRADRDRLATLGGSW SNTGLRTLCAVGSVAVLCYSLMVLMHVAWMGTIGLRCLFGTDVKEEIPADFAWKALGG GGAEAGEAAGDGRPHTGDVILSIGGMALRAGNYTDYIQAMRSLNDRVGKPVEVTWRDV ATGNRHAAEAIVRNPPTRSYVWSCIWFLQEVLIFAVGARVFWKRPGDRSARLFFVLCL VTVGAYMGGYHWTEIVLRPSLIYPFVFFALLVPVVNLHFFLVFPRENPLFRRHRRLVL GLLYGVPAVSLASIWGTMYASHWMRVHGDPQRAAFAERVIRALALGYIGIAAMVFALC IPCLVFSYRRAVTRAEKNQVKWILLASLIASVLIAYLLGAAWMDTSTLGRDSGAWPMF GVSVLYTLAYAFSITRYKLMHVEEIINRSVVYFAFSVTAGLIYSALLLVFGWLIRDRL RDSPTSGGAMLAAMSVVVVLILSEVARGRFQRVIDRRFFREKYKFDQAMQKMQVAVGS LVDRTTLGRRLLEGASEVLRVEWGALYLAGPGDRSFHLAACQGPPPDEAVLAADNPLV AQLRRTPAARLSHATATAAGADPATDAMIALGGEAAAALGVAGADGEGEGPGEGDGGD ALGGLLVLGPKRSGMPFEDEEMAFLGALSSVATLALHSAGIQETLESLNQELRDKVDK IAEQQRRILILQEQLRDRAERGRERDGAPGDADDREASDPRRAGAASPADRAAAAVFG EIRGSGPAVREMIATARKAAASPSAVLIRGESGTGKELLAAAIHAASPRAGRPFVKVH CAALSQNLLESELFGHVRGAFTGADRNRVGRFEEANGGTLFLDEIGDINLEVQTKLLR VLQEMSFERVGSSQPVSVDVRIVAATHQDLEALIRDGRFREDLFYRLNVIPLRTPALR RRKEDIIELAGVFLARHAERMGKPLTHIEPEAVELLMAHDWPGNVRELENVIERAVVL ADGPAIGVEDLPPEVREPARPRRPFRGRLPAATSIIRGRDHGNGTGAAGPPAAPPGPP RREASTGPRPLADPPAIATARARPRPGPGRDDGREPPGGDEWNAEFLAYERQRLTDAM AEAEGNKSVAARLLGMPRSTFFSKLRKHGLA OJF2_RS04165 MRLAARWQDALSTSLSIPGGEGVRRTQVRLGGERVEVVRLGRGE PLVMVPGLAGSWRLLLPLARRLARRYEVITYGLRDEGVPGFGIGGLRSGLWDIGGHAD DVASLIDQLGLESPTVLGVSFGGVIALQAAVDHPRSVGALIVHGAEAKFHATIGSKIA RRVLERFPLPTDNRFVNQFFNLLHGAKPEPGPLVDFVVERIWETDQSVMARRLAQLEG FDVSDQLWKVEAPTLVLAGAKDVIVPAARQRRLAEGIAGARFEALEGAGHIGFLTHRR EFARQVVKHLREVKAAV OJF2_RS04170 MLLAYFAPEVAMPVASVVATVTGFLLAGGRPVISWVARRLRGQK QAGPGDVPSNSENPAGRSNELR OJF2_RS04175 MGDAAPRTPPDEPARLRLGPAVTLSMAVWLGLCAGYLDLSLIVV KKLALNKEGSFRAARDFPWTVPLGHVVLMLALGAVVAFVSWRRPRWTPPWAAAWLMGM MAFWAALLRLPISPWAGLALAFGMGKLFSDLVAARGFGPRVGWTRRSLAVFACVLAAC FAGSTGRRMIGEVRAVAGLPPAPASAPNVLFVVWDTVRSVSLSSYGYEPETTPNLSRW AQRGVQFEKALAPSPWTYPSHASFFTGRWPFQINAQWKFSLDTPDPTLAEYLQSRGYQ TAGFVGNTNSCNYETALDRGFIHYDDYALTPRALLTRTVPGRWMLENLLLLVDPYERK WANLQSRGAEGINGAFLGWLDRRRADRPFFAFLNFFDAHEPYVAPAGFAGRFGVAPQG LKDQQMLVDFIGMPKQLLTPRDLEMLRGSYESCIASLDDRFGRLMDSLEEKGLLQNTI VVLTADHGEAFAEHGIFTHSYAVEIQEVGVPLLILAPGAPGGRKEPTAVSLRDLPATV VELAGLGDGSPFPGRSLAACWRAPAGQPPDQPPSPALSEKADETVFPSPHGEGPNLGN VQFSVVSPFGIQYVRNGDGRESIYNLWRDPAAGVNMISFPEMAPLLPKLRGMLLDVIT AERASAEVEGGYMAAYRDRLADVVRADAGRAVTPTEGVATEAGASGAEAGGQ OJF2_RS39905 MAKLAGLLQAHFLTLLVGAYAAAAAFPGPGISIRALSAGRVAIL GGSLELSPPLLVLAFLLFNAGLGVRTGQFRTLARGPGPMLVGLAANLAAPLIYLGGVH LALSGWGHPDEVQSLLVGLALVAAMPIAGASTAWSQNADGDLAMSLGLVLGSTILSPL TTPAVLHAAGLLASGDYARDLRGLADGGAEAFLAAAVVLPTFAGVLARRLIGERRAAA ARPLLKASNILMLLLLNYANSAIALPHAVAGRDARFLGLTLAVVAGLCAVMFASGWAV AAALRSGRDRRVALVFGLGMSNNGTGMVLASMAMAGHPRVLLPIIAYTLVQHLMAGAV DRLTRVAPPPPPPPPSAAFPAGAGPDDATAGIGRNPARPSTPKTAMPKPARPSHLART SLFLLLLAAAGARPAAAAGAGGEPIAVGSRVLLKDPDTPLRDGEKELDGKGECLFRVA RLGEGMADIEADGGGVRGWIGMDQILPLESAGDYLGRRIDADPKDSEALRSRAKVRME AGDWARALADADAAIRIEPGDPRGHHLRGLALLGQRSYRPAVDAFTEAIRLDPGLAAA YRDRAVAQDARRYFPEALADLNEAVRLDPAGLASLATRARICSARGRRNQAMADFDQI LRMRPADPESYILRGEGFLADLNSRAAIADFTRALELDPSCTRALLLRSKSWKQRFDH ARAVADAAEAARRASPEDPEPHRVLAWLLATVPDAVSRDGPRAVAEATAACELTRYRD PACLDALGAACAEAGDFASAVRWQEQAVRLLDREKDEETWSRCRRRLLLYQGKHPYRD OJF2_RS04185 MDNHSPRDVAEGVTPSEDATRSWHPGDGESPRGAAGAADSGGFT PRAAIARHAARPGAAGVRSAIRSRLGELPVVYLLLLGLSISWRVLIGREDWMLLEVDL ALIASLVAFMAVLWSRWPLSSTWLKGIELGMVGMIAARNVFVQFHMMLGYSLLRDRMM AQLTMKNVVLLDAVLILTYGLYVPKGWRRAAAIVFPLALIPFATLLVLVLRYPEPMGW LWLGWSGSTTPRLYLFAFDATVLLILAVGATLGALMVGRLRREVDEARQLGQYRLEGK IGSGGMGEVYLAEHALLKRPCAVKLIRPREAADPDALERFEHEVRITATLSHPNTVEI YDYGRTEDGLYYYVMEYLPGLNLAELVRRHGPLPPARAAHLLRQVCGAVQEAHEAGLI HRDIKPSNIVASRRGGVCDVAKLLDFGLVRSSPSHRAGAAGGAARVFGTPLFMSPEQA VGAPELDPRSDIYSLGAVAYFLLTGRPPFQGDRGLTVMLAHAREPVTPPSQLVAGLPT DLERVVLRCMAKDREDRYRDADSLERALAACACADGWDHRVAALWWAEVDRRDAGASS PLRPPPRPPASPDRTGPPPAPPSAAAGPSPDRPAVRRPAVPGASSFRRNRGPDG OJF2_RS04190 MIVVMKPDATMTQIEHMAEHITGLGLTPQVIHGKHQTVIAALGE ERQGLLEALEPGEGVEKVLPIMAPYKRASSELKKERTVVSTRDLVVGGGRIAMIAGPC SVESEEQIVSIAKTLRALGATGLRGGAFKPRTSPYSFQGHKEDGLKMLAAARAETGLA IVTEVMAPEHVPMVAEYADVLQIGARNMQNYQLLQAVGDSGKPALLKRGMSATVEEFL LAAEYILDRGNPNVMLCERGIRTFEDHTRFTLPLATVPYLNEKSHLPVVVDPSHGTGK SRLVAPMARAAVAAGADALILEVHDDPEHAMSDGAQSITPATFSQLVEQCRRVAAAVD RSV OJF2_RS04195 MTQSKKRKSTLERVTEPVAEVAGAIGHAIAEGAEAAREAGAKAV RAVTGGSKPKAKATATAKKSAGPKASSTAAGSGGAAKASRTKASPKASAGPKASPSGS AAKAGPKAAKAGPKAPKAKMPAVKAKAAKAPASRSSSTAKVKAKAAPKKTSKS OJF2_RS04200 MTEVGPEGRAEGEEATPVAERDLKTAAGEAAELAGLIARDAGRL LDQHGRLIRGEIRRGVRSAVPGVAMVGAGAGLAAVGGGLGALMVVHALNRFTRIPLWG CYALVGGAAATAGAGLMAGGARRIGAIDLVPRRTLGALKEDVSWIKSRLIQPPS OJF2_RS04205 MDQEPVDPASELSADRGAAPDRVPGPDPDPEDLRASMIETRESL ARHLHALRDLLLHPFDATRKTEESPMAAAKKSHAKPAAEAKPKPASKPAKNGKVEAKA GIKAGTKAAAKPATKAVTQAKADAKSKPAPAPAALKGKTAGKAHERNGKAASKPKAPA RKPKAPGKVKTLIAKTGEALDPVVAGALVGAITGAARELSAEPTAIPPAAGQPQALVG SAEGPSTGEVLGDAASGAAMGALSGVARAVAGEASEVAESSSAKTKVKAPKAKAAVKP KAKSKSK OJF2_RS04210 MDGNYTGRMLLESARRLGVDAVLVRDAAELIDSLDRERNDPDSR RVIGQLREMGHRYGELARRLKYIAGELQDPADRGKDRVARIGEVLAARREERAAAREA QGGGA OJF2_RS41115 MFGVYQPDHGEGGGHDGSRRCEIADGPLRLFDPVLGLKQIVEDP ARRWTVYAFGRLLDAGPRGLLGLGEALDAGEADPLATLNGSFALVVHLRAKGEVLLVT DRTGSRRLYYGERDGRLWFSSRLARLRGCGFRLRLTDGRLIQYLTFRCVLDGTILEGV DRLPGASVLRWSTGGSSVHRYWGWDFEEDEPPPGESSASSDRMEELSSLWTGAVSRWI LPGSDPLLSLSGGLDSRLILVEMLRHLAPGQFRTMTYGTPGSFDYEIARLIASRRGLR HRSFDLSREGDYDRLFSEACLETDGMIDLVNAVNDSHRALGDDQRELVVGYFADSITG RVCLPDVMLDRRLEGRPDRGSATRYLLDRFSMGLWLQVPWLVERPPDWCFEEMVRVLG GEGSGGPRPSLASALARFHCERLAPRFDLLCLGKGDDTIERICPFLDIHWIDFWRRVP PSLRNEGRLYKEFLAWRAPELYALPLRGLRGRGLVEGRRVWDLGLRPNPEIGLVDYEG WLRGGGPFATLIRDLLTAVGDRGILRREAIDRYWDEHQSGSCENTFMLLRAASLEMIL RTFG OJF2_RS04220 MVEKPLSVGVIGGGALATQWGLPSELLDVETPHGEPSSRISKIA IGEQVSVFAILRHGEQHARGAEINHRANVEALRRLGCDLVISVSLAGAISGRFDTGMT VIYDDVLDFRRSTQSFFGPRDACHVSMSPMVCPPLAAQLSRVAAGLELPYGGTMVVME GPRFSTRAESKMYAAVGGELICQTIAPECFLVRERGMCWAGVCLVTDRDTRDPAHPVS TPLIFANLDRFRARNARNLLGILSGLRPYDCPCRSAEHAVPKDLTEGLGPRRPPSREG DDS OJF2_RS04225 MIEHPPDDGTAYPRTMIIESSSRCNFLCPLCLWTHNRHHGYLSA ETYARFIEQAAPFLQRVCFAGRGEPTLNPRLAEILAISARSGVVTDLATNGSSLIRDG DALLDTGIDAVNVSIEADTADDFVRYRIRGDFDSVVEGMRRIALRKRQRGLDRPRLRT CSTIFGYNEDRLDRLREFFASLGFEEFIFKSAHLGHGQLEESEDSLRERWLPSNPRLR RSQFNEAAGPTAIHCSFLTQAHLLWNGDIGRCAIDHESMVVGNILEASFDEIWRGPRS LEVARTVVEGRFPKCASCTFSGRSRSESGRELYVL OJF2_RS04230 MPTSSSGADGVGSRDAPDLRGRRVAFAVGTGRCGTHLLHELLAA EPSVSSHHELNPPNEAFHRYCRWNELPVDDRGFLDIKAREIALASPPDGLFFEASSYL SLSVKQLHRRFAARFVLMVRDPLETVLSLRAKGWYEVEYRKDEPGRPVGFHDLGNFVH FLSRLVPNGPEFERWSSLPRAARLAWFWAALNERALADLRSLPDDAWMVVRLEDLDLA KYAEIARFLGIVPTLTPDGFRAIAGRRPGASWPRPGPMDLPDAEFAQVALEVAATAGR LGYPPPSAPPRRDAMPSDPGPAPAVVGRLRKAGSATGALPPGSVLLSIDGSAPELEEI VLRGLDRGIRGYHVRIDADGGRRRLETLVALRMGHSPLVLVELAGPGDPGAEPDDDVL ALIREAADLVAIEASRPADFLKAQQAAGHSGLPAVASVRSWTGLLHWRDLLRASCGVI VWRTELRRAIDEADLDHWILAHQEDALKQNKIFCVAIGPPSDSDPEAVRAAERMAGAG LSILVPSDGGPAAWGRWPTPGGGLGSPR OJF2_RS04235 MPSHTRCDYVLRSAFIDRDGEVYACCHRAPVGYGNIASAHLLDI LRRPAACAARDRSREGSLECFASCNLLDYRVKHGPPPAAVDRDPQPAIRKLTLSLGWF CNVDCVMCPQDHKERVFLDVDVLRRHVPWEIVEEIIFEGGEPLAAPQVHALWDHVASL GKPVNFCTNGLVANPSIADRIARQCDYLYISFNAATAETYERVVRKGRWRLLLRNVEM VLNARERSGSGLKLIGHFTIVEENLREIPRFLELMGSLGFDIANFGYNRMAMMGRPID AMLDSDPSLRSQLGREIRDAIRRASPSLAVDTSRLCYLGLLDPGEPCCGRIVEPSGM OJF2_RS04240 MTSSKLAIHGGPKAVSAKPVPVSPLDPSELKAALGELIDAGEFS DASGAGAIGRFERSFAEYIGCDHGLGFCNGTSALLAAYLACGVGPGDEVIHPCYSWVA SVAPLLLLGARPVFCGVSPLSLLIDPAAIGPLITPRTRAISVVHMHGAVCEMDAVLEI ARARGLPVIEDGSHCHGASYRGRRCGSLGDVGCFSMQGGPVGGKPVACGEGGIAVCRS RDLYERMTSFAQINRVPGGGFLDAELAQLAPFNSGMKFRAHPWAMACASLMLRDLDRA NAEKREVRARVQESIEPLWALTMCETAADSTPGGFYGGINLLHHPERAGGVPAARILD ALKAEGVACGPAPYPLLHRLPLFRGDAPGARRLYPWLAETPAPAASTDLGPSEDAHGR VVNVLFPMQLSPGDPYVPQMLDAFRKVYGHLSRGEFI OJF2_RS04245 MPRRYLFGPVTPRYADENLHEARGSGRCLAFDPGGETDLAVGPD DRWADICGRLPGGWRPDYVVLFLPYTTIPRGVASAPVPIVGLAADWNLLWHYYRLRLP TCDLSFTDEPGVDRLRAAGISGVVAANLFGCERGLLEREWPAGPRDIDILYVGSLHPA EKRERLPWLARMALGLGRRWRVLIRAGVDGEDYRRLLARARLVLNVSVRGECNLRAFE AAAAGAVLLQERANREIGRYFHDGESCALFDETDLEPVAEALLGDEARRLRIARAARE KVRHHGFASFWEGIEEDVEGRWGDLVAGSRRRPRLPPVQELAMRCWQSLCTSTGGGHA HDPDPRLVADLRAAAEDPGSGVLENALGLSRARSLQRRDPTGWRAEVSLPHFRNAARA GPDHPMARLNLAECLLLVGRSAEAAAQARAALAIAERGPLDVEDLGMGHFPPAYDAFR VEWERAAWLNAGSAAGEVEAKRDLLRWRLHEILAELEDDPSHERAAIQIRRDLGPTHA SLGLRLARRGRLAEAAGALREALAINPFDRLAARALGQVLSAMPDGRGLSSLATQRRL LSRAAPEAIPLEPWFESRGDGAQR OJF2_RS04250 MPDRIKYGIVGVKGVGSLHVKAIEALGRAELTAVADVDAAAGQA VALASGCKFFEDPREMFRSGGLDAASICTPHPSHADLSIDALACGLHVLVEKPIAASV LEADRMAAAARAAGRKLGVVFQRRWDALVVRALGLMQAHRLGDVLRVSLTATALRTMA YYRAASWRGTWAGEGGGAVLNQGSHDLDLYQLLAGMPRSLFARCETRLHAIEVEDSAA AVAVHPDGSLGTIQINTIEAPGRFSLEIAGDRGTLLLDRDRLTLFEHGTPVREFARTS KDWWSSPESIATHHERPADGTGDGTHLRAISAFVDAILADGPPPVDAEQAIRSLELSN AMRLSSALGRPVTLPLDREAYRTFLERESAR OJF2_RS04255 MPANGRRDSPAEGRGLIRAEACEVNLAHHCNLACRGCFHLSPIL PKRYADPVELRTKLSWLARCYRAGSLRLIGGEPLLHPDIPAVIAAVRESGVSSRLVVV TNGLLLGRMPDAFWAGVDKVRISSYPGKAMPGALLRECRRKAIRFGVDLRLALCPEFR EPFSIPGTEDAGLVGRIYRTCLHAHVWQCHTVDAGYFYKCPQSRFIPAALPDATFSPQ EDGIPIEDSPEFAGAVRSYLGSPVPLKSCRHCLGSVGKKFDHELVERSTWARRQDRPV EDLVDLECLARLEARPSSDPGYGGAVYHLNRLRDYLRHLIAQRSLGAVAAALMPRLRR RHSPERTPPVAPSSG OJF2_RS04260 MPTSYSYAGEDLVALELLGDTRGTYLDIGANHPTKANNTYLFYE LGWSGVCVEPVPRLAVALARSRPRDLVLELAASDRDGRMTLHEPAGASGLATLCPGVA HDLERDHYRLASYEVEVRTLRSVAAEWRLGPPDLISLDVEGHERQVLDGTPFDAWRPR VLIIESTVPRTQVPSEASWEPPLLRLGYRLHAQVGINRIYSAIP OJF2_RS04265 MDFVALAVFTFCLAIGARNSIRVIRSIRWIRREAARPLGAGSPD VEFVILLPLLRETTLVARLIRRMAAMRYVPGRLRFVLVTTSREDDAAGPTTRDAVARD LLSFRDERFVHVHCDDGTDTCKADQLNFALRALGLQDCRRDDLFVGVYDADSTPDPRT LLYLVDRFLEDRSRDAFQQVPFYFHNTDDLPGGLRGLYLRSRPVHNALFALSVEVPGM RSQVRVVDLPCGSPSRVVRGWLSHALGHGQFFRIDLLHRLGGFRPPSCDTQFGHALAF AGVALRPHPMLDVGETPDSVRVLMKQGVVWFNSVNTFWRTKRFVDSLRPENRCEAASW FMMARLVHSNIAWACYPIVYLACLAWSLLAAKPGLTAYGLACWGVYLVPVAIILGSFR LWGDLTRDYAPVHGFSRLTSLGVLLMFGVEKLGSCVSPWIWAAYRLRQLVAGRPIPLR KTERSPSLSRLGETE OJF2_RS04270 MRIAYILYGVTTPTRAHNLQTVHTVNSLVDRGVDVWFIAPELGL PSPREGGVPPADGPADPFSAANLPRSRTRLIRAGRLFDRHRRITPRGRFWSLFLDRSL FAIRAAWHLMRDRVTIVVTRDVIACYWLKVLSPVLRIPVVYELHTLERVMFDAEDRPP SGGDPIDASIRRAVESAAAADFAGHQDDDSAAGRAYKRTLAAIESRAIGGADVVLTIT RAAEERLRRDGRAGAIHVVPSGHPPDGRGGVERDPGLRPGLGLPRGRKVLIHAGLSLN GKGIDLIFSMARHLAGDCVVLILGGEPFQVGALEELRDSLGLGSRLMLRPRVAQPDVA RYLAACDAGLLLYPRTSYLAEFSSPLKLFEYLGSGLPVIATGLPALREVITDDENGML VPDDDPAAIAAAIDALMRDDRRLRRLGEAALRTAGAYTFAERARRIEEVLLSQGIGRG GSCT OJF2_RS04275 MYLNITTTAGCRGRCVFCPQDRFWAAMAGRPALLTPEELTALLP NLRGTRFRAFAFGGFSEPFDNPEILELFEITAAQDFVDATWVHTTGEALDPEMVARIS RIRFDVFDVSCQGSGPGPHAKARPFIDEDRVMGNVHHLLRNRENIRQLTISVSGPFMP EAQVEDLRRACERHGAHLDRRDLHDRAGLLKVGRRRTAVGGPFRCGKHDFRKPVLLPG GDLSLCCQDFALEHIIGNLHAMTFARILEESPLRRRVLSVADGVATDDGLSCYRCEFC IPTRVAAPQPD OJF2_RS04280 MTASTWTSNPPGPPPPARPSAPHKIVGLGLGGLGCALALHEKGI PFEAIEKEARPGGLARSERIDGFRFDHGPHVLLGIPDELAPLFDRLDLDLMSCSCGSA IALEEPRLMVPAPFQRHLSHLPWRRRWSIVSELVRARLSRRPPSKSYRDFAIASNGRT IFELFLGRYESKRLRFDLADMPPDWTRRLVPPRLLSVLQGPPRPAAPSTPCGDGSFLY PRSGIEQLPRALGRLLPPGCCRYNLELVAIDLRARRIAFRDGSHDHFDSLTLSLPLPA IVQLIKDPPAEVRDAAAELSYASIYVVSLGVRGRAACPGCIIRYPDPRVDFYRITSPT AYIPGGAPDGCTSLMVELSHHHSRYPVGPDEALHRCLSGLERLGVLGPETSLVTHHTR PIPYAHIVSTHRSPAAIRFLRSFLAGHSIHLCGKYGYWEDMLMPQAILSGMRAAEAIA RPRAR OJF2_RS04285 MATGEPLLDRLFVVAVRKRLINNTPFDVYKLASSYGEGTSAVVD LRREPEALELPPCREAFVFLETAGTWMCFDWDVPRMAAVLGRLDRGRGRLTVVGPQAR ALREALGLDFRAVSSLAFEEAIRPGSGESPAAIAPAFVADGLDSLYNGECRDGTRIAL GSTFSVYHSMNCPMECPFCFYSPRTRHKQVGFAELMGDLGRIIAAGRTHFYFMDPIFP LNDPRCRQLLDLREATGVPFTYSCQISPNLLERPNLERLRATGCRVVIVGIENQELIA SKGSIRRALDGVGRLRDLDMSPMLFFLIDGRNEVERLVDAFRDCPFRYGVLNHAFASD LSLPSIERGFEEKRRLADANRGLIERLQRSPDFLGRQGAAGDGSPPRQAPAPDHGRDP NPRPGRVAPGAGARAE OJF2_RS04290 MGVHSSSQQPYLVDHIRQEKPNSCWHASARMLYGFWNQACINPL PADYDADQGLTAQQFIDLASNLGLSTLPRVNQSYGWTWMRDALNSYGPIWAAGQWNGP NHIVVITGVDSDGTLYVNDPAYPSPVIRNMGWFNQKIDKNVDIPMMYLAQ OJF2_RS04300 MELRRLARQTGGVYDAPPLEFEARTMIDRTGLLTLAAFLAFAPC SPAQEPPPAGAPITRPGATAEGFLLPNGWTVSPAGRQVSTTDLPLNIIPMPDARHALV ATSGYNAHELSLVDLQKGEVVAKRSTAQSWFGLALAADGRRAWWSGGGGRSILAFDIE AGEPGKAAIRPAPGELSDETKRQGAEKPKAHFRSGLLLDPARRRIVSLDIQSGTIAAI DPETGREIRSARAGIRPYDAALSRDGKAIYVSDWAGRAVLVLDAEDLKTTAKIAVGEH PNQVAVHPTDGRLFVACASSNCVSVIDARRGAVVETIHTALFPKAPEGSTPDALAIAP DGKTLYVANADNNCVAVIDVAEPARSQVKGFIPTGWYPTAVAVTPDGRSLLVGVGKGN RTKPNPRRKEAEKPRAGDEAGAPRRMLPFPYIGTTLSGALSIVPIPDDAALAAHTEKV YRNCPYSDRLLAASPHPERTAIPTKVGDPSPIRYVLYIIKENRTYDQVLGDLPRGDGD PSLVMFGREVTPNHHKLAEEFVLLDNLYCNGHVSADGHPWSTMAYNTDYIARNWALTY SSRKGIEDDEEADLAKAPSGYLWDASARAGISYRSYGEYGRRVSQPDGTLKMEAAVPG LVGHVCPDYGVAKVPGKKVRDTENAETFLREYRAFEEAGTMPRLIVMSLGEDHTTGTR AGTFTPQACVASNDLALGRIVEAVSHGKHWAETAIFVIEDDAQNGPDHVDAHRTVGLV ISPYTRRKHLDSSQYSTTSLLRTMELILGLPPLSQHDAAARPMFASFTDRADLAPYSH EPARIDVDAVNAPTAYGAERSAKMDFDEYDRIDDFELNEILWRSVKGPDAPVPPAARR AIAFRPASR OJF2_RS04305 MLDALVVAPHPDDAELGVGGTIVRLMQQGWKVGILDLTSGEPTP LGSPEKRAEETAAATAELGSPWRKNLGLPNRSLEPTLEHRRAVAAVFRAVRPRLLFAP YWEDAHPDHVAATRLVEEARFWSKLSKSDIPGTPFHPARILYYFSVHLRIVERPSFVL DISDQLDAKVRALKCYRSQLVDNQPAGKPGVIDSVCDRTRFWGHMAGTLHAEPFASRE TIGLTGLEHLLL OJF2_RS04310 MKFAFPERWVRFHSLPGSKRYPEDESEYAIALGRQNRVLDELIG RGGRVVLLTTEYSDSEASPSDRPATGEVDPLGRPWQSFLMHETDQDPTGPTFWHIFAS EWMWSPGILDPILRLVADDVIRNVMIVHPECAWLFHPYDGGMDLILESSAVRDLIRAS HPDWLSSRPDGL OJF2_RS04315 MRPQPLLAVTDVEASSLWYQKLLGCKSDHGGKEYERLVYDGVLV LQLHHFGVEHHHGPIADAQDLPYGNGVLLWFEIDDFDAAMERVAELNPVIVLPKHRNP PDGKGGPNHWECWLRDPDGYLVVLASPDGSAGPME OJF2_RS04320 MALSPRNPPITDSPIRLAVCVSGGGTTLQNLIDQIRGRRLRAEI VQVVAGKPRIGAIPRAEAAGIPLALASRTAQSSAEFSASVFDPIRRSKADLVILGGFL AILKIPPDFAGRVLNVHPSLIPAFCGKGFYGPKVHQAALDMGVKVSGCTVHFADDTYD TGPIILQRTVPVLDGDTAEDLAARVFREECLALPEAIALYAAGRLRLEGRKVRVLPAS OJF2_RS04325 MTHHEWEHVQEATRAVRSRWGGRPTVGLVLGTGLGALAGEIAEP VAIPYPEIPYFPRSTVESHKGQLVCGSLAGHSVVAMEGRFHLYEGYTAAQVTFPIRVM KELGCSLLVVSNAAGGLNPLFEKGDLIVIEDHINLLGMNPLIGPNDDRLGPRFPDLIE PYDRKLQDLALKVALEQGIVARRGVYVAVTGPNLETRAEYRFLRGIGADVVGMSTIPE TLVAVHAGMKVLGFSIATDMCLPDALQPVKIEEIIAVANLAEAKLRTIVRGVLERWKP OJF2_RS04330 MGSSYDRAGDSSPDPSAREISTRGFREQSQREFEIDFLTGILER DPYFVEAIRVLANHLAAKGEYARALHLDRRLVRLIPEDGIAWYNLSCSYALLGMLEPA FSSLQKALEQGYRFLDRLRLDPDLKSLRRDPRFIRLLRRFEFFV OJF2_RS39910 MFDRSGLLATLEPIELAPLEAPWGGLVSALPAPEARHPGEEEEF EEEEIEGDEEEGFDEFDDEEEDIDDEFDDDEDEEIDDETDEEIDDIDIDEEEEDFDDE DDDDELDEFDEEEDEDIE OJF2_RS04340 MAGQSGLRIGIAGCGMAARIHMDRLLALPEVTVVGCADSDRARA GALADRAAGRGGPVPAFDDHRELLREARPDALCIFTPHVWHYRPAMDALQAGCHVFIE KPLSTNAQEAADIVGLARGRGLKAAVGHQYRLRPSLAEARRRIRDGEVGPIRLVTATL ALPWLAANRDGGEMSWRLDPKVSGGGVLPDAGDHLIDALLWATGQAAAEVCALQSRDP AGFDVVTAAAIRLADGTPASLAVAGASPGFLFELNFLGEKGRLRATERSLERELADGA VEPIAVPEPSQSIDGNFVAAVLRDEPLCCPAGQALDTVRLIEAVGRSAASGQLIRVA OJF2_RS04350 MMPTTSEPYAYFPDLLAEVAVPADGILSRTIAGDDRGKVVLFGF GAGQELSEHTAAMPATLQFLSGEATVGLGDDTVEARAGTFIHMPAGLRHSVRAKAPTV MVLTLFKGTAASTA OJF2_RS04355 MMRRNLGVAILGVLLGGAGAAALVHQPSAQGQPAVPRPGIEYRV ELVDVGHHGSSAGRMTEALNARAAEGWEYVGPVADSSMDGPTYIRRPGVFLLFRRPK OJF2_RS04360 MPRSTSRIALRHLRTLFAAGANGTLTDGQLLERFLAREGEAAEL AFATLVELHGPMVLRVCRTILRDGHDADDAFQATFLVLARRARSLWVRDSLGPWLHQV ARRVATHARAELLRRREAESRAAESAPRGTPATPAEFDLGDILHEELSRLPASYRSAV VLCCLEGLTVEQAAGRLGWPMGTVQSRLARGRQRLRERLTRRGLAPAVVATAVMSSAD RAGAAVPAGLAESATAAAMRFAASQPIATGTVPAAAIALAEGVLGTMTRSSVKIAGTA LVAAGLAVAGVGAFARQSGPDPFVAAAAAAPPGAGRGEAGAAGPESTAFDRFTIPVPP PGGRVVIDIEGPGSERRRLVVEPDGKGLHRLRDEYKNEHGSGTRTLTCSNVEITALLP PSEARKAFILPPPVGLHPGPMTATVPDTERRIQELEHKLDRVLKVLEDTRGDHGMPNQ KPGDAGFQ OJF2_RS04365 MRTNLVLIDYENVQPASLTLLRGEPFRVVLFLGADQARLPIETV AALQPMGGRAEYVKISGRGPNALDFHIAFTIGELASRDPSAYFHIISRDTGFDPLVTH LRSRKILAARWTDIREIPAVRAAMATTTADRLALVVENFERRGESRPRTVKALRATVD ALFGKPLLEPDFADLLGEMQARSYLTLEATRISYALPGGVGRADAAGRAHGR OJF2_RS04370 MARPKVFFDVTIDGKPAGRITFELFDDVTPKTAENFRALCTHEQ GFGYKGSSFHRVIPEFMLQGGDFTNHNGTGGKSIYGSKFADENFKLKHDRPFLLSMAN AGPNTNGSQFFITTVPTAWLDGKHVVFGEVTEGSDIVKKIEAVGSRSGATSAKVVISD CGQL OJF2_RS04375 MSPQRSTRRPSLREARRRSKPRFRPALALLEERTLLTGTTISAL SQYHSFITGVPSGPVLLATFTDSAPAPLGYTASIDWGDGSTSTGVIAPDPTVLGQYDV TASHTFSTQGVSLVSVAITNVSTSASRTVSSVDPMIIPAPVVTTVAPTGLAVGSTVAG VTVATFTDLDPLVTAADFSATIAWGDGDTSTGTITADPDVPGQFDVVTSKPSAYATAG AQTLSVTVNVLQFSSPYGWKQLASMPEARGFVTPVSTGGLIYEIGGANGLGQSPQTVF AYNPATNTWAARASLPGSDQALFSVAGPDGKIYALAWDPIDAYKRMDIYDPATDTWTS TGSLAVASDRVSMTTGPDGLIYMIGGSVSGSATATVYTFDPATYALATAASLPTARLV VGAVTGPDGRIYAIGGDTGSGPTAEVDAYDPATDTWTQVASLPMSLAEMAVGVGPTGL IYAIGGYGQSGWGQNAFAYDPTTDTWAASPSLPTWRLYEGGTAGLDGRIYIMGNAGPS AEVFAFNPFNTFDTDTTTVNVAKGTPTITWANPGAILSGTALGASQLNATSSMSGTFV YALPSGTVLPAGLGQALTVTFTPDDATNYNPTSATVHIDVFAPPTVTVASPTLVVGQA MTNVEVATFTDSTFPGATASDFAATITWADSSTTAGVITADPTVAGLFHVSASGPSQS TLVGSKPFQVSVKAVGQALPGVWSTLSTFDDYLYYLAAAQSGGKIYIIGGHADGALST VRAYDPAAQTLSTVAPLPIPIDDHAATTGPDGTVYVLGGDSESTSYLNTAYAYDPATD TWTQVADLPTGRDYLSAATGPDGKIYAIGGYGSSGYTAEVDAYDPGTGTWTQVAPLPV ATAYASATTGPDGRIYLIGGYTSSGYTSSMYAYDTATDTWTQVADLPIANAYLSAATA SDGTIYAIGGYDDDGYVAAVYSYDVATDTWTQAASLADGNYSSGVATGADGQVYLIGG YLQSEDYPGISVLSNPGAPAASSAGSLSLIAGPATSFVVTADPTGTAGDSLVIHVTAY DAYGNVATGYTGPVQLTSSDPDAVLPSNPTLTAGVGTFTIAFRSAGGQSLTVADPGSP DVSGTGSVSVAKAQAVAGALSASPSQSSYGQPLTLTATYSSPGAAPTGMVDFYDGDTY LGSAPLVPSGAGGSATAQFTIATLGAGSHAFRSVYSGDANNLPASSQAGSAYQVSPAA TSTSLAATKTSQGVTLVATVAVTSPGSPAIAGMVFFYDGDVLLGSAAIVDGVATFSVA SLSPGAHAFRAVFSGDGSSSTSEASVAFNPNGPDVTPDNSGGPKVIGLSRYGVGAHRT TLALKFDGPLNPADASNAAMYRIRRRGGRRIAVRQATYHAATNTVELVTARRLRLFRA YNLVVSPALTGSSGAHLDGSGTGHPGTAFAAKVRWTALTMPGRDPAVTFVNGHATSYA GRFRQYVRNVLHTSVVALRAASFR OJF2_RS04380 MGESAYDRINDYGAVKIGLASPYDIRSWSFGEVKKPETINYRTY RPEKDGLFCERIFGPEKDWECACSKYRGMKYKGMICDRCGVKVTHSRVRRKRMGHIEL AAPVVHIWFFKAMPSRLGTLLDMRTTNMERIIYFQDYVVVDPGDTPLKERQLLTEEEF RKARETYGDTFQADMGAEAVRKMLMRLDLVSLSKKLRQELVETSSRQKIKDLTKRLKV VEALRDSDNRPEWMVLECIPVIPPDLRPLVLLDSGNFATSDLNDLYRRIINRNNRLKK LVDLNAPEVIIRNEKRMLQQAVDALFDNNRCKRPVLGSSNRPLKSLTDMIKGKQGRFR ENLLGKRVDYSARSVIVVGPDLKLHQCGLPKKIALELFQPFIIRRLKDLGHADTIKSA KKMLERRSEEVWDILEEVIRNHPVLLNRAPTLHRMGIQAFEPVLVEGNAIRIHPLVCK GFNADFDGDQMAVHLPLSIEAQVEAMTLMMATNNIFSPANGNPIISPTQDIVMGSYYL TVSRVGAKGEYHKDKGVESGVYATPNEVFLAYSQGKVALHAQIKLRLPAHRKLKGEGE KEFTPGMVVRTNVGRVMFNDILHPKMPFYNMTLGQKQLQGIIADCYQILGRRETISLL DRMKDLGFRESTRSGLSFATDDLKTPKSKDQIIADAEKEVAVNNKRYQRGIITDQERY NKVLDAWTHARERITAEMMEALRTDTREGDIYVNPIFLMAESGARGGVEQIRQLAGMR GLMAKPSGQIIETPIKANFREGLSVLEYFSSTHGARKGLADTALKTADSGYLTRKLAD VAQNVVITMEDCGTSQGITKGVIYKGEKVEVSLAQSIRGRVSRVNIVDPITDQVIVKE NEMITLAAARKLEDMQIEKIQVRSPMTCEASLGVCRRCYGMDLATGQLVEGGMAVGII AAQSIGEPGTQLTMRTFHIGGVATRAVEEKDIKSKREGKVKFVGINIVTNDEGNAIAL SRNGEIQVVDPKGRELEKYDVPDGATMKVTDGQQINRGQVLCEWDPHNIPILAEVGGR IRFDDIVEGETMKVEADPSGHVRRTIIEHKGDLHPQIVIEDADGKTLDYKYIPERASI EVDAGQMISAGTLLAKTPREVGGTQDITGGLPRVTELFEARRPKEPAVIAEIDGRVEL LDEKRRGKRAIIVRNESGIEREHLVPHGKYLRVHGSDRVRAGDALVEGPLVPHDILRI SGEEAVQRYLLREIQNVYRSQRVEIDDKHLEIIIAQMLRKVRVESVGDTGLLPGSVID KFEFRRVNLELLSCVKVKDGGDTEYRPGDIVPRDTFEQENLRVEAENGKKAEWIRTKP AAASTQLLGITKAAVQSDSFISAASFQETTKVLTEAALAGKVDYLVGLKENVILGHLV PAGTGFKAHLDAEVRIHPEALEALAEKGPAYARYRDETPAPAAAE OJF2_RS04385 MASIIRPTSTFPASGPATDAEFIPPLENGDRLTREEFERRYDAM PDLKKAELIDGVVYMASPVRQSHHSHSHFRLISWLGIYELDTPGVEGGDNSSVRLDMG NEPQPDAFLYIKPEHGGHARIDRDGYLDGAPDLVAEVAASSASYDLDGKFHAYRRNGV REYIVWRVLDRQLDWFVLREDRFERMVQSPDGVIRSGAFPGLWLDPQALVAGDAARLR AVANAGLDSAEHAAFVAKLVEAQSRGDAAGEA OJF2_RS04390 MTSTRESGAQPERPRRDLIALNAHIRACRRCHLAGYLDERESVP IARDPEPDAPVPEILLIGQAPGLRATNEARPFAGAAGEKLRDWLELAGVPREDFWRRV HCGAVTRCYPGRLPGARGDRVPSPAEQLLCRPWLDGVFSAIGPRVVLLVGLLAIRTYL GPVRSLTAVVGTTVERDGILYIPLPHPSGVSRWLNDPINVGTVGRAMATLRGRLQSS OJF2_RS04395 MWRHGDVFIAAVGSIPGDAARKRHVVLAEGEVTGHSHRIAEAGA AELLERDGTLYLRVLADRAKLIHQEHRAIELPRGEYRVWQQREYTPQAIRTVLD OJF2_RS04400 MATLKATLPEVTDGRLDLADCGRPVRLPDGLRLRSACLRGCDWL TELPAGLSCYELDLRGTPIRRLPADLRVVFRLDLEGCERLEELPEDFRTGSLVLRGCT GLASLPRGLRANFLDLRGCTALAGWPADLDVRVGRLSLAGCRRITSLPEGIGRLAQLD VSDCVNLTSLPEGLEVASTLELAGSGLTGLPASMAGVNLRWRGVPIDERIAFRPESIA VSEVLEEPNAERRRVLLERVGLERFLAEADADVLDEDTDPGGPRRLLRVPMRGDEDLV AVLVHCPSTGGRYLLRVPPTMRTCRQAIAWTAGFDDPDAYRPQVEA OJF2_RS04405 MALSLSILYRGPLSSCNYACGYCPFAKRRESYADLAADREALSR FVGWVEARSGDRLSVFFTPWGEALTRRWYREVLVRLTNLPNVERAAIQTNLSCRLDWA ARCDPAKLAIWATYHPGEVAREAFLGRCRELASLGIRHGVGVVGLKEHMDEIEALRRE LPEDVYLWVNAYKREPDYYDEAALRFLEAIDPLFPVNNRRHPSLGRSCRTGHSVIAVD GSGTIRRCHFVPEVIGNLYEPGFESALVERPCPAPTCSCHIGYVHLDHLGLAEVFGGG ILERIPEQPIWRR OJF2_RS04410 MSDLRTRLEGSPYQGYSYSYPHKTAYRPLAEPVPLAELWARERK DALYLYLHVPFCGMRCGFCNLFTKARPEGSLVDRYLDALGRQARVVREAIGEASFARM AVGGGTPTFLEAEALDCVLGLAERVMGAGPGRIPISVEASPGTLTAEKLRVLADRGTT RLSLGVESFDEAEARAVNRPQATAEVERALDLIREARIPTLNLDLIYGLPGQSVASWL ASLHAALRHRPEELYLYPLYVRPQTTLGRAGRDWDDLRLACYREGRSLLLGEGYAQVS MRMFRSAQAPPEAGPPYRCQEDGMIGLGCGARSYAAGLHYADAYAVRQRGVGAILEGY ASRPGAAFGLADHGHRLSEDDRRRRFVIQSLLSGEGLSFSDYSRQFGSELGDDLPEIA ELEEAGLATRGPDALRLNERGIERSDVIGPWLYSRKVRTLMEDYEWH OJF2_RS04415 MIDARALVGSRDILLVTLDTLRYDVARDALAAGRTPNLGRLLPG GRWEERHAPGSFTYSSHQAIFAGFLPTPARPGKHARPFALAFPGSETIAPATCVLDAS DLVTGLAGLGYHTICIGGVGFFNKLSPLGRVLPGLFAESHWSPALGVTDPRSTDNQVA LAIEVLSRVPGDRRVFLFLNVSAIHQPNRVYLPGAAEDTIESHAAALAYVDGALGRLF EALRRRGPWLVILCSDHGTAYGEDGFEGHRLAHPVVWTVPYAEFVLEGAAS OJF2_RS04420 MIRAILFDLDGTLVDRDGALRRYGLDLAARHPEIFPPETLDRDL RVLLAAPDRDRRRFAHRVARAFPALGPPAALAGDVAARLPSFLEPEAEVIRLIDRLRN RYRVGIVTNGSGPSQRAKLAAAGLSGLIDGVFISGVERAAKPHAAIFRRALAWAGAEP AEALFVGDDPATDVAGASRIGMRTCWVRRGRDYPDGLPRPDATIETLLELPEVLP OJF2_RS04425 MAGHRPSLDLVVVANPGSRRLALLGQAMAGRGVPAPRVVSYEEY LRGGVRLARVLREGSVLRIESPGQDFEVERAILALGTEDERPGGSSLGREGLGRLAFD RGRILGPGQWYAGFSRLLRRIREDRTDAPPHAVMNDEESIAMLFDKPRCREHLVDGGI PCPRALGPVGGYDELRDRMLDARVGRVFVKLRCGSSASGIVAFESSGPRTQAFTTVEV VEAADGPRLYNSRRIRRLSDEREIARLIDMLAADGVHVEQWVPKAGLEGLAFDVRVVV IAGRAGHAVVRLGRGPMTNLHLKSRRGSVPTLRGRMGEPAWESLLETCRRVGEAFPGC QYLGVDVAVLPGYRRHVIFEANAFGDLLPGVLDPSGRDTYTAEIEALAVRPARSRP OJF2_RS04430 MTIGEHVAEFEGRPVRDYDPDEGIADPEGLAYRLSIDYDAGEAG ETMTGLLARFLEDPNAARVQAMVIGPWEEVYDSGNTSAPIVEALVASAETLAALRAIF LGDVTYEESEMSWIGQSDVTPLFDAYPRLESFRVRGGTGLVIGSLKHANLRSLAVETG GLDAAVVRGILSSELPRLEHLELWLGSENYGKTVTAEDLGPILRGEAFPTLRYLGLRN CEDADAIAAAVADAPILDRIKVLDLSLGDLTDEGANALMGSPKVARLDKLDIHHHFAS PGVTLKIQDLGIAVDASERHEPHKYSDEEYRFIAVSE OJF2_RS39915 MNIQILIDMNLSPDWAGFLTGAGWPAVHWSTVGDPAAADRDIDA CPGAERDPGSRPGCLT OJF2_RS04440 MRELSRITLDPSVMGGKACIRGLRVTVGTVIGLLAAGRTREEIL KAYPYLEPEDIDQALAYAAWRVDEREADRSDA OJF2_RS04445 MILLDVGAADGRPIYGQIGDRVKFAVASGVLRPGEMVPSVRELS KQLVVNPNTVARAYRELQSEGLLESVRGTGLQVAEGAVARCRAARKDFVRDRIRAAIE DARGTGLDASEIEAILREEWDRGNAAANGAAGRGA OJF2_RS04450 MTATTMTGDAGSAIRIENLTKRYRGHVAVDGLSLDVPQGAVFGL LGENGAGKTTTIQVILGLLAADGGSVSVLGLDPARRGIEVRRKAGYVPEQPALYDWMT VAEIGWFAAGFHPDAATGTGGYQARYAQLVEGFGLPPRRKIRALSKGMKAKVSLSLAL AAEPPLLVLDEPTSGLDAMVRREFLESMVDIAAEGRTVLLSSHQIGEVERVASHVALV HKGKLILAEPLETLKARTFLLAMTFAGRDHPERPPAGLPVELIDAADAPRQAHWLVRA RDRAAAEAVRSMPGVESIQVETPSLEDIYIGYMRGRRPQAPAAASPAAVVA OJF2_RS04455 MVARLWWKDARQLLPIWAIVALVGLLMQGLVVRYLPDMILDGGL LAMALFWASLYACLAAVAAFAGEREFRTMTLLDTLPATRREIWLAKSSFALATAAALA LFLFLCAGLAEGGWPWLRRSGFPYSPSTALGTGIFVLVVVVSNGLFWSSWMKNVLLAA TMAILTTFLTSPVGVAFAAEYTGASRPGTLPIAASLAVAALLTAGSYLAFLRSGPPAR PLVAAPERSRRVRLATAGEAPRADDAGLAAARPAWGRSAALRIAWQAFREVRSVTPWL VLIGVVIPGAYWFFSVGDEGPALWVGNAGLVALLVGLNMFGMETRAGTQRLLAGHGVR PGVVWLVRLIVWLLPLCAVLTLGAALYLWLTAGRHIPWASFAEAPRGMYTTAFLSFLG AYLAPLAVGALSGMVFRRGIMAGAVAVLGSILLAVVVVGPTAGLLVNPRYLIVVPLAI LAVGFLWRWDWLLDRPGLGRWARLIALGLGACVLVFAGYVAERAWNIPTLTPEVDSQT FAIKLPAEVPPAENAAELYQESSRALRMRGMTGAVDGQDKKMSSGLLNEDADLLPFVR RATAMTSCRFVEAGRRTPFSGFSGFPDMYNLRMLLADSAKKRRSNGDLKGAWEDILAV FRMARQQSGAVPVFIAESGQQAEGTALWLAWNWAADAGQTADSLQAALDEFQKLPPMP SPADPYRVEALMARNAEQLPRSDYADKVQEFMASPNAKERPSPLKSLYLDVLATPWER SRMSRVSRLYLAAAIQDAVRPVAQSERAARRNFLGRWRALDAWTGEGGGVTAAEMDEL LNSSPLAQQMLPISFRYMMKVDSNEASRRALVQILGLRIYQARHDGKLPEALDELVKV GILHALPTDPFTSPSRPFGYLPSAGQRLLPLEDLDFFNPQKESARPTVGDRLLYSVGW DFRDDKAQSNGAWGGIPGDLIFPLADNVRPPK OJF2_RS04460 MISTPNQYPVHLTPEDRQALEGVTRDRRSSASKIRRARALLLSD HNRPGGHMTGPQVADALGMHLNTVARLRRSFARQGGQPALERKPRDEPAVAPIVDGRV EAHLIAICTGPPPEGRCRWTMELIAGELVRSEVVESISGETVRRAPKKNELKPWQKRS WCVPERDRARFVADMEAVLDVYAEPRDVAHPLVCMDEAAKQLTEGVEPTTAAIPGRAA KEDYHYERRGVRAIFMFFDPIRGWRRVSGRDSRMRADWAEEVRRLLDEDYPHAELVTL VCDNLNTHGIASLYEAFPAAEAHRLARRLRIVHTPRSGSWLNVAEIELSVLARQCLDR RMGSGEEVDAECAAWERARNAEGSRVIWRFTTADARIKLRHLYPQI OJF2_RS04465 MRLLLDTHAIYWYVEGSPHLSETARSLIQDAANEILISPASCWE VAVKVSIGEMILDRPHEDSLGLMHDDHGFRILPIETAHTAFLGRMPFVPGHKDPFDRL LSAQSLVERAPMVSADAALDAHGVTRIW OJF2_RS04470 MSTVLSIDEVQVRLRELILHLGPGEEVIITEDRKPVARIVGASP KPGTGLRPPPGLGKGFITVVSDDEEHLADFQEYMP OJF2_RS04475 MATATDRQEFTFQAEIKQLLHLLSHSLYQSKEIAVRELVSNASD ALDKMRYIALTDESRRDSGTLEITIDPRESEKTLVIRDNGIGMTHDELVTNLGTIAHS GSGEFLKNLASQAKAQGEGQGGSGKPDLSLIGQFGVGFYSAFMIADKVVVRTRSYKEE AGWEWESEGLGSFAVSPAEGSVPRGTEIVLHLKDDTKDLATPARIREIIRRYSSFVPH PIRLASGEVLNDQKPIWVEPKSQVTEEQYAKFYQHLTHHADEKPLWHVHLAADSPIQF RAVLFSPPTNLERFGFARLEHGLSLCAKRILVQSDCRELLPEYLRFVVGLVDSEDLPL NVSRETLQDNSVIRRIRTSLLKGVFDRLDRLAEEQPEEFQKFSAQFGIMLKEGAITDP ANRERLAKLLRFGSSNSEDPEARVSLDEYIKRMPEDQKRIYYLGGPDPGAIRKSPNLE IFRRKGLEVLYLTEPVDEFVMNALGAYGGKTLTSIDSDDLELPESAKDKVETPEAEGA EKDAEGGFSRVLDLFREAIGPRVREVRKSERLTDSPCCLVNADGGFSVQMQRLMKMTN KDFPEMSRILEVNPKAALIRRLSRLSANNEHDAFIKQCGLQLWANALILEGTTPDPED LVGRVQSFMDEAAEKRSPLILG OJF2_RS04480 MKHRDLDRRARSRRLRPEVGETLEARQLLSGAGRNVVIIVVDGL RPGSINPTDAPTLYSIQQSGVNFTNSHSVFPTFTTPNSAAIATGHYPGDTGDFSNTVY AGYPVPPAGLSPTPFLESDSVLGDVDEHYGGNFLSEESLLAYARQNGYDTAAVGKLGP VLIQDVTQGNPSGGAVPAPTTIVIDDNTGKAGGIPLPAALTQRLVAAGVIGYAGQPAG TVSGAIAGAQVVAPDRSNRDPSQAFTGPAANVASNNGFSGSNVAAGTAAPNTVQQQFF TDAITKGVLPQFASDGKPFAMVYWSRDADGTQHNQGDSLGSLAPGIDGATSRAAVKNA DDNIRQILDALRASGQLATTDVFITADHGFSTISRQALDTGGSIRSYASSLSYPGVNQ GFLPTGFVAIDLAHTLGLPLYDPDTTVNGPNNQPASYSPVDPTKGQRPRSGDGLIGGT GAIPASGQAPDAQVIVAANGGSDLIYVPSKDAATVRRIVDFLSKQDYTSGLFVDDAMG SIPGTLPLSEINLKGIATLPTPTIVINFRTFSTDSTNPLMTGVEVADTTLQQGQGMHG SFGRQDTFNTMLAIGPDFKSGYADPLPVSNADVNGTLATVLGFQIPSLGSLSGRTLSE ALVQQAGAMSGVTLTPGVLASAPDASGTRTFLAYQDVTYSLNGATVTRRYFDAAGYAG RTVGLPVLQGGAQGGSLFAGPQDLAVVGGTAGDLLYAGPNPTQLISGPAADRFVLTRG AGLATVVGFDASQGDRIGLPRGFSLGGLSLRNGTGAYAGDTLIYSRGNRRLLAVVQGV DRRALRPREFVRVAGPNRVASAAKFGAAHLAHRPAGPLAMRGR OJF2_RS04485 MEDLSLIMTLAGSLGAALVCGYITLRLGLSPILGYLMAGLVVGP YTPGFTADGRLANQFADVGVILLMFGVGLQFHVEELLAVRRVAIPGAVGLSLAATVLG AFVGVAYGWGWPAGIVFGLALSVASTVILTRVMAESGDLQTKAGHIAIGWRVVEDLLT VLVLVLMPAVFAREVGGWGLVLAVVLALGKVAVMVGLTFLLGNRLIPWVLDRVAQTRS RELFTLTVLAIALGIAVGSVELFDVSVALGAFLAGMVVGRTEFSLRAATEALPMRDAF AVLFFVSVGMLFRPKFLLESPGLVAWTVAVVMLAKPLAAFAVVAPFGLPLRTAVSVSL VAGQIGEFSFILATVGEHLGVIDERARNAIVAAAIASIAANPLIYRLVDPMTRLLGRM LKRPAPDGVPTRLGAEGAAGDRDGEVDGPSGARFRSVIVGYGPVGRTLARLLRENRVE PVIVELNVETVRRLNADGTTAIYGDAARRETLESAGVPDAVALVIGSSTMQGTAETIR HARELNPSILIFARSAYLREVEGLREAGADVVFSGEGEVALSMTESLLRHLRATPEQV DRERARIRAELLAGLPDPDSIIEGRELPAARDGEGEHAAASEAR OJF2_RS04490 MCGSAAWGALALMAMVPSQARGQATGLPAPPDAKAYSEPPKAAP FKVDSSTQTWTVKYCLGGIYPHGKFAVIGQGSATRKIAIGIDLPGQALPPSLAVSAKT SFGKNEVEIPIGPMVASWDPGAKAYVIDGRDLDVAADALVAAVNRLAAGFDSDTKVEV KSPVALLVAPRGLPGIQPEPLGADNPVTIAFQQVLPPGIGTMPSAQASPQSSPQGGAA AGPGEVRPGMAPPVEAVPLTEEGTTPLPSARSTVPPPDEGTAPLPSARSTVTPRPPTP NVPSPPEPAPR OJF2_RS04495 MSLRRLATDACLLIALAAASAGAAHGQYLTGEDGLIERYNPFKF DPSRPLTVSELCKRIDSLTESLRNDGLVAIKQPDVFSQARLTRFRIDFDRQFQKDLDQ FQLVLAARINRLDAATTTQSTTLGAALSPGGSTTVTPPNITIPGDNASIDQSKGTFGT LSFNSSGNQKIAGLGVEPTVYLDEKRRFLEHLNEIRRISLGPDQNDSSGYGLYLVRMP VSITPGECTLQGHGADLSVTVEHEFTPDFLPSTFQNLIVNDLVDVLGPFIYNVLRAGQ LDDLKKYAGRKDLANERDRLSGLLFLNKGFDQTYQVLLRRSPQWATNPSRTDAVEESI VDPLKAFILRESPIKPYTGEPEILSDLIAKRIEELANAAGADLRARPQRVEARQKEID ELIGYAAAIRRGRPIPGEAPGLVKDTLDRLIRNPLDDRLGKAGPGGSREVQVLTPEAF GLLKGLFTTALPDDVEFLLNNPWYYHVDPAASEADRKADEANRDAAKRVAGLNEVLFN QGLLQKLSANLPNSRNPKQIYPITSRDLLFYFGAENLYRIAKDVYDSRATEKIRATDV RAYLRQALNNSYYVLTRRTDLTDEPPVFADVDFMRRVYEGFRRREFDERPELPSDLKA LDDEMVGRLARMRKNMEGKPIASYCWAMTLDAVLLDGSMRRDVERVFRARGIPDDMVD DVRFFLPPDVPNDVAKAVFNDYVKAKWPILTFSLDPVTDQQNIADSFNLKRDLQLALA YAFATGQVSFNQLNTFRRQLEQASDTIALNRTVWAYAHGNNNYGFRFTPRFQNPPNQR TNLGVITSQLIGGGPGPDYGLKKSKLEPGIRETTAILLLPTFLPTMRINVAGNWFKLH DPEHLIYHTKHAMEQGRRVQELHQAVLDACSSQRYRGDDLRVLQTKLAQLEAMLPQQS RVVQVPFENTASGFELFSEGATALVPELSGFEGIDEYNPDAENGAQILLYGKYFNILD TKVVAGGRLIQSMPLDSSSPPPTKDADVGDMDILSREVIRVRIPKNAAVTTTKDQPPA TNRYVELYVATPNGISNRVLIPVTAKAAQQAPDAKVAYDLASAKLDLYYRWVRGDGDK PRLVAASDPGEKLGIKWTNSTGLAPRTLQVRFAGDIDGRFLGVTTTGDSGVKDDYVVK LRPLTVSILKRLEELFPDGAPLPKSITLTVDVQPYVPLPGMGYRVRSEAQTLPTKLQV NLTYFASGEDPLKDVKPAEVESASAGPAAGAPGLDEPDVIRTSAAAPAAQPPARLPAS IPDNLPPLPAALDSSPPLPAGLGRTLAAAAPPGGAPTRPADLARVTQFLTGQPANAAT APLQQTAASPGMTATPGQPAGPPPITVNPPPQSIVVVAPKEAEKPHKKSRFHPSRLFG SRAR OJF2_RS04500 MCAQKNTSSRKHGPKSPPPEPARGERDAVDGPATTARAEATPIP APIGQFLNTADRVLQLLRAPGAGPLSLDEIADRLRLDFGAVASNVRFLAREGLIRQEP PAEVRYSAPLETTLDEALEGLGEEAVVIADTPLEATAAGRGGPPTLPIGEDSDAIRVY CLMSGNPEKTWTFRELLGVAGDVGLPMSAERIGRLLLNLEGRGRIHAQGDGPVETLLF RILDRTFLAACPG OJF2_RS04505 MELPVKFPSDSETILDDVARFRALSPLGRVSAIRSILADGRFLV SVSPRSARSERLDAEEASLTRQSIRDFINRHGR OJF2_RS04510 MSAVESLATAFDARSVRYALIGGLALGLRGRPRFTRDVDFLLEI PQVVLPGLLEDLKERGFEIDPTEVIRQYTQEHLTSFPFGHVRVDWLRPVLPLYSRVLA DATPLAWTEGHSVRVANAEGLILTKMVAFRPQDLVDIEVLLTANRDEIDIDLIREQWA AFAASEPERTDWLEAAIARRVLLRE OJF2_RS04515 MRRHDALALALLGMACALACGPSARADDAAKPRPRAPGDAQIIG SDRAGAYFVSRGLKERYDRLLGQLEALRRDIAGARISAAEGRGRVDELSKELEGLRGE IERSRVYVAGADIYEGKATAAFPMAADDLLLIDAPNVEIRGWDKPEARCIVEKSVLSR DGKGIDEDLAGIRLERRAASGKEVFGFYIGLADKPQFKVEWEGFPFKEFLGREFVHVR LAGLRGDEGNGQITVEAKNEQGAGQVSGQWRRQARLIVYVPRCRAVGVRGGLAGFRAK GVHAALRIAGEGDRDYDTSYEISQLDGPLTVDNMAIGRVEGVRGDVSVSATGFAENVS TTHDGRGITSEVPPMRELSYRDIDGGLRVRLVRGDLTVGRVSGRIDVENDFGRTTWLA TSPLGKHDHRIVSQAGEVEVRLDPHALGDLPLACYSECGPVQLAEGAMKGQGGGVHFQ SFTAAAGEDPRRAWHGLAAGRAAFAGINSMSAIDRVSAALHGRPREPGVDVLNRGGPI RLLPPGP OJF2_RS04520 MRCDQVAASLAAGGPFARVRARRHLAGCPSCARDAARLGELTRG LAGVPPLTAAQRALWASAAMGPAPTAGDGRARRRASLAAAAAILAAIGLAAWHRLSRP GDGPREPVVARVPTTPDVPPAAAEPSDRERLAREWLARLDRLDRELETLRREADLLDV RRDAEALWGRYAAGGRVASRGVPDVPAAAAGPALATLSRPMHTFP OJF2_RS04525 MPSDRDAFLGLVDEHGAVVLAFLRRLCGRGDDAEDLFQEVAVRV WRNLASRPGLRNPRAWVMTIAYRVFLDHRAGRPRMASLEDDEGLLASRAGPDPDPAVL TETRERCAIVRDAMLGLSPPVREVFALHYTAGLSLREVAGVLGISVGTVKSRLGAGLE QLRRELS OJF2_RS04530 MTEDVDQSPGAGEPSGNKLRFGSYTGLLYMAAMLGVLAFSLLRE TGETFAFERLKPGMTPTQVAAEIGSPKAEAKDGERLVQTWKIPDGQVFTVEYRDGKLV SKERSTEAKKPH OJF2_RS04535 MPTPTTVRRIVPPQRRNFGRIHDEFPVPDLTVIQTRSYERFLQA DIPPEERTDSGLEGVFREIFPVESYDKTLKLEYLRYDLGKPRYDPDECRQLRLTYGRP LHVWLRLNKGETVLEESVYLGDMPIMIGGGEFIINGAERVVVSQLHRSPGVDFVVEIE SSDKKLHACRVIPERGSWIELQVTKKETLGVRIDQSGKFSSMTLLRAMSPQYSSDDAI LRAFYESEAIDSADPKAAAKLEGRITCGDVVDPTTGEVLIDSGATISKALAQVLADAG AKLGPIEVLKEARDQLILQSLQEDPTTDHESALLRIYQRLRPGNPPQLEKARELFHEK FFDTNRYRLGRVGRFRINRKFNQDVPEDLMTLDPLDYVNAIRYILRLRKGNDPRVHVD DIDHLGNRRLRTIDELAADELRKGFLKLRRTVQERMSLKEADDQTPRLLINPKSISAA IEYFFGRGELSQVVDQTNPLAQLTHERRLSALGPGGLNRKRAGFEVRDVHISHYGRIC PIETPEGTNIGLISSLGIYGGVDEYGFLITPYRKVKSGKPVEGNEGVVWMRADEESEA HLAPADAHIDDHGKLKGPALIARYQTDFVSVPVDKIEYMDISPKQMVGVSAGLIPFLE HDDANRALMGSNMQRQAVPLLVAEPPIVCTGLERSVAMNSGMVVKALQDGTITYVDST RIIIDHNHIYKLRKYVGLNERTCLNQKPVVQVGQKVKSGDILADGASTFHGELALGRN VLVAFQAWDGYNFEDAIIISEKLVRDDVYTSIHIEEFEIEIRETKLGREEFTRDIPNV SEKALRNLDDNGVVRIGTYVKPGDILVGKVAPKSKSELTPEEKLLHAIFGRAGEDVKN DSLEVPSGVEGIVINTQRFSRRVSLSEDERKAFEKELKDTEAGENARIADEYRQMIKA LEEVVGGPVADPNTGKPLGRAKDAKDLVEESDRFKAESLDIRSPDAIKKVKEVARQYA PRIEALKDEKERRLNSLKRGDELPSGVLQMVKVYIATKRVISVGDKMAGRHGNKGVIA KILPEEDMPFLEDGTAVEILLNPLGVPSRMNVGQILETHLGWAAAKLGFQAVCPVFDG ASEETIRQCLKDAGLPENGKATLYDGRTGQKFDQRTTVGYIYMLKLHHLVDDKIHARA TGPYSLITQQPLGGKARFGGQRFGEMEVWALEAYGAAYILQELLTVKSDDVEGRTKIY ESMVKGENTLEAGTPASFDVLTNEIRGLGLNMQLEKKRI OJF2_RS04540 MATAEATTFADNIKSLGDSIVKLTVLEAKSLGDYLEEVHGIKPA AAAVAVAAGPAAGAAPAEAAAPKTEFDVVLEAIGANKINVIKVVRAATALGLKEAKDL VESAPKEIKTGISKDDAEKLKKELEEAGATVKIK OJF2_RS04545 MSKYVKELMMDQLRADLGETRSVLVMDLKGLDAISEFQLRRDLR KKSIKLRALKNSLARKVFGEMGMGGLSKYLEGPTVLAWGGEGVAELAKEISTQVKNLK KPEIKGGVVDGVVIGPGQVEDITKLPSREQLIARVVALALAPAQRIVALANAPAAGLM SQLKTMSEGSGGEEGTAEPAEEAKPEGA OJF2_RS04550 MPHHSKRYRALSDKLKAPAPVPLAEAVKVLKGFGTTKFNQTVEV STHLGIDPRQSDQNVRGSVALPHGIGKSVRVAVFAQGDNAEKARAAGADIVGADDLAQ QIKGGTMDFDVALATPDMMGIVGPLGRVLGPRGLMPSPRSGTVTADIGSAVREFKAGK IEFRNDKGGNVAVPVGKISFTEEQLVENINAFLNYLRTLKPAAAKGTYLQSITVSATM SPGIRIVA OJF2_RS04555 MAKVMTAKVKLQCPGGQATPAPPVGPALGQHGVNIGQFVMQFNE RTKDMKGTTIPVEITVYSDRSFEFITKSPPAAVLLKQAAGIASGSAVPNKTKVGSVTA DQVKKIAETKFADLNARDIEHACRIIAGTARSMGVEVKG OJF2_RS04560 MSDDSTDNPIDNTDEAAEPTPPGAHAAADEDSVAGDEADRGLPP DDDAVEETPDGGRPHAKGARAPKQAPRAEAEGDGGDADEATEEAHPASNGATPTEDDE PAPELVWYVLKVQSSREDTIRDALQRRVKIQGLERFFGKTEEGKPRIVVPTEKITEIR NNKKRVIEKKTYPGYIMVQMELNEKTWFLVRETPGVGDFVGAHGTPTKMTDVEVNQML HQEEEKSTTEAPKVRIDVERGDRVKIKDGPFESFEGTVEDIIEDRGLVKVMLIIFNRP TPVDLEHWKLERI OJF2_RS04565 MGKVKDDLSAAKPAKNPKVKPGGGPPSMVTRFFLNFLQTGLYKP MQGWNARLWTAIGLGVIVLSGVYLLYQSVLDYEPMARLSIPTVVLLALGWAIFRLVQF PPFAEFLIATEAEMNKVSWTSKDDLYRATTVVLTTVVLMAVFLFVVDWLWLFILRNIG VLQFAGGGGFGSTA OJF2_RS04575 MREYVWLECTGCGERNYRVQKETRGAGRLELKKYCRRERKHTPH KESRKK OJF2_RS04580 MAKETFTRTKPHVNVGTIGHIDHGKTTLTAALLAVLAERGKAKT KSYADIAKGGTVRDATKTVTIAVSHVEYESEKRHYAHIDCPGHADYIKNMITGAAQMD GAILVVSAADGPMPQTREHILLARQVGVPALVVFLNKIDLVDDPELLELVEMELRELL THYKFPGDEIPIIRGSSRPALENPGDDAAAKPILDLVKAMDEYIPDPVREIDKPFLMP IEDVFSIKGRGTVGTGRIERGKVKVGDAVEIIGFGAKKPTTITGVEMFQKTLDEGVAG DNVGVLLRGVEKNDLERGQVICKPGSITPHTKFEAEVYVLGKEEGGRHTPFFKGYRPQ FYIRTTDVTGSILNLLSEDGSEAEMCMPGDNIKMTVELHSPIAMENNLRFAIREGGKT VGAGVVVKILE OJF2_RS04605 MPAHDGLGVRVFRGCISLALATFLAVQGRAQGVPDGALEPVGPV PAGAPEMTPPLTTAAAPAASGASVAAGSRGRMSVLEVIRASIFDDIYSEEAQARWTPL TLRGFFTEGWDQPFVLPTASDNGVPRQGWTNAFGGHFFRAWFLAFGYAQGIDSTIGNS YFGQYTLFVPLNRRFEAQVDIPFVISSKGGVPSRYRDNFGDMAWHVRLQLSESKNFSQ LINVAVRTPTGDPVNGNGVTSLRPMYQTWWNVFGQWVLRTETGVNIPVSHTPASGHTT LLNTVAVGRYFAGDKDGWIHMLWLYLVAQESSVVAGSPRRESVFSLTPGMRSHLTFLP GLWFLFGGVNVPMTGPQSYSYQGIIGILKDY OJF2_RS04610 MGPAEIVASLKELCTQKGPRLSTEDIVDWIDQNHGFESNAELVQ FAKKMKARQYARLLEFEDEESGLKIKRLWSFYDSRKARRFYVDILDMPPDERQRLIQQ YARFLKQLRSIRKAMSDYFAGQQFFEFYQGDDEPEPVAPMAPASPV OJF2_RS04615 MRGSWMLVVSLAGRLALGGEPSRPSSPDPVAVDRGRTALLAHGY LKAEWGIDAYRNAWKFWGTPAPDPEADPEGYARAFASYYGLHPAPYDNEGLPMGLRWS KKADGTKAGFQVDCMACHGGSIAGKSYVGLANSTVDYELLLFDLFRADGRRPPLVPFT INTARGTVNAGMMSIVLLSVRNPDLSRRTFPLLLGSNLPELDAPAWWVLKHKTTMYQD GRTPAASVRSIMQFLLAEKTRAEFEALEPTFADIRAYIGSLEPPAYPLPIDATVAARG KAVFERSCARCHGTYGPVRSYPNKLVPLDVVGTDPARLLGISDRAVEHYNASWFAEHH PVSLDRNGYQAPPLDGIWATAPYLHNGSVPTLHALLHSTERPARFTRPPSTELEHYDA RNVGWKFTPVEASPAASPAPRKTSREAHFVYDTHRYGLGNQGHTFGDKLTEDQRMDLI EYLKTL OJF2_RS04620 MRLSGEAFQALRKAIHELCGLVIGEDKRYLITSRLEPVLRQHAL PSYDALAAALGGANSMPLRDQVVESITTKETSFNRDGHPFEELRRSIVPALAGRLRER RASTRLADPGARIWCSAVATGQEAYSVAMAVADFLASRPGLGLTLDDFPILATDVSQA SLAIAREGRYTAADVARGVPPAQRDRYFRPMGDAWAIDDRLRRAIEFRRLNLNHPLPS LGTFDLVLCRNVLIYFDEGHRRRLCQGLHRALIPGGFLMIGAAESLFGITDTFTPVRM GGTIVHIRS OJF2_RS04625 MTPEPLQVLIVDDSRIFRSAMEDALKELPGVRVVGSVWSGEKAV DFVRESPPDLVTLDLTMPGRGGLEAMDEIRRLDVSRPDRPPVGILLVSALTERGASIT VEGLSRGAFDFIRKPEGPDPAANAAALRRELHDKVRLFALRRRRAAPAATPLGPAAAG PAGPAGPAGPAGRYQAVAIGSSTGGPEALTAVLPGLTRHASAPLFIVQHLPRGMTHYF AESLARKCSYRVVEASSGEQVEPRTAYVAPGGRHMVVRRHSGRASIALNDHPPENGCR PSVDVLFRSVAEAYPGSVLAIVLSGMGDDGARGLGPLKRRGAHVIAQDEATSVVWGMP GAAVATNLVDETLPLDRIGPRASSLLGAGAPP OJF2_RS41120 MMNLKLSHKMLMVAAILMASMFTVGLVAVTRLANMNAQIRELVD RTFVKREVLADVQAKLLECLRAQKNAVIAPDDEASKNFAAASRSFLAVVRSGLERLKA LTTADLAEGQVAATDALGSSVEAFAKVNSDALDLAVQNTNLKAKRILKGDIQRQTDIL ASLLRKWIAVLASKPSPSAADVARLKALAEVQAALLGMYPALARHIETSSREEMAVEE KRLAELQGRIQSGLEMAREWDAAGQAEGGAALAEIRSLQAGILKLSEIDSTNRAAMLS LGESMTAAEGCLARINALDKLLSAEATAGRDRSAVAYTTGLAWILGVTLVGLSLGSLA AFSITRRIVTEVGGISAQLARSAGDLSGVSDRLLSHSEHTSLRASSVASASEQLTTNI STMASAAEEMSTSVASISSASEEMSINVGTISSAAEQTAANVSVVSAAVAEISGSFAD VLGDVREGSHVAGEASRMADSASETIQLLNRSGAEISKVTEAIKMIALQTNLLALNAT IEATSAGEAGRGFAVVAHEIKELANQSAKAAEDIARRIEGVQEDTRKSVQVIQGVSQI IREINASSGRISESVEKQTRAATMISQNVSEASRGVGDIARSIAEVAKAAGDMSRNVS EAARGATDVSRNVAEAAKAASGISADIHGVRDTSRATADSASRVHGSAEQLDRISRTL RNLIGQAGHTAEDPTAA OJF2_RS04635 MTSAAAPSGRMFCTFRLDGRLFGFDVLDVKEVTPAAPTTRVPHA PDEVLGLVNIRGHIHLALSIRRLLGMPPAAAAPDNRLVLFKPPVGEAFGVIVDEVSEI RAVEAARIEPFASAGREGPAPGRGHRDLIDCVCELDGELLVVLDARRMLAAVETTFHA T OJF2_RS04640 MAARPDEFVAQAREHLATLEQALLSLEKGGADADPREQADRCLR AVHSIKGDAGFLGYTAIRTLADAMESALEVVRDRVERPRAPAVERLIAAGDRLATLVD DPENSNSADLGGILTRLEQVRRPAPDAADSWAIDLREAARPRSGRLAEYLATLAHLGT VVAPVLVADVGDLREGLPAGPLRLEFRLGSNVPREEIRRVLGLADESTPREPHAAVSL QIDLDEWSRGRGLTLGPLLAELAGVGPAEAAGLEIGPADLAAGLGRGPVRWHGRLRTA LGEAEVRRRLGLGAEAREPGRAQPGPAPPAAPRQPTTGGRVPAPEADRSASLRINVEL LDRLMTLAGELTLVRNQSLLAFEREDDRVRPIVQRLDAVTSAIQETVLRARMQPIGNI FGRFPRVVRDLARQLGKRVEVAVVGREVELDKTILEQLSDPLTHLVRNSVDHGIEPPA DRIAAGKPEVGRIELTAAHEEGQIRIEIRDDGRGIDPQAVRAKAMAMRLRSEAELDRM SRRDLLSLILLPGFSTARSVSELSGRGVGMDVVKTNIEQLEGTLTIDSRPGLGTAMIL RLPLTLAIIPCLMVAAGGEPYAIPQRDLEEAICLHPGLKGRVELAFDSEVYRLRGRLL PVVRLADVLGRPRPFAGGAGAEVAARHDEGPDPRRVAYILVLRSAGRRYGLVVDEIRG TEEVVVKPMHPSIKRVRIFSGATIMGDGRVALIADAAGILEHARPGFEPTRPAATARR GEGGAPPAHRVLLFEYGPSEQFALPLLEIRRVEMAGLDRIERVGEDEFVAVDGLPTRL LRLDQVIAASSPGSPPPGPAPRAALILPKSSPRAVAILATRIVDTESLAVDLQPHPEC DRGVIGSAIVRGRMTLFLDMQGLVRRLLAAFEPRGPRPASEGRPPGRVLVVDDTPFFL ELIRRRLESEGHRVETAANGEQASALLTAGGAYDLIISDIEMPVMDGWEFAREVRRRG LKIPMLALTSLSGPAYEARARECGYDAYEVKLDQDRLIRAVAGLLAAKEAHA OJF2_RS04645 MSSGSGLEDLSLMELFRSEVEAHSEALSAALLALERSPEDISRL DAMMRAAHSIKGAARVVGVDAMVGVSHAMEDCFVAAQRGRLTLSPAGIDVLLRGVDLM GKIAAASKDPQVDLMGRFDGPARGLVAELESLLAGGGRIGDRPGPATTPAPAPAGRSP ASPGALRGADAPSPATIVAPELLDEAAAERLRRQYLDAQERGCDPIRLDLRSTRDLDV QGLALLAAMPRHAAQLGRPRLQLAGVSDEMETVLGVTGLGGSYEAQAGSAREGG OJF2_RS04650 MIPTRPLRRSALLLVLAALAPASVPAARADDGIPPVSERAKAVQ ASGLLWDGHNDLPWRLRSEGDMALTKFDLSKRLDSGQTDIPRLREGGVKAQFWSVYIP SEHANPAKTVVEQIDLVHRLVAKYPKDLELALTADDVERIVKGGKIASLIGMEGGIAI EDDLAMLRAFHGLGARYMTLTHNSTLSWADAANDRPKHGGLTPFGERVVREMNRLGML VDISHVSVATMDDALRVSKAPVIASHSSAFALCPSPRNVPDEILLRVKQNGGVVMVNF YSNFIVADAAKKVKAAREAVLARYADEAAGKKALEAWYRTEGKTLARGTIKDVADHID HIAKVAGIDHVGIGSDFDGITRWPVGLDDVSCYPRLTDELLRRGYSEDDVHKVLGLNI LRVFREAGDVATRLQAEVAPEVDEIKPEPHDD OJF2_RS04655 MVDPELELRQARSRRPRRPPWRPMGFPWRSTLFAGLFSVAFLAV APTVAFRGIDILVPGIWSVSPIAPSAFSTRGVLDAILASALFSALALTATMAQLQWGW PDRAVALLAFPAAWAVVLPSALADMPSWPAWLTLGTLAALAFDLHWLIFCGAREALD OJF2_RS04660 MMKAVIVRDRTTSGDVFHERVLEFSRVPITVRDLIRERVRAEAM DFNRNPAARVYHGLVPPADAQCIPVGDLVEYRLGSHRRIEWEPQLARALEGFARGDYF LMVDGRHVQDLDAEIAIGRGTLVSFVKQSMLVCC OJF2_RS04665 MKKTVIVRDETPTGEILNERVLTFDADQLTVGDLLRELAHAEAR DFNEDPGSTTIHGLIGPETPGASAGDHASASPPAPPVRPQPLLDRTTQAFDLGHYLLL LDDTRVERLDHEFLIDRGTLARVIWMTVLDRP OJF2_RS04670 MPRGIEFARARDAIASAFSPTQFDRFLLETFDYRRVHHVQDGPF LDVVTGVLEAFAREGRDLSLLSEVAAARPDRQDIQAIHRRYEESLRLELPGPSPATGA DRFLRFLAEALPDRADVERVLATIGSRLPGGWPWQAGHREGLARLIADADRERWIRLL LFGTHYDRRGRPGLMDLGEGALTRWEREGIRLAERLDELGVDAAAAADCYDRALTALS YRACRPPGAKPTLLLVAHAVVAAQEACSSDRPGPGDDRPLQRFLRHLAARGEAIASGL EELHETLAARCGWDGGLREDAGRDGDRYSVVVELKDGAAWSPGTPYWSRRAWLYRHGA GTWARLDDELEDRTETAATMPGYLSAICDRVARLTGHDVSQELIPFEIFLPRSRLGHG IDEWQVSVMGEFLVELGYQTPVVVRSLESGEARKAKLAARWKGLRDARSWHAERHLAF KERGGDPTAHLKEFLARRDLQCVVLKQPLPPDADPAREFPCVLAAIAAGVPAILWARD PSVVPRLSEEVSRWAEGPPRRLPELVHQLRQAADEGGDPRCRITLFFENGDYALPPEH QASEAR OJF2_RS04675 MNDWHIFHGTPREPHAEGHRLRDLAPPWRRFGGAAETRGRTYLP SPEEVALVNAALYLRRPILVTGPPGSGKSSLAYAIAEELALGEVLKWPINSRSTLADG LYHYDAVARLRDAQLAPAASAAPRATDDISPYLRLQWLGTALASGSPRVVLIDEIDKA DIDLPNDLLHILEEGSFVIPELQRLAEAHPEVPVRTCEAGRDESIVIPAGVVRCLSFP IIVLTSNGERDLPLAFHRRCLRLDIQPPDGDRLLRIVESHLGESLQLDAAARERIGRL IDEFLNLRNRGKVMATDQLMNLVYLLFRGEMRDGSDEARGIRDLLFRGLDE OJF2_RS04680 MSPGGDGAARFSGRDAAAREIARGIMEFAASRGFTPSHEDLLDL LWLAAHLPAGHSFAPAAEGDLARAPGVRPAPRPAAEPVPKGPSDSPADSPADALSPGE GASTEAPDGGRVPVFPPGASRGGEGPALVLPSPPVLPSERAFLQACRPLMLRGPSRAR LCPDVEETARRWADEGIVEVALRPATERRVELVVVLDFGPSMDMWRGLMREVARAFVA SGGFRRVTVACMLRDGGSPRLVDPRHAADRTAPAILPGWSSGAGSRLLLIVTDCISRH WRGPEMGRLLGRWARGGASALLQVLPEREWSRTALGRAEFRRAVASSALAPNRHYREA RDPADELDRWLLEQEATAVIAPGAPLLPVAPLLDVASLSRLARFLGGRTSLPAPCFRL KPGEEAPTVGSLDPSQALDAFVRRGSKRARELAILLAASPAVSLPIARILRRNFMGHA GGPGLEAEIWTSGLLELMEEPLKDDPDQVLYRYRAGIQRRLLDGSPRDLRQRVIEATS RYLEEHLGRLRGFAAMLADPASHAGGLETGPASDPMAWVAAEVLRRQGGDLARVAASY SGSSARASSAVADLAKRAERYLHSGEHLTGRFAWSPDGATLAVPTLGGRLVLVNAADN SRASVRASRQAAPQAAWSPDGTEIAIACLSRVVRVFNKDRQQLYELWGHQSGVSAVAY SPEGRYLASGTMGGSVRLWRRADREQINLKHVATRGEVRSLAWLDEERLAAGYGDWTV IYRAGPTGLILDRTIEAAGTALAPCTPAVAGWLEAEAHLAIGRTNGEIQFWRSGASEP ARSLFPGQGAVTSIAASTDGRLLATKGRDGTVAVVLLATGKVVASMRLPPTESPYGTV AFRPGTSSLAVARQDDREVALHALDETPPQETGRLRIRVVGSGPVQTGFQDACRRIGR EIASRHHELVISTANKSTADYHAFLGYLEAAEGGGRVTLVRVMDARGVQHLETLRSLA NPAGSGEGAFRDAVVRELMRAFTPEAVRALMPAWSRPQPVGDDRPVAEPSFDLASMMK FDPKELMTLLIAAADRLPANRVLAALRDRAPLASAIELVVEPIAEARNRNGGEVQAFG LSDAAIAIGGERGTLRAISIAEEFEIPIVPLPQFDGAARQAWQAGAGVSSEQPADIPA WRERLGAGQAIEALSVADIDAVPVLAVDILESASAVEGDRPWPRVVLLEDASGVEPLF ATGLAIDGRRILRLTLPAPPDPAEEGWRLLVVHDVEAGPTGPDARRPAREGRWQRRIR GAGLEWFRSEPPLEGFGEPPIFEAIGDDVLRDAPVRLRVARRSGGETIRGVVEGIDPE TVRIWCDRPLPPELAGAPVVIRSYGRLVVMGMAVDIGLQGEPGSCVALRLTGEMIDQI VSPDGRDAAARPRSPAAGLGVVVPRWVRVVGSGRHYLSTAEREAARSVGRILADLSYG LITGGWPGVDYVAAQAFWEVLLDRDIPSPAARLVHEVAPGGKPDFPDGTVVEAGSPGG EGRPVAPREHAIVLIGGFEASRRVADEGRARGIPVFPIRGTGQEADALHEDMLASWRV VPGLPASPVEFEEAASWPGGFPDLLRSLLAGLPAGDQGPA OJF2_RS04685 MPQIRCRFCHESVDAGEIRAHEAEHLKPRPDGQQSEYVTLPPEE RAEGDLAGVPRAYVHRKCGAGTGMPEEIIRSYLKDPFLYTAEATYCCGCRRHVPWREC RWVETGEDLETYFRALQAAKPEMRPGPLARLVILLARLFRR OJF2_RS04690 MSRMGHVILLGDSVFDNARYVPGGPSVIEHTRRALPAGWRATLL AVDGSVASGVRAQLDRLPADATQLVVSVGGNDALGHSALIHNAAAASFAEVLHRLGEI CVAFQADYRAMLQALAAKGLPTIVCTVYDAIPGLGLPDLTGLRLFNEVILREAFRAGL SVIDLRLICREAADYASTSPIEPSAQGGGKIARVVARAVAESGGPTAGSRVFA OJF2_RS38995 MAQSVIEGYLRWLEYESDAHAWVLNSMETVPEERRGSPEFRRAV SILAHVVLARRIWLARLGAAPAPPASAGTLFPEDAEVERVAADWHDVRSLWFAYLRGL DDAALDREVEYRSLDGKRFRNRVRDILDQLYGHSWYHRGQIAMLLRAAGGQPAMTDLI YWSREALPDSEA OJF2_RS04700 MPAPRRPAAVAFLILAASPAWAAGVRLGAPFGDHMVIQRDRPVR VWGEAAGGAGVRVRLGPRQERATAAPDGRWSATLEPLPAGGPYVLTAESGGQRAEAAD VLVGDVWLCSGQSNMQMTLKESDGGPEAADAAGALGKLRLCSVGKRPSAVPESVGEIR WRVASRESARDFSAVGFFFAADLLADPALRGVPVGVIDASFGGTMCEGWVPAEALSGF AREDLRDSMFGIRPSGLYNGMIAPLGKTSIKGVVWYQGEGNSDRPALYPRLLAALFAS WRGRFEDPGLPFIVVQLPDYAPGWSGVSWAWIREAQAAAVRSAEHASLAVGIDTNDGS DLHPRPKREIGRRAALLALHDVYGRPVVARGPEFLRATPEGGSLRVAFDTAGDGLMAR GGGPVRGFAVAGADGRYRYADAAIDGDAVVLRSQSVPSPLTVRYAWAGAPGANLVNRS GLPAAPFRTDRATQADADVQRQLAGRLVQTKSYEVVVGGNGMVTSLVAGGKQFLSNDP GWAGGTTLTGGWAPRNLADIREPGPGFLSCADDDVRLDLEFGEGGMDWTVANRGKGEV RLRIALHPKVAVHRRGEPGPVELRRDAAIVLVTGADLVSGPEGSQVLEAAIAGGATRR LTLSFAGK OJF2_RS04705 MKRRSATPWKKSRTYGDIHGGRARPRLADNVFALVHSLRPPAPG RSTPILVQDNPSSAFSFPVAIEELAAALSRLPAGHAEGLTHIWLRRRPGRGRALLPLA EFVRGSGVSAIVLYPWPRDGKLDLGRDRLPSRTTAAYLRFGGQVAREHGRWHVRFAAE SDLRRFVVEHLFCHELGHHVDWYRRRWSKANVRRVEEYADQFAARWGPLAATALSER OJF2_RS04710 MPHPDRGRCTGRGDQPGRLRRLLLAATAATLAVVTPASEASAQE RPAGAARANPNARRDRPGFYKGRRIADVMSWEGVEWLFRETRVQEEQPEAMLDALKIR PGDTVADVGAGAGYHSIRLARRVGEKGTVLATDVQREMIAMLERNAREAGLANIRPVL ATQRSTKLPDGKVDLILMVDVYHEATDPEALLKGLLVALRPGGRLVLVEFRGEDPEVP IKPEHKMTVKQVRREVEPQGFRFVDSLEFLPWQHVIIFDKPEDTPKRDEAGKAARPAA TPSGDTSRRP OJF2_RS04715 MSADGQGLGPGPVPAFGSDEQRREWLIETLGETFCRKVGVYRIP EGFVLSVVIPAYNERKTIHEILRRVRAVPIPKQIIVVDDCSKDGTREILREMADRDGD LTVVCHDVNRGKGAALRTGFQHATGDVVIVQDADLEYEPEQYPQLLQPIIEGKADVVF GSRFIGETHRVLYFWHSIANRGLTLLSNMFTNLNLTDMEVCYKLFRREIIQGIVLKSD RFGFEPEVTAKVARHQIKDDNGGSRACRIYEIPVSYHGRTYREGKKIGVKDGFQALYC IIRYALAD OJF2_RS04720 MRIDQDGLPHVDPSATGLGVRPGVDVDLDRDVVLQNGKGMSVNP DWRFAPLFRIPSRLRHLCHGARGPDSSGCFRYGSGPFEAAPFAEGLSLEPDSPTHANV TPITPMTLEQYQDAIARTRPGWTIDEA OJF2_RS04725 MTVAGRLDSPEADAIRDVTDAPWEALTEVEKKRIAGLSEDLYSM TDPPREPIEEVEDRFLDVIAEILYPSRGHAPDGLLEQLRRWKDELDPALLSYLRGQIW LRTGDLATAALFFKHAFELRPGNKEYFIWYQVTRKAESRERVAALP OJF2_RS04730 MQGTIVMLMALSGLGCHHKSCDVTFAPTAYYDSCYSGCYADGYH APYSGVVEPSCYSGCYGTSYSGCYSSCYGGCYGGYDSCYDPCYTPRKRCGLFSGLFSC FGRKGGGFGGCHRSYSECDSCFPASYGCYSGCYSGCYSGSYAGVYGDYSPAVFGSSMP IYETPMSTGQNAMAPAAAPAPPAADRPINPSATTPPADTMAPTPPTPGATPPAPPTPA PSVTPDATTPSIPSTPSVPKPPIPTVPGSTKPSI OJF2_RS04735 MGSRSSGRKGQPGRIEAAPTLPEGAQGGMPDGGRTAAASLAGLP PASASPWPRWARIVASLAILYHMAAVVAGAVGVPPSSPLERRFADTFAAYHDLVDQGY AYRYYAEPPPTPVAAAVVRFGDGREDVTVRLPDRSLGWPLMRHQRQLALANALFNDVQ GARRSGGEATLSRLGRAYARHLGHAYPGSRSVTLHVQQHLIPSPEHVRRSAAAPGGRG FDLFDEDLFTSPEWVGDYPCDGS OJF2_RS04740 MRRIVSDLAAYLRALSSAARRGWDAFFFAPADPTPLGLVRIATA LLAAWSLFVLGLDLDAYLGSHGWADPAAIRGPQLERQPWAWSFWFLVPDAMLRPAWIA CLATYLLLAAGLFSRVTAVLGWIIYVSTVRRVPIALFGFDQVISTLLFYLMIAGASGQ AVSLDRFFRRWRDARAVAASKAFAAGIGRLVAPRIPAVPAPTVSAGVSLRLIQLHLVF IYLMAGLAKLQGPSWWNGMALWGTMMAGEFVTRDFSGLADWPYVINALTHASLALELL YPVLIWVGVLRPLMIAGAVALHLGIAFVAPGLTEFGLAMIAANLAFSSGAWLRSLVAG RPDAQPALRVLFDGQCPRCRATMAGLTAADPDRVLEPIDLNAVEPSSVHPSLTKDACM ASMHAVRPSGRVYAGFDAMRAIAGCLPIAWPLAAIGRLPGVAVVGRKVYNRIAASRPR DVPCTDEACALPGRGQSALSGERDRDPREPSRPARIPAEEMQPR OJF2_RS04745 MSPNHSAGGQDARALRDRPAPAQVVPHLYVHEPGWRVSLKRGSH REFCYAQAPGQDYYHRILDGELYLHHGDEKLCLSCACRRGLIEFEPRGLARPIDISLA DSAELPTFHPDDDL OJF2_RS04750 MIRLRGVSWCALGAAFLVSSPPAALAQEPGPAAKESEPPAAIRS ITGKEIGGHLRFLASDLMRGRDTASPESRLAGQYLASHLFAAGAEPMGEPEDGKPSYF QTIPLESVTPLEQGTELVLTLERNGSKQVIPCKLGGDFFLYPRGLVAGEIEAPVVFAG YGRSRSDPGADDYEGLDVRGKIALVYDGQPGEGPKSDGHAPAFNPFAKGQAARKNGAV AVLLIRPPGREWPAAARTLDARGMGFDRPSMRLASSPSEIPVIGLTDPVRDVLVAGLG LTADSKPRAPDGLGARFGFAARREVKTDRNVVGLFPGSDPEKKKEVVVFSAHYDHVGV DEKGEIFNGSDDNASGTSSLLEIAEAFGQAPRPSRSVAFLWVSGEEKGLLGSQWFAGH MTLPEGYKVVADINLDMVSRNDPHRIGVTPSPRHDEYNALVPAAQRLCKAEGLELVFD ADEYFHRTDSASFAHKGVPVIFFFAGVHEDYHRPTDDVEKADVEKAARVARAAFRLGW SVATAEKAPTKIKPEEKAAAR OJF2_RS41125 MPLRPRKNKNRQAKYHRCQRCGGQVRKRSFRCKKCSESQR OJF2_RS04755 MTTHADTVNPGRLARSLAVLSVLCFWLLPFSPAVAIGAVSTTRG ASGWTRRLAVAGAWLCVAYTALMTGLFLRAAMEIRW OJF2_RS04760 MAIPRDWLCHEIDAAAVEAGLVDDNAPELWLRTWWAMRDRMRPG DELWAYFREETAISVRPSPVEIAGFEQVLDGETLARLGLPGFEDEDEGFATNFRSGYA VVRDGEVVDSIEDP OJF2_RS04765 MLRELWLVHPESAMCEAFRLRFEGLPGVRVVRAMFQELDSHDCF VTAGNAFGIMTAGIDAAVVDRLGEGLMRRVQQRILDDYLGEQPIGTAFVVPTGDAAIP FLVHAPKMRVPGSIEGTDKVYCATWATLLAILAHNRTAESPIEVAAFPAMGTGFGGVP FDEAARQMAAAYRHLLELPHRLDWDFVAHRHRSVCYDGKRQVAR OJF2_RS04770 MSRPSRTRMKRALVLILVAWQLSLTVLLTAAGAGDRLIGALAGM LWGLDLLWIGGCGLLSLYGRERVRSIFRRTGPLPGLKFTLLATGLALLEEVVTIAMTN CAPLFGCRVGEVYITASANYLDVVALHSVVVFIPQFAAWAWLLSRHAFRPFEVFLLFG LTGFLNEAMFSGPNPASLAQWILVYGLMVYLPAYAFPDLPGRRPVRWWMFPIAVVLPI LAAIPLVFLLTAVIAPGHPQIHFPPIGER OJF2_RS04775 MMASPIVFFDIAGPDDVALRNFYAAVFGWQADPFGQVRVDATTP LPGMFRKRPPDTLIFLGVPDVSASLAEVDARGGKIEAPRAEVPGVMALGLFRDPAGNA MGLIERPIAGSPIVFLEIAGPDDLALRDFYAGTFGWPVEPSGQVRVEATTPLGGAFRK DPVDKRIYIGVPDVTATLAEVQARGGTVDAPRFEVPGVVVLGLFRDPAGNTMGLVEME GDRPKIP OJF2_RS04780 MVFDPLYMLMMLPGMLIAGWAQAKIASAYREGSRYRASSGATGA QAAAAIMRAEGIENVPIEPVAGQLTDHYDPSKKVLRLSETNYEYNTLAAVGVAAHEAG HALQDAHHYPLMTVRNLIVPLASFGSNFAIMALVVGMMARSMWFIWLGIILFSTTVVF QLVNLPVEFDASRRARKELQRTGLISPEEDRVVGKVLNAAAMTYVAATLTSIFQLLYF FLRARGSSSGRRDDYV OJF2_RS04785 MQIQERGEKASGFDVSRRGFVVTTLATGFAAATQPVSAQTIATD AKGLTAGEVKIPVKDGEIPAYRACPAEGGSFPTVLVVQEIFGVHEHIKDICRRFAKLG YYAVAPELYARQGDVSKFTDFRDIIGKVVSKVPDEQVMSDLDAAVAFAKESGKADTAK LGITGYCWGGRIVWLYAAHNPNLKAGVAWYGRLVGDPDELHPKSPIDVVDKIHAPILG LYGEEDTGIPVNSVEAMREALKRAGKTAEIVLYPKTPHAFFADYRPSYRKESAEDGWK RLLAWFKANGVA OJF2_RS04790 MIHGDSRGGRSPRGGWSLALLACLMIAAPRGLAAGAGRGEGGPD ERKGGAGTTPTYTKDILPILQKSCMNCHRSRQVGPFSLETYEQAKKRADDIAAVAGDR SMPPWQPKAGKGPRLKHDPSLTAADVAKLEAWADAGAPRGEEKDSPSPVTFAEGWTLG TPDMVLEMAEPYQVPASGPDIYRCFVIPTNLRRDITISAVEYQPGNRKVVHHAMAFLD TAGGGRERDANDPGPGYTSYSNAGVPVEGDLGGWAAGNTVHHLPDGIGRPVPAYSDVL LQVHYHPSGKVESDRTRIGLYFCKKPVRQMLHWANATNDKFRLPAGQADVEVKATWNV PVDVEALAVTPHMHQLGRSFRMFAVFPGGRTQDLLHIESWDPNWQNTYYFDKPISLPR GSSVKIVAHFDNSAHPRNPHSPPKAVGWGPEVGDEMLVGYIGVVKKGQDLTRPGEKDD LYDILVRQYVRKLLREQSAKSAR OJF2_RS04795 MPASQQIAGGEALGRALLGGVASRVRSVKAPLGGLAFAAAAAAL CGTFLHPQGFAAFFAVLAVAARGLAWPWPSAQGARRLDQLRLPSAPGAWSRSVPSSSA IGSISPAGASLSC OJF2_RS04800 MLVALVLGAVLVGTAGCDRPTQVAAGNREIITSLATAVSARNKD WLESNARLIETRHDEGRLSDAEHDALTAVVSKAMAGDWKAAEQDIYALREAQVPTAED IRNLEQRKLAPEDRAPRNVPNAPRRGRAPSRS OJF2_RS04805 MQTRRRTPRDPGFTLIELLVVIAIMALLIAMLMPAVQSAREAAR RAQCTNNLKQVGLAMHGYHDSHGQFPPGYLILPGGSTLMGPPDPLTRDAGPGWAWGAL LLPYLELSPLHASLNVNLPCWLPANTTGARASVAVFLCPSVSEASLLYDVKDEGGDVL ATLSRSHYAANAGRQEAWGYAADDWSALADGPIFRNGKVRDASVTDGLSNTIFAGEHS AVLSDKTWVGIVPGAIGCPTPRFATSWCDVGATQALVHSGPNPGEDPPLIHPPNARSC KLCGMYAEHPDGSNVLMGDGSVRFARSTISQAVWPALATRAGGEVISADSY OJF2_RS04810 MSLLTTMRFAGLDGDPPGRRGMRPPANDRKSDFLARTAPRMTGR MMALARRILGDDAEAADAVQEALIALWDEPEMPQRPARWLARAVVLNSLHLARTRARR RKHERLAGERRAEASDGDEPSGRAEFAELRMGLDEAMRSMPPDQRAVVRLWTDGRLDY AGIAESLGIPIGTVRSRLSRARQHLRTVLEANGPPAAGRHPRADDRPEASTASHDSRR SHPGPPPMKMPAPHRPRGFTLIELLVVISIIAVLIALLLPAVQSAREAARRAQCTNNL KQIGLALHNYHSAFDVFPPGYVSAVDKTVTDPCDQDAENASSVDLGPGWAWGSMILAQ MEQQNAYNAINFNLSVAYKANDTCSTLVLSVYLCPSDDGPPVVPVFADPPDPNDPGTY SGTNVVDYVSRGNYVGMWGIGEICAGSAPTDAPNVGSIGTPAGIFHRNSATRIAAITD GTSNTIAVGERSHNLSYVTWTARSIGGWLGKTSPIEGGTDKFNPSPEECWTQVMGPAG LEDGNRTINQEEAHVEDYWSRHPGGANFLLGDGSVRFLKSSINPAPWRAMATRNFGEV ISADSY OJF2_RS04815 MPRPARPLLACCLLAIHAVATLCGPCLHDLPGWAHSPELSRAEG HQGGVAEGAATAEEAAAIPAGALHLQGDDCPICHYLGQPQLAADPPTLATGWQPSGWQ PFPERPPATRTSVRSASPRAPPASTAVAA OJF2_RS04820 MIQRIRRTRRSFLSLVCAGPAAILTGCGGPRHAEAIPADQQGLR ELAAAYRDFFHKNRRAPKGARELRGKGPGQGLPNALDLLNSGELVVAWGSPPADDGGD TILAFSKAAPERGGPVLMQDCRTIRPLTAEEFAATPRAAAK OJF2_RS04825 MSATPLSLETAEFEFLVGPYDSSSETPAEPPSRTIRVKYGAVSD TGRVRARNEDHYMVARVRRTLDVLAHNLPKGEMAESLAEDAYVMVVADGMGGMNSGDV ASMLAISTGVKLADRSVKWGLKINDQEAAELLRRMSMYFRLIDRRITRKSEQHRRYFG MGTTMTLAYSVGIHLFLIHVGDSRAYLYRRGKLEQLTRDHTVAQALADAGQIEQSEVR THSKRNTLTNYLGGHWGRVKADVLHLQLEDDDRVLVCSDGLSDMVDDPGIAAVLAAHP EPDAAALALTRAALDGGGRDNVTVLVARYGIPFSPRSTEEADATPVAPGPAHADVATE DFPTEPAG OJF2_RS04830 MRLDKLVSDRYGLSRRAAQEAVRKGQVDVDGARCLEPGLEVEPD AAVAFDVNRPRFGGADRHLSVLHEDPEILVVDKPAGLLTQPTQEREGDTLLERVGRYL MRKRGRTRPYVGIVHRLDRDTTGTILVATSPQVLRPLQAMFRAHAARREYLAVVEGAF LTPEGTIDLPLVEDRGDGRRGVARGRGPARGVEAVTHYRVVEAFGTVASLLSCRLETG RTHQVRIHLAAIGHPVVADPVYRDRSLPKFPVPFSRQALHARSLELAHPSTGQPVRAE APLPADLAGLLEALRGRAGSPDG OJF2_RS04835 MRLARRRSPRGAGSRRLALWSALAVGGILPSVGCQVEYAGMTLP SGKYMHDDVQYFPAGPEFPWANTQAATQRARMRAMGIEPPAGPGTYAPPVGAGTVPGV QNQGGRFTDTNAGSFGADPASVNPTPAPPAPGIPGGPAVPAGGAPPAGGAAAPGGGNT LPAPPPPGNG OJF2_RS04840 MIAPPVIQIVLGLYAALLAAGGVIGLLKAGSRPSLIAGLISSAL TVLAFALSFSYPLAGLALGFLVALSLTFFFAARFFKSRKVMPSGMLAVLSLLVAFLTF LAMAG OJF2_RS04845 MPPAGIDEEIAALRAIVEGTAGSTGGRFFESLVGHLAAALGVSH AFVAEFAGSATRVRTLAYHGKGRILPNVEFDLAGTPCEDVVRGNLCHHPAGIREKFPD DRGLAELGLESYLGVPLLDGAGEVLGHLAVCDVRPMPPEPRTLFIFRIFAARAAAELE RSRIERRLTESERRYRELYDEAPNAYVSLDRGFRLASVNRTACQLLGRTAGELLGTDA LRLFAATPKGRDLAEKALREVAAGAEISGLELEVRRDDGPLWVSTWMRPSRDAGGRVA SINAIWADVTDRVLAEAERARLAEQNLYLRQEIQAAHDSEEIVGRSPALLAVLEKVRR VAPTDATVLIQGETGTGKELIARAIHAASPRRDRPLIKVNCAALPAGLVESELFGHEK GAFTGAVARRTGRFALADGGSIFLDEVGELPLDVQAKLLRVLQEREFDTIGGTAPTRV DVRVIAATNRDLLAAVRERAFREDLYYRLGVFPIALPPLRDRAEDIPLLSRSLLEKLS RRIGRRFDGIDPDTLRRLTAYAWPGNVRELENVLERAVILAPGPTLTVEPDVLGASPG ARPGPPDSLEAIQREHILEVLRRTRWVIEGPQGAAAALGLHPNTLRSRLKKLGLPRPT HETS OJF2_RS40370 MQASSRSDRASPKSSSFTRPAGASSQMLAGLTSRWTSPAPWATA SPAAISRPIRSAARIGTSGSRSSQAWSDRPRSSSMARNWMPSSSPTS OJF2_RS40375 MGLDASSRRVQETPGHRELPPAPGSPTVGGPVADRPAADPPPPP NPSPPAASTESAAARGPNPGDWGRPVDPDGDTAIELDATSGEIKFGIPGTPHVLGAEI GRMNAPRVLRPVRGDFAAGVVVNGVSHPAGRATLPDYAAFHGAGLLLWQDERNYLRLE IAAEVRRGRPRPYANFELRRGGVLASSQGIEIKDGSSRLLLVRRGGVVRGAFGRDGTR WTWFAPVELGFDGPSRIGGAAINSATKPLYADLQSLRVVPIGDAGESEAVREASGLPA ADPDPSSQADATGPSVPQRTGDH OJF2_RS40380 MKRDAKPMPLAGPLSRGLAAALLALATGATALAQQPEAPVRKEL EEFREKAAKSAPADRLRAYEQGIEDVRKSGVLDRALKVGDRAPDFELPDANGKTVRLS DLRAKGPVVLTWYRGGWCPYCNIALRGLQRRLPDFRSAGATLVAISPELPDNSMSTAE KGHLEFEVLSDRGGKVARDYGVAYKIPGVVAEQFRGRLDLAKHNGGDGDELPLGATYV IDRGGIIRYAFLDADYRKRAEPSDVLDAVRAIPAKP OJF2_RS04855 MRIRLLIVAAASLLPLAGLPARGQEAGGPASRRLVLVELFTSQG CDMCPAAEKILGELSARDPRVVPIAFHVDYFNEPWKDVFSDPLYSRRQMAYNELYAGP KDPNYGLYYTPMLMVDGVEPVNGRDPQSAVAAIRRAGARKPAVDVDVSLDVKDPGGKA KAQAAATIRVRSRSPRAERTPLLVCAVIREDGVVTDVPSGENAGKSLVARFPARRTKY EFVELDGKAASTSRFSFDVDPSWDRRHLRLAAFVQDKRTGAVLQAADIPWPASPASAA ARGR OJF2_RS04860 MQELKDRIDASWREGKFREAVAPAEEAFALTKEVLGPEHWRAKE AGHRVDTLRTIAGLSDQGRREMARVPVLIREEARLFREGKFRDAEKIDRDLLVLRQRW LGKGHLDTAASHNNLGDVLVDLERYDEAAEQHGQSLKIKLAVLGEDDPSTARSHDNLG TVLLHLKRWAEAGDHLERALRGYRTAYGEVHPETAKIHKYLAQLASEWGRRAEAETHY RIALASLLELKEEHGEATIACREQLASLYFHRGEYADAEAQLGPMLASCLRVHGKSDR RTLDARNNLAVCLMKLSKPHEAEDLLRQNLAIELERDGQFHASVHMSRLNLAAVLQEQ GRHLAAEKECLLALDIAARLYPESDERMCESRNLLGVIETSLGNLASAEEQHRRALAS SLAARGELHQRTIGCRQNLGEVLRGQGKYAEAVEQQRKALGSALQAKDTPRGVVSLIR NNLALALEGLGDYEAARLQFMDALELARKESGEKSAETARRLANLSSGYREQGRLAEA EDFARRSLAIRIETLGEGSPDTAWSHNALGWVLEARRRYAEAETHFRLALTTQLSSLG EDNLDTIITRTHLASSLDELGRRDAAIEHWEKAALSFGRSRWARDSTGLDRAVRSGVS PLADLALALARRGEPRRAWERWEADLARGLLDDFSARQARPLTAAERKEESDVLGRLQ TLDEGIGVLASRKVRTPDEDRQLDDLQRQQNAARGRLIALERRLDETYRALAGVPSSL EDIRTVLPVGAALVGWLDSGRHHWACVVRRDGDPAWVSIPGSGEGGTWTGADVLRPMR LRDALAAGDPIWRDLAAEIARQRIAPLLPRLEGVGHLIVLPSPALSGLPLEALLVACG ETADRRLVSYAPSGSMLARLARPRPAGTGGPSLFALGDPAFAPPDKSDAPPPPDHGLA VATVDQSGGAGPSEIREGDVLLEYNGRRLAGLGDLKEARPGDPEKPTPVKIWRDGEVR ELTVAAGLPGIGFDLKRSIGEVVLAHRAAEEILRPLNRGVALKRLQGSRREVEAIARL FPSGRVHTLLGEQATESAVQALAAGGDLARYRFLHFATHGRADTTIALNSEIILAPET AHAGPDKGLSGRTPEADGRITALQIVRTWQLDADLVVLSACQTALGRVADGEGYLGFS QALFVKGARSVVLSLWKVEDKATALLMGRFYENLLGKRQGLHGPMPKAAAIDEARRWL RGLDHVDAAEALAGLGKDHPPAERGGVRAVNLEPVPRGERPYAHPKHWAGFILIGDPN OJF2_RS04865 MLYHLLTGHAPHEGESREDVLSKAEKGHVVSPRQWNPTISRPLE RACLKALASEPSARYGSPAEFGEALRHLGRRKRQAALVGVIVIILALAAGAYWWLRPP PLPPGPLSGRLAVHQYRSYEDPKRLLGIGRLGEGSDSCRVNDLLKVGFDLDRPAYAYL IALNAKGGAELLVPTSADEVPRRTYRHVFPQGPRDFYILNDGAGYHAFVLVASREPLP SFSRWALGLKDLPWTTIQKDGVWIFDDLGLRPELAASGLERGQVITDDTAPQPILEVC QKLQSIPGIDTVRALGFPVRTPGDR OJF2_RS04870 MSTPSGADREGQVCDLIRRAQAGDRPARDVLVALLYEEFRALAR RRMSRERPDHTLQATALVNEALRKLLCDTTIARATDRNFLLRAASRAMDQVLTDHARH RNRAGGPGGKHRVPLGAIAEPADLHQVSALDGVIERLEGFERISVSQLIGALEALDDL DPRSALVARYRYLLQWPISRVASELSTSGRTSTALAGCSITC OJF2_RS04875 MLTAERKRWLLDVLKSEGKLLASDLSKRLGVSEDTVRRDLRELD KAGLLQRVHGGALPRSQTSIDYTEREKESTEAKEEIGEAAARLLRPGEVVAIDGGTTP LAVAEHLPADLALTVVTHSLPAVEVLSGHPKVDCVVVGGRLIKRYRATVGIAAVDAYR MLRPDACVLGAAGVHPVGGVTIFDGEEAEVKRAMVEHAARVIVVVAGEKLGTVAPYLL IPASRITHLVTDPSASEDAVQSLRELGVEVVVA OJF2_RS04880 MLRTLGGEPDDGPAALRRARGAVLAMFAVDGLGFGPWAAHLPEF KASLGLSDGGLSVPLFATVMGSLAAMPVAGRLIPRAGSRRVLLAAAFLYSAIVPLIAL AVAVGGGLPLFTAVAFFYGAIKGTIDVAANAQAVGVERASSSPILSSCHGCWSLGSLA GAGGAALALRLGSPPLLTMGLAGLVLAALTVASAPHLRADDRAEASGGAGMTEAPRGS VWPTGRLLPLGVLAFLGLFCEGSVADWSAVYLAGPVGVSAASAALGFTAYMTAMTLTR FLGDRLVGRLGPAAVLRGGGLLVAAGLGGALAARSLPAAMVGFGLVGVGLANAVPVIF RSAGSGHDPGGAIASVSTIGYLGFLAGPPAIGVLAEAVGLPVALLLVVAFGLAIAVAA GAALGRGERRGGVPAPALSS OJF2_RS04885 MANATFPYALAAIDIDDTLVGPDKRIGRANRRAVGRLRDLGCRV ILASGRRHANMLAYCEELGLDDYVVSSHGARVEHPRTGEILHRAWLDSDLAASLVAEG LDRGHTVMLWLAEGIYAQAETRWVDAYRRATGNDPVTVADLRALSGRPAEKVTWSAEP ERIAAHLAELSARRDPRVCALTTDDWCIELTAREAHKADGVAAVASSAGIPREAVLAF GDGNNDVSMLSWAGLGVAMPHGRPSARAAARAVAADGDPESALARAVDHIAAGFPSPV AEQDLRRVD OJF2_RS04890 MTTAFLLLVGLPMPAGAPTRAVAQDRPGAAAPAPVSVDVPNREP IPMRPVAKLPPGPVKENSGIVRSRQFPDLFWMHNDSGDEPRVYPIHRNGEAYRSTREP ETPGVLIGGAINVDWEDITVDSDGNLIVADVGNNGNDRRDLVLYYIPEPSPDASRTTF RKKVFVRYPGQKDFPAPRSDFNYDCEAVFTVGNAVHLLTKHRSDTSMKLYRLDHPKAE QTNDLTFLEAFDVRDKAVGADATPDGKRVVVVTYKAIWLFERDDASQPLFGGRISYAP YQSPQVEAVCFADDKSLLLADEETGQLFEVELSGLTRLR OJF2_RS04895 MLEEIQKIDRCRVSGFKSIRQADLALGEFNVVIGANGAGKSNLV SYFAFLQAVTAGGLESYVGRYGGPEAFLYLGPQQTKEIASILDVTTRAGTGTFFQRLE FQAPDRLFYSFDHARSNGGEGLPEGYVDGPFWIRADRGPDGSRLQIQKHVVDGLRVFH FHDTSLTAPIRRAGYIEDNRGLLPDGGNLAAFLYRLRETRRNAYQRIVGTIRLVAPFF DDFSLEPRALDPTRILLNWKQLGTDYLFGPHQLSDGTLRAMAIIALLLQPEEELPRLI VIDEPEIGLHPYALSVVVSLLRKASHRAQVLVATQSPEFVDECEPEDLICVERKGQES VFTRPDPDNLQEWLEEYSLGEIWRKNVIGGGPH OJF2_RS04900 MARLYLFAEGQTEQTFADTILVPHLAHFGVYLRRSVLIATGRRH GRVHRGGGRMYLPMKNDILRFLRQERGQDVFFTTMIDLYAIHADMPGLAAAEPLRHDP HRRVREIERSFANDIGDPRFIPYIQLHEFEAFLFAGVESFGYFYDGAEKAIGNLKAIA DAHASPEQIDDGPQTAPSKRIIENLPGYEGAKPTVGPQVAELIGLATIRAKCPHFDGW VSRLEALGRLAPVPQLDNPAVPPA OJF2_RS04905 MSTLTRKQREVRQRELMLLDVARKILIEQGYAGLTMDRVAEATE YSKWTVYQHFATKEDLVAALLIQSTEHRLELFTKARTFRGRPRERLCALGVADELFTL KHPHSFRSEQIIKMADLENRASPARRETMERQDDCISGWIRETAEEAAAAGDLALPRS LTPGDLTFSLLAMVLGSHSLRVNHGRMLEGLLSAEPLIALRSSVHVLLDGYGWKPLLS EWDYDATYRRIYGETFADEPAIRAIESLRR OJF2_RS04910 MRMVGVGGFAVLAVWARGWVAAHVAERGEKPGTEPPAMVRAVVL RPERLDAGVSYHAAVKEVERAELSFRVDGTIESLLEVKGPDGRPHTVHEGDVIARGTP LAKLDARDYRRERDMAAERLAGAEARRAQAEAEAGLARVERERAERLAQRASATASEL DAARARDASSRAALAGARREVESARIALDQAEANLSYCSLASPFERARVASRSVDLGQ RVTAGRAAFVVHDLSGVAIAFGVPDTLVGRIKLGDPMEVTSDALPAERFRGVVYKIGA AADARTRTYPVEVRVDDPRGLRPGMIATVHLSRASDALLLPLTALVPRASGPGCDAFV VVDGPHGPVVRRRRATIEDVVDDRATVLADATPGPGQSLAPGDRVVVDGVHRLSDGRA VVVED OJF2_RS04915 MAGNEQGLERPGNTPGGRLTIAQFFVYRQAIAWTLLVATLAWGV YAYSAMPQRQDPLIQIRSGVVLTAYPGASALEVEQELTRKVEKKLAENPAVEHVRSIS RQGLSVVFVDLYDTTKDAEEVWHDLDGKLAAMPDLPSSGGAPVRPRLDKDFGDTVAVM LTLSSPPVPDSEIDRHAEVVARRLAEVRARADRGRLSGEPAPTTAARRASVVLVHPSG LDPALVERLGRSFLRDLAERKVADDGQFVGLRGAGAIDVRLAPGVDRRRLEEELTSWK AETLAAGLGHPDIWPPVVVESLDDLAAELRRAVRDEPGGVARYSYEQLHRFADRIQDR LRQSPRVGKVEQLGVVDEAIYLYLSGRRLGASGLDMQAIGRLLAARNLDVPGGEVQLN RGSLVVKPTGKLLGQADLEDIVVDGKDGYPIYLRDLAEIVRGYEDPPRMLHFRTVKEE ARAEIGKAADTAGPHPLTTTRAVTLAVRHVKGTHIADFSRDVESALQSLKGVLPGDLR VERTSDEAERVERKIHEFDDCLIEAVAIVVVVALLFMEWRSALLVALSIPITLAMTLG ACAAMGIDLQQVSIAALIIALGLLVDDPVVAGDAINREMAHGAPRDVAAWLGPQKLAR AILYATITNCVAFLPLLLVTGVTGEFIYSLPIVVTASLVASRIVSMTFVPMLGRILLR GQLGLEAGLAEGGRGSRFARLYNGFSEVCMEYKWTSLAVCLIALAAGASLISLIGSSF FPKDLHNVFTVDLFLTEGTPIRRTKDEAMRAIAEIDALVGEEVEGYTTFVGAGGPRFW LSAVPEQPAPRYAQIMVHARDRHRTGAVAERLRRELPPRISSARVRVNQLETGPPIGI PVQVRLTGPDVATIRRLGEQVKDLLREHPGVTDIQDDWDPEIFRLGLKIDPDRANAAG VTNEVAANVVHGAVSGSTATTIRDRDRMIPVVLRLRPDERSRLSDLSTLGVPAAEGSR VPLDQIASFRAEAAAPKIARRDHERCLTVKCDAAPGVLPSRIVEFLEKRFAEVTPAWP PGYRVAFGGEKEEQAKGFASVRTAMIVSILAIYVALVMQFNSVTKPLVVFAAVPFGMV GGLMGLLLFDVPLGFMALLGLSSLAGVVISHVIVLFEYIEEAHERGEPLRRAVIDAAL VRLRPVLVTVLATVGGLIPLVIRGGPLWEPLCYVQIVGLLLATLVTKVVVPVLYVLFV EDFHLIPWEEKAADEEPASVPKPAAPARREPATGRV OJF2_RS04920 MKRIRQILELNLYACASLAAYSLIRDNWWISGEEKVLVSTFAFI PAYVPFYVVRHILPARCPACGTRTLDHARAYALPTPLIRQTRWCRNCGRTFRGYPGRE WRYDPPSGP OJF2_RS04925 MNDDRPPVLVPATPEYVLEVIRDSHRQQCRFDPEADPTMALTFE TTVDAWRSACDLIGWRRLGRALDDEWRLGLSDTAWKAVLEPARERTLRDVCGLIAARG SRPVIRPLTILGRACRPAGAFLAIRSLLRDAGADVDGLSPSTPLREYTRHHPRVFLGP ISRLAPNALPPVEVRTPLHEISSCGPFLVGFLLWIAGIFLGPGWSLAGVLVMLAGWAV SWLTAALPSERVEFGDLRTFRDLSHCLAENSHRP OJF2_RS04930 MPQVGYDEQTIRQHRTLGAATRCHRMLQRLNLKNFTVFQDAEVE FVPGINVFLGANATGKTHAMKVVYALIRSVKDYHAKHASPTRRSRGLEPKIEEKLSAV FRPEAGSTGRLVRRFGSSPIADVSLTLKGAKIEGGIDFESFHLEILDDSASCPASLFL PAREVLSIYPGFLAAYQNRELAFDETYYDLCLALSASPLRGRRGAAASSIREPLVACL DAEVVLRGDSFFVKSEDDGIVEAHLVAEGYRKIATLLHLIANGSLAKGSVLFWDEPEA NLNPRLTKVVADFLLRLAGNGIQVVLATHDYLLTNELSLQAEYQTDAARRSPIRFFSF TRGKDQSVGVQWGATLADLAENPIMEEFQALYDRERRLFLARDEEPAGRGD OJF2_RS04935 MPTVIEERFLRFEFSDDWLAVKYDDHPDYRERIGGLRETKAIDL VATFRRNRHTLHFIEVKDFRGHRIENRERIRDGELAVEVAQKVRDTIAGIIAAHHRGK AETWGPHAQCLVTPDRPVKVVLWLEEDLPHIPPGRPQNRASVLTDALKRELRWLTTKV LVLNIASGSLDGLGVTNLPGAGHQP OJF2_RS04940 MRDDRPPVLVPATPEYVLDVFGNDHRRIVRCLAGQEPDRPLTFE TTVAEWQTEIDLVASSRWIGRALNDIWQLGRPDADWRAVLEPARERTLGELCEFIASG ARMRALEPLAILGRPCLPAGAFLAIRSLLIEAGADVEGVSPSTSLDEYVLRHRDVFQG PISRLAPASLPIVLVHRNYSWHDAAVLGRRLGHMTAFVGKFLSPIVMMAGLLLALVSY VGSWITVPPDPREWVEFGPLQTFRDLSYAVADGAWVLEE OJF2_RS04945 MSQRPIDELLRELEDQSRLVRGLHAAILESLAIPPDDSLLDSLA EAEASLRNIEGEYAARRAERQPGAGEPSRAMGLLLGPETTGLRVETMVRLKPVPTGIY HLLDPETDPLLTVSITNESFEWRRVCVSAHIEGLSARAIKTVELRPGDREPKVIPMLP SLLPEQARRIVQVQRATLQVRVEIFASIEDARTRNPNWSSLIESHDTHSLLMLARTSS FNSVADPSTGTRRDLSKYYGAWVTPHIEPVQAIVRKAAEKLAGRRIWGYQGERDPEAT AAQVRALFDTLAQEGIAYVNSIIDFGAGPGQATQRTRLPRESLRQKAANCIDGTVLFA SLLECASLHAGLVLIPGHAFVAWETSRGSRTWDYLETTMISDGDFEAARDRARSLFAC FFDPARLRPDDPTGPRLLDLNTLRAQGIWPME OJF2_RS04950 MSEVICQVTNHADRVELVWSSRGGFFRPYAIAGAELAELRDAAA RARKALEVLVHALNTAGPQPMPWEPAFKVVEAGFELYNRLLPGGEDTARSVRNWLVDL RDRSGSLGLEVVVEELSEDPASYLSVPWNLVYDDYPEDHEAEFRAGRGAERWRPFWAI RYALTTGRRVEPLRRSPSWEAPRVIAVIDPSVRANLKEEQRDELDRFLAEARLTPVGS LKDLRAELRKGYPRLLYWLGHATPEYLRLGDAEKVRPADLRNLLSSYASREKPEGMLA FLNACQTAESGSGGSFLDVLHHFGFAGAIATERQTIDNFANEFGLAFLRGFLRDGKPL GQLLHELRLERAPLGLLYGAHCPPEIRVAPGAEPPCEPMAIREDVQAAGALLGAATLP EAGPDAGLVPRLAAKGDSATDPAVLPGRPYPSLGFYDEGDRALFTGRDADVVRFAATL DRPDTRVLILHAESGVGKSSFLRAGVIPYLEGECSGYRFFRRADGSLLILSTAKDLVG QFARALLDLSETPLRFEAPAGGPLEVDLRPVIDEAIGAKADYARLRESLASDPNLLAA ILARMAGRLPHALVLVLDQAEEVFTLARTKQDEANRDQALRMIQGLVDVRADVKLIVA LRTEYYGRLLDHLREGRRDLVGVRDDLLRDFSRPALIEAIKRPTREPSYGFRYAEGIP ERIADAVLDLRRDHQDGILPLVQVICTQLYEREKEDTVSAGVVTEDDLNAIRGVEGGL RAFAEDALRRVMSLSAEDRRAFKDLYGRLCNRQPDGTLTTSLHLRDGLAARWARPTSF DEVLEKAVRVRLLREDEIRIEGEKPLRYIRLGHDALARVAAEWGQEGARRARFRRLVL ATAGSLTLAAAMLSLALFAFQQAGTARRSQQFAEDSRRLADARSRAFQMTSAALAFDR GSKLCEGGEVDRGLLWLARSLKLTPPDEKGLRRVTLANLAAWQSRLTPLEAIFRHDGG VRAVAFSPDGATALTGGFDKTARLWDVATGLPRGKPLEHPDTVSAVAYRPDGEYLATC CQDGEIRLWDAGTGERASAWTPIKHQENFTNTVTFSWDNSLMVTTSYNEEVRSWHAAT GQPLDKRIKPEGHVTSAALSRDGKWLLLGYAERKAQLWDLEAAQPRPVQGLNHSEVVS AVAFSPDSRACATGGYDSAVRIWDVATGSLVREIIQPRSAVVAVAFSPDGRLILSGHL DGYARLWDATTGRPRGAPIRHQTWVPAVAFRPDGSQILTGSGDKTARIWNLQIVDGEK VFDHGDWVRSAAISPDGRSMVTGGSDKSVRIWDTTSGSLRGSPLKFDDEVNIVAFDPG GDRFLAGGSDKSIRIWDASTRSPIGPPLRHGTAVMSGAFSPDGKYVATGTVGGKAHLW DVAQGRGVAEPASLASQVWGIAFHPSGGSFATADYSGVVQVWDVGTLRPIGPPLRHPI SVFSVAYNRDGSILATACDDGYARLWDVATARPIGTPIQHPCSVWSVAFSPDGETLLT GDQNGSARLWDIATGKPVGPPFRHEATVCSVAYRRDGKAVLTGSWDRTARIWPVARHS LKDDQAGIELWTRALTGLELEDKGTYRVLEVQEWQQVRSDLKARWGTLPGREALESAE PTQASRGGP OJF2_RS04955 MSEVICQVTNHADRVELVWSSRGGFFRPYAIAGAELAELRDAAA RARKALEVLVHALNSSGQGPMPWEPAFGLVEAGFELYNRLLPGGDDTASRVREWLVGL GDGPGPIELEVVVEELSGNPAAYLSVPWNLVYDDFPEDHESEFRSGVGAERWRPFWAI RYNLTTGRRVEPLKRLPGWTRPRVVAVIDAKVRENLGADQGAALDRFLAEEGLAPVGS LKELRAELRKGPPRLLYWLGHATPDCLHLGDTERVRPGDLRSLLSGYASRERPEGMLA FLNACRTAEAGSGGSFLDVLHDFGFTGAIATERQTIDNFANEFGLAFLRGFLREGKPL GRLLHELRLSNPALGLLYGAHCPPEIRVLPAGEPRAEAMPIGEGAAVAGTVLGATTTP AAWPIPDLGHRPVTTSRATPPGPPLPEKPYPSLGYYDEGDRALFTGRDADAVRFAATL DRPDTRILVLHAESGVGKSSFLRAGVVPYLEGECVGYRFFRRPDGGLLIVQPAKDPVG QLARALIEMAEDPLRFRSPHGEDIVIDLRPVLDEAVGAEAGSGQVDAALRADEDLLAD LLSRMSARLPHVLVLVLDQAEELFTLARTKEEREDRDRALRMIQRLVDLRADVKLIVA LRTEYYGRLLDHLRQGRRDLVGVRDDLLRDFSRADVIEAIKRPTAEARYGFRYADGVP EEITDGVLALRSENQDSVLPLVQVICTQLYEREKEDTVSAGVITSQDLAAIKGVRGGL RAFAEDAIERSMRLSKADGLAFRDLLGGLYNRQADGTLTTWLASRAGLEARWGRPTPF ARVLEAAKSARLLREDEIRIEGDRPRRYIRLGHDALADVAAAWEEERGRRDRFRRAIG AIAGSLALAALMLLLAVVAWRGAAEARARRREAQIGAANLALDRGLALCEQEDVASGL TWLARSLQIVGRDSPPLERVIRANLGAWHTRLSQLRQVLPHELDVKGVSFHPDGKSVL TGSTDRTARIWDASTGEPLGEPMLHPDSVESVAFSHDGRTIATGCNDGSVRLWDVSSQ RLIGDALRHPGGIWAITFSPDDRSLLTASDDNRARLWNLERRTVVGTMIHSSTISCVD FSRDGLLAVTADTEVRFWDASTGRPMTYPKIAPGAQVRDFALGPDGQSLLVGTMGRNA SLWEVRSGRLIREFGPHPGPVYAVAFSPDGRYISTGSNDGAVRFWEAASGRAAGDSLK HQGWVTSVAFSPLGDTILTGSGDRTARLWKWRAASDAGVGLSDPGELRDAAFAPDGKA IVTVTRRGDVRSWNSEDGSPLDREPDRDRGPLDSRPTGGGMALLAPSENELVVWDGRT RKPLTAPLPHPSRIIGASISRDGRILATSCLDRRIRVWGMPDCRPIASREFDSLIWAI AIHPERRLILLGGGDGFVRTMDLDAGEISREPVTYSGGVVAAAFSPDGRKFVLCSNDL WARVWTYGEETKEIQKFRTDTSVWAVAFDPGMQAIATGSVGGILQFWDISTGKPIDAP MVHDFAIASLRISPDGRSILTMGFLDETARLWKRPLASLDGDADQVASRIRALTGLNL REDGVMESLPYTEWRRPHDPATR OJF2_RS04960 MTTGRGDRRGWRGPAGMLAVAVALGVAGWVVEARAWARQEKGDA TGAAKRSEKPAATPEAPAPGLMEREAEKQEEAAAMPAMPGDDGSREVLKALRELGGAA RKDAARARQAAARAPLPKRPAKTVTPPTVTPADLDAMLAKYLKEKDPKVEPAPPTSDV EFVRRAYLDLAGTPPTPRQVAEFVGSRAKDKRARLIDALLESPEMARNWARYWRDVIK FHATNQNPGQVRYDLLEEWLEAQFRAKRPWDEIVSAMITATGRVDENGAVAFPLASEA KPVEMAGEVSRLFLGVQIQCAECHDHKTDSWKRQQFHELAAFFSGTRSRRVDKAMPGQ PAVFSVETTPRARYAMPDLADPKKQIPVAPRFFLASSREEVPSLPETLAPADRRALGA SYVTGQDNPWFARAFVNRTWFVLMGESFYDVVDDIGPEREPKAREVIETLADQWQKGG YDVRWLLRTIANTQAYQRRVRSTANPAGKTAFAANCPSRLRADQIADALVEALGLPED LRPVPPPGAAKGKGAGRAQGGKMPVAKVKGAAKVAEATGLGGAPVQGKGPNKAVRAGG ARALFGALFSMDPSAAPDEILSTIPQALFLMNGPIVQNRTQARPDTALGEILATSSSD REALNRLYLRTLSRQPNAKEVEACAAYLARAGNRVEAFEDIYWALVNTTEFVSRR OJF2_RS04965 MTDESSIVRVGMNGQGVVSRRGFIRTMSWGAAGLGAAAGAGVIP ATFTDLMVLKADELRKRQMACILLWMAGGPSQLETFDPKPGTEHGGTTKAIETSVPGI SIAEGWNQTAKVMKEIALVRSMTNREANHQRASYQLHTGYAPSATIKHPHLGCSVAAQ LGESKFDLPHIVSIGGATAGAGFLGASLEPFVIQNAERPPDNTQPRVAVDRFKRRLGL LNNLEVAGFGTNGGADRVKEHRAVYTQTARMVLSPEMKAFDLEGESPALRDAYGRTAF GQGCLLARRLVQAGVTFVEVRSNGWDTHQEVNDRVGKLAGQVDPAFATLIRDLKEHGM LGRTLVVWMGEFGRTPKINANAGRDHFPRVFNVALAGGGVRGGQVIGASNADGTDVKD RPVSVPDLMASLCHGLGVNAQKEVDTPIGRPIKVVDGGKAVAELFG OJF2_RS04970 MRLRHVARRWLPGVVALAAVLGVASRIFDDWSRGGPCRPLVEAL RDGDAEARQDAVADLFTLTLNGVDMSPAIPALVECLDDRDGTVGEVAADALAQVGTKA APAVPAAARLLRGGRADLRPRLLRILAAVHVREADEILEGALDDPDARRRVEAFEALD FARRADLVPAVIRRLAADPAPAARLAALRMLAATEPHSDRVVEAECLALRDTVPEVRL AGVSLLGTQGRGSTAATEALLASIRDADPRVRAASLNALGQIGFNDERILPELFDAMR DSRIREEARDAIQKLAGRPSSGLAPPTGSLRAATATLRNALGSTDPRTRGAAASMILL RMDDSRRVYEPGLEALADMLPLVGSKVKAQDAAVRRPALLFLLRAVPSEEVLRFFLDA IVDAAAAPVAEPPSPAAREAWHSALTALIGRARSGDEPLRGGPLTWFLPDDLLLWFLP EIVAAMEDEAEEDLRFEAILVVGSLLEPRRALFPPGGDAWRSLRDGLTKQLREDRREI KDMVLQCLEQLDGKAEPPSPLLP OJF2_RS04975 MRTPARFGLGLCGLALVAAPMAKAADDRLPAGATVVAADPSAMA PAPKHQHKGLFGSRHCVECQRARAKQRDGVDVPPPPATLPAGAIPGRVVHSHGQAAAP CAACEAAAAGGTVVMGPTTVVSGPVTVVEGLPAGHATVGGPAVADASAPGHAVVGPGM DAASMAAAGPAPVGVSRAAMAAAPRTAALGGRPGSSPYDPAVRATSIPPAQTGIEGAE PGRPRILGHLFGVSAMRRDFQDMRAARQNQGRDAHAAISYDDPNKAVTDLPASMVYGR GQGH OJF2_RS04980 MRFGRWRGVALAFSLAALTGAAAVPARADGYHLHPTLPPEVPAY NYATGGEYFAPPVPYGHYAKDPHGEIAKGLGMVHGLLGKFGGLGHGQGCGLGGCGHGD GCGHGGGCGNGPGCGHGGGGGGCGFCFGKGLFHHGDGGGCGLGGKGCGLFGHGSGAVA CGDPVVVSGTGHKKHFAPCHASTVAATSQAAPAPTSQALVAATGQSACGDPGCKIGGI HSHLGNLLNKLHCKFCGGKGCGGCGGLGMGDPCGSCHGGGCNACGGCGLLRKLCSMCG GRGCPNCLKGLGSKAHGLLGSALGLLHHDKFDYFVGPGGPVPLRPGYVPYIVTTRSPR DYFAFPPMNPDVP OJF2_RS04985 MRSEGGRPAVAGLPERVAGRPAGRAPRRAAILAGLLVGMLPAAA RAQYPPANVPDLQERSGLLMRFTGVRGQLPPDPLRDNFYNTRYADRGLVKHQDGIKDQ GLYGLGWKARHTASVYPYFMGSSGTGTVDASSRPWPRPFRFFQTVANPYRPVGMYYSM GSYVPIYDFDAIAPGPGPYPFPFYFNWFKGG OJF2_RS04990 MDNPISVDLGRVAQDLQIRRVQVESVVQLLDEGNTVPFITRYRK ERTGNLNEVVIHEIQVRVQRLRELAERKATILKAIEGQGKLNDELAAAIRAAENPKRL EDLYLPFKPKKRTKASDAREKGLEPLAARVWNRDETLTDLPAAAAEFVNPEKGLETPE KVLEGVGHILAEAISEMAAVRDAVRKVVWKTGKVVTSKGEVAEGQGLEYRDYFDYSEP LSQVPPHRVLAINRGDKEGPLKLRFEVSRPDLEAAFFHQLPLEGHPQAELFRASAIDA LDRLIMPSMEREVRRDLTEAAERHAVDVFARNLRSLLLQPPIPRQAVLAIDPGLRTGC KVAVLDPQGNLLDQTVIYPHAPQNRRSEAKVTLKDLVGKHGVSVVAIGNGTACRETEE LIAEIIAEGTEFSQQAEAGGAAPEAAAEHSPAHEAAAAEHSPAHEEAVAEHSPATATS EHAAPEPAAAEAHAEAPAGTTPEEPAPAAPEAAVAEPPSNGEAPHPAEPGADGDGHAP DASTTLGDESLPPILGGAPDTEPAEQEATAKAHDEPHHGDQPPQPEPAAEGSLTPPHL PEPNEPQHVEAVSEMVAEGSPVATPAPVEAGPEAAREPEPAAAEGGAHAPEAAGEPAS DPSGGEPAPAPAAAEAAVESPDHEAHSEPAAADAGTPAEGAEAPAAQPASAATRGEGR RGGKDQNRSRGQRNRASSPPPPQTPPAPHPADRQLAQLAYVIVNEAGASVYSTSQVGR EELPEFDATLRSAISIGRRLQDPLAELVKIEPQNIGVGLYQHDVNPKQLKETLDSVIS SCVNFVGVDLNTASVPLLRHVSGLNSLTARRIVDRRKEKGRFNGREELLEVEGVGPAS FTQAAGFLKVAGGTHPLDRTWVHPESYEAAAKLLERFGFTPDVVGQKERLPELHEKLA EVNTADLSRELGLGEPTLKDIIEALGRPERDPRDDLPKPIFKKGILKIEDLTPGMELK GTVLNVVDFGAFVDVGLKDSGLVHISQLANRYIKSPHDVVSVGDVVTVWVMSVDQERK RVSLTMVKPGTERQRGGQGGPRRGGGEPREGQGQGQGRRDRGRGPRPSGSTLTAPPVG AAPITALNEGAPRREGPGGSDAGHGERHGHGPGPGGRPPGPGRGGQGARGGAFGRSGG GPGPGRPDTRPQAPRPPARPPRPSAPPPPLSKDALAGKAPVRSFGQLKQLWEARDSTD GDPSAPPGTNPAEAPEAPPSSQAETPPPPPGETPPDAPQG OJF2_RS04995 MGRFAWRNLLTRPLRTILALVGLSVPILGVMGLFSVSDAVRNLV GETLSRIEGLMLTRENAFSPVVSSLPARLADDLRKLPGVRAVAPEVWGIAPPVEGQGL LVRGVLSNKGMASLFDQPVVSGQDIPSHENLRSAVFPRALKENGEGRFLTQADRGTTN VVISRKLARDFPRADGKPRQLGDTLRIGDRTFTIVGLYETGSMLLDVVIVMDIDTARQ VVNVGKDTVSSIYVEGTDTGNNDALSERIEEAFPGVDARGMNEVQANFGNLMGHVDRL LMLVVSLALVVGIVGIINTMLMSTTERFTEFGVLRTNGWSEGNILTLVTLESAFLGLL SGLVGCALALAGTGIINRFLSGGVHLGVTPAMLAMGMALSVVMGTLGGLYPAWRAARL VPMEAIRIGSH OJF2_RS05000 MIDVVGVWKSYRSGSRMVDALRGVDCHVDRGRFAFIVGPSGSGK STLLYMLGALDRPTSGKIVVDGQDLTTMSESSQNAYRREQIGFIFQSFNLISNLSALE NVLVPFLPRGVTPEQRDRAADLLTRTGLGMRLDHRPYQLSGGEQQRVAIARALVKDPI LVLADEPTGELDSKAGDEIYRILRSMQETSKTTLVVVTHDRRFITPDDLVLEIQDGRL VGQPGPAEGGGDGDAGARGHANGVAAGAEPRVRTPE OJF2_RS05005 MKAIVIAGVGGPEVLELREVPTPEPRGDQVRVRVRAAGLNRADL LQTRGHYPAPAGVPADIPGMEFAGEVDALGPDVTGPLKEGDRVFGIVGGGAQAEYLVV QERMAVPIPSNLDMEQAAAVPEVFLTAHDALITRGRLLPGQRVLVHAAGSGVGTAAVQ VARAMGCTVFGTSRTAAKLERARPLGLDVGIDTSREDFAAIIREKTGGEGVHVVLDLL GGKVLADNLKALRTRGHLVLVGLLAGREAPLDLQAMLAKRLTIVGTTLRSRPLEEKIA ATRLFADQVVPWLGRGLVRPVVDSAFPAGRVREAHERMASDGSFGKVILAF OJF2_RS05010 MKNKSNGGPAAAQGPGTPGAATDLDQIRAVADFIPQLAWSCLPD GRCDYLNSRWVEYTGVPEERHHGLGWLDAVHPEDRDRSRRVWEAYIAGEGEYDVDYRL RRHDGAYRWFKARGHLVRSREGEPVRVLGTTTDVDDHRRVEERLEAALAASGTGTFRW DIRTNALDWDEQLDRLFGLEPGRTVRSLDGFIGLVHPGDRAQVLERCRRCKEEGADFA MEFRVVWPDGSVRWLDDRGRTFRDQDGRPEYVTGACVDVTERRRSDERSEFIRQASGV GFWYCDLPFDELEWDERVREHFYIPPDARVTMDTFYGRLHPDDRAPTREAIERSIAGR TQYDVHYRTVDPATHAERWVRAIGRTYYGPDGMPRRFDGLTLDVTDRMRANEELRASE ERFRAFMDHSPAAGWVTDADGRIQYVSASYARLFKMPDRAPIGALPADLYPAEFADEA VRNTRAVADSGRPRESLERCPRPDGSVGEFLVYKFPLPGGRIGGVAVDITERLRAEEA LREAEATLRAFFDASPVMMGLVELPEDGDILHLYDDAAACQFFGVGPGGTAGRRASEL GAPPSTIAEWRARYLQSDSARQPVRFDYEHDSPGGRRWLSCVVAPLGAGAAGRPRFSY VAEDVTERKRAEEALREADRRKDEFLALLAHELRNPLAPLRNGLQVLRLAGENAEASR KAREMMERQLGHMVRLIDDLMDVSRISRNKMELRRGKVPLADVVSAAVETARPALDQA GHEFRVALPPEPVYLDADLTRLAQVFANLLTNAAKYTEPGGRVTLAAEVRDGDVSVEV SDSGIGIPAESLPRIFDMFSQVDRSIERKAGGLGIGLALVKGLVEMHGGGVTARSGGP GRGSAFTVTLPVIGGPRERAAAEGQATPGRMGPGRRILVVDDNRDSAGSMAEMLSLLG HEVRQAHDGLEAVEAARAFRPDLVLMDVGMPRINGYEATRRIRAIPSEKSPTIVALTG WGQEGDRARSQAAGCDGHLVKPVDWKDLSPYVDRPTDG OJF2_RS05015 MAIDFRPVLMVLSPSSLPYAGTCLESLVRHATEPMDLALITDRP GDKSRLADVMGPIAEGSRHRWAVYDQADADARADDRFRGLEHVREFRRGHPCWRKVTD PPLFVEEGREMIILDPDVYFPAPFRFEPTPRAGLRLMWQRPNCLYPPEVVRRAFDRGV RMADHTDIGICQATNSLDWRWIDDLIGRLGGADLPARCPHVESIVWAALAMRVGGSYL DPRLWFCWQNTPWKRLRMQLLRANGLAIVAREDLRGLKCFHGGGRAKHWIPQMVAAGL FDAGPPPDLPAREIPFEEYRREQFDRKQLTRRLAARVGIGRIIGSPA OJF2_RS39000 MLELRDKLRRYRVLFIGRCTARDTPRISASWKKAYEAYRMESKA LSRY OJF2_RS05020 MLIRAASRRRRSTAALAARASRSARSASVSDWSISSRRIRPSAR ASRNALASSADPNGSPSARHTSERRIIGWHPEASSRARAKNASQAASPPSCRALRARK ASLAGGIIVASIGSKPSIRPIRYRSSASKRRPPSKAPRSRIQVRSSQARPSRESSSSA TIRLAPSDGSSSSVDSSHASSRPDSSAARAADPARAASRLSSARRTARSRYAASARSA SSIFADSSAAFLSSLASSSSPAEIAAPRKLATRSSMPRGSASRTLGPSASRRLPPGP OJF2_RS05025 MRALVFSCLTAAIPGLLLATARAEGPRFQAQEIDPHVGNVCYAL AVADVNGDGKPDVVAASEDAVVWYENPSWTRRDIVRGLTERDNVCIQPLDIDGDGRID FALGAGWRPPDTKRAGTLQWLGRDASGAWKVHPIAYDEPSLHRLRWGDVKGSGKPQLV VAPLQGRGTKGPDWGQGRGSRVLVLDIPADPTSKDWPAEVADDALHTVHNLQILDLDG DRRNEVVLAAWEGVFRLDRGGDGRWTRTKLGDGNQQASPNKGASEIKVGRTAAGTPYI ATIEPWHGFQVVAYTPPAQGGQPWDRKVLAEPLAWGHAVWCANLDDDPDDELIIGQRD PNPAGSPGPRGPGLYVFDITPGSSPIAFTRHTVDDGGMACEDALAADLDGDGRPDLIA GGRATHNVKVYWNRKP OJF2_RS05030 MAAEVIVHPPYTCPACGERLEAAAPGWSGWLLCPRCGSPGLPPA GVRIAAPSGPPAPAPGGTNGPAPAEDAGAPASPLPLPLPRDGASSRPARPRPRRPARA IGTARWIGSSGLAVSAFLLLVSYLDRKTLSMAVFGGLAAAFALFLAVLGWMSRRAAAR ESSGGEA OJF2_RS05035 MSPPIRQADDRATPRRAAIAGWVLGLAAVLGPCAVGAAAEEPRP STPASAGPVAVTSFDRAAESRRDDRTFRPTVLVRRGTSQGSGTIIASLEGETLVATAS HVIRGEGPIQVELHRYNLGLEKSPAPPGKWPQRVPAEQAAVDPAADVAILRIRDRVAL PFVARLAQHDEEPAADVPVTSLGIDLGTKLGSWDSRLVDVLWFELNESHAERPFLVTA RIPEHGRSGGGLFDREGRLVGVCIGHAEVIQGRRMGIFSSAENLRELLQRPELSAAMS LSEARQARIARRSESAARRYRRSSHAPVIATQAPTSPDPPPPTDP OJF2_RS39005 MTSNVLRSRGSVIAAVVLAACASTAAAARAADEAAPAAAIKALE GTWATAPTDALDAKWVIKGETVEVTVNGMEYKGKLKVDEKAKPNSTLDIDLTEAPHDA KGKTAKAIYKLEGEKLTVAVAVPGGDRPKEFETSPDEVYLFELKKDNEKKG OJF2_RS05045 MAEPAERSAPSDDRPACATATPGRLVLALAATALLLGPVVVAIW AVPYFVTQDGPAHLYNAWILARSFSPGSPFEPYFAVRWQPVPNWSGHLLLATLMKVAS PWVADRAVLTLTLLGFAMALVALRWVVRGDRGLLGAGLVAAVLAPNMPWLAGFTSFLL GSIFFAVTLAAWWPGRDRPGAGRIALVGVLLALGYFCHLVSLGLTVLALGYLALFAPP EVDGPRPWRRRARRLATTALCGLPLLALVPAYLHLSRQGGPMQPAWENMGDPFALSGW LIRIKWADPLSLAVRDMIPFGEAQRPMYLAFAPVIWLLAAAIAWVSGGLPSAIRGRPA GGQPAVVAPGAGGVWTSLAAVLMLASLLSPDSMGEGHGAYLPQRVMLLGLAALVPGLD FRMGRSFGLAAAACVLAALALQSAIVWDYALHSQRTAGRVAAARDLVGDGRRIATLLA DIRSRFRCNPLLHADGWLGVGNGNIVWSNYEAQFYYFPVQFRPGLDHPAPREFELVAL GTDPEATPEAAARWESILAGHNAVIDEVVVWGRDPALDAITGRWFEPVRERGNVRVFA KKGAGAGGR OJF2_RS40385 MSFEHLPERQARLAQDLYEELRAASDADIRAMAELLATKPDDEL FGEAEFQLRDMVHRVGAKALQAAAMQRKKGGM OJF2_RS40390 MSNRRPLSFGSLSEVMPEVDRLLLGYEARGRWSLGQVCQHLART IRFGVEGSSRRLPWLLRRTVGRAICGRVLATGRMPEGIPAPRGAGLVPQARADDRAEA EALRATIRYFQGIAGPLPEHPFFGPLTREEWERLHCIHSAHHLSFLRPGSEGAREGPS MAIVIRESSSGAELARGESGTGVIPYEGNLYFDPAAVQQGALRVTERTYTCPYKGTCN WVDYQAADGRTVRDVAWVYPEPKPGHEAIRGRFGFYAGARGSTRQEGA OJF2_RS05065 MGHWGVRSYENDDADDALDAGFEEACGEEYEALMDDRNPLPFDQ VQGKLASGKTLEAAVRALEEMVGGPFDAEPGRWDPEARLAMAGVVVRHAEFGVPIPPP LRDRAIACLEGEEIEWDEATKRRLRREKEIALLRRAAGGPGSS OJF2_RS05070 MAETRMLEEELEFVKALAIEAATLALERAGNVTPVEKANRSFVT DLDRDLEQLIRGRLKAKYPGDRITGEEYAAEGGTGPRRWSIDPIDGTGNMVHGLPLWA ISIGLLDEGEPVLGVIAVPPLGELYWAVKGRGAWLDGERLVAEDSGSIHPQDNICVGT NAMRTLDVRTIPGRLRDLGSACCEQVFVAANRLKACVFLGEAAHDVAAGSVIVGEAGC RFARLGGEVLTPSEMVGRTPVPAPTFIAPPLRLEALVRDARLLPPRGDA OJF2_RS39010 MASCDYCGTSILFGGTRSGDLRFCSQKCAANVPLLRASRAIPED AVDRLAGEIHRGQCPKCGGPGPVDLHTSHRVYSALAFTSWSSHPEMCCPSCARKKFLK HGAFSLVLGWWGFPFGLIMTPIQVLRNAGGLLGIGEPARDVPSDKLKRMARISLGAQR RAQIEAAGIPPTWSPEGP OJF2_RS39015 MSEGPSRWLREARAAREAGRLPEASGLYRRILEEEPAHAEANAE LADALHALGDLASAIGHYRAAVAADPSGAGPWWGLGCALAALDDHAGAVEAFRKLAAL APGHGQTLHNLGRSLYELGRVDEALGCFERAAALLPADAACLPLTNQAMIVPGAPGAG TAAILDCRRRWAARCLPAATPPAARRPATVPVATGRRVRIGYVSASFDKRNWMKPVHG MLAHHDRGRFEVHLFSDGPAPPPGTGHRPHPDDRWHATGELSNADLAGRIASDGIDVL VDLNGFGRPSRLGVFAARPAPVQVTWFNSYATSGTDAFDALIGDRHVLPPGHPEEAAC SERVLRVPGSYLAFDVAYEVPDVAPPPCLASGFLTFGCLAPQYKVTGEVLEAWSRILA RSPRSRLLLKSVVLGKPAARDLVAGEFARRGIAADRLILEGPDEHFAFLGRYAAVDVA LDTFPYNGGTTTMEALWQGVPVLCFEGDRWASRISASLVREAGLGEFVARDLEGHVDQ AVGMALDPGTPSRLDTLRRSLRDRLRASSACDVAGLARGLERIYLDLLAVR OJF2_RS05080 MQFRIEGSSLPIYQQLVRQVREGVARGDLKAGGQLPSVRQMARD LVVNPNTVARAYAELEREGLVTNRPGRGVFVAESRDERTKDARRRQLVESLDRFLTEA VHLGFSEEEVAKLVASRSRQFQWNPARPASASKGNESGGRVSP OJF2_RS05085 MTDAIVTERLTKFYGRRCVVNHLDLRVPAGTVYGFLGRNGAGKS TTIKMLMGMVRPDRGRVELLGHDLAALPGEARARVAYLAEGHPLYGWMTVGEASRFAR AFCPGRWDQGLLDRVLDHFDIPARAKVRRLSNGQRASLSLALAIAPDPDLLVLDDPTL GLDTVARRDFLASMIHLVQRKGRTILFSSHILADVERVADRIGILVEGVLRVDCPTDR FKEGVSRVVLEFPARPPAFPGCAGLVQAWEVGHRLELVVVDFGAEQQKVVESLSPMSW DVASMNLEDAFVDYTRGPRRSLPVLGDGDDLADAPAGAVGKGGDA OJF2_RS05090 MIAGTRGPSLTRSLLRAVTLALGAGTLWGFLSAWVGTKAMDARS GGRASTYEVLAVGTDGTPLVQSYSTDDRGVTEVTFHEPGGRVRDVPGRSGLVGAISLP GEPAERGAAGMPGAWWARIRPFVDDTKPDHVWYFVHDGRADGSGEFVGYDRPSNREIG RLGLAGFREGPVSPAERIPVRGEVALNFNSWSSAPFSVRTTGPWSIHPGTRDIPPHLA FVPSGNDLRVADLAARTMASPFKAPEPIVSVGVPTVWSYYGFGKEPPATPPVLVRTGS AVYRLDRDFKVTGTFTIPAGIEPRSQISWYELEDGRALVECVHGPDLGVGLPVDGRPV TIYRVAADGTVAGSSEITLRNGASLPRTGRAELALGALTIPSPAVLLGIGAFLVATFE PRRSLGPALSTLLSTGWPVIAAIPAVAALLAAGAWWWARRFGLSPRERAAWALLVLLL GVPGLVGFLLHRRWPSRVSCPACGERTACDHDSCTRCGAAFPAPALNGTEIFA OJF2_RS05095 MVLALVRKELRETWAFAALAMILYLAHVTKLMGTGGRIFSDLLT YVPGMNVTPVDIPFVQGSFAPPVIFIGVVLAVALGFRQSAWEPSQGTSLYLLQLPMSR RGVFLTKLLTGAALVMACALVPILIYAAWAATPGTHAGPFAWSMTVPVFRAWLTLPLV YLAAFASGIRPSRWFGSRLLPLSAVALPAILAAVVPYWWLVGLPLLVITAAVLVSDIL GEAASRDY OJF2_RS05100 MSALRREEPLALDRPDLTKRVREVLSRVGYDEKHIYDRLGVDDQ GKLSFGPLDRPRLLWRTKDAEPLDVLMRLFLIEVPVAAEDFRRAVAPMDPADWSALGL VASDGAEVRPLVSMRASRQLILAHDRSWPEGGKQHDYVLGVTGSTLSLAEITVRKPVG RMLDLGAGSGFQALSAASHAGHAVGTDRNARAVGFARFNALINGLENVEFRAGDLFEP VAGERFDLIVTNPPFVISPEDQYQYRDSGMRGDAICERIVREAPGHLNEGGIFQLIGN WVRERGDGWRDRLAGWVEGSGCDAIVFHNTTHPIDVYASHWLRQGDVGDEETMSDAFG RWMAHYRKIGIEAIDTGLIVLRRRAAGPNWIRFEAEKKKNQPNGPGILAAFAARDWLD RIGGTPDDLLRLRLRSRPELRVVQRLEPGPAGWVVEDARCVIGKDLEFEGRMDQSVFL LLTLCRGEMPLSQVLPQVAARSGRDLEALAPEMLETIHRLIAQGFLLPADEAAPASA OJF2_RS05105 MAGSTGEGGGETVSAKVAFRIADSDVQAELTVPSGRVPPGAVLP VLHMLEAIVIEAAEARLEGEGRRIACRAGCGACCRQLVPVSEVEARHLAGLVAAMPEP GRSAVRARFDAALARLAESGLIDALRGLDSVPREEREAVGLAYFSLGIPCPFLEDESC SIHEQRPLVCREFLVTSDPVHCSDPAAARVRGVQLPVHLSNLLARLPDPSGRGPSPRV ALPLIMEFVETHPDELPRRPGPEWVDAVFRLVSGGGLPAPGGELPGDRAP OJF2_RS05110 MESSEFVRLLAEGRTLFDGIDLAGAVLHSLCLRRLRLRGARLSG LDLRGADLTDADLEGAALTNVNLRGTHLFGAKLGLARLSGVNLNGANLHGARLPRASL AGTQLRAALLVQADLRDADLRKADLQGADLTMADLRGADLRGANFAEATLRGANFQGA RVGEGPAPPRPAG OJF2_RS05115 MQLSLQELELFYRLHRSLLFFVDGRLGILDPPPEDPDAFCRRPP EERVKVRDALATHLDLIDAYLAENPEGFAAEELDVVASWKHQVAGTFFVFRYLKQYTV FLDDREPPLAYGVLSLADAFEDLLGPDVPLLAKTVLLPFLGRIVYDGLLSGYNVTFGP GVRGRLKVAYDAAKKFPGIITTLPRGAEPPQLAGPKSSRTKSSSRPRAKQDETTQPGD PSIPAASRAAYEEVVKKIDAFCDEHLDEEFKALCRKAAGLLARKRPSPLTRGRPAGWA SGIVRSIGWVNFLGDPSQPHHMKMSDIDRGIGVSEATGSAKSMEVRRLLGLRPFDPEW TVPSKTKDNPLAWLVEVDGLPMDLRRAPREIQEEALRLGLIPPAGRIVGR OJF2_RS05120 MRQCGSRGSTLVETLVVAGLVGLLAALMLPAVQAARESARRAVC ANNLHQLGIAIHAYDVTWGCFPPASMVNVHSRAGNTVRGSRYSPLTLLLVHLEQVPLY DSLNFAVLTDDVPIFSLAAENITAAGKSVATFVCPSDPASVPDPYGTTNYRCNFGVCG ECRSGREDGAFTYRGTRASDFGDGLGFTIAFSEKLVGGYPRGVYRPNRDWILPSDFHG NSRTVEEIRSICSSLSWAASQERVDFHAGRTWMTPSAKFTSFLVSVTPNSPIPDCGHA QTAGGIGVFGARSLHPHGVNVVLADGSVRFIHNEIRQEVWQALGTRAKNEAVDPF OJF2_RS05125 MDDMGRVLQQLTHEMRPPSIRMVIHVEQSKFEASMANTSRPLTM DRTYIETGKGQRYFDDIARIAGGGTSRKTAYSDGRRSANIMYSPKDDSTVQAVNIGHE FMGETGIGYYSAPEPFRYTRVGLIPLLEALPRAEKLGPATVAGRVCDRYLFREVKGGG LPQSLVYALDRATSVPLMVAAYKDVEHVHSDLPSWVWEAVTLDEISSYHFPLRSKQTV YFLSSDGGREVSRPDMTQTIEVKSLEYDVVYPTSTFWPNPGAGVDVFDSITRKHSVTP GAVTPKATAVGVADPIRADPSDTGAWPVWPVVALSCVTFAAALVFKVRDRWQARP OJF2_RS05130 MTTNRHRRTVRLPMILAAALASCVASPAALAGDSGRSGEAIYQQ KCLSCHGKQGEGSKEYGQPLEGDRSVGQLAKYIAKTMPEDDPGTCTGEDAEKVAAYIH DAFYSKAAQMRNRPPRIELSRLTVRQYQNTVADVIGSFRPPMDWEGQKGLHGQYFKSH QMWKKDDRIIDRVDPSVEFDFGVNLPGDPKTIGHRFAARWEGAVMAPETGDYEFIVRT EHAARLWVNDPKKPLIDRWVKSGNDMEFRETIRLLGGRVYPIRLEFSKGKQGEKDGKK DPDPPPTKASVALLWRPPQQAASVIPSMYLRPSKTPEVFVLQTAFPPDDRSVGYERGS TISKAWDQATTDAAFEVADYATAHMGDLAGAKEDDKDRAEKARAFCVKFAERAFRRPL SEDQRASIDRAFKAAKGTDAAVKRVVLATLKSPRFLYHEVSGKGDAFDVACRLSYALW DSAPDQVLDEAARKGELKTREQVALQAERMAGNLRAQSKVREFLLQWLRVSPGPDLSK DPKLFPGFTPEVASDLRVSLELFLDDVVWGDGSSFRRLLQSDEVFLNGRLAAFYGAKL PADAPFQKVKLDEKERAGLLTHPYLMAAFAYTATTSPIHRGVLMYRGILGRTLRPPPA AVAPLAPDLHASLTTRERVALQTSPKDCQMCHGAINPLGYTLENFDAVGRFRKDERGK PIDATGTYQSRMGEAASFRGARELSNFLVRSDEAHAAFVRQLFHYLVKQPIRAFSPSE EDSLRDFFVRHDDSIRALMVEIATRAALAPRDPGGKPASVPVAASH OJF2_RS05135 MAKTTHRREFLRELGIGAGAIPFLLNLPSLGFANQVKRKKRLVL MFSPNGVFPGAFWPDAEGKDFALKESLKPLEPFKSKTLILHGVCDKVRGDGDNHMRGM GCLLTGSELFPGNIQGGSDTPAGWSSGLSIDQEIKGFLQKDPATRTRFGSLELGVMVP ERADTWTRWVYAGPNKPIAPIDNPYRAFSKLYGRVKDQENLRSVLDDIQADLGKIRSA VSAEDRQILEEHATFVREMEQELQASSKDPIAHAVPELEPGVREDNDNMPKISKMQIE LMVQSFAADFTRVASLQYTNSVGMARMRWLGINEGHHELSHNPDSDKASVEKLIKINT WFCDQLAYLAKRLSETPEPGGGGSLLDNTTILWTNELGKGNSHTLDNIPFVLVGEGLG FQMGRSLKYKKVPHNRLLLSLAHAFGHDIKKFGNPDFCGEGPLSGLT OJF2_RS05140 MTHLLDTNILVPIADLMIASVALTHDMTLVTHNTIDYEQIPGLR LADWLAP OJF2_RS05145 MGVTLARGVIRGKTIELKEDLGMAEGQEVEVRVEAVPPTRPWGD GILRSAGAMADDPDFDGIMEEIHRARKLERRPQMEEG OJF2_RS05150 MGRRAAIGALGVGTATFRRALAAQAAQAGAVTAEMVRQAEWIAG LSLTDGEREQAVAELKGTTASFRALREVAIPYDVPPALSFVPAPGLRPASGVSRGGAT PITATVASRPAGDEELAFLPVSELAGLVRTREVTSTELTKLYLARLRRFDPVLKCVVT LTEDLAMKQAAAADAEIAAGKYRGPLHGIPWGAKDLIAYPGYPTGWGAPQFKGRVIDE KATVAARLEEAGAVLVAKLSLGALAMGDRWYGGQTRSPWDPRRGSSGSSAGSASAVAA GLVGFAIGSETLGSIVSPSRACGTSGLRPTFGRVSRHGCMALSWSMDKIGPIARSIED CALVFDAIHGHDGLDATAVDQPFAWPPKADLSGLRIGYVEDPQRPADRREELHVLKSL GLDPRPIELPRDVPARDILIMLTAECAAAFDELTRNHVTEGLNEWPATFLEGQFIPAV EYLRASRARTLLMRSMARLMEAVDVYVGAGDDLVITNLTGHPTAVFPGPLRDINGRPG PRSVTLTGRLHDESTLLAVAHAYQQATGHHRVHPPLERFLAEQGEATIKPVS OJF2_RS05155 MKDANRPVSTRRELLQNTGRLAAASALAGVAVPSVHAAGTDLIQ VALIGCGGRGTGAANNALSTKSGPIKLVAMADVFEEKLWKSYKNLYEDKEHAGKVDVP IDRQFIGFDAYKKAMDVLKPGDVAIFTTPPAFRWVHFTYAIEKGLNVFMEKPLTVDGP TSKRMLALAEAASKKNLKVGVGLMSRHSRAMQELAKRVHDGQIGEIVLQRGYRMHGPD GYFHSLPVPNGTGELFYQIKRFHSFLWASGGNYSDFYIHGIDHLCWMKNAWPVKAEAV GGRHYRNSPEGLPYIDQNFDVYSVEYTYADGTKFVYDGRCMDGCENRYASFLHGTKGS AVVSKAGDIGQPSSIYKTQSMKRPDLVWESKVKPEERDPYQNEWNELIEAIRKDTPYN EVKRGVEASLVTSMGRMAAHTGQEITFEDMLNCKHEFAPGVDKLTMDSPAPLQAGKDG KYPVPMPGITTTTEY OJF2_RS05160 MMKAPGRDLEALFATGASGGLPDRHLLAQFAATRDESAFGAIVV RHGPMVWGVCRRILRDPHDAEDAFQATFLVLARKAGSIARRERLAGWLYAVAHKTAVR ARAMASRRRARERPVAEMPEPEAARDRDRDDLLAILDEELGRLPERFRMPVVLCDLEG RTQKDAASQLGCPPGTVSSRLSRGRAMLASRLTRRGVTLSAASLAASLVREAESASLP AGLIGATAWAASLFAAGGAAGTVPAGVLNLAGEVLRMMVLSRLKIAVPVLLALAGAGL IWNGIAGAGPQAQAPRPAQPPPAKATAPAPPKWAHMTSDTGFESWVRLEDGRNLWKSD EYAGVHDPASGTELFYQRGEPIVRRPEPVGVNPDGSRDVGYALDRAGVRPLDPAEIRR RTAPEGRRIVGGNFASDAEVADLDGRRCLRIDMSRPDSLGKLRLAEQTWYDLETGRPV RRREILQLGEQSRYKREYRTTTIAYGGTGPADIYAVGVPAGTPIVDEETLNKVKLPPT LQQAFEGAAECIERLPRSLRIVDDGNYGLQLTYWSAPEGYLEASAANARGHDSPRIYD VGPPRSFFADHQASSKVEIPRALRTRPGRDLPADALAAWLPIDKSVNVHLNDGKTQYD LTRLVDGTGKRNQVRVHVLRGDSFDSLPKPIQETWGYAFDNRRNIEVVPAEPGTPQGR VTIKVEYPQIRRLYEADPEHGYAVARKVEWSGLDGARMRFRTDSKAVRWAQLPGGIWY ASEWTQLHHLDRFDASGKPEAEQQADSTRTRRVVITPMDPERFPPDIFDGQKFLDAAR KEGAKIQVD OJF2_RS05165 MAQAAATTAQQKLSENDVQAIDRLRDAYSRLKSEIGRVIVGQHA TVERLAICLFARGHALLMGVPGLAKTLLVSKLAETMSLNFNRIQFTPDLMPMDITGTD ILQDTAEGRREFQFVHGPVFANIVLADEINRAPAKTQAAMLEAMQEHNVTVLGKSFHL DEPFLVLATQNPVEQEGTYPLPEAQLDRFMFLIELDYPSEAEEIQIARTTTGEALPKL ERLMTPAEILAHQALVRRVPVPDHIYAYAARLVRKTRPSNPTAPAWIKPLVSWGAGPR AVQYLILGAKARAALLGSYMVRLEDVQEVATSVLTHRIITTFAAQAESIDARQIARRL VEETATDA OJF2_RS05170 MFPERRQRSFLDTRVLSRLSGFPLFARTPMLGSVSGRHPSPHRG SSVEFAEYRKYVPGDDLRRLDWRAYGRSDRFYVKEFEADTNLRCCLVLDTSGSMGYGS KEVTKIEYARRLAGGIAHLAIQQGDAAGVACVAGGIVRNLPPRRNPAHLSSLYDVLEQ AQPKGETRIDEVLHELAETVRQRAFVVILSDLFIEPRRLAGGFQHLRFRRHDVAVFHL LDPKELSFDFRRPTRFLDMEGGPALFADPSEIAERYRKAIGGYLDDLKQVMLESAVDY HRVGIDEDYEQTLMRFLIGRARGKGAR OJF2_RS05175 MSFLQPMFLAAMPLVALPIIIHLINQRRYQTVRWGAMMFLLAAN RMSRGFARIRQWLIMAFRMAAIAGLVVAISRPLAGGWLGMAAGTRPDTTIVLLDRSPS MQQTEEGGGGSKLETGRRQLVRTMETLGSGHWMLVESATNAPRELETPEALVATPSAG PVSASSDVPAMLLAARDYIRANKVGRTEVWICSDLRANDWDPEGGRWSSLREAFLEMS QSVRFHVLAYPRPAAENLAVRVTGVRRQPSGAGAELLVSLSIRREGADAKADEAATSS GDSGRRSIPVQVEIDGARSELTVEMTGPTFELKDHKIPLERTHVKGWGRVSIPADANP ADNDDWFVFDEPAPRHAVVVAEDPQAARPLQLAASIPPAPQVKCSAEVVAPDKLAGVE WEKVSVLLWQAPLPTADAAKAVQAYVDRGGQVLFFPPRNPGPEEFRGVRWTAWEQPGK EASVATWRGDQDVLSQTQSGGPLPVGQLQVRRHCGLAGESTALASLAGGAPLLSRVST DSGGVYLCGTTPAPGDSSMATNGVVLYVMVQRLSEAGAKVLGSTRELVAGPASGEDPS TWKRLAGADEGLSTDAALHRGIYRAGDRLIAVTRSPAEDLAPVVADDRLAGLFRGLDY SRVDDRAGNVGSLIQETWRLFLVGMMVAMVVEAALCMPRPRPSPAVAMVGGAAS OJF2_RS05180 MKMDVVRSLTFLWTPWSLGISIALVLITAGFCLVAWRRSGYHRG MGLLELLRLALVTLAAIMLNQPEWVEEYRPEERPTVAVLWDDSPSMDTRDVITRGKPP GSAETRREAIAPFTKDPAWAKVRGRMNVVIQPFSTARAGHGTDLHEALAGALEKVQNL RGIVLASDGDWNEGPPPVQAAARLRVKDVPVFAVPAGSPTRLPDVELLSLDAPTFGVA GKSVRIPFTIESSLPREYVATVTLRTSEGETLTKEVAIAPMTRTADWILWRPKATGNV TLTVEIPRHGEEILADNNTMTAPIAIREEKLRVLVVESVPRWEYRYLRNALSRDPGVE VSCLLFHPGLSKVGGGNKDYIKQFPAGLDELSKYDVVFLGDVGVGDGQLTAEQCRLLK GLVEYQASGLVFMPGILGREFSLMDTELADLCPVTMDAAQPSGWGSRTPNHFELTETG RASLLTKLADTTDDNAEVWEALPGFQWYAPVLKAKAGSEVLAVHKDASNEFGRLPLLA TRTFGAGKVLFMGTDGAWRWRKGVEDKYHYRFWGQVVRWMAYQRNMAKGETMRLYYAP DQPKMHQTLALHANVMDRGGEPLHGGDVSALITAPSGKSERVRFTSAGDEWGVFAGRF TAAEPGKHEVVLTCKETGSRLETSFFVQGVAAERLGRPARPEVLDEIARVTRGKVIEP GNLDEAVRSIAELPEPPPSVRRIQLWSHPVLAAAIVLLLGVFWVGRKVIGLV OJF2_RS05185 MSTVPVHDRLQLPDSLRAQLLDFRRRVWSIKMIEAVAAAAFGLV AALLVMFAVDRLVETPGWARGVLFVAAWVGTALVPLAIYKWIWRNHRLDELARLLTHK HPHIGDQLLGIIELVRDDSEQARSRALCEAAIAQVAEDARRRDFRDGVPNPRHKAWAW TFAVPAAACLGLLALCPQAITNSWARLMAPWKATPRYTFAAVEPLPPTLVVAHGEPFP VVARLRDDTAWKPAEGTAQLGEQHPVSATYKDGAYTFELPSQIDAGTLDLRIGDYRQK VRIEPTLRPELTSVSAEVTLPEYLGRPGTQQKDVRGGVATIVKGSQASFTAVASRDLS AAQVDGQSRDPHGPSVSSPAGKVDGNRSIEFRWKDALGLEGRAPFVLSVNGREDEAPS LSCENLPRQKVVLDTEALNFRVKAQDDFGVKAVGMEWQGPDDPMIKNPAKGERILAAG GSDKEAMDVAGTFTAKNLGIEPQPVSVRVFVEDYLPGRPRVYSAPYVLYVLNAEQHAI WVTEQLSKWHRQSLEVRDREMQLHETNKQLRAMSADELDRPEARKKIEAQADAERANG RRLNGLVTSGEDLVQQAMRNPEFGVGHLEKWAEMLQVLKDISGNRMPTVADLLKQASK AQAASSDQKKDGKTAMAGMVRDTKSGAGGDDPKKDEPKKTTPSVPQVVDRESSQQPLD DKAGTQQKPPGSPGAPSLTLPTTTIANPGKVPEQQACPTSQKLDEAVKKQEDLLAEFE KIGDELNRVLANLEGSTLMKRLKAASRAQYSIAGRMIDVVPNAFGTFAPQGKGDAAKT IDELVKQEAKGSHDVSTIMDDMASYFERRRLMKVKTVLEEMRKLDVVGNLRQLGDDLK KENGLSIAQCEYWSDTLDRWADDLVDPLSGGT OJF2_RS40395 MPPSLVLEVLQVLEGEINLREDTRVAEQARPALKADEFRKRASG LSTSQDGFRDRIDKVVDRIKQLPDGEEEFGKEIQLLGAVSGVMSEATDILAKPETGGP AIAAETEAIELLLQSKRINPKGGGGGGANPGGGGTGKTVDSAMALLGSGVNQKEVRED RGISQATGESGPVLPEEFRAGLDEYFNKLERGGGGQ OJF2_RS05190 MGRLRDAIVMLAVACAVPCVGLAAGRAAGPDDQPAGPAMQVFRG PNGMYAIATGPLEIDLPVQKGAAAVKAAPAKEAAQPAATKAAAKVDKAAERRAAQKKA EEDALEAQAAQYVQQIRPMFRAEYYFTKNACNLDHDQRLALARLGEKATKGAARAFVD AQQKMMRGGWRPGMEQPDPHKLIQEEVRKAIPPLLTPDQRARYQQEYDRRVAARKRMF IDNFVAKLDQDLVLTADQRSKIAEGIALNWKDSWGQSIEMIQNIDNFFPDIPDKVVSP HLTENQRSVWRRIPRNSGVFWGFSVGLVQGDDPLDDPELAQAEKEARGDKPEEPQGDR VIRGRVIRRVR OJF2_RS05195 MSRYRPVPLALVLLAAPLVGSVAARPCAGQVMIRMAPAVEKAAD RDADDAAEDEAPVDVAQPGVVMFNDDTFDQWVFGESGLGRNGHNKLDTRLLLQVDDVA RVCRLSDLQKKKLFLAGKGDIKRFFERVDAKKKDFDKVKNDQNKVMQMYQDLQAFQAV YNSGTFGDDSLYAKTLKKLLGDEADGRYDKILEEKRRFRLRAKVELVVTQLDQSIGFT HQQREKLIEVILNESEPPERFGQYDYYLVLYLAGRIPEEKLRPILGDQQWKFLERQLA QGRGMEQFLRQQGMLPPRDKDPRRAAGARGIAEAFARALREPAAADGLPADVFATPPR NP OJF2_RS05200 MIRDDRTHDDRSGGPSPVGAGDHGVGPAPPPRSRSAAWAFAAAL LVGLLMLPASPAWAQPSLPGARFGEVVPRDVREMYDRGIQYLASTQNEKGEWPGNTYE EGPGPVGLGLLVFLASGEDPNYGLYSNHVRRSLRNLITAQDAGTGIMGQSMYHHGFAM LALAESYGAVDERNLWPDGKAPRSVGQALELAVRAAVTSQKKNSLGGWRYSPDANDAD TSVSGAVLVGLLAARNAGIEVPDAAIDRAVAYYKSMTSPSGQVAYSGGMGGFDESIAR ISIATLIYSVSRRKDMPEFKSALNYLRGRLEQQAAQQWAEYARYYEAQALFQGDLAAW EKWNNLLVRQLKQAQRPDGSFQGQLGQGLSTSFDLLALALNFRFLPIYER OJF2_RS05205 MTAMSRTPSTRPRRIRPRARVLLAAIASLAALPMGPAVAADGPA PRASASPPGSTLHLSNGGFARGELRPSGPPATIRWQAAALVDPLDFDLPSVNAVHFPA PEKLPRPDAEYCFELAGGDVLFGGLAALDGGEAVLDVPRVGRLRVRRSAIARMYRWKS GGDLVYLGPNGLDGWKQTAQGKTWKEEQGQPVTDQEGAAIRGDLQLPARSSVEFELSW KTKPDFILALGVGDDEKSVQRAFRFEVWERDLIIQRETEQEADVASVGEIAPGQGRVH LTAYLDQEKGRILVFSPDGKQLADLKVAGAQPQVLGAVSLVNKRGDVRLERIRVGRWN GEPPKPVEGDRSRIHQVDGSILYGQVARLDAASRSFVVGAGKDESRIPEGKIAAVFLS RPEGAGDRKLAAVYQDGGRFSGELDAIEASTLRLRVPGIEGAVTLPLAGLRSLVSLQP RAVPASVGGVAGILELDDLRLPGRLVDGKERPGASCLAWRPQGSAGASALRPGVAGRI VYREAPAQRPATARTAPAPPPGPGGVVAGFLNALGGTPQSSSSGGKRKSLYLRSGDVI PSEVTSIDENGVRFKTSMSASTFVPNEKVKAVELAIPGDFPIKVTKSKRERLLTLPRM QKENPPTHLIRSRNGDFIRGRVVGMDSKVLHLELRLEPRDIPRDRIGLIVWLHPEDAR PKPEDAKPKADAGANPAPAAGPPPPAPASAAAPPSTAGTRVQAVCNDGIRLTFVAESF AGTAIAGKSGVLGPCNVDLKEVDELLIGGGIEKAAASLAYQQYRLVDAVEPKASQGTA SDPSAGATGTEGSLVGKPAPDFELALLDGTKFSPAQHRGSVLVLDFWATWCGPCIQAM PQVEKVADEFKDRGVKLVAVNLQEEPKQIKALLERQKLHPAVALDRDGAVAEKYAANA IPQTVIIDREGKVARLFIGGGPHFDVMLREALRSVVGEAPAAPVSK OJF2_RS40400 MSFEHLPERQARLAQDLYEELRAASDADIRAMAELLATKPDDEL FGEAEFQLRDMVHRVGAKALQAAAMQRKKGGM OJF2_RS05215 MAVTRTRNQGKTAFVKEQLNLNPTANVKAVNDAWSRAGMEGSIS GTLVNKMRAALGLTGNISRGARKAKRSAAVGATGKHPGRRRGLAAAANGRRPAREGEL LREIEVELDRLLFRVMEIESLAKVEDAIRDVRRALYVAMAR OJF2_RS05220 MRQDQSGGGERPADAPSAAHLVEHFFRRESGRLVAVLARVFGLR HLDLVEDMVQASLLEALQAWRAGGVPDDPSAWMHRVARNKVLDALRHRETVLRLAPSY ARLRPMAAAPDLDDLFLDSGIADSQLRLMFACCHPALAVEDQIALTLKSLGGFGNAEI ARGLLVSEETVKKRTQRARRELADRGVELAVPHADELPARLDAVHRGLYLLFNEGYSA TSGDSVLRLDLCEEAARLCHLLGEHPLCRTPATLALLSLMLFHAARFDARTDGDGRLL LMEDQDRSRWDRALIARARAFLDESAAGRSVSIFHLEAGIALVHCEAPRFEETDWPAI LRLYDALIARRPSAIYRLNRAIVLAHVAGPAAGLDELGALAGDPALRHYHLLDATLGE LHRRAGNLDRAREHLARARDRTQSARERELLDRRLDSCGGGPP OJF2_RS05225 MPRFLFVYRSEPFDMSKMSPGQMQESMDRWRAWIGQGFAEGWMI DPGDALMPEGRVVTRSEVVTDGPFAESKEVLGGYSVVQAESLDAAVKHARTCPQVVEG GSVEIRPMAGLAAEPKG OJF2_RS05230 MTGFQALLQLAPLFDCVDDALAWVKDLEGRYRWVNRAFLTSYAL ERGGPDPIDDPGMILGKTDYDLTSPFLADQFRLDDELVLEGARVVNRIELVGPPGGPP EWNITNKIPLRDADGSVIGTAGLSRRLDADGLDNLPDRPFASVLAYIRDHCREPVGNA ELARVAHMSVRTFERRFRDAFHLSPQKYLRRLQLRMASRALAMTRKPLAEVSQDCGFA DQSHFSREFRRHFGRTPRQFREHYTRGAADAPGTRTDAADQASREAVG OJF2_RS05235 MNSRKNRKWAVRLFACLLAATAAGPAIAAGEVARVLIVSDPGAR DGGGTTPVLRRILEETGAFEVRVCEVPKAVTMGLLSGFDLVIDNAPGSLAGDDVVAAL LEFAESGKGLIVTHAALEASPRSVEFLEVEVAKPDHPITAGLPARFKVADAPAHLTLD HRDEILLAAPGRESVLTVSKQGEGRVIRIALGHDAAALQEATLIRTFARAAQWAATGK VTLPEDGQSRKAKVRPIRGLVITGGHFHEAAFFQLFAGMPELGYLPVEPIDAAKSDLR GKYDVLILYDFTREPDDAMKKNLRDFVEAGKGVVVLHHALLDFQRWDWWCNEVVGGSY RLSSEGGKPSSAVKDAQDFYLTPKEGHPITAGLEPFHLVDEAYRRMRFSDKITPLLTT DNPASDPCVAWVGPGPSYRVAAIQLGHGQTAFRHPSYRTLVHNAILWAAGRTK OJF2_RS05240 MKLGLYSITYLGLWYRGEALPLPAMIARAKQYGYDGIEIDGKRP HGNPLDWPTPRCRELRSIADGEGVEIFAVAANNDFSYPVPEVREAQIAFVRDLIRMTA DLGAPTLRVFLAWWGVTRHPKIASYKIAKQLYPVIHEQFPEEEVWGWCRDALAECCRY AGDAGITLSLQNHKPLIKDHRDVLRMVEEINSPHLKVCLDAPLMPDRSTEAIDRAADE VGKLQVLSHYGGEFERRADGSIRGFDIFDGVNRGDTNEYYRDFVRAMKRIGYDGYMSY ELCHNLPEVDGQTVDIGYAHEMAKLAAEFMRDLIETA OJF2_RS05245 MRSRGSIILPALLVLLAWPGLAPAQQTRRLLVVGEAKGYQHDAI TTAMVTLHDLGKSSGRWETTFRTDCRNITKKPLKYQVKNLDAFDAVAFYTDGDLAMDD SQKADLLSFVRDDGKGFIGIHSATITFPSWPEYAKLIGGSFDGHPWGQFDAPLVVEDA AFPGMKFLSPRFTLRDEIYQIKGFSRERSRVLLGLDASKLDLKVKGVRAGVTDFPVIW AHPYGKGRVLYNGLGHRDEAWEDPGLRRMWTAHVLWAMGLVPGDASPR OJF2_RS05250 MSSATRKPAATLSDLYQAKVKSELIAGRIVHLMPTDHRPNAIGG EIFVSLRQHARATGRGEAYTENMGFTVPELSSGREPFSPDASFYDGPLPDDDMAFVAG PPTFAVEVLSKSDYGDAAEAGPAARRADSFQAGTLAVWDLDPRARCICSYQGGAPDRP VLFIEGQVADAGPAVPGWQVKVDDLFA OJF2_RS05255 MSQAEHPSSFPDPAPGDWPVLVTGAGGFVGGHVARHLAAAGHSV RGLARTRPLEEPGDPPIEWILGDLRDERLRLRAVEGVRGVIHTAAWVSLGKDPKGLSR AINVEATRQFLDEARRAGVERFVLTSTLHTLASGTADAPADEDTPWNLECVDSPYCRT KREAEAIVRGASEGGFSTVTLCPAMVLGPRDPKPTSTRLLRVIASSPVSFLPRGGIPI VDSTVIATAHRRALIAGEPGARYAIAGPYLSYPELGRLVGRVAGWPRAVAVLPDFLQG PMMLSTGLLGRFGRHAELSATTVSGGFLRLHVSGRRADATFGLVHPPAIETIRAAMAD TRDSSNDSRPVE OJF2_RS05260 MAVTTHKPIARGLLGLSVAAGLLAGCGHPIPVVATFQGAGNFQG TASVATQSDVRGEMALKLPAAIDPGPLVSSVIRAGAAGAPRVALIDVDGVLLNQNREG LYDSSENPVAGFREKLEAARADGRVAAIVVRIHSPGGGVTACDIMAAELDRFKERTRK PVVACLMDVATSGAYYLAVGGDRIVAHPTTITGGIGAVFNHVNLADAMAQLNVVDDPI KAGPLVDMGSVTRPLDDPTRVLLQEMADDFAQRFMDRVSRHRPALNDADRKVLADGRV LAAAQAQKLHMVDRLGYLDDAIAEAEGLAGASNAEVILFSRGASPARSPYAIAPGPPR LNDMVPLSYPGLDRAKLPTFLYLWQPDPTLPRTNPR OJF2_RS05265 MGSGISVTIALLGTFLSAAWPAGLDGGEGPPSGDGSPPARKVAI APGTVVNDRAPRTWSHVVLKALPRMASGDLDTLPSSAARTAALFRTAILADVGPSASD PERFVLRRIGVGLCVPQPGRGDVVVDSESAEDVGVSLGMIEGAVLKSAEAEVNRGRLV ASTSTFALYRGPALLQVGEDHHKVVVSYAFLADGRTGALRVLSWGDDLDARKAGGAST LPMVELAPGLAFDCRLNVKAERLLGAVPVSWSFAMESLPPGEPRPMTDELAQALAKGK APLDGERVEDALRRSLAVSGRQTMSRPPSAGSAAARGAASGSGPGRSARISTGGSAPR GTTPRAR OJF2_RS05270 MHWNEHRIIVTAPPGLDGAGIVAAASRSGGLGILDGMEPDARDR GRSRMRGLKVAAYAMRIPAAAVVRGDAGMAEAALKAVVLPGGEAEQVLAEACRVVKGG GRGVLVEAASIAEVEAALRAGADGLVAMGEEVGGPSGTESAFILLQAILARTDRPAWV RGGIGPRVAAGCVAAGAAGVVLDGAILLARESPLTPEARARIAGWDGSEAVRIAPAGG RGVRVFAMPTSPALARLREAARVGGEAWTRAAAREVGWGHDQAWPIGQDAAFAGGLSA HATVGGIMREFERAIARGIDGAASARPLAAGSPLARDHGCELPILQGPMTRVSDVAPF AEAVATEGGLPFVALALLRRPAAEALLAETARRLGGRPWGVGLLGFAPADLREEQLEA VRNAKPPFALIAGGRPDQAAGLEKEGIAAYLHVPSPGLLDQYLRSGARRFILEGRECG GHVGPRSSFLLWEQACRVLDEAIASGIDAASLSVVFAGGIHDARSAALVAATAGDLAA KGVRIGVLMGTAYLFTREAVASGAIVERFQREAIRCRGTVLLESGPGHLVRVAPSPFV DAFDEERGRLLARGVPHDEVREALERLNAGRLRIAAKGVDRGDGTGSPLVPVPEERQA ADGLYMIGQVAALRGEVTTMRDLHRDVTSGATDRIGRLASARTDEPEAASPAAIAIVG MAALLPGAKDVASFWANSLQGTDAITEVPGDRWDWRPYYDPDPKAPDKIYSKWGGFVP DLPFDPLRYGMPPATLPSMEPAQLLALEVARAALADAGYANRPFAKDRTAVVLGMGGG AAQVSMGYAFRSYLPMLDAVIPGGGAAAMEKCEGLLPEWTEDSFPGFLLNVTAGRIAN RLDLGGANYTVDAACGSSLAALTLAVRELRQGAADMVLLGGVDTVQNPFTYLAFSKTQ AFSPRGRCRPFDASADGIVISEGVAAVVLKRLEDAERDGDRIYAVIRGVGSSSDGRAK GLTAPNDDGQVRALERAYREAGVDPATVGYVEAHGTGTAVGDAVEIDALGRLLHARGV KAGGCVVGSVKSQIGHTKCAAGLAGLIHATLALKHRVLPPTIGIETPSPRLKQNGGTL RVNVEPQPWIHAAADRPRRAGVSAFGFGGTNFHAVLEAYDDDPASGPEAPTPDWPAEL FAWSAGDRASLLLELDRLADRLAAGARPPLRDLSHAVNTRFESAPGGHALALVAESHE DLVARLDVARRAIRSGTRELADPRGIHYKAAPAFPGGKVAFVFPGQGSQAVNMLSELA VHLGEVRGAFDDFDAALVAEGREPIGPRVFPAPAFDDEARAGQAEALRATEVAQPAIG AASVGLLRLLDRLGLRADMAAGHSFGELVALHAAGSIDAKGLATLAEARGRLMREAGG DAPGAMVALMAGPDAVRPLIADLGGTRLVNLNGPRQSVVAGPREEVDRVLERAEARRI RGRRLPVAAAFHTPRMEPARAPLAGEAARRLGKAPSFPVFSNLDAAAHPADPVAIADR LGEHAASPVRFAEMIEAMADQGARVFVEVGPGGVLTSLIGSILGDRPHLGVACESPGR QGLAGLLHALARLAAAGLRPRLGELTRGRCGRVLDLEDLPAGDGSQPLPASTWMVNGS RARPLHAPEPRRLGQAGLTPPAPAPAPHAPPASPAPPRRTPAARPVEPAANGSASNGK MHEGIRTMHAHTNNGGPQAASPSLPESPPPATAAVATPASTPPAEGLPAGAERVMAAF QETMRSFLEVQRTTMLAYLTGRPEPAATVPAPGTAVATPAVAYASPAPPKAAPAPAAP KPAPQPAVRAATRTAPAHAEAREPSPAPAPASPAPPVVGKSGPEPAAAAAGRDEIASK LLDIVRERTGYPLEVLKLELDLEADLGIDSIKRVEILGKLRDAFPGIGGASDPEAMDK LAGARTLAAIVDRVEKSLGRPAVELPPPQAEPTAPAPTVPAPAPSANGKAHGEPRGTP RRLLLEPVPAPLEGGEAGLADGGVVVITPDDRGIAEALEVSIRSRGWKTAVIGGPASR LDWTSQAAIEEVLGRARLAGPLAGLVHLTPLRSARAEELDPAAWSRRMNPEARGLFLL AKGMAGDLEEAAARGGASLIAATAMGGQLASAGVAGEAAGFFPGHGAVSGLIKTIARE WTAVRTRVVDLDAREAPKKLASRLLGELLNEDRWSEVGYNGPRRIRLRSVPSRLAAKA EAAPLLAPGEPVLMTGGARGITSLVAAEMARRWKPTLLLLGTTPLPDGPADAELERLE DPAALKSAIYDRLRRGGRLAGPADLEVAYQSLRRGREVRRSLEALRALGARVEYAQAD VRDPARLAGVLDGWRGLFGDPVGLIHGAGLIRDKLLTEKSIESFDRVLGTKLDGALNV VGLLRPERLRFSVFFSSIAGRFGNEGQSDYAAANDALNKLAVWLDRRWPGRVVAPIWG PWAGIGMVSDLADHLASRGLGMIAPKAGVAALMDELTRGRKGDVEVILAGDLGSLEAP IARKAARRLEAVR OJF2_RS05275 MRRRRPYDIAVVGMGCRFPGAPDMFAYWANILANRDATREVPPG RWPLETFFDESSHANDRVACRRGGYLDDPVPFDPAAHGIMPLAVAGGEPEQFLVLDAA RAALDDAGMSADAIDRGRVEVVVGRGNYFNRGNLIRLQHGRVVAQTVGLLAALHPEWT GRDLEDLRHGLKASLPPFEAATIPGQLTNATAGRIADRLDLSGASFVVDAASASSLLA VELGSRSLIERRADAAIVGGVYVEADVDFPLVFGRLGVLSRSGAARPFAGDADGMIPG EGVGVVVLKRLADAERAGDRIYAVLKGLGLASDGRGRGLTAPSAKGHARAMRRAYRAA GVDPASIGLVEAHGLGVPAADRAELRAMARVFPPSVTPAGRRVLGAVSSQIGHAMPAA GMAGLIKAALSLHHRVLPPTRGADRPDARVAEAGMELLPGPRPWTHGDAANPRRAAVS AFGFAGINAHAVLEEHAASADGLTPGAMPDWDCEAFLLAADDRNGLADRVQWLRLRLE RMAAEWKSYPVGAGSDRRPGEPRPAGSPDGAGSHGATLKDVAYTLNREATSHPGRARL GLVAGSREELLSHLGAVEPRLRDPKCRQVKDGRGVYFWEEPAGREGTLAFLFPGEGSQ YAGMLADLCPHFPELRAVLDVADRISRESGEEVPPSRHLFGGPGAAEGELFSADTAVT AVFACQWAIYQVLRRMGLLAAAVAGHSSGELPALAAAGVLATDRTIHGPLSRLTTVFR GLEASGAIPSARLVAAGTDRDRALAACRAVGPSVSVAIDNCPHQVVLAGPAEEADRVV AELRRSGVVCEVLPFARAYHTPAFATMMEPLRDFYGSLELHPPGVPIYSCATAAPMGD DPEAIRRLAFEQWTRPVRFRDTVEAMYRDGHRVFVDAGARGNLCGYVEDILRGRPAFA VAANLPRRSGTAQLNHLAASLFAQGVPLDLSYFYARRRPRTIDLDAPAAPARPTQGIA LGFPELKLDEVLADRLRRRSRRPEDAGVVFSRELALADLDPAPGNGHANGHAGGHANG NGHANGNGHANGNGHANGNGHANGNGHANGNRHANGNGRHGVAEPLAPAVQLLETPPV PLPGPVVATPASGADEAMLGYLAAMDDFLSTQQRVMQAYLGGAGGIREAAPSQGLDAT PSPGPWIGTILEWEPGLRVVSRLSLDGLDDPAATNHTLGGRRISALEPDRLGLPVVPF AVMAEIVAEAGSLVVPPGMALECLEGVKAHRWVAYAPGSEFEIRGEATGRDPLAVRVT LHHIQEACGPARLVYEGVARFAEEPPPPVAAAPFAPSECWPSKFTAEQLYDEQWLFHG PPMQAVTEVGRTGPEGTRGVITVRPLAPILRHGAAASLLTDPIVLDNFTHLLGCWGLD CLEQGDVIFPLGMGRFSIHGPSPGAGTPVDCRIWIREVQRHRVLVDAEIVRPDGTPWM RIEGWEDWRFYWPARYRDVFRAPDEVLVGEPLPLPGIDPARAAAVWLAPPTDMSRPVW RDVLEVVQLAPEELEARDRLAGSEARRSQWLRGRIAAKEAARRLWLASGEAPRYPADL AIRIADDSGAPVLCDLAAPDRSDLPAVSIAHADGLAVALAARGPAARAGIDVAAIHDE DERSARERAARQAAAKAFGYGPQETDVVATVVASDEATGEVWVAVEGSGTIRVGTARR ADHAWAWTLAEGGDIA OJF2_RS05280 MNAVQTEAEILDDLRGIFRDALGVDPVAPIEPSTRFFADLGLAS IDAVVLGEAIQAHLGRPLPFDAFLAELGRREQRDLLIAELVAFLRDHS OJF2_RS05285 MPRIATGNITLHVQQSGSGPDAILIHGLTGDLSIWFLSRTFAAL AETHRVTAYDLRGHGYSDAPAEGYTSLDHARDLLALMDAQGIDRARLVGHSFGAVIAV HAAVLAPDRVEAIVLSDPYFPTLRHLEDVSRWGHWQNFRKEAEDAGVTLSSDTWYDLT TFFDQVKGLDDERLLKFRQAVGLPGLKRVMRLAMTTCGRDSKLDAGLTEAQIASVSRP CLALYGEHSPFLSTADYLAANLPDCRGRLIPGAQHRAPEENAEGFVVAVREFLESLPN PRHPSPAQGVEVFS OJF2_RS05290 MSERTMVLITGAANGIGRATALELARRGARLGLVDIDAAGLESV ADELKRLGVPSASRVVDVTERERLREAVAGIEAELAPVDVLVACAGYGTLTLVPELEI DNLKRTFDVNLFGVAESIEAVLPGMLARGRGHLVGVASMAGYRGFPWMISYSASKAAL IAYLEALRPGLAKRGISVTTVCPGFVRTAMSTNVPYKHKVKMIEPEEAARHLARAVLR RPRNCVFPFSMRMSLAVLRLMPDRVFDWAMRQAGPRALFVDF OJF2_RS05295 MSPGLADIAEPTAADLAACIGCGSPPGERGLCAGCGRVYETRDG ILDAIGELAGRNRVAADFYDGPGWVRFRKWEKLFLAFQGGARRSRMQILRHLSGLGDA PARVLEVGIGDGENLRFLPGSWAVYGVDIARTQLVACRGRYPAMDGRLAWAEAEHLPF PDGTFDACYSVGGFNYFGDHAAAVREMRRVTREGGPVVVADEVPGLQRAGIGHLIGRP SIDKAWLRGLGLDAAFVDMVVDLEVDPPALAAEVLPDAARVPIWNRLGYCLIHPAPGR PSGASGRHP OJF2_RS05300 MSTIPEELAATSPWDHDLDLLRCPRCAAGLAPDSEASLGCAGCG ERYPIRDGILIVKGQSDENNRVAQEFYDSPLWPKFRFWEKFTWWCNGGERRARNQVLK HLPQQPGLNLLDVAVGDGVYLPWMPADWNVTGIDVTWSQLEACRRREVAPAVRLIQGE AESLPFPDGAFDAVLSIGAFNYFNDPEGSLREMVRVSRPGATIVISDEMPNLTDRMLG HKIGLPGLDNWIISRMMHLGDAFTEMVERYRKLDIRGIAAKVLPGMEYHEVWRGVGYV LVGRVPR OJF2_RS05305 MRFITLMARNLFRRRTRTLLTAVGLALAIATVLDLVGIAWNFER AFLTLFVGKGIDLVVVRAGTSNQLSSALDQGLADRIRSLDGVKEVAASLLDTVGFEQA QIASAIVNGWEPDSLLFRGSHLLEGRSFHPGETNVTLLGRVLALNLGKKAGDPLDVAG EPFRVIGVFESDSAFESGALIMPLATLQKLMGREGQVTGIVVEAKSSEKASIEALRKS IESEIPGVAATPARDYVERDVQIRLVKVMAWATTVLSLVLGAVGMLNTMIMAVFERTR EIGVLRALGWRRTRVLRLILGESIILGLVGAALGMVLAILGLRVLMLAPTAQGFVDPR LPAPVLAFGLVMGLALSVLGGLYPALRAASLDPSEALRHE OJF2_RS05310 MSDREDSSEPLLRGEALSRTYPDGEVHALRGVSLEIRRNESIAI VGPSGCGKSTLLHLLGGLDRPTSGEVYYKGNPLSRLDRDRYRALEIGFVFQSFHILGT LNAVENVQIPMFETGLSPRRRVERAESLIEQVGLAHRRKARPMHLSVGERQRVAIARA LANEPSVLLADEPTGNLDSRSQSEVLQLLEDLRHRQGLTLVLITHSSEVAAAAGRVIR MRDGQVVEAGPA OJF2_RS05315 MGMGLACFVFMATAGRSEASIVTYSDRAAFQAHAVGLSTIDFQG LATPSTAFINYGQGASLSTGGVTFTANGSSDLFANGADTYQAQYGSPFNLGEGDFLQA GNGSPAGLSIALPGGVTALGFLLETFDSPTSGVTITLSTGDVVTIAAPAGGVTFFGFT STVAVTALSAEITAGDRMDTLSIDTVQYGAAVPEPAGLALLASGVLPIACLVLRGRRA LRPVA OJF2_RS05320 MITRRVFLGACGMLGPGLSPRGVLARPAGARDLRSFHLAVSPEA LERTPGLLEAIRRAGVTDVWICGFFYGHWPFPIEAIRSWGRRAERQGMAWHVINVPLG HPGDALGSRDGQFPLTPPGRWRLGRAADGSSHAGTSIHPPAVEENADAMQQLARIGVR RVFLDDDFRLARGPGILGGCTCDDHRRAFQRAAGLDDGRWKGLIDAIARRDLTADVRA WVEFQCDEATSAFRAMRRASPEIDLGVMVMYLGAEKAGIRLADYRDVPFRVGEGHFDD GSFGTVKGKMDELSSVLFHRRFAAPDRAFSETTAFPATRLSAANMAAKLAISTIADVR NTMFMSGLSAFPIGSWATLGPAMKHQAGIHRKLAGHAPRGPLKHVWGEPSRYVGDDDP FSLFLALGIPFEVLDGPPRDGVAFLSRADAARPPAASPATLIARPAPGLSPRIRPKPE SFDALLGLKRELIPGLGRNPYVEQDVPVVCAWYPTARAVLLWNPTEKRRDLTVKLGDL RRNTSLEPLGSALIEDLPG OJF2_RS05325 MSRADDGPQAPSTSEDRYRLLVEGTGDYALFLLDAAGRVETWNA GAERISGYPAAEIVGRHVACLFSPEDVADGLPGRRLESAVADGRVEFEGWQFRKDGSR YWAHAIVTVLIDGAGRPSGFAVICRDLSGGMQSEEVLRSVLDNVVDGIITIDEMGIVQ SFNPAAEKIFGYWAAEVLGRNVSMLMPEPHRSEHDGYIENFRRTGRARIIGIGREVTG RRNDGSTFPMELAVGEFRLNGRRFFTGIVRDITERKRLEHEVRRRVSELAESDRRKDE FLAMLAHELRNPLAAISSAMQLTGRFADEEESEWSMDVINRQVRHLSRLIDDLLDVSR ITRGKIELRKEVVDVAPIVAGAVEATRPLLDERQHQVTVAADPGQMWLEADPTRLEQI LSNLLNNAAKYTDNGGRIWVTASLEGPDVVLRVRDTGMGIPPEKLPQMFELFAQGDRT LARSEGGLGIGLTLVRALTELHGGSVTAASDGPGKGTEFAIRLPAADRPGGHSERVRP SRARRAPSRVLIVDDNVDLARSLARLLTLLGHEVRMAYDGEAGLEEARSFRPDVVLLD IGLPRMDGYQVARLLREEDCGRDARIIAITGYGHDEDRRRSREAGIDYHLVKPIDYRS LMPLLESAA OJF2_RS05330 MFEWLRKRRGPAANRPEEAAAAAGVPEAARWYMDAIKPHMGQPF VRVHFHRVTWMAVAGPDEKPADFFALEFRAGDRAALIWCAGPDRWNVGQYIRLAHPGA DMTTAPSGLTGAYFSECATCSSLAEAIAFLEVATPEPFRGVPPKTGAAT OJF2_RS05335 MLPWLAVPLLAIAGPFAGPTRGQGTPPAEAAGGWAKSPGNPVLG GDLGTCFDVCLLKEGDTFRMWFSWRPRKSVALVESKDGVNWSAPTIVLGPNPETGWED DINRPVVIKHEGLYRMWYTGQARGKSWIGYATSGDGKAWKREGTRPALSADQPWEKVA VMCPHVLFDDRAGLYRMWYSGGEQHEPDAIGQATSKDGLTWAKHEGNPVFRPDPGLAW EKNRVTACQVIRRDEGYLMFYIGFRDVEHAQVGVARSKDGLNEWKRHPANPILRPGAG RWDADAVYKPFAILDGGRWLLWYNGRRGGVEQIGLAIHEGEDLVF OJF2_RS05340 MIMRRVTWARRSGIAAIAIAWCGVAGARGLAADDPAGGPAVFLS RGGPERAGAVSGSRPPEHPAVRWTRPLESSPGEPLLAEGTIYVGDFAGDVSKIRGEDG QILGIYRGGSQVFRAPAIRGSLIFVGSSRGLTAISRRMMDTRWRNAEVGDVTGSSPLV VGDRVVVAGTNGIVSAIDFDGRRIWQHDIAADAPPSPPGFDAERARGGNGVARPGTPA SDGTSVFVPVFDQSRIVAIDLKLGGRRWSYQAKGWIFGEPTVAGDRLFFGSQDDRLYC LDRRRKTPRWTFPVKSRIEAGAAFRDGSVFFASCDGRVYRVDAETGEAVWTYQTPRTE GASAAIYSSPVCTEDSVFFGSFDGHLYRLNIRDGTLRWRIRALEGSEIMGSPLVDGKS IYVTVRKGIAGGGRDAVVAIGEDGPAPRDEAPAGPGR OJF2_RS05345 MARTAKLTLDDRTLDLPLVRGADGEEAVDLSGLRGRGLVAIDDG LRHTVLTKSAITYVDGERGVLRYRGIAIEELAARSNFVETSFLLIYGHLPSREELDKF HDLLTDNAALHESFKYHFEGFPVDAPPMAMLSSMVSTLACFYHRPKEKAVDDLREIDV FDEEAAWLLSKVRTIAAYSYRRSMGLPFIYTDPRLSYAGDFLHMMFSEPFREYVAPEA TVEALNQLLILHADHEMGGSTTTVRVVGSSRANLFASCAAGISSLWGPVHGGATIAVV ELLESIQRGEMTAEQVIARARERKDGFRLAGFGHPVYRSMDPRAKILRRIAERLLGNN PGAEPLLDIALDLEAKAAADAYFADHRLFPNADFYNGIVMRAIGIPRDMYSVFFAIGR MPGWIAHWREQAADPNLRIIRPRQLYVGPDETQYTPIDAR OJF2_RS05350 MPAPTDLLVTTEWLDANLDRDDLRVVDMRGYVVARPLEPGVEHA DYRGAREEYERSHIPGAVYVDWTVDIVDPDDPVPAQVAPPERFARAMSERGIGDGTHV VAVDHGGGQFATRLWWALNYYGHPNVSVLQGGWNRWVDEERRVESGEVKPRPAVFTPK LRRELRLTAEQLAARLGEPGLDLIDARDAGQYTGARRRGPRGGHIPGARNLPRELFFA PEGGFLPLDELRNTVARSHLSPDRPVVAYCNGGVAATVILFNLARLGFTDLANYDGSW NEWGARTDLPTE OJF2_RS05355 MKAAVFERFGEPAEVLGVRDVPIPEPGAGEVRVRMIATPVNPSD LLVVRGRYGVLPKLPATPGFEGVGIVEKAGPGLLGRWVEGKRVAVINSAGGNWAEYAV IPARQARPVPADIPDDQVATFFVNPATVLALVRHVLAVPKGEWLLLSAAGSTLGRMII RLGRHDGFKTLAVVRRPEAKEELRQLGADAVICSSDGPVEDQVRQVTGAGGPRFALDP IGGEAGAAIFRAMGDGGKLVLYGSLTGEPVPIDPRQVISARKSIEGFWLGHWMRDRSI PGALLLFREIASLIRSGVLRTEIGGRYPLDDIRAAATEADAMGRRGKVLLTFRGEPA OJF2_RS05360 MASLNPNGERLLCVGESSSQVRAAYTGAWGEVIRDEDRPNVRTI SLQRWTGQFWSGQWEHQMYLAVPTTRTGKGIGGEATDVEEEEDEEALEGTNGKAKKK OJF2_RS05365 MKTVSEVAAWLEGFAPSRLAESWDNVGLLMGDPDGPAGRVMTCL TVTDETAGEAVEERADLVVSHHPVLFRGEKRIRADLPGTSPVWKLAKAGIAVASPHTA FDSTAGGINDGLCRRFGLVEVAPIRPAAGPATFKVVAFTPASDRDAVLAAAFAAGAGR IGAYEECSFAIPGQGTFFGTEGTDPAVGRKGRRETVEELRLEMICPGARLAATLAAIR SHHSYEEPAIDVYPLHDERPHGGSGRIGRLEEVRGLEDFAAAVSRALGHIPVHVAGDP AKLVRRVAVACGAADEFLGDAARAGADVLVTGEARFHRAIEAEALGMGLILAGHYGTE RPAVEDLAVQLALAFPELIVWPSRRERDPLRLVQTR OJF2_RS05370 MIWHSGEILPDDALRVSILDRTFEHGLGLFETFRTWDGRPTLLP RHMKRLACSARTLGLPLDPADLPDAGAVRALIDSDRSIPAGADAVLRVTLSGGLSPDG GGTLWMRAMPLPPPAPDSGCVLGPTHAARTDPLVEHKTLNYWPNRLAYDRARSQGCDE SVAISPDGFVWEGSRTNLFVVADDQLLTPPCAGKALPGIMRVLVLERAGALGLDVREA PLGLFDPTFRPEEIFLTNSVRAILPVAAWGDARYPAPGPTTERLRRDVLHWLESLSH OJF2_RS05375 MSTPVLPAAVQQVLDVPADRLAALIGGWPEPAILASRGGAGEAG RWTMLAAYPRLAFEATGARWSTRQDNGSFESGEGDPLDELARLLRRFGLAETADAPPP VDACPFQGGMIGYLGYDLAPLIEKLPRRVPRDSRLPDLRMGLYDTAVIVDHRAGKATL HAWDLTGEGQAAAERRCRTWRRAIRNAATSPRVVSRSVLGSIDGSISRQAYMAAVSRA LEYIAAGDIFQVNLSQRFSARGRVEPLDLFLRLGEISPAPYSAFLRWRDLAVVSASPE SFYQVRGDRIETRPIKGTRPRGADPEDDSRLADELRAAPKDRAELTMIVDLERNDLGR VCRYGSVNVVDPHAIESYAQVHHLVATVEGQLREDAGPVDVIRATFPGGSITGAPKIR AMEIIDELEPNRRGVYTGAIGYFSRGATGLNIAIRTLTVERDEVRYQVGGGIVADSDP AAEYEETMHKGRGLRAVLEGEEPDR OJF2_RS05380 MDGLLIEALTNSAWAAAMALLAVIAVRLARPRPEVVHLLWVLVL LKLVTPSLIRHQAATPAGPSEQAGHQPLVAEEANPALPLTKGELEGVSGAGLGDRIHP PVSPPRKGGSESRPVPSVIREPSAGWPWRTILAATWMAGALAWALAIVIHASRVRRLL RIANPASGELTRRIETLAARMGLRRAPAARLVPAPIPPMLWALVGPPRLLLPEGLWEG LDEAQRDTVLVHELAHLRRRDHWVRRLEAVVLGLHWWNPVAWWARRRVEDAEEQCCDA VVARTLPESVESYAEALVTTAAFLSGVRAPRPFGASGVGRVPPLRRRLDMILRDETPA KSRPVPAAAMLVAGLSLLLLPGWAPARARQATPPATNDRDATQPQPPAPSPAVRDAEP GKDAAPPRVRVSQPIVRDIRDGINFVGVVEAARSVELRPQVAGTLTEVRVKSGQTVEK GEILFAIDSRIYQMKLDRAEADVSRAEVQLRRQSAALKNTEKLSASNVVSSQEVDLSR AGRDEAIASLRAATASRDLARLELESTKVTAPFRGKVGRPLLSVGSVVAPESTKLATL AATDVTNVVFKISQNAYHDLTRADANGRAALSDAALAIEVWDGRQNRRLRGRLDSVDT QFDPQTGNLECRASLPNPDGFLVPGESVSVTLYTGEKRRAMLAQLGPMPAGSLRGNGR PVLVVDDEGNVEVREVTFGPHVEGAMREIVSGLKKDDWVVPLAWMGPGARKYFPDGLH HGMKIDVERVNAPEPDSTEP OJF2_RS39920 MVNIQDLFDDAKCYTTIRDMRWPDGVACPHCSSASVIKDGRDDT EPHRQRYQCRGCGRRFDDLTDTIFAGHHQPLRTWIACLYLMGLNLSGLQIAQELEVNK DDARAMIRQLREGIIARRPPVALEGEVECDEVYVVAGHKGHPEAVKKKAGPPAAGA OJF2_RS39925 MEKEKPPIFGMLQRGGEVVIRMLADVKQATIGPLIRRTIAAGSL VYTDEYDIYSRLEEWGYAHETVCHAAGEYARDDDADGFCEVHVNTLEGFWSLLRSWLR PHRGISQECLPLYLGFFEFVHNVRARGKRLLGALISQLLAPPRNPS OJF2_RS05390 MARRAGEDVTDTELAMLRVLWDRGEATRRQVADVLYPGGGEAHY ATVQKLLERLEHKGFVRKERREGVLVFAATADREAFIARRLQGLAEALCGGSAASLAM NLVRSQPLSAAEIDELSTVLRERRRKRAGETN OJF2_RS05395 MIRHPLGLRLNPDRSIRDQIQEAARLGARGVVLDAIGDLAPQRL GETGRRELGYLFRTLELSLVAVSLPTRRAFDTIDQLEDRLRRADSAFAMAYELGTNLV LARVGPVPPADEPARREAFDNAVGSLGRAADHRGVRLAIETEAEPGKRLKDFLEALDL VGLAASVDPANLLRAGIDPATAVAELGSWVAHAYAGGSSPATSALAHPRGFGSSASAI DWESYLGSLEEVGYRGFLTIWPDPAADARTAFNAISGRLKQVS OJF2_RS41130 MSVAGPGYDLEKTLREQFGLAEFRPGQREAIEPVLGGRDVLCVM PTGGGKSLCYQLPAQVLPGVTLVVSPLIALMKDQVDALNARGLSATLINSTLDLAEQR ARLLEVEAGLHRIIYVAPERFRSGRFVSLMARLRPSLLAIDEAHCISQWGHDFRPDYA RLGLARKQLGSPPCIALTATATDAVRRDIADQLELRDPELIITGFDRPNLKYSVVEAG KDEAKLQELARALDRNPGPAVVYASSRVRCEMVAAFLGSELRRETVVYHAGLTREQRN EAQERFMGGRADVVVATNAFGMGVDKRDIRAVVHFNLPGTLEAYYQEAGRAGRDGQPG ACVLIYSYGDRFLQEMFIDNEYPPRGAVHQVYEFLRRRDDDPIQLTHAEIKEEARLDM NESAIGSVLKILDNAGAIEKFLPRENQAIVRINVEPDDLGVAPSLVDRLSPQAHVQQI VLRGLEGLVRGRVGEPVYFRPDELAEALGLDRPALGRAIKSLVAELPIDYVPPFRGNA IRVVDRAKRPRDLKIDFSELEKRKEHEYAKLERMIEYSRTRQCRRAYILRYFGEKAAL ASAGECGGCDNCRPGDASGPSAFGVASSTMPIDTPGGRDLLLKILSGVARAKGRFGKQ AVAMMLTGSDSEKMAKSRLDQLSTFGILRDSGLRQKEVADVLDALAKARLVESQEVDR FKPVITLTEAGWEYLKNAEAPAPCLDLPDFLVSKLRRGGPGRSREAPHSPSPRDDAPG AAAPRGAPALEAAGDDPDEASPADDLAPDPLRDRLRALRTDWARELKQPAYCIFTNET LEAIVRDRPATPAELAGVKGLGRARVERHGTAILEAIAAYPRDGAAARSAASPPGAVS RELGSVSEPTPAKSPAGPSSPARRAEPAPTGNTAGGAPPARRAEPAPAGNEPAPAGSR VGSHVATEEWTHRLIAKGFSVAEAAAIRGLDVAAVVRHLTWMVRRGQSIDPSSLAEAE ALAAWEEWRASRGDDAPPPGDDLPPGLWSLYLLCRPKA OJF2_RS05405 MTSPAPTNALRLAVLALAALAMAVIAHPAAADDAKPSAKKAKKA QAAQPLAELFRDLDANSDKVIERQEVPESGRKAFDSLLKYADANHDEKLQPEEYKALV SKVRLSKVATLAEREARLQKLDKNGDGKLDLAEYSQAEAQFKKLDKNHDGLLDRSEIP WMNPDQPKEGKKAEAKAAKKADAKAAKKAAKSKPAAKPEPEVAADMKPDAKADAKVAE KAEEKAARSDGKADDAAKPSAPDSVAAKGGAKPGKLREAVREKFKAMDKDGDGRISRS EFDGRPKAFDRLDANHDGYLDKEDLKQARQAKARKTAPKS OJF2_RS05410 MPILSGRFRANAPVRALVAAVLMLECAAAGAQATKKAQASVRRE SPASPASQLEGAWKMVKSRNPATGQLLDIPGEIEITKLITGGRYAWIAARNGQAVGGM GGTCAVTANGYTETVTYALGQNDKALVGTSQKFTWTIEDGVWHHKGTLRLGNRTQEID EYWERVR OJF2_RS05415 MATRWRLKPFDSARIQALSRAARVSPLVAQLLINRGIDDPRRAG VFMQAKLSDLNDPESLPGVVDAAERVVRAIREDRPIVIYGDYDVDGVCGTSVLWACLR LAGAREVHYYIPHRVEEGYGVNGEALEKIARTYHRPLLITVDCGISAVAEAEKAAALG MELIVTDHHTIGHDLPAAAVLVHPRLPGSAYPCGDLCGAAVAFKLAWQICKSFGDGKK ASPHLRDFLVRSLGLVAMATVADVVPLVDENRILVRHGLAGISSAPMVGLKALMAVAG CLDKAKLSTGNVGFGLAPRINAAGRLERAMRAVEMLTTEDADLAGVIAAELNELNAKR QEVERSIVHEAQEMVKAAGGSTGRGAIVVGKRGWHPGVIGIVASRLVEIYHRPTIVVG FGDTVCQGSARSVPGFNLYEAIAACSEGLLAFGGHAAAAGLKMKEDHFPAFAERFDGH CKGVLTPELLEKCLTIDAEVMLSSLTLSTVEDIERLEPHGVGNPRPLLLASQVRVMGE PRVVGGQKNHLQLRLLQGNYQLKAIGWGMAERARELTPNTACSIVFHPSINEWNGRRE IQLELKDVSVDAAGPEAARRVAAAPLSA OJF2_RS05420 MLIVCPSCRHAIRLVDVHPGRFMPKCPRCRSTFRMTIPDEPGKS PVVQALEPSAFAEPVANLPEPPGPPLEAAGPLPVAPPEPPEVPEIAWPEVPGPSASPL RLAPLAPGIPRLLGGYLLLRLLGRGPRGPAALAQPLAVAPPEVLKLTDEGRRADRTFR ALWAREAFAASFLDHPNLVSVRGIDSARGRDFAAMEWAPGRSVAELIAERGRLDPWLA TVLTLQAARGLRAGHAQGLVHRDVKPENLRIDGHGLLKVDDLGLEMTPSLASAIEEDR RRASPADRPATKAPGKTTARPGAAYDVVHPPDEPPPTAAAGSPAYMAPEQAADPLRAD GRADVYALGGTFYAMVTGRPPFPGENAVELIRRHREDRLIPPSEFAPGLPRPIGDAIA AMLGKRLEERYPSMAVVVEALEGILGLRGERAPNAAAERDELAEAVRQASPAFGSTAE TRLRNKVAAMAAGILLLFVLVLTALGVGSAAAGIGELGAITAILAAVASARLHGSTWP RRAAEVLAGGRRAWIVVPLVGLAALSVVWSHGLFGSSFLIAIAGGMAAAFHVYLDRPA AAGRREALERVRTALVRLRGRGHDEALLRELVAREAGRGGRGLVDRLFGFEARRRVRD RRIERHRGRHRRLLERCEEGRLEAAGLNLLTARRRARREAKAMVLAAAAWHAEREALR ASGATAAADAPSLMDRIEWAAADPEPVLEPHEPARSALARRVEGLAALVLGGLPRFVA GMGLAGLLAAWLDARGIVTLNQVRDQVVEIGHAVTRAVRYANVEALRDISWSIRPGWS RFLECPDWPWIPEPFRGRVPAMNVAAAAAMLLFSLFFERRIVAFAAILGAGLALAGPA WGLEIGPLGGLVAGPSQACLGGLLLMVLGVLWPRRKAAPE OJF2_RS05425 MIKTVVVGYGLAGKEFHCALIRRQAELNLYGVVARDPKVRSLAI REQEVRGFASLDEALDDPLVKLVVLATPHDTHAEMAVRVLDAGRDCVVDKVMALTSAE ADRMIAARDRSGRMLSVFHNRRWDWDFATVRRLLAEGRIGQPLLIESAVCRFAPPRSW RGRERESGTILHDWGAHLVDHALQLGLGPCRRLSAWLIDAPWEGVDNGGHGRILMEFD GTLFQAETSRVCRIDRPRWWVVGRDGGIAKFGIDPQEAALRAGDIDRAREPEGHQAIV RTADATGAVHEDRIPSVRAHWDGYYRNIADHLLRGAPLAVTAEEAREVVRVLEAAVES SRTHSMVEGPWGYGSPSPPQARR OJF2_RS05430 MPADPCPRRIASALLLLALLPAASRGDDKAGAGPKPAAKPDAAA FESAVRPFLAKHCAGCHGEKKPKGNVSVAALMKEPQAGASRKLWSKVKESVEGTLMPP EDRPQPSKHEVEALVGWIESALNQTDCGRTVDPGRVTIRRLNRAEYDNTIRDLVGVDF RPADDFPSDDVGYGFDNIGDVLSVPPLLMEKYLAAAEDIAGRAIVVGGRPSKPPLKTW EAEALEGPGVSGDGDAQVLASDGEVGVSHAFPQDDAYVIRVRAYGQQAGPEPARMAIR VDGKPLKVVDVPAVQGSPGTYEVREKIRAGNRRVSAAFLNDYYKPDDPDPSRRDRNLA VLSIEVEGPLRAPGSRLPESHRRIVFKTPKKPSEFQDCAVEVVQRFASRAYRRPVTGG ELAKLLRFVDLARENGDPFERGIQLAVEATLVSPQFLYRAELNRGRRKGAKDASGVPL NDFEVASRLSYFLWSSMPDEELTRLAVEGKLLAEDNLVKQVRRMLRDRKAGALVENFA GQWLQLRNLKAASPDREQFPSFDEPLRQAMGQESEAFFAAVMREDKSILDFLDCDYTY LNERLAKHYGIGGVSGEKFRMVRLKGRERGGLITQAAVLTVTSNPSRTSPVKRGKWVL EQILGTPPPPAPPNVPQLADDRKEPLKGTLRQRMEQHRSNPSCAACHSRLDPPGFGLE NFDAVGAWRDKEAGMPIDASAKLPGGESFRGPAELKAILRSKKDQFTRCLAEKMLTYA LGRGLEDFDGCTVDRIVQAVADGRYKFSSLVIAIVKSDPFLKRRG OJF2_RS05435 MMKARPISRRAVLRGAGTAIALPFLEAMGPPALASAAAGAPRTK RLAFLYIPNGVHMDDWRPKEEGPAFRLPPTLEPLRPFRDKLLVLSGLEQHNSEALGDG PGDHARALCCFLTGVHPLKTDGANIHVGISADQVAAQAVGEQTRLASLELGIDRGGQS GNCDSGYSCAYSSNISWRSPTTPMAKEINPRAVFDRLFAGFGKKGTEAEQRKRDLYRK SILDFALEDAQQLRGRVGLNDRRKLDEYLTSLREVEKRIARADLGKADLPPGVTRPSG VPQDYAEHVRLMFELMALSFQTDTTRIATFMYANEGSTRPYPSIGVPEGHHDLSHHGG DRRKHEKLKKINRLHVELLAQLLGRLQSIREGEHSVLDNTTLVYGSGISDGDRHNHDD LPVLVAGTGAGTLKTGRHIAYSPRPLNNLYLSLLDRFGVKTDRLGDSTGRLENLDG OJF2_RS41135 MSRPEPLSAASASLSSLVARAADEFLERLDRGERPDVEAFASRY PEVADVLPQILPVLTMLQDFKPDASSRLANLVEAGDLGDFRLLREIGRGGMGIVYEAE QAALNRRVALKVLPVSPGLSSRQLARFQIEAQVAAVLNHPNIVPIYSVGCDRGVHFHA MRLIDGPSIAEVLRGERRLDPGGAGALRGGGAIGPRDAARMARQAADALEHAHSLGIV HRDIKPGNLLLDGSGNLWVSDFGLARLQGVSDLTVSGDMLGTLRYMSPEQAAGGRMLD PRTDVYALGVTLYEMLTGVPPFDAADRHELLRQITHDEPTPVRQRDSAVPRDLETIVQ KAMAKDPAHRYASAADMAEDLGRFLEDRPILARRPTLTERVSRWSRRHHRSMVVGGAF LAVLSLALVGGMAVLWKARQGAQAALADARKARNWEREALAFTFTASDQITSRALSMM AATGQKLSQQEREFCRVALDYYKQIATRYREDAEMRRAAAAALHRIGFIGMLLGEPDA DQAYARSIDLYSALLGKAPGDRELRSEFCTLLGDRVLLNRKNGNLARAVECLEMLLPI QEGLVREFPEAANYLVSLCYRQAELMQVMEETARRDAADSIRPVLSRNVELALGLDGG NARVWNNLAWVLGNHPSAAPQDIVIALRLARAAVSRAPEDGTVWNTLGVMSYRAGDLD GAVAALEKSMKYRDGGDPYDWLFLAMVKHQQGRHEDAMHWFGRVDPWMAGHPAMASNA EIGRFQAEARKLLRLEPITFQGAGPAFMGLPFLAP OJF2_RS05445 MAEHDPRECERTIELLRQARDGDPDALENVFGRHRDELRRAVAR RLDPALRGRIDPSDVVQEAHIEALERLPEFVARRPMPLRNWLLRTALQKLLKLRHHAL AGRRDVARESRLAVGDGPGPGDDTVPIPSAGPSPSQEVATRERAARLGRALGELQPAD RSILELRTYQGLSFAEVADRLGIEPAAARKRFGRALLRMRAILVADGLTESTL OJF2_RS05450 MPNDAGERPASAGLRAEYGRRLEDRRAAQARWSKLEGRVADARL AAFAAALAVGFLAFWLRRVGPLWLAPIGVAFLALVLVHEPLRRRSRRMARAAEFYSRG VDRMADRWAGKGVAGLHFLDLDHPYAADLDLFGVGSLFERLCTARTRSGEDTLAGWLL RPSTPAQIAGRHEAVDELRPRLDLREDLELLGADVRSGIDPEALAAWGRSPRVFPGRA LRVAAATLAAAGALALIGWAFLGTGLLPLAAVLAVEWAFAYALSGRARRVLEAVDRRA QDLVLLGALLDRLEREPFRAARLGQLRMALEARGRPASEPIRRLARLVHLLDARRNQL LAPFAAVLLWGTQFAMAIDAWRGEEGPAIADWLAAMGEFEALCALAAYAAENPEDPWP EVVPGGARFEARAIGHPLIPAAKCVRNDVELGGEARALVVSGSNMSGKSTLLRTVGVA AVMSFAGLPVRAAGLRISPLSIGATLRIQDSLQAGKSRFFAEITRVRQVVGLAAGPPP LLFLFDEIFHGTNSHDRTIGAEAVLRGLIDRGAIGLITTHDLALAAIVDRIGGGARNV HFEDRFEGGRMHFDYTMRPGVVEHSNALALMRAVGLDV OJF2_RS05455 MSPQAEVRSIDALKGLRSALAIYSEDTLAALGAVEAEVRRTVRW LAEDRPHFWQEQIKRRRELVASAKAEVFKRKLQKKPDYSPSMSEPIEMLRRAEASLAD AERRLTLTRKWQSQFNQAVLEYHGATQRLKDLAASDVPRAIQALIRIVEALEAYLRVA PPPGGGSSPGAAAGSPGVSPELESIAARVLDEEPPPDSGDRPTNPAEGADAPDPDEHP AEAEGGD OJF2_RS05460 MPNAKVMGGAARVNQSIRLLKDEWLVTEATWGDVVRRRFEERYL APLGPAADAAILGMQKMAEVLDQVRRDCSDRSEAP OJF2_RS05465 MSTSPTTSLHTGPDPRPLPGEGPHDPAGGAVEPDLIARERDALR RLLTLIDERAREEAHAEESRRTQDARVDAEYAKTRQGLVEKYATLDREARAEDEARRA AIVKAAMEGEQKAKADFASASRQIARDFDRLRDTAKSSYDRAVRDAGSQLEAGNRQAD THHTEELRPLSEARQISESFRDRLDAFAIDYAKLKLDSEPPSPMPESYEKFPEPVNEL FDRLARMEPPLKLLEGLLIPKSIKGANEAWAYLVPLLAAAGLAVAMGLDVTGVIGLAV AGLAAGVALRMALVRLAKQQLERLYNPLRQSLADADGLLQFCRAGADARHSAARKKAA ARHAEELGRAKEAHAASITTGESIRDEKLREINEVYARANVEVQTNQARALRSAIDAH DARMAEIPAQSERKLAQLDEGYRTLKEQVRAKHSGRWDALAGRWKEGMADVARTLSAV NREVDAIGPAWDAPGWADRALPSAIPPVVRLGSVRVELDALPGGIPADPRLMADVPRS FRLPALRPFPAHANLLIETPPEGRAAASAVLQAGMFRLLTSLPPGMVRFTIVDPIGIG RGFGAFMHLADYDPALVTNQVWTDARQIEERLAELEQHMETVTQKYLRNEYDTIDAYN AVAGEVREPYRILVIADFPSKFDERAAGRLAAIASGGTPCGVLVLMAADTSRPMPPGF TMEQVRPHCSLLAWDGAKLVWDDPDLSKYPLDLDAPPPGEFATREIQKVGAAAKAAKR VEVPFDYIAPAEGSWWTGDTRAGIDVPLGKAGATKKQDLTLGQGTSQHVLVAGRTGSG KSTLMHALITNLALRFSPDEIDLYLIDFKKGVEFKVYATHALPHASVVAIESEREFGL SVLQRLDGELRLRADRFRDAGVQDLNGYRNAPNTPPMPRVLLIVDEFQEFFVEEDKLA QEAALLLDRLVRQGRAFGVHVLLGSQSLGGAFTLARSTLGQMAVRIALQCSEVDSLLI LAENNLAAKWLSRPGEAIYNDANGAPEGNHFFQVVWLSDERREDYLKRLHALALERKP ALARTPLVFEGDAPADLPANPLLRMLLDQPAWTPSIRSAQAWLGDAVAIKDPTAALFR RQGGNHLLIVGQNDEAAAGVVAAAILGLAAQYPPATNDNARLGAKFYLLDGTPEDEPR SGVLPGLVAGLPHGVKVGGWRDAAGFVAAVAGEIALRQSPEGGDGPEVFLVIHDLARF RDLRRREDDFGFDRRDEASPTDHLDMILKEGPGLGVHLIAWCDTVNNVNRSFSHQQLR EFEMRVLFQMSPTDSGGLLDSPAASKLGRNRAYFSSEEQNRLEKFRPYGLPPKEWVHA MAAALTNRPPAAAGDDSKG OJF2_RS05470 MTPDQPPSDPVRGLVADSFRPLDELGAGLADRVVRYVCDDLDPG VLDVLSRTPEAGVRIGLGCLYPQFMIGRQSPSARLPWDEILDRAEGMPPGVLLRLARA LHAGAQTAIPAGAMGGLVATPAMLSVSTYTSVPGWAETLVRLATRRVDGLAPGREPGD RLPAILVERLLELDGHSPDLIYRDVFPRAGSVASLAAGSLFRTLAGFRDRLAERPEIV SAALTQAEAPMRSLSLSIFKMLRLPVAHFVEPLAALAVGSSANLRGEAASLVREAGPL ALPPLKALAESGSPAARGHALRLIDRLGLPEGREYLRSRLGVEKTAAVREVLEGLVGD RDGRAAVDGEPGSAPGLPPVDPFVGVGLGGDALAAVTAWLEERFPLSHQGWLERLLSP RPWSEGPEYSSEIPPADQDAALGRLLRRPELTTLHMVRLLRLARILQPHPSPDFASRN LASPPDRLEALLSAYREAHSPRVGFRELAAAFSASAMDPELLPNLWYGHREGQLFQWE AEAIWPFFADRFGWLAEELDQGPRGDEFERYVLGMDWRALRALAILDAFPAVPASLVP RVWRIAEGGKQSLRPAARRLLEKLPEARGRLLESLADGKADRRAAAAEWLGQWKPPGA AEALRQAMEAEKVAAVRTAIGRALELLGESAKPTGQANPADLIDRLRKEAARGLKMGI PEKLSWFPFDRLPEVRWRHDGAPVERPVRDWLVVSAWKAKSAEPSEDLRGHASLLHPE DFRGLGRSAFEAWLAEDLKPQSEQLRREQLASFLHLFGVSTVEELLRAQPDMAQAIER QKDAPGMGNVADKGLLAVAAVAGPPEAVGPIRDYLNKWYGYRAWQCRALVTVLAWIDG PEAVALLLDVARRFRTATIRKEAEVQARKLADRRRMTLAELADVSIPDAGLDASGRMV LDFGPRRFVARLDDDAEVVLEDEEGKALKALPSPAKSDDAENAAAAKGRLAALKKEVK AVRKRVVDRFQEALCTQRSWTFAAWQASLLRHPIAGRLCRRVVWAATSGGGPPATFRP LEDGSLTDLDDNPVELLADAEVRLAHRLTIGDEATDRWAAHLSDYEVAPLLPQAFGPA SRLPEPLRRKTAWPFRAETPVALAIFGRRARGLGYDPGAFRLEDGGDRFLRHFPSASL CAAIELDDLDEADRVAGLTLSFLRAAEGQDARAGRRIALEEVPEVLLSECVADLSRIA GAASPRMAEEPVAEPS OJF2_RS05475 MSTFRDRLKQAHSDHCLRHPAIALAVQYAETGDAGSLAKLARVN PSDYRWSSFLEGFSKPRDFDEEDRRVLEVLFRIDALPEIAQWLNQQLIAESPGEDVHA TAREAADAAGSPRVALDRMTATYLPVLEAAGVPNSAGRYLLGLTPEELGEAATPPALD ERHRSFVAPFGGLVDLLFAHAPDRLDRIVPAIFTPGNADETIARALLEKGGKRFEHVV AGAWRRVENETVRNRLSRVLVEHDPAGYRGEALELTRKDLAAALGRPYFPNQTYLWLL ETYGAEVVEDLATYFRRPDLATFNSGPLAEQVAKTLGADCFPALAGLAANPNVANRAI AATHLIQLDDGSHAGFIRETLRKGLKDAAGGPASFEQDTRPAWIRLIAGWDASGFEDL LVGLADDRSKPIRDASARAFAKVGDAGLPLALPLLADKKKDRRLWATFALAGMGTPAA VEALEGRIDEEKDDDIRDAMLEVLDAARAARGHVVTREEVEGRVLRSEKKLKAPGAGW IDEGALPPLSYADGTPLGEQATRYLLLRQSRSKEMVPDVEARHLAALLDRKTSGDFAL ALLKQFLGTKHEAADRWTLAVAGMLGDDRVVPTLNRLVQEWADSSRGKMAEYAVQALA LLGTDAALMTVDALALRYRTKYKNVGAAAVAAFEAAAARRGITVDELGDLVVPWLGFE PGKERVVEAGGKAFRVTIGPDWKLAYRDVEKNKDVASLPKAAPKETLDGLKAEAAILK DVAKGQKARLENLLVVQHRWPIARWRSLFLDHPVLLPFAMRLVWGECDTTGKLVGTFQ ALEDRSLTTARDEPYELREEHSWIGMVHPLELDAETLQAWRAHLADYEITPPFPQLDR PVIAVSDGEKETKISDRFRGTELNGMTFRGRAEKLGWTRGSVVDAGHVDAYRKAFPAS GVEVFLSIEGLYMGMSREDSITLHDLCFARGGSVKVGSYTYDRPSRESDERLIPFGSI PPIVYSEVMADVGKISGKGGADDEGAD OJF2_RS05480 MKSFRERATSGTLKYFANDKDVDAALRYVETGDRAILAALKPST SYRGHAQFGLAEAINEARHFDEEDRRAVQVLLHLKTLPHLATWLARALSSERPGEDVH ALVRSAAEGIGVPPLVVATMTATFVPVLLRGQEPNSAGRYLLELDEAELTDAVRAAAE IKDSPARLTGLLDLLFACAPGRLDAIAPLVLGSGGINPTLAEILLRRGGQRFEDLVAD FWRTKCELSERHKFSALLVAHHPARFREEALEVTRRDLPRLEVKYVTEGVHAWLVETY GKAALEDVTGHFRRNVLQSYRIGPLAEALQVSLGRDALPAFQVLAGYPDGGVRLIAAK HLVNLSDGESDGLIRETLSIGLKDALAETSSYVDRRVDWIRLIAGWNPASFEDELFAL AENKTKAVRDASARAVSRIGEAILSRVLPMLSHKKKDLRLWATVALTALGTPAAAEAL EARLHAEDDDDVRDAMLEVLDAARTARGRVVTREEIEARAARSEKKLKNLPAKWLDLA TLPPLSWSDGTPIGERMTRYLLYRQARAKEILPDIGARPMYPWIDPKAGAEFALAVLR GYLSTNLAPADRWIFAIAALLGDDRIVPLLNRQVQDCADSSRGKMAEYGVQSLALLGS DAALTMVDALALRYRTKNKNVGAAATDAFAAAAARRGVSVDELGDRVVPWLGFEPGKP RVVEAGGKTFEVAISPDWKLTYRDVEKNKKVGSLPKSASKGVLDALKAEAAMLKDAVK GQKARLENLLVVQHRWPIARWKELFLDHPVLLPFAMRLVWGAYDPEGRLVGSFQALED RSLTTAEDEPFELTDAPGNVVGMVHPLELDEASLRAWRTHLADYEIAPPFPQLDRPVV AVKDDEKGTKISPALRGTSLNAMTFRGRAEKLGWARGSVNDGGSVDAYRKTFPAAKVD AFLGIDGLYMGIGREESITLEDFCFVRGGSVEVGSYTYDRPLEVTDGRLIPFASVPPI VFSEVMADLGKISGKGGSDDADAG OJF2_RS05485 MDGADPLVATFRRGLAAFDDDALAALANKGLVRRARKDLETISP RLLGAGDKPDRLRVEVADGLAELALPPAQSRCSCPASGICRHILAALIFVKEMASEGD PAVATTEEVEAVSEASGLPASAEVLALDDEAIGKWSGRPLLNKVKKMLAQGLPVDLEP GYGLVARLPTRNVTCRWMPGGGLDGMLCSCHAAGACEHRVAAVLAFQIDRGARPPEAF AESALSASSDAPRTRDEVLASVGDVLAQMVSTGLSRLSRGTAERLRTLAISAQGVDLP RLQRLLHALSSEVELYLARDAQADAARVLGQAARVEMLRLGLLKRPSPHLVGQHRTAY EPVGDVELVGLGARVWRTRSGFWGLTIYFWDRAARNWATWSDSRPVATPGFDPAARFR ADGPWSGLGCPAEAARKAVRLSGAYRNRQGRLSGRPSTQAMTLGPSVPDEVPARIASW ADLASRASSLFGGGFKDRTEQDAIVLIAPALWGPAAFDEVRQELVRDVLDAEGRRLPL VLRHEEIAGKAVATLERHDPSGTTSVLGILVLEAGRLCVLPITLHTAKGPIHLSLDGE VRAGGPSPTRRADDRDAEEDIEGDDAEAGGEEPAEPTATNLGRLLGRVADDLLGLAEG GPAAFGRVVPLRAAAAGLEALGLGTLGEAVGRVVEVLESLRRGELSDPAPVSRQVLIA FHLARLCQSQEAVNLAAEAIRPAAADARSTPP OJF2_RS05490 MPDPAESTEPGRDPAADDLLARQRWRLVLGKFSERSLGSGFGDE GAAVPGSSGPGSGKPGAGGGGGREGRGGRYGRMDRALDYLYGREYGRRGIRGFSPTGG DEASVLAIPDWLKDVRELFPRETVEVLEKHALERYGMTELVTDAEALGKMEPSYELLK AVMSFRHLMEPNVLRAARALVRQVVEDLKRKLTQEVRPVLWGKLNRQRRSPLKVARNL DWHRTIRANLKNYDRQEKRIVLESLLFSSRVERHMPWHIIMAVDCSGSMMDSVIHSAI MAGIFKALPAVRVSLVAFDTAVVDLSEHADDPTEVLMSVQLGGGTNIAGAMGYCEGLV RTPSRTIVVLVTDFCEGVAGHLLPASVKRLREAGARVLGLAALDAEANPVYDRNMAER CVAAGAEVAALTPRRLAEWMARILS OJF2_RS05495 MDGDARGAQEPPLIPEIDPSARVVYAPVRHHSPACAFHVGRLIR EVRPDAVLIEGPRDASRLIHLLTHPETRMPVAIYTTYVRREAAPAGPEGPAPKAQPVR HAAYYPLCDYSPELAAIRAAAELGVEARFIDLTYPEMVEAGRTEGAGRATSLQEERPF SHSDVLREAGRRVGARDPDDLWDHLYEIEPEAKDTPTFFRDVLAYCALARLDSTPEGL EADGTLRRERAMAAAIADQPGRVVVVTGGFHTVALPTTRPEMPPRVKVDDKDALVALM RYGFEQLDRLNGYASGMPAPEFYQRAWEKRPATALVVELAREARKRRQPVSIADEIAA LEQVRRLAMLRGHAAPSREDLSDGIRSVFVKGADDVEGLPILAMLRKLLAGDRVGQVP PDAGVPPLVEDFRRTAARLKVDLDRVEAREVALDLYRGDRARQTSRLFHRLRFLTVPF AEWVSGPDYVTGEHLERIREVWKYRWTPAVESTLIERSLYGSTLEEASAALLMEQFAE AEANGQGRRADRAANLLLEACRMGLHQDTPRLLERTSRLVAEDGQFVSIVKALEQLLV LHVSREPLEAHHLEGVTALADAADSRACYLIPELAGTGEAEEKEVLDALNALPQAALA LGDDEPRRSLRNGRLRALMGDPACRSVLHGAAAGLLFGAGEIAPEELVRELRGHLQSV KGEGHEGAAFLRGLLGSARSVLWLVPEVLDQLHEVIRSWDEDRFVAALPELRLAFSDL TPRECDQVAQRVVGVAGSAGSLSASGPDGFGEADLLRGVAVNRLVLDVLREDGLEEVL GA OJF2_RS05500 MAKKQREAAAADAATIPPPDGVAAGGPGQGRPMLKPPAEAVFEA ELKALAEADRDPRPPGWRLSPRAVRAFLCGSDRPAVRRKFFGDDILVERAIVSLSSNR GLMLVGEPGTAKSMLSELLAAAISGSSINAIQGTAGTTEDHIKYSWNYALLLAEGPSL RAMVPSPLYVAMKEGTLVRFEEITRCPPEIQDTLVSILSEKVMIVPELHGPDRVLHAK PGFNVIGTANTRDRGVNEMSSALKRRFNFETVHPIRELRQEIELVGRECDRLLKEVSA PVQVPPQVVELLVSVFQELREGVSREGIQLERPSTVMSTAEAVSVAMAAGLDAFYFDG GRLGPRHVVRHLAGAVLKDNPDDLKKLKHYFDVVVKARSQKEGGPWTEMLEARKSLP OJF2_RS05505 MAVASPLKTPLFDWHQSHGGRMVEFGGWLMPVQYSTIVEEHRAV RERVGLFDISHMGRLTFDGPGALDWIERVTTNHAAKLAVNQIQYSLMPNDRGGLIDDI LVYRTPHGYTIVCNASNRPNVVPQLEAHREGADANFIDRTLDTAMIAVQGPLAAAVVQ PLFDQDLGAVRYYRLAMGRVLGDVDTVVSRTGYTGEDGLELIVPAGQAERVWAALLES GRAHGIIPCGLGARDTLRFEAAMPLYGHELADDINPYAAGLGWAVKLDKGEFVGREAL RAFRASPGSTRVGLRLDGKRIARQGAWVLQGGVKVGEVTSGTFAPTLQASLAMALVET AAAEAGTALEVDVRGHSEPAKVVPLPFYRRPAAS OJF2_RS05510 MDPSTLLYLPSHEWVHLDGKIATIGISKFAVDQLTDLLMIDLPE VGKALTAGKGFGEIESVKSVSELYAPVSGKVTEVNSAVVDDLQVLSEDPFGKGWLIKV EVDDPSATSDLLDRAAYEKKVAEEEH OJF2_RS05515 MAYIANTPDDIRHMLAAIGIDSLDQLFDVVPADLRLNRPLAVPP ALTELELTTHVGGLLNRNEGADRRVCFLGGGAYDHFIPAVVDNLASRGEFYTAYTPYQ AEASQGTLQATFEYQTLVAQLTGMDVSNASLYDGGSAVSEAILMAITSTRRFGRVIIP ETVHPEHRQIASTMLAHLEPEVVTVPAPKGVIDPKALAEALTDDTAAVVVQHPNFFGR LEDMEALAAAVHARGAIVIASVDPISLGLLKRPGDYGADIVVAEGQGLGNPLTFGGPY LGIMACREAYLRKLPGRIVGQTTDRDGKRCWVLTLQTREQHIRREKATSNICTNQGLL ALRSSIYLAAMGPGGLRQAAELSTRKAHYAAERLAAVPGLSLAFPGPFFKEFVVRSSK DPAKVLAAVGEAGYHGGIALGRWYPSLADGILVAVTEKRTREEIDGLAAAYEKALKAS OJF2_RS05520 MYKLDPTPLLFESSRPGRATAVLPASDVPARPIDELIPASQLAS SAPPLPELSELDVVRHYTNLSASNMSIDSNFYPLGSCTMKYNPKRNERLAGLPGLGAQ HPYQDDSTLQGLLAILHELQGCLAEIAGLHAVSLQPAAGAQGELTALLVAAAYFRDRG EKRTKVLIPDSAHGTNPASAHLAGFETITIKSNASGLVDLADLDRHLGDDAAVFMITN PNTVGLFDPQIGEIAKRLHDRGALLYLDGANMNAILGVVRPGDMGVDLMHYNPHKTFS GPHGGGGPGAGPIAVREHLAPYLPAPVVGRRDDGTYYLDHDRPKSIGRVRTFFGNTGV LFRAYCYIRSQGPEGLLRVAQHAVLNANYLLQQVKDVYPVPFGGRCMHEFVASARSLA RERGIRAMDIGKRLIDYNFHAPTVYFPLIVPEALMIEPTETESRETLDAFARALRAIA SEDPQLLHDAPVSTPVSRLDEVKAAKTPILKWTPEAAAV OJF2_RS05525 MSRIPDDPENPRPRPKRPAGGSRPPSGGDGGSTFRPNPTYSAGG GGGAGGGAARSKGPADRPTKSRSGSPGVGFREVLAPEAKWYERILFGKVSSGQLAQFC RQFAAYLSAGVAYDKTLTSLSQQFARSALGPVVGRMKQAIKAGSTLEEAMAREPAAFN TMFLSMIRVAEARGGVPETLRMMGDYYESRQRMIRQARSAMIYPTIVLTMAIAVGLLI AIFLLPMFADMLKELGRRGGGLPLPSRALMAFSDFVRTPYGLIMIGMVLVGGPFLLLR YYRTPGGKATLDPLIMRFPVFGQLLRKLDISRFARTLSALLDAGVGVGESMDLTAGVM TLTPMRHVLEAAKDDVMHGKELSKALVPSGLFPADVLAVLESGEETGQTPEVLAHLAD DYDEQVEVMVKSLGHLVQPLVTVFVGAIVLFIILAVFLPYIQMITGLSGG OJF2_RS05530 MYVQYGTFVKPASPGGCLAAAEQAMVAQGLLIVKGADGADFLVI GGNDPVTVTVVAVPQPGGTWVVVSASSPDPNIAAQARDVIRAMIEQAPVA OJF2_RS05535 MRTSPIVSSCGVLALSLAAFAPAADAGLVVSVEAPGVQATSVAG AVTETFDSFSSGGYQTLNTALGTVTTTPTGNFAAIEASPVGGAGGSGNFFVLGYQSGS AEPATLTLSQPQSYFGFWWSAADGSNEIAFYSNGQWLGSFRVFATAGTSITSVVFSNS GTTLSGFETDNWSVSATAHDAIPGRIIEGAIASDPSRPRSRSAPWRACSRPSGDPPAG DPPDASPGLGSSSMHGRPADEGTEGPSAAARGVAARPPGARARPSAIAPA OJF2_RS05540 MSSESNGAGLVLVTGATGLLGSHVAERLVAAGHRVRALVRPSSR TGFLEGLGVEIRRGDLTDPASCEAAVAGARWVFHAAAKVGDWGAWREFQVGCIDATRT LAEAASRVGVGRFVHFSSTSAYGHPPDQPEPIDETAPLGQNVWVHDPYTRSKVESEEL LWAMSRAGRLRLTVIRPSWLFGERDRTTIPRLIQEFRWHRVSIVGPGDNPLSAVYAGE VAGAAILAARDEGSAGEAYNVTSHGPITQRQFLDMLADAIGAPRVTWHYPFWYAYYGG LSLELRDRLRRRAKPPRVTRYGAWLLGRNLSYSTEKARRKLGWSPALTYEEAIARTVR WFFEDPAARIPRDPPPLLVRLGDARRRLIAPRGPQVDAGRP OJF2_RS05545 MSDQDSGGYDLADVPEAPKPKAPAPSSAPKPLPRLWKNEGEDPE AGAAGPPSGRGRAATPGDGKSGAGTPAEPAASPRRRSSAPAPTPARPRAEAGGAEKRV LVEETPALDTYEARQKGRLLIGGLFAMVLGLIGYIAYSLFLYDPMPMTDSSGEEPPVP SAPPPALAGAAAGNLEVEARSMLERAQDAAKADRIEEATRLLENIAKSYPKTKTAAEA KEALARPAEGMPLFLDRLAVKADQAPRPAPRPPAEPPAVVQAAPPQTAGNATLTLPSN AAGATAGPPSVVMAGSPGAPAANPSAAAAATPARRQFPAPAGFRARPDAEYDESGWPL VIVGDRDAAPMVFVPGGVYTLGDDAGASSKAAPRQVQLTPYYIDQYEVTLHQFKLFLG ETRYRGQPPRSWSDEFRQNPNEAMPITLVNLRDATAFAEWAGKKLPSEAQWEMAARST DGRIYPWGNDPAKGTRPPGAIKFDPAGAFPADVSPYGAFDMGGNVLEWTRDPYDARSY RDPAADGGDAASFGRLRSFDAVVKGDRKTNRASFRQGINLDKRMNYVGFRCVLPVAEP PAAAPAAPPAAAPSATPNPPAGQPATPPPAAQPPGTGQPPARGNAPAQPSVPF OJF2_RS05550 MNHRTFGRTGWSVGEIGYGMWGLAGWTGSEASSYEAALERAVAL GCNFFDTAWGYGAGKSEQVLGRLAAAHPDRRLYVATKIPPKDLRWPSRRESTLDDCFP PDHIKEYTEKSLENLGLPAIDLMQFHVWEDAWAKDDRWQRAAEDLKRQGLVRAWGVSV NRWEPWNVLETIRTGLIDAVQVIYNIFDQSPEDELFPLCREREIAVIARVPFDEGTLT GTLTKDTRWPEGDWRNTYFVPENLSASVDRAEALRPLIPPGMTMPELALRWILEEPTV STIIPGMRSVKHVESNLGVSDGRRLDPALRQQLRGHRWDRTPTEWSQ OJF2_RS05555 MSSNRVRYVRAAAICLAAAMGVAGCADGGPPSPPNPSPAVAEAI PAPASGKGAADKAKVGRRLPEADGRPRGQAALRK OJF2_RS05560 MTTSPHSRPRPAGFTLIELLVVIAIIAVLIALLLPAVQSAREAA RRAQCTNNLKQLALATANYESSNGSLPPQEFIQRSAVDPTQWRYGGASAFVRISQFLE QGAAYNSWNQAVTTFSAPNWTLASVGVSTLWCPSDPKATENSALSLIYSTNSVMDVNT APAGLNQAYSSYVVNNGTWYMPSFITPADAYSAKANAYKAATNGVIFSLSTVRFAQVT DGLSNTMAFGERSRGIYGPDDLIYDAWWNSGDYADTGFATRYPINAYRTMSTQINNGA ARILYTATASFHPGGANFAFLDGSVRFIKETINSWQLTDLSTNPPYPLPPGTTVGSYG ESLLGTAQPGVYQALSTRAGGEVISADAY OJF2_RS05565 MLTLPLTAGAQPPTAPDLGPNVLVFDPSMPAAAIQAKLDAVFRE QESSQFGEGRYAYLFRPGTYDLDVNLGFYTQAIGLGAKPDDVVIRGSVHSEADWMKGN ATCTFWRGAENLSVLPTTPAPIHFAVSQGTSFRRVHVRGDVDLWDGGWSSGGFLADSR VDGRVNSGSQQQWLSRNAEWARWSGANWNMVFVGVTNPPSEPWPRKPYTVIAETPRIR EKPFLVTDERGLLAVRVPSLRASPSKGVSWSGGEDRGTTVSLDRFHVARAGKDTAATI NSALGAGKHLLLTPGVYHLDEAIRVSRPGTVVLGLGIATLVPDRGTLAMVVDDVDGVT LCGFMVDAGKATSPALLRVGTPGKKDHAGDPTLLADVYCRVGGATVGSADACILMDSD DVIGDNLWIWRADHGAGAKWDVNRAKNGLIVGGDRVTIYGLFVEHFQEYQTLWKGEDG RVFFYQCELPYDAPTQDAWRHDGVNGFAAYKVADGVARHEAHGLGVYGVFLHSPTKCE NALETPEGPGIRIHHVISVWITGRPGTETTHIRNGKGPAVNASRRTALTED OJF2_RS05570 MSPTAPETINQHRYPNGLVLVAESMPGVQSAAFTLLIPAGAAYE AAEGLGLGGGAATMTAEWIVRGAGDRDSRELLSALDNLGVNHGESAQTLHTSLSAATL ARNLIPALEIFADVVLRPRLEEEEVEPIRALCVQNLRSLEDDPGTKVIYELRRRHFPD PWGRPSPGTVEGVSGLSGDDLRRFHRATYRPNGAILGVAGAIDWPRLRDAVGRLFGDW EPRPDPTLKEQPAGPRRDHIVRETQQIQIALAFPSDVVTSPDYYKARAAVAVLGGYSS ARLFTEVREKRGLCYSVYSTYESQRDRAAILCHAGTSTDRAQQTLDVMSEELRRLARD GIQPEELETMRAGLKSSLIMAQESSMSRSSGLASDWYFLHRVRPIEEISAELDRLTPE SVSEYAAGFPGTEGLTMLTLGPAPLQWPR OJF2_RS05575 MTFHHATLDNGLEVIAELNDQAHSVAAGFFVKAGSRDESGDVAG VSHFLEHMTFKGTERRDALAVNRDFDRVGAKHNAQTSEEDTFYHVTCLPEYLPASFDV LSDILRPTLREEDFETEKQVIIEEIRMYLDNPMSVAYEAAKTAHFGRHPLGNSILGSV QSITDMKVGAMRSYFRDRYCPSNIVLGFAGRGRWEDLLDLARARCGGWEGGSTTRQAD PVRGTGAFQKILRDEDLQQTVVGVSDAPPLEAEDRYAAHLLATVLGDHTGSRLYWTLI DPGLADGAGLSYQDYNQAGTFFTFLSCEPEETGANLARIAGVYRDVMESGVTPEELEQ AKNKVLARSVLRSERPMGRLASLGFHWMYRRAYLTVDQELDAFSRVTPADLRRVLEQY PLWPMTVVSVGPTDELDVPE OJF2_RS05580 MRIVSLLPSITELVCALGHRESLVGVTHECDYPPGVESLPFLTR NTIHAGASSAEIDELVSAQTQGLYELDENLLGQLAPDLILTQEQCDVCAVNEETVREC ASRLPGGPAVESFNPTDLDSVFAMFRRVGDLLDERAEAESLIAGFKLTAGEVAKRTKG RQGPPPRVLLIEWLDPPFSGGHWNPELIEKAGGEEVLGATGIPSRRLTWKQVAASRPD VVIVAPCGFTLGRAERDLRELDARPEWRALPAVRNGRVVLADGSAYFSRPGPRLETSL RIAAAAIDPERCSDLAPPPGQGWLPRPARD OJF2_RS05585 MVRSILVGLDGSDFSKSAVEMGIALSRRTGALLVGLGIVDEPTI RELEPSLIAGGVPYAEPMLFRERVDHARREVEGFLADFSVRCARAGVPCKLLEDRGYP DERIELESQRYDLILLGRQSRFRFETQEGYDDTTNRILKTSPRPVIVVPADLPVVPDE PGRPVLVAYDGSVQASRALHEFRTSGLAASSPVVVASVHVDAVEAARIAERAIDYLRF HDVKAEAQPIASRLPASRLLVSECELRGAWMIVMGCYGQSGFREFFLGSVTRNLLRES PVPLFLFH OJF2_RS05590 MEPRRFAPFLPAAILVGCLPLSLASADDGKPAKASKAQSAASAK ADEDIPTYSLLEAMDKGLVSVDAEGRGDGRMTVAVTNQTRRQLRVVLPPGLIAQGASG QMGGMGGMGGGMGGMGGGMGGMGGGMGGGMGGMGGGMGGGMGGGMGGGMMGGGVMPAT MGMMMLSRLIMYLCGDYDSWDQRSIAMGMMGGGMGGMGMGGGMGGMGGMGGGMGGMGG MRSVPPTSLPFADLKVGQKRELPTRLVSLSRPDPDSETGLSRPEKGEKLRLLDVSQTD IDPRAQKALRRLQAEKAPEAVSQLVMWRLGSGLDWPQVAQLASRWANPHELTLAQEFV DRLDSLTDEESGSILFAVEAADAGSRPRAEALEKELGTHGFLGLKTKIGVPEFPEGPA VACRVRIAGDQATAQVLSSDGTAGRWVPFGKFAVPADGVAAKLGDRLAEGVLGRLVRA QLKPGPAVKGKPTYQVRIDNASPLVLNGLAMTGSKAGDAEKPRELTGISIGPRRSMTV PATEEVVKTLGLKKGIRVTAADLSGL OJF2_RS39930 MRALSGSCFAVMIGLLVAPPPGTLRADDGKPAKASKAQSASSAK ADEDIPTYSLLEAMDKGLVSVDAEGRGDGRMTVAVTNQTRRQLRVVLPPGLIAQGASG QMGGMGGMGGGMGGMGGGMGGMGGGMGGMGGGMGGGMGGMGGGMGGMGGGMRGGMGGG VMPATMGMMMLGRLIMYLCGDYDSWDQRAMMMGMMGGGMGGMGMGGGMGGMGGMGGMG GMGGMRSVPPTSLPFADLKVGQKRELPTRLVGLSRPDPDSETGLSRPEKGEKLQLLDV SQTDIDPRAQKALRRLQAEKAPEAVSQLVMWRLGSGLDWPQVAQLASRWANPHELTLA QEFVDRLDSLTDEESGSILFAVEAADAGSRPRAEALEKELGTHGFLGLKTRLGVPEFP EGPAVACRVRIAGDQATAQVLSSDGTAGRWVPFGKFAVPADGGAAKLGDRLAEGVLGR LVRAQLKPGPAVKGKPTYQVRIDNASPLVLNGLAMTGSKAGDAEKPRELTGISIGPRR SMNVPATEEVVKTLGLKKGIRVTAADLSGL OJF2_RS05600 MTRHATLMFEGKQIELPVIEGSEGELAVDISQLRAKTGLITLDP GFGNTGACTSAITFIDGEKGILRYRGIPIEQLAENSSFTETAWLLIHGRLPQRQELDL FREQLNRHAPLHEAFKHHFEGFLVDAPPMAMLSAMINTLSCFPRRWNTATPAGPDEEF YEEAARLISKVRTIAAYSYRRSMGLPFIYPDPKLPYVANFLHMMFSMPYEQHMASPEV VEALNLILLLHADHEQNCSTSTVRVVGSSQANLFASCAAAVGALWGPLHGGANVAVLE MLSKIHHGGISADQAIKLAKDPKSGFRLMGFGHRVYKNFDPRAIILKRSADRVLSQLG VNDPLLDVARQLEEKALTDPYFVERKLYPNVDFYSGIIMRAIGIPLNMFTVIFAIGRM PGWIAQWAEQHADPKARIARPRQVYIGNTISDYVPIDDRR OJF2_RS05605 MSPPGREKPCPPPGRFWEAVLRGSWTDAERDHVAGCPNCRAMEG RLRAALAGARETAPASLASDDHGGEEPPVASGTTGIELSRSPESADRDGGSEPDPAPA PAPGRIPVAADPGRGALNRLGRYVLVRKLAEGGMSSVYEAHDSELSRTVALKVCPAFL GLSNAPRLDRFLREARVAAGLDHPALLPIYEVGRAGESLYIASRFVDGTDLHRRIAAQ GPLPWPEAVAIVEQVALAVGHAHERGIIHRDIKPSNILLDREGKPYLADFGLARSFQD GQDVSLTREGVIVGTPTYMAPEQAMGQVDLQGPGMDIYGLGATLYALLTGGPPFRGSN AIDTIRRAVETDPAPPRAINTAIPRDLDLVCMKAMARDPRLRYPSARALADDLRSILA GTPLPQPRGRLSPRGRLAPAGRHRLRWALAAASLGPMLLLLPRILPQRTRPLVEASGT PPQVSVPGPGPLPDAEAGGEGLAAEIEAHGLRLLREAEREFQSDGGRGRSRRDLVLAL IRRGDCLALRGGPSAAVSPYLRALDLLKSLRAHEPDEPRHRDELAGMYGLAIAALRAA GREGDAKALDREAAALP OJF2_RS05610 MGWGDTGAMTVLLYVAAALAGGLVLLVAFLFSLHWLVQPLLRLI LSARYRFVVLGAGNVPRRGAALVAMNHISWLDGPMLAATCPRRGNALVGEAYVDVPLL GRWARWIGLVPVPSSGPRARRVLIEECRKLLDRGEVLGLFPEAQISRNGLTGPFHRGL EVILAGREDVAVIPAFIHNMWGSNFSFSGGRFFGKKRQGLRRPVVIAFGPPVPRPVTL FAVRQAVLEAGVAAVEGLGCGRPALETIDPALGHLEHPELGPLTGSTPDIVHGGVSQA GRKEGSVGRPLPGVAIRAVDDSGAAVDPGVSGRLEARVAGRPGWRATGWEGSVDADGF VRIRRE OJF2_RS05615 MRILVVSDIHANWAALGAIREEHDVCLCLGDLVDYGPDPVPCVR WAMGHATYSIRGNHDHGVAQGIRVVGDSGFRYLTRVSRPSMWEALGADERRYLLQLPV TQRATLAGKEFLLVHATPRDPLDEYLMKDPDVWARRLAETEADIVCVGHSHLQFNLQV GRAVVLNPGSVGLPRDGDPRAAYAIIDDNRIELKRIEYPVEEAVARVEAMPWPRRARD MMIATLRTGRLPGPADPPPGEDSTDEPPATPADPASGKE OJF2_RS05620 MCGIAGFVNRDGVRADRSIVERMTALLAHRGPDGDGFHVSGQVA LGHRRLSIIDVAGGGQPMSNEEGTVWVSYNGELYNELALRPELEAKGHRYRTSCDTET LVHLYEEEGVEFVRRLNGMFALAIWDEPRRRLVLARDRMGQKPLFHAALPGGGLAFGS EPKALLRHPDIGRELDRDGLARYLFYEYIPAPHSIWKGIRKLPRSHVLVWEAGTTRIA RYWEPAPPRPSGERVPIEVAAERFWGQFRDAVGRHRRSDVPLGVFLSGGVDSSSVAAA LCELSPAKDVHTFSIGFEDRNFDESAHSRAVAAHLGTTHDERTFSVSQVFELLPRVTA WLDEPFGDASILPTHLLSRFARESVTVALGGDGADELLAGYPTFQAERAAAIYRRMPR PARALAEAAARRLPTTYGYLGLDFKVRQFLRGAGEVPPLAHQRWIGSFSGPEVDELLL PGDGRGPLDVEAEHLGLAASLTAASQADRLGRSLALYQETYLPEDILTKVDRASMACS LEVRAPFLDAELVDAIQGLPSSFKSSGGQGKRLLKRAASSRLPATILGRPKKGFGIPV GRWLRGELSPMLDRLLAPSRLEAQGLFRPEAVSRRVGEHREGVRDHRKPLWTLLMFQL WYDAWLA OJF2_RS05625 MTSTMMMERTGMGMPGMGMPGMAPGTMGGAGSMPNAPQMMMVPR CTIKMEKCAGGMKMTCTSEDKMTATMMQNLCTMMAGGMCSCCMMMNGMMMCCCNMMMG MCKCEMTADGVCITCTSGDEACCKMIQACCDCMTAMCDSGCVCCICMNGMPVCCGC OJF2_RS05630 MSNRLIAASIVHESSPAFLSVPRLDAAGPMACPATMGPDGSLTI AGPWIEIYRLAYEQARAALTPSWFQRMTQPSWN OJF2_RS05635 MRISAKAEYACLAVITLALRDRDEGPMPIREIAESRGIPEPFLT QILLKLKAAGIVQSTRGSSGGYRLAKAPEDITLGEILGVMDGYALTPRIPQGPAAPFL AGVWDQLHDSESRVLTRTSVADLARRATAPDWVI OJF2_RS05640 MPGTILDYVGKTPLIPLRRLDPGNRIPILLKVESFNPGGSIKDR VAVAMIEEAEQQGWLRPGGTIIEATAGNTGVGLAMAAAVKGYRTIFVMPDKMSREKIR LLAAYGAEIVITPTSVPPDSPESYNGVADRLAREIPDAWRPNQFMNLANPGAHYHTTG PEIWDQTGGKVTAVVAGAGTGGTLSGVGRYLKERNPRVKIIGADPEGSILSGDSPRPW KVEGIGEDFVPRTLNSHVVDEWVRVGDAEAFDTARKVARKEGILLGGSSGTAIAAAVR YARRLGPEDLVVVICPDTGRNYLSKFFDDQWLAENHLVLEPARSNSIADLLAARGPRA LTTVSPEAPVASAVELMQTSGISQLPVLRDGVSVGSIQEMTLARILHDHRGAAGVTVG QVMARPLPQLETSTHLDEAYRLLLAGNPGILAVEDGQVLDIVTRIDLVQYWKQLEPS OJF2_RS05645 MSEDQRQAGFSTRAIHDGQAADPATGATVVPIYATSTYTQAAPG EHKGYEYSRSGNPTRAALEVCLASLEGAEQGLAFASGLAATSAVLSLLGPGDEVVAAS DLYGGTYRILERVYRPLGITARYTDDPRPEAFASLLSPRTRLVWIETPTNPLLRVLDI AAIAEVAHRGGAMLAVDNTFASPYLQRPIALGADLVVHSTTKYIGGHSDVVGGAVAGR RDLIEPIKFYQNAAGGVPGPFDAWLTLRGLKTLAVRMDRHCANARSLAAWLDRHPRVE RVYYPGLKDDPSHALAARQMKDFGGMVSIRLDGGGPAARRFLTRTKIFSLAESLGGVE SLVCHPATMTHASIPADVRAARGVDDGLIRLSVGIEDADDLRADLERALGAD OJF2_RS05650 MGDQGTRTSGEPSPTLADELSKIEHEPLLPIEKGLIAGSLTLGV LLLGVLLWISATYFPVR OJF2_RS05655 MSEKSWFVSEDWLAVWLGAALVLLAMPAAAGHDLLGWIAAPQVW VDAGGAVKPVSKAFAGLAPALSALLTFAFVSSLVGVGARILGQDPGRFLARFAAIYAL SVTCWVAGHYAYIAATPDKLASFGISWSLGLTGEAGYLLALAAGLLVSNLMPGFAGWL SGSARPEWFIKTAIVILGASLGVKAAGASSLMTAVMFRGLAAIIEAYLIYWALVYLLA RTVFGFSREWAAPLASGISICGVSAAITTGAAIRARPVVPIMVSSLVVVFSVIELLAL PWAASTFLWREPLVAAAWMGLAVKTDGAAVASGVIAESQILAQAHRSGLAWEPGWMLA TTTTVKVFIDVFIGIWALVLAVVWAYGIDRKPGASVPLRDIVRRFPAFVIGYFALFLL TFLVALEWPGTRAGLASATGEVEPLRGLFFALTFFCIGLATNVRKLWAEGIGRLAVVY AVSLFGFILWIGLAISWLFFHGATPPASPGGP OJF2_RS05660 MDFTWQDLVAMAIVLGAAGYLASLAWSAVRGRGAGGCGGGCAKC SSAAEPVVSIGLPAPR OJF2_RS05665 MQTATARKIRTIALVGNPNTGKSTLFGGLSGVPQRVGNYPGVTV EKTLGEVQHAGEVWTLVDLPGTYSLAPRSPDEMVAVDVLFGRLDDVPVPDVVLCVAAA NNLERNLYLLSQVLEVGRPVVLALTMWDVAQDHGLRIDVPALSERLGVPVVPVEAHRG IGLESLKDALAEAGRTTCPAAQGPFPPAFLEETARLAGFLSSRRTLAGEDRLPRYLVE RLLLDTGGYLEGRLYGDQNGDGRAVREELEAARGRLAAAGCTVPGVETTARYGWIARA VSGIVHHPDAPASTWGDRLDAVLTHRFWGLLALAAVLLLMFSAVFSWAQGPMDAIDAG IGWVSAQLQARLPEGPLLSLLTDGIIGGIGAVVVFLPQIFILFFLLTSLEECGYLSRA AYLMDRLMVRVGLSGKSFIPLLSSFACAIPGVMATRVIEDRRDRLTTILIAPLMSCSA RLPVYTLMIAAFIPDRRFLGGLLGLQGLVLFAMYAVGIVVAAVAALILKRTLLRGEAP SFLMEMPPYKWPSPRVVVHRMLERGWDFLRNAGTIIFAVSIVMWGALYYPRLSTKEMA PLAAEKVRLEAEREGARVAGDAEKEELAADGLADVANRIEGAQKRQSVLGRLGHLIEP AVRPLGWDWRIGSGVIASFPAREVVVATLGVIFDVGREVEEDEGSQRLGDALRSATWP SGKPLFDIPVALSIMVFFALCAQCVSTLAVIGRETGTWTWPAFTFAYMTAVAYVAALL VYQGGTWLGL OJF2_RS39025 MSGLTSGGCTTAEAVPLGSLRAGQRGLVGDIFGNSEHVHRLREM GLYDGAPIQMIRPGSPCIVCLRGQRLGFRMDDCACVMVRPIGVAS OJF2_RS05675 MAKILRDAGLSELIGEASLERLAGGFVFTEGPLWRPDGATLFQD MKGQRTWLIGPDGTPAMIREQTRGANGQTFAKGGSVVFCEQDGRRVSRMNPDGSGVET VAETWSGQRLNSPNDIVARSDGLLYFSDPPYGVQPAERSLHFQGVYILDLDAEGPART RLVADDFEKPNGLAFSPDEGTLYVCDTARYHIRAFDVQADGTFRPGSGRVVARMDPDE PGGPDGMKVDREGRLYVAVAQGVWVFEPSGKLLGILATPKRPANLAWCGGDGRELFLC IGEEVYRTRLEVAGILPPFTPAP OJF2_RS05680 MAREEPIRTEGRIVEALPNTQFMVELENGHRILAHIAGKMRKNF IRIVPGDRVTVEITPYDLTKGRIVYRER OJF2_RS05685 MTAVIAEGLEGGPPATPHAGDFRPPPELWAELEASVDRAGGDPS RFWVDDSKAILRGGKGRDRLESTCLALLEAVFGSLPANHDGLLGRLGCGTIHEVELGR WIDAGCPGATWPRAGVCETLRPRLMGRPLAPPSGRWRFSAVRTVVLGPERFNGLLDHH GSKAAVHFGAFRVLLEEAWRIAADGRPTALDCDKHGGRHYYLEPLSRAFPEAWIDRGE EGPELSEYTVRGDGRLLRLRLRPRADAGNGLVALASIVSKTVREVWMDAFNAYWTARV AGLRPTAGYPVDAARFRAAIEPLARELGHPPEAWWRRK OJF2_RS05690 MDRRSGARATRPVVENLEGRALLSGSHAVMPAVAPAALVRTVRA SIKTDPAGAAAILNAINGGLGSEWVKLIRAQVRNVNSVLLRFATGQLSAYSTRGLAVR TPYQQAQFVGQPYDQLLPQVAGAAVFKRNVFELGAIMRGPFHDPNTTYYQFGVDTGAG ASRGPLFAARPGIAPDTLVTLTVGPFGSSASGTITDLTTGAVQNIDPSRIQIRGPVIR VFLSPTQFPSHGLKLANYRFAFWTQTRPGFDITSVASFLPDTGMIPIAVQKRIAATV OJF2_RS05695 MLDEALRRAEAAERLAAEEQEKARREPARPRESSGPVATAVPSK PEAPPAAPAGIENAHAAPVPSPQPPSPASSGVRLVSLSEEDADAPAPAGQTEDPEADM IPTLEPAPSVAEASGDSAGAAPADTWRQSLDRLRTAARAAAGHDAPEAGRHEPPGFSI AELRLCRKVVGHGRVEAIDPGNLRPGQPVIVYCELDGLSHDRAGGEFRSRLSSRMEIV AAGSGDVAWSRSLGEAEDHAPLPRHDNFVNHRIALPETLPPGEYRIRMTVTDLIAGRT ATTEAPVTLAR OJF2_RS05700 MSTSRRPTAWFGPLLAALLMPSAERPASAQSPKNTAAQPPAEAA SFRDDVAPILVANCVGCHSENRPGKARGKLDLTTFAKLMEGTPAEKVIAPGKPDESHL VLRVKGEEEPRMPQGGNNAGLADSAIARMERWIKAGAKLDAGLDPKAPIAGYAATPDQ LRRNELARMSPEERDSQAEAAGRSRWKQANPGVTPELVAGDRVLLLSALPKDRAASAV KLLDGQHAQLRRVLGPGVGDSPEKVGLYVFNGRKDFVEFVRSVEGREIDADVVSTGNL RVPHPYLAAVDPLGGRKEEPVAARRPRPRGRRADDRDGSSPDRTLGGILTEALGRAAV ASRGKSPQWLADGVGAFLGSQVEPRSPYYRKLRATALSRFRKGWTTPVNEVLGEGDQA TADDIRGVGFALVEAMLRTPAMRGSFPAFAEAMAKGKDKLGDALKDVYQLSREEFMTL TGEWVGERYGDIQ OJF2_RS05705 MPAPDAGAGYFVPSGSGSRHEIAPGVEIRTTATSGMMLSVVHFE AGSQLPDHSHPHQQMGVLVSGRLEFVIGGLTRILGPGDVWRIPGGVPHRARAIEGPAE AIDVFHPIREDYL OJF2_RS05710 MSEHLLFLQAAGSDFGSAHDLADAISEAIRTPHDEAVDGEAHRP DFRDSAIHHGPGPRRRLLHPERIAERLGRAGCSGPEGLLVALAAHATIASPLADIDLD WIASVAARIGDEDLLRETAGVAFTFNTVNRIADARRVRLEYHFLRELKPIKGWVERRL ASLTGLAYDLSYKHQARRSSAEMLERLGVLFGRLGAPATPEVFHWLGRSPVVLEGVLE MIEVNLTSHGVHPDLMKEAMGIAVASRAMPGSPLAAMVDQWLSRSSLADGVTLRTLAA PSGIAAGTDLASASRRYAWRVANAAYTITDEEVSSLAALGLSDAELFDLTLAAAVFSA LAIIEPISTAVAPVPAAAA OJF2_RS05715 MMRSLIRNAMVRTTRRLAGRYIWTQPNIARTFRCRPAYQASFKK AWLSLMWGGEVERRRLREAIAVAISSANRCFY OJF2_RS05720 MMTAMPDSIATLQFYPRRSALRRYFALWYFTILLMVWNLLGHLY LGFEQSDLQPLVGLATAIGLQFLLEWIDARAAGRRPRFAGSWADFVNFLPPAIIPGLA VPMLLFPNERLIPIMFGVGLAIGSKVLFRAPVGDGKTQHIFNPSNLGIVASLLLFPSI GVAPPYHFTENLTGMWHWALPLFVLATGLVVHGLFTGRLVLVLTWLAAFVIQGQLRAW YFGTSWIVPLTPMTSAAFMVFTLYMIPDPATTPVQPLRQALFAMAIAAVYGLLLVNHM VYGLFIALVVVCGLRGAGLYAWAAWQSLRPAPTEGGSLQPEMAGVARQLSAS OJF2_RS05725 MLVSLAIVIGLYAMTRERAMSKADSDEVASTFRFRRTPLPELPD HPPYQSVRKVHPSVRHIRAYVSTLGASVSMGDLDGDGLQNDVVWVDPRTDKVNCGCVP GTGDRYGTFALDPSPSIPNWDPARMCPQTSLIADLNEDGLLDVLVVYWGRSPILYLRK TPADSTSRPTAAEFESQELIPGGDRWYSSTAVASDLDGNGHLDLIVGNYLPDGSRMID ENAEGSETLHDSLARSGNGGGLRFFRFAGGTSGPHPTARFDLQDGVIPDELTHGWSYA IGPVDLDGDLLPEVYVANDFGPDRLLHNRSTPGRFRFAALHGERTMGTPASCVINEDS FKGMGVDVGDINGDGLFDIYVSNLTSQWALTESHFLWLNTGHPERMKDGIAPFRQASE ELGLSRSGWSWDCRLVDLNNDGVLEALQANGFIQGRTNKWPELQSLGTSNNQLLHNPK FWPSLQPGDDVSGKDTFAFFVRGRDGRYYDAAPKLALEDGRSMSEAMVTRGISVADVD ADGRLDFALANQWQTSYFYHNESPRPGNFLGLHLLLPLEKGAKTLAVPGVGHPAAELP GRPAIGAVVTVRLPDGRKRVAQVDGGTGYAGKRAPDVHLGLGSITEAPVEVRWRDPEG NPHEQTFPLQSGWHTIRLGWPADDKKGES OJF2_RS05730 MASLRLGATLSLLLLLCAASPPARAGCSARYLTMPPRAAGPALL DRLSTADAAPPAHGERSPSRPSPCSGAFCSGSPATPPTTLPSVMTEGESYRAITACTS VLPDPGSILRRADDARLAPIHEPDSIFHPPRRPGLPSTA OJF2_RS05735 MADPTPRVVVIGSSNTDMTVRVPSLPGAGQTVLGRDFLVSAGGK GANQAVAARRAGAEVAFVTAVGDDDFGRRSLDGYRGEGIDVSFAKTVPGVASGVALIF VSEDGENLIGVASGANLELSPADIDALPDDLFRAGDVLLAGLEIPIPTAIRALRRGKQ AGMTAILNPAPAPSLTEAEVGYLLAEADVITPNRIEAIMLAGVRPGEKPGPNALAAGL LAMGPRSVVITLGAEGCLIVHEGESLAVPSPKVQAVDAVGAGDAFNGILAVGLAEGLS LADAARRAVKGAALAVTRPGAQPALPTRSEIDAFP OJF2_RS05740 MPSRLDSAAAVLAILVLACLGPDSIGKNRAEAADRPHGPRHKTR SAVIAREGLAATSQPLASMAAIRVLQSGGNAVDAAIAANAVLGVVEPMSCGMGGDLFA IVWDARSRKLYGLNASGRSPRAATIAYYRQRGYEFIPTSGPLSWSVPGCVDGWDQLRG RFGTRPLAELLAPAIRYAEDGFPVTEIIAGDWKLSERALRAVPTSAACFLPGGHAPTT GDVFRNPGLARSLRLVAEGGRDAFYRGTIAEAIGAYSRSVGGLLAAEDLAAHASSWID PVSVNYRGYDVWELPPNGQGIAALQMLNLLEPHDLKRMGFGSADALHLMIEAKKLAYE DRARYYADMDRSRVPVKELISREYAARRQKLIDPSRASTNPIAGEPLQADTIYMTVAD GAGNAISLIQSNFNGFGSGHVPGSLGFALQNRGCLFALDESHPNRLEPGKRPFHTIIP GFVTKDGKPWLSFGLMGGDMQAQGHVQVLCNMIDFGMDVQEAGDAPRFRHFGSTEPTG QPAQSGGGTVAVESGFPPEAIRALEAKGHRIVLAEPGGFGGYQAIRIDLDRGVLIGGS DPRKDGAAIGY OJF2_RS05745 MVPRSRFRFTIRALILAVALVALNLAAVRAIVKEEWRGGASLAF KGNAVLEKLDARDEEGVACRYGLVRMSDGSYRIRIREVWRFPRPQTPFQVFSLVICSL SITCLVLTLYAWELGVPLRDGSPGDKGSQQALAARIWLGVRWIVLAVALIVSNVAASR YQPIYDLYETGPPDRAAGDLADWAVQRPHKFVLKLADNSRPEYRRQVALVGAADVGLA VDLQDLFHATPRVLNLAVDGTMVERCALDSEGAAQTRLPAVLFFSSLTNEVTIDFKAD GAIVGSMGMPGEEGSSRRVIRPPTFSFLERRWPLIGSVSMSVVALSLTLRRLSRRKLS MLSIALILAVVNVPAAMACLPHDSPRLLSQTWGMAVSGELYFSDGTRRTYERKPGAPM RITRIEISEGTPSRRISWSVIAGPLASILFIVIWRSWARPS OJF2_RS05750 MGRILGLDFGLRRVGAAISDSGRSIASPLEVYERGDEARDARHY RQLALENDVDLLVVGLPVHTTGREGELAGHARRWGAWLASVTSLPVRFTDERYTSVEA DNLMISSGLKRQRRKALRDKLAAQILLQGYLDAGCPEEPSAPGPLADEAGG OJF2_RS05755 MLHAIIMAGGSGTRFWPRSRRDRPKQLLRLHGDATMLQQTVARL HPLVAPERIVVVTGADQAAATREQLPELPAGNVVAEPCPRDTAACVGLAAWIVRKRDP QGTMVVTPADHVIAPDSAFRDTLKAGLSVVDADPTALVTFGIRPTRPETGYGYIERGE LIETIDGIPVNRVVQFREKPDRQTAEEFLASGRFAWNSGLFLWRAGTILDELERHRPD LASALARVAESLGTPDEAAAIAREYPSLPKAPIDKAVMEKAANVRVLEVRYDWNDVGD WRSLATLLPLDAQGNAIQGDVLAKDTRNSIVISDDGGLIATLGLDDVVIVQSGKATLV ARRGQLDQLKGLVEGLEEKGHGAYL OJF2_RS05760 MSPDPELMDRLKRHGQEHLLGWWDQLDDAGRARLAAEVAAIDLE QLDRLVKELVAGDAAAQVEPSRVDPIDVVRLPRTDGERIARRRAAEHGAEALAAGEVG VILVAGGSGTRLGYDGPKGTFPIGPVSQASLFQIHAEKIVALGRRHGRALPLYVMTSP ENNDATRDFFEEHGNFGLGHVRFFVQGQMPAVDKGSGKVLLAEPGHVALSPDGHGGTL AALAAPGPGGSPSCLDEMREKGVRTLFYFQVDNPLVQIADPGFLGLHRQADAEMSFKV IEKLAPDEKVGVVVAVDGVPQVIEYSDLPPELANRRVPEGSLELWAGSIAIHVLERSF IERLVGEARLPFHRAIKKVPYVDASGALVKPGEPNAVKFEQFIFDALPMAKRWAIVET DRPTEFEPLKNAVGPDSPATVHQRMSDLFGSWLEQAGATVPRRADGSVPFGIEISPLY ALDAQELRSKLEPGFVVQKPVYLR OJF2_RS05765 MLFSNSPGSRRRKPHGTHAASPRRPSRSRPFAVEALETRALMSV GAHAPVHAHLSQGHRGHDRTPIQQTNLVSDGAVPAKVTDPGLVNPWGLSASPTSPWWI SDNGTGLSTLYNGNTGAKQGLIVSIPSPSGPTGGTPTGTVFNGTNSFVVSQDGKSGAS IFLFATEDGTISGWNPGVNGTHAILAVDHSGSGAVYKGLATGTSGGANFLYATNFHSG KVEVFDTTFAAHTFSSKQFTDRHIPAGFAPFGIQNLNGMIFVTYARQDAARHDDVAGR GLGFVDVFSTGGDLIGRVASRGTLNSPWGLAVAPSSFGRLAGDLLVGNFGDGRINAFR MTKSGHFKSDGQLRDAGNHVIAIDGLWALAPGNGAAAGSSNALFFTAGNGGEKHGLFG TLTATT OJF2_RS05770 MNDEAISDHSLLQRFRRGQDGGATLLFLRYAKRVRALASAQVSP GLASRLAPDDIVQSVFCSFFRRVAQGQYDVPRGEEIWKLLLVIALHKICDAGNYHRAA RRDFRQTQGGEAYERAIQSIRGQDEAAMAVLRMVIDEVLDGLPASHRPIIELRIEGHE VAEISGRLRRSKRTVERVLQEFRRKLDSQIREAH OJF2_RS05775 MVERGDGPHEEGVDPFVDAYEEAQARDGHADIASYLPDPGHPLF AAVLCELVRVDMEYAWTRGRPVPLEDYLARFPSLSDDTTLFRLAAFEECRQRRQAGES PSAEEYGRRFGIADASWLPSAAPRPGDAGTRLAEMSGSWEMSLRAVAPRTAERVAEAL SQLPEPGSEFAGFRLVQELGRGAFGRVYLARQGDLADRPVALKVSAELFDEPRALAQL QHTHVVPIYSAHRVGGLRAVCMPYFGATTLADVLSELRSRGTPPDSGAALAETLTRRR IDARASTDGPPAPSARAAAIKALQDESYVQAILRLGAHLADGLAHAHERGIIHRDLKP ANVLLTDDGEPMLLDFNLAADVKDPHAAAAALAGGTLPYMAPEALDTLRTGPQPADPR SDIYALGLILYELLTGRHPFPERRGPLEELLPLMAADRRGQPPRLRPWNPAVSRAAES IIARCLEPDVGRRYGEARHLLEDLQRELDDRPLRHAPDPSRRERLGKWARRHPRLSSG TALMAMAAVVIVGIIAGYSQRQRRFRAVEAEQAFRRLADDHEAARILLLDPADDPARR EEGLALCRRALGRYEVLDRPDWARSYLITSLPAASQAELKEQVGEVLLLGARALNRQS SGLAPDQRATLSRSALRWNGLAEACYEGDAAPRALWSQRAYLADLAGDHDGAERARRR ALATPIRSLREYAMILLGDDGPGAGPEALPALADASRRAPQDFALWMNLGQCQAHRGR LADAEDCFTVAIALRPGSPWGYFHRGRVELERRDHEQARLDLDRAIWLRPDLAAAYVN RALARLGSGDAPGAVADLTTALDRGAVETRIFFMRAQARARAGDRDGARRDRDEGLRR PPADPESWVARGLARLPSDPEAAIRDFDAALALDPRCRPALQNKAAVLSDRLGRTGEA IEVLHRAVSLHPDYVPSRVGRGVLLARLGRREEAHRDAEESRRRDASADTAYRIACIY ALTAKADPAGRSRALGMLATALGQAPAWAEIARTDPDLDPIREQAGFADLLRTFAPSS GPAG OJF2_RS05780 MSRDRRRGVKALRPGLEPLEGRRVPAQLGVPWHHPDHVTLSFVP DGTSINGTPSVLFGTLDAAQATPDWQAEILRAFQTWATAAHVNFALTGDGGQPLGTTG PDQGDPRFGDIRIAAVPMAPGVLAISIPHDPFLSGTWSGDILLNSASPSIGRAETLFP VLLHEIGHVLGLGDSSDPASVMFSHLDGQSALAPEDVAAIQSIYGPRAEDPFEGPRWN DTPATASPMPEPAGYDGTTPLLIYANIDSHRDVDFYTLTTPAGYEGPLTIRLQTAGAS LLAPHITVLGASGDVLGDVLSTAVGGDTLLVRLPDVRPGERFQIEVQGERGDVFGVGE YVLAASFDARSTVGPGAIDALARQSYSYLSADDIRAIFLDPRGALFHVDDHTNDTFDA AEPLPTAGLYGSEAPDRRTASLSDPGDVDFYRVETPGDLQHPATDGPGLVMTVTVRAT EINGIMPAVSVYDADRNLIPALVLAHGDGTDTIQILDGQPDSDYFIRVSSDPTSGKAV GNYDVDVEYGHVPAAPTTFVDASLTRGSSPLSYRVVVVQPQLFDFLLSATGGAAASGG LATMTLTDAQGRVVISRSTALGGTAGGDPIFLAPGVYRASFAVLGGGAGPSETIGIRL YGGSLTDPIGPALDDPTLRPVTVAAAGDPSVALLPILGSADEPYYWLALSLGSRGGTI DGVTPSPADSLAGALTVSGAGMTSAPTRAAMTVREVGWDAGAELVARGGRFSALTRAL ARYANSTGTAGLAPSGLDSGDGPIPVAEASGPTPNLDTMTGETSPVAMGVAFPGSRPS PPFSAAPAVVGEGATHAEGARQPIPYDARASKETAGIWSDCGSIFAVLGTAAIVCNRL MLAREPDRGVPCVRLVRLPIRIRRPAPGHPGHDGPGRATGDRTPSIRLQKSILSPS OJF2_RS05785 MIQGESVPERPAGRQLVFLGTGTSTGVPVLGCDCAVCTSADPRN QRTRPSVVMCFPAGNLLVDTTPEMRIQLLREKIGRIHAIAFTHHHADHLFGLDDARLF PKWTGGPVPVFCEQETEDCIRRVFSYAFREESRDWPAGFVPKIHFERIRPGEPFRTLG QEVLPIRLDHGRFAVLGFRIGNLAYCTDVNRIPEASWPLLEGLDTLVLDALRHEAHPT HFSVEEALAAVRRLKPRQAWFTHLSHGLDHEATDAALPDGVRLAYDGLRIDF OJF2_RS05790 MEGLSRRRLLGRGGRLAAGAGLAIAPAAASSPGRKLKVVACGGH PGDPEYGCGGTLARYADRGDEVVLLYLNEGNPRPEQPPEPGRDRVAEAKRACAILGAR PVFAGQVDGRAVIDEPHYRAFRALLAAEKPDVLFTHWPIDNHADHRAITMLAHDAWQS VSKGFALYYYEVSTGEDTVQFAPTHLVDITATEPRKRQACYAHASQSPERYYELQDLV ARMRGIECGRRRAEGFIRHVQGPNAGLPGP OJF2_RS05795 MKGIDGLLGATAWIVAGAMAASQPPPGPRDGVVSLPPFDRPPLF AYTDYEKAVRLDCGMLRVSAPTNKGGFRVVFQPALDLASTDDRCPVLVATIHEGNRAK AVRVQLYSGDASAGWTYRLEGRPTGVELRLEPADGAGLITPHEREKSIDLAKVGQLQI QGDWGDGPIDVSFRRVEIAPPSPEAKDARAASMKREQEKAAAARLAKEAARRAIRHGA DGPKVVHVGAVASEVLGITLVEGTSRHNGYVPYVPLPGDEIVGEGKVAAWVDGKVVLD APEKRTLRRVVGGQTREIGYVVGGRDGTRLLWPFEERSGEDLQKLTAGDRNSYRILSR DDPAYAGGKEPKAVHRKTKPIDRVFPGQQQILRHAIYLVLPSPLVGGMTYTVELLGVN ASVPSVRYAHDTRVATSEAIHVQQVGYRPDDPYKRAFLSIWLGSGAAYTHGGVSTFEL LDPAGKAVFSGRAVLALAADGKESMKGAANHSGTAIWALDFSAFSTPGAYRVRVPGIG TSEPFPIGETVWEGAFRKAMHGFLAQRSGIALGPPFTTFVRPRDMHPADGWKVFRSRT SSVEAARGGDWFAGLLKGRTDELRPEAWGGYHDAGDFDRSSGHLWASYLHLELYELFP GYFRGLKLALPPAEADDRLPDVINEALWNLDCFRRLQEPDGGVSGGIESSAHPRAGEA SFVESLLLMTYAPDAASSYTFAAVAAKAARLLGPLDASLSRTYAEAAARAWGWAESHR GDAAGSAEQASAAGDARNVAAAELLWLTAEAAYDAAFRQTTKVAADGWVIEQQNGAFT YARLPAGLGDPSVKAQARRKLLAQADAAIAYGDGNAFGLTTEIDGLPLIGPVGAFTTP GMISRVLPRAHFLSGDRKYLAAAVRAANFSLGANPDNQAMTTGVGRHAPKAPLHFDSR FSGQEAPAGLTVYGAYDAESLPDFARGNDWVHTWYVGSTMVPDSRTWPPAEGYVDFFL WPMMNEFTIAQNLGPTSYWWGYLAARGGR OJF2_RS05800 MPLAGLTLMIAAELVGSSPVPSRPWTDEIVYGIIIEKFFDADPS NNVMKARFGKDRAKYEGGFWGGDLAGIRAKLDEVADLGVTAILIYPVMRNDENPVGKF LPTGYRPRDYESVDRNFGDVAAVRSVVDAAHDRGLKVILDMPITLPGFEHPFLGDPGR KSWFGPKSEYGVPRWKAENPEVADYLIGVCKRWKERSGCDGMRIDSAHLQPMSFWKRF VAELKAAPPRPDFVILPELTVDPRQIGGFIREAGFDGAYDFSALRCREVFGRGEDVAQ LAFAGREAHQFYPDPRAMMAPIDNYEKAFVDFANGPKAARTKLALAYILTLDRVPLLY AGNELGIAFTEVGGAFPPGRRDSSFLRDVKALIALRRREPALIRGDYTELAARDGVFA YLRTAGEERILVVLNGSDRPRDYSRPIAGRPWKSLRLDDLQAGGLAKMAGDELPLRAE AFGARIVKVR OJF2_RS05805 MAVKNGISISSIPFEQRDLPPDPRKRKREPMTAVDRLVCLIIGG FLGFAIWTIAYVILISGAMKASARHHPTITTSPDQGILAQGAVEVPRDLDPFDRLPPF WWGSSVALAFGLFGAVVGGERMVDAFERIVRVEGEVARAVNRA OJF2_RS05810 MIPLRNQTIRTATLGFLMWLLLELTHVDLRQAQPQWLPIRDLSS YGLLIGSVLTRAIASIMLGLLCGLAFAASGRRLREAQEAEYSWQAAPGGLGDGRR OJF2_RS05815 MSHRKAGHRRHRHGRWLPHQDALEDWLEGSCGRASEKAADPATR LQPVVQELKDLIDRDPIVRMYFTQMIEQVPHAKPYRKRHIEDVDQLLWLIDEVIGRAP EYNETGLVGVPLNAILDWCMGTPAGFAAFRHEPVNAMFRKILKAWCDFLCSRDSLHVL NDTPRGWKCESARKSTRIDEFQIDPGDPHWGFSSWNDYFTRKFEPGMRPIAAPDDDRV IVNACESTPYALKTNVRRYDRFWIKGQPYSLNDMLAGDGSVDEFVGGTVYQAFLDAHN YHRWHSPVSGTVRKAFVVEGTYYSEAESEGEDPDGPVLSQGYLAHVATRAILLIEADA PSLGLVCLMPIGMVEVSSCVFHPSVKPGARLRKGDEVGYFQFGGSTYCLIFRPGAIAG FAPEALPQPDNPEPPLVRMGTKIAVAG OJF2_RS05820 MKRLLPAFVVATALVGTPALAQDGPLNRVGRALDNAGRNVRNRV ETEVARGQAYAEERDLLYRVTRRLDWDKPLVGSTIRIEIRPDSSVVLRGSVLTEDGKK RAVDLVANTVGVGTVVDELAVVKGVKVIESTPAVVVPPPGDVKVVTPKTRTTVVTPPV EVKVEEKP OJF2_RS05825 MKIRLHLTSFAMGLVLATATADAAQDPPQSAAATSPDGRVRVEV AGASDGTAYPTYAVTFRGRPAVLRSRLGLDLAGGGSLGREAAIERVVTRRIDEAYNQR PGKRSRVVNRCEEVVVSLREKAAPARRWQVILRAYDDGAAIRYRFPAQEGWKTLEIAD ERTAVRLPEDARAVALPLKGYNSTHENRYLRKPAAEIETPGEWRLGLPMLNELPGTGW LAVLEANLTDFAGMYLAKEQGDGGGVTFGCRLAPRPGEPGVAVRAALPHESPWRVFLL GDRLEGLVESDLVLNLNEPCAIADTSWIRPGKTTFPWWNGFYEENVPFRMGLNTETAK YYIDFCAEAGIPYHSLDGLDNIAWYGGTIVPYEGADITRGIEGLDLREVIRYAGSKGV KIRLWMHWEAARKHMDRAFPLYREWGVEGIMLDFMDRDDQEMVDFLRRAVAKAAENRL TITLHGVSAPTGLERTYPNLLTHEAVMNLEYDKWDKDGIPPEHDLTIPFTRMLAGPLD FHQGSLRGVPVEQFRARNAAPLVMGTPCRMLASYVVFQNHLPMVADYPSAYRGHPGLP VLAAIPAAWDDTRCLAARVGELAVIARRHGEEWWVGAMGGREVREVEIPLSFLGPGRF HAEVHRDAMEAKGRLATAREDVTAASIVKGSLAPAGGLLVRLTPARPESK OJF2_RS05830 MDLKLSGQTALVTGSTGGIGQAIAEGLAAEGARVVVCGRGETGV GRAIRAIRDRLPAADLVPLAADQGTAEGCATTIAAHPSVDILVNNLGIYEAVGFFDET DEAWRRLFEVNILSGVRLARHYLAGMLERKRGRILFISSESGISPAPEMAHYSATKTM QLSISRSLAELTKGTAVTVNTVLPGSTLTEGVQAFLADLFPGTSPPEAEARFMSENRP TSLIQRLIRPEEIAAAVTFLASPLASAVNGATLRVDGGLVRNIV OJF2_RS05835 MGPEIWTVVDEYLDGLLLPRDEALDAALEASAAAGLPAIHVSPS QGRFLQLLARIHGARRILEIGTLGGYSTIWLARAMPEGGRLVTLEADPRHAEVARTNL ARAGLSGVVELRQGPALDTLPALRAEGGTPFDLVFIDADKPSTADYFSWAMKLTRPGS VIVVDNVVRKGAVADAESTAEDVLGVRRFLEMVAADPRVRASALQTVGNKGYDGFALA LVEAGGTGNPR OJF2_RS05840 MTLVYHQATFDLIGKTPRTTKKAVAALEKRERLLGIRLPASMRE FYSLTGACEILTGHSNEDPAVPLEELGDAQDLAHGVLRIQDENQGVAAWYVRLDGSDD PPVEVESEADRADPPEGLDPDADSFWVTARFRPVSPTFSAYVLDRVRVYGGDATDHRI ASRLKPMGFYIEFDANGRATRATLDPNFAPPKPNAKPFDARVAMGLVRALDQLERLEI SSKAIDERGWAALRDHPSILDLEDHGSLTDAAVDHIAAMPALRGLSISGVRLTDRGFA RLLGARDFSALSVGMGGRLTPGGLAALASQTRLERLSLTDFDGALTDEGLAGLAGLTS MRYLEIVSPEVSDDGLRHLTGLVHLEDARLYLDRVTDAGLASLAGWRKLVRLRLGRST RVLGPGLRHLAGLRCLRELDLMRLPVDDDSLVHLAGLVELEDLNLRETRAIGPGFAAL SGLANLRRLDCRWSGVTDQAMPHIAGLPALEKLDIAGAKVSDAGLLTLSPLRSLRVLD LTGVTIGADAIRGLKAAIPSLDTVFWTRPGGRPDTGNPFDFRAGPGLG OJF2_RS05845 MRQRLPLAGLAILAGLASQPPAQAQPGGPRPPDFASPEVSAEKK VTFRVFAPKAAAVKLASSDIPGNGPDAAPGMENGGAMKKRDDGVWEAVVGPVQPGAYR YRFDVDGVAVVDPRNTATSETNSDCWSLVYVPGSDASDTKDVPHGAVAEVTYSSKTLG RPRRMHVYTPPGYEKGEGKYPVFYLLHGAFDCDDSWSTVGRAEFILDNLIAAGKAKPM VVVMPAGHTGPFRFGAGGDNSFERQMQEFEDDFVKDVKPLVESRYRVLGDRANRAIAG LSMGGAQTLNIAGGHLGEFGYVGVFSSGIFGITGGFNGAPPDTKWEESHKSILDDAEL KKGLKLVWFGCGKDDFLVKTSEATVEMLKRHGFAVTSRESEGGHTWTNWRLYLSEFAP QLFQEK OJF2_RS05850 MTLDARCLVTGSAGHLGEALVRTLRAAGHDAVGLDLVPSPFTDV VGSIVDRDLVRQAIRGVRTVFHAATLHKPHVATHARQAFVDTNVSGTLNLLEESAAAG VSAFVFTSTTSVFGDALTPPPGAPAAWVTEDVAPVPKNIYGVTKAAAEDLCQLFHRNR KLPCVVLRTSRFFPEEDDDRAVRQSYDDANLKANELLFRRVDLEDVVSAHLAAAQRAA QVGFRKYIISATTPFLPGDLAELRRDAPSVVARRVPGYEAVYARRGWRMFPLIGRVYV NARAREELGWRPRHDFASVLQRIEAGEDPRSPLARVVGSKGYHWQTFAEGPFPVE OJF2_RS05855 MREHDPSRGGPPGHSRRDFLRGSGVAAATAALAGQVATVEEAEA AAQEAGPQVVSGTVEVTLKVNGQDRKVAIEPRTTLLDALRNRLDVTGPKRVCDRASCG ACTAIVDGSTVYSCTTLAISCQGKTIETLEGFDTGERGVPHAFVKNDAQMCGYCTPGF VTACKAMLDKNPNPTLEEVRKGLDGNICRCGTYIGVLQAALDAAKAMKGA OJF2_RS05860 MPATWPENPRLIGTRIPRVDGLAKASGRAKYPSDVRPEGMLFAV LLHSPHAHAKIKSIDTSAAEKLPGVKAVSILNGEGKTVRFHGDDIAAVAAETEEQARD AVRAIKVEYEVLPHVVTEPQAMAPGAPEAFKGGNVRKGRAQVKGKPEDALAKADVVVE GTYSLPVITHVCLEPHGLTAKWDADDKLTVWASTQAVQVTAGELADAFSIPVTNVTVL TDYMGGGFGSKFGAETWGRTAAELAKKAGRPVRLFLDREQEHLAGGNRPSASGKVRIG ATKDGKIVGLIAETHGTGGGRGGSNFPFPYVYSVPDVSRSHSEVFVNGGAARAMRAPG HPQGCAIMEAAMDDLADKLGIDPIEFRLRNLDPNDFRTAMYQAEVKIGAELIGWKERR KPRGQDGGGGPIKHGLGMGLHQWGGGGTMDKKVSCTINPDGSVEMKAGTQDIGTGIRT LLAIIAAEVLGLEPKDVISSVGNSSFPPGQPSGGSTTTPSMAPPALDAATKARDALLK KIAGAVKAAPEELTLKDGQLFVRGEPQMGWKDACRKLGGASISETGSAAEGLASTGVA GCQFAEVAVDIETGVVRVKKIVAVQDSGLILDRLTWDSQVYGGVIMGLNYGLFEERIM DPATGVMLNPDMEMYKLAGASDIPEIVIHAYDPDDQKARGVIGIGEPPTIATAAAIAN AVTNAIGVRVPEWPMSPRNVLNALATASKEGKA OJF2_RS05865 MNAFDYAAPTRIEDAVKLLGEPESAALSGGTDLLGRLKDEVSAP KRVVYLKDIKPLAGISGDASSGLTLGAGTPLAQVLESKAVKEGYPALWQSTLEVGTPQ IRNMATVGGNLLQRPRCWYFHAGNGLLGMKDGKSLVREGDNRYHAIFMTSGDALFVNP SSLAVPLIALEAKAVVAGPKGERTVPVEELYQVPKSDKDSELTVGPGELLTKIMIPPA RGKNASYEVRQKQAHDWPLVMASVNLRMDGDNVASSRVVLYGVAPVPYRCEAAEAAIA GKAVTTETASAAGEAATTGAAPLSMNGYKVALTKTSVKRALLAAIGKPYWEF OJF2_RS05870 MSEPERSDRIESPAPRCRHLRSPGLYVFTDGRPRERPDDANNTI YWCVKTLKGYGPDDENVAWDDCSDPARSCYEPF OJF2_RS39030 MGDARDTAKKKKADEKKTAKPAAKAAAKPAAKAEAPAAKAAAKP AAKAGKK OJF2_RS05875 MPGGGDEPNPDTEPEAGPKVEPEASPLEDLVARLEADPDECARA FEGLETLDEETRLAIVDGLAGLADAPGVRSLLRLLESSRDQVTGERARLALGADPDPT GLALRVDEAGRAILRTGDRDRPTLVGAVVTAVDGEGRGTVGLSATRDGRRATALFLCD VSSGLVDAYGVEEDESPGAGALLLEAVEGAVGEALEDVPELAIGLLAGGLLRTPGGPS ASVSSWLSRLVGPAFAARPPLDPDASGPAALGPLDPAELLRRAGEVLDACPGWLDRSR LTEELAEEIALREGRPAADPVRDSGAYRYLFEHVLLRRMEGYRGMLSWMARYWACAGE AELARSAEILALELADEQNAVPAHPFVVVLSSRSLDAAIARSLGRGAGPSARQELEGG RMGRDPFGS OJF2_RS05880 MIVRELESPPTASNRPWCPRIASLIAAWLSLVAANAFADEPAQI AAGARPFRIEVVDDETGRGVPLIELKTTNQLRYVTDSNGIVAFDEPGLLGRKVHFEVE GHGYEYPKDGFGIRGVALDTRPGGSATIKVHRINIARRLYRLTGAGIYRDSVLTGAPV PISDPLLDAQVMGQDSVLEAVYGGKIHWFWGDTARPSYPLGNFHMPGAVSDLPGQGGL DPSKGVNLSYFTGPDGFARPTCEMPGPGPTWATGLTVLKDGSGKERMLAYYVKIRPPM EAYQRGFVEWNPASNRFEKVAEFSGELAAFAGEHPGGHTFLRKDDGKEYVYFCSPYPI VRSPATVEALGDPEAWEAYTCLAPGSRASEKALDRGPDGSLRYGWKRRTPVLNHVEQD KLVSAGKLKAEEGLFQLRDVETGKRVLAHGGTVYWNDYRKRWILIAVETFGRSMLGEV WFAEADSPPGPFVYARRIVTHEKYSFYNPKQHPVFDQEGGRIVYFEGTYTASFSGNDR MTPRYEYNQVMYQLDLADGRLALPVAIGEGGSPVRLAPRAGIDEDPARALRGVAFFAP DRPGVATVPIREGKDERGGQTLTAGPGDGGPPLFYAISAEAEKPPAGTRPLYEFRERA GAGRYYSIEETPRAGYRREPRPLGRVWENPGRPRPNR OJF2_RS05885 MRKITLKHTMPAKLARHLDEDLNESQRAAVTAPDGYNLILAGPG SGKTRVITYRVAFLIARGIPAQSILLVTFTRRAAREMVHRLETLVGPGAQKVWAGTFH AVGNRILRRPAHLLGYEPNFTILDGEDQLDLVKLAWQDAGLSGQAKLAPKPDEIMRLI SLGLNTRQTLADLVAGPHPHLADWLPQIEKVAEAYAARKRAANCMDYDDLLGLWLKLI REFPDQLREQADLFRHILIDEMQDTNALQVETVETIAAAGAGNLTAVGDDAQSIYRFR GANYDNILEFPKRHPGSRTFMLDVNYRSTPQIVALTADSIRHNRSGFPKALTSARGDG LLPAVVATADVYEEADLVCQQVLEARDNDVPLGEVAVLYRNHYDSVVLQGELVTRGIP YSVRSGLRFFEQAHIKDVLAHLRVAVNPRDEASWRRLLLMLPGIGPAKAAALFGKIGD SPDPIAAMATKEAMDAVPAKTRGLYAAFVGDMRKLLATDPERHPAEAINAILKGGYPA VVRQKYERPENRLADLEQFAVLAARYDSLERLLAELLLAGDVYGMDTVASSDPKDVLV LTTVHQAKGLEWSHVFVIRLVEDSFPHLRAVNEPGGDEEERRIFYVAVSRAKNELTLT YPSTITRGGYGPMTFATPSRFLTELEPTLYERAELDREFLPLEDDRPRGGR OJF2_RS05890 MANAGPGSPQAEELPPRAASYPLLRYMGSKHRLLPWIHGVLRTL DFETAADPFVGGGCVAYLLKAMGKSVVASDFLNFPTVLAAATVANSHARIDGATLEKL LSARPSGPRFIEETFAGIFFAPDDLRFLDRVAANLEDLDDAHLQALARSALIRSCLKK QPRGVFTISGDLSRHDDGRRDLRLSLEEHFVEQVAIFNRLVFDNGRPQVVQHADVFDL EPAGLDLVYLDPPYVPRSDDNCYMKRYHFLEGLSCYWRGMTVMHGSRVKKLPKPYTPF SYRKTAADAFDRLFAHFRGSTIVLSYSSNGFPDLSELERLLARYKGRITVHEKLHRYH FGTHGGVARAVVSEYLLVGR OJF2_RS05895 MGMLDLMKRGRDAWRDLAGKVVVIYEREGKGCVLSDVKVVDLGF NCFLVGRMQDLDPSDGRYSGVTAWVAASSIERMLCFGDIDAARRSFADATKETAPKSP TAS OJF2_RS05900 MIRVVLPYHLRNMAMVEGDVTLDVDSPPTLRSVLDALEDRFPVL RGTIRDHDTLRRRPFLRFFACAKDYSLDPPETPLPEPVAAGVEPFLIVGAIAGG OJF2_RS05905 MSGVRVLVGTRKGAFTLTADGTRDRWEVSGPHFAGWEIYHLKGC ASDPNRIYASQSSGWFGQVLQRSDDGGKTWTPPGGGVTKSPEGFPMGESNRFVYDTSP ETGKPLTTHMWYDGTQHPWEFKRVWHLEPSLHDPDTVYAGVEDAALFRSTDGGHTWHE LSGLRGHESGPSWQPGAGGMGLHTILLDPTRPNRIFVAISAAGVFRTDDGGQTWTPAN RGLNSQFMPDPNAEVGHCVHRIALHPSRPDTLYMQKHWDVMRTDDAGGNWREVSGNLP SDFGFPIDVHAHEPETVYVVPIKSDSEHYPPEGKLRVYRSKTGGGEWEPLTEGLPQRD CYVNVLRDAMCVDALDPCGVYFGTTGGQVYGSRDGGDHWTPIVRDLPPVLSVEVQELP OJF2_RS05910 MKVMVIVRATADSESGALPGERILREMGEFNEQLAKAGVLLAGE GLKPSSQGVRVRFSGKDRTVIDGPFAETKELIAGFWIWKVASLQEAIDWARRCPNPFD VESDLEIRPMYEPDDFAPSDPTGEVREQERRLRDEAAARAGGAS OJF2_RS05915 MKFMLLIYMEENAIDEQERQLCYEESTAVCRELHAQGRFVGASP LQPIATAASVRVRQGKPVVTDGPFAETREQLGGYFLVDARDKDEAVAIASRIPGARWG TVEVRPIVELPGLPLGPPPAFAERA OJF2_RS05920 MSTCLESESRVSPASRVGMWTGRVLTGLAVLFLLFDGAAKIAKV GPVLEACAQLDVPAWVIPWLGGVLVGATVLYAIPATSVLGAVLLTGYLGGAVWTHLRM GGPAFPVAFPVLLGGIVWLGLYLREPRLRELMPLRRGPNRKMDEVRR OJF2_RS05925 MVDRPGPNSTWIGRFVDFLLKVRFKAPDGDRSRLVKGGVVDDGT PAESASRDLRFAAAVAGFGMLLRDSPHKGDMTFARVEDLAAPAVGDDPGGYRGEFLDL VRSARALAR OJF2_RS05930 MSVREEVEEVYRAESRRVFATLVRLLGDFDAAEEALHEAFAAAL EAWPREGIPASPRAWLVSTGRFKAIDSIRRRARFEAALPELGQRLERAEAMSPGRPGE DVEDDRLRLVFTCCHPVLPANTQVALTLREVCELSTEQIAAAFLAAPPTIAQRIVRGK ARIRDANIPYEVPPLAELPSRLEAVLSVIYLVFNEGYAASSGESLTRPDLSGEAIRLG RLLVELLPDPEAIGLLALMILHESRRPARTSAAGDIILLEEQDRRRWDRALIAEGQAL VERAFRSGELGAYTLQAGIAAVHSSAPTAAETDWPQIVALYDLLFRASPSPVVELNRA VAIAMRDGPAAGLERVDALVDRGELDAYHLAHAARADLCRRLGRVDDARASYRRALEL ARQEPERRFLRRRLRELG OJF2_RS05935 MDVVLDQDERARPRDRIASPLSVARLALLFGLVTGTLEAAQWII RNAISGGVSLGASQMSRHFVWMIPASNVLIFGLSGVVLGLLAWLLPRWIGRIALGSMG FLCGLALLLTVPGLYAFACLAVATAAGVLLARHGALGSGRLGRVLVLVSAVSAAIAVG LHDWDRVLGALRDARPSPTAAHRGRPNVLLLVMDTVRAESLSLYGYDRDTTPNLNRLA SRAVRFDQARSTAPWTLPSHASMFTGRWHHDLDVGERKPLGKTYPTLAEVLGSHGYAT AGFIANTFFCNHWFGLARGFDHYDDYYEEQTAISLEETLRCSSLGKLAVQAMSGAFSG VERRRKDASRINAAFLSWLDRRDDTDRPFFAFLNYFDAHGPFIPPDGARRPFGRPPAN AEEAAAIVEWDNRSHSSLKPDQIALARDSYDDCLAYLDEQIGLLFAELADRGELDDTL IILTADHGEAIGDHNLTGHGRSLYDSEVHVPLLIFLPDRARGGEVVAEPVSLRDIPAT VLEVIGLRGTFFPGSSLAGQSPGAAPALTEVRIKEGTSHNPARPPAWRGPMSALVAGG HSYIRNADGREELYDVAADAAQLHDLAPSPDSRPILERMRERLSEMTASEDEDD OJF2_RS05940 MRVFAMGTAPVLAAVAMLSAGASGQGIVAPGAGPINRSMAGAST AAAVDFGSSYWNPATLSFLERDEMLLGSELIIPSIHYSAALRADSIGGVFPPENRFGT SRSDSGVPTNLAVGASWRFRPDSPLTMGLLISGVVGGNVNFAGSPAIPTLAPRQPPNT FGLGPVYANTSLLAIKPMASYQVGEQLSIAFAPVVSTGTVQFNPAFFAPGPADQYGIA TFPAATNARPFWGGGFEVGLLYAVNDDWNVGFSYKSPIWQERWSYNSDNPNLSPRRIG LQADIPAIYSWGVAYKGIDRLLVDVDLRYFDYSNAALWGDSIQSGGLAWSSILAVAVG AQYNATDRLTLRGGYLFNQNPINGVNTLFNIQAPGFIQHTLSLGLSYRVTDDLQFNAG WVHGFRNSIEGPIVQVPGTAARMDAQVDSILAGLTIQYGAKRNRGPSADGQAAATQAE OJF2_RS05945 MAEHFFEEQKEQSQVKTAIVSKYFWAWAKVITSVLARNRGDMRI AYVDLFAGPGRYEDGAKSTPLLILEQAIAEPAFRDNLVAWFNDKDAANTSTLLKEIKQ LPGIDTMERQPKVYTNEVGTEIVKMFEQLDLVPTLFFVDPWGYKGLSLRLINSVLKDW ACECIFFFNYTRINMGLPNERVDAHMDALFGAERAAALRQKIKSLSPDDRELAITEEI CEALVEMGGKYVLPFRFKNEKGTRTKHQLIFVSKHPLGYKIMKDVMAKESSSHEQGVP TFEYNPATTDQPLLFEFARPLDDLEGMLLDEFAGRTMTMAEICEEHHYGRRYIAKNYK DVLTKMEQAGKITGDPPHTKRRKIKGEVTCADATKFTFPPKQAVT OJF2_RS05950 MSEKSTIEWTDATWNPVRGCTKISPGCKHCYAETFAERFRGVPG HPYEQGFDLRLVPAKLSEPLKWAAPKTIFVNSMSDLFHKDVPDAYIERVVQVMELADW HTYQVLTKRADRLRDLLRTKLAFAARLEHIWWGVSVEDRKYGLPRIDALREAPAALRF LSVEPLLEDLGEIDLGGIDWVIVGGESGAGSRPLDAAWVVSIREQCRGAKVPFFFKQW GGVRKSEAGRMLEGVTFDEMPERRSRSVAAHQSRQGMIRDVRQWEAAFRQAVPTGDEA QGLLLQVD OJF2_RS05955 MSNARPSLGDVFEYGQMVAAGAIKEPPPTRRAFISAPASVDTGV IRQALESRGIAPYEIDDLANAGVSMPEIIDDCIQRADLVVAVIGGGKAKGNVLFELGF ATALKKRILALVPPDEDLPVSEIPYLRISPDNREAIDFGLDQILRVPWPGWQAPGEPA RKTEPIGAAADALLARLAAAVGHLDEQELTEIVTDALAASKISSLSYSSEIVVEDSRA DFAIWSDDFEPWVGNPLLIEVRSRLSNRGDLSRTLDQITRMLDKTHTAWGLLLYGVAD FALGDESARHPRVFVMSIEEFVRSLRETGLGEFLRGRRNLRVHGRG OJF2_RS05960 MAAYSQAAIQGFLKAADQATTRAAKGKAFEDLICYLFEKVAGIA ITQRNVLNRMESEEIDVALWNDGHPRGLKSLTNMLLVECKNWSATVGSAEVITFISKL ERRGVEYGFLFAANGITGNAQDGKAAHYSVSNALAKKIRIVVITRAEVEKLQSSEDLV LLIKQKLCHLVASETAWP OJF2_RS05975 MVRMAALRGRVLRRLMATAAILGSAGARADLLYFEKGGEIQASA KVEGDRVEITLPDGIREFARADFKKIVPGYSPEDEWPRRRDLARPGGTQDRYAAIWWA IENGLAIEAAPEIRSLREADPGHAPTARMAAALDRLDEPRRAPDASAFRKALGVSMEA SEGPHVLLLHRQADAEAARRLALLERVITSFYLDFAGRGIELKVPDRKLIFAWFSEQS DYLAFLRSQNAGAFATTKGYFHPTWNAVVAYDSRCLERHERGKQASETRRGELDRLRA AVERMPARGRLRVAVGGEAPRTLGRDEARSLLGRLERETLRGETLLDLDRLAMDEGTA AHEMVHLLAANSGLQSRHDDFPIWFQEGLAAQYEVVRGGRWAGISRAHDLRLPDWRKI QPTPALEPLLRGRGFGRGYQRDPYAEAWALVYFLRLERSDAYLRFLDLLRSPDASLAD LPPGDRTVAAFRRVLGDDLASVERDWHAFLATAQTPLEHHAPPTDPAPASPPPRAR OJF2_RS05980 MLVLSRKPLQTIMIGNDIKITIVKVERNQVRIGIEAPRNVSILR SELAEDATQALHRLGLEVGSHEDVEVEVLA OJF2_RS05985 MRRSVAVLLGTGLVVAVGCGTQNYERRLDLTIQEMKYQKQLDSN LIAAPTKGKLQELHIYVRPPKDMTGPTQAFQMTVVEPGRFDVESSFIEAEKQSLHILG RVKQPKAPAKKGAAPPPESAPRGDFRAEVLELVKAAYGADVEATKLKEDSRKGNVFRT ATLDPGNGKEIQVNFYGDGKTSPYEVALIFEYLKADGPTIKPRIVLCLESFAVGDKAK RLFAGGETEEEGGESSEEGAAPVAF OJF2_RS05990 MGKAERTHRGEPDGPSRDEGCRPGVVKIIAMVKPFRAQEVLSAL GSVEVVAATVREAMGYGRQKNRLNQYLGSEYNASFLPKVEITVFVEEENLEDAIRAIE GQARTGRIGDGKILVLRCPFGAIGW OJF2_RS05995 MARRKTLPESLRAKHALAERLASLRLELFGERGGPEMARRLGIP VRTWYNYEGGVTVPAEVVLRIIDLTSAEPQWLLTGKGPKFRQSPFRDFDVSEKSPVVK VGDLLRTALQLLENGDVAEPGQPKAGEVPATQFELPQSSPARKELLEAQQENRCIRVQ GDAMAPVVADGAAIAYSRQPEPAAHLDGKIVVAWIDEQPIVRWFQDCGQYGLLRAENP DTTPRQQLVDLRPGADAPRIRRVFWIDTPH OJF2_RS06000 MNYLLQIVRGRSDTTNLKLAPGVNSIGRHDECLIRIRSAQVSRK HCEVIVADDKLVVRDLGSSNGTYVNGKRVLGQQALKPGDEVTIGGVTLRVGQLGTAVS PSRPSPVAGEGAASDTSEVEAIPAADGEDVEFALDDDEDFVVAIEDVEEAPEHMDIIP LDDEPAPPPKKPAAAPPKEAAAPPKNEAATRAPEKKEAEEPAVEPGGKPTKEEEDAVA QFLMDLKLDEE OJF2_RS06010 MSSGEEHETSVSVTDQAGDEPAAQGQEEKRKLELDVHIEDVGPC KKHLKVTVPRSEIEHQFQESLGTFQKDAHVPGFRPGHAPRQLVIKRFKKEVSGQVKSS ILMATLEQIDRDYQLNPITQPKLDVDAIELPDDGPMSFEMEVEVRPDFPLPNYKDLKV KRPVKAIKDQDVESALSRFLERYAQVVPKLDGAAQIGDYLTADLKFHKPDGSPLSEVK ESQFRLQPELRFQDGHIPGIGKALEGAKPGETREVQAQLGTSVADPELRGQSVKVDVV VHDLKQLRLPETNATFLASIGFDSLDELREAVRASLERRYDSQQRQAVRTQVMDALIA ATPFDLPSDLVSRQERSTAARLVSELRQGGFSDADIRAREAEIRANAHETTLRSLKEF FILAKIAEAESIQVEDEDVEMEIEALAARTDESPRRVRARLEKDGLGDSLATQILERK ALDHILRSVEITDEAVDAPETDVETLDQTATPAAEGGEPAEQPAGQ OJF2_RS06015 MPFDHPLADPQLAGYRDYARQRQMTLGDLLLENRIVFLEGVIND AVANMAVMKFLYLQYENRTQGISFYINSPGGSVSSTLAIYDTMQFIECPVATYCIGLA ASGAAVLLAGGTKGRRYSLPHSKIMIHQPYGQVGGQVSDIEIQAEEIVKSRQVINEIL ARHTNQPIERIARDTERDRYLSAMQAKEYGLVDEVVGRIPGGVGGEKGPGSSVVSASP SSPTPEAR OJF2_RS06020 MPLVPIVIERSGREERAMDIYSRLLQDRIIILGTAIDDNVANLI VAQMLVLAHQDAKADIHLYINSPGGSVTAGMAIYDTMQWVPCDVATYCIGQCASMGSL LLTAGAKGKRNALPHSRIMIHQPLAGMEGTATEILIHAEEFIRMKKQLNGIYNKHTGQ TLEKLQEDTDRDRFMGPDEAKEYGLIDNVVDRAPMFPANPERV OJF2_RS06025 MSDAGAGRPEAPTLVGIGLIRRGGRFYVQRRPRGAVYAGYWEFP GGKCEPGEAPEAAVARECLEETGLAVVVGRLRHVIEHRYPHGLVRLHVFDCEPVDPSA EPGPGHEYRWVRPDELETLRFPEANEPVLRELAGSAQDEARPAG OJF2_RS06030 MGIFSGKKGLVLGVANDYSIAWAISQKLIEEGAEVGFTHLPGEK MERRVRKLTDPIGAKVLVPCDVQKDEDVASAFDKTAEAFGSLDFVLHSIAFAPIADIK CPFVESSRDGFKTAMDISVYSLVAVSRNAARFMPEGGSILTLTYLGGERVVSGYNMMG VCKAALDASVKYLAYDLGPKKIRVNAVSAGPVKTLAASAVGDADALAGLYEAVSPMQR NIDRAEVGSAGMFLLSDLASGITGEILHVDCGYNVMGSPGRAIEASKAGKL OJF2_RS06035 MAWLTRPRGPLLSAGNLALAAVAVGAVLRVSEFLGFRQLYLDER LLLENIVGRAPFDFHHVLENDQMAPPGFLVIERLLVHLPFHVLATARLFPLLCGLASL LLMAPAARRYLDPRAVPIAVATLALGDHLLYYSAEIKQYSCDLMIALLALILAAPSPG AAAPGQPADTLSSRRLRALAAFGVIAPWFSFTVLFLLAGIGLRLLAVSIGTRDRRKVL SVVGVGAAWVLSLGGCVLLSRSILSSRDFIWIWWNFAFLPIPPHSLADAQLVAESVAN VFINPGSILSPLDFTGTATAASLLAVIGCASVGRRWPGGLWLLIAPLLFGLAASAARQ YPFHGRLAFYLVPTFHMLLAEGIAAVGRRSQWILTVALAGFFLYGEAAEIAWHHIIQG RFRTYDSHGDLKNDLLDELEFRRHPRRPPPPPPLPAPESAPAEPAP OJF2_RS06040 MRRALLVIDVQNEYFTGALPITHPAGHLDRILEVMDAAAGKVPI VVIQHHTEDGPIFRKGSETWQLHPEVAGRPRDLLIEKSLPGSFTGTPLEGWLREQGID TVAIAGYMTHMCCDTTARQAVHRGFKSEFLRDATGTLSLSNPGGEVTAEEMQRSILAA QAQFLSEVIPASEWIGRL OJF2_RS06045 MDATFRLARKNRNVGLGGILGFTAALALTLYVAWTDPKIRDPRL LVVLAGGFYMFMTGLSALLLASYYCQRLMLRGERVQLLGLFQSIEVDLCSVTRARWRP GELTLWDNSTRLTIDFRVYQWSREERDELIRVVRSALDPEIQTGWDLFAYKMGYGLPR RPPGEPGPAEVLLTRSLWTRYFLPLVILAVVGGVTAWRLTGNPRFLTAPSAPLLLWGV LRYTTPAEGIVSSKIHARSVKDAGRFLVFLLVWGTVGIAAIIWASWPGWENQPIPFIV TLVIWMSVLLYEAAREDRRTARRDREAAELAAKARGERGRQEVGVLE OJF2_RS06050 MKREAFDDLVRWVEARYAGRPRALERAVVRWVRIGRGALLSWIA GLLGGGVALCVLGVVVAAEAGAAIVLLALGAGLLAYCFSQAGFLLLAEPTPRQGHLLG PGEAPELRAMLDELRRRLDCRPFDEIRVSLAYNASVLDVPRLGFLGLGRSTLEIGLPL AMVLSPDELRSIFAHEFAHLSARHGMRNGRIYRLHRAWCHAVGRMQSPITGALDGTVR GAVMRFLGWYWPRLHARAVVLSRLHEFHADRVGAEVAGAGVMAGALWRTECRGEWISE RFWPDLHGLAGEMPEPPDDLFDRLRLAIPVAPSPADAARWIGRALAQLTAIDSSHPAL PERLRALGQDRERLREAGFPAAAEPSAASLIGATLPAIERELAEEWRRSARASWRDRH RWAAAEARRASRPEASPIAAATPAASSAAGAPAAIPPADDPAARAEPEETPGADAGDP GPSPLSAAAIAALWDRARESADHRGPDAAVPILEELLRHAPDHVGGTVMLGHCRLRLG DAGGERLLLRVVDDADENWMKTACELLAAHYRETGRADRLREVRARLDAHEAAVEGDR LERASVGPSDDFLPHGLDDDALAPLLALLAAQPTCAGAWLARKRLRSLPRRPLFVLCL GGKAFRWGLGDEPGRVLVQQLSRRMELPGQVLVISRNGGFRRLAARIMKLPGSRIYPD TTADG OJF2_RS06055 MPTTVNGIGTHYYGKRNVSRRDAVCQSCKQYGTLASYYTRLWFV IVFIPVIPLGRKRIMDECPRCRRHFVADADKYEANKQLQVSGSMDEYRRSPSPENALL AHASLMGFREFEEADAFRRAAIEQFPEHAGLHAGLGEQLEQFSNFHGATPLFERALEI DPDLPAARVGVARRMMAQGDLDGARTLLDFLEVPGAGQQHPLGPIDVLSSYYQNAGRH AEALEIAAHLLREIPAAGQQHTFRAFVKKSEKALGAAASILPPVEGSVRGLFKGADSP HPAWVRRVFWAGLVGGLLLAGLLASNEYIRRNRTLYVVDAIGAPIRVSIDGGPPATVD GLGKLTTREGRHRVEITGPIRETVELDMTSGFLDRWFKKPAWVVNAGGAAVLDEATVY YASDPRPSDHRLVAGKPVLVIPHVDHPFEAPPQSVEVGSRSAVATRQVLTWLRDPASQ LFATLLARGDRADAMSYAEAQLRAHPEDHELLQEYVQQAAAGEVARVDAFLESGLDRR PVDVFWHRAYQAAAEASGRGKELIARYDAALAADPEDAGLIYLRGRIDPDIARREAHF RRALKADPKFPWSWFALGMQAVSEGRWDEGLDGIRKARELGIPEDTFRDAFHVARLGR GEAKALVAEYRSALAAEPMNFAILSRLFDALAASGEADRIGPELQAWIARLPAQAVSQ AGLVPTVRALAEYQAGHPEKALEAAGRSPEVAASPIGLQASLAAGKGREAASNPSFQR LWQNPWLAMAACVELGLEGAGKEAAEMRGRALKAMGSLGTLQENEARILDATAPPAIA DVLRNSTDVDNKALLLCDLATRFPAKRAEYLGAAARFNVLRRPPYLLVKRAVERGGVA ERP OJF2_RS06060 MSEVTEILAAIDGGDACAADRLLPLVYDQLRELAARRLSQEGPG QTLQATALVHEAYLRMVQPGEGRIYKDKGHFLAAAAVAMRRILVDRARRKRTRKHGGG LDRQPLDLVAAPEPDEELLALDEALRRLAEKDPQKARLVELRYFAGLTGEQAAEALGI SPTTADRHWAYARAWLQAEVRGR OJF2_RS06065 MTESTLFELALRKPARERAAFLDEACAGDAELRRRLDVLLQAHD NPGGFLEGPAAGLGETAEASAAAGVAEARALPPAEEVGGRIGPYRLLQAIGEGGMGSV FMAEQTAPVKRLVALKVIKAGMDSRQVLARFEAERQALALMDHPNIARVLDAGATEHG RPYFVMELVRGVPITSFCDDRRLTPRERLELFIPVCQAVQHAHQKGVIHRDIKPSNVL VALYDDRPVPKVIDFGVAKAAGVRLSDQTLYTEFGAIIGTLQYMSPEQAQLNQLDIDT RSDVYSLGVLLYELLTGTTPLERGTLGQAALLDVLRLIREADTPRPSARLSTAAGLPS IAAARGVEPRRLSGLVRGDLDWVVMRALEKDRSRRYETANALARDLQRHLEGAVVEAC PPTPAYRLRRFARRHRAGLAVGAAIAATLIVATAVSVWQALRATRAERDALQAARIQA LTNEFFLGDLLDRAGAQGQSLAGLKPDPDIKVRTLVDRAAARIDGRFEGQPLLEAEVR RTIGNAYRAIGMPERGREQLEKAYELGRIRLGEDAPSIVHMSIDLAVAEVGCGLRDRA AERLRRAIDGLARDDDPRCHHAVTALDQLGVALDGLGRLDEADVASRRALDLAGRYPG LSPGGRLSLSNNRAIVLMHRGSEAEAEALLEGAVREAAAAVGEDHPMLPNFLINLGVL RNARGDHRGAERDLAKAVEAGRRIRGDRHPITLAARRNLGLCLMGLGRRGEAEATLRS ALEDSRASLGAEDPATIAATVDLAEALARNPGRAKDAESLARSVVEASRRIGPELEDR VLATLGVALIKQGRFPEAEATLARAVASYERGPSPGRRVVIRLKLFLSESIAGQGRHA EAIAIQRGLLRQCEAAFGPGDALTFDILLDLIGYLRVVGGEDEALALSPRAIAMGEAL GGAEATRTLPFRQNYGALLHVRGRFEEAEAIYRGVLDQASRLLGPDDINTLQITANLG ELYRDWGRPGQAVPLLTRALEATLRVFGPDHWHAAWVTWKLAELERDRGRPAEAEPMF RRAIDRYAQIQGPDGLELAALRADLAMNHLQKGEPAAAEPLLRQALAVYEKSLPDDWR RYETLGLLGQALALQGRFAQAEPLVLAGYEGMEARRDRVTVDAWPRRPQAGRRVRVLY ERWGKAEKVDEWDARLNLERETKEGR OJF2_RS06070 MNDASRKRSWLIATVLLAAPAAWPATAVAGYAVDMSYTAEVTAV DDPFGVFGAVAPGDAIAGILRYAGDAMPGFAPSLHYFPVTAGGGTLMTVGLGGIEVAS PSRLNVTAYDQPEPGYQFQFASLDTSMLNSPGPGYEFDYLEAAILLRGTNLAPYGYPD PPSALLPLAAYDVAAAGWLSVGLFDEDFNFYEARVDFRLTSLQSVPEPSSVLLLGASC SPILAWALGRRSRLRPASASSSRPRRG OJF2_RS06075 MSRSLDDPMAGTIPPELPFARHRAWYAALSLSLVVGALFRGWDL GLLSFWYDEVVTMRLARAPSPGALLDLLSRIDATRAPLHPLLLQGWLRIFGDGEAAGR SLSAACGVATIGLVGLIGRRAFDGPTGLLAAWFAAISPPLVAYSREARMYALLVLLTT ACWWLLFEQAEGAWLIPRGRRKVARAGYAIGLAAMVYAHPLGMLMAATLGLGSLMFAR ALFGSPRSWLLVHLAGLAPALPWIPRYFDHPPEFLTGPLPIRSLLGTPIGFLGGNFVV LGAILALIAAGLWRRRDSPFVGGLGAGRWAWPACLGLWLVVPPLLLYGYSRLRNPVFG PSRYTLFVAPAYLVLVAQGLAVLPRVPRIAAALCVWFLVRPALLPLLLDPTLKADWRG FAATVAERLDERPAGRITVVVTSADPSRNVEVETARYYLPPACRVLAFEGDTPEIRRV GDGGETFLTVGVKGDASGPPPPDPPGGRWVPARDFPGLRVYRLEPDRPGDRPPAPAGR GPGASGR OJF2_RS06080 MVAIEPLGDRAFLVRFEDERGAARWASAIRAARLDGVTDVVLAY RSAAVFADPDETDLDALEARLATFGPAAGEGLESRLHVVPVLYDGADLDSAASALCLP VPELLAAHSGATYDVFALGFVQGFPYAGYLPPSLSGLPRRPEPRLRVPAGSVAIAGRQ TGIYPRESPGGWHLLGRTPLRIAVPDEGHFPIRAGDRIRFRPIGADEFEELRDERL OJF2_RS06085 MSDSDPRATPTPAAPRGDDPAPASIDLNADLGEGCPNDRALLRL VSSASISCGAHAGDEATIRETLHAAREARTVIGAHPGFADREGFGRREREATAGEVEA LIVEQTEFLWELAREQGLDLRFLKPHGALYNQAQRDHEVARGVVAAAVRLGLPLLGQP GTRLAEIARERGVAYIPEGFPDRGYRDDGSLAPRGEPGAILDDPAEIAIQAVRLAASG RVRTLCIHGDEPGAVANAEVVRESLERAGIEIRGITG OJF2_RS06090 MSVIVIEPGFWTTVQDAGVPGRREWGVPPGGAFDLGSAELANAL AGNRPDAAVLEFTLRGGVYEALGSLGGAIAGATIEATLAGLDGISRSIRTPCSFSLHA GDRLVLGRTLDGARAYLAVRGGFQTATRLGSRSSEHPLRRGDFLRTRESLIPARYPVD LPPIEPTAEPFRVVRGPDAPAGDLARFWRSGEFRVGSRSNRMGLRLEGPALEVMSPPD RLSAPVAPGAIQVAGGQLIVLGVAAGTMGGYPHVAHVASADMDRLAQLRPGDLIGFRP VSLPDARSLDREARQERRSRLLRIGSLAGDLRSAAVPRPEAARV OJF2_RS06095 MRIQQEKCSRFQVDWRKAILRKTTLNRTLSGAAEAELMGRPRES KMSADLSSAISAIATAIGTFFGVLTFFVAVVALSSWRHQLVETQRYEAGRRYRTIVLK IRHELRRLAANDRMFVTSLFSYYRDDEFGVPSVFGPYLAWQNAVWNDQSKLLTDAASD LRAFLYEAEGLWGPMARVDTADLLSIADNVSLNYTKLINPLMSQPEPNFSELQKQIDY LCDENLNLDTAYSEKLEKAVEKALSVVERPIVGIGNCRKR OJF2_RS06100 MRIITTKWISRQYWAGVRTNGIRNVRSALDQFNRQRNLANQIRS VSPWFPHIAGALLITLALVMIPKFQANEFTDAKQRFDAEDAARKTIAQILAGGFFFVT AYFTWRTVKAAESNVTVGQRNVAIAEDRLTSERFFKATEGLESKNVLTRTASIYTLER IAEDSARERKTVTDVLCDFIRNGRIVVAPVSRGDIPDREGEFPKDIQSAITVLGRLNK RWPGEVDIELARTDLRGADFYRSDFSRSNFTRSWLDGADLMGADFSRCVLDGASLDYA DTTGTDFSRSHLDLYSFENVELGDAYLDGIIVPMATFSAIQAKLSEADQEEDE OJF2_RS06105 MTRRNFRPVASASRRKPSTAAITARASRSARSGADAARRASSRR TRSSTRFSRNARASSADANVPAASRQAGEQPTIGWHPAASSPALARNTSPSSPPRGSR AFSAAKTSRTRGSLAASIGSWPSARTSRYRSITPNRPFRPIHSRIHPQSRISHARPAR EPSSSPRMRPAPSDGSRSADSSHAISRRLNSSTRPGPPASAALRRSSTMRPARSRYAP SARSASSIFRASSAAFLSSTLSSLAAGLAARSNPAARSSIPRGPARPAFGIAASRRPP PGTIPFGRERAFLRFAFGPVGSDSLGLNARMRTSVVIVGGLRVEGEGSLENERARFRS FYPARSPVQVRRFHGD OJF2_RS06110 MSRHGRNRGAEAGRRIAPAALLGLSLVAALCPDKAAAQGPAATP PPPPQTAPAPPEATPGVPLTPGPAPAASPAAAPPGTAVITPEGHAPEAGGPVLSPSTQ VVRFDGPPGLGVEVLAPSPMPVPPGDGAGIATVGLERGVGYRLRLTNITERPGAELFP AIEVVGHLHRPREIDPSKYPIRVVFTDEELWGVIDRGRLLTKVIYLEEPEQAIPIKLP KDRIPVVPLNPTEQPLKVAQALGRVMAIVRVGGRRPSVEEIQAGATGDVGLDAIAAVG STRCPFSSPEGDPCQLPCGPVCGTPPPPGRPWLPRDEYLCDGGDAGAPAGVGGEGSLQ GIDPRDAVMKFDIGLGDRTKHRILPTNRVCLYAPRFAEVQVSTGTNEAIEVHGANYNR TVEVASRADGRSHANRLVQKQAAELARERRRAQDMEAKTTAGEDSSMRSLDSFLNAQH AKTGSQGQSASLARARLQPVQAKGRVRFDGIKTAESAVMKGVSVGASENVMTWQALSM TGIETPPARPGMAIIKRVSATEAEPGDTVTFTITYRNMGNTPIRSAEIVDSLLPRLEY VKGTAKGPRGTDFSASENRVGSTELKWTLPGVIAPGASGEVSFQALIR OJF2_RS06115 MQTIGVLLLAAATAGDGFGYDKPGVGLKKWLRPHAVVSQNGPPG PPPYTAATMNAGGPGAADMGANGRRFVNTRSQVYFLDPDGMNIGWQNGTGPNGERTYM ASQLVVPARYNFNQGYIYRLKLSNIPGRQGVTLYPTIEVAPTTPVTDAYLAHNAIPVQ FTAEDFDQVVDGGNFVTKVIYLPDPKYQELAVSSAETLVSTRLEPGVDPILEADKRGT ILLIVRLGAIDLEMPTAPAGPVSGPIVGSPVAAPAGGPVAAPVTEVPPGALPPGVSPA PAPTVESVPVDTAPKPVGPATPAAPAPGLTPPPAPAVPTTPAPPAAELPAPSPAPAPG LAEPPK OJF2_RS06120 MIAAGLFLCPAPSRTGALAQAPQAPAPGAGSGAASPGGMAGATS PATPPKPGEAPAEPPTEAERLIDAAIKKLAAIKSVSANLVQTVEMLKQKFAVRGEYRK APSSRIYLRLTVEGLADSTGTQLQVCDGDTLWDYQQILESQMYRKRSIKPILDRLNSP DMDARTRDQVMSTLGISGPETLLLGLRKAVKFDQKEEGTLDGKPVYILRGTWRNRSGL VGPDQRPLPATGALPAFIPSLATLYVGKEDGWPYRINLVGKVPTILQDTRRIGPDGRP IGARSSIEKVEPSRMELVYSDVQINPTIPAGKFAFQAPPNANVEDDTESILKFLDAAI QSQALMKRAQATQQDGPVLDRNIDIPKPSSEPTPR OJF2_RS06125 MTQDSTAPGGSPKPSKAEALKVASGYLKSFVADEIRNGSSHLSE DAAGLLKFHGSYQQDDRDLRKQLSREKKEKAYQFMVRVRMVGGVMTAGQYLACDELAR TVGNQTLRITTRQEFQLHGVLKQDLPTTIRTINETLLSTLAACGDVERNVLCCPAPVK DAVRDQLMEDARRWASHAAPRSSAYWEIWLDGEKIESLPPAGPSLVPTAGDDPVEPLL GKTYLPRKFKTAFAFPEDNCTDIHANDLGYLAVVEGGRIVGYNVLVGGGLGTTPSAQK TFPMLAVPLCYVPRDRFLEIGEAVLKVFRDFGNRSDRKRARLKYVIHDWGLPAFRAKV EEYLGRTLDDPKPIEVADVDDHLGWREQGDGKLYLGLPVENGRIKDDGSFRLLCGLRA FFKKYGTPARLTCQQSILLADLDPAWKPEIEDWLEEYGIASVERISTVRRWAMACVAL PTCGLAVTESERAMPSFLDELEGELDRIGLGDERLTVRMTGCPNGCARPYNADIGLVG RSAQVGPDGRPGPGKYTIFLGGRTLGDRLNVPFKDYVPYDRLVPELMGVFERFKDDRR PGESFGEFCGRVGNAALGGEVIAEEAAEA OJF2_RS06130 MIANADRAALRQAAREAAARAHAPYSRFRVGAAVLTDRGTVHAG CNVENASYGLTICAERNAIFRAVCQADEGPLRIRAVLVYTPTEAPTAPCGACRQVLNE FGPQALVICECDGPDAIETSVDRLLPSAFGPHNLDASSASPGKGP OJF2_RS06135 MRRIAVLNQKGGVGKTTTTVNLAAALAMEGHRTMVIDLDPQAHA TLHLGLLPGRSGPSLYEVLTQSRSLASVRRQVAPNLHVCGSHIDLAGAELELLGTVGR EVILRDQLDADVDKFDYVLMDCPPSLSVLTLNALCAATEVFIPLQAHFLALHGLSKLL ETVHLVSKRVNRDLKVGGIILCLYDTGTRHGAEIIEDLEGFFDSRRTKAAPWADAKLF QTRIRRNIRLAECPSFGQSIFQYAPSSRGAEDYASLASEIQGRAPAAIWEVAEDRPAD AAADASAA OJF2_RS06140 MEPVPPVSLWPDPNALGPVTDLYQLTMMAGYRAVGMDGVEASFE VFVRRLPPGRSYLVFAGLEQAVGDLLNLRFSAEQVEGLRAFPAFSGMPSAFFEGLASL RFGGDFWAIPEGTVVFPGETLARVTAPLAQAQWVETFLLASLSYTTLVASKAARIVTA ARGRTLLEFGARRGHGPQAGLLAARAAYLAGFHGTSHVEAARRLGIPASGTMAHSWIQ SFPTEEEAFEAYARTFPGSTTLLIDTYDTLEGARKAALVRPHVQAVRLDSGDLDALSR GVRGILDGAGRPDIRIVASGDLDEFQIDRLLAAGAPIDAFGVGTELITSRDAPALSMV YKLVESGGHGRIKLSPGKKTYPMAKQVFRRRDADGLYSGDLVARADEQHDGEPLLSPL ILGGRLAAPLPTMEQIRDRCARQLAALPPRLRRLDGPADYPIAYSDILERDARALMEQ GA OJF2_RS06145 MRPQPHDAAPATALRREVLWIAAATVVGAALRLQGLPRLGFVHF DEGIYAMAGLWPFRTAGLAGLDPSVIPYAPVGFPFLVGLFDMALGPGDAAAILASIVA GVLTIPAAGWLARRTFGPGAGAAAATLVALSCFHVSFSRMALTDATFLLAWVLGLALA GRFLERPGFGNAMGLGAGVGAAQLVKYNGWLLGAAVIAVAAAELADPRARSDRRRLRA VWGFGAMAAVVAAAIYAPWFRFVDAHGGYGSLLAHHRSYMGGVGSWMVHLGLQFEEAD ALSGGWTWRLAGYGAAALASLAIALPRSRASWREPAWLGLGLLGAVVLPRFLWPASAS MLPASWRDTPAARLLAAAWLMLTVLTPFYHPYARLWLPLLLLCWVLVAGLVARALRAA GQGGARPVREILGVSLRGRSARGRVAFALFFVLVAAIVAPDLVPRPLAARPPRGLGPW DPSDSLRVAVREALPSVPAGVPSLRVLARPAAFYYIGGRISAQLEPGLSTLLEPERSG RWALVDAAMLDQEGDREAALASLLERWDVVGEFPARLNLPTLLDRDPLAARRPSIESA ASVPLWLLRPRMPGAR OJF2_RS06150 MSVTLFTDRRMIDHRVPPHHPERPERLQAILRHLERTGFTETCP SGTVREATRDELLRVHGTAYLREVEQLEARGGGSLDPDTWLYPGSALAARLAAGAAIE AVRDAMKGKDRRAVCLVRPPGHHALPDDAMGFCIFSNVALAAMEAITALDLRRVLIVD FDVHHGNGTQDVFYASERVGFLSIHRYPFYPGTGSRAETGTGPGLGHTVNVPIAHGTP TREYHAAFRAALDKLADEIRPDLVLVSAGFDAHAEDPVGDLGLDFEDFAKITEDLVRV AETHSQGRIVSVLEGGYNVPILAGCVEAHLKALGAEPGHPGA OJF2_RS06160 MLNILILTGDAGEAQEIYYAKYRLEEEGWNVAIAALEKRTFLSV VHDFDPGCDTYVERPGYLVKADVGIDDVRPEDYHALVLPGGRAPEYLRNRPKAVAIVR HFVEAGKPIAANCHGPLLLLVTGGVAGRKMASYPELEPDLRAAGVEFVNKDVVVDGPL VTVRGWPDNGPWMREFVKILRKTEQR OJF2_RS06165 MLVLSRKKNECIVINDNITVTVIEIRGDKVRLGIEAPKDVTVHR QEVYEAIQNQTRPVDSARPVPTSDL OJF2_RS06170 MAEERLTQIPTNWTTISSAHAPGPESQKAMNELVGRYHDAVTRY IHLKVRDDHLADEVLQEFWTKLLTGKLAGADRTKGRFRDYLRTVLHRLIIDHFRNRKL QSLPPGDLLDATQPDEDFDRVWRDAVLSRVWSRLETFQAATPKNRYASVLQIRRDYPK ASIDEISEHLARLNGVVMSPEAFRKNLQRARAKFVELLIQELKDTLHSTRNEDVEAEI FDLGLGYLYNRYGPAHDR OJF2_RS06185 MTGSPPPAPSRVAVVTGGSRGIGRGIVAELAAIGYSVAINYRED HAAAEACRREAEARGAPTASTFRADVAGLADGRRLLGEVLARFGRIDLWVNNAGVAPA ARLDLLEATPESWDRVLGTNLRGPFFLTQAVASELIRLREGGIVPDPQVVFITSVSSA FASVNRADYCVAKAGLSMVAQLFAARLAPHGIRVYEVRPGIIDTDMTRPVHDAYSERL AAGLAPIRRWGTPEDVGRAVAALAAGSLGYSTGEVIHVDGGMHLRTL OJF2_RS06190 MSQSDPPSTELTRHQYGSYRVLHPLGSGGMSSVYRAVHEDTGHE VALKVLPPGLARNPIALQRFLREARSAERLEHPNVVSIYDRGVDRGRNYIVLEYVEGG DLHGYVQVNGPLVVGEAVHIIRDVADGLGYASRLGLVHRDVKPSNILRSSSGEIKITD LGLALQSDLEDERVTREGTTVGTVDYMAPEQARDSRAASERSDIYSLGCTFYYLLAGI PPFPGGDITDKLTRHARSAPPDIRDLRPDVPVELARLIQRMMAKRPEDRFASFDELIR ALDQVALPPPADGPGVSLVPLEGPPEGRPRIPVVALGGAPRPPSSVPEISLANLDLDE DSPLDAGRAGGAGSGSNGLGSFPAAPLPRLPAAEIAGAGPGGHPGGSTSLGGWAALFT AVGLIFILSVVLIDRLVRTGPDDPRFAAELAPEDDGEEETAPALASRPPEPEPEAATV RPMTTVPGPVRKPPVPVPPDPESTWAEPEDPDVRPRREAFSDEILRAYLPDWALVPVP TRLEGPLTVVRRVPAIRDASVVNTLRAGLEKTKGTIEIADEGPFSISTPLLSTENRMV RARPGYRPVIRVEASPAPAARQLPGLVTLESKGLVLDSLDFVVNLRDAGASGVVLFHC RDASLTIRNCTFTLVNPKNIPVTLFHGEGDPARGARIRLEGCLFRGPFATALSMGGGP VDAAIRDTVVIGGQSATVRELEQSPGHRVSIVGAVIGGRGPCVALGEPSPGPTGKPLV VRAYRTVLGRFQGAGIASVIAALKAGVPAQSVSWSGDHNLFAGWKGFFAHGPEAIVLV DGLAGVRSTWNGSDRNSREVLAAWPDLEGSTWAPPSILGPFVPGFEALLDGLPRPRPF LDARTVSAFPEPMIPTPLVVAMESANPAAMDGPGRVLLPSKPRAAPRIAGIPAAAPTG PAATLDGEMLLDCDSPEWHGDLGYFLREKIGPGMKHARVRVTGSGPFRCSAVRLPDGL LLELRVAPPARPEAGWLTWQPDPGQQGKALIELKGGGILLSQAHFQTEPSAPLESLIH VEDGHLILHRCLIAAPPRAEGGSGRLVTFRAATTRPGVGPPSSGLFVREPGRPTCVIA DSTLISNAAAVRLELGRGQAAIAGSAIAAATDAIELAPSRVARSRFEADIVLERCTIT SESNLLRLEPWPGNPPGPDRPWLVTTRSCAFLGTYDRRVSLTTLLRVDEEAMAGGALF WEARGDALDVDAFTAAGADTPQLLLRDVAFQWVAFWGSNHVAHVTGPRAGTSRPGARL LEKLKPGRVDPAGLILDPTYHPDRPALDFGADLSRQGIRPRPSGTARRY OJF2_RS06195 MSGLRRGYRTKAMLAAAILGSLGSARADDQPSGTSTKHRPRPQG PGVAVGATGGIGWRNYWVPYFAVGLPDGEVAYYVPPPILYTPAGPVIGAGPLAAPVPV SIQRGPLAPAPPPGLVPEPAPKRPGPAPIARKESARAAQLVVLGDRHFKAANVKRAEE RYQQAEKLDPSSATAQLRMAQVAIVRERYAEAAQRLRDAQTAQPGWIAATPDIQSLYG EPQEFARHLNRLESHLQAHPEDRDAWLVLGAEWYLSGRTARAADVFARIDDPHRKPDI ALAAFLEATRQH OJF2_RS06200 MPRLDRYYAAACQVDLPCPRHRDEIAGRVGHLLGMVDRAVVGYE PFFDVRLVVFPEFAHAAPVYETAEELADKLAVPIPNEHTDAYARKARERGIFIQTGTF LEVDPGWPGSVFNTTCLIGPEGLLSRYRKVNPWLPWEVHASPHDLPGYDEPLFPVVET EIGRLGAAICYDWLFPEAIRALALQGAEVLIRVSAYMDPWGATPPMDWWTLFNRARAA ENFAYVVAANQAASAANYPPFSWPGGSMIVDYDGRILAQADPGPGEKIVVGPIDLASL RAERARRRGHHLLSHIRAEAYTSLYAAPIHPPARRFP OJF2_RS06205 MSRLPGVAPPRRLAILLIMAFSGIACRSREEPAAGPPAAQRPQS QHAGSTEPAPRPAELKDEIPPAELDAVLAASYRGAGLMEQFEYAKASKEFREVRRRAP GWIPGSINLAIALLNMSGEEAEASKSAGGEAPKGNFDEALELLREVLDRSPDNPHAHF CTGIILQQQGLLPEAYKHFSRVTQIDPLDAAAWYWAASTLTDPANPQASVSPALAKEQ AALYQKALDLDPYLTQAIYKLSVVSRMAGDPNRQKALLELWNKINPDRPQPVPGPGNS AAKVYGEMGKYATVTGLPRPRGKDQAAPLPPRFDPARPLVVTLAAGDRWAKPEDFRGP AAVIGRARARFGAAVAAFDADGDGKADLYLAASVVGPKGLRDALLLNRGEAGFEDVTA RFGLPMDRASLGVAAADFDADRRVDLFLTGVGKNALLRNRDGSGFEDLGEALKPAGPP AVALQARWLDLDQDGDLDLFLVNHCAAELADKAFVPGQPPPPGIACTAYRNDGQPEAV PGSPQPAWAPMATAWDKGRVKSGLSVVLTPWPDAAAPSAGPAAYTGVAALDVDADRDL DLILAADGAPPVAMLNDRLGRFHAAQVELPSSLEGTSGLLVADLDQDGMSDLVAASAS GAVQALRNATERKTEAETKLRFEAFAANARSWNAAAAADLDLDGLPDVVGLEPSSGKA PGRTPSWARNEGTRLARVDWPVAAETPGAAGLAIADLAGDPLPDLLLARDGEPPALAL NRGNGHHWLSLELAGHWRVKPELMRTNSHGLGTRVLVEGQDTHVAHEHTTPETGLAQS IGPVVLGLGKRDAAELLHLRWPDGVMQCELNVAADQKRAIGENNRKTGSCPVLFTWDG SKFACLGDFLGGGGLGYLVAPGVYGQPDRDEAVAIGPSQLREEQGAYRLSITEPMDEI AYLDHVRLDVVDSPPGVTSTPDERFAPTGNRPTGELIAWRRAVEPEHATDLAGRDVAE ALRHFDRVTVDSFRKLEGWTGYAEEHGIVLDFGDRLSGFGPSDPLVLCLAGWVEYPYS QTNYAAATAGVSLRPPVIERRRGDGSWEVIEPDAGYPAGLPRLTTLDLTGKLTGPSCV LRIRTNMECYYDQAFIAVRDRRAEGSLRVSTREVARAELGHRGYSREVSPDGRLPLLY DYRYVDPAPLARLSGKLTRFGDVVPLLKADDDILCVIGPGDEARLEFSAEGLPPLEPG WTRSFVLRSFGYCKDADPSTALSDTVGPLPWKGMPPFPFGGGASRPADPAYEAYLRDY QTRPAGGGAGR OJF2_RS06210 MPRRGPNPSGSRPPRPEPATRRPGEAGRPLARDPAPDEATSAEA VGERLRRVALGLLVALVSCRAYWPSEPNLTQGAGAGLAWVLALLVAAGLAVAAALIGG RFRFRWSWGDAAVIALAALVGLSASRGLDRRPAINLAWEWGGVAIAYLLARNLPRTRA ESKAILGVLVASAVAVSAYGLYQAAVEMPSLKRDYLRDGARMLREAGVAADEPRQVKA FEDRLLGSNEVFSTFGLANSLAGYLIGPTTLALAMALAGLLDRKAAGSRWPAFGLAAI PLLSLLICLELTKSRSAWLGTAAALAALAWGLRGRVPRRLVWGAGLAGVLALAGLLGL GLATGRLDVQVLTQSTLSMRYRTQYWRGTWGVITEGAGSVGQALAAPTFWAGVGPGNF GHSYLLHKLPWSSEEIQDPHDLFLEAWATAGVWAFAFLVAALGFGLWNTLRPVRDDEL VSRETPGVPRDEGDGRAGPPASAAWLAWCGASGLLAVFLVGHFNLFQNDLLTRWLVLA ATWGLAAWSGSALWRRAPLPGLACGAAVLGMAINLLAAGGIGFASVSLEMWTILALGL NLREDRGCGRLRVADTRIPGLAFAVGWSALAGIFAGAILPFWRSEAAVAAADDALARQ PPQLERAEAAYESARLADMYSPRPWLGDAYLQLLIWQSRGAKPADQRWRKVPALLLKA ASPPRNPANWSLHYERARATRDLLGLVGSSLTPRELITLQGSVVEALRTASRLYPTNP LLHAELARSSAAIQMFQDAAAEAKEALRLDGLLASQPGKQLAAAFREELKSRLPEWEK KADQFPIGQQTPPAS OJF2_RS06215 MAHDTAILAAAGLTLAAAAFLLSAIFGLLARRYALRLGFLDRPG GHKGHKAPVPLGGGVAIWLSILLVIGAGGLCAFLARDFLPDALARHASGALGTTGELL RILGLATVIMAMGLIDDRVNLKWQIRLGIQVLCATLLAASGVRVTLFWPFTHPLLGGA VTVLWIVGLTNSFNMLDNMDGLAASVGLIAALLFGGAQAAVGSLFPPAVLLTVVGALA GFLVHNHAPARLYMGDAGSNFLGFLLGAMTVVGTYHVSAVDSPYGVLAPLLVMAVPLY DTTSVILIRLREGRSPFEGDQRHLSHRLVARGLTRPQAVWTIDLITLAGGLGALLLHR LDARGAVVVVAQTVSLLGVVAILELAQGRAEER OJF2_RS06220 MSPAEPLPRLPYVLLIAMTAVSFGGPFVMLAAVRGGPNAGWPPD RPVEWVAIAAVMILFLGLFAACVSLGAWHRPRPRPSPRSRD OJF2_RS06225 MRWSNALIPTLKESPADAVAPSHILLVRAGMIRQLGAGTYTYLP LGLRVIHKAAQIVREEMDAAGALELLMPALQPVELWRESGRFETFGDLLMKLTISGGA SMCLGPTHEEVITDLVRDLLNSYKQLPITLYQIQTKFRDEPRPRFGIVRTREFLMKDA YSFDADVAQLNASYDAMYEAYCRVFDRCGLPYVIVEAESGPIGGDASHEFMVPCSTGE DRVIQCPKCGYAANQERAEIGEGSGDAATRDASGPAYERVETPNKRTIREVCEFLKVE EAGSAKLLVFLGDGKPVAALIRGDHEANEAKVRRAFGVSTLVPADPATIQKATGAPMG FLGPVDIKIPLIIDRAVAAMPTVVVGGNELDVHLKGVVPGRDFPLEKVHDLRNAEAGD PCPRCGTAMENRAGLEIGHVFKLGTKYSKAMGATYLDEKGTEIPLIMGCYGIGINRIM AAAVEAGHDANGIIWPLAIAPYTVVIAPLQVTSAPVMEATSALEKALEAAGVDVLVDD RDLRPGVKFKDVDLIGIPLRVVIGDRGVKEGTIEVKWRCEGESKNIPLANAAEAVLAE LAAVRSRQAAACKEKVAARAGAKPA OJF2_RS06230 MDKHSHDALAHLVHSQRVASLGTLVDGAPYISLVPFAPAFGPAA FDIHVSRLARHTEGLLAFRRVGLLIAEPDRQTRNPQAIPRLSVQAEAVPLSPGDPEYK AARAGYLERFPAAAMNFDLGDFLLVRLLPHAARFVGGFGRIFDLKAEDLETLAATPPE OJF2_RS06235 MRVATGPVARMAMGAALAASLAASATAPAQQPQAKEGASIVVDG VVREVFRSPRQSRVDYIVLLEVNRAEYGRAPADRRRVLAPAPGDQVYVHLFQAIGNAG GGYNAIPEERSTIRAYLYPRSESGWVGAFPDWFDQVGGPPSGRGQNDPEPPAANPAPA PAPSSPSSPAPSAPTPDAEEPSGGILQRLGIRAEQVKVSGRLVLKILDVVPNSPAANA GFEKGDAIVGVNGDFITDLDHLGSSLAKGGPTATFTALNVRNGQTVPVKVDVGEILAQ DRSRKPEPAPEPAPAARRSLGVKAEKVRAGIFATALRVTAVEDGSPAAKAGIEPGDVI TAADDRKTSDVGDLEAAVQKSGPVLNLKVLDTRTRREVPVQVHMDGDVASGPRTPPVP GGPVNPIPGPASRPAPGGGASVRSLGIVVEAGTADLLPVVKVAQVTPGSPAAKAGIEP GDSIVGINDKVVFAPDLFEEALRTAGNSFVLNVLDVKTGRKTPVKIDLP OJF2_RS06240 MSLAIREPLSLPEAPAGGPESALRHLRWWQVRNILRGLAHGSRL RISMILICSAIFWAGLFGLFLGGFEFIGMYVDLVNTIIEYLFSLFFLSLLVMLLFSNG IIVYTTLFHSREAAYLLTTPAPADRVFAYKFAEAIAFSSWAFFLLGSPLLVAYGLTVK APASFYLVFIPFLLCFVMIPGSLGAVAAIVVANVFPHRQRAVLVTAVAAILVVGGRMG FRLWQTPHDPLTADWLGGVLNRLAFCQNPLLPSRWMSAGLLAAARGDFRQAGYELMYL SANAGLSYLVAAVLARDLYRRGYSRVQGGRSSRRRRGLGHLDGALHRAFFFLPRPIRL LILKDLRTFLRDPAQWSQFLIFFGLLAFYFLNIPRLGYAVQSPYWRNLVSFLNLSVTA LILSTFTSRFIFPLLSLEGRNFWVLGLLPLRREEILWGKFVFSAGISLPATEALIVLS DLMLRISPWMIALHVGMIAVLCLGLSGISVGLGARLPNLRETDPSKIAAGFGGTLNLL VSLVFIFAIVTALALPCHLYYAGQERADTASFVLSHAGLRRWLTVAITGSLVVGLIGT VLPLRIGIRAFKRMEF OJF2_RS06245 MIELAGVTKLFGSKRAVDGLDMTVRAGELYAFLGPNGAGKTTTI KMICGLLKPNSGTVLVGGHPASSPEARRLLGYVPDQPYLYDKLTGREFLRFVVEMYGI ARSLAGRRIDELIDTFEMRDFIDDLCENYSQGMKQRVVFASALVHSPQVLVVDEPLVG LDPRSARIVKNMFVSQARGGAAVLMSIHLLAIAEELADTIGIVDRGRMLTVGTLAQLR ERAQHDGSLEDLFLKLTGNDILAGAAQGEPAAGVGGA OJF2_RS06250 MPSRFLSLLLLVYWCIAAFCLLTWEILPELSLGYAPDLRAIAAA GGDDRPVTWKIGAVDDPKAPGQSRPVGKAVTSSRRLQDGWFELESRVELDAGNILRAT PFGGRASVRVRIESVYRVDPSGNLKSFDIKVYPRDLKEDLFTLAGRLKGTDMEVVGKG PLPILNDTFHFHYEPRSVVQDALGPLDRLPGLHVGQRWDSLSLNPFTGQTEKLRVEVL RRTLIHWGGGPVSAFEVEQRANAMTARTWVRTDGLILRQEVPFPLVHLVLERVPEAAA EGQSQEGAR OJF2_RS06255 MCGIVGYTGLHQASPILVSGLRRLEYRGYDSAGVAAVEDDRLEV RKRAGRVRALEELLDEMPLHARCGISHTRWATHGPASDRNAHPHLGGRGGRMTVALVH NGVIENHVALRRELEAEGFLFQSQTDTEVIAHLVARELEKADDPFLAVRRALPRLEGT YGLGVVCAGHPGVVVGARFGSPLVVGVGDSEHLLASDAVAIAPHTARVAYLQDGEVVR LTPQDFQIQHLEKGPITPRIDRIDWKPDAVELGGHAHYMLKEIREQPETVTDACRGRL IRAEGTAHFGGLNLSPRQLRRVRRVVFAACGTSWHAAMVGEYLIERLADLPVEVEYAS EFRYRNAPLDDRTLVFVLSQSGETADTLGALREARRRGHPTLAIVNTVGSTIAREADG GIYLHAGPEVGVASTKAFSAQVVVLAMLALHLGRLRHLSFPDGMAVLEAIESVPALMG EVLKTEAKIDEAAALMTQARNALYLGRDIHFPVALEGALKLKEISYLHAEGYPTAEMK HGPIALVDRETPCVFVAPKGSLHAKTLSNVEEVKARHGTIIGVGTEGDEGLASLSDIF LPIPEAPEVIQPLLAVVPLQLLAYHVARRRGCDIDKPRNLAKSVTVE OJF2_RS06260 MTTLSKTFVAFGLAAFLSVPVMAQPPGGRGMGMGGGGLSMLLSN KSVQEELKLTEDQAEKAKDLAAKTREKIEKATEGLEGPERGAKMREMAKELDDDAKAA MKDVLKPEQIKRLHQIQHQVQGYMAFTNEEVQKKLELTDAQKDEIKQIGEESREKMRE IFQEAQGDREAMMTKMREFRKETLAKVEAKLTDAQKSTWKEMTGEPFEIKMEGRGGR OJF2_RS06265 MPPQADVLGTMVPVGGGDPIPLLKPELLVGRRPGCDIRLDFENV SGKHCTLLLVNGVWTVRDMGSTNGTSVNGARLSSPHALMPEDELGIADHLYTIDYVPS GPEAFIATHKVLDQDVQEDRKRHSLMELAGLDTDGVSAARAPRPKKAPTLIERVSADE AEFDDAVPEHFKSPAKPKPKKKEEDDDFLKLIEEEVKKPE OJF2_RS06270 MRRFRPSTRSLMTAVACSAPVFLVARGVCDRDDQGLLIWFLGPA FFAGLAAPALLSLMTPATSLHLLRAVTWMSLALLPLVGVSCAREGDFPLAAFFLFSSI VWLELTFLGGYRLSRSWGRQRRREAERLARRREP OJF2_RS06275 MSSGEHRGWTRRQVLGAGVAAAGLLTTGPARLLGGALREEEAGY GPFKVGLQSYSLRGYESDGKPDRAKALAVTRDLGLHHWEAFPAHVPVTEDEAAIKAMK SEFEAAGVHLGGYGVVALGKDERADRRIFEFAKAMGIAYISADPDPEGFALVDKLVDE YGIPVGIHNHGPGHRFALIDTIARAIKDHSPKIGCCIDTGHFLRSREDPVRAVEVFGD RIYGVHLKDVKDAETFTVLGRGDLRTDALLKALAGRKYSYNLALEYEEKPEDPVEDIK ACLAALKKSVATLAAR OJF2_RS06280 MASPPSRSPDAPARNSRVLIVDDNKDLALSLARLLSILGYEVRT EFDGARGIEAAREFQPRAILLDIGLPRIDGYQVARTLRDEGLNMLIIAISGYGQEEDR RRSQQAGMDHHVTKPVDVKTIASLIGDPA OJF2_RS06285 MTESRDDTLISRDGMRLLVRSWPVAAPRGVVVVAHGLGEHGGAY APLAEAVGIPLGLEFAALDFRGHGRSPGRRGVVRRYEDFVGDLHAAVEWVRARRPRLP IFVLGHSNGGQIALRYALESPDRIAGVVASNPFIRIAMPVPPGKLRLGRLLLSVAPWL TLRADTPIDGMTRDPAMRDMYRTDTLRHNRISAPLFFGMVEGGEMLMERAGAIRSPLL MIVGGQDPVVSPAATRDLFDRVGAADKTMLLYPKMLHEPFNELGRAQVFQDVARWIEP RLGDGPR OJF2_RS06290 MTTHRPTYEEFERVRGTARCVPVYRQLTGDSLTPVSAFGRIERA APSFLFESVIGGEKVGRFSFLGTEPFLRFEARRDQVVVEVPGDPRATRRYASADPLAD LQALVDRYRAVHIPGLPRFTGGAVGYAAYDAVRYTEHLPNAPEDDRNLPDLSFSFFDR MVIFDHIRKTVLVVAQAHLEPGADPLAAYDLARRRVDELVERLSSPAAELPLRDVDTE GPTSLRPRSNLTREQYEDVVRHCQEYIKAGDIFQVVPSQRFQLETVASPFNIYRVLRV VNPSPFLFYLTFGEYSLIGSSPEILVRVEDGEVTIRPLAGTRRRGRDEHEDLALAEEL LADPKERAEHIMLVDLARNDVGQVADYKTVSLSDVMKVERYSHVMHITSNVTGKLRPG KTAFDALRAGLPAGTVSGAPKVRAMEIIDEVEPTRRGPYAGAVGYIDFTGNMDTCIAL RTLVLQGKTAYIQAGAGIVYDSHPPTEYEETVSKARVLLKTIEIAESQL OJF2_RS06295 MPESEGRSQEYPNLLEDGQEEGRFLRSRRWLLDAGVQDSIGLLR GLRGEAARLAEMEGRPVFSLLAVLRDPHPQHLRELILSCRCQSYQDWELILVDDGSRD RGHMEMVEEWAARDPRVRPIAREIPGGDSHARNVAAAAATGDFLSVVDADGILHPMAL GILARHLREDPAVNLVFTNELELERDSDRPVAHLLKPPFDAFTLLRIPYLGRLVAMRR DLVLQVADGGPIFRQELDGIEEHDLWLRLALSGRVVARHVPLYAYSRREGSAEAAAAR HAALPEKRAALLHRHVPRAYPGATWTSRPADGPSPLVASSVWITGLPGRPRPGLLIVV PFKDQADTTLACLDAIERQVHSLDIRVVLVDNNSAEPETGTKLAAWLGRPRGGRYEVL EDRGAFNFARLNNAAVARFGGDRDLILFLNNDVELSTPQALQVMAMQLLACPDAGFVG IKLNYPGGRGVQHGGVRFVEHMLGSGYPQLVHANSPQEFVDADRVALCVTFACAMTRR ETFESLGGLEERFVPNGFGDVDISLRALAAGYRHYYLGSLEGVHHESLSRGSSNEDVE FSTLHERHGRVIAEWRMRHLFRAARHPWPVASPLQGAGVEAVHPAGMPLRYRIADRAA NALRRLLGPGYGPCRTAAVRAVKLARRFRSLAAIFSALRAAIKPIPLLGPAAAWGIRS GRRAIRSARIAKAVAGHVLREPRAVKRLGGALSAGGVEGLLRELAEQLPELPLQPYAA ALQFRKSRPTPERLASLRSRDWPADAPKFSVIVPVYNTREAWLREMLGSVLGQTYPHW ELICVDDASPSPRVREVLEEFAASDPRIVPILERRNRGVAAATNRGLEAASGDYVAFL DHDDSLEPHALQAFAEAVLRDRPDMLYSDEAVTGERLDKVLRVDLRPDFSYDHYLGHP YFVHLIAARTSIVRGVGGLDEGLAISQDVDLNLRLIEACETVCHVPEVLYRWRTHVGS LGHRKMDECTEVTRGVLERHFRRTGQRAEFEDRIHFNHRDVRFLPPQGLRVAVLVTPS GIPGRTEECLAGLGRSLDRALADVVVLDPRDGVGLARRLNEAVGGLEGAYTHLLFLDD AIRAGDRGWLEHMLGFASRRDVGVVGALMLNDRRRVVHSGLAIRRDGRIVASHRGSPF RHWIAGRNPGRIGELLASHDVSAVAGACLLTGVGVFEGLGGFDEGYNAGRHDADYCLR AAESGYKVVLDAYAVHRLADARAEDAILRGSPDDDARFRERHGGRIERGDPFDRAADE GSARPPRTTRIDLPSSPKGARPARVELHGPDESKRRPHDPPAGASRRGASDLDRERYP WGMKAGNPE OJF2_RS06300 MKSPSHLSASSFWTPEHIVYPYPWVGHLPFAFWVVEALRPRCLV ELGTHSGNSYFGFCQAVERLSLPTRCHAVDTWRGEEHAGFYGDEVFEAVAGKNLRRYD RFSTLHRCLFDEAIDRFPDGSIDLLHIDGLHTYEAVRHDFEAWLPKLSRSGVVLFHDT TVRERGFGVYRLLEELAARFPSFEFTHSYGLGVVAVGDVPEGIRDLLEARSDPALVEE IRACYSRLGEAVLEQASRRDAEALAAERLGEIGRIRSLHEGVQHRLDEVLEGLRVLGA ADDAYRVLGAERDRLLARLDEAAEENRRYAAELVRLAAEKSRIAAERELLREKADRAT REGQAAAEEYRAAIEGHRAAVEGHRAAAEAQRAAAEENRRLAEIVRAQEAAILEIHRS LGWSLLDRARAARQAVIGRNGRLARGWSAMTRFLHPGLG OJF2_RS06305 MPSTIRFLAPDEACSYLPGRMARMEYEFVASMTADRYAGLMIAG WRRFGSVLFRPRCRHCDACRSLRVDAQRFRPNRSQSRNRRRNEGVMALEIGPPRVTPE RLDLYQRFHDHRAGTRGWREREVDPAAYRESFVSNPIPTEEWAYRIDGRLVGLGLVDA LPVGLSAIYFVHDPDESRRAPGTWNVLSLLEETRRRGLPHLYLGYWVADCLSLAYKAN FRPHEVLGPDGAWRPAGP OJF2_RS06310 MNPWSPAYRDDPELLGGWLRALGIRDPERARRDFDDLVAHAGPA GLKQIDRIAAQLLEFLPRSPEPAMALANLERFVAARPDPLPMLRKLADSPRTTEVLLQ VFSTSQHFSELFIRDPSLIDWLRKGAGRRDRRSLIDDLWEALGHAATDRDRALAIRRF RLRESLRIGYNDIIRGFPLELITLDLSSLADACTEAAVRLARASTEDRYGVPRRKDGS PARFVVLGLGKLGGQELNYSSDIDLIFLYDEDGRTDGPRVVSNAEFFARMGTEVVRIL AEHTELGVAYRVDMRLRPDGEQGALACSFDATMGYYVTRGRTWERQALIKCRPVAGDL VLGGNFLEAITPFIYRRYLGAAEISEIKALKRRIEQRTVSAGRDRFEVKTGHGGIRDV EFVVQFLQLLHGGEYPEVRGANTLTAIHKLEQVGSLTADERSIMDDTYRFLRRLEHRL QILFDRQTHEMPRSLDALRTLAIRMGYTPAAPFEDWGDPARRFFADYRSKTELNRRIL NHILHDAFLDEGDDPAVDPVVDLVLDPDPGEDHIAEVLGRYPFRDRATAYQNLMALAR EDFPFLSHARCRHFLAAIAPRLLQAVGRTADPDMTLTNLEKVSASLGAKAILWELFNF NPPSLKLYVDLCATSQFLSQILISNPGMIDDLMDSLVVDRALPGAAVKAELAELTAGA TDLAPILWSFRNKEWIRIGTRDILGREPIRQVTRELSEAAEAIVGQVARDQWQRRVAR HGLPTRAGDGRRDRWAILGLGKLGGRELNYHSDLDLVFLHEEDGRTAGPDRVISNDQL VAEVAQRVLKALAGDAATGPLYKVDARLRPYGASGPLVVTLARFGDYFRDAAQPWEWL ALTRARVIHATGGFGRAVGDTLRGLFARRFDRPALAAEVIAMRRKLERSCGRGDMKRG IGGLVDVEFVVQFLMLVHAADQPDLVRPNVWEALDALRRAGILPAERHADLRDAYDFL RTVEGRLRLITNRPGADLPDDPVELHGLARRLGYDRPDAQASVSALLADAARHTLRIR AAFEAIIGPVPPGD OJF2_RS06315 MTSQLRELVLCWMAWGGIDEGLAPGAEALEAGFGGVESPTRPGA EPRAIAAWEHRHGYRLPSGLRAWLMLSNGLYRGGPLLHPISAIGPMVVFSRMEDLLVQ PESWFEIGNPNVETVCIDLAYRWPGGGFPIFTSGDPASGSPPRVVARSFEEWFLELLR RGGREYWLDADFAGLGDPWQSHRRFTPPPELPARLAPFAGRAASFVLARADEREAAES LGLSPDDVEILFRHLQHVIPDMLPK OJF2_RS06320 MADDATTIPDGDARGRKKVYIETVGCQMNLLDSELVIARLRDEG YELTTDIDQADAILYNTCSVRQHAEDKIYSALGRIKNVKKRKPGVSIGVLGCMAQKDQ GSILKRAPHVDVVIGPGQLGRVPQLLEEAKREGKPQLAVSLDRRAGTRETITASFEGY DPDREPSMRPSPFQAFVRVMMGCDKFCTYCIVPSVRGPEQSRPPGAILAEARLLAAQG VKEITLLGQTVNSYKHREGDGRTTRLSDLLYAIHDIPGVERIKFITSFPNDMTDDLLQ AVRDLPRASRYIHVPAQSGCDEVLRRMKRMYSASFYEEMLARMRETIPGVAVSSDFIV GFCGESEESFERTVGLVERARFKNSFIFKYSRRQGTKADALFPDDVPEAVKKRRNNDL LAVQTAISLEDNRRLIGETAEVLVEGRSRSTTRREGWDGTDQLTGRTACDRIVVFEGP EELVGRFIRVRIEDASAVTLFGRVPAADLAGVPA OJF2_RS06325 MPRFALRKRDLYREAVRATWLGLAVNVALGIAKLAGGLVAQSFV LISDAVNSLGDVFTSLAVLLALRVAQKPADAEHPYGHTRAEAIAGSNVAVLVLVSALL VGWEALRVRPGHAELPPLWALAIAAANVAIKEGLYQYKSRVGRRSGSSAVIANAWDHR ADALSALAVLLGLAAIRIGGPRFLILDTISALFVVSVIVTTAARLLWASAQELMDAQA DPSLVAKVRAAAEGVDGVRRVDKLRARKSGLEYLVDIHIQVDATLTVHEGHRISHLVK DRLLEGFGSLRDVLVHLEPYPHPHEAEHRDSGET OJF2_RS06330 MIETNDLTKMYGDLYALNRLNLTLNQGDVYGFIGPNGAGKTTTM RILATLLNPSWGEATVCGYSIYTGSKEIRRVIGYMPDFFGVYDDMKVIEYLEFFASAY RIKGAARRKICEEVLELVDLTYKRDALVTSLSRGMTQRLGLARTLLHDPQVLLLDEPA SGLDPRARIEMRALLKELRAMGKTILVSSHILPELADICNKIGIIEQGKLLINDEVSK VMKQVRSDIVLNIAVSDRLTDAANLLEGQPEVETVEDKNGVLVVKLHEGVHQYGFLAN RLVNEGFELTLFKEDEINLETAFMHLTKGITS OJF2_RS06335 MSLPSSTSPGRDGLPVRTEWPGRHSPSRILLGALAALLAAAGSP RPATAAPPVEIQSVRVGLGGENSFKVGCWTPIRIQLHAGASRFSGQVELLVPDDDGIL TSYRTPAEVAAGSTATVAAYARPGSREFELKIRLLDAQGARLLEVNEEQVLPSPPKAL LPFESAILTLGQPMGVDQVPLLPGFVGSSGGAAGATPEVVIQRIEAPDVSLPGRWYGY DSARAIVVDTNDREGLKALDGLRGEALVDWVRHGGHLVVSVGSNWQAVRDSVLGPVLP AVPNGQQRLPSLDAIEVFAASTRPLAADPSTAVMATKLEGADRRRGKVLAFAGNLHLI VRGAYGFGRVTLIGLDVDQKLFGDWADRGLFWARALDLHRDNDGGEMASPAMGGGRIY QSGVSDLSSQLRIGLEQFPGVKLVPFGWVAFFIFLYILLIGPGDYLFLRKVVRRMELT WITFPLIVLTVSLVAYFAAYRLKGNDLRVNKVDVIDADQEAGLLRGRTIATVFSPQNR DYGVGFVPVAPDREGDVAADAGPAPAEGPLRPPSGTELVTSWFSVPEAQFGGIGGGNR HFNLVGGTYAYAPAGSLERLEGVRIPIWSTRSVTARWFGRTGALVEANLRPVGTDRLA GTITNRLGYPLTDALLAFGKQVYLLDELAPGATVKVELSSDRNLAGELRSRAPSYSAD QYRNARTSINRGNLLLAAMFHESESGRAGGDRLSNVLLNELDLTGQLALDRPMLVARI GRPGAQLALENVPSRPKIDQTTLLRIILPLGGKKPAPGAADVGAADGGAAVADRR OJF2_RS06340 MSEGHRGEITLSGLQEIIRETYGAKDAARGDAATFLWLTEEFGE LATALRSGTREELAAEMADVLAWLATLANIRGIDLDAAVAAKYGRGCSYCSSIPCICD PAEKP OJF2_RS06345 MDRPYALGDLVRFKLTTGQFADGIIVHKIVEKRQPTIYLVEAAD RRRAFRFEAELSLVVEAEARQAAGVAEGFEPILAAPVFASRGADD OJF2_RS06350 MNLPEVACVRQTVPQPELADPPGTVRECILESRIRDRIPAGGSV AVGVGSRGIAGIAAMARAAVDALKEMGFRPFIVAAMGSHGGATADGQRALLAGYGVTE EAMGVPVKTDMDSVILGTNPVGLPIHFDRNAHQADGIVLLNRVKPHTDFRATYESGVL KMMVIGLGKREGATQVHRLGLRGMQQVLPAVGKFLVENTKFALGLAILENARDRTAEV VAVEPEALLETEPGLLERARGLMGRLPFDQIDVLIVGELGKNYSGAGMDPNVIGRLMV ETQPDFDRPAVTRLCVLDVSDESHGNIVGIGFADLATERLLAKTDADPFRINVLTSCF LERARIPIALPTDRQVIEAAVETCWRLDPQAARLVVIPNTLELETLWASRAFEDEIRA NPHLERLGEYRPLPLGPEGNLDQELMFPHSTRSRRAATGERAEAASRA OJF2_RS06355 MTTRGRGKYRILALDVDGTLLDADGRLRPTTAEAVARASAAGIR PVLCTGRRYRRAREIADALGLDTPIVCNSGAVVKDPRDHATLWRADMDGPLVEAVIGL FREHGLDTVAFTDRRPDRADFVIPRYPTGLPHFDEYVARNHAHAEVDAAWRSGAGEPE PVFHLCAVGTRAEMSRLERAVHARVPEQVQTFVQRSPRYVGTMCEVLRRDANKWTAVL HLANLWGVDRLEICAVGDDVNDVPMIRHAGLGVAMGHAPEAVRAAAAFVTGDHHQDGV AMLVNDVLLAS OJF2_RS06360 MSGDPSKAEAKTGSWVRAGDGTGDRTIEENWLFRLRKERFRSRQ SGKEHDFYVIHLADAVHVVAITPEDEVLVVRQFRAGSGRDSLEIPGGLVDPGEDPREA GARELAEETGYTGDPPELLSTVWSNPSLVTSRIHTVVIRNARRTVDPDPDENEELVVD RVPAAELPAMIVGGKIDHALVVAGLLWWLGTRTPGILPGPSGARTS OJF2_RS06365 MRSRSCLAAMAVALSCATSLADGPPPARRFQVVLARPDGVMATG INGKGDTVGFLWVESKEHQGVLDQSPFYCTGKTVTAIPPLQGYTAVFPYAVSDGGTVV GRVSKPSLPGQVTPLRNQAFVWDAAGGIRGLGTLEGDSASLATDISRDGRRIAGFSIG DNRVRACYWDRRDDGWKVMRLAQRANLGSNVVVMSDDGKVVAAVDGQTACRWTQRSEN EWKEELITPAVSLIPRGVNNEGTVVGVRFTPDGLTHAVVWTRDGGAKVLDLPEGYVRA EANAVNNRGVVVGMIDGPHGSKVAPRAFAYEAGNLRIIEEGGPDFSSATDINDRDQVT GVLDVEEDEEKPGFEAKPGSAKPARPPAGKAESPDKKAEDSGGSSPRGPR OJF2_RS06370 MKRLICTLTAMGLLVFAGALIPTSGAADGPEPIGKIMSKLHKGK KAPMAVLKTQLKSASPEWPVVQKEAETYAKYSADMPKNDPPKGEASSWAKLAKAYAGN AKALEEAAKKEDLAASKAAFGKIGSSCKECHDKHKED OJF2_RS06375 MQFPRISERASRFTESVIRGMSIEAAKYDAVNLAQGMPDFPAPR EIKDAACRAIQDDINQYAITWGARELRQAIAEHAAWHLGLQVDPEAEITVTCGSTEAM LVALLSLINPGDEVILSQPFYENYWPDCVLAGATPRFVPVRPPHWKFDPDELAAAFND RTKAIVLCNPNNPTGAVFTREDLETIAALCRKWDVLAITDEIYEHILYDGRTHTAIAA LDGMRERSVTISGMSKTYGVTGWRIGTITASPDLTRSFRQIHDFVSIGAAAPLQQAGA VAYRMPRSYYEGLAADYQARRDRLAKVLWEVGFAFDPPEGAYYIMAGIDAFGVEDDVA FARHLVRDLGVATVPGSSFFRERSLGRRYVRFCFCKKDETLDLAAERLRALRVIA OJF2_RS06380 MPASPREPADAFLARHGAWRPGHPLPRIALAVTALAGLGTWGIG IRSMAYDHDEVTKAHSIWMAGRGLMPYVDFLDSHPPYFRLLTPLLGDATIDPVAFLER LRVFSLAGNLLFLCGIASVGLISTRPGREADGNGNGDQGGISRSRGIDRRSWGLLGLL AIVLHPTILTDLVEFRTDGWAYALIAWSLFRFLRRPDCAARYVEFGLVSTAAGLLLCP KLAILPPMVVGRYLVASRGAFRSRLAHAAGYAAGIAAAFGLSAAYLLSQGIPLRRAYD MVFAFNAIHNAHAGYPSRLRNSIFEDTLPFAIFAAGIVAWAWCAAARRGKDGGAAVYP SVLAWLILQAALVAYPFKQYYAPWFLVGSMFAFPLGGVARAIPRRAATPIFLVASAAL LFQTIGLARSWTAADEAGAQGRLIRWMGRVSIAGDRVAAAAPLHPIDRPDAFTLWFST FDPSGFDAEQVLRRIPALRELAEPARYREELERNPPALVVISGDWRVAPRAAGQQAAI TDFFRRHPYHAVRVGNARFALRPDRYERARAGGLLSETH OJF2_RS06385 MNRSGSRRWHAAAASALLAAWCAFWFADTVADPDLWGHVRFGQD LLRTGSILQQDIYSYRSEGQPWVNHEWLAEAAFASAYDHLGARGLIVGKLAIALAIVA ACDVHLRRKGLGAFPRAALLIAASIPFRMGLGTVRPQAFTYLGYLALLLILEGEGGRP TSWRGWLLPPLLAAWANLHGGVLAGVAAVTLWIGVRLVRVVRQQPTRAARLRAAWPLA ALALACGVAPALNPWGFGLVRFLLRTATVPRPEITEWSPLVLTSLPGVIVLGLLATVL VSVAESRRRPLPESLLVLAVSAVLPMVSNRHYPLFALAMIVLGGGSIAKMWDRATSSL SPGRGGGGPVAAAGLAAALILAALVPGRLRCVRLDPYFFSFPARAVEYLRLGGADGNM AVPFDWGEYVLWQLGPKVKVSMDGRRETLYSDEAYRQSRDFERGTGAWDALLRTGPPT DIVLTPLGSPTMNLLARTDGWTALYRDRCCVVFVRKGYPGLDRLTKTPVPGLPDDGDG LCFPGPADQ OJF2_RS06390 MASFCLALMLTTEPYLVIVWDEGYTLGREERIRQWFAAMGRPAS YASGWRPPYEELVPPNRLIAPRPEEMDSRAKLLRPPAIDWFWPFAREEPDGHPPVYAL VGLAGDILTPWREPLPKARLGPMLVFSLTCGAIFAFLRRRFGSWAAAAAAGAWMAQPH LFALAHYATYDGLLTSFWTLACLSFVKAIEVEPGRPGERPRPGWILAFAALIALAMGT KFTGWLLPVPFLAWSAVARSRGGALTLLAGCVLAIPLLVLLVPPWWHDPILGVDRFLR SNLTRAQTTPLKTLFLGRIYDTPGESLPWYNTIVWTVLATPAGFLILAVAGVAWTLAR ERRDAVGTLFVIHWAFLLALRALPHTPGHDGVRQFLPAFGILAVLVGLGAGWVVGRLG WVGGLLIVVAVVEGGVTVGVMIPVPLSYFNPVFGGLPGAVRMGMEPTYYWDALQPEVL DWLNEHSPPGRKVRFARYPTSWLYLRRTGGLRPGILPSDPGDFAWYVVQNRPGDLSPL DRHLIAHAAPAKVYGRFGVPLIWVFPYDEVLKWQAIGEGRATAEGGAGSGGG OJF2_RS06395 MPRRRTGFTLIELLVVIAIIAVLIALLLPAVQSAREAARRAQCI NNLKQLGLAAHNYLSQNNVLPQHTTFPAGQIQSWGWSYSWAMAVAPFLEQGTMFNNFN FSAGMFGNSATQSAPGGMTLQHGNDTIVSMQLAALICPSDSVSEGPQPGYGRSNYVGN MGGPGQMGMFTGTIVSGSIGWGPDTGNAGVVGLQKITDGTSNTALFSERLVGLRNAAP AQVTRASNNFKRATFDAPTPSVNAGQGTGLAGAQAFVQACQGLPGTTAARNTWGSGSY WFAGYPLHVVVNDYLHAGPPNGPACNNTQGSFVSLSWLSFVQPHGSAPPTSNHPGGVN ICFSDGSVKFIKDTVGLQPWWALGTRSGGEVISADSY OJF2_RS06400 MKRYRLPLCTVFVASLMAGCGDSGPTSTANPGGGLVQQTPEFKE YMKTAGAKMAGRGNPNKAAAAPAKKK OJF2_RS06405 MILPSMALGLCGGFLEVGLIVLRKYSWNESSSFEAGSDFAWTVP LVHMGTLSLVGLAIAIAGRILPGRISPRAASWLVVTLALWLALLRLPLYGAATFLLAA GLARPSGLWLARMVFRSPRRTVLALSVLMGLLVSLAAITSVRWAFRERTTRAALPLAP SSARNVLLIVWDTVRASSLSAYGYPRETTPNLQRWARRGVRFDRAAVTAPWTFPSHAS FLTGEWPFRINSQWEHHLDPSVPTLSAALASRGYETAGFAANTSFCSYENGLNRGFLH YEDYPLTPTSALGRTAAGNWLLRQVAYRDDYFEQKWIERQSRDGARLNEAFLRWLAGR RVDRPFFAFLNYYDAHDPYIPPRAFAGRFGTRPQGPADEEFLMQFARPTRNFGLREAV LARDCYDDCIASLDDQLGRLLGELDRLGTLANTTVIVTSDHGEAFGEHGRFGHGGSVY MEEVWVPLVIVSPDAPAGRTIAEPVSLRDLPATAVDLAGLREELRFPGHSLATCWGGE RSVPGGPVSYAFSELVYDPKAVELDDARKSRRLKFSMMAGGHHYVRDGLGGEQLFEVG RDPQETFDRAAEADSQPSLAACRGLLLKQLDAERGAGIIEDAYLRRFRDSLRSAAMPG RPGP OJF2_RS39035 MLLAYAGPETMLPVASVLAGAIGVVLMFGRNALAFGRKAASKFW PRAGRKPGTPAG OJF2_RS06410 MIESCTPVAEPDAVAKTPPTRPDAPVAPGPLGLVALAAWCGLLA GSLEALAFAVRKRFFDVNQFLWTTRHYGWLLPVANLVVFLGLGLMLAAAVLLLGGRIR WWAARILGTATLLPAFWAASPRIYGVAGVLLAAGCAARLVPMAERREARFRRVVGMTF PVLILIPVAVGTTIWQRDARGGLQASASPSPPPGTPNVLLIVLDTVAAEHLSVYGYPR RTSPTLEELAARGIRFDRAVATASWTLPSHASLFTGRWPHELSAGWNTPLDGTHPTLA EALGRRGFATAGFVGNRWYCSRDSGLARGFAVYHDDSFPRLTALGRSELVGRPMQGLQ AASRLLEDWLGVSALSPAVDALARLLIVNRRQAADIHRELVAWLESRPQPDRPFFAFL NLYDAHYPYEIPEPGIHRFRSRARAERDANLLRDWISLVKRAPTAEQVELGRDFYDDC VADLDERLGRLLDDLARRSVLDRTWLIVTADHGESFGEQPGVFWHGTSLYQAQVHVPL IIVPPGGLSEPLTVPAAVSLRDLPATILDVLGRAPGGDTLPGESLARLWGPEGTRRGD PTPAVSRALSEVVPLDAFGADPSEWVHAPRWPIVGLTEAGWSYIRREKEGTEALHDLA KDPRQRDDLAADPSLRPRLEEMHRDADRLTEGPLSPSRFRP OJF2_RS06415 MEGRRVRRWQLIPVAIALAIGLCGWRAVDVRRYRSGLAEAQADL DGGRFALAANKLTALVTWKPGSDEAWYLLGTCEAARGRDEAAEAAWSHIAPGSPFAPR AIQGRLQIEAGAGRLARAEDLVLRALADPRIDGASLPILLSPIYCQEGRLEETLGLLE ARWKALDAKGEGASEAAVNLVRAHAGLRLNPVPRQAIRDAMDQAAALAPGDDRIWLGR ANLAIDERALDEARRRLEDCLRRRPDDVPVWRSWLRWAMASGRSDEVDRALGRLPAAG VPPAELRRIVAWRVGRLADPTRERRAIDRLLEVDPADTAAMERLAALTATMGDAAVAA EIRDRRAEVERRQRRYRSLEARGQPLRDAAEMARLAVELGEWFEARGFLTLAEAVGDG RNETLAVAASLRSAPRPMRAAGSLAAAVAAEEAAGHGVR OJF2_RS06420 MTRESTTSRTPPHGPRRARGRGRRVLALFGLLCVAAAIAAGLLP GRRSRPAASGGAAHLDLLRAALDQFHARDYDGAIATLDRRGGLVKPDALDRMLRARIA RERGRPEEAIAELGRIPDSSAVGAKARLFEGQIEMGLHRARLAERAFLRSLELEPRQV QPYRELISIYTMQQRRKDCDALFRDLVGFAELDHILSYAWSQNECGLLDPNEAVGILR ECVAADPEDRWSRLALATTYLWTHAHDELKDTLAPLPADDPDARAIRVESAMDRGEVE EAERLAGGPPSGSPRLELARAKLSLGRADPRASADAFRRVLEAEPSNREATRCLGLLL HRLGDPEGEAYLKAAALRDELRRTIHEAIHGDVTDPRLYVRLGTLCESLGLAGQARAW YRLVLGKEPANAEARRAVERLAGEP OJF2_RS06425 MYTREQAFRIASALMRSGEHPRAVQPEMPPPSFSVALSREAGSG GLLIAREVGRRLNWPVYDHELLAELARELNVHVDSLEAVDERPQNWLVECLEAFAAGA NVTEANYFRVLVRMLLTLGARGECIIVGRGATVVLPPESTLRVRVVASRADRIALIRR ERGLDDAGAARYVDATDQERARFLRSHFRKDLADALGYDLILNASRFSVEECAEMVIE GLQRMQARHASPKRGHAPQPAPATSAG OJF2_RS06430 MKILAVHPSSLMYTKIFLRLEPLGIELIAAELRRAGHDVRLIDL QVEDHGAYFRLIREWRPHAVSFSCNYLANVPEVIDLARETRALLPDATIFVGGHSASF IAADLIEHAGGAIDCVLKGEAEASVAALVEAAADRDPRGLLEVPGAVTAAGSGPRPGF SGDLDSLRPARDLLRHRRKYFIGVLDPCASIEFSRGCPWDCSFCSAWTFYGRSYRVKS AEAAADELASIREPGLFIVDDVAFIQAEHGMAIGEEVARRGIRKSYYLETRGDVLLRN KDVFRFWKTLGLQYMFLGVEAIDEEGLKRFRKRMTLSKNFEALEFARSLGIMVAINII ADPAWDRRQFEVIRQWCLEIPEIVNISVNTPYPGTESWLTESRRITSRDYRLYDIQHA VLPTQLPLDEFYGELVRTQQVLNRKHLGWSAAKALAGILARNLARGQTNTLKMLWKFN SVYDPALQIADHSAPVRYEMTLPPPHREVIDPHSLYVHPPRGRNGRSIDDATERFVDR TRAGGNPVA OJF2_RS06435 MGVLAVVAGIALAAEAAAGVVPIEIDITGLSLAYGDNQSTSSSG SLPKLLYDSHNRDGGTGKPDQATNVGSFAFYSNGVMVGKVDTNQGDFARADVWIPTPP LPFDGTTTTSNLPGRFVFDLLLVNAIPGWGLALTEDQWALRSDSTTIAGDASAVLCST CQPNQAAIFGLVFDPTRPIHVSFTVTRADDSTDALGAPIYVGSAKITGMMATSSAIPE PASAVLAILGAAGLLGFAGVGRSLCLGASS OJF2_RS06440 MATEQTRPVRLIVLGTGDFALPTFDLLAGSSHPVIALVTQPDRP QGRKQEVVPSRIKRSAAARGIAVLQPEDVNAPEGLEMIRGLGPDLLVTAAYGQILSAE LLTIPPMGGINLHGSILPAYRGAAPVARAIQNGERETGVTVIRMSPKIDAGGMIAFAS TPIGPDETAGELEGRLAALGAPLVLRTIDDLVAGRARIHAQDPAKVTKAPKLRKEDGQ IDWTRPALSIHNLVRAMQPWPLASTQWTPDGPGKAPIRLILHRTSPVEGPVEASTPPG TVVEASGDRLVVAAGRDALRILEIQIPGKRAMPAADFLRGNRLHPGDLLG OJF2_RS06445 MLRILHYPHPVLRYPSRAVTEIDDTLRGIVREMFEQMYDARGIG LAANQVGLPFRFFVLNLTADPEQKDQELVFINPEIIKRHSSIEDEEGCLSIPGVHGDV KRAKKIKVQAYNLQGELATHDAEDLFSRAVQHEIDHLDGKLFIDYMGLLAKHGIKEKL REFEARHRQAQASGELPADEELMKLLDAMTRPTPDPFAAADAASA OJF2_RS06450 MKQVRSTIVLMVLFFAGLLGLWGLDRWGMPTGAQRRAGQQRVLP AMADLGELGVRRVEIDRDGKQLVFERRGPARWQMVRPMDVAADPAGVDALIRNLKELR RSPDAGAVSGPAESFGLDHPAAVIRLFGGSESGDREIAALEVGKTLRGVTYVRAAGSS DVDVVDGKLAASLDRSPTSWREVNLVPTPSFQATGLTVTRGGMTVKAERSAAGRWNLT TPLKLPADGPRIESLLAALSAVRVLGEGGFAADDVKDFAPYGLDRPEATLEVDSPAQA GGPLVLAVGKKVPGHDDRVYVRRGDQDDVVEVSDRFVREIPREGTALRSQSVADFNPG AVREIRVDAMGTTFRIERRRDGWRMLAPRDEKADTFLVQSFLNQLAGLKASEFLAADR VAQPGLDPPALAIRLWEGGPAEEGTTPAVPQGPPSFSLRLGRHDVLRKTMYGRLDGDD VILALPDAMLDKLPRSKYAFRDRGVLAISPATVSRLTVSRPGLTAVVEPDRTSSSPNQ WKMVSPVKAPADVRAVTQILGILSDLRAEDFAGDVEAVPSFGLDKPGVSLAWELDPQA AAAAAPEGAGADAGRKGRLDVGKPAAGKPGAFYASLEGRPFVFVLPAAAIEPLGAELR DTAIFSTTAADVRRLVFRLPGRTLAFSRRTPPKGDPSDWSPEAGTDMAGIDLSRFNDL VAQVSRLHAQRFLQYDGPIPDAAGLARPRLEIELRGDPARPPEVLRLGRSEGGVLAAA AGRSASGAVFALPAAAWDALIESLSSRTELPRSPFAP OJF2_RS06455 MLQSLSSLSGRPEFLLGGLALAAFLAAYWALRGAPPGRAAAEDD PGAMPPRRRDLLIAGLAAGLTSLLLGAGVASVAGILPSLPFFAAGAALTLWLSVAARR YRHASPVLRRAADLSSAALNAGLLGGVLAILNVAAFRYGGQAIDMTRERTFSLSSLSL NQVRRLDRPLTFYLVYGGGARAVRQLDRVYQLLDLYRAAGEGKIRIESLNPYTELARA EDLARRAPDLAVLRGGGVLIEYGEGKDAEYSVVAAPELFAAASPEAARPDADRFATTF RGEDAITSALIRLGEGKKAKVAFAVGHGEPSTSDADPRGPGIGLWRARLASVGCEVIE LNLQREAPPEDLALLIVAGPKAPFKPEEVARLKAFADRGGPVLVLAGNTEPSGLDEFL RAYNLEIGRGLVIDPRLNFNRNLQLVFAPLRGAQGHPIVDALQSDRAILVPNGAPIHV LGMGGQGRPATQAVDPNLVPTAILRTGPQSWAETDLANPQPELDRNADEAGPNIVGVA VQERAATARPGEQAAASPRPRLVLFSSGRLAENVVQGIEPANLDLVMNAASWLRGRPD AVGITPSTHVALTLTADPALRSRLILVPTVMSIMAVLAVGTVVYVARRE OJF2_RS06460 MMRHVPTLLGREIGAFFLGPMAYLVILAFQVIAFLNFWELVDSL SQPQREYSILRDPMTAYVSSSPLFWFAVLVAVPLLTMRLIAEERRTGTIETLLTLPVT EAEVAVAKWLAGVIMYLALLAPFALYLPFLYYQGRYEFDLGPLVSLGIGLTTMGMMFV AIGLLFSATTRNQIVAAIWTFVTLFLSVVLTLLLSSYGARVQAGWAEAARFLSVYMQV VSFGRGQLDLRVIAVHASVCVLALFLAVKVLESRRRR OJF2_RS06465 MIAVEQLTKLYGSVRAIESISFGIGRGEVVGLLGPNGAGKTTTM RILTTYLAPTSGRATLAGHDVLDEPLEVRKHVGYLPESVPLYGEMRVREYLGYRARLK DVPRPRRRAAIGDAVARCRLGDVEDRIIGHLSRGYRQRVGLAEALLHDPDVLILDEPT SGLDPIQIREVRDLIRELGERHTVLLSTHIMSEVEAVCSRVIIIAGGRIAVDDRLEHL RQGQAILVEARGPSDRIRAAIQSVEGVRRVRVSRVEGEVAGLEVQGMDGADVREAVAR RVAEGGWPLRALELRRSSLEERFVEAVTRDGIGPEDAEALR OJF2_RS06470 MLSRDEEPLLSAYLDGELGDEEAGRVRSAIAGDRGLAEAARGLR AVAELVAELPRPPAPDLSAGILHAVRGRAARRRPARVAAWIAGGIAASAAALAVAWAL RPGPSPRMPAPGPVLPTSPPAPAVASSSPAGASPAAGPPRVGAPTAAPAPEPATGVRD VAAIAEFPADRERLRELMDDPSLRRAFLITDRIGGQAESRVASLVEKAAHRDFYKVTV SQGIVFDPEHPGQATVFAVVVDEAELDPLRRGLEGEFGPTLEDIDLDPAVAMQLADIG QVVSLPARGAGDVAFPTEPMALRGPAGRRPTPEQERSSPAADLIVPGPAPSDRDARHA RAAGGPAIPPDRERRLVVLLWVRRPDAG OJF2_RS06475 MMTGRDDDQGLVRACRGGDTEAFGILVRRHQDRLYPTLVRLTGS AENAQDVLQDTFIRAFEKLDQFHGESSFYTWIYRIAVNLALSDRRKRRRGGAEVPPAA AAAAEPADRSRENDPSFALECVEREALVEEALNALAPDHRAVVVLKDFDGRRYEEIAE VLGIPVGTVRSRLHRARCELRERLRPLIEDSSPAASRAGEGERGIRAWPGDPAAAGGG GS OJF2_RS41140 MRRSRRAPAVRPSLESMEGRLLLSQTGVNLKQQTNYVNGPVWVD VRDSLRGWTKLDDSGYITSLTPRGYPLETSHTWTRMNGYPAGTYSVSYSGAATLTITG VSSATPFTLGADGLYHGSVTFNSAPVYIDIRASGLDPARPFGDLHIITPGYGTAPNQT QIYTDTFLQSIQPFSYLRFYEWDGYGGPNEVNWSDRFEPGDFQTFTMNGVPYEDMISL CNTAHKDMWINIPVRASDDYVKQLADLISSRLDPSLKVYVEYSNETWNTGFKAYPLVL AASKSNPLVTATGDIYRVAQQTAYMTKHDGDIFKQEFGAASSRVLPVLPGWAASSDYN NAMLSFLDANYGAASGSVYALAIAPYLDFKLPAKLTADQLISMMYTFLETKYASRISA DSAVATAYGLPLISYEGGFGFYTSSTNAAVVNSTIVNDPRIAQVYKVMRDLWDERGGS QFTYYALNDSYWGLVTQLGNAGDYKWDAVMTSILPTGDANGDGVVDSKDLAIVQANMG RTGAWMSQGDSNGDGVVNSKDLALVQGILNGTVGGTFVSRDSATGGGWQTLYGGDGYM MAGVGSSLPSYAAVTVTGASTTVSASSTTDPRALSSPISVNGQATAASWRSSQPFTID VNLTDGQAHSLTVYGLDWARAGVMERVDLVDAASGAVLDSQTLSQMSNGTYLTWTAKG HVQIRVTPLTGGAVISGLFFGGKTASATPFVGSDAAAQGNWQGTYGADGYSIPKGASA YPSYASVSTSGASLYSWSATTTDARALSVPGSASARLASCWYSPTSFTIDVNLTDGQA HKVSLYLLDWDSTSRSERIQLVDASTGAVLDTRSASSFNGGTYLTWNVSGHVKFVVSR TGGANAAVSGLFFGSPAPGATSFVGSSAAVQGNWQGTYGADGYSIPKGASAYPSYASV STSGASLYSWSATTTDARALSVPGSASARLASCWYSPTSFTIDVNLTDGQAHKVSLYL LDWDSTSRSERIQLVDASTGAVLDTRSASSFNGGTYLTWNVSGHVKFVVSRTGGANAA VSGLFFD OJF2_RS06485 MIAEAHPGGPRKQGRPLLPLLIAQSLGAFNDNAWKLIVTLLAVG TAASEAAGQAQAAWVQAMFLIPLILFNLPGGALADRLSKRTVIVGTKVLEIGIMLLGT AALWMNPSGGTLATGVLVLLGIQAALFGPSKYGILPELLPHEGLAAGNGVLEMWSNLA IIAGTAAGGSILYLDERRPWLGGLVLTALAAAGMFASLGIPRVPAARPGSSGLESLRR GWRAVRGDRILRLAVAGQVIVWSVACMVPAPVLSYAKRSLGLNDRMTPLPLAALGIGV GLGSLAVGRMSRAKVEYGFVPFGAIGLTACALAFGLAQPGFAGTILIMALLGVSAGLV FVPLNALIQWRAPAEARGAVIAVSNMLSSIGMLGGTFLALALARAGFSAQGTFLGAAV GLAAGTAWSLWLVPDAFLRFLLLLLAGTLYRLRVVGASNVPEEGAALLTPNHVSFADG LFLIGATDRPIRFVVYADYFERPLIGRFLRVMKAIPIKSSGGPRMILEAFRTAGRALD DGELVCIFPEGQITRTGMTLPFERGMERIVKGRDVPIIPVHLDRVNSSIFSPLHARRM PERIPLPITVSFGEPLRSPAGPAAIRRSMAEMAERAWELRRESRRPLHHEFIRRARRH PSRLAVADAMTPGLSYIKALAAAVALARALRPSWKDQRTVGIMLPTSVAGVLANLAAA ISGRVVVNLNFTAGNAAMTSAAGQAGLRTLLTSRAFAEQAKLEIPDGVEVLWIEDVKQ TMTRTDRAVAMALACLAPTRLLEAAAGAVSHPSVDDTAAIIFSSGSEGDPKGVVLSHF NIDSNIEAIGQAFQIHATDRIMDVLPLFHSFGYLLLWLGPCRGMGLACHVKPQEADVV GLLVEKYRATVLFATPAFLHIYTRRCAPGRFGSLRIVVAGADKLPEAIGRAFEDKFGL RPLEGYGATECSPVIAVNTLDFREPGFYQAGSRRGSVGQPLPGVSVRIVRPEQVVDRD DLAGIGSLEPLPPDTEGMVLVRGPNVMGGYLNRDDLTRRALGDGWYVSGDLGKLDEDG FLTITGRLSRFSKIGGEMVPHGRVEDALNEAIGAEEMVFAVTAVADGREGDRLAVLHT TTDDKVDRAIEGLKSRGLPNLFIPRRDQFVKVPALPMLGTGKLDLRALKREAAQLCRQ PVG OJF2_RS06490 MSSPADPTAPPLAHRDDAAPPPALPPKNPSYPPRTHYGEKAQLA ATLKSWDEKIAGVARKLATLRTDQPNRASFERAYYQMLGARDQMADAIRRMPLEAGDL YHEDQERLKNAEAALSRLMSRWDTAGR OJF2_RS06495 MRYVLPLVLIAAIPTSAASRGDDLPEAAAGWSISRVIPAPEAGP GGRTVPSVLATIATAPDGSLTLGRTSGDVGSVALVRDGRLHPFADGLGAVHGLEWVED SLLVVHGGSLSRLRDADGDGRAEVREDLVAGLDPGAEAGHGPSAVRLSLDGFLYLAVG DRGLVHAVGKDGRAVRLRGGGVIRVRPDGSGLEVVSTGEYRPRSLVVTPSGDVFTYGP ADARRWPASLTHHIPGAHFGYPYQYLTASFRCLPTVSGEPGGEGNQAACYAEDGLPEP YRGNFFHADPIRQCVVRDELRKAGGTFALARRTSVVAKGALADFRPVAVAITAEADGF WIAEESGRLHRLSYRGADAVRPAREPQGTSVASQVLALDHPAESVRQSAARALARAGE GAVDPLAQRLRAGGAETGRLRAVWALDAIDTAPARAAIAAALADPSPAVRIQAVRSCG LRGRRDATPALARQLEQRDPALRREAAVALGRIGDPSAMTALVGALGDPDRSAAWCVR TAIRQLGYPDTPTMTAALLDPRRREDALTLADESWSVPVVRALADALEKTAEPAVRGQ IIADLAAQYRRPAPWDGSWRGPDPLAGKFPKKTELWDEQGMAAIVSGLRRGLTDADAS VRLSSILALGDVGPAGVASLRQALVIEKESNNRAAIVESIAATDRTPEVARLFARMAA DPKEAEPVRAAALDGTAGVRNPDAYRARLALVYSPSTPPALVARALPALARDGALPPN ELPAFLENPSPAVRAAALLSLNVKEAVAPELAPAVIARLDDPSTDVREAAMLAAGTLR LRDAVPSLLKTAGDPSAELRPQAVASLCRMADPRAESIYREAAASPDPALRRAGAKAL EALAAPRDPEVARASAPDDDRAARIGFLTRIALRQPGDPRKGASLFFESRPLACASCH SLDGKGAGPGPGHSDLTASPARADRARLIAAILQPTGAAAGPHAASSRHAAALRPADF SSLVRFLHRPPSAQAGH OJF2_RS06500 MGGRIVRALAVAALLGLVGGAAWWVMSRATARPAFDPLAEGRSA YDRGDFRRAAALARDRLKAEPGNPEAVRLLARSSARQGRHDVATGLFDRLGVGNWEAE DLFLAAAGHESRGEKDPAYDALRKAIERDPHHPDTLFVLARLDAREDNPYAAAELAGR LAGVPGWEARGEALLGTVLADLSDPAGAAGALERALRLDPSLKGATFSPAEARRALAR DHLISGRPDLARAALGGLPEEDRTASWLLSRVLLQEGRTSEAVEALKRAGPGARGEVT APEPAPFVGAGRCVECHRDIASLQMASHHARTFSPPAAARRLPLPDRPTTDPHDPTVS HAFPRAGGEAAAETRRGDDDVARAVIAYALGSGARARTWIGQDDAGLYRELRLTRYRG GIWDVTTGIDPQPRPADAHNFLGKPLSADGLRHCLFCHTTDFRAARDREGPTAADPAI GCERCHGPGGNHLRAVADAFPDPSIGRPRLASDEEVTRLCGTCHSPRGQAASPDSATA ARFQVTSMSWSRCYTESAGHLSCLTCHDPHRDAEHSAAFYEARCLACHSTQPPPSPAP ASASRTRPAALPAGKKPVSCPVNPTSDCIRCHMPAVDVAVPHVKYTDHHIRSRQD OJF2_RS06505 MKNARRRGVLRACIAVAGMLAHGGMTSASALKANVTYTTAGTVD GSAPALALFHGVQGGTFTPSAPFSLGQFEVVPQAAGGGDRTITEPFAITYRTDAIDGV APTINESPVTIHGWLAGTIKNDGSLSLSAVVDQGVQLADPNYFYPNPAPPFQTNGWVN KIHVNGDKYFLALSGAPGTMTSIEARVDMVPVPEPGSLAVFGTIIVVGAIRAGRRLRR HAR OJF2_RS06510 MRRRARRRPFLSWDRLEDRAVPSTLIALIDSGVDLTSANDAPYY DFTNAYDAYDKKSAAADHGLVQDTSLQHGHGATVADSIVRGIQDMLAQPGMSGADVKI LPIRDTSTDLNIDPNALVRGVYYAADHGAAVINLSLRVYNRDFVLNDPSDPHNGSTLS QAIQYAQAHGAVVVTAAGNEGQSIEVDPFYMMPADADDPAYNGLGVALGNVLVAAAVD SSGNLSSLTNWGAVHVDVGAPTDGSGATSYSAGYASGVAGVVAAMTPSMSPQRRVELI KQTVQPHAQSVGAWSTSGGNISPVNLARQIVQITSTATATSLGQDGQDFVGTGRDAGG PDGRQDIHIALAGLPASKSISWIDVTGYGGGEWRYDGAYGTDLAALVRSPGATTADLY VQPYQDETGREFAATIHYGDGTSVVLYIPRVYASANLPDRAGLVDRTAGAGGIVTARG QNGTAEGMAKAFDGDSGTKWLDFSPTSWLQYQFAGGASYVIDQYTITSANDTATYPGR APSSWALEGSDDGVNWTTLDVRAGQADTANFDTRTYSFSNATAYRMYRLDDIRSNGDP IIQLAEFRLLGPGAAPVDLALGKAATSSSVEGAGYDPGKAVDGDPATRWSSGQWMQPG QVGWLSIDLGASYHVNEVKLDWETAFAVDYQVQVSRDGTSWTTIRSVAGNDRRGPADL GGLSGSGRYVRIYGTKTNGYDNYSLYGVSVYGTPA OJF2_RS06515 MLRWALAFFILALVAGLLGFGGFAGDMAYIAKIFVFIFLVLFVI SLIFGRGGVPAV OJF2_RS06520 MRALTGAIITAGALVGLGLAALGLGTRYQNLATSQPAEVNYLRF IQLDTPMMLIIVVLLAALIVGLATAFVGLAYEHEEFGYRRLGADADLPPRAR OJF2_RS39040 MSLGPGGLLGGGGWYQPRGPYGAYPGCGCSGILMIMAGILLVMA GLLRGCNM OJF2_RS06525 MLALLRQWRVWVLAILLAGPVLAYMGFGTIWLWQAGWLWLATLL WIVAGVAFSVLAARWTKHTHAIMPPLDWESPETFSPRDRDAWGLVEEEARAGEELSME DLTNADLYIETGRRLLSRLAAFYHPGSSDPLARVPLVELLTAIELAAEDLTHLSRQVP GGDVITLSHWQRAVQISNYISKANDIYAMFSPLLNPLGGLARLGSREMLVKPAWRDMQ LNVLRWFYQAYVNRIGVHLVELMSGRLAIGADRYRRLTRKAGSRRRADAAEEPLVAAV VGARGVGKSRLIDALKQAVGGDAAIMRAWFEGQGLEPGLVDRLRELRWSEAPAYPGSL ERESRRDRRHRKEALEAALEADLVILVVDEVKGLQPADVAFAQDWDRHFVERPLREAP PALVVVTNVDRAEVGAPWAPPYDWAGGKGPREAAVRSLFDAIRSTLPPAFGTLAAAGL PEGAAFGVSESVLPALAAQLHRAERSGLVRQLQSLSERSAVGRVMSQIGEQGRSAWAN IRARRKSSAPRAS OJF2_RS06530 MAESDFEGMPFRRTVGDFLATLELSGVMADSEVRALRKGVGRGE DQEAVARRLIEEGCLTEFQAGRLLLGKATGLVFNRYVLLERVGVGAMGRVYKARHRLM DRVVALKVVAPARATSSNAVNRFFREMKIVGMLDHPNVVRAFDADQYEGSPFIVMEYL EGEDLEKALRARGILPPDEVVGYMAQAAWGLAHAHEKGIIHRDIKPTNLFLTTSGFVR VLDLGLGAFVGVSNAKTAPLDTDEGFVVGTTDYMSPEQLGGEAMDARTDQFSLGCAMY RLLTGKYAFPGPTKMDRMLMRISQPHVPITEIRTDLSLPLVRILDKLLALKADDRFES TTEVAEALESLLPSADRPSSRRALATTVEKRPRGRVAIHSAPEAPLDWSRIESALRRD QGPGPEAEPTPTFSPAPQPAPANRAAARAAEIDREEDGLSTHRDYRKEVIELKRAMAD GSKAAARDAEQAGESWLERLGEHIGDFLAEPSVSHIIYIVIGITLILAAGLALAVG OJF2_RS06535 MIVSWNWLTDYVRLDMPVEALAERLALTGLNHESTEDVGGDLAI DLEVTSNRSDCLGHIGIAREIGVVFGKALKVPDPRPRGAAPAASSRAAVAVECPELCS RFTARVVTGARVGESPWWLRKRLETIGVTPISNVVDVTNYVMFECGQPLHAYDLGLLR EGRLVVRRARPGESLKAINGKTYELKPEMLVIADAERPVGLAGVMGGLETEIGEGTQD ILIEAARFDAMSVRKTSRALGLFSPSSFRFERPIDPEITEWASRRCAELILATAGGTL HEGLIDMGGPSVPRGPITLRYAQIERVLGIAVGAEEVRRILAALGLEVLAQDGATITA RPPTWRPDLEREIDLIEEVARIHGYEHIPEDRAVPMTSAPRGLRERVESAVREALTGV GMDESVTFSLVEESLAAPVQTGTAAPPLRIDHSSRKLEIALRQSLLPSLLAARAYNES RGNLGAELFEIANVYLPRGAGELPDEPTRLGLVSGRDFRGLKGIVEALLDRLHIAGPL EALPADLPLFAPGRAAELRAGDVHLGYMGELEKGRLQAFDLREACTAAELELGILLER AVLVGQHRPLPAFPAIVRDLSLVVDRTLSWADLRAAAVEAGGPSLISVEYLDTFRGGN LPEDRQSVHFGLTFRDPSRTLTGEEVDRAVKSVADACARRLGAVLRT OJF2_RS06540 MTTAETAPTPKAARGGLHRDLGVIDATTIVMGAMIGSGIFITSA ESSRLVGAPGWLLLAWALAGVMTVTGAVTSAELAAMMPRAGGQYVFLRTAYGPLFGFL FGWSLFMVVQTGTIAAVAVAFSRFLGVFFPAIAADVHPIFPPLRVGGYAISLSSQQMV AVALIVVLTVTNTRGLRLGALIQNTFTFAKTAALIGLIVIGLTLGYSPTAAAWTSSWW NSVANGWTPTEGYKEALPVDGDAAIVLLLGLAMIGPLFSQSAWNNVTFIGGETRDPGK TLPRALFFGTISVVGLYLLANLAYLASLSFRDIAHAENDRVGTAAMKAALGDTGGYLM AGAILISTFGCVNGLVLAGARVYYAMARDHLFFKAVGTTNRHHVPAVALAAQAIWSCL LALLVTVTIDPATQRPKFGNTYSDLLEYIIPVDVIFYMLMVGAVILMRIKAPFLNRPY RAIGYPVTPAIYITLAMLLVIDFIYLKFRTSGIGFLIVLTGIPVYAIWRLVEGRRQTS PRPKADPEPAT OJF2_RS06545 MATDPTKVVVTEGATGNTSQAYHHDFPEIRADGHSGKEAATLLV NRLKLAMDTALTEWRRETMTQAIADVEAFVKSQA OJF2_RS06550 MSSQDTLESALGDLERLESEGLAALGAADSPEAVEAARIEYLGQ KSGRLKAAQERLRTLEPSGKRAYGQRFNGVKQALEAALEEARTRLERRAVAADALDVT LPGSRPRLGHRHPLTQTADELIDLFGRFGFSVARGPEVEDTRHNFDALNIPPVHPARD PLDNFYLSESTMLRSQTSTVQIRVMEAQPPPVRVIAIGRVYRPDTVDATHSFMFHQVE GLMVDRGVTMADLKTVLRLFARSYLGQDVKIRFRPSFFPFTEPSVEVDMLWHGGDRWV EMGGAGMVDPNVLRAVGYDPEEVTGFAFGLGIERLCMRRHGIDDIRLLYQGDVRFLEQ F OJF2_RS06555 MRSKSGAARNQAKKRLFKAVKGFVGGRRRLLKSAKETLLRAGMF AFRDRRAKKREFRKLFITRLSAAAEMRGLRYSRLIHGLKLAKVGLDRKSLSELAIHDP ETFDAIVAKVRGELDSHDKAVKAREDAKKARQPVAAASR OJF2_RS06560 MPKMKTHKGMKKRFKVSATGKVSHKRCGSSHLNSHKSGKQIRKL RKKSKLNVSAENRRVRTALRHKPSVNPLAVEAERLAAQAVQAEGEAPKAAEAPPAENN OJF2_RS06565 MRRRSTFHLAHVLASWAALAGAAPAVIGQEAEQAPAAAEGAARP APGGEAAAAEPQPGDPVMMEKLLRQWEKQSSLLKTLDVAMFRKDTTPAWGTVEYYEGR ALFQSPNLAFIDFSKIQLDDNKKPMKDAKGKWVSTHDERIVCTGTEVWQYKTDTKQIF VFPLQGNAQQKAVEEGPLPFLFNMKADDARRRYKMSYVKKDVKANAYLVRIEPRLAED KETFSIAFVNLDCKFLLPVRIHMTSPDGKSTKDYTLGPMYPNKKVSESNFKGKVLPSP WKLVKNPMGQDNPRGPGAAPGAAGPRREAPAARPAAARRGAEGMQRE OJF2_RS06570 MICLTPARHGLAIGAIAIAVAASSAPRAARADAPAAEATPGQFF TITEPITDETIQHIRAATRQLVDRNAGAPKGKAPILVFEFLPGETAPGTSQFGSSYDL ANLIAKELGGAKMTVAFVPQPLKGYAVLPAMACTEIVMSEGASLGPITPENATPDPAF RDPIRFLALRKTRDPDLLLGLFDRDADLRLVRTLDNALHYVLPERLEEFRRSHQVKSE ESAWEGGQRGVLTAERARAEGFSKATVAHPADVARIYQIAGQSSVEDPTLGQAIRPVW IRIHGPLDSVKVGYLSRRIEQARQEKVNLVFFQIDSPGGLDTAADGLADQIAAITDMK TVAYVDDRALGVAALLPLACRDIVLKKTARMGDVRQILSSRSSRLENLTEAQVQILAR KAAFLAGKKGHPEAVARAMVDPSLEVVEAKDTKTGAVVLLSREEAEASKGRLQGIRTR KDPGRVLTLTAEDAASYGLGQAVGNDEELKGLYGLQGKNIRVDGPSWVDTLVSVLTDP YVSWLLLFVGLFMLVIELKLPGIGLPAITSALAFLLFFWSHYLSGTADQLEIILFLVG LVCLGIELFVFPGFGIFGMPGILLMLASIVMASHTFVWPTQDYEFREMGFTLVQIILA LVAVGGGAMAVARYLPSIPFLNRLVLKPEPWTGFEAEDPAAKPPMEGYESLTFLIGET GRTTSPLRPTGKARFGNLLVDVTADGFYVDSDSLVEVVDVQGPKVIVKQML OJF2_RS06575 MSTVPAPIELEPTKAQRRHDLDALRAFAMLLGIALHASMSFIPG LPWPVQDTQQAGWFMVLFLAIHGFRMPLFFLVSGFFTALLWQRRGPGGLIRNRTLRIL VPCLLGLVTVVPAISVLPALATGRSLVVSAADDGSLIGAIRFGDAAAVRARLDEGAEI EAPDPAIQARPLSWAALRGDLDIARLLLERGADLKGLNEDLSTPLHAAAFTARPDLVR LFLEKGADPGVRGKDGSRPLEAARADWELVKGIADYIHIPVGDREEFERRRAEVIRIL EPVSPGSAAVASTETPRATGPFMGVLDAYEAAMGSERLAYKLGGQRFHLVKSSVFAHL WFLWFLCWLAPLFVLFMAVATTIGLPRPSRRLVVSPANLLWLIPLTFVPQYFMGIKYP LLGPDTAEGLVLPPHLLLYYGIFFGFGALYYFTGDPEDRLGRNWRVSLPVALLVLIPA GIATMAGSRPLTALIQAAYVWLMCFGLLGLFRKIHPEENRAVRYLSDSSYWLYLTHLA VVMTAQVLVQGWSLPATLKFGIVFAGTVAPLLLAYQLLVRHTWIGWLLNGTRHRPAPA PEAEAPAATASA OJF2_RS06580 MGVIFWPSVFLICGLLLILLEVLIPSGGVIGICSLACLALCLWY AFSTSLGLGATFLVVDLVAIPLTVSLAFSLWTRTPLGRKILLKPPEPEEIEDSHADSR LEGLAGREGRALTPLRPSGHVEVDGRRLGALAEGGFLPAGTLVRVLRVRSGEAIVREV AGLGAPPREEWRQPIVEPERPDVRDVAGPIPTTPRTAEAVSTLEEAP OJF2_RS06585 MSAPLFAQVNAPSTAPTLFYLLIILIGVIVLLLCIFLAKYFNLW IQAKMTQANVSIWDLVGMTFRKVNPNIIVRSKIMAYQSGLTEKDGVTTRALEAHFLAG GNVPNVVRALIAANRADIPLSYKRAAAIDLAGRNVLEAVQTSVNPKVIPCPDPTQGRQ TIDGVAKNGIQLKVKAKVTVRTNLDRLVGGATDETIIARVGEGIVNAIGSADTHEMVL ERPDSISKRVLEKGLDAGTAYEILSIDIADIDVGDNIGANLQALRAEADTRVARAKAE ERRAFGAAKEQEMLAHVQENRAKVVAAEAEVPMAIAEAFRKGNLGINDYYQLRNIQAD TEMRSSIAGTGNAVRREVAPSPQ OJF2_RS39045 MRLEILIQFIVPLTFLAIWALTTLLNRDAQPLPPRPARPGGPRP APGPGQRPAPAMGPPRPFGPAEPARQGGGLARPLEDAGGWKTVDADPRALAPRRLPPR TAPGGLDDAIVYIENDPSGSRTTSRPLSAGTGGLPATSPSRGARGGQARRGARTRSAG GNLDPRRAAAPETQRPLTDQVQQSLARKKARPLEIVPLSTPLTPLSQPLTEQSSENVP RSPLAVGVSPSSDPMFTGAQVLAMLAGPARLREAVLLAEILQPPLALRPRRPRG OJF2_RS06595 MAYRSRAHGAGVGLAAIFLVIGGPAYAPSCARAGEAEETSEIAW RGDYSRAFDEARAREQLLWIQFTGPWCPNCTRMEQDTFPDPAVKERARSSFVAVRLRA DVDEQLALGFGLTGLPATVVVSPSRDVLAVRQGYLGPRELDALLADALERRRAKLEAA RRLVSNDPRTQSSAGAKPAAGRSEGPSRPRDSAPKNDERLALSGYCPVSLVSDKKLIT GQTEYAVVHEGRLYRFANLLTFNLFRRDPGRYIPVNGGNCPVSELDRHATQPGSPRHG VLFQGRLFLCSSEADRRAFLAQPEKYAAIDVAERGYCPHCLSQQGLLVRGDPRVELNR EGKRYWFPDASHREAFVATASASSGTERR OJF2_RS06600 MASLTVENYVKTIALIATRRPADQAVSTGELAQAMRVSPGTVTG MLKTLSEASLATYTPYEGARLTPAGERLALKVIRRHRLLELFLVHTLEMSWDEVHEEA EHMEHAASERLIDRIDAFLGYPAVDPHGDPIPRADGSLTEPEGTPLAQCPPRRTFRVV RVMDQDPAFLRYLSECGLDLHAAGVLEENRPESGAVVCRMGEEGRAVALGLGAAAKVL VRID OJF2_RS06605 MVVVGGGVIGLSVAYALAREGVGCVVLDRRELGREASWAGAGML PPPSEPPDRPGAKPLPPLSALRSWSATLHPAWSEALREETGIDNGYRRCGGVDVACDE AEEQSLRATAGRWRAERIVHERLEAADCARVEPALGPALRAAYYLPDRAQIRNPRHLA ALATAAGRRGVDLRPWRAFEGFDIRGGQVVAVRAGGGSIACSWVVMAAGAWSGGLLEG AGIRAPTPPVKGQIVLLRDDRPLLRRIVEHGRLYLVPRDDGRILVGATEEHAGFDTRP TAEGARALLDEALRLCPCLGRAEVERTWAGLRPGSMDSKPYIGPAPGLPNLVLATGHK RAGLQLSPATAELVAAQILGRPTALDISAFRADREADASEDAFRS OJF2_RS06610 MNPARAVLLMSLALLPGDDRPLEPLGRFDAKLLPECSGIVRSRR HPGIYWVHNDSGNAPLLFAVRRDGSVVSSFRVAVPNVDWEDIAADGDGHLYLGDIGNN GGRLPVRVVYRLDEPDPGKAPEAPLRPTAASFYTFPQGGRFDAEGIFLDAPSGSAVVV SKRFDGKEAELYAIPFRPAAPLLRPASPGRLGTLPGFVEPATGADLDADQARLAVCSE TVTRVYRRSGEAWALLAEVPYPPAPIEGVTWDGDDLLLVSEGRGIDRIAASTWRRGIH OJF2_RS06615 MPPRSPHPRPNPRPRPPSRGEEPPPTAIPDRSLEPEAALPTVAI RATGAHPFVFRKMIAGPVGAGVPNQGDLVRVVDREGRAIGYGLWNPRSQISLRMLWRE AEPPGPAFWAGRIDRAVSLRRDLLKLDEQGNAYRVLHAEGDGLSGLIIDRFDDVLSVE VFSLGMYQRIGPILDLCAERLGTTHFRVRVDDRVAMQEDFPGRPLASPSLPPRVTIVE HGVKYRIHFDEGHKTGFFCDQRDNRRELARFCGGKAVLDACCYTGGFGLNALLRGGAS EVTCVDLDEKAVALARENGNANNVRLNAIHADAFGYMRQMAANGKNYGVVVLDPPKLI LDREEVSAGKRKYFDLNVLGIGLVEPGGLLLTCSCSGLLPAADFQYLLRAAARKAGRS VQLLAMTGAAADHPVALDAPEGAYLKAAWLRVGDPVPPVVEE OJF2_RS06620 MSAGTALRQLKQAQAGLKKARQFMAQARQDPRLVPRVLDIGWES LVQAHRLMAEIPLAAADEAVLTQQLAVQRYATALLVRLRRLIRRGELGPDDPDDFGGD DEA OJF2_RS06625 MSTDLETSTVVEPEVEQETRTKRLPPYNVILVNDEDHTFEYVIE LLIKLFGHTLPRAKDLTWQVHSRGRAIALTTHKEKAELKRDQVLAYGPDPRLARSKSS LRCYIEPAEG OJF2_RS06630 MNEQIRISPVRVINAEGAMLGVMPTSKALEHAREAGMDLVEVAA NERPPVCKIIDYGKFKYTQKKKLSKQKQHQIQVKEIRVRPKTGEHDIEVKVKRAREFL EQKDKVLVNVLFRGRELAHIDEGRRVMEEVLKSLEDVGKLEKNPSMEGRRMTAILAPK A OJF2_RS06635 MAITYYKRLRMEVDLDEPIGPVALPDPYAWIPWDESLIGEHAEV KYQSFRGEIDACVFPCLGDRYGCQRLMREIRRKPGFLAGATWLIGGPGGYVGTVQGVL DYGPIGAIQNLGVLPKYRGLGLGRALALRALAGFQAAGLRRAYLEVTAENRSALMLYR SLGFRRAKTLYKAVDG OJF2_RS06640 MAVVESGSSRHSLDEVHGTIEVPQASGRWQRLRRLFTFLGPAYL VSVGYMDPGNWATDLEGGARFGYSLIWVLLMSNLMAVLLQTLAARLGVVTGHDLAQAC RAEYTPRVRGFLWLLAEVAIAATDLAEIVGTIIALNLLFGIDLLWGCLITAFDTFALL YLQRWGMRQMEAVILVLVGVIGGCFLIQLFQARPEVAGMISGLRPTLPPGALFVAIGI LGATVMPHNLYLHSALVQTRRIGTDNASRQSACKYFLIDSTIALNAAFFVNAAILVLS AAVFHRNGVEVVSIQEAHKLLPGFLGKAAPILFGVALLCAGQSSTLTGTLAGQIVMEG FLELRIAPWLRRLITRALALVPAVLVIWLAGDQSTQNLLVLSQVILSLQLPFAVIPLI HFTSTRRNMGVFATPLWGKALAWAAAAVIVSLNAKLVFDQEMGWIEAAARSGVMLGPV PLSWAVAAALAAVTSVVGGLLAWVALWPLVHKAAAWSPPTSVAMDWAAALRPRHLGRI GVALEHGEGDAEILGRALALVGEQPAPTELVLLHVVDTPLTVVLGTETADRETGADAL YLGELVQTLRERGYAARSVLLHGPNAAGQLVGHLRQDPVDLLVVGSHGHGMVRDLLLG QTVDRVRHSLDIPMLVTRPGAEEQTRPH OJF2_RS06645 MRPFLRGLLGFGCLLASSSLGYGQVVDYPPADGQMTFVPPIFPA AGAVGAHSVETFPAFAGVYEVLPREAQPLASTEAPAARARAKVRGRQARVARNYARGY SQGPAPYDMQLPMGQLPGQGGVPTIDYAPSSRFQTFGQGYDVSPYGSNFFGGYFRGFP LAW OJF2_RS06650 MPRRLHLAILGLSLLAAPRYASAQTGYSPSYRVSDYFAAPGLYG TSYGYASYGVPRTYTTFSAYPGPAYGSNLPPYGFLPGRYGVGLWRPGFTAPGYVFGEP AAGYSYRTFPVVSGTTVTADQIAQRPSIGVYAPALGPGIGLYGR OJF2_RS06655 MARSIGGPGASRRAFLSGALGAGGAAAFPAIVPARALGREGAAA GERIALGVIGIGPRCTYDLKSILGMKDVRCVAIADVQASRRDAGKALVDGHYGNGDLK LYRDFRELLARPDIDAVLIATGDRWHAPASILAARAGKDVYSEKPCGITIADCQALDD TMRRYARVFQAGTQRRSVPNFQAAVRLAHEGKLGRLHTLYASVYMPSERFDWLPEQPL PPRDVVDWDLWLGPAPWRPYNEEYVRGGWRGHHDFDSGARLLDWAAHTLDLCQWANQA DDTMPVEYIPKPRSIEARYANGVKLVLDFLDTPFGQRTGWIQHLGTCPVRFVGEEGWV ETGDSGEIEVSSPSIAEELKAYAADQAGSGLDVGAHARNFFDCMRTRGETAANSRVMR RSHIACHAAALSWILGRKLTLDPVTEEFLRDDEANRMRSRAAREPWC OJF2_RS06660 MLPWESLIARDARRPRRQAGLHPLEALEGRQLLAYSSLGYSLAD LRVRGQAGSIATWGGTANVTITLQNIGASTINEPQSLAPPSEVTSGPDGNVVPPGYVT SSADGGPSQVGIYLSTSRRSLAGALKIGTATFASIPQNDVTQSTVSITLPQHPVGFPT TGVLYLRLVANEGGTVVESNTANNVSGAIPIRFIYRNAPALRATSLGLPSTLSPGDTV APTIQITNIGSAAAAAGTVQVALVASTTPDFNLGSSIVGLYTVSSSIPGAYGNASSVA GFKHRRLFGSTLSSQNVNGSANTVTISGAAVTLPTSPATYYLGVVIDPNNQLNQLSLP TNRLEQIVRVGPSTSGLPPAGVVGSASTTSFPNPPDGELIGLVNTAAL OJF2_RS06665 MSRRFVNQLSHGDSIDESYLVADKQLRANRQGNLYLQLELRDKT GSVGARLWNATEELARTFEPGDFLRVRGKTQIFQGSLQIILTHVDVLDRNRVEPEDFL PQGSQNVARLMARLREILLAMHNPHLRALVECFLIDEEFVRKFTSAPAGIKNHHAYQG GLLEHVVTILDVADRILGLYPEVDRDLLLTGIFLHDVGKIEELSYDRAFAYTDEGQLV GHLVMGVEILAERVRATRDLTGEPFPPELLLRLKHMIVSHHGAYEFGSPKLPMTLEAI ALHYLDNLDAKLHAFGREIRDDPSRDSTWTPFQQSIGRRLYKGTPAAATSDLEADS OJF2_RS06670 MLENLEDPSARCVLTIHNRPKAVMIGAEAFLSLLRGASPEDRLL ALQLSALVQGHEPAAVADSENEDEALLEV OJF2_RS06675 MSQGLIGERLGSFRLEEVLGSGAMGVVFRAVHEGSGRPAAVKIV HEDLGQKGRVFERFEREADILKQFRHPNIVRWLAVGRYKGTSYFAMEYADGITAEKLL QDRGPLPWREVVDLGIQLCSALSYAHEKNVIHRDLKPSNIMITKEGKLKLTDFGIAKD LDRTTQLTAPGRTLGTAAYMAPEQIRGTPAVSHKTDLYALGILFYQMLTGATPFEGAS AVVLMHNHLNQAPPRPSDKVATIPKELDELVVKLMAKAPSDRPWDAAAVEHMLTELRD RAEQGKSIPMVWAEPDAPDAVHPGRAPVAKSPTRARKKGRKPSLASSAIAGGVPSGED EEAGWLARARLETMGLVLALLAIAGGMAYVLWPPSAETLYRKAEALMQSSRRSDWITA RDEYLDPLDSKHPGHPYGDQVRKWRDRIFLEDAENRARNLSSPVKTAFSEPHSNAERQ YVAFSALVEKATAEGNDPQAAAYWREMARLMKPDDPEERPWYVLALHRAEEVEARMEK RRAFVLDQLSRAEHAFQSGNGGEAETIREMLRKEYGRYADLADLLGAGHGAPAAPAAR PEDDRHRGAGEPSPATPPSVPPGPPG OJF2_RS06680 MVGQTRIVDKLRELARNLWWVWQPNVIALFRELDPTLWREVDHN PVEFLKRLPPEQLERRSAEMALDSRIDYAFRRQAEYLKDTKSWGAINASILRARPVAY FSMEFGLHESLPIYSGGLGVLAGDHLKSSSDLGIPLIGIGILYAQGYFRQSLDKDGWQ QESYLNSDLDLLPIEAVTGPDGQPLKISVESASGVLHARIWRVEVGRTTLLLLDSNLP ENSESDRALTARLYGGDARVRIRQELLLGVGGVRALKALGITPAVLHLNEGHSAFATL ELIRETMEYTGLPFGEVMHDVANMTVFTTHTPVAAGHDRFPAGLVEENLGKVREGLHL SFDDFMGLGRVNTHDHNELFCMTVLALKLSRHANGVSSLHGVVSRRMWKPLFPGVAEE NIPIGHITNGVHVETWVAPQMTLLLDRHMGVGWSVRQRYPETWEGIDTVDDAELWETQ QVLKARLINFVRSRLVAQARRRGEPESAQRQAMEALDLNALTIGFARRFATYKRAGLV LQDAERLGEMIEAAGRPIQFVFAGKAHPEDRFGKELIQGIARITRQGKFAGKIVFVED YDMNVARSLVQGVDVWLNNPRRPQEASGTSGQKAVLNGALNFSVLDGWWAEGYDGTNG FAIGSGWTHAEPSIQDRRDYESLIETLANQVVPLYYDRDTTGLPRGWIARQKNAFRTM AWRFNADRMVMDYAQRCYLAAAGGLSSSMPHN OJF2_RS41145 MRNLHALSFAAILAGSLVPSAAFAQHHAAGPAPTLNPALQSGMY NNNAALMHNNAVNQAGVYNQSAGLFFNNPLNQVGMYDFSPGLYFNNPLNQAGMYLNDP VLYYNNPLNQAGMYNRSPGLRYNNPLNQGGLYNRSRGLRYNNPVNQGGLYNNSALRNN NPVNQGGLYNNSSLRNNNPLNQRATSFNALQGSAGGNRGAGGAAGPGLGATGGGSPGS GGRAASFNHAGGRRVAPTLRAENRQPGGHAAAGHASGPGAATTRPAASGAGASSHR OJF2_RS06690 MSDNLLLTSLWLVPLLGVIATLLVPRRQEAATKYVALGFTGATF VLSVVALGVYLGDGNARRPLAQRVVQNTLQAESGGLLTIADESTGGGDLVVRRAWIPY FNIQYYLGLDGISLSLVVLTGLISLLACLASWNITKQVKGYYALYMLLVASMMGVFLS LDLFLFYVFFEVMLLPMYFLIAIWGGDNREYAAIKFLLYTLFGSVFILVSVLILYFWQ TDLTTISTINATGGSVQFRGHSFDIVELTNIASTTSYYGRDIQAWVFILFLVGFMVKL PSFPFHTWLPDAHVQAPTPISMILAGILLKIGGYGMIRLAWPLAPAGAYDWSYFVAAL GVFSILYGALVAMAQTDFKKLVAYSSVSHMGYVTLGLAVMNLQNDPQYYAYGVNGAMF MMLAHGITSAGMFFLVGVIYDRAHTRDLDKLGGLNNIMPLYGAISYVIFFGSMGLPGL CGFVAEVFVVLASFNYSIPLAVLAAMAVILTAGYILWTIQRVFLGRSEAWKGLPDMDL REVAIAVPLVVLTIAMGVFPNALVLRWMSPSVDQMVDSVVHARELNVQVPRTAEHAPP PAQRTAIVDSRGR OJF2_RS06695 MNWQVGLYVAAVLIPLAAFAIEVLFIRQLKRLNAYVATGAIALS FVLSLAGFIEYYLVEASGVFAEHHAATAEAAGEEHADAGGHEAAGEAVHGPHAWAASY DWVVLDGVGKGAEGSRPAALSFPLGVRIDNLSAIMFLMVTFIATLIHVYSMGYMHDDA RYPRFFAYLSLFCFSMLGLVASANVFMIFIFWELVGVCSYLLIGFWYEEKANCDAANK AFIVNRVGDVGMLIGLGILWTQLGTFNINDLNTGLRAPDGSFHQSASSGEGVVEYRPA AADGEPAAPVRIPTWLLTVAGLGIFAGCCGKSAQFPLHVWLPDAMAGPTPVSALIHAA TMVAAGVYLVGRFFPLFTPDALLVIAYTGGITLFIAATIAVVQTDYKKVLAYSTVSQL GFMMLALGVGGRAAGLFHLITHAFFKALLFLGAGSVYHSVHTYDMPALGGLSRKMPIT AGTMLVGTLAICGVPFFSGFYSKDAILAAALARVALSPGHFLLFVLPALGATITAFYM FRMWLLTFAGEPRGFAAPAEARAVHDTLDEEHELAREDEAHGHGAGHDDLNPVAHAHE SGPIMTRPLIALAACSIFVGWTVWLGLPIGTPVLEQMLAYGEPAGVINGHWAHGLALL SSLAIAATGIGLGFLYYAPPGLPYFVPLRLSPAAAARRFHGLYTLFRNKWYFDEIYRV ALVQPCLGLARFCGRLDRFLIDGVVNGLAALTAQLSRFDGFFDRVGVDGLVNLVGQVT YLLGDRGRMLQTGKLRNYLMFLAAALVGLFATVFAWVST OJF2_RS06700 MPTVTVDGEKSFEVESGKKLVLAIEDAGIDILHKCGGNAKCTTC RVEILGGDLEPPVPAESARLAREADLPSNVRLSCQVRVNGDLWVAVKNRASQQGIDAG PRPMD OJF2_RS06705 MFHFRRKVRRTPPRAFRPGIEGGLEERVVMSTMSLRQYVGTSVA LLRNPSARLARNVNLPPFAKDAPRWNREFRTIHAAVTQTLRGGKAVNVVTVDGTRYRV QLGYIANTIATSQNDQAGGTYTQTTTSAASIIQPSSYPQPVGVVRAYAMPDGKVGIIV DGSTANTELTINPLPAAQRKGYAHSYAYGQANQGHLMNVGQITVNSGQIGTIEGFHSA DLSGPITIGGTSTVDRIAFNSIQPGASINMGGTLNTLDVLQGINLNTGSSLSIGRDLN LLNVGGDINLSNGSQFLIGRDLGAILQPPKGTGSGSNVLSLNQSLVGTTSTVQEPSVG AFIQGGINIGPGSAFVIGRQVDTPMYVIGNVTGASRLVIPATGPNAIINNVPTAIINI GSVTQ OJF2_RS06710 MRLDEERESENVEDRRALSGPMVIGGGLGTIILVLLASFITGAD PRALLQQIGQRQQQQQQAPAKDGKVEETAEEKANRILATKTLALTEDVWTELFAKKGA RYEPTTMVLFRDQVQSGCGNASSGMGPFYCPMDKKVYIDLAFYDELARRFKAPGQFAQ AYVIAHEVGHHVQDLLGISEKVQRLQQQAGKEEANALSVRLELQADYFAGVWAHHAHE MKNILEQGDIESGLNAASRIGDDTLQKQTQGYANPDTFTHGSSAQRVRWFRKGLQSGD MDGGDTFNASDL OJF2_RS06715 MRTVAAHALTAAVTLGLVAAFFGPRLALRGQATKSARTEPVPEV SYAQFPGAGPDDRGSSRDVPPLPIPEANAQPPGKPEDILKELDPEERNNVQVYATVNK SVVNITTESEGVGFFGDETSSGSGSGFVIDRQGHVMTNFHVIQGAGAVRVTLFDGSTH PAKVIGQDASNDVAILLVNVPKDKLFPVTFGDSSRVLVGQKIMALGNPFGLERTLTTG IVSSLDRSLKAKNGRMIKGIIQTDAAINPGNSGGPLLNARGQVIGMNTAIVSQVGQSA GISFAVPINAITRILKALIEDGRVIRGDLGIARVYATGEGLLVMQLVQGGPAEEAGIQ PAQVRQERLGMGFVRRYLDTDSADLIVAIEHRRVRTVDELLNEVEKNRPGTAVRVTVV RDGKPMDISVTLGES OJF2_RS06720 MRVVAGSAKGRELAMVPGRTTRPIMDRVKASLFDILRPRIEGVD VLDVFAGSGGVGIEALSQGAAHCTFLDLEPKAVAVIRKNLAATGLAGRASVHQFDALR FLRTTPQSFDLIYVAPPQYRDMWVETMAILAGRPGLLRRRDDAPEDEFAGGLVVVQIH PKEYRELGPGPLRETRQKRYGNTLLIFYEPAPDWPGGEAARPDEGEAGPR OJF2_RS06725 MTRLAESLQAVVLLDDRGVADRDSAFAAIVDAMAAAGAIPPALA GRAVEAMIDRAELGPTAIGEGVAIPHAWCRGLERTVAALGVSRKGLGGYESLDGEPVH LVLAILTPPERSAEAAKQALFDAWLGRLRDPAFREAVRLSGTADDVRRRLRDADAAS OJF2_RS06735 MTVSATNPMADLYRRLKSVGLTKRKVRDFILPDWWDDQIAVNPA AFAEGISYISRHLGLDLASLRDPSGALRFRDTGVCKFKKSKGTSEDQLQLTRSLATRV AGLVNAATMEPCCPLPKTAAQMRSEILGQGQPWVSLSNLLDYCWSLGVPVVHLSSFLT TRQPDGMAVKVRGRPVVVLCKKVKASAWLLFILAHELGHIALGHIPDNGVLIDENVDT NENDDEEAAANAFAIELLAGAKEAKFRAGGRWPNAEMLSQRARELGRELQIDPGHIIL NYAHTMGKEFFPVANAAIGKLGGPDAIGIVRRKLAQHLDWSRLPEDSSEFLMRVSQAE NTSDLPLGQ OJF2_RS06740 MIYLSDNDIVEKLAICDLLDDALRAFDATRADVLVVPTLKNRIG IGMARPKVIRRLGAEVADRLMEFLGTVREVNDYSYQDHDLLESLDDSVEIDAGEIVLL SATAKLGDYLLLTGDKRCLKAVASCPECEHIAKRIRGRVVCFEQIILRIIDVDGYDAV KAKVIPVLHACDTALRAAFGSGMHATQSNTCDCLQSYIAEIRAFPIDLLMDGH OJF2_RS41150 MLCPYCLKVIHFRPYDLLPVNPPVPEVKGLGAFGVGACPSCGGT ILITSHLLPAKRGVEPTDEEYSGAIIWPRRRARKPIPPEVPHGFAGEYSQAVAILEDS PMASAALSRRIIQRVLREVHGVSMPNLQQEIDEFIRSKRPPAYLENALHAARQVGNLA AHPTREIATGEIMEVEPGEAEWLIEVVELLFDFSFVQPEQLTQRKAALNARLAAAGKN PIP OJF2_RS06750 MLITTSKHIESPPGIPGVRLRPELANSQTAQAWLDQLEGPRPTP VKVGNHVAFDGDARLYYAVREHLARLKGGREESVRRTRDLGLGGPLALKLWEALRIQY PERWAICPRCSGTGKEPDASYCPKCHGPGYIVTLSRE OJF2_RS06755 MSMKKLVGTVSSVDITAQLYDDGTWEVETPEGPNDRGAAAVFKH LFGPAGERGPVEGRRERQLRLAAEALDGFLLLAESTKSDDSDPGDRPPQTLDAPTRRR AHHNEQAY OJF2_RS06760 MYRLGTKTVVDPGRIYGRDMRRHELLSAEEERRLAQAARRGDRA ARARLIQANIRLVAKIAGEFRGRGMDYDDLVCEGNVGLTRAADRFDSDRGCRFATYAK HWITEAIRAALRNTATTIRLPVHIYGLLAKCRRVERSLFRDRGRMPGLDEVATHLGLS ETQVGMVEAARRARRIKLESGLGDDGGPWSPEEAVDGTGAPESDLERADEREEVLRRM GLLNDRERMVVTLRFGLEGHAPQTLAEIGRRM OJF2_RS06765 MAPKLRQIIGLAVRIAASRASGLPTDGTAPGWPGFREEEWTRLS KAGSQPGSADAIFVEALARHAYEVRVGRGRTGESVARNARLAGTLT OJF2_RS06770 MPPFLAPARDAAEGRELAPAPSAGETDQMPPSAFHDQLARDQAN RAGRARDAGARRRSIDPTTCDSDYSAAETEFMQAMAEYKERSGRMFPTWSEVLEVLQG LGYAKVELPA OJF2_RS06775 MSAKSQVEVVLSDDLWKSLGRRARELGVPLELLAAGLVCDTIQA AAEPSPGRRRGDAPSRVRRPRTESHRPARTVRH OJF2_RS06780 MTEGQAPAANPQPEVVVDDSAATPSYANFCRVTATPEEVVLDFG LNPQPYATGRQDVKAAQRIVMSYFTVKRLAMVLGASLQQHEKAFGVLELDINRRASAT VPPVPPAGPVVHSSPPEVIKLDR OJF2_RS06785 MDVPSRRGTPAASSYGATLGQASLATTSTPLAHHRSVVRTSESS ARSATDTSAWGRDFARYVEGQAEEIVEAVARKLIRPGRGYDLELVRLAVEHAVAGRRP RW OJF2_RS06790 MIIMTKTYTPELTPEVLDRLEDYAAVFRDDFSHKKQALWSGVYL QGLLHDGERKSIEPLSGRVQLPHGLNSRDPEQALQQFINQSPWDDQALASRYRRHMAE TFASPEGIFVFDDTSFLKQGKHSAGVQRQYCGALGKKANCQVAPSVHYVSPTGHYPLA MRLFLPERWVEDKKRLDEAGVPLAFRQAKAKGSIALELLDTVRGEGLPGWLAFADAGY GVSEEFRTGLAARGLKYIVGVTDEMVVFTEEPKWEVPGPDERPAGAGGRPRTRPRLAE GAARPVSLKVLAAQTRLRKVTWREGTKGKLSGHFAWLRIWPGGGWARGACAGDEPIWL LIERQADGKLRYAFSNLPPGTTRLRAVRLWKSRWPVEQGYQQMKEELGLDQFEGRSWR GFHHHVCLVMLAYGFLALEQLRAKRDPAKPGKKGIPSRRSPSQRSVAPCSGC OJF2_RS06795 MQDSTTKQRIAVKFHDLAGLMDERMSRQWAAAEASAYGWGGVRA VSEAIGMSPHTIRKGSTELAGREANPDIPIPPRIRRPGGGRKRCTESDPELSAALELV VDPVTRGDPMSPLRWTCKSTTRLAEELTRQGHPASPSTVGRLLKAAGYSLQSNRKTKE GGGHPDRNAQFEHINAMVKAFQENGQPVISVDTKKKELVGEFKNGGREWQPSGHPQEV LVHDFMDKELGKAIPYGVYDVTGNQGWVSVGIDHDTARFAAEAIRRWWKKMGSRRYRG ADRLLITADGGGSNGSRCRLWKVALQELARGLGIPLHVCHFPPGTSKWNKIEHRMFCH ITQNWRGRPLVSHEVIINLIANTATDRGLTIQAELDPGSYPTGIKVTDEQLAAVNITP NAFHGEWNYSILPGKREK OJF2_RS06800 MRTTVREKLRRSKRRLERRLENRPGEERESPMFTATDVHYELSS RARGIACGGVGALMMVARASGLIGDIDSRLKLLRRHLPYHESDHVLNVALNIAAGGSR IEHIELRRNDEAFLDALGAGRIPDPTTGGDFCRRFEGPDVLDLMDAFNAARLRVWRQQ PAGFFERAIIDIDGTLVGTGAECKAGIDIAYDGTWGYHPLVVSLANTSEPPFLADRPG NRPSHDEAWVYEEKAIALCRRAGFREVLLRGDTDFSQTRRLDRWDDAGHVRFIFGLDA MPNLVALPEGAYGYLERPEPPIGTVPRERPERHKAKVVEAREFETIGTLEEMVAEFDY RPTACDRAYRVVVLRKRLARDKGQMRLFEEYRYFFFITNDRERPAEAVVLDANRRCNQ ENLVAQLKGGVHALATPVDDLVSNWAYMVMASLAWSLKAWSALLLPISPRHAERHEAQ KRALLAMEFRTFRAAMIEMPCQIIRGGRRLIYRILSWNPWRGAFLMRLGASSE OJF2_RS06805 MGGLAAVDHDQPDVWTMPGHPIDDAGLNVKLKAVLAETNPGHLA GAWLPYGQMVMAAARASSDPAWAIDHHDPLVRRVSGFVRFLATFYSSCGRSSCVVLAK DASRAIGAPQYAIVGILKQLAAMGVIRSIPPGPGCFSPTWEWIGEVGRSGTPVRATA OJF2_RS06810 MGTEAPTPIVIPVRCAPKKAPCPRCGKRGRRKRTITRRVRTVTY KAVAYLEVTYGEYAARCECSTTFRNTHEGVIPRAAYDNKVRDLVLDRILKDGMSVERT LRSLRRDFLLDPSSGFVYDVLRDRAAQLDMATHRREVLDRFSGALCVDELHLGRFTLL LATDPLNDLPVAFALVAANDQSHMRRFLGNLKTWGLAPEVVVTDGSNLYPAVLAELWP DAAHQLCVFHVIKDINELILDAVRRMRTAMGRRGKAGRKKKRGRKGAKAKAAAKRRGL TVKEKAHFVFKHRHLIVKRRENLTEAERGDLKRALEYPPALATLRRFADRIYWVFDTP KDRHQAACRRSALVRDPAFLAVPELVKAMEQLDEGKFAKLMAYLNDPESRRVRTNNHV ERTNRVFRFLEKVRYKWRRRRTLVRFVALTLDGIWREWTRAETRGREVPDEAGCGESQ TQTTQQSSQSA OJF2_RS06815 MDTHQPVACEGLATALTLADIARDARRCTRTIQRAVNSGQLPPH DFEVGRARYWRRATVEGWLAGRKGV OJF2_RS06820 MNVDLRISPDLLGGGASGRFAAAIPDSLRASGSHAVLSALAAVG GVVGLAVRARWGTRRFGVNHEVVLHGPGAWRAIEASLDPLRGSDLLLDGDRANHYNTM LRGLKTRPQGVVAAIRDASQLVGEILLERQVDTTLVLVTRALLADGAATGGMLKGADE TPWATLVERHEEIVDLDSP OJF2_RS06825 MLACKRPCVSLLACVGDNVVPVIPRHCQAGALARLVWGLADRPR SDLQPARADIPEDIVEGWEDLLRGLMGVPFQGPDRPLLVDFEADALREFEVWYSASVR RLETRGTSMDRLLRDAEVRSLDRVPGLALAFTLIDAVEAGDPIGEGVAIGPDVLCRAI ELRSALSAHTEAALATLRA OJF2_RS06830 MPGLSPVERRLWGYLRDGRCGVTFRSHSAACTSGKFAFVAPDAK LAVDVGDGNRGHDRSLASKGWRVLRVAVTSAEADPGAVVLAIGRALQEQAVNPIGFGT FEADLDGARDS OJF2_RS06835 MAGYGRSWTDDAYGYGESRPGIKPAAPDAGDYMRSPQTFGTPPR VRAMEAKAGSIGRAFTEDQNRMIGRAAMSNADIRRESDGQALSMMSPEQRSAYDANTA RDLGRRFPFIAANPGVAAQQPGAALAGIAGGLPGLEHVAPQVPAAQPGPRIGVAGAPG LGRPGVAICGAAAPPGPQAPARIQPGGPIAQGAAMPGGVGAGLAAPAGPAPGAAPRPP IQNMSPEFIAGVAPRVQKHYMQTAPDAAGGSPFIAAFGRPGVSGREFQPGGVRSLPMP PGQQHAVFDPFKPTGVVPEEIQKANAAWVARQDAQTSLTSAQAEQHRATAAFMQRFGP LMAARELNGMFDPKSPAGQQFQGGLEDLMRQAFPGLKPAAPGVPAAPHPPAIPAMPGD QATAGGRPFMPFPPGLKPPTTPGTQAPAPPAAVAPGGGLGAGPWGIIPNPVAPPGYRP SRAPLEDFLGAIFGGWGNP OJF2_RS06840 MPKPCAPRGDATAPQQPIEPLRDIDDLATILKACRRIVERYRAS GRLPKPDFQLGRCPRWRPETIRAWIASGGVPAE OJF2_RS40440 MRLVIPANTGRPGAFRASQGRTTSASPAAMGCVASRLFFVYPAG TRTVGGLASRLNLSGVSDRSSSLRNPVPIASAYSIARSAPAIFMTSGPAEVVSISFMA SSEVRALLVRLRSASELLVARWARRCSLAR OJF2_RS06855 MNRRRFLEGTATGLAAMLAAAGGGMARKAWAAAAPREVAADLVV IGGGLGGCAAAIAAVESGLTVVLTEPTDWIGGQLTSQAVPPDEHPWIEQFGCNARYRD LRDGIRDYYRRHYPLTAAARADRHLNPGRGSVSRLCHEPRVGLAVLTAMMAPFASGGK LLVLLEHEPVRAEMQGDRLRAVVVRDRRTGAERSLVAPYFADATELGDLLPMAGVEFV TGAEAQAETGEPHAPAAARPDDQQGITCCFAMDYLDGQDHTIDRPEDYASWRDYAPRL TPPWPGKLLAPHYTHPVTLKPVAQPFDPRGAGAGLWNYRRIVDPRNFEPGTFPGSSGM TLVNWPQNDCWHAPLVGPGVTPEQAAEEVRRAKQLSLSLLYWLQTEAPRPDGKAGWPG LRLRPDVVGTADGLAKAPYIRESRRIRAEFTVLEQHVGTDARRKELGKADVSAAAFPD SIGVGCYRIDLHPSTGGTNYIDVSSLPFQIPLGALIPRRVENLLPACKNLGTTHVTNG CYRLHPVEWAIGEAVGTLAAHSLATRLPPRAVRNRPEPLAAFQKRLVARGVELTWPTL TPR OJF2_RS06860 MLIRATSRRSRPTASPAARACRSARSASVSDWRASSRPIRPSAT ASRNARASSADPNGSPSARQASERRIIGWLPAAISRARAKNASQAASPPFSRAFSART TSLAWGNIVASIGSYPSTRPIRYRSSRSNRLPPWKACRSRRQVCSSHARPAREPSSSP SMAPASPPNSSAASSHASSRRLSTATREATPASAASRRPSAPRTSRSR OJF2_RS06865 MGQFACAEKSNEIAAIPELLRLVDVAGGVVTIDAMGCQRDMAAV VVAAKADYVFALKDNQPTLHHAVVDHLMGRWEAGFAGDRAGRDRTEEAGLGRREARTY IQLGNSERVDTRPSLYRA OJF2_RS06870 MLAGSSGPTSIAQWAALKKDLLASVLSMPHGVPSKDVFRGVMMA LRSSASQARFAALLRSLRGAAAAEIGVERPTLAVDGKTLRRSHDRKGGLGALHSVTVR ASE OJF2_RS40445 MASELRFGLDEILAFFQELEDTRSALNRKHPLATVVVVAVI OJF2_RS06885 MARRTFFDVPSLEKQMLRLAQSIALIRDRDWVALGEQVSPVQYE DEEFTYIEVELPGQESLECDICLCGGRTVIRFAR OJF2_RS06890 MGLHITHWSSEDLARQAILDGIVSSITGRTIRMILDRVDLQPHR TRYWRTARPDERFKERAEKVLWCYANATRLAERDVWVVYIDERHNKQVLERSPIRRAV PGSTEQQEFEYTRHGTVDVLNFLAVHTGRIEAVTPEANDAGHFIPALEAIRRGHHRLR GGFLVMDGGASHIAGETADYLAGSGGW OJF2_RS40450 MPAHASWLYQAEILHHAFGLRYLKRGSWTSREEYISHVAASWPE YNRLYAHPIEWTWSNQKMRRWFEEYTL OJF2_RS06895 MISRTLVQRRFRYPFAVLTAALATAIRFYLSPLLDMRPGLPLPI AAAMLTSSLAGLGPGILCTIFCLFAWCYWFTEPIGELIPVHNADIVHLGLYTAVAWAI CLWGSTLRDFRLQSARHAGLLDQAGDAIFTWEPGAGRIRSWNRAAERLYGFAAAEAID RRGHSLLATLYPIPLEEIERILALEGEWSGELTHRTASGATVVVWSRMALAIGEDGLP LVMEANRDLTERKHAEAANAWLAAIIESSDDAIVGKDPDGVVTSWNRGAEVMFGFSKS EAIGRPITFLIPEDRIQEEVEILARLREGGRVDHFESVRRTKAGHNIDVSLTISPVKD AEGRMVGIAKTARDITDRKRVEEAVRQKRELQEQLASIAESVPGVLCSFRMMADGRAS MPFATAAIEDLYGFSAAVLAEDFSPVYEHVHPEDAEEMRAGVREAFDAIGPWHAKFRY GHPSKGQRWVEGWAQPISSPSGSVLWHGFLMDVTERERAESARVELERESRSILDSIP SHIAVVDESGMILNVNRGWMQLGEVLGSSGRLPEGTNYLDACESAAGEAGAQGRTFAE GIRAVLAGQSELFQMEYPFHLHLDRRWFIGRVTPFTEGGPRRAVVSHHDVTALKLAEE GLRDRERMLKRSQAMAHLGSWVLQLENIHDPSVNALRWSDECYRIFGYEPGEVTVTNA LYFDAIHPDDREPRLAVVAESVRTGRPYQLEHRIRRPDGEARNVHEWGEPVVGANGQA LRLEGTCQDVTDIRLSEEALRQSQELLTLAVQSADLGVFDWDLSGSSIRWSPRMEELY GFPAGGFDGTYESYRERLFPADREDADEILANPGRTGERYTLEHRLVLPDGSTRWIAT YGQFRLDRSKQLSRVSGVAMDITERRRSEEVLRNYASRLAHLRKVDAAILTARSSREI AEAALRHLSALIPCGSATVAMVDVERGQLVPIFTLGPLGDWYPAGLRQPLPAADAPAI LCGWKEQMVVIDDVRETPSEHPAIRGLNDRGLLSLARIPLRDREEPIGFVLLAAGGTA AYTSAHLEVACEVSNQLAVAIHQASLFDELRATKNRGEELARRLLRAEDDERRRIARE LHDEVGQTLAAAKILVDRVRSAKIRGEFAAEASDLIRHAMDQVRDVSRLLRPAALDFA GLGAALRALAEGVAERSGLALDLSLEANIGRVPPEVEIACYRIAQEALNNATKHAQAA RLGVSLYSERVDLILVVSDDGRGFDVAAASADAERGVSLGLLSLAERAAMAGGNVVIE SQRGAGTRIVARFTGGIDDWQESG OJF2_RS06900 MESIRVILADDHTFLRLGLRALLEDAPGIEIVAEAGDGEEALDL VANLRPDVLVTDINMPRLDGLKLASRVSADHPATRVLILSMYADREFARKALSSGAAG YLVKDAGEAELEAAIRAVARGESYLSPTISAHLVKEFSRLARAETTKPNPLTARQVEV LKLVAEGMTTKAIARCLGVSIKTVDTHRTQLMDRLGIHDVAGLVRYALRQGFIKSEE OJF2_RS06905 MIATLQVVDGQAAYYDELPRTIRGLHDYMGYPDFIDPDGKFARR ADGTVILGFGKYKSRPLEAVARNDPGFLEWMLRSDFSEEAKAVARGALDTLSKGANKS HRGSTAVRTTSRNHTRGHS OJF2_RS06910 MNEGACLDPARIREILASGEGAMDAESARHLEACEACRGLLERV DANLTRRWRDSLDLEGLSLKGEPLFREAAPAEEATEVRFPRSERPDSLGRLDGFEVLE RLGGGSMGTVYRAWDVGLGREVALKVPRPELAARPDLRRRFEREARLASAAVHENLVR IYAVGGQGAEFALPYLVMELIRGESLAAVLAREGRLGPGRAADLVRRVGLGLDAAHAT GLVHRDVKPSNILIEARTERPLLADFGVAKPAAEDEARLTIDGARIGTPAYMSPELCS PGGTAGPRSDVFSLGAVLYEAITGERPFRGATAAAVMRQIEHEEPVPPRRLDERLPRD LETICLKCLAKEPGSRYESARALAEELGRFLRGEPIHARPAGRAERLARWARRHPELA AATAAAVAGGALVVALSVAFGVSQRRAAAAIALERDRADTNLARAREAVDRMLTRVAG DRLDRLPADLGHAREGLLRDALEYQLAFLRDRPEDSGLRLDACRARLRAADIQLWLGR LDEAEASYRRGLAELDSLDRASPGQPEVARERAGGLHNFGHLLGARGRDREAVDAYRR SLSTREQVAASTGADEDGRKLVQALDSLGSQLVLSDREEEHAEAAALFDRGRALAESL LARRPDSEELRVQLGAILHNAATDRHRRGDMDGVLRATRRAIEVVDAPRGETIRASRA RLLARVLDLQAQALSGLGKAEDAERAYRSAESRLADLASGFPDEPQYRFDVAAIRQNL GNLLQGSGKQAEAVPVLTRARDALAALAAAYPSHYEYREALATACNSLGNAIRTTPGG DPARAEASFRQGLGALAEAQGEAARRPDYRSSLAMLRHNLGDLLHATKKGAEAVPLLR QAVEDERAALDMAPTNRHYRQALSDHYWSLAEASLALRRREEAAAAAEAMPPLFPDRP AESYYAAGFLSRCAAIALNDPSLPAESRAAKAEEHAARAVRHLKAAVEHGFADAANLR TAQAFAPLRGRADFAAVLASILGSPSPAPR OJF2_RS06915 MSDVTDTSATLLQRLQDPGNADAWAEFAGRYGRAIKGWCLRRGL QEADADEVSQGIVAEIHDRLRRFSYDPWRGRFRGWLRAVTHSACANFLERDASRRFRP LVDDLPARDDLDAEVDREARRELMEAAAARVRARVTGRDWDVFRELTSGGRKAPEIAE GRGLSVASVYMIKHRILAQIREEVRRLDGGDPGAGES OJF2_RS06920 MSQHGRRDPGRHDRRDGGAYEGRRRRARRARPAIEDLERRAMLA GDWAESNNSLSSPYVVGFVEGTLTYQNLSIHSSSDKDYFLFQVDGGSNSDDFVRIQFD GDQGDLDLKLYHEYYDPWYGYQFELVGSSSGVGDAETVSLSGLPAGIYAAYVFGYGGA TNPSYSLQVAAPGTYDSYQRNDYPWTATDMVTVRDQYTYGRQSIDSRDAAAMGDWYSF NIPRGGVAGNFAAIDFNAAYGDLDLRLYDSDLNLLDQSTGSGNHEQVSFNRLPVGRYY VQVYGYAGATNPDYALTINEAPVRPDAMEPNDSLGGARDLGTLQGTTIKDGLSIHSST DQDWFKFTTLATSRPGDGVGITFDDAVGDLDLYLYDAGGNLLGSSRGTGKVELVDMGG LPAGTYFARVQGFLGDTNDRYILALQTPRATAAADLLEPNDTRATATALGAVSGRREV ANMSIHAGAGGQPNDDWFRFDLAAAAINGHDARIEFDATLGDLDLELYNSAGTMLNRS AGVSGVEQISLAGLAAGTYYLRAYGYGKATNPNYKLIIDAPGGTGSGDLYEPNNTRAA ATNLGVARGYVVVGGDEDHRLSIHDGADEDWFKFTTTTAGVAGNDVSIAFDHGLGDLD LELYDSSGNRLVSSAGVGDEERISLQGRAAGTYYARVFGYNRAANPVYSLTLRAPGDD EYDVSPRNDASGNASNLNDTAGNVQGEKVLGHLSIGAGDVDWFKFTTARAGGVNDYVR IDFWNELGDLDLDLFASGDLSTPVRSSAGAGDRERVPLQGLAAGTYYVRVRGASGAVN PDYALTIDAPRIPTADWAEQNDTVSAATDLGTIAGSYEARPLSIEAAGDADWFKFQII RTGQAPHYAAISFDHADGDLDLALFSSSNTTTPIAVSDGVSDLQSVSLAGLAAGTYYL RVVGYNNATNPHYVLSVDAPARLVADAYEPNDSASAAVDLKALAGVFTRDGLSIHTST DQDWFKFQIGAGADASSYAAIAFDQSLGDLDLRLYAADGATLLASSATISGVEQVSLQ NRSAGTYYLQVVGYNGATNPSYSLTVSVPRAGGDRAEPNNEAASAYDLRQVEGLQAFT DLSIHASTDQDWFRFTTVAAGVGGDYVAIVAPYKLGDLDLELYSSSSSTTPVLTLKGS SKTTDDAETISLAGLPAGTYYVRVVGNRGSTAGSYSLVVQAPRRNVPIDWSEPNNTSS SARDLRTVEGFAAWGGLSIHAGDADWFRFSTTRAGTAEQFVAIAFDASLGDLTLELYA LSNLATPLAKSAGTGNVELISLAGLAAGAYYVRVVGASTTVANPNYVLGINAPVMPVR DFAEPNNSLATAYDLRQASGSLMLGGLSIDSSTDQDWFKVQTLSTGLPGDVVRIDAAY ADGNLELALYNSAGTLLATSASSADYEQISLQGRPAGIYYIRVMGTAGAVAADYTLSI NASQAAGRIQPDFAESNNTRATAYDLRQGMATSSSSRKGGLGGVDGFIGTLYSSVVVP DYGTQTWSSGNAAFDAGVAGFREAYRDQMAQQTIRNLPSILSSVNNQVVSAVMGYVPS LGTSILESAGFTVQPKSYEQLLLEQYQQMQRQQYQAQLAALAEQRYQQESQQAMAQSG IVTSNIIGSALGNLQGAASSVLGSLNLGGAGTSAPIYNSAGYTSPFLGSVYNSPSAAY GGYGAYGMAPAYVFVPSGMWGAGRSYDAAPMAITGLSVHSSSDQDWFKFELTSTGRDG QYVGISFDDSLGDLTMELYDAAGTLVEKTAGAGGLEKIGLERLAAGAYYVLVRGAANP SYTLLTNITPAATLTPDWSEPDESTSAARDLRRLEGATTIRGLSISSASDNDYFTFST ASAGVAGHSIRLAFDRTLGDLDLQLFSSTGALLATSAGVGDSEEISMAGRAAGTYYVR VYGYAGATNPSYSLTFDLPRVTAIPDGLEPNDSIATAADLTNASSTNHLTGLTITPAA GGRAADVDYFKFTTTGAGTAAHAVSLRFDEAAGAVEVSLVNASGQVLRAATAGTGLRR IPLEGLAAGTYYLKVAGKTTAVSNSYSLDLDAPMAPSGARDSWTILVYMSVGDLEDEA ARNVNEMELAASFLPADVHIAVLYDQSAAGKKFATGAGAQAAWGDTGRAIIRGDLDRD VIGTTFDRTIGEQNTGTSAALVSFITWATQVAPADRYALVLWDHGNGFRGFNRDNLDN AGSDNLTTAELASALSSTSAFFRPSVLAFDECLMAMAEVGYSLRTYADAIVGSEENEG PEGQDYASVLSALATRPRLVAADQLASAFVQSYQDRYRGDRGGADTQSAVRTAGYADL ANALKAFVNAALAAGTAADWDLIRQAGAAASSFGINPSGDPGYRDLKQFAAWIGANAG ITASIRTAANAVASAVTSLMYAVAIDGRTTGGQSIYLPAVGTAIDSTYASQYASFLTA TSTATTAPDGWLSFLRKYVQGTAAQGTLLDWAESNNVAARAFDLGFLAGPGQNFSNLN IHQASDLDYFRFAIGAAGAATSRVVASSAGVKLSLYNGQGALLATSSPVGGLPTISLS GRGAGGYRLRVEPAAAGTTVASYSLTIDAPAAPAIPADWAPGNDTRAKAFDLGVVNGE TVFAGLTLPSSTSVDWFRFTTPRIDASVASGTNKIHVKTTAGQRLTAQLVSSSGSVLQ AVTGAGDLVLTYAIGDAVSYSLSVTGAAGSYSIHFERTGSAGRGMWDGPAPAGVQSLE APAPAKTIPGPSPFSGKAIPGFAGRASSPRLSTRERGVAASARLMPSFVASADRPFVL TPGDLAAEQHVATPPHRRRKR OJF2_RS06925 MCKVRRGRAASPAVGWQLLLAPPAAGKLVVDFVSVAPEFGASVP EPGGPLSNSLAAASLAMAAARRLRKARNPLAAGCIGHRKRAGKVLGPGNGPKLKQSDA LQRNGATSGRSP OJF2_RS06930 MKCLTWKISYGGAAALALALGLGVGDARAQEGTYPVPPTSTQPS AIWYVYPGTNSWSGYAPAGGWAGYVPPTAAPPSATAPTRVYVQPTQPAVGPASPVVTR PYVRYVPAARRSAPATPPAHYREFGTGRNVFLHKPWLPNQ OJF2_RS06935 MRGKAVAMAECRQGTIEARLEGLEEANRSLAAECRRWRRGGFVA LAGLAVLAAAGANGLTKVLEAEQFVLRDPAGRMRAALAIRPDGSPGLGLFDEKGRPRI SMDVNPTGVAGVCLLDASGHPQAALAIRPDGTPGLGLFDPDGTPRLSLDIDAVGRPAA HLHGDDGRLRAALAIRPDGTPGLGLFDEQGNPSAALESKPREARPQAAARPEPPAH OJF2_RS06940 MRRRGRDGGPGAIARIRDDSRATGVDAGLAAPGPSARGRPLRPR PAPRDRRRRPRGRARRVVARAHEPLAFRNRLFEDLVEELGFTAIAVESAFPESRRLAD YVAGGPGTPEDVVAGARTWWHEPLEESLQLVRWMHARNARPATRRPIRFYAIDLSYTG PWGSRPTPAGIAAALAYLGRVDPASARTLRAASEPWLRRLANPTEPWTRPEHDAFTAV VDDLVALLERERVDYLAAAPAADYDWAHRAAVVAKQTDRMFRVAPTDPPGDRVPSGAW RMINARDAAMAENVLWALGQEGPAGRVLVVAHNLHVQAAATAGGPWGALERMPTSMGQ HLRAALGDRLVVIGMREAAREGDAASPPLPSAPRPFLLDVRTAGEAPPRAPGATFDAL LVLDPATPARAVGAGH OJF2_RS40455 MMLAGSVAILFAALTWAIGSLFLRTADLPQSAPLATGMQMLTGG AMLTTLGLMGGEASRFHPAAVAAPSILAWLYLILFGSLLAFSAYGYLIAATTPAKLST YAYVNPVVAVLLGSLVGREPVAPAAWLAMVIIVASVALVTSGDREREPSEEGPEAYEA VLAEQA OJF2_RS40460 MLAAWSLARGAARPTRGQWLRAALAGVLMLVSGNGCVTWAEQYV PSGIAALLVASEPCWLVLVAWGFFGGRRPGLRTALGLATGLLGVALLVSPEGATRAG OJF2_RS40465 METLKESATVLIPGAWAMQADRKTWLTPAAFAAVYLIWGSTYFA IRIGLESMPPLLMAGSRFLVAGRSSPPGRSRAGRPGRLEVSGSAPPSPAS OJF2_RS06950 MIDDVDCKILNHLQGDARISNAELARRVGMAPSGVLERVKKLEE RGLIRGYEARLDARKLGSGLVAFAFVRSDDRVGGIESAEALAAIPEVQEVHHIAGEDC YLIKIRGRTWEALGRLLRRRSASSRRSAPPGRRS OJF2_RS06955 MTGRVARLNFAKHGEANGVVLDGGEFVHLKPDGMKKLALAIGQE VTARGKATSSQAGSLAIEAEAVNGVEIGPGKRR OJF2_RS06960 MVGLLAVLGGRGRPAVALERDQFVDRMIAPGVLGDLRLGSTSTS TSASTSPAIAPALSGGTLTWTTSPPTSSSIPSISPLGAKLTRPDRPAQGLPPAVPVLR LPTHRRSSFCVPAGDGLARHNPMPSRPGPNPACCRTPDSPAKANAIPRMGGIHN OJF2_RS06965 MAVSFRMGIAWACLALTLPASGAGEDTPDKPPIHEGIRWPGEDG KKIAVTVGITIIDFARISPAEEAFEMAGYLDLSWTDPTLAGGRERAVRGRRRFRRASP ELEFVNAAEQVQRARRRPLRRRRRVVVQRVRFSHKMYLIPVRPPDAQDRRLALRPLRR GHRPRVRPRATGKLPGASVPDWAIAGVDARVESPPGGGRPTSSSSSRSRCRAAIRSIS GGSSCRSPCSRPRPGPSSGWTRTPRPAKFGTAVTVLVSLVAFSYTIDFSLPKVPYLTF ADTFSLTAFLYVLSVIFAVTAIHFIHRTRGAEPAERLQALARRAFPASFVAVILIQAA VSLR OJF2_RS41410 MEVLTELWDKTTDAFTALSENVSEGLVKLFGSANERRIRSMRPI VARINELEPRMQALSDEELKEQTAEFRRRRESGESLDDLLPEAFAACREAGRRFMHMR HFDVQLMGGMVLHGGDIAEMVTGEGKTLVATLPAYLNALDGKGVHVVTVNDYLARRDA EWMSPLFHGLGMTVGAIQSEMESTERQEVYSRDITYGTNNEFGFDYLRDNMKPVKEYQ CQGELHYAIIDEVDSILIDEARTPLIISGPAFDDVRKYAEADRIARLLKRDLHFEVKE KERTCHLNDDGIREAEKIAGLESFYTPGNMEWPHLIDNSLKAHHLYRRDRDYVVQPNG EVVIVDEFTGRLMIGRQWSDGLHQAVEAKERVKIKEENQTLATITLQNFFKLYKKLSG MTGTAMTEANEFYKVYGLDVIAIPTTGRSPANYPDVIFRFEREKNQAILDEIKEVHAT AGRSSWARSRSRSRRSFRSTCTASASRTRSSTPSSTRRRRRSSPRRAAGGGDDRHQHG RPRHRHHPGREPRVHAWADLKRETVEGGALKYETRLDVPMDVWQAAVAKYEPEMKAEG REVAALGGLHIIGTERHESRRIDNQLRGRSGRQGDPGSSRFFLSLDDDLMRKFAGEWV SAVLTRLGMQEGEAIESKMVTRRIEGAQKKVEERNFDIRKNLLEYDEVMDEQRKRVYS FRQGLLEGEPPKDKILEMIDSQIRDAPPPGSSRTTTAWPASPSGSASAWGEISPRDLR GVDFENAVDIVHDKAERQLYDASARRSRRTSRPTPSRPSGPGAT OJF2_RS40480 MPADAEPSEWTWGNLTSWANKRFELNLKEKDLRAFLVRDDEFRK GGEPELDRPALEEFLHEKAKGSIQAFDLEPGREFLQADWGRRSLAGWAHHKFGLAIDP EAWAGKDRAEVVRQLQQEARALYSTKEAELPVRVAMTRFLGDRSQQQASHQHVTPRFD REGLAAWASDRYRTVIDEEELRPMLRAEIEGLLLKLAHEQYRGVELEAELNRRLEAAF PAPSARNPAPTADEKAARELAEWAAANLGAQVDAEDLAEMPADEARSALCAALDARCR PEMREMEKVVMLQILDAAWMEHLRAMDHLRSSIGLQGYAQIDPKVEYKRKGCGSSPRC GTASPTGPPTSSSASSTSTPSSSRTWARGGSSTGPRRSTSPPTPRSPRWPRRRPAASA RPRTPPSPAASGRRRRRRSRSATLEKRWAATTPAPAVRQKVQSVLHAEAWRRRILTG OJF2_RS06980 MSEKETEHPAQPQGQTTEVVVDDSSTLPSYSNFCRVTATPEEVI LDFGLNPQPFATGRQDVKANQRIVMNFYTAKRLLTALGMTIQRHEGTFGSIELDVRRR ATGAPQQPTAYPQPPRPGAHNVVE OJF2_RS39060 MSQPSRPRAESPARRRRAFKLDMPLPLEDRCLLAPYVSDFPFTA TFTAASTATNALLGTVTVSQNFTGTANTASPITSVTELTPISSFGGDIVRIKAGPGGD FGQGVYAISRGAGANTGAINRPGVIYRVDPATGKSTVFFDLNTVMSQIDPNALNTDGK NPAANSLGASTGFVNWYDIAWDTEGIINGQPMMLVSTVDRSDPAKNAIYMINSKGQFV GAFVTLTDGQAATKFTINPTGMVIPGPQFQNTLRGLLAGSGLSVANSTFAALFFNGNS YTPGQVISNNNALPNGVTQTSLFAGTIVGMTTSSVDYFSPVYSAFTDFGTPTAGGIPA VVGSSGVQGLNGELLIGQTIPNTTTSLTLDQLGAASTTLRRFQDIAFDQYGYFSNNLT LTGTTTTNTTTTSTSTTFTVGDTVFSAGSLFVSDLATGLSTTVTSVAEGTIPAGVNVI VPVTGNGPVGIQLADPSQPYDPVNNPLVPIDYSSVTTNVLGGGNGRIIRITPQGIVNQ FAGGFNVDPAFDSSSFVNSELAISFSADGTTLYASDDSGIWQFKTTASLAGSTTGTLI GLNDLRTLGVPYNGSNSAVAVVDTGVDANSAPFRGRVARGRNLYIRNAPGNQDLAAAS GGGTGGTGGTGGGGGGTGGNGGGTTGGGQALSNTADGHGTPVAGVVAQFVPQATILPV SIFAPNLGSVSLSSTTSGGTGGNGGTGGNGGGTSNGTISQFANALTTSQVVYQAMQYL SQHPFVSDPIRPGRQDRVIASTWAFGTPETFASEAQAFKEYPQIVIALKNQLHRLRKL GIAAVAAAGQFGAPLGAGAASNTTGGTGGTGGGGGTGGGGGTGGGGNNGSTLGVTLGG SNNADNSSLGDVNGMALPAILNEAISVTGTYSFPYTTDPSTTPNDPTTGVIPNQLGPV LIFGNNLTIGGTGGTTTGGNGGAGGGAGGGAGGGGTAAAGVNVNVAAFTAADFTQYSD RIPGSVNRSVATDFAAPAINVPTFRRTFSLTGVSGTTSTSGAGDPNNHLTFTQVGTSM STAIVTGAYSMVASALDYWINLSKGAGVTSDAYLTQPVGANQLTFGKHAIKNLSAFDT QDGINGILAYTAVPATDVNDGGSLSTPALIGTTDNQYGYRGSTSAPSYARVDIGNAIA SIEGTVAINYLLKNKVFPIIDANGDGVITAQEIQGFTDTALAKGMPEAGAMARLLGGT STYAQVDAGLNNTTFNENPDQPAALQRRFNYFDYLANGQLKGGIAIQSFRMLANTLLP QPDSYVVIDRQRASYNGFLVDPTAQRNFVNLQHILPSFMWVPKSARSSWKSLAKYFNQ SPNQFGVDLNKTPGTFLPFYTLFDAVSTANVVKGQTVVQSSNVHGQTISMARSNPVVL APTSSTSTASTSTASTATGTGTAATTPPKTTTTTTTGSASTATGSGTGSTASTTTSGS QAGTGTASTGSGTGSTGTGTGSTGTVSSTGSTSTGSTSTGTGTASNNSAAIIAAIQSL ANQQQAAASNAGSTTSTGTSTGSGATGGSSGSSGSSTTTSKNDTSQA OJF2_RS06990 MTDDEYPTCARTYATLRVYPGDIDPAAITDRLGIEPSSWQRRDE AARRAGGPPRLATVNGWFLESRGRVDSRDSRRHIDWLLDQLAPRAEAIRSLQERGCRM DISCYWLSRSGHGGPIIPPTQMRRLAELNIELGFDFYGPYEEDVRMGTPVAPVQGT OJF2_RS06995 MSAAPSQAPVMPYGQAAEHLCVRLRPDLVVQPQFYEGMTHYVVK DPLALKYFRFKLEEYFLLQQFDGKQNLQDVKKAFEKKYRPQTISIEDLTRFVAQLHEA GIVQIDSPDQAKVLIRRRRKNQWRKFWAFFANILFVKIPVIDPERLLTGMYPYFRWLF TPYFVTASCGLMLAAITLVISQWDLFYGKLPEFQSFFNWWTIFSFWCSLAVVKIIHEF GHGLTAKHFGGEVHEMGMLFLVLTPALYCDVTDSWLLPNKWHRIWISAAGIYVELFLA SIATFVWFYSEPGLFNSLAMATMFICSVNTVLFNANPLLRYDGYYVLADWLEIPNLRI KSTQFFSYLIQEKVLGLEVPVQSYLPRSRRWLFVTYAVASYLYRWVVTFSIIYFLSQV LKPYKLQSISYLLAAGSIVPLAGMPIYQMVKFIRTPGRLRKVKKARAAGFAVAAVAIV AAILLIPTPLRIQGTLVLTAAKPEEIYCESEGRLVELLVRDGDEVKEGQVIARLENRD KVKELIQRQGDYAINFAKSNFYNQSFELEHRQLMRQYLRAAEELEPVIAKINEQIGKL TLIAGRDGQVMGLPHPETVGQWLKPGKPFCEVGDPKKLEAHLIIDQSDIDLIRLGRRA WVKVYGRAETTYLSEVSQIAKRSNDEIPQELSHMAGGEIAGKADPKTGQIKPQTAVYE VIIPVANPDLTLQPKLRGFAKIDGGTYTFGWWLWRLINKTFNFTI OJF2_RS07000 MKLMKTAGAVAIGLASTLSVASLAQDGPAAASALVLDELGTVDW IEKSSVAALREGVVEKMELRLGDTALAGKPIGYLHRETAELTVKKSQVQANMTGAIEK GKASSEAAIGKVARDQRLIKLKGPGMVSEEDRAIHEAEFKVAEAQVKEAVEAQAVAKA ELDMAIQALKEHTIVAPFDGIVIKRMKNPGESVRANEAVVELGKLSRLSVNGYVPLKE SFKVKEGQVVEIRARVDSPGGGREDIEGLTFRGKVTFVDPQVQALGELKRRIRAEFDN PDFKLIPGLKVQMTIFLNGDIAARIPEPNTR OJF2_RS07005 MPQETVDADLLEQTKTQIRKLVAEIADLAESDVQPAEFYVEFLN RAVAAVAASGGAFWLLDGRGGLRLQYQVEFAQTGLMDGRVATPPHDALLGCMIQASQA QIIPPGAVIEGVPQAYNPTGFALIIAPLIVDKQVVGLLEILLDPNRQAAQRKSTLRFV GDLCDLAGNYLKNRQMRQMMSQQRLWNQLEGFTHHVHGSLDLKETAYAVVNDGKRLVG CDRLSVALKISGRTMVEAVSGQEVVEQRSNLVRDLTKLCKVVIRSGEDLVYTGNTDGF APDIRDALELYVDESGSKVVVITLLHKPDEEIPGDEKEGVAKEKVPYGALVAEQIGDE VAPTDMHARTEVVARHASTALWNATEHHKIFLKPVLKAIGSPWRIFRGRTLAKIVAAV AGVLLLIGALYFVPCTLTIEGRGSLLPEERRTLYAPLQGRVSEVLVEHGDIVKKGDVL CRLESKELEKELKKLIGDRDKARSQQLIAEAQEQRSTSSQAEQDAKFQIQSQLVEAMI TSKTASQQIDIIQDQIDSLTIRAPQDGIITTWEVKKNLMGRPVDHGTELLQIAAIGGD SDWVLEVDVPDDDMAPIRSASSKLQKEIAAGTRPPSSALKAYFITATDPDHKYEGYVR RIAAKADTVEQKHVVKVTVGFDEGVRREFLSRNQELKPGSEVRARVDCGKTKLSYYLL RKVVQVWYESVMFRWPFLR OJF2_RS07010 MAPMMAVSLVALCGAIALAIDVGRIAVARLECQSAADVAAMAGA RTLNGIMPQDLDAATANAQAAAARFSIMGQPLTSGDVAVQHGTYHYDGTKKAFAQSMT LQPGESYNLTQVSVRKSCPTTFARIFGRSAFSVSATATAAHRPRDVAIVLDYSGSMNN ESDLWNCESYMSNGTSAPNNPYMTSNNPETVYPKFGHYSNEKNYSNYTNYANLLCPAA DGSNALTGNAVIGKCNISVSALGVPAMVNDFYLNGRGYAASPAFSAVSDAALDGTNRA GGDAYLWKYGSTSVYAATLKDAYNSTTRNSGFEANGYKAIQGASLKGYVQGPRYWGKT FFIWPPDPTNDWRQNFFGTTNNTKLWSSSGAWNDPPGNYTINYKAILAWIKNTGPNPF PPQLRSGNILYYDQIPTDVPASAYTHTTLNTAITDANQRFWKEYIDYVIGSWRDPSGS IHSPGDAAMSYGPDYTFGTVKISSPPSGSDTRYMAYDDNPQRPRHRLWFGPMTMVQFM SDTGILPGTAHDISMYPMKIGIGQALQDIQNNHPNDLVSMILFNRPLYSGGASGTGAF NVAQYSLTNNMQPMINSLWIPPNSGASDVRPWDANGSQTPRAFGDWCSNTASSYGFML AYNQFSNSPVLSTLDDGSYPGTGGGGRVGAQRLIIYETDGMANQGSTPSNGFYAGSYY DSYYRIQPGQPLASAGYNQTTLLQTIQNICNDNSGNPVTGTGITPFTPNQGYPGFGAL GKPVTIHCLAFGGIFETPSSTLTSSVSLLQSISAVGGTVFPSSASDPTNGFKWCIGTL DQRKAKLVTAFQTIMNLRPVPITLIR OJF2_RS07015 MFPRSRTRPVSRRPRRGAAVLETALILNVLLLGILGVFEYGRIV MLRQLMENAAREGARLAVVGTASTPEVTTAQIQACVVSYMAGQSISNLSVSVYQANPA TGANIGSWDQAPYGGAIAVKITATYRPIVPTTFGIVPNPLPMTIVSMMLSEAN OJF2_RS07020 MRIRRPGIRGRDRRAAAAVEMAVCLPLVLTMLIGTWELGRILEM QQFLNAGAREAARQAGSGLMTNSQVQQVALNYVKNALGDTSGTRTQHLAVTITVYDAD IPSTAKAVDVSQADALDLLEIQVSIPYADVRWVALPTLSGAGTLSAKATWVSLKNFPF PTTVPQPPTG OJF2_RS07025 MEMPQVRPGSVRSPEPKRRVLAYFANAAEGNLAIQLLTAIGVPN DRLGVTPPDRMEGGYGMILSIGCTDEKQLAKAEGTCRKLGARLHRQRV OJF2_RS07030 MYQARFHARSAAARLLAFTFAATSSVAAAGDEPAEPPYARQLPE VRSGPPAFAFNGKDLAGFYTYLHDHRHEDPDGVFRVRDGVLVVSGREFGGISTRDEYA NYHLVVEWRWGGKTWPPREGNARDSGILLHAVGPDDASGGHWMESVECQIIEGGTGDL LMVGGRSKPRLTCEVRTGPDGQPYFDKGSKPVTRDSGRFNWWGRDPEWKDVLGFRGAR DVEKPLGEWNRLEVVCDGSTITNILNGRVVNVGTNSSHTRGKITFQSEGAEIHFRKIE IRPLLR OJF2_RS07035 MTAVDQESENARPQPADPPVLLDHITIEGYRSIRSLDAVELRPR NVLIGANGSGKSNFLSVFSFLRSIRQGKLASYTERAGGAERILHFGSKVTSSMRFALT FKGGVNGYHASLSPTSEDRFYVHDEFCSLWNRKTHASPHTDRLHGTGGEAGISGQQAR VARWVQGHLDSWQKYHFHDTGDSSPMRKTASVNDNRSLRPDASNLAAYLYFLKTSAPS SYQLIRKTVQRAAPFFDDFHLEPLRLNDQTIRLEWIHKSSDQYFDAASLSDGTLRFIC LSTLFAQPMEHRPSMIIVDEPELGLHPSAITLLASLIKSASRETQVIVSTQSALLLDH FEPEDVLVADLEDGATTLHRLDSNELAEWLEDYSLGQLWEKNQFGGRPGRG OJF2_RS07040 MARLFILVEGETEETFVNELLADHLCARGFLNVSAKLMGNARLR SKRGGVKSWPSVRTEIVRHLRSDPEVFVSTMVDYYGMPSDGDRGWPGRRRAASLPFAE RAISIERELIVNIGDEIGDARRFIPFVVMHEFEAYLFSDCGRMATAIGQDNLGASFQA IRDSFGTPEEINDSPSTHPSRRILAIFPGYEKPLFGNLAALEIGLERIRAECPHFRAW LERLEGLL OJF2_RS40485 MNRACPDAASANSAHTRGKITSQSEGAEIQFRKIEVRPLER OJF2_RS07045 MPAVAEMPVKSKDYCVADISLAPWGRREIAIAETEMPGLMAIRD EYAASQPLKGARITGSLHMTIQTAVLIETLKALGAEVRWASCNIFSTQDHAAAAIADG GTPVFAYKGESLEEYWEFTHKIFEWADGGFSNMILDDGGDATLLLHLGARAESDASVL DKPTSEEERVLYAAIKKRLASQPGWYSERLAAVKGVTEETTTGVHRLYQMHLRGELKF PAINVNDSVTKSKFDNLYGCRESLVDGIKRATDVMVAGKIAVVCGYGDVGKGSAQALR ALSAQVWVTEIDPICALQAAMEGYRVVTMDYAADKADIFVTCTGNYKVITHAHMAKMK DQAIVCNIGHFDNEIDVASLEQYKWEEIKPQVDHIIFPDGKRIILLAKGRLVNLGCGT GHPSYVMSSSFANQTLAQIELWQNNDKYPVGVYVLPKKLDEHVARLQLKKLNVQLTEL TPEQASYINVAKEGPYKTDHYRY OJF2_RS07050 MPEVRPFRGVRYDVAQIGALSDVTAPPYDVIDPALQEKLYEDSP YNIIRLELNRPEAGDSEASNRYTRAAGFLRDWLRKGVLRAEDQPALYLYEQTFEVEGR THTRKGFLARVRLEPFGQGKIYPHEQTLAGPKADRLSLYEATGFNLSPVFGLYPDEKN EALHALEAHLRDRAPLEATDHLGVTNRLWVITEPATLTAVQGLMTDRPVFIADGHHRY ETALKYRDRLEEKGELKGPDDPANFCLMMLVSMSDPGLLILPTHRLVSGLPGLTFEQL AEKLGPEFEVRKVGEGEAGCREAWEEIEAGGEQDVLGFGTVADGAWALARLRSDEAMD RLAPKQSPDWRALGVSVLHVLALDHLLGGSGGKASCRYVHLIREVTDDVAARGCDLAC LVPPARMEHVEAIASNLETMPPKSTYFYPKLLSGLVLNPIR OJF2_RS07055 MRMKDGLALLAAASGILAGRAGAQVVLERDATITGPRGRTIERR LEVERGPGFYQRELQIQRPGGTLERGVTVQRAAPGFARGFGPRPGGWYGPPPGPRSSS FFSFGLMAAPMVSIPLWQSAPAAPVVVAPPAVVAQPGVVAVPQPAPAQASPLDPVALA AQRLQSHHASSRKDGALTLGRLGDPRAVPPLVYALKYDSSKDVKMAAATALGQLGGPE AATVLERCIIYEKKEEVRDAAATALSRLRGREAQAAAAMAGPPQVRARVPSRSSAAGS AGAGAEVPRLSPWTPSTRSASPAPPRPSSAAAEGPELEAPALEERQDGSAAPDRTPPP PPTPVNPG OJF2_RS07060 MEPGRAGSEARESLTLELKSLRWPSPGSETMDQPSCPECASLLD LNQPDEESPGEFLAICSSCRLWYLLGGPSEEGEMLLLELPSLAAVLKMARGSEQGGSG RRVPGRARNPRAR OJF2_RS07065 MADFSEQVLRLVAAPDYRPITLKAMSRRLSVGTDDYPAFRDQVK RLVKQGKLDVHKDRTLSRPDHSDAIVGIFRRSSRGFGFVRPHQSGDRSEQIYVSPDSA GDASSGDEVVVKVVKRPKQPGMNAEGRIVQILARASGLFVGTYQESGRSGYVAIDGTT FNDPIYVGDPGAKGAKPGDKVALEIVRYPTADREGEGVITELIGPRGAPGVDTLSVIR AFNIPDTFDAGVLEEAREQARRFSEDDITGREDFREILTVTIDPATARDFDDAISLSR DERGYWSLGVHIADVSHFVRGSTELDRSARDRGTSVYLPDRVIPMLPEVLSNSLASLQ AGHVRYTVSALMEFDADGILTGKRFARSAIRVDRRFSYEQAMAVMNAPRAEHEGVPGP VAAMLGRMLELAKILRRRRMARGGLELSLPEVEIELGPDGAVQGAHLAVNDESHQVIE DFMIAANEAVASFLKEHHAPALRRVHADPEPHKLDQFAEFARSLGLSLELPQSRFELQ RILRETRGTPEEYAVHFGLLRSMKQAVYTPEHEGHYALASEDYCHFTSPIRRYPDLQV HRQVLAILAGKKPKAHIDELFALGEHCTRTARRAEAAEREVIRVKLLTYLKEKVGSAY HAIVVGVEDFGLFCRLVEFPVEGLVHVTSLADDYYYLEGDTHTLVGRSTGRRHRLGDR IGVVVSHVDVDRRVLDLVLEDSRPAGGRGPAPSPEEEHLPPQRNRASLDRRSRPSAPP AAKSVPRDGAAREKRKAGRKAKPSPRKGGKKKKR OJF2_RS07070 MYEEHLGLRARPFGETVDPAAYVALPSRESTIRRLRYGLEHGLG PAALFGPVGAGKSLIARRVAAELGMRTVHVAFPPLPAPELLGLIAAELAGPAPGPGAA SEVLRLRDHLAAEARAGRRALIVVDDAHLIRDAETFEGLRLLLNFATSGPPDLTFLLV GSGELMLRLPDSLGDRLAARCLLGPLAEHESAAYVLGRLSAAGATSPLFTDDALPALH RSADGLPRRLNRLADMALLIAYAEGLPRAGSREVSLAAREFQMDVVAA OJF2_RS07075 MREVDEALSRAFARVPREAGGPVPPVPHWPPREGSPAPEPARVP AGPGHVGARIEMVDGRVIARHAPAGPGRGLDDADRGESVELRWPAVVQLLERRWGDRF EQMADRLIEARDRHNTRVLLFASCHRAEGRTTLVLTLSRALARRGGRTVLVDGDLAGP MLARSLGLMPAVGLDDVVDGGHALADAILESRDDHLWILPLRAPVARPREFLAGAGWS CAMARIRREFDLVLIDGSPIFTGLSAAVPHRSADAAILVHNPSMAGERSILRAREALV DAGIPLLGLAETFV OJF2_RS07080 MIQTQALPPTTWPTSRPTAGLDPSAAFPVLIRVPRATDLAPLDA PRTGPPPPRGEARDRRVRRRLKREVKFACCALAAIAPMVAIGLCDAGGAVRMIRAATA RLADSPRPAPQRAEGGGWTGHAAARVAPAVLLSVEAIGRAADEATVVFPGYLLPDDSH EEKPHEGS OJF2_RS07085 MYIPTRFGGSLTISTTSGKVVELKGPSGPNQKARSNGEDIGQDQ QGWYTFKVKGADKPFTVETKFVQAAQSARKPWNFYYWPTKADSIHEPWAGGNGRVDID YTMVRGDDQLIRQPGGYIPPGEDIVLAGPDGRLETLPPAGDDATWFPNLYDDLTWTGP NKEKGNEVTIFQTPSPLLKYDQLFGTSARWWEAVNSQNKDISRWPGHCLGGAVASILM NEPVPAPGTGLTKDELKALWAELGENHLNHRIGDYATDIPPGPPRPGPDECDWKAPRV HAMLETHIRGQKQALLGNMRAFPPRGTINEVWNQGIYKYVAQFKAIPGRGERAVNVKL ELHTNSGSMLNGADDKDRVILYEYNIVYGLDGQVDETNPGAADWVSVGGEALFAPLNV LEVVQTVWQGHNPYVTEANVRALDMANGGDRFRFASAPPQFRPVLEYEGRRSAPASNN AMANDGGGSGGSRRGFFRFFGR OJF2_RS39945 MTSAVLVLALGLSGQSPQAYPAAQAPAKVAPAPQAPAKVAPAPQ APAKVAPAPQAPAKIAPAPQAPAKIAPAPQAPAKIAPAPQAPAKIAPAPQAPAKIAPA PQAPAKIAPAPQAPAKIAPAPQAPAKIAPAPQAPAKIAPAPQAPAKIAPAPQAPAKIA PAPQAPAKIAPAPQAPAKIAPAPQAPAKIAPAPQAPAKIAPAPQAPAKVAPAPQAPAK VAPAPQAPAKVVPAPQVSPAPYAPAKAAPQS OJF2_RS07095 MDTPSESIESGPRGEAAADAVRPSVPLGEADAESCPGYSIAAVS KLTGISCHTLRVWERRYGFPVPERTASGHRRYSSEQVRTLNQLARVRRATDQSVGRVI AGWNLDHHDGGLPAAAPGVVEGAGGAADGLVDLLVGGDIEGAEREFERLCEGLEPVDV VDQVIEPGLVEAGEGWFRRAYPVYKERLITVFLRRKLHGLIEATRRDDPRSQGCLVIG TVQGDRHEGGVLLLNLVMQLRGWRVLNLGTDLPVREYREAVRELGPSALALSFTLSRN IKKRFQELKVITEVPVFVGGRSIVNYQSLARGYGLIPLPGPIRDAAPALEAQWRRWMS SRAGGDAAPRAESEPQAKGSRAE OJF2_RS07100 MMLSGLVTSRFPRKSTPPSRRARPRIEGLEDRLVLYSTLGAQWV YASRITYSFVPDGANVGGYCSSLFATLNAKYATATWQLQFQKAAAVWQAVAGINLCQV GDDGSPLGADGDQQGDPRFGDIRFSAVPQGSGTLAICLTPPPVNGGSEAGDVVFNSTS NWGINTNYDLETVAIHEFGHALGLGHSAIQAACMYAYYNGGKQSLNTDDVNGMQSVWG PTKSDVFNSNGRSNGLFTSAANLNGYIGSNGQAAIPNLTIGKTGQSEWFSVTVPSTTT GTFVATVQSTGLSILSPKLQVLTPSLTLLGSVASANYGDTVSLTLGGVKAGATYLIRV SGNNSGSAFGGFGLLLNFGSGYQPPISPPNTMVPQQADQGGGSMGEHADTVTLGGNVY YGDALEASALRGTWYAAARPSASPPAQTHTAIAIAAIGPDFWGSRFPASAGEDAPAPS TAPAVPVSVIEASPRWTRRATDAAIASWRTPGLLDWLGA OJF2_RS07105 MSRTRRPRPVQGFPGWTTGRLEPRHLPSATALTAPWPFAGGAGA TAAGAPVAAEDLVPSSAAATVIVASPADHSQIRIPLTQITVTFPEEADFLWGEGAVEL QRVGPDGSASTYIGLADMPLPGFDGDGTTATIQLDSPLPAGHYRLVLAANTAESFWFG SGLWDSSEDQVLSDFTVASQGATLDAATDLGVVGGDVTTVSNSLDLAARNDVQLYKLT LASGQEWRLGIQVDAQSIGSGLLPALALFDASGNVIQVRNDGTGRPLAPNDPYLFARL SAGTYYVGVSGAWNLPGQAGGYDPVSGTMGTSGVPQEGGAYRLSLVADAIDAPTAVTG FSLHWADPLDPSPTTLTVAFSGPIDGQSLLSWKPLFAVDGSGRQWPLIPVGYDEGLSQ VTFAFDGPTPAGSYTLVDPAGGLTDLVGDVPVATGLPAGVLATWTVGDRPTAPGEDDL GVIWPSQAGGKSGVIHLVPGESRSLRVVVPVGDLYSIIKEVSGGAVQVTRLGQDGAEV DQSTGTKTLAFLEPGVYLLTFRATGDQAATISWSIRGEPLDPDSLVNNGVGQSPALTL RIAGTPFGVLTPESPPAQPAVATSGPALLDPQPFAGGRSSGPDAAAESAGPRVILAAR AQEPPRAAFLAGSLPISLDSGLAGRPDALGGPQGVADAQSGRGLVAQAQQAGTLGNRF AYPWDEAAKPGAEPDSEDADPAPAVGQPSAAGPRVAAGEEVVLASQDADALAIRRADR VAELAGSLFRWLLPRSTADDEARGDAGLSAASAGSVSVALSPAGDADGAKDGGLPLGS GRIERAEMVVPIGVLVAAAGVHRLRQLVARWWRRPVARHTPAPHGLPGRPHRKRAGRP RHSASCGRGRAS OJF2_RS07110 MAEHTDRRRFMSSAVLGAVGAGAMLSLEEKSLQAARDDGGRGRE RHAPYRGESLPCGQVGELTISRLLLGGNLFGGWAHSRDLLYVSRLLREYNTDDRILDT LELAEHSGVNMIQVDPSCVEHIVRYRRERGGKMQMLVCLNVDPLDPAQVRGQVKQLVD LGVEALYGHGERTDHLTMNNQVDVIGRTIDLIKMAGVPAGVGSHSLQTPIACEKGGIA NDFYVKTFHPDNYWSATPAERREEWCWYAGSSNDHERYHDNIFDLHPDRTEAFMKGVK KPWFAFKVMAAGAIPAQVGISHAFQHGADFVVAGMFDFQLAADVEIAVKALKRSRNRE RPWFA OJF2_RS07115 MGRHRVLPSIAIGAALLSCAGCGTPLLVQVATEIHADGRCDRTI WQPEKELLPGEAATVGWRARWARLEPVEVPPALRDVAPHPDHKYFLASGTFPGADAIP EHYARAAPEVPGVGASVLTRAYARRDLGFVVEHDWRETVTDVVRRDDFLRARDELLDR GLPMLAEAIDEVYGRDFDATRLRAYVGREGRAFLEKAAAAYFDVGSRHLGWEEARVEY ARAALEFGLDLFDSAGTLLDAEEAGSRFRDYLRHRLALGIRHRDGSRLTAKELDGILS PGGSSPYASRAEAYVKAHEGALKRLAGPLMRMTGHYRSWLPSSSFGAQPIRFAFGIRL PGEVIETNGKADGKGGTCWTFSGEDIYPSGYTMAARSLAIDEDAQRLALGRVAIADRR QAASLAALLGDSEPLRRLVIRVREARDPGPLKSYVADTAAERARLQALRRLLGIAE OJF2_RS07120 MTPAQHYPTASLALAAGLGLIAVFLALREWYELRAREDDLAEPD RLHFARQDLRRRLGIGILALIAGLVFVGSFLDISPRRGPSIRFLTVWIAVLGLIVGLL MLALADLSATRAYARRHRKRIIRESMESIREEARRHAERSRDAEGGRGEPGPS OJF2_RS07125 MVMSQGLAPQSASLPVAAPGRPPRADGQAGLVRPVAPPGANPPG PEAIDGIDILSIHGTGQFASPAGEETAPSRPDAEAEEFWAPLLDRTIANYQILSEVGR GSMGRVYRARHLGLGRVSAVKIMSPRMMARHSSHRGQFWAEARAAANLVHPHVVMIHN LGTERGYHFIEMEYVDGAVSLRDCLIRNGPFRPAKAARLVRQVALALEAAHRSGLIHR DVKPANVLLTPDGHAKLADFGLAQSLVGLTADRLAGTPTFMAPELFGGRTASRQSDIY AAGVMIYYLVSGLLPFASGNIRSLIRLHQAQPVPDLRPVVPGMPEPLIAVIERCLAKS PADRYASAQELAEELRRVVQHLRDTEELIRESVRGLDCFLQGSRESFRIILPQSQGER LQEVLVEVNDGSRGERFLTVFSVCGPAEPRHYGAALAMNARLTYGSLSIRHVLGSPML VMSRTFPRDQVRASELRDAILEIGRKSDQIEQQITRLDAY OJF2_RS07130 MSATKETADIGLIGLAVMGENLVLNMASHGFTVAVFNRTTSKVD DFLAGRAKGQPIVGTHSVKELVASLKKPRKIMMMVKAGSPVDQVIDELVPLLEPGDIL IDGGNSHYPDSTRRTRALKEKGIRFIGTGVSGGEEGALKGPSIMPGGDPEAWPFVKPI FQAIAAKVADGTPCCDWVGPEGAGHFVKMVHNGIEYGDMQLICEAYDVMRTMLGLEPH AMHDVFQRWNKGPLDSYLIEITADILGYKDPETGKPMIDLILDTAGQKGTGKWTVISA CDLGVPLTLIAEAVFARTLSAQKDERVAASKVLKSRTPAFTGNLQSMVDDLEKALYAS KIISYAQGFSLMHAMAEESKWEINNGAVALMWRGGCIIRSAFLGKIKEAFDKAPHLAN LLLDPYFRDEVTRAEESWRRVCAAAITHGIPLPAMTSALSYFDGYRSERLPANLLQAQ RDYFGAHTYERVDGPRGKFFHTNWTGRGGDVTSTSYNV OJF2_RS07135 MAARNVVVAQSGGPTCVINNSLRGIVEGCRRHPGTFGHVYAGHF GIEGILKEELLDLSATSEEEIALLRTSPAAGGIGTCRYKLKKGQDQDFERVVEVFRAH DVGYFFYIGGNDSQDTAHKVSRLAQDRGLDLVATGVPKTIDNDLGDGEFKLLDHSPGY GSVARYYAHYVRQANEENSGSAPADPVLVIQAMGRKIGFIPAAARLADPERTMPIQIY LTESGLTLQQLGENVLRQLESDGRCIVVVSEGFDVGELGTIRDNFGHVQFSSSQQTVA QIVVNYLNTLKFPVPGKARGQVPGTDQRNAIAYASVIDLDEAYAVGRHAVEVAMTEGN GWMATLLRDRGRAGYNIHLDKVPLATVANSERFFPKEWIAPSRVDVTDAFLEYAQPLI GEDWVSVPTVRGLPRFARIARAFAGKKLPPYVPQTYREG OJF2_RS07140 MAAPHDPQLALRQFAKSNDFFIGIDSDGCAFDTMEVKHKECFIP NIIRFYSLAAISKYAREAAEFVNLYSRWRGINRFPALTMTFDLLAERPEVLRRHVPLP PLAGVRGWIARETKLSNPTLKAEAAATGDADLAQALEWSEAVNRTIGEVVHDVPPFPF VRESLESMKGKADVMVVSATPGEALEREWSEHGLTPYVGLIAGQELGSKKEHLALAAV GRYEPHRILMVGDAPGDMDAATANGVLFYPIDPGFEDESWQRFFEEALPKFLNEDYAG AYMDRQVARFRSLLPDSPPWKDR OJF2_RS07145 MATSDRPKSRESPPADLVATIRASGVLPERLLDEIRARMVRGEY PTEPSALAERLTRDGHLTQYQTRRLLAGKPHGMLVGRYIILDRIGSGSMGRVYKAHHQ MMDRVVALKIIAPEIASNEKVVARFQREMKLVGRLDHPNVVRAFDADQINKVLYIVME YVPGQSLGDRLRQGPIPAPEMFEYAAQAAMGLAHAHSQGMVHRDIKPSNILVTDDRRV KILDLGLGVLMEADDHATFATADGIAVGTVDYMSPEQALGREVDGRSDLFSLGCAMFH LMTGKLAFPGDNPIDRLGRRLNSKPTPITDHIPDFPSSAGRVLEKLMALKPADRFSSA AEAAEALQAVIRPRSKPSPAARPTPAGAAAEPSRKAAPGAEPIPAPLAAIATSESAPP AAPLAAIPARPFPGWFAPLARLAERSPAALLMAFAGALAFTFGVGVGLGYLLK OJF2_RS07150 MKVFITGGSGLIGRGLAAQLLEAGHQPIILSRHANEVRRRREYR PCRVVQGDPGTAGDWQAEVDGCDVVVNLAGHNLFAERWNAEIKRKIRDSRVHGTDHVV EAIRIAKERPKALVQASAIGYYGPHGDEDLTESSPSGTDFLSVVCREWEHASEPVEEL GVRRAIVRIGIVLAPSGGALAVMKPIFKLGPGAPIGSGGKLGPATGQQWMSWIHIEDI VGLFKLAIENDAAAGPINGTSPHPVRNAEFSKALSNALWKPYAFWRVYLPFGPPDAML KLLLGDVAEVVASGQKVLPVRAQALGYTFKHPDLAEALRDVLARPKHVISTTAEHKPV PEASRSHAHH OJF2_RS07155 MPPNVRDWFDLPRLLSKYKRRAPLVLVNGLAEQPESWFANRTHL TRNFDLKVPDILVYDGDALHRHIDAGGEVTVDYLAGRLATYLDEFVQRPPYHLVGSSL GCQVILTYAARHPERVARMVLIAPSGFHGDENLPAMEGVRRSQYDTLVKSVFHSGRFA SDDLVRAFEHKFHDRRWKKGVLRTLRGTVGHSVASLLPGVSQPTLVIWGAEDRVLSDV PGAIRAAARMPQVRQVVIPGCGHAPQIEKAGLVNQLVIAYLKDKLRSIPPALEPRRYL AQVERRGVALARAGAR OJF2_RS07160 MSDFGLFFGKFLRQGTAIASLAPSSPWLSRATVREVDWATARVV VELGAGTGPITRVLAERAPDACRVVVLERDPDFARLLRERFSGRPNLDVVEGDVRDLA AILADRGVTRADAIVSGLPVPSFPADLTRSLFRDVGRLLPDGGLYSQITELPWVYWRF YRRFFEDVRFAFEPRNLPPAGAYFCRGVKPLD OJF2_RS07165 MAPTSTGREPSALLAAITGSPIVRGLVNAGFVARSRRRHAAVRS LRPAEVQERVLRRLVRKARDTRFGRDHGFGRIEGVAGYQAAVPLRTYEALWGDYLRDR YPILDDVTWPGRIPYFALTSGTTQGATKYIPVSREMVASNRKAAETMLAFHVASRGRS RLFHGRMFFLGGTTQLEEPAPGVRQGDLSGIAALEVEPASRAYTFPPLELALESDWDR KLSALAERGVRERITLVSGVPSWLLMLFRRVLEISGKATIGEAWPELELVVHGGVKFD PYAEAFRAILGRPDIRLQDAYACSEGFIAFGDPGTGHLRLALDHGLFYEFVPVEELDA PSPTRHWLADVRAGVNYAVVVSTCAGLWSHVIGDTVRFESVDPPLITFTGRTRYTLSA FGEHLINEEVEGAVAVAAAACGASAGDWHVGPVFRGELGFHQYVVEFVGDPPDPDEFR RRLDADLSRRNADYLAHRAEGVGLPLPALIVAREGSFASWMRARGKLGGQNKVPRMDS GGKLTADLVEYLQGQGLIEREVAAG OJF2_RS07170 MEGIEKTVHAYILDEFLPGEDPAELTDQTPLITGGILDSITTLK LVTFLEDHYNITVEAHEAGVDHLDSIRQIADLVAEKTRAA OJF2_RS07175 MPHARPRRPSTALAVAALVLCLAPGCAQHSRRKEVDRIPDRGVI DPAQPRELDKTTMPRYVIEPPDELDVTIRPAPPDWIQNSIVVQQDGMIDLGFAGEVYV VGLSVGEAEHRIAQELNAAAARQGQKPEQPYKVSVRVTNPQSKFYYVMGTVNSQGRFP IKGNETALDAILLAGLKSNSLPEKSYLVRPHPPGQPDTVLKIDWCAIRERGDTLTNYQ LLPGDRIVVPGTKPPGLISTLIGQ OJF2_RS07180 MSTPTTTTAPGSLPDYIASASPNPPSNRAPWYKNTAPTYAGVFL WFAFWNSNAARGLLTGGLMPTLVGIVLAALICHFLFYVVPGLLGLKTGLPLYVVGTST FGTVGGLLMPGFLMGALQFGWLGVNAFFSSGALSKAFGGDTGLFYTLCVIWTALAAFV GLKGIQYVAKVATYLPLIPFAVLIIALALFAGSASGFKLPVPTPTDTAGALWSILVTV SIVVGFFATAGAAGVDFGTNSRDANDVQMGGYVGIIGAIVLTMGIAAIALAGALASGA LQVPASNDAVDTLQVTDALATKLSPSLYKAIMIGLTLASFPGACFSSFIAANSFKTVM PRVNPFISVGIGAIVSMALAITGIAGKLPVVFGIIGASFGPICGAMVADYLLAGNRWS GPRAGFNPAGWIAWALGFFVGVMPNLHKWQEAIPDVPAAPVAAFVVGFVVYYITAKIG MLTPVVPMPNRIDA OJF2_RS07185 MHRPVHEEHHFTAGETVRDIVIGMSDGLTVPFALAAGLSSTAVA TSIIVTAGLAEIAAGSIAMGLGGYLAARSDAEHYASEKAREEREVEVVPQAEEDEVSA VFREYGLTEAEIAPVLRSFRERPDAWVDFMMRFELNLEEPDPRRALSSALTIAGAYIV GGLIPLSPYMVLPTASAALPVSVTVTIAALSVFGYVKGRFTGTRPWRSALQTALIGGA AAAAAFLIARVFA OJF2_RS07190 MGARPRGRSRGHREPDRRRGPHTAGPGARPGPGADRGRGRRFAR RVACYAWASPTTSLGLLAGLLTLASGGGARVRRGALEFHGGFSRWFGGRCGFEAMTLG HVILGRSAFMLDVLRDHEQAHVRQVERWGPAFIPAYLIASYLAWRRGGHYYLDNWFER DARRAAGEDRR OJF2_RS07195 MSDIPPILRDELSRPAPERRGWRWTIAPAYASLFIWIPLLDPVG GLVGRGAEPFVVFLAAIPALLACVFLLYYPAAILGFQSGRGIAAVTSATLGTTGSEWI VGVLGGLGGLLVYAMSIDSAVRLTMLGLMLCGLVGPASLQGWSVGPVNLGPPISILTA AFWIYVTGTAILLRLASVVRAMMQVYTPVAIALVGVTALLTFRGLVRARFDPHAAVMA MGVEPASPVAAGLLVAQLLGCAFAFSGLLGADWGRSVRDRLDLLHGARIGILGAGWFA MIAVPAAVAGALGTDAAAVLPPKGAPPLLVPGTYHAAIYGGIGGTVGGAILMLLGLAT LAPACYAIWLFGQRLAHHSGVLRLSTWTWIGGALALALIATGFAGDSIFLLEILGALF TPVAGTLFVEMIRSGGELRGIRPGINPAGLVAWIIGLAVGLIPTGQALFLGEGHLGRL QPACFLAFAAAAATYAILSAAGWEAPLAAIPDAPAEEARPPAAPL OJF2_RS07200 MMSPHRRNRRFRATLDALEARAALSGGLTGWSPEEPPAEVGRSY EQVPGGGKLDPRPTSPGSKPEKPVGISPSPVPAEKTRKQPRLDDQTPQKRTVQDPEEV SDFRGSPLKLSEPKRP OJF2_RS07205 MTGDDDDEALVARADGGDPSALAELFERHRRRLRHMVQLRLDPR LRGRVDASDVLQEAYLDLVRQFPAYREKADLPPFLWLRLLTGRRLLRVHRRHLGAAIR DAGREVSIHGGAAPGADSGSLAEHLVGRLTTASRAFDREERRRLLQRALDSLDPLDRE VLALRHFEGLTNGEAAAVLGLSKTAACNRYVRALARLQEATRDVPGLLDEPAG OJF2_RS07210 MDATESGPSPVGPLVEEFLERRRLGERPTLEEFVARFPELEAEI RRVFPALGLLEELGPGTVGAGATTADGPVGDAGPSSERLGDFRILREIGRGGMGVVYE AEQGSLGRRVALKVLPPGRLAGEEPLRRFEREAQAAARLHHTNIVPVFGSGREQGCAY YVMQLIRGRGLDRVIEELARLRRSLGAPADGEATVAGHDPEEAPQPGAIARSMLSGRF EKADGPPGGADAADAPTPPPAESEAGAASDSVSTSTASDLHLARGVARVGIQVAEALA YAHRQGVLHRDIKPSNLLLDEAGDVWVADFGLAKLAEGDDLTHTGEVVGTLRYMAPER FRGEGDGRSDQYSLGLTLYELLALRPAFDAPDRARLVRLVMEGDPPPLRKVAPSVPAD LATIVAKAMSRRPEDRYPTAGALADDLRRWRDGSPISARPVGPLERLAKWARRNPALA ASTGVAIGLAASLIAALAISNVRIRAAFGRAESALERAKVSARQAEQVIAFLTEDILG QADPEVNPVRDNLTVEEALDRAGDRIGHRFEGEPEVDAEIRYAIGRMYHQRGRNQKAE PHLRQAWETLGRAAGPEDPRTLRARLYFAVALQNLQRYEEAEGHLRELLRSPDEPRRI LVIQSHLADLFWETGKLEEAEALQRRLVEGFGETDGPQAEMTLTMRLFLARVLSSRGA LDEAEAILRDVVEIRRRTCEPQAPPRLGAQRQLASFLNAQGRFAEAEPILRETLEGYD QVYGPDHPHTLTTLGSLVISLWRLGRFAEAEPLSRRSCDAWMRTQGPDHPLGLSAMSV RALLMMDRGEFDRAEPLLREVLHTRERIQGPEHFDTAIAAMNLGRACRFRGQPAQAAA LCRRGLETLRSKLGPDHPTTRTAADILAGCLLDAGRAPEAVAMLEGGVRERPEDPSAL VRLALSLLASGDEAGYRARCAEGLGRLADPAGPDAVEVLRAGLLVSGAIDPARAVATA EAAAAREPKAAERRFLLGLALLRADRLGEAVDRLTEAADLDPTWTSVAQARAAAAIAC ARLGREAEALAWVARASDRRGDPARRIPAGWVLTPAASWRDRLVLDRLTREAAALALD PLVPANPFAPG OJF2_RS07215 MRSTDACRTFGSLFGLALVALLAGAPEALGDGWKVGTGRAAITP KEPIWLAGYAARNHPSEGVDQDIWVKALALEDPLGQRAVLVTIDVCGISRDLSERIRD AIRRKHGLERDRIVLACSHTHSAPVVGRNLDTMYPLDDDQRRRIADYTRLLETIVADV VGQSFDDLSPGSLSWGGGRCEFAVNRRNNPEKDVPALREKGQLKGPVDHDVPVLVASD FEGKRRAVLYVYACHCTVLDGYKVSGDWAGHASAAIEKRMPGTQAMYVAGCGADQNPV PRRSDELAERHGMAMADAVAAALEKPLRRIEGPLRSAYKEVSLAFATLPTRAQVEADA KSSDRFVAARARRLLERFEELGKLPPDYPYPVQAWGLDELRWVFLGGEATVDYAIRIK KEGGASPTWVAAYCNDVMAYIPSLRVLKEGGYEGGGAMVYYGLPSPWSDRVEDTVMEG VNGVLAQIAPRPRDEAPSADSGP OJF2_RS07220 MMHAPFLLAAAITALGVGPTPEDLRMEPINGRWYRVEPAREVTL RWSRPAKPTPAPLRFVIRDYEGVEEASGTITPAGDGSLALSRPFARGYHEVEFPSLKR HFGLIAAPAFAGKADPFFAIDAGLTWLTPEDRVRGALIAEARDCGIALIRERLRWAAI EPEKGRPSWDRDGRADALRRSYCRAGLPILELAHDAPEWAGRWGVYPFDLAATAESWR EIGKHWGPAWGGVELWNEPDIQFGGDWPADQYAAFGKAASYGLHAAGVEAPVVAGVIA NYSPDFMETLAANGLVERAEAFSFHDYGPALDLEAKAARFRDWLRTAGRPDMPLWLTE CGWPWTRGTERASAEEDRKSAAEIAAKAIEARACGVARHFPFVLPFYEENAKNFGMTD RQGSPMRSLAAYAQAIRALAGLEYLGDLKLEEPGLGRARVFGDGSTAVVTLYATKSNV LVKLPGVTISRVEGADGRALKTGDDESFTIPDGLAFAWVDRGTFGDRLDARTRAMSLK PMKAESRGKSSPIVLRPHLDPAEALPFPSGYRVKDASRNSAEWAVEVFNLGERPESID LTLELDGAKTEEPTRRIQSPPHSKAVATWPINLTGSFAGFRPVRASLKAEGASGLLDR AEFRVAGEPTLEAALAGLNHPTRLPIEDLARWSPKISAGGVVTFEPLPPGGCRLNIAK HPAPDRWAYPEFRLPDGVPLRNARGLVLRARCEKPAQVRAFLWEGDTGVGYLTQSPII PADGAWHVARVAFDRLALSSANAPDPNDRLDLDSVRRISLGMNHEQESNALEISDLYV EWPGDSLQALWEDLEKDDTEASRALLTLSTRPADAVAFLDEHLKPLKLDAVHLKAYLM RLASPNEVLARKAFEDLEYFDPRLAMDLPSLMEKTTETPARQRLVEVLSGRDRGSLME KKVELRKYNDYYNFFADNGSWWAEKDLSKVNTMRWGLEKRKWTRAVRAIALLEHIGTP EARALLKDLASGHPDAQPTRAAAEALRRLEEKGR OJF2_RS39950 MVSSSWGGILADRLGSRALLASGFALMLAGIACTLAAPLVAVGA GISLLTVGYFTAHSVASGTVGRLARSANGHASSLYLLFYYMGSSVFGSCGGWAWQRGG WPGVVALVAAVAMAGIALSAGAPRRVPDRARGQGTVEDAAEAEAAQALDREGVVRGLD RADRWSGPRSRGA OJF2_RS39955 MTSRSPVLERPCPGPAARSAEEGPAGEWIALGSPEYRRASLALF LAGFATFGLIYCVQPLLPTFAESFSVTPAASSLALSLTTGTLSLAIVASGGVSQAFDR RAFMFASMASAAAMNIATGLSPSWHGVLAARALEGFVLGGVPAVAMAHLAEEIDPRHL GRAMGLYVGGTAFGGMSGRVGMGLLTGWLTWRTSMVLFGVACAAAAVGFLLLLPRPRH ARRPAGLSLPRELRVFGALLRDRGLLRLFLIGFLLTSAFVCTFNYATFRLTGPPYGLS PTAASLLFLT OJF2_RS07230 MATIRNGDTMELRHIRYFLAVAEEGNFTRAAARLGIGQPPLSQQ IKDLEREVGVRLFHRVPRGAELTAAGLSFLASVRDLPGRAEDAIRTARRADRGEIGNL TLGVTGSVALNPRIPAIIRAFRRAYPHVELRMQEANSVELYDALRDLRLDVAILRPHA ASPEGLEVTRLEDEALIAALPADHPAVRGRGAIDLAALRDEPFILAPRDAGTSLRTAV FAACQAAGFDPRPGPSAPHIASILSLVGAELGVSLVPAALKQLSVQGVAFRPLAGGSA TIGLAIARRRGDTAATTLNFVRQALDPGDLR OJF2_RS07235 MGVASLLLAILVQVPPAGPADAGGRGGPATRPIAGRIVDAKGMP VGGATVFQSGDAPARTEASSDAKGRFRLEGVAAADTFVFARAKGFRFAGRGVKEGEGE VTITMAREGEPPAARVATLAPGAAADREAAAARRLIDGYAAKVFKGGDTGAKVQVLQV LARLDPMRTLELTEGEAVSEPYLKGMVRMLASTALLESSPEDALTVAEAIDEPSGKVL ALLKAADATPAAEKTKRLELLDRAIVGAKAAREPTGIRDILLGQVAERWLDMGLAEKG RALLREIQPDVERLPDAAFGGYAKGAFAEELCQIDLDAALRLTKGLTDPSELDRHHGN IAHELAGKDPEAAERVLGMVKSPYQHDQYVVRVVHRMASVDLPRARRVAATAVDAVIR GYALGMGALGLAVAKKTDDAIALLREAMDVLDTAGEYGRSPTRSPCDRASTCAALVSV AERIHPDLVPETFWRALSLRSPGGPGGADGPDAFCDYRAGLLLTRFDRGVARTLVERR LRAGTVGERGLAYAAAAVIDPAWAVQLVEGLAEDADLRPQGEKNSARLAAAAALSRRG EARWTYLQSRYAYLWVADTEDIATDL OJF2_RS07240 MNKRRLGRGLEALLGHEEGGFEPGSLEASELVHVAVDQVDPNPY QPRRVFPPSEIASLAESLRQHGMLQPILVRAMGDRYQLIAGERRLRASIEAQLHEIPA RVMDLDDQRVFELAMVENLQREDLNAVDKATAFKDYLGRYGGTQEELAGRLGLDRSTV SNFIRLLELPEEVLGAVRNGEISQGHARALLPLPDEESRIVACRRVIAEGLSVRQTEA LVATGIPTPAKTRVRRDPAHAPEKQPHLIELEQHLHQRFGTAVLIRARTPDRGQIIID YNNRDEFERVTSMIRGS OJF2_RS07245 MTRLVQDERQVDQLRETLSCFSHRCRNILNGMKMSLYFVRRSAS GSLPPWWEELEASYGGMERLFDHLQTIYRPMPLSAIEAKVGSLIRDLGATWGDRFAAS GRVLELAPPATEATGSFDPMRLSMGLEAFLRWRSADMPPGWRARLEWRTEKGRFQACW QEAGGPEPPPGAPPEAADAGHAAPAPPDTLALPLLTRVVAAHRGTLSWSRSPGLRVEF GWPLAEPAAAAPAGVRVGRSPLTAGRGPA OJF2_RS07255 MASIEEPAKERAAREAAGLVQSGMTVGLGSGTTAAILVRRLGER MREEGLRFVGVPTSVATAELARGLGIPLRELDEVDALDINLDGADEVDPQFRMIKGRG GALLREKIVACVARRRVTVITQDKRVSRLGQKAPLPVEVSSIGLQHTERRLRAMGAVT RIRMGPPGVPFRTDGGNAIIDCTFAGRHEPEELDGLLRSVVGVFETGLFLGLCDLLVV GTDDGVEQVPSTSPRNRGCGG OJF2_RS07260 MGQTLTYELIARRIDHSLLGPTLTDAELEAGCELAARYRVASVC IKPYAVALASGILKGTDVAVGTTIGFPHGGHATAVKVFEAQRAMDDGATELDMVINIG QAIGGKWDAVSRDIAEVTRAAHDGGGIVKVIFENCYLDDAQKTRLCRICGEVGADYVK TSTGYGTGGATNADLILMREASPPGVKLKAAGGVRTLDQAIAVVELGCDRFGASRTAE ILDELKARLAR OJF2_RS07265 MSRRRPTVAIIGASNDPNKFGNKAVRAFASRGWQVFPVNPSLDE VEGLPAYHDIQSVPADHLDRVTFYVPPRVGLDVIDEVPAKHVDEVWLNPGSESPELVH KAETLGLNVVQACSILDIGESPRDY OJF2_RS07270 MTSPFRVEMLPEPSPIPESLGVVAFCRRNWRQEYSAVKPNAIIY LIKTVASLRRYVTRCRVLVGTDADLALVRALSLGVEVERIAVAPEPLGEVDVLPVARA GLASLDASGSRYVFFTEADQIVHIRDPGRLAGVVDDRRYAVPHRIERDYKGANRRGQP RVRFNSADFVVWNHPGEGEARPPIGDGFFRAATPRIAYGAGWLARADAVSRIDFLGPT DDPLAHPCHALFDAMEAVKTTDVFEFFVEHLSGFDNALAAFGLRIEDYPSFW OJF2_RS39065 MFLDHEIRGDGLDRDALCLTFDDGPGPATPGIAEYLATEGISAT FFVLGRNARGRGPELRRLRALGHLVGNHGHDHRHLPDLLAAGGDPALDVARGHAAIAD ALGEAPTLFRPPHGAWRGASEAGSAVARRLNECEALRGYLGPIGWDVDGADWRAWREG HPANRCAARYAEEIAGGRRGIVLLHDGSEDPSLGPANRTEELLRLLVPFLRRGGYRFV PLADLPAVRAAGDGRP OJF2_RS39070 MRTPVRLVTTSLPPCDDREHAAGGLACRLLGRLAGVSDDSLLRV AEDACLACASSGAPTPMRLNPVLASMLDRLASKLDSQSDVGRARVAELRELARRHLAV EYAGDRDSAEPRRYRVACHFLGPPLDATATPRGPSPALACLHPDHAGVPTSRDGCHGC RDWTDRPRGSPRPLAELLPPPPRLGPAVRSWAAGVTASSRPTPTLDWTLDSLGRAGWP GAHLFADGDVRGSPRHEHLPRTVRRPAAGAWPNYYLGLGELLARSPEADAFLMVQDDV LFYDRQDVRAYLEAILWPADPPGLVSLYCSACYSRPGSGWHRLEEPWAWGALAFVFPR PVLEAFLADPDVRGHRWGGTLGDRKAGIDALIGRWAARRGIPIHYPCPSLAQHTGDIS VLWPSQRAVGNRRADRFLADVEPG OJF2_RS07280 MRTVDSCPYRRPVADGTTRCDLLARIAGLGDSPLTRVEVDACRA CCAAPAPSLTRLNPVVGSLLDRLAGRLVEAGGESGCDPERALALGRWARRHLKPLARK PGAPLIAPGRRLFPTVAVIITCHNYGRYLGEAIRSVLDQTILPAEILVVDDASDDDTA EVARGHADSGVGYLRVDHRSAYRSRKAGMLATQSKVLCFLDADDVLPHDYLERGLPLF ESPEVGIVYSDVELFGDLSRKQAMPEWDPVEFDRENFMHAGSLVRRMALEIADAFREP DLFEAHEDWMVWRRVVAAGWIGSKQSALYRYRRHASEGPSRSLLQPRNYFDNGSLRLI DVTLFTALSGRSSLWPSYRDWLETQAWPREQTRLFLMDTSQDPAFAASVRSYLAASRY ADVRYVARAVSEPGLADLPRGPNSAAVRLACARIYNQMARDVTTPFVLVVEDDILPPP GVIERLLRAFDRETAAVGAPYRSRGLGHYVAWDDEGEHLPGGEGVAAIGGCGFGCLLI RTSVLRDATFSYGYAEPIDFDPAFCRRLRRDGWTIKMDWSQECAHRSVS OJF2_RS07285 MAGDASVTDSGAPNSSRFEDSSGTCIQEWTGSEWVVIETFECAA GYESVPLDPSAYPGDYVGARVITPCVPRGDLA OJF2_RS07290 MTVSSAPLMAPTDCAEIGRYRLIRLLGEGGFGRVYLAHDSELDR RVAIKVPNPRRNLRPEDVEAYLAEARMLARLDHPHIVPVYDVGRAENAACYVVSKYIE GADLATRLAQGRPSIREAAGWTAAIAEALHHAHARGLVHRDVKPGNILIDGGGRPCVA DFGLALRDEDYGKGSPIAGTPGYMSPEQARGEGHRVDGRSDIFSLGVVLYEMLTGRRP FRSESRAELLKQIIAADPRPPRQVDDAVPRELERICMKAMAQRASDRYRTAGDLAEDL KHFLETDAAAAPGRPAPAGLAAATDPGPENAPSTTSWARGEAATRIVPKGLRSFDQHD AEFFLRLLPGPRDRDGLPESLRFWKRRVEATDAAAGFGVGLIYGPSGCGKSSLVKAGL LPRLAPHVIPVYAEAAAGETESRVLRGLRAACPDLPAERGLAECVTTLRRGRVLRSGQ KVLLVLDQFEQWLFGRVEDSSDELVAAMRQCDGQHVQAILMVRDDFWMAATRFLREIE TPLVEGENTAAVDLFEPSHARRVLAAFGRAYGALPEKASEDTPDHRAFLDRAIAGLVN DGKVIPVRLALFAEMVKGRPWTPSSLKASGGPEGVGVTYLEETFCSPHAPPEHRLHQE AAQAVLKALLPESGTNIRGQMRSAAELRGGSGYADRPGDFAGLIHLLDARLRLVTPTE REPAPAAGPADAAGPQEGDSRCYQLTHDYLVPSIRQWLNRKQRETRQGRAALALSDQA ALWAAHPRRRLLPSASEFLRMGLLTRRADRTPQQARFLRAAARYHATRGLIVAAALLC LALAGIELRGWLRASELRHRLLVARTSDMPAVLLETGPFMRWLDPMLRRELVRAAGPA SRPLRIRLSLALLPRDPRQVEDLVGLMLDSDPEELVLIRDSLRPHAARLEGPLWAILG DTAVDRERRLRAAAALAAYDPEGPDWYAIAPDLAATLVAEGPLAVAGWIDALRNVREA MVPALRAAFADGERSAEERSVAAAALAAYLGDDLDALLPLALEADPQQSRAFLPPLRD RAADVEARCRELLAATPPGPAEDAPRFADTRRRAAAATVLIGLGRGGPAWPLLSRAGG PVREYLIERLEPSGVDPLTLMNAAAAEGEPSLRTGLLRALSRYRSEDVPAAQADRFAA GLLEAYAEETDPAVHSAIDLLLRRWGRGAAVDAQDSRLAARDPRPGRGWYTNGQRQTL AVVRGPVTFATGDPDADQHWTVRIPRTFALATKEVTREQFARSLGAAEKPRGQDDAEP ASGVSYYEAARYCRWLSEQEQVPECQMCYPPADEIRPGMELAADYLDRTGYRLPTEAE WEYGCAVGAATTWPFGDDGALLPRFAWWMSNAQGRVHPVGRLQPNDLGLFDVLGNVYE WCYLRTWTPSSGVEVDRAEPCVIADPADPPMAMLRGGYYGGHTRTVRTRYRNQNRPSL QEPFIGFRIARTCP OJF2_RS07295 MPVRGSLVSDLGLVPEVWLFLSLLGCVTIFFKFSRVWSVRNLDL LLLFALVPGMMLIVGDQVHPPWFAFLWLFAGSAACLTRCLLDLGLVRRPLLEPNLNAS GLLCLSVGILGLLLAETLSLPVHDGALRNPAEPAGRDGRQPSSERDHSADVPVNQVIG QLLPDSLKQEPAQVVLSRVLAMLAHSALVAAFMLIGWRVFDRPIAGLSMAACYLLLPY TRMAVVDSGQLISAALILHAVCWSSRPSLTGVLIGLAAGWIPACLGLIPIWASFYRGR GTVRFLIVGCSVAVVCALLGASIPELARWARALGARSIAEAGLLPWLEPKSPSFWAAI DTAFRLPVLIGYLAMVVVLTIFPGRKSLAELIALSAALLVASQFWYLDKGGTLVLLYL PLVILMMFRPTMVARRTVAAPLQPATTRN OJF2_RS07300 MRPITRRDETSPLDDCQRVIEYQFREPMLLREALTHASGANHRL SSNERLEFLGDAILGAVVCDLLFRKFPESQEGELTRIKSIVVSRHTCARISQALGIDE FLVMGKGMGGHDQTPSSVLADVFESLIGAIYLDGGMEVARTFIVRHIGPEIDAAADGH GGCNYKSNLQQVAQREFGETPTYLLLDEKGPDHSKCFKISALIGRQSYAPAWGRNKKE AEQRAALNAICQLSGEPIPFESD OJF2_RS07305 MELSPTLPTGAAPAVLVKICGLTRADEAASCIAAGADWIGLNFH PGSPRFLDPDRSGEIVSAIGSPERAVGLFVNRPAAEVARVAARLGISTVQLHGDEPPE DLVALTHLRIVRAFRLGRPEDVEAMAGYLDRARSLGRVPDAVLVDALVAGVAGGTGTV VAGPVLDRLASMATSDSLPPMILAGGLNPENVRGRVDRVRPWMVDVASGVESGPGRKD PRLVAAFIRAARGEPRERPGQPVDKGSGAD OJF2_RS07310 MAGSVKEFTDANWKSEVLDSTIPVVVDFWAPWCGPCRNLAPTIE KLAGEFEGKVKIGKLNTDENQDTPGSLRISAIPTVLVFQQGKEVDRLVGVNPEGKFKA ALAKLGVS OJF2_RS07315 MNWLRLLLVASPVAIGLRFADAPAIWLFAASALAIIPLAGLMGE STEQLAHRLGPGVGGLLNATFGNAAELIIALFALFKGYDEVVKASLTGSIIGNVLLVL GASMLAGGLKYRSQHFNQTAAGVGATMLVLAAFGLLIPAIFHELPEVSRADVELEHEL SVGVSLLLMATYLGHLVFSLVTHKDLFNPKGKEPDLSEGPPWSSRKAIAVLLAATLAV AWMSEILVGAVERASDTMGMNHVFVGVVLVAIVGNAAEHSTAVLVALKNQMDLAVGIA VGSGLQIALFVAPVLVFASYLRAEPMDLRFTTLEIVAVILAVLTARMVAEDGESNWLE GLMLLMVYGILGLAFFFLPDLARRGGRKDAEGPPPAASAAESPGHAPAAEPRRAPAPG OJF2_RS07320 MSATADVLRDLHILHQRAKAIRDRMASVPKTLSARKNALAARQA ELEKARKALQDAKVALKKNEHSLQAQQAKIDDLKVKLNLVKKNEEYRALQNQIAHDKA SMSKVEDEILKGYEAIEDQSKAVAAVEAEVQAMATELAAMQADIDAQAVGQKAQLDEL EAAIAGAEGSIPADDRERYRRTVRQFGADALAACEGGACLGCFTAVPPQMVNHLITAD MLVFCKSCGRLLYLGEEDAKPTVKKSKARG OJF2_RS07325 MDKLDEGIKTLLDLGKRRGFLTFDQVNDFLPDEASSPERIHGLL ETLDEMGIELINEDEAEARLLAAGIEDEPEDELAEEPDEAEELELTPEEIDDLSRRID DPVRMYLTQMGEIPLLTRDQEINLAKKIEVTRRRFRRKVLECHFALALVVDVLKKVND GDLPFDRTVKVSVTENLEKDQILGRMPHNLKTLDHLMECNVRDFRSFVRERDVVARKG LVANLKRRRFKAVNLVEELSIRTQKVQPLMKRLEQTAVRMEELLAQLRDLRAGRVGKE DRANLVKELKDLMRITLETPRSLKRRAELMNARFREYEQAKRELSGGNLRLVVSIAKK YRNRGLSFLDLIQEGNTGLMRAVDKYEYRRGYKFSTYATWWIRQAITRAIADQARTIR IPVHMIETMSKLRNVSKKLLQEKGREPTIEETARAANISVEETRRVMKISRHPISLDR PVGESEDSYFGDFIEDEAAESPINAATQEMLKEKIDQVLKTLTYREREIIKLRYGLGD GYTYTLEEVGRIFKVTRERVRQIEAKAVRKLQHPVRSRQLEGFLESTG OJF2_RS07330 MPPHSDAIKAAIKNAIDIVALAGETLQLRRMGSKYKALCPFHDD HNPSLEVNPERQSFKCWSCGAGGDVFDFVMNRDHVDFPEALRMLADRAGIELERPPAA AGRGPSASGASKSDLLEVQSWARDLFVKALAKSEEATGYLRDRGLSPEMAERFWLGYA PAEKGWLAAEARRRGYPPRLLEEAGLVSLPEDSPGAVRERFRGRLIFPIQDERGRTVG FGGRILPAVERGFVAQGKHVAKYLNSPETALFQKRKLLYAADLARAASRESGWVAVVE GYTDVIAAHQVGVCNVVGTLGTALGEGHVQGLRRLSDRVVLIFDGDAAGQSAADRALD IFLGHELDVRVLSLPENLDPCDFLLKEGAGPFRGLVERAGDPLAFLLERAGARFDLGS IEGGRRAAESILEVLSKIPAGKVAGVDLKLEKFLDTLGRTLRMDVAALRKRLQGLRKA ATARAARASRPAPAAPPTPAASPADAEAPGPAEAADRGPIDPRGFDPIDRELIEIILN EPGSVRLLASRVTAQSLRDEPLREILKAAFGLLAEGAEPTCEQVMLRLDDPRLRSLAA AMTLSMESAPLPDDVRPAPWRDRLKGLLDTITRRERQSRIRDLGLALDETDEKADPEA YRALRLEYLRLMFQRPDTKKDAS OJF2_RS07335 MDSFNPTESGFDDEAPPPRPETPPVRRGFLLILFVLAASALIVY GVPYVAERTGYAWEAGRSRAATEAIAKLDKAGLVQASSLFRMAVTAVSPAVVNVQSQK AKREAAGLPGMPVGGNPNGPLYQDTELGSGVIIDKARGYIVTNHHVVKDADRILVRLG PGDDVRARLVGADPKTDLAVLQVRSTLKVDASWGDSDQLDIGDWVLAIGSPLGFDHSV TAGIVSATERNDVRIAEYESFIQTDAAINPGNSGGPLINLEGKVVGINTAIITRTGAY EGIGLAIPSSLAKRVVESLIKSGKVVRGYLGLLLNPLDAEVAKKLNIPEARGVLVAGV YPGSAAAAGGLRRGDVIVRLSGRRVNDPAELRLLTAGLDVGARVPMVFYRDGETRTVD VTIGELPDFPEAAFLGFHVIDVPGPDGKHTAVEVDSVREGSPAAAAGLFPRMRIIGVG GMPIRSVGELQKAIHGLDPESALPLFVSSPDGRPILIPLSPRRTRPAEPESPEAGPGR OJF2_RS07340 MKLFPQGVQFWSEIYRYRGSATPYIVGRTLAFGLIALAVTAIHH LTAFNLAVPITPYEVLGAALGALLVLRTNAGYERWWEARKLWGGIVNQCRNVVVLAVA HGPDDPAWKRQVAAWTAAFPHACRRSLRNQRESGDLESLIGAVKAERIVSSDHMPTAV SLRMSQLFREGAEAGMDRFAFLRVEDERAKLIDHLGGCERILRSPLPLAYSVQIRQFI FVFLFTLPFGLVEQLEWLTPVVVMLVGFPILALDEIGVELQNPFSESRLNHLPLTELC ATIERNLTALVADLPEPSPARNGQGPDPSRRDADEAARLAS OJF2_RS40490 MAHARRKFHEARKADPERSHVARAWIKRLYEVADEAEAEAEIAA ATAGSW OJF2_RS07360 MAKKDGGKAAAKGKPPADDGIKVVARNRKAKHEYDLLEKLEAGI VLTGTEVKSLRNGKANLEDAYAEVDREEVWLHGCDIPEYLQANRMNHVPKRKRKLLLH RREIDKLGARTSEKGLTIIPLSIYFKKGMAKVELFIAKGRKTYDKREAIKKQDAKRDI DRAMRRR OJF2_RS07365 MGTPAYIGMGSNLGDRRAILDAAVESLGRLPGSRVSAVSRYYET APVGGPPDQGPFLNAAAALETTLEPLTLLAGLQEIETKAGRVRVVRWGERTLDLDLLL FGGTVLDTPTLSVPHPWMAVRRFVLAPLCEIAPDRVDPVTGTSVQSLLENLERRPSLV CLGGCWRDGVAAWRSAFERVVRALNAHVVSLGDPFLSRDTARGRLISLRNLAQQLEWW KSDGDRWIVADFSPADFAEDAERRRIARLSRRRAVRVRSLLRPTIVVQRAPTSANSIR RLGIREDGVPTLLLASDSPDELSERMIDACNAARSPATVADASRVPPVLRYDAAE OJF2_RS07370 MATPSFVKSERLQKLPPYLFAEIDRKKKAAIAAGRDVINLGVGD PDRPTPAPIIRSLQHHVENPAFHQYALDQGAPELRESIAAFCKARYGIELDPASEILP LIGSKEGIAHLPLAVLNPQEISLVPDPCYPVYRSSSMFAGADVYTMPLERSHGFRPDL DAIPADVLRLARLMFLNYPNNPTGGTADLPYFERVVNLARAHDFVVAQDAAYNEMYFD SPVPSILQVPGAKDVAIEFHSLSKTFNMTGWRVGFAIGGAPLIAALGQVKANTDSGIF TAIQFAAKTALDEYATLTPPIRALYKERRDAFVGALKKLGWDVPTPEATFYVWIPCPA GYTSTELCGRLLDEANVVTTPGLGFGRTADGYIRAALTVETPRLLEAVDRIGKLSL OJF2_RS07375 MAGHSHSANIAHRKGLVDAKRGKLFSKLCRAIYVAARVGGGDPA ANIRLRYAIDKARSFSCPKDNIERSIKKATGELGAENFEEVLYEGYGPGGVAVLCEAL TDNRNRTAGELRRAFELAGGNLGGSGCVSYLFNFKGLFVVDPKNAAEEQLMEVALEAG ADDVELVEGLYEVTCDPKVFEAVRKALEDAKIPTESAETSYIPTNYVDLDVEAGRKML KLRDVLDENDDIQNVYANDNIPEEVMAG OJF2_RS07380 MRRPGWAAWCLTMVVAAVASQAAVAAAEARPDAQEPPAPKQARE ASNPGAPGQAPAAKPDISSLYKQPGDEPPRDFVPLHASTVEDRQQAEATQLYAAARAC EDRGSYQEAVALLQQAQKLDPDSLAVLRRLARIYVGALGKPELALEYGKKVLETDPED SDTLARMVDFYARKNDAASTTALEGLLKSTLANPKLPAGSPSRLLLHNELGKLYALRL NQVDKAAKEFGEVVKGLDEKAANRLSPVDLARILTNEPATAYLGFGTVLLAANQVDLA TRAFERGLVYDEENPQIPLLLAETLLKQDKGAQALALVDRYIKRQPQALEAYDLLAKV LTALKRQDEITPRLEEAARRDSKNVPLQYVLADRYRETGQVEKADALYRTLLKSAPTP QTYRALAASLLKRRKMADLLKVMCEAITRPNGLDAVAAQLQAVAADDSLAVEMLDEGL KQLQAKPPTLPQRPVLLILGIVANPDRGSEKSGRLERLVKLQRYVTEQNPSPQGYKDL AETLHRLDRNAEAAATFAQMQEKYPAEKNGRSLGQLAEYQRYAGQLDAALETARSAVK SEPNDLEVQSVLADILGESGKVDEAVDILRKVVKSDGDNPKFLFALGAILTKFGRNEE AIGVFQDLLKRFSANDTIVRICHSNLSIIYVNQGDYARGEAELEVLYQKSPDDPGVNN DLGYLYADQGKNLEKAESMIRKAVQEEPDNSAYLDSLGWVLFKRGKAGEALDPLTRAV ELQKAKEKSGASPPDATIREHLGDVYLRLNQVDKAREVWADAETIAAKAVPPDRRLPE IRKKIQSLKQLGSTPKASSDHTP OJF2_RS07385 MRRFPRGIDEHNTGAEGSAVMEEMSEDMVIRTVPAEQPRVKSSP RRQPPYAVVLHNDDVNGLGFVVNVLRRVFGYRPLKAFRLAITAHVRGRSTVWVGTLEV AELKAEQVLACGPDPAATRASARPLRTSLEPRPAG OJF2_RS07390 MATQSKSQFLNDIHSHLKRRYKPKPDRAPGRLSILEAVVYGVCH EDVTREQANQALSRFKDSFFDWNDVRVSHIDEVREALADIPDPEARAQRIRRFLRQLF EKTYGFNLDALAKKPLKEALKALQAYEAFHSDFVTATVTQLALGGHAIPVDSSTRRAL DRLGVAEPDIPTLRAVLERAVPKNRGQEFIELVEELAHDTCVPGTPDCPRCELRKLCP YAHSAKEAAKAGGRSGATKPAEAPAAPAAPEKDDKKPRDAAKEHAKKPGASAPAPPPP AKKPPPRASAPPEPPKPPPTKRGGK OJF2_RS07395 MPSHRSLRVAEAIREVVASAVLFEASDPRIRGVTVLRSEVSGDL RNATVYVSVMGTPGEQKLALAGLKHAAGFLQAKVAARLQTKVTPVLSFKIDDSVKKSV EMARLIAEAVASDRKPGEGDAPAPGPEGADDEDEGGREDEGDVLPRPGPASEPRDP OJF2_RS07400 MSTRVHELAKELGLKSQDLLERIQKWGLDVKESVLASLDPSTVE RIRELMKQSPAPAAGAGAAKPAPAVAPSPNATNAAAAKPTPRPVASAPASPPASAPTT APPRAPAPAPAVGAAGARPTAPADAVATPPAPAAGPAPAPASPPPPAAPRPASTPSPA ATPAGPPQPARTVPLSSPPLARSGGGFTGSRPGGGPLAGHTPHRGTGPRPGGPPSEPR PIRPQGPSPSYPAHDAGGVPGSQPLKRSDYMSSAGIRPPVQRGPSASSPAGAPPRRPG DEPAADRDRRDGPRRPLPPVAAPQAPVQRSSVAGRPTGPAPQEAKSQRPEKRMTREEI LNLMRTGQLGAFPGAPPAGPGARPGAPGVRMPGAPGSGAGRAPGAPGLRPGQPAVRMP GPPGSSPAPIAPPAIVEEEDDRKGKARVGSSADRAGRRARRTERATDRRVSSPQPASA LLNDDDDGRRSRGRRGSHRHAHRSAVAPTRKSHVQIEPPISVRTLSEEIGIKANELLR KLMGMGEMATINTTLDEDLASMLAMEFGVELEVVRARTAEDDLLDSLAPQEDEEHLSP RPPVITILGHVDHGKTSLLDRIRKANVVDSESGGITQHIGAYQVEYNGKPITFVDTPG HEAFTAMRARGANVTDIVVLVVAADDGVMPQTIEAIAHAKAAEVPIVVALNKIDLPNV DTPSNVNKIYGELAQQGLNPVEWGGDIEIVKTSTVTGQGVPDLLSTLETIAELHDLKA DPDRPARGTCLEASLSEGRGVLATVLVQEGTLKVGDVLVCGDGFGRVRALFNDRGRPI EQAGPSTPVEISGLDVVPTAGENFGVIDDVGRAREIAETRRGRAREAAQAERQTVTLE NLYNRMAEQKVKTLNLIIKADVQGSIEALTKELEKLENNEVPIRILLKGVGGITESDI LLADASQAIVIGFRVAPEDRAITQADEKKIEIRRYDIIYQVTDDIKKAVEGMLVPEVK EVHLGRAVVRQVFRISKVGAVAGCFVTQGTIERSAKVRLIREGREVYKGAIDALKRFK DDVKEVPQNFECGIKITNYDDVKPDDVIEAYRVDVIRRTL OJF2_RS07405 MSVDLVRIVDSIHRDKNIPKEVLIEGIRSAVQTAARKHYPDAES IEVNFDPDTGSLDATMDGVRMDPAELGRISAQTAKQVIIQKFREAERDSLFDEFEDQR GDLVTGTVVRFEGGAVIVNLGKTDAILPRGEQIPGESYHPNERIRAIILDVRKVGQRV KIILSRTHPDFVRRLFELEIPEIADQIIAIRALAREAGYRSKVAVTSIDTKIDAVGAC VGVRGTRIKNIVDELAGERIDIVRWNESLQVLIPNALQPAEIDEVMLCHLLGRAIVLV RDDQLSLAIGRRGQNVRLASKLVGWDIEIMTAEELDEVIEKAVKSFEKIEGVETELAE RLVEQGILSYDDLSVMEIPDLVNTIEGLSEEQATDIVARAEVMAEEQSEDLPRRKGGR AAAALLEQFASQEAAAEGDESAAASPDEAPAGDESDPVESQTMHDGNSAAEVDSALPP ELDDAAADDLPTDENPDPEADEASDDEIHDVALSEEKYGRSRQGHEVTSRPSDDDQGA SIRIVTDAVESGGAGRPAPPEPETPPAHSAETHPDDRESPEVTPHGGDVS OJF2_RS07410 MKPPIPRHEPLVDTHAHLADDRLRGELDGVLARARDAGLRQVLA IGTTAEDSRSVVQIAQRHAGVHAAVGVQPNHVAEAGPGDWEEIVVLSRERKVVAIGET GLDRYWDRAPFGLQQDWFDRHLALAYERDLPVVIHCRDCEGDIIEQLGRLGRPVRGVL HSFTGNAGHAAAFLGLGLHISVAGMITFSNKSLDALRDAVRTIPDDRLLIETDSPYLS PQPVRGRPNQPAHLAWTAEFVAGIRGTSPEALARQTTSNARELFRLPAGETIG OJF2_RS07415 MSAANLESVLIFGPILLLVAAGAMIASRSGVTRLSTGQDYLKVA GNLSQMMLRIFGYVIILMGIQYMIGMRPSLGW OJF2_RS07420 MISAASNSVDHPADALARRLKALRSKPPGSLVIHEIYRSLQGES TFMGLPCVFIRLTACHLRCVYCDTRHAFHEGGPMTLDEVVERALGFGDDLVEITGGEP LLQPEVLPLMSRLADLGKTVLLETSGAVDTSAVDPRVRVILDLKTPGSGEVAANIWRN LDHLRPTDELKLVLCDRRDFDWAVEIVRELRLLERCPVLFSAAAGRLNATELAGWILE TRLPIRLQIQQHKVLWDPNARGV OJF2_RS07425 MAVIRFYSVTGSHGAFSNFSPHPVVLKGRTWPTSEHYFQAQKFA GSPDEEAVRRAKSPMIAARMGRSRSRPLRPDWEAVKESIMHEAVLAKFTQHEPLRKLL LATGDATIVEHTANDAYWGDGGDGSGRNRLGEILMRVRDELRR OJF2_RS07430 MLRAYTEDLSPATRARLLLKTLMFPGTNWVSRDKSRVARMFLQG TPERPVRTLDCGCGNAYFSIEAVRRGSRCLGITIHEWEKRNCEEMRAFRGLSPEALEF RQATLETLAAEPEQNGRYDQVILLDVIEHILDARKALRQIHGLLDEDGLVYITTPDRD WQAHSDRIRVTRYEDGWHVRNGYTFEQLERVLEESGFEPVDRLRFGNLGSTAVTWIQH RIFRSWTDPLTVAFYPLLKLLAVALSPWKDPHTIFVLARKRRAAAPSH OJF2_RS07435 MASGERRPPYYLGIDLGGTNIKAGVVDDAGKALSSVSVETLAEH GPDSGIDRLAEAAGRAVGESGIPWADVAGVGLGSPGTMDLSRGVLLEPSNLPGWDQFP IRDRLAERLQKRTVLENDANAAALGEHWVGAGRDSNSLVLFTLGTGIGCGIIHEGRVL QGRHSHGGECGHMIIQADDGRLCSCGRRGHLEAYASATSLVKRAVEALAEDDAPSLLR DLAPAELTSLAIDEAARSGDALASRLMSETARYLAVGAVSVMHTVDPDLILFGGGMIG AGRPFLDEIHSRIRSLTFAILARAVRVEYAELGGEAGFIGAAACIRAAVGGRG OJF2_RS07440 MPGPGAWRTTAGIDARLSSLLKVGFVGLLGLAGWSGEARAQAIP GVPGVGAGAVPSAVSTLGGAAVTPAAATAAPRSLWGFLGLTPQNFQACKDKLCSCQIG QMLNSLLTGPVGAVSGGFISHLCPVAPSQDAINQLAAKPNGAAQAAAAKIQASEADAK ARVAAVEYLGTVDCSRFPEAKVGLLDALSLDPNECVRYAAARALGNGCCCDQDVIEKL RLCVAGEKGKGIPAETSPRVRAAAFAALQGCLTRVPEEIEAPAEPPRRQVSPEGVLPQ PLPDDRKRVRPEGAAANTSDPSAVVASVRPAPRAKSFEQTVVEARRTLVEASQHPVPQ NNLAPGHKSVFGALAKARRDIDAKSRQARPAGSDAAAAPMDPSVVPSSYAPAAQGGSR DEAQAPSQSPGKRGLFGLLVRPRSPG OJF2_RS07445 MKAEASRRNWIRKGAWAVLAALVPASESPAIADGDRAQGQAFSP IAAASPSDPGAAASGSGRRTTVPPPRPFSEVKRRGLLGRTDDARRSAPIPPPRIFVAD KPAVTASASVSPPEVPAPAPADAPAPAPAVAPAPATAAAAVPALAEAPAPAPPAAPPV ETQPVLAASPMADPIEVGPSAAERPRELEAALKSLGARSTSPSDSGPAPDSAAAKLDE PVGEPARPVPSSIDQSPAPSPAADDASPAATPAPALAVPELDARPAIEPAAEAKPEPE HVEAKPAVDAKPAPVPAEAPAAPAAASEPAGQQTPKPSPAPVPARAVAASAATSTGPG PDAPTSPAGAATPADAAAALVLKQPDAAKTADDEVEKAACTTCGSHHGISDGHVFTGC ANGKCIPGRPPCNPPANECDTIVGAFCQRLYECLCCPDPCYKPVWEPAANASFFADYA RPRTVTRLRYDNLEAMSRPDRNQFMMNQVNPRGRGVFNPMARLQQVSVYQEAAGERGS LFVEYPYRQLNSNWAPTQAGFGDVNFGIKSLWFDCEMLQVAFQLRTYMPSGNFQDNLG TGQFAIDPSILTSLKLGPTTFFQGQFGNWTPLGGPSSATLNIPARMPGTGALTSINGG KQAGGIFYWFMSLNQVLWYYTPDSPLTATLEMDGWSFENGGYTTAVRPKIGSGGTGAL TRYGRADGGGVSYFNIGPGLRQSICNRVDFGGAITWATDTAHWAQPWFRFEVRFLF OJF2_RS07450 MADERARPSRPSWKRAGGDPRAVEPSSHAWSRRSVSSVARPDAP RTKTWKVAGVVAALAACIALILFLILVFRPPRPAAVVLVGADYAGNLAVPHNLLGWKG LQGLEAVSRTPPRFSLFPPPMLQLVRGGGTPLNLAEDWKALVDDLKVSAKGYRTLLIA VALHGGTTADSAYLLPGKATGREADRLDLLQVIRSMDELPQEQNKVLVLEGALVPADW RLGMLYNDFGRRLRDLEPEIRKVKNLWVLSGCDVDQQCWASEGMGRTAFFHYIIEALS GEAAGHGMRLNLQTLHDYVRRKVRNWAWNARGAIQEPVLLPRTADTAGSGGEPPRRPA AGVHLATAEAAPASETPPELDRTMLSERWRAFHELDGLLPHPSTYSPRRWREYRATLV RLEELARAGASAQQLAPLDERLGVLGARLRADRSFAKITESPQHNLVMGVVQGAVIDP RRGSEPEFSRLWSPPPGTDPSKVWDELRGKFPDGDAEPAQPLRCRVDDYLLQRAAADP QHDLATAVDRLRQQTRGTQYPQPVEAHFAAMLERSLRGERARPQAFWPRVRRALLVRR LAERVTLGIPEGRPGYAASERLAPWLRELVDRADDQRRLGEDQLLASDEAAWARADQS LAAAEQLYREASSRGELVRKAILARDLALSTLPDYARWMGRRRPEDLGKDDLAAVVLE LWDQVHRLSDRLEAPGEESAASLEKLQKGLSTGLEALGRRFRQHAGGLAGDRRPEECE PTASAAAVPFADAAEDSLRGALWDRLDAIRKHDRKLSEGGDTPEPAAADADRVADSLR RRSRLQGRMAMATLGRDRFDAPAFKDAPPFDQVLKRIESAFEEGGQQAWWRSIAEAGD AIGLRWRGLGPEVEGLTKEEGPDADPARLLTRLSLAERLDRLIDGGEEPLPDSRPEAA SLGRGLRIRGLLLWLAERAWRDHWFDEDPKAVSPYYRTVATRLVGDAARIAAKGPDLA RIRQLVARNDRLKLEGPSKIIMTTEPAADATYRIAAEGDPESIPPGLPVIRPRVEPEL GLLDGAAGYRLAPWRTGGDVARFSVSSATIRSAESDESMDRPFIRTSPLLIEGVFRGQ LFTKRTDVELHPVPDEVSVNPAPVDPRASLAVRADGELLARFGAGSGSIAIVLDMSGS MREPTPSGRSKLAEAKIALGQVLEIIPAGTTVSLWTFSQIGAKEADLFEDDPRHLAPE KTIQRLREPVRWDRAELPGLVARLEAYRPFCDTPLVEAMWRAAATDLGKAQGLKTLLV LTDGNDTEFQKHREFNPLKDGKPTYKDIPEFIRTAFDPTGVQINMIYFDTASDGGKQL EEARKNFEEPLRGLDRPGTFTAVKDVDQLLAGLKKGIRQELACRIRDEAGKPVSEELL GVTRVGEPDRWWPDGLDAGLYTLSVLADHTYEHQVELKKGERLLVRLVDAGGKIDFRR DLYSGDFADRAAEDRSEWRASGLATVIPAQQAGDRIQVLASLEQTAMRVPDPDPIRQV HPRMSWMRLAAQGIPNPSGAFSTRWRDLGEYPAPAWVADVPRWPRDPAGAGPAQPVLR IWWLAPGLKDRSLPAIALDGDLPRRLRLADGEEVVLEGLGIESHKVEVSPGSRVDRRC LAIRIAYPKDQLHIVDPRSLLAAGIGTEGAELRIFSRARRSTALLWPVSETSLDALKS VGLYPVDELLKLAEKQQTAAAITLPIPRRGNLPEPPPPLRDPRRPLGPPAPG OJF2_RS07455 MTRPSTTGRGRWRDAGAATRTRPSGPTKAGKGLLAALVSAIVLA TVGFLGFLIYIFVFGPENRTSLIPFLVTSYRRDQISPVPGAAAERRAMAATGLQLRHD PLDADGDLTLENFATKLNNLRGEKPAVPIVAYVSAYAMVDEAGRVQILASRSDPFSAD SMLPLGQVLRALRECPSRQKLLILDIMKPTSDPLDLGGTPDGVADAVPLEVAAAAVGK EQGGTPPLVLVACSPGETGLWSEHLGRSVFGHFFAGAFADTKADLDGGGTLSASELEK YLAERVNAYARKTRGVTQRPYLIGDGDFSIGAIVPAAASAPVASRAADKDAPAEEAAG GDPAKSAEKGKPAATAVAKKDEGSAAAPSDGLAYPDWLKKGWELRRDWWADPTTGRFA GAASPRAFRRLGAILLRAEARWRSGDDPAAVAADLQAGVAPLVEAMNHDRAVDGPSAI RSVGQAVAGGWKPDAALVSRLRVLLDDLRNSDQPADADAAAARKKAAGELAAAIKARP RPSLELAGILIEATSGVRLIPDTFRLLDGLIRDSGAKADIVELRLFQDLAVRATAPPA EWPDEAAEAARLAWDSLCLAERAAHHPSAFPWTAGLLDHADRARHEARVLLLADVRGF DSWRGVQEAWSRAAEELRKADDAQTLIEEARTELDRSRTLLSDDLAFLQASGRPGLET AWHEAARASAEVAHILGPARDGKADPGDGAGRPPIETLLNELEPALRALRAGDEALLR PFTDEAIREAIARTEAARPDPRAAAEIDALLASPLPPPDARPRLIAACRALDARLAAS AQASIPDAAEAAEAGSAARLEQARPLVVRRARRLQTLLSLLGEEALASNLCAGVELEP EIRSMAGGSASTASTPGEVIVKLWGSHARIAAAAWCRLNALLERSERGDDAAGWIAPP FLPVVTANPRYAARQAESQRHGRWLAARYVQEERDLHERMAPARFYEEAAAECQGHED AAAPAIELGLASAATPTLSSSGGLSVGVRIALIGGPGATAQARVAVHTPGDGRLKVQM AQEEPVDLSSLSPAVVNLELRWDEDGGPVDREPPPGFLFEATLADGRAFHLKVPIRIE SGRMLPSFAVVPKDGDAVGVPLDPFRLRTLPGRQPFQLSLRNPSPRAREVKVELMAGD AVLASTGEKPIPLPGNSTVPVKALAPASAGMTPPKENEPLIEAPAALRLRMSEPAAGG GGLVVVQPIRPEIANPADYVGVMLAQFTPEFQGQPNQLKLVFRALPGMTGPPCQVELV LPADRALFPALLSPETAGKRADLLRKGDDLTLVAEGLRLDPGVAQQRGSFQVNLDGVK RALWYQAQFNNAGGVERAVVEAAPPRVRFRTERTVKPNESTDLRVFYQVDKAPANSRL TFALGRPAAGGFAADKEAEPRPPRRSHVGLAVGDGGVLLFEAAVEDWADAYRLNIRGK RLAVARLLDPAGRELDRYEEELVLDDQPPAIREIAVPPEVEDGGAPFDARIVVTPPVS GVREVVYVAGPKALDDAEFAKAHAEKKTAQARRSGGDREWIAPVSIPKDATGRLFITA RAESGVGLTGLRGDVVKIRPRPAPADDMAAKKKDQPPAPGAIEGTVIEAGLPQPNLRV LLMNEKEKDPAKAVVKNTTTDDDGKFKLTDIAPGEYYIYSMNDVSKRYYYKPVSVPPG QTATLKMELLR OJF2_RS07460 MIKIIAKPWEWACWLWGLVFPMFSSQEAAEKAAPAARWSGRIVL LAIVLALLGAINRLPTVGLQNLIPQYPALARNWLPLFFLSLYVLLWLGWWLYRILSME VQEEASDFPDIDRAWAQAMEALSRAEIRLDATPLYLVLGWSSGSEEALFKAAGIKARV KQVPTDKLEPLHVTADRDSIWVTCPGASLLGQQDPSFIGQESGGGGAVLATMMEEQPD PFKTMGVGMATGGLEGAMEDARKEIDAGRAAGRPVRNIDREKYLARLRHLCRLIRRDR LGFCPVNGVLVVLPISSADPRSRPEEIAAACRQDLQESFASFRMRCPILFLIADLERV PGFADLVGRLPASQLNNRMGQRFPLVPDVREEEVPAKVEGSVEWVINSLFGTMVYSLF RVESNRSIDEIAEVLRGNQALFQFLGKIRERRERLARLVRDCIPAVADEKLMFGGCYF AATGEGDDAERAFASGVLNRLVQEQDLVSWTEQAMAEDATFARTAGVVRKIFMAVAGV LVLGIVGLLVMRFAGRGS OJF2_RS07465 MTPQLSELVFPVMTYALDLKDRLDEGEDLDLEAEQRQLMDRLRS ETEVRRLADYAGDGSVFLGARYALTCWIDELFIVYSPWADAWKERILELALYGSRDRA WKFWDQAEIALRRPNAPRVATPPGPDALEAFFLCTALGFRGKYLENPAKVRELMEEMR PQVTRTSPWPAPRDLGAGTNVEPLAGRAALGRAIAVYGGLCLALLIVFLILLSALGFL GR OJF2_RS07470 MPAGEIHWHEGMFLRPHHFLAEHRRALGLIQLDGKWDRQHNWGL RSISLNKEALGNHRFSVASLKARLRDGTLVEVPEEGPLSDLDLKPAFAGERKVTVLLG VPMLKSGQPNVAPDRPAEGVRYYTRTQQVDDENVGSNPQPLAIRRLNLRLLLSTEDTS GYDVIPIARVEKSERAEATPQLDAGYIPPLLACDAWHELSVEILQVVYDRIGRKVEKL ANQAVSRGLSLESTAPGDVLIFSQLRELNEAYATLTNLAFLEGIHPLPAYLELCRLVG QLSVFSQTRRTPAIPRYDHDDLGGCFHRIKNYLDDLLSIVPEPDYQERPFVGNGLRMQ VSLERGWLDQSVHLYFGVRSPLDADACVELMGPRGIDMKVGSSDRIETIYRLGDLGLK FSHVPAPSLPQALPRDKGLIYFEINREQQQNEWQYVERSLTLAIRFNESKIVGNIDGQ ETISLRLASEMPFRFALFMLGAGAARKP OJF2_RS07475 MTGQKSERPSRREFLESAGQGVAASALAGVAIPAVHAGESHTIK IALVGCGGRGTGAAANALSTRSGPIKLVAMADIFDYRLRSSYDTLTNTGGNAAAVDRP AGTWDISQVDVPPERRFLGFDAYKQAMECLSAGDIVLLTTPCAFRSVHFAHAIDRGIH VFMEKPTTVDGPSTRRMLALADRSAARNLKVGVGLMCRHCEARKALLQRIRDGQIGDI TLMKTYRLVGPAGFTGPKPPDMSELLYQVKNYLSFYWASGGLVHDYTSHNIDECCWMK GSWPVRAHGLAGRYYRGDEVDQNLDHYSVEYTFADGTNLYLNARNMTGCHGEFASYAH GTKGSAVISTAMHTPAKCRIYKGHNFAKGDLTWAFPQPEPNPYQLEWDHLVAAIREDK PYNEARRGAEASLVQIMGRMACHTGQVVTWDQALNASQEFAPGLDRLTMASEAPVHPG KDGRYPTPQPGILADREY OJF2_RS07480 MRGDGSKRWTRRAWLGRPARLALTAAGLRLASSPALGQEAKPGE DEEAAVRDAAKAARLAGVAAARSEHFLAVGDAPAGYLRGALDRCEGLGKDFLAHFRAR GFEVAYPPRRLTVVGLKDDASYGALLGEAPGKDVGGHFDLDTNRLVIFDFREGGGLEK ADAETINLFALVHETSHQLCFNTGLLDRAHVPPLCVSEGLATYVELWRPGVRNAIGGV NKPRLKALGNARDWIDLADLISEDAAFEDDRQQLAYAEGWLLIHYLMRSPGRQARLRR YLASVRKEGAKTPAARLKLAEAALGSLSKLNREVKDEARNFFRR OJF2_RS07485 MANRLGPMWLNRVSQLTGPTPAGRFSKFALQVQKVNDLEPIFEP MNDDELRAKAQELRLRARQGDSLNGLLPEAFALVRESAKRTIGQRHFDVQILGGTAIH YRCIAELETGEGKTLVGTLPTFLNALPAKGVHVVTVNDYLARRDAEWMGPIYQRLGMT VGIIQTNQPDTSRRQAYACDITYGTSKELGFDFLRDELKRLQLGDTHRKSFEEVFLGS GQHAQTELPVQRTHFFCVVDEADSILIDEARTPLIIGANNQPTQEEASAYYGADQLAA TLVRVKDYKYDPVEKKAELTAAGRRKVQSRAAHPAFVTLTVDGVYEYVERALRAQIAY LRDRDYVIHDGEVVIVDEFTGRMMPGRQWQDGLHQAIQGKEKLEITLETITAARVTVQ DFFKRYKKIAGMTGTATSDAAELRRIYKVGVMKILTNRPCRRVWLPDRVFSTEEEKFR AVADQIVEWNKTGVPVLVGTRSIEKSETLSRLLAEAGIEHQILNAKNHEIEANIVAQA GQIGKVTVATNMAGRGTDIKLGEGVAALGGLHVIGTERHESRRIDRQLAGRSARQGDP GFVQFFISLEDEIVEAFGEKPAARIRRRYAGRGELTSPAWRRFMIRAQAKKERQHFKD RKLLMAYEKQRAEMRRNMGLNPVLG OJF2_RS07490 MDYREPPRITTADARLDGGFVADDSPRRPRRFDFVRPERHLDLP RVLLAALAGMLALGFCGYLMWRGARSAVEWLHVQPDYELRFTELTLAEPPPEWFRGGR EAFLKRVRENAQEDEVLRVLDLAKGQADPEKSRIHRAFRLFPFVEDVTKVEYPPRGIV VHLVYKQPVAIIPFRGDEPVILDRNAHVLPNEDIDLEKLPPPIQLLGARTILMPSPGL QPGLPWRPPSSVPDGAQIERCVKQAANLAAFFLEPERAELAASTPALKIIGITVTEAI PTPDVSEPRGFFLVNAEKAFILWGPAPGEEAPGALSASEKWAILAKWAKITPRPVLPG RDYWAFSRTDLEGVRTRPQTGPQGTGGNPG OJF2_RS07495 MRPFDGLQDFVRTDVPMAPHVWFRLGGHARFFAKPRSLDELLTA LRRARESSIACRILGGGSNVLVRDEGVDALVIQLESPFFSDVKFESNVITVGTAVPLT ALISQTARAGLAGLEALTGIPGTVGGALRGNAGSRQGSIGQYVRRATVLDAANEVHVR ERDDITFADRSSNLDEPVLLSAEFELAPEDPEAVVRRMRRIWIIKKESQPYGHQSSGC IFKNPAPDVSAGALIDQAGMKGARCGGAEVSDRHANFIVAQPGAKSDDVLRLIDQIQQ RVWQQFGYDLELQIQVW OJF2_RS07500 MIEIQCPACGAAGRAPADKVNSRLICRKCLKAFHVTASGRTVLG DPPDPGAAHTPTHELHAPDETEKVDQWFEKVGRALVSPTSAMIALALAAVIGLVAYRA LQKPPETLEERAARVARAAVHGDLRTIHDASIPGTDSEATRWYDSVRSQCDDFRQRLG SGQFRVEVGVRQREEPAGTAETVANIQPEETIERKGNALPDPTLTLAPSAGNWLSLPM AWKAEGSAGWKLDPRKTLDLAGPPL OJF2_RS07505 MRPRIRTEAAILVAVAILPTSTTLGQATNDEPTARFAGLARPPR QADRWDAPATRIPPGVVAAARILFDQGFADPRGCEYRAVRLVRDTVAGLGGPQRVEAA VAAPEHAWVLPAEGPDAPRFAVAWNGLIYPIEALGVGADLEADVRALVDGARKDLREH RRGPHAFSGEAGWPFGAFGAMPHAASASRESLMPAKVVMLLRLGRADLAEALLEAGTG RAPAAAPQPSDDPLGYHALASAWAWAMLDRASGAHEKGDDALARADLRALTRLVPLVE AKCAELGLPRPVAAGNAAGPAPYLGFAAPASRLLADQERRAREPRRRPAKGVRVDDQP ERIAALIRNLDQLTERDMGVYFVLGHASNGPESELAKEGEAAIPALLQCLEGDERLTR IILRDRRHDSRWLAPRGVEVVARATLQRILGTTEVGGRNDGLLSPDERASAASELRAF WEKTRGLDALGRELAVLADDGAGPDRWLEAARALVLPVGPVWETPRGRRPVRLPLRGE PLRSREAPSVSDLMARRIAGMDPGEANARDADEMILAYRANDMAELLARWDLPRALPV LRARVRRCIALDRARQPGMPFDGFAGWAGTLTSWRIRGGDPDAFADYAGWVRDLDPEV YQNFPSAALDPFWRDPENPRASEVLSSLFDRPGSPWMPILAPRGKYRIREIQSQLLVS PMLGFGVFRRRVIDALDDHAEVGSVECDEDGRIAVKLPDRTEFPSLREGVPDRPAPRS RMPVRKRDEVADMLKGVEGMPYFEHHWPEARKGRAIEEMKRLLGLYGDRYRPVVLDRR SYDPLSQYPHPPRAELSFPPLDHPASTEEASAGLAIFSLGRGAETRVWRMPAPAMPAR WTSLAIPPDDPILLSLPAGKPVHPAQIEVLQGGWAWQAEEVRRGDRWERIYGFVGRHV VAAVPASEIDFPADEASASGFLRFTRDLEASLIPPGMADGRGATQRPVPAGAPLPLTV RLRNRRGIEATAPVELVRKGDGITFRDGTSIRLFRANEGRPRRSGSQGQDEPTREFEV VPWRRPGRHAPAEARVLSPTEVVEVLKVDLRELYDNLKPGRYRVELRLEDRQDREGKP AVAEGTFVIEAPASGPIRSTS OJF2_RS07510 MNDPSGSPLDVRDTPATRAEAAPSSPKDPITRLVYRDGAGELHL DWTGERIPEALADRDGILWVDLESTLPQDQEDFRAEAILRDVFGFHPLAVEDAIAESN LPKIDDWGEYLYLVFHATSMERRGDALKLHEIDIFLGANFVLTYHTEPIAFLEGEWAS ILKDPRDRLRRGADHLLARFLEVAVDQSLKTIEQLDDRLDHIQNRVLDDPSPAALRTI FRVKRAAIRLHKLFGPQREVLNRLARDPYDPVRAENRVYFRDVYDHVVRVHDISEGLR DLIAGTLETYLSVMSNRTNDIMKTLTMVTVMFMPMSFLTGFFGMNFFGETLAFEGPLP RRTLFLASLAVMALSPAVMWTIARLRRWI OJF2_RS40495 MRLRFSWRVRALSVVLGLLAAGCSEELGPERFATTHVSGRISLG GKAVGGGFVDFLPGQGTRGNIRSARIRDDGTFEADRVPVGLVAIRIVGAPVPPQYALL FGRFPTRITRTIAGDRAAAVEIDLAEEAARDRAEANARLEEYRANHPAGASP OJF2_RS07515 MAEPGAAGPPPPADPSSIPVPELRDYHQINAELEHRLQLGHRRI RLDGVEGQRLLLLRLRGPWRAVVELAGNAGPELAAEMDAPGLVVVCRGSAADGAGRGL AGGTLLILGNAGVALGYGQRGGRIVAAGVVGARAGLLQEGGDLVLLNGSGRLTGEAQS GGRILFRRDFAGPHVGHARRGGTVLDDSPRGTIGEGSAPDAAGLAHEARDLVARFTST S OJF2_RS39080 MVKVLPRRRRVTVRLGGMATGLSSLLTLAMIAALAGPTQAQPGG QTKGSAPPAAKGDGDAKDQAKDAAKSKDDGKEAEPTEPPPDPSQLQKLSPVEIFKDPA AQELIDLKKFNPIRNRPADPADIGAVKEMAANPAAPVDATIIRRMIGGMIAQLTDTKN IQSLIDPPPGSIDSRAIETATRNLQEPLFAARASKSNTFLNEYNRALVQMLPAVLKNH LVPRIQAMIVLGQTGSPEALKIFLDEIRNKDQTVWVKLWALRGLSNIVRYNETPRLNN QQTVDAAKVVAEFLDGNEEMPWPVKYRALEALSYLRQGAEPKSPKEARMAKAAAKVLA APKARLDIRAEAARALGMMQITNAVTDYNFKLVAYLAGQVAAGAGEAVLSSYSDKGPP LNAAKAQYMTALLVGPLSQVFEGQPGVRDSGLLHNPAAASSRSDIQKVQGQITAVAKS ALELVRAPAGQRAAERKDLEAQIGRLKEFLAKNVPANGHLVPGDEGLLNEDSPAAAAA EGEGDASPPAAEKPSKPDRPASKVAGNRGRG OJF2_RS07520 MIERNYLFPGVIEMNYQSRRRMGVNVYLIDGGTEYALIDVGFLD ELTDVLELVRQMNFSLSACKLIVASHADVDHTQGLARAREILKCPIAAHALSIPAIEQ GDEIFTFARIDAQGIHIPMPRCKVDRPIDEGDRLQIGERTLEVWSTPGHAAGQIALRM GNLLFSGDNIFRDGSVGAIDAHHGSSIPDFITSLERIRASDVEFLLPSHGPIFRKDDA LIDATIRRLESYSHMADFGTCAVDWPLLDQWEEELASGSRDF OJF2_RS07525 MTALHRSDPRILGPLPGPLATRWLRRDDAVMSPSYTRTYPLVVR RGSGAMIEDVDGNRFLDFTAGIAVTNAGHSNRKVVEAIARQARRLIHMSGTDFYYEPQ VRLAERLARRAPGPEAKRVFFTNSGAEAVEAALKLARRHTGRNRAMAFLGAFHGRTYG ALSLSGSKPLQRRGFAPLVPEIHHARYGDLESVRVLLRSVCPPEELAAIFVEPIQGEG GYVVPPAGFLPGLRALCDEHGILLVLDEVQSGFGRTGKLFASEHWGVAGDIVCLAKGI ANGLPLGAIVAKADVMDWPSGSHASTFGGNPVACAAALASLKLIERRYLANCVRRGVQ LRLGLEAIAAARTPIKEVRGLGLMIGAEIRGASGEPDPHLRDRIIDLAFHRGLLLLPC GPSTIRFCPPLCLTPRQVEIGLSILDRAIEDAAPGALAEAGRSAGPMARPV OJF2_RS07530 MKAIGVGLAEPASTGEELMPALTVREADRSFEWQRWPQAEAFVG RLIAGGVEGNRFATGLAARLPAETGTALPVWVDHLVITGGGSLERTLAGLGYEREAIR HPRGGTVHAHRGGLFPRIVVLPDAGAAGEEGVGEVAEVAIKVESVADFVRAHDLGLEV VGQPMGPYRTATVPGEATSFTALERRAYRGFEPFPGDLARDGRMRPQAARDALAALDL WRARRRRFDDDGEGIRATRATLERAIELAGDRDLACHLIFQAEREYWQSRNLAARVQK ERQDRLGLGWANHDHHTFRCSRRSFPDIMAMFIRLGFSLRERFHAGRHAGWGAQVLEH ETTGIVIFADLDLAPEEATQDFARHRLEDLPRPSTVGLWVALHGESILDAGMHHLEAQ FEFDALKEGLEEEAGIKTMAPFSDFPFLRQAFTAGERWPVSRARADRALAMGYISDAE HAKFLRDGALGSHLENLQRREGYKGFNQQAVSAIIAATDPRLH OJF2_RS07535 MPDPLVIRSDDSVVAVLTLNRPAKRNALSRALMHELEDHLDRAA SESRVRAVVITGAGTAFCSGMDLGEAARGGTSAEAEGYAVATLQEYADLLQKVHTLPK LTIAAVNGDALAGGAGLMSACDLAIAATSARIGYPEVLRGLVPSTVMHDLTRLIGGRR ARHLLLTGGLILADAAHEWGLVNLVTQPENCLKESIRTGKQMIESAPQAVAAIKRQLD EVEGRPRSLRGAAAVSAAIRVGEEAQEGVRAFLEKRPPRWAQSP OJF2_RS07540 MSHVHPLQRAIFDGDCERIDALLAGRDPNVTTEDSDKWNLLHLA LVGVSRPPRPEVVRHLIELGVDVNARDRRQWTPLHFAARTKNPAVVKLLIDAGADVNA MNDEGITPLHESLAEYPVNLKLTEIFLAAGAKTDILRKYVDVVVSPVKRELLDLLAKH ESRGLLDEAERVSSPPRQDDQG OJF2_RS07545 MRRKLIGAGLTAILTAAIGATTSAVAGADDKAKAAPKVTYADQV SGIFRSRCGSCHNPDKAKGGLNLDSYSAAMQGGGSGKVVEPGDPDNSSLLGVITHSEE PKMPPNSSKIPDAEIDLIRQWIAGGALEASGSVASVKAKPKMEFKLDPSSLGKPAGEP AMPKDVPTEPFMPMARPAAVVAMASSPWATLVALGGHKQVVLYRTTDFHLVGVLPFPE GTIHSLRFSRNGDLLLAGGGRGGQSGLAVAFNVKTGQRMFEVGKEYDAVLAADISPDH GMVALGGPSKIVRVYNTADGNLLFEMKKHTEWVTAVEFSPDGVLLATGDRNNGLMVWE AQTGREYFDLRGHAAAITDLSWRLDSNVLASSSEDGTVKLWEMENGNPIKSIAAHGGG AASVRFAKDGRIVSTGRDQRARVWDANGNKQREFDPFGDLALEAVFTQDDSRVIAADF SGEVRVLDPKDGKKLANLAINPAPLAVRLDLAKKAYAVTQAEADSLAKQLEPLQAPVT AAAVALAKAQQDVAALEKSAASRQAAVAAPEQAAQAKLAAWNEATATSQAADQLLARA QAEQAAAEKAVADAAAAEKAAADALAASKAGVEKALADKLAHDPAVAAAAAALKAAKS PEEAAKASAELTKHAARSGELISALSAAGTRQAETQSAVVRASAARAAAPAAVAPAQV RTRAATLGAQAAKQALARIGQERADAEKALADARTAAQTAAAGLAAAKKFVETATAAK AAAEKSITEKKAPLDAATAKAQALKAEVDALSAEAQRSPAKGGLAVGPQAQR OJF2_RS07550 MTQIRMPIAGLLAAACLSLACPLGAASAAGPKPAGAPADIPAPA FAPAPERSPTAGLASLRVYPDAINLTTSRDRQSIVVQAAYADGITRDVTREATIAPAN PSLLVRDGATFAPAADGETTLAISFGDRHASIPVKVALAKARNPLSFRLDVMPVFMRA GCNTGSCHGAARGKDGFRISLFGFDPAGDYHRLTREQVGRRINLAVPSDSTLLEKATG AVQHTGGKKIEPNGELYGTLLEWIEAGAPNDDVGKLPKVVGLDIYPSSGVLDGKGATQ QMTARARYSDGTDRDVTRLAVFLTNNESSAAVSPDGLVTAGDRGEAFVMARFETFTVG SQFIVLPKGLKFEYPKEPEANYIDKLVADKLRKLRIAPSDLCDDATFLRRVTLDIVGL TPTVDEYSRFMASKDPNKRAKLIDELLERKEFSEIWVNKWAELLQIRSTITVSYKSMF LYYNWLVERVSKNMPMDQMVQELLGASGGTFKNAATNFYQTTTETLPLTESVAQVFMG MRIQCAQCHNHPFDRWTQDDYYSFAAFFSQIGRKQGEDYRELIIFNSGGGEVNHPVGG RVMAPKFLGGETPDVAGKDRRVVLAKWLASPQNPWFAASFANRVWAHFMGVGIVEPVD DFRVSNPATNPELLEALGRHFTDTKYDLKALVRDICNSRAYQRATQRNESNAQDDRNF AHALVRRIKAENLLDTISAVTETKDKFQGLPVGARAVQIADGQSSTYFLTTFGRATRE TPCSCEVKMEPTLSQALHLINGDTVNAKIKQGGVITRLLAEKKSPQDSLKDLYLRSLC RLPSKEELDKLSPALAAGPNQKQALEDTFWALLNSREFLFNH OJF2_RS07555 MLRHTPPPRRFAPALLALAAVLALAVPTARAAWPALSALRPTGG QRGTELDVTLSGARLGDAKEIIWYQPGISVLSITKLDDNSVKARLKIAPDAAVGLHDL RLRTATGISELRTFSVGALKEAAEAEPNNDFDKPQPIALNTTVSGVAGNEDVDYYVVE AKKGDRLSAEVEGLRLGITTFDPYVAILNAKRFELASSDDPALTWQDGFASILVPEDG KYIVQVRESAYAGNDSCLYRLHVGNFPRCTAVFPPGGKLGEKVTVRWIGDPAGEATSE VTLPASPVANFGLTRQDAKGISPYPNLFRLSPLANAIEAEPNDGQDKATPITPPVAAN GIIEKPGDVDHFAFKGKKGQTYDFRLFGRQLRSPLDSVMYLGKKGAGAAVGDDDALAP DSFFRFTCPEDAEYWFSIQDQLKKGGPDYVYRVEVTPVEPKVAMSTNVEYVTLGTGPM AAAVPRGNRQGMLLIGSRADLGGDLALAIRDLPPGVSVEAPVLAASQAVVPILFTAKA DAPLGGSLASVDGMPADGKTKVPSTFGSQAAPVLGQNNVIVWSRTVPSLSVNVTEECP YSIEVIEPKVPLVRNGSMGLRIKATRKPGFKAPISVYLPWNPPGVGSGGGIVIPEGKD EAVIPLNADGGAELRTWKILVQGDSGVASGPIRVSSQLANLTVASPYVGLEFQAASVE QGKEADMAVKVAKLADFPGEASVTLLGLPNKVTTDAKTITKDSKDLVFRLKTDKASPA GNHASLFCQVVVTLNGEPIVHNIGTGALRIDVPLPPKPAAPAPAVAAAPPQPPPAAAA AKPLSRLEKLRLESKQKLAGAGGK OJF2_RS07560 MPTPPRQTLSRRGFLTVGSIGLGSLTLADLLRIQARADLKSYAP IPAKADSVIHIFLPGGISHQETFDPKPFAPVEYRGDMGSIPTKIDGERFSESLPQTAQ VADKLTIIRSMTHGEAAHERGTHNMFTGYRPSPALQYPSLGSVISHEYGPRNNLPPYV CVPRQPNVYAGTGYLSSSFSPFSLGADPADAGFRVQDLNLPGDVKEDRFSTRRRALDA VNEHFARKEKSDNIAAMDTFYDRAYSLISSQKAREAFNIAAEPAKVRDEYGRNAAGQR FLMARRLVAAGVRMVTVEYGSWDLHTGIVAGMKGQLPAFDQAYAALIRDLERNGLLDR TLVMVSSEFGRTPKVNKDAGRDHWPKVFSVALAGGGIKKGYIHGASNSTASEPDKDPI GPEDLATTVYNLLGIVADKELMAPGNRPIEIVDGGKVVKELLA OJF2_RS07565 MIARSGEVHAQSTRPSRLTSEDRAQLLQYAGATWRSLDRMTFPT GLPADGLIKEGDGWGTPTLQTSPTNIGAYLWSVLAAERLNLISEEEGHTRTERTLDTL AAIDRHHGFYLNDIDPRTGGVVRTSAHDPTPRRPLVSCVDNGWLAASLVMVSNSRPGL RAKATKLLEAMNFRFFFDPYDAADPVNHPGFLRVGYWADEKSFYGHYGMLNTEARIAS YVGIMRKDLPENHYYRLYRTLPGSTPDQEQKPVGQYRDYMGIKVFEGAYTYRGARIVP SWGGSMFEALMVTLFVPEDVWAPRSWGINHPLYVQAQIQHGIEEARYGFWGFSPANCP RGGYEVYGVKALGTDPLGYLSFDVGAPPSPAAPNMPPSKHGVVTPHAAFLALRFAPRE AMENLRKMAAKFPVYSQFGFLDSVDVESGIVSGGVLALDQGMIMAAIANELADDAMQH AFSDGQVEKVIRPIIAMEEFSAGKDTQPIVSGSRRPVHAELTSARAK OJF2_RS07570 MRLPLIPATVIGSWSFPGWYERFVADVKSHPGLFGPVDREEAVR DAVLLAIDDQARAGLDLISDGEMQRVDFNLGFYEYLGGLEPRPRARQWGAPAHDQRDR YRCVAPLDAPGGLGAVAEYHRLRACTDLPAKVPVPGAFTLAGCIDGGEVYPDREAVTE ALIPIVNAELRALAAAGAEFLQLDEPSFACHPDAAERFLDVIARTTEGVNAYISMHMC FGNYRARAVGHRTYRPLFPHVGRAKVNQLALEFASREMAEVDLLAGLPEAMDVAVGLV DVKNTWIEPAELVADRLRAVLRYVPAERVSVTPDCGFSQTARHIAAAKARSLVEGARL VRKELGR OJF2_RS07575 MIEPVQSGPDLVAAIFDDAPGPGSLAVTWLGQSGFAIRSADGTL VVDPYVSEHLTIKYAGTARPHVRMTRAPLRGADLARADLVLASHKHSDHLDPGTLPDL MRGGIARLVLPGPLVDHAAAMGLDRARLIPTDAGRVVEHAGFRVRAVPSAHEAIDRDD AGRCLYLGYVIETSGFRLYHSGDSLAYEGLDRELGAEPFDVLFLPINGRDPSRGVPGN MTAAEAADLAARIRPRFVVPHHYDMFTFNTVPVESFEAEARRLPAGVQARVLRCGERW EIRP OJF2_RS07580 MSVTIGIDVGTSGTKTIAIDETGAILASASAEYPCSYPKPGWSE QAPSLWWDATVETLKKVLASGKFKTADVAGVGLSGQMHGSVFLDDAGEVIRPALLWND QRTAAECREIEERAGGREALVKMVANIALTGYTAPKLLWVRRHEPQNWDKVRQVLLPK DYIRYKLTGTYATEVSDASGTLMLDVANRRWSKELLGKLDLDPGILPPCYESYEVSGK VAAAASEATGLPVGTPVVGGGGDQPAGAVGNGIVRQGVVSATMGTSGVIFAHADEVGF DPQGRLQRGCHSVPGAYYTMGVVLSAGGSFQWFRNELGKAEVAAAKEQGVDPYYLLTA EAAIAGPGGEGLFFLPYLTGERAPHFDPDAKGGWIGLTVRHGRPHMIRAVLEGATYAM RDSLELIREMGVHVTQVRLSGGGARNPIWRQIQADIYGADAVTLNSTEGPAFGVALLA QVGTGGFSSVPEACDATIRTVETTPVDPKVKAFYDRGFAIYRKLYTDLKDTFKQMTEL VESHG OJF2_RS07585 MSAPKAADPPEAPPARSLLRGRLGEGLAVVALLVLHVALAESSL VRENATVDEVVHLPAGITYWQQHTFRLYHHNPPLVRMVAALPVVLAKPVMEPVYQQPS WSSPDPSPSTFSQSFARMNADRYFDLFTLARMVMPIFGVIGGLVVFAWSRMLYGPGGG LLSLALWCLCPNILAHGRLLTTDAGSTAIGAAATFAFWLYLRKPNWLRGAAAGVLLGL AQLTKFSMLALYVVWPFLAVIWLMLAVRPDERFRTIGKYAAHGVLVVILSVLTIDAGY FFEGVGTPLGRFEFASTSLTRPVPGGLRQAPATKNRLFAMHWPFRENRFRGTILAGLP SPLPSHYLLGFDEQRIETEGILKRMNRAFEALRAGDLETARAEAMSADASVQGYPVYL NGELRRTGWWYYYLAALLYKVPEGTWGLVILSVASLVVRRRSGADWADEVCLAAFPAF LLFSMSVLTDINLGLRYVLSIFPYVHIAAGKVIPWAIGLGGKPAHWAWGGIASLLLLT ASATAWIHPHYLTYFNVLSGGPDRMPPRLIDSNLDWGQDLVNLQDWYRENAEGEPIGL AYFGQINPTLLEGRGPALRWYIPPARPGGLVLLQDPNSPTLRVSGPAGQLAPGYYAIS ASIVQGLPWRLYDPSPFVWEPCWNADQDAFSYFRRLTPIHRIGHSIDVYKLTEQDVAR VRAELQTPIPRQAD OJF2_RS07595 MPDELWARIEPILLEFWPAKATGRPPAQWRRMLEGIIFRMRSGC QWDQLPERFGPKSTVHDWFRRWAEGGVLEGIWAVILAECDELGGVDWRWQSADAMLGK APGPGGEKTGRNPTDRGKQGTKKSLLTDADGGPLGVVIAGANVVEQKLLAETIEAIVV ERPEPSADEPQNLCLDKGYDNPRSEEAATASGYAPHIRRIGEEKKAVDTSKGHKPRRW VVERTFAWLSKCRGLLVRYEKNDINYLGMIQLACALLWYRRLYRLTQGKPKVAVT OJF2_RS07600 MSDCNSITLPFSSLEPRAIVADFRGGRLTTDSGALLLRQVAEQT GLCGALDAAISDPRDPRFIVHPQRALIAQRITAIALGYEDLNDHQALRDDPILQLVAG KVPEPDAPLASPPTLCRLENRIDRRTLGRIAEVLVDQFLAAHPTPPEHLILDFDATDD RIHGQQEGRFFHGYYDHHCYLPLYVFCGDELLTAYLRPSNIDAAKHSRAVLKLLVGKL RAAWPGVRITIRADSGFCRWRLMRWCDSRGIGYVLGLAKNPALLRAAADEIARAERQF LSAGEPQRVFGSFGYAAGTWDRPRRVIVKAERNAQGPNPRFIVANVPGDPRELYEGVY CQRGEMENRIKEQQLDLFADRTSCHRFLANQFRLLLSSAAYVLVQALRRTALAGTALA EAQVGTIRLRLLKVASRVVVSARRVVFHLASSYPYRDIFREVYERLTGRPPAAAVDGT OJF2_RS07615 MDDDVFSTVVLEKLPLAESVWRLLHYTMDEAWLVDLWDRNRGRC YERELKFSTLAHLVSDALLQHDGSGRKSFERAQEERILNVSIPSPYAKLATLPVALSE AFLEGGSRRMQAVLPEDLAVDPLPPCLEGYDVFGADGKAVKHVKRLLKSLRNLQAGIL GARASVGLNLRTGLVVGMVGHLDGEAGEAALTEDLLPRLATAAARSGKPWVAVLDRLY CNLSFPRRVLDAGGHFLIRYCSNTTFVPDAKRPARAGRDSRGRRIVQEWGWLGKVEKG SRVYVRRITLDLGGGKSIGVVTDLLDEEEFPAEALLATYHGRWGIEEAFHQITEVFSL KRLIGTGPKAVLYQMSFCLLLYNALQVVRLHLASHQACAAEKISNEKLFDDVQRQMIS VDELIEADVLLGMLGAVPSADELRSRLQERLGGVWSKRWWKAPSSGGGGHKKEKKRVL GNHTSTYRVLQQARE OJF2_RS07620 MRQTLGLRHVDLGDDRLNRRFLKIVDDLAAAPEAGLPEASGGWA AAKAAYRFFDNPAVEAGTIADAPRRDAVEHLPPGGPILAVQDTTSLDFTGHEATEGLG YLDHPRRRGLLVHSTLAVSADGVPCGLLDRRSWTRDPRRLGKSTDRRSRPTAEKESAR WLESAAAVEAALPPGREVVTVADREADIYDLFARPRRAGSHLLVRVKPRRGVRGPDRL LGDAVRASAARGTMAVELGRADGRPPRTAVLTVRYAAVAVKPPANRKGASGLPDVPLT AILVEEESPPEGQEPVRWWLVTTLPVRSLADAERAVRWYALRWLVERYHFVLKSGCRV ERLQLRTAGRLDRAVATCSAVAWRLLWLTYEARRHPEGPAEAALGEPERAVLRLLEGP DEDPTLKDAVRRVAKLGGFLGRKGDGEPGVKTIWRGLRRLEAMAAGYTLLLEAMKKHM R OJF2_RS07625 MGTEAPTPIVIPVRCAPKKAPCPRCGKRGRRKRTITRRVRTVTY KAVAYLEVTYGEYAARCECSTTFRNTHEGVIPRAAYDNKVRDLVLDRILKDGMSVERT LRSLRRDFLLDPSSGFVYDVLRDRAAQLDMATHRREVLDRFSGALCVDELHLGRFTLL LATDPLNDLPVAFALVAANDQSHMRRFLGNLKTWGLAPEVVVTDGSNLYPAVLAELWP DAAHQLCVFHVIKDINELILDAVRRMRTAMGRRGKAGRKKKRGRKGAKAKAAAKRRGL TVKEKAHFVFKHRHLIVKRRENLTEAERGDLKRALEYPPALATLRRFADRIYWVFDTP KDRHQAACRRSALVRDPAFLAVPELVKAMEQLDEGKFAKLMAYLNDPESRRVRTNNHV ERTNRVFRFLEKVRYKWRRRRTLVRFVALTLDGIWREWTRAETRGREVPDEAGCGESQ TQTTQQSSQSA OJF2_RS39960 MAAIALLALLLGVVQAWRKVQFCRERINQLREDENLYLEMAAME ENLGDFSPITTSISCGLT OJF2_RS41415 MARLPIPKSLKRILVPAWNEGHRLGWLVRDHAGAVLSGRWARCS VCGRVRPMIYRRRVVPEKLAERWGLTPRLAKALARKESSDCAGCGAKLRARRIASVLL ETFPIGSPPAPARSLAEWVRSPEAARMRIAEINRIDGIHGALSHLPGFASSDYHPGAP PGAIVEGVRSEDLTRLTYPDASFDLVLTSESLEHVPDLGAALRGIRRVLAPGGLHIFT VPVLPDEPKTFRRAELRPDGSIKHLAASIHHPGGDVGYLVFTEFGADLPEVLKRAGFD LTVHYGPVREDDLTQVYVCRWMGL OJF2_RS07635 MAYSTTVTRHRTREVGVDDHVVGGSNPIWVQSMTTTNTFDAEAT LAQIRRLEEAGCEIVRVTVPKKEDVEGCKAIRDHIKIPLIADIHYDYRMALACLEART PSGRRAVDKIRINPGNIGGEERFKEVVRKAKDAGVPMRIGVNSGSLEKDLIEKYGFPC PEAMVESALRHIETAESLGYKLMIVSLKASHVPTAVECYTQYAAKCDYPTHVGITEAG SKEYGTLKSAAGIGAILLRGVGDTIRVSLLGDPVPEIAAGFDILRACDRRVTQPEVVA CPTCGRLDIDLERIVAEVEEKMKGLSNPLRISILGCLVNGFGEAKEADLGIAAGAGKG IIFKRGVPIRHVKEDEMVQALLQEVERFEEETKPLASFVEKEKQKQAKAALPVLN OJF2_RS07640 MRPAGSARPGRPDKVPEDSPPADLADYTCDASETLLYDSSGEDA GLPPDASPSPPAAFLDDPRQFAESVVGSGLVEESRLLELRAQLFPGDEPVVVSRLAGA MVRRGWLTPYQAAAIRQGKARGLAIGDYLVLDKIGAGGMGLVFRVRHPGTEADLALKL LSPSISRDRAAVARFRREVSAVARLSHPNIVAALDSGEARGLLYLVMEYVDGKDLARH VRDHGPMSVRQAVDCVIQAARGLKEAHDRGITHRDIKPANLLLDRSNVVKVLDLGLAR VNQGLEAMDAEGSDTDLTVSGVIVGTVDYMSPEQAFDPRLADPRSDIYSLGCTLHYLL TGRAPYGGKSFMERMLGHRERPIPSLRNARDDVPVPLNEFFWRMMGKSVGVRPQSMVE VIDGLKRCAEAKPSHLPPSRPASPHAEDRFDPDSVYGLADQSVAESAHPVVGEGPTNV YTRPRNASDSRVQGRRARRRGHWRRILILAILAAIPLLVRLFATRRRSRQPNEPRPRP QGR OJF2_RS07645 MTIAFACASCGRRYQVPRRWIGRRVRCKACGQVQPAPARATREG ESGTLERHPENTGAPPSLPVEVPAPVASTPRAGPRPRRRRRPATLEECRVQRPAVALL LLSGCDLFMTFALLRRSPAYFESNPVAMWFFARWNMAGMALFKFGAVGVAIAACELVE RKRPGLGKLILILGCVAAAYAFVQGYRLILGVEGPDLADE OJF2_RS07650 MRSATARRACHGLLLTVACASGFGVGTVQAAATKKVTLSGQDAR TFVAWNRFLAGGTPTWSARHAPDFSPMVRSTIWQIVKSDTQAEQLANPMIDYLLWRRS LNVRRFATYHPYLNPRLAQLLNSSPTLPSGAPAPQPPTAVSPQDLTGPKAGPTPSTPS TTTPPAPSDPIGQTVSPPSVPEPSSLVLAATMTGMGLWWRHRIGREQRSRR OJF2_RS07655 MADASRFYITTAIDYPNSRPHIGTAFEKIGADVQARFRRMEGAS VHFLMGNDENTIKVTQRARELGLEPKPYVDDMARQFQEVWKALEISNDDFIQTSEERH HRGCQAFIQAVHDAGDIYKGVYKGHYCNGCESFKTEKEVAEGNGRCPNHPNQELVFLE EENYYFRWSAFRDRLLAHYESHPEFIQPESRRNEIMSLVREELRDVAISRKGFTWGIP VPFDPGHTIYVWFDALLNYVTAVGYGTDMERFRREWPADVHVIGKDITRFHCALWPAM LMSAGVELPRKVFGHGFVFRKNEATGEAEKLSKSLGNVVEPMELISKFSAEGFRYYFM SQCPFGGDGEFSFERFADVYNSGLANNLGNLYSRILTMCAKYFPEGLGSNEGVDLTAW RKELDLPSLIGELRERMGSFDYSTALQKIWLEVVDRANRYTQETEPFKVAKTDLEAAR TILLNLVDWLRVAAILVKPVLPATAETFYRAFNFEESTPWEKVSFSTASTPAQPLELR IEAPLTNGKPAPLFPKIDTKKPA OJF2_RS07660 MSFSSDHDRALSDAFDGQASQFERAPVQTDPMALDRLVRHSGFP AGSRVLDAGCGPGLVSAALLQAGYRVVGVDLSREMIDRARARCEGQPGAAEFHQASLH DANLDGLAPFDGALSRYVLHHVPDPMAFVRRQVSLLRPGGILVLNDHLTDPDRELAGR HEAIERARDGTHTRNLTGGGLVDLLARAGLERIHYLEEPFVLDFDEWFDRGTPELPKA RVREMILDGPPIRGFAPSLLDDGSIRIECVRGFVKGVKPARA OJF2_RS07665 MYGRLWLARSAWLAVVGAVLVAVRPAGAEPLPVVQGVEFQPLSA QARRVIDALDMLGRPLPPRDRERLLRAIEGDDPQAGVRIIQQVLDAHCLIGVEINAES RVKSVQGPAAARLVQDGWTDFLIKVHNQAGVTAALATSSPNAAPVFRPSTGNPDPKAS VSPGQVLQRWMDLAMFQARPLKPELSGLLVEYRIIEIYSRDAGRREARIGFNVGQGTQ DLGFRSDVDILFSIDPAVPVALEVRDVDGRPTTAEFLIRDSLGRVHPSAGRRLAPDFY FHPQVYRRDGETLMLSPGVYDVEYTRGPEYRRLKKTITVKPGGGQKESFRLERWIHLA DEKWFSGDHHIHAAGCGHYESPTEGVKPEDMWRHIQGEDLDVGCVLAWGPCWYYQKQF FEGKTHALSTAENLMRYDIEVSGFPSSHAGHLCLLRLKDDDYPGTTRIEEWPSWDLPV LKWGKAQGGVVGFSHSGWGLRISTDDIPSLEMPPFDGIGANEYVVDVVHDAVDFISTV DTPAPWELNVWYHTLNCGYRARISGETDFPCIYGERVGLGRVYVKLPDGQLDFDRWCQ GLKEGRSYVGDGRSHLIDFRVDGRGVGEDGSELKLIAPGTVKVQARVAAFLDKVPSAE ARAIRKRPLSEKPYWDLERARIGETRTVPVEVVVNGRAVARKEIEADGTIREVTFDVP LKTSSWIALRVLPSSHTNPVFVLVGNKPIRASKASADWCLKAVDRCWAQKEGAIRPSE KEEAKRAYDAARASYSKIRGEAVGE OJF2_RS07670 MRALRRAFTLIELLVVIAIIAVLIALLLPAVQSAREAARRIQCT NNLKQLGLAFHNYLNAHNSLPPGRIWAPRPGKSSTDFPTIFSGVQNTTWFILMLPLIE QTNLANSFNFTLGAEGYYGPGLAPAAGFFANSTVSATKISSFQCPSDRDEHFQINPGY QGGVLSGPILTKGNYAVSWGNTDWGQNYSSDLGAQYMRSAFGHAGNISIASITDGTSN TVFTAEVLQGQTNDIRGVMWSIVPGGSSFMTRFTPNGLKDYLNLTNGGDYLNNDPGLF CTSEPVQQLPCFPGASDRGAFSGARSRHPGGINVGMGDGSVRFMKNTINAPIWIGLNT IAGGEVISADAY OJF2_RS07675 MKRRRLCWLGRLSILGLGLSPGLLWVLIVLVAPTNWARRHVIAA LEASSGRAVELDSLGVCLGGNVSLSGLRIASPSSRDRPWLDARQVQLDVSLIQLLRGR FDPTSLDVEDATLRVLRRRDGSLEIADSSREARAPANDQAGRASCAPARLAAKLHNLR LEVVDEPDSSVLALEDVSGDGLWEREGGLSTSLFGRWNQGTFQLTAHLGCERSRPVFE GELRASDVLLDERMGLLSFAVPVLAGSKTPVQGKLALDVYMRGGGASGPEIARSLVGH GHLAIDPVNLTGTPFMAELRKVAQGTVVDEAASLRSDFTIQSSRITTERMELAAGRLP IVFSGWTDFTGALDYTITVDGLADRVPEKARRLFQRLDVDLKGLTTIKLAGNLDRISI RMNTADGSSRPLEQLIDPDDRARLRMLSRQFRNKVVK OJF2_RS07680 MFERFTDRARKVMQLANQEAQRFNHEYVGTEHVLLGLIKEGSGV AANVLRNLDVDLRKIRNEVEKIVQAGPEMVTMGKLPQTPRAKKVIEYAIEEARNLNHN YVGTEHLLLGLLREQEGVAAQVLMNLNLKLEEVREEVLNLLGHGMDAGGESERGATSK GNKSKTPALDSFGRDLTDLARQAKLDPVIGRQNEIERVIQVLSRRTKNNPVLLGEAGV GKTAIVEGLAQMIVDGNVPELLRDRRIVVLDLAMMVAGTKYRGQFEERIKAVMNEVRR AKNTILFIDELHTLVGAGGAEGAIDASNVLKPALARGEVQCIGATTLDEYRKYIEKDG ALERRFQTIVVEPPNKSEALEILRGLRDRYEAHHRVQITDDALEAAVELSDRYITGRC LPDKAIDVVDESGARVRLKAMTRPPDLKELDEQIERLNQDKEEAVANQDFERAAALRD QADKLKKKKETITREWRERSKEVDGTVDEEVIAEVVSKMTGIPLTRLETEETARLLKM EDELRKKVISQTEAIKRISEAVRRSRAGLKDPKRPIGSFVFAGPTGVGKTHLAKALAE FMFGDADALIQIDMSEYMEKHNVSRLIGAPPGYVGYEEGGQLTEKIRRRPYAVVLLDE IEKAHPDVYNMLLQIMEEGRLTDSFGRNVDFKNTIIIMTTNAGAEVTSVNAGFGFERG RNLDDATTYEQMKERLKVAIEKYFRPEFLNRLDDVIVFHSLTKNDLKTIVDIELSKIR GRMADRGLELALTDEAKDYIIVKGYNPDYGARPLRRAIENLIENPLAEELLRGLFTGK DKVIVEVEGDEENRKLKFHATTKAESQGPALAGVGGESAVGKGGNG OJF2_RS07685 MSDARPITLAKALSIRKRLAGRLAQARSLIIEYNSVLSGLYDVL GKSTVDVRVEYDRFRKIQDGLIVVKALIQRANQPIDEDLLQLRELRSLIRLLNELDTR HGTEPGLNGVEYQYMAVFRKPDVLAMVRDLEVQMDALQDRIDEFQNEARVEIPSWILT LAQ OJF2_RS07690 MDVRLASSRFPRSSAYHPDWVLKNAATGSSNPLWLAEWLAEAME LRPGMRVLDLGCGRAITSIFLAREFSVQVWATDLWFPASGNARRIRDAGLEDRVFPIH ADARSLPFAGEFFDAIVSVDSYYYYGTDDLYLNYLAHFVKPAGQIGIAGAGLVGELDG TLPEHLAGFWTNDLWSLHSAAWWRRHWERSGIVSVEVADALDDGWRRWLEWQRIVAPD NGPEIEAVEADAGRTLAHVRAVGRRKADAVFQDYCWPDTLRSVPDSYEPEPLLRESGI DPR OJF2_RS07695 MKHPDASSSWRPTVLPCVILFFLARYPSASSGGPPSAPPADAGP RGSLVIVGGGGMPASIRSAFLERAGGKAARLVVIPTASEDADGPPAAREEFLEPWRKA GVEAPTLLHTRSRDAANAPGFSKPIEEATAVWVSGGDQSRVTDAYLGTAVERSLRSLL DRGGVIGGTSAGAAIMSRVMITGGRRRATVGTGFGFLPGVVVDQHALRRNRIPRLLGV LAEHPSLSGIAIDERTAFVVDGSRWRVLGDSYVVACRRRPAWGDLDIGVYHAGDEGVL KDGEAVLTTTTIEDD OJF2_RS07700 MKVFHCGQCDHLIFFENFACTNCGHTLAFLPDRIDMVALERADG DHWNVLGAGNEGLTYKLCRNYTHENVCNWAVPADDPDPFCRSCRLNRVIPNLSQPGTT EAWAKLEAAKRRLLYSLLYLGLPVPTKAEEPERGLAFDFLADPPDDSAEPKVLTGHAN GVITINIAEADDAEREKRRVGMHEPYRTLVGHFRHEVGHYYWDLLIKDSPQLDECRRL FGDDREDYGEALKRHYANGPRLDWASQFISAYASSHPWEDWAESWAHYLHMTATMDTA IRSGVSLQPGSPGEPTWKPKAAGAGYQDFSFDELMEGWFAVTYTMNNLNRCMGLPDAY PFVLATPVLDKLRFVHRVVRLSAETARRTGAVNGIKVELVEA OJF2_RS07705 MFSILARLVQRHSWFVLLAWALVTYILFQYAPLWEQITRDDDVR FFPRDYPSVIGQELLERGFPQDAASSQVVLIHERADGPLTPADLAVVEDRVAKFHEFS QRDPELGVKKIDTHRTPVIGPRLIGKASDGPGQAVLSIVSLRGTYLARKTRIAVDKIL EYLDGTPPLPQGLRRVVSGSAVVGHDINSAANASIDSTTKTTIALVVIILLLVYRSPL LAMIPLLTIALSVVASMKVIALLTVVPGLSFQVITITKVFLVVVLFGAGTDYCLFLIA RYREELARGNSRPEALREAITQVGGALMASAGTVIVGLGMLYFSSFAKIRYTGPAIAI SLTVALIAALTLAPILLSWLRGAIFWPFRAPRHEQGKNIEEEARGRSWLSGFWFRVAD LVVTYPLTILALCLAGLVPLAVVGARTTANYSQLADLDPDRPSVVGASVIRRYFAVGE LSPTSAFVHNTRLDFRSEEGRAAVREVTRRLVAIPAVAEVRSLTQPLGRPPVPEAEMS LVQRMADQALRAVADSRYVSVRPADRADVNHIARFDIVFKSDPFSPASLDGLEEVREA LVSSSRSGQPLHGTAAIGLAGSTSAVNDLKTVTTADQQRMYVLVTLGVYAILVALLRR PGICLYLIFTVVLGYLASLGVTELVFRALHHSTEPWGGLDWTVGFFLFVILVAVGEDY NILLMARVIEEEEKHGVTEGTRLAVAHTGGIISSCGLIMAGTFGSMLTGTLTSLRELG FALGLGILLDTFLVRPILVPAFVVVMERLRAERPMGRAAALPAALDQRSPQSRFQPAG VAPKGEGRLHPDAIDSCLGEIYED OJF2_RS07710 MRDKLARKFRESWSGRLSHYRMHRNDEHLAALFEETVLYVGLHL ENDLCRSDHWSEVRLDHAAAIVLFLVDKGVVERATRYGRRVFEPLPHAESWVSQQPAL RRFQEELLELILALRHELARRSSSRRSRPEPRA OJF2_RS07715 MLDPRDLAPDEFRREAEALGVGPEVVRRVSSAVLARGIFDPEVW SGSLQVPKRLTRAIGELERLRLERSIVSPVDGFRKLSFRTGDDLVVETVIIPLHKPGA ASICVSSQVGCAMGCRFCATARMARRRNLRTWEILDQFIQARDVARQAGRRPTGVVFM GMGEPFLNYERVLAAADLLRCSWGASIGAKGITISTVGLVPEIDRFTREGHNYRLAVS LGAATDAKRERLVPLAARTPVAEVMAAARRHALARRDRVTLAYVCIAGENMAEEDARA LGELIGDTPVRLDLIEVTDPTGIYRAPDAGELKAFRDALTRHVGQPIVRRYSGGKDIL AACGTLAGERM OJF2_RS07720 MKRNPVAWAALVVSTAALVSSSGALRRMPAAPNVPAEGQKTAQA LSQAFEAVADFVRPSVVQVTVTKKAARVPNLRNFPFPGPNGRPNPNAPNNPKDLEELL KRFFGPDGMVEPQQFGRQSHGTGSGFVYDNRGHILTNNHVVEGAEKITVTFHDGIEAA ATVVGTDSKSDVAVIKVDVTNYPALPRGDSSKLKVGDLVMAVGSPFELSQSVTTGIIS ATERNDVQINEFESFIQTDASINPGNSGGPLVNMNGEVIGVNSAIVTGGRGNDGIGFA IPIDMASGVADQLVKDGKVRRARIGVQMAPLTPVLARQLGLDPTTKGILVSEVKEGSP ADKAGLKQGDVIVGFAGDKITSMPSFRLKVATSPLGKGYEIEYFRDGKRQTATITPAP YEDVVFDMEKQRGSKDGGESQDGQPKSTAIGEFGLEVQPLTADLAKSLGLPAGATGLL VSEVKDNSPAEAEGIKEGDLITKVVKDRKIQPLKTVKEFQDLASKSNELSFYVQTGKD PGRFVTLAKAKK OJF2_RS07725 MVDPTTNPGSEEHESTEHYDLEVAPRVRNLPAYLFGKINELKYR KRRDGVDVIDLGMGNPTDPPEEWVIDKLCEAARDSRNHRYSVATGVYNLRREVAARYE RRFGVGLDPDQEVVATIGSKEGFSHMCLALLGPGDTALVPAPSFPIHVHAIALASANV ISLDVRDSQSFLANIARVCESLFPRPKILVLNYPHNPSSTVVDEAFFEEIVALAKKYR FFVIHDFAYGDIGFEGYQPPSFLSVKGAKSVGCEFTTMSKGYNMAGWRVGFAAGNRDM LGALKAIKGYYDYGIFQAVQVAAIVALRHGEESRIAQVAEYQDRRDVLVRGLRRLGWD PVVPKAGMFVWTPVPEPWNSQMGSMDFGMKLLEEANVVVSPGRGFGESGEGCLRLALV ENAHRLRQAVRQIGRCLKGEQAVH OJF2_RS07730 MIDCAYCERPLLCDGCGTPFVPSSPEEYQALSWRDTPIRCPGCG MLLVCRWCKSPYDGRDGQPEGEDGDPTS OJF2_RS07735 MLLILIRATFILVVASLGVRLAKIVGENELANPYLVFVGVMIAA IGIVVADLLTPRKRIQTISALYFGVIVGVFLSNLINDAIQPAMQLYLHQKVHMAISSV LMILMCYICVSTLLQTKDDFRFIIPYVEFSKEVKGSRPLVLDTSVVIDGRIADVAETR VIDQPMVVPRFVLQELQNIADSSDKLRRNRGRRGLDILNRLQKSPGVEVRIHDGEVPE LAGIREVDQKLVILAKHLGGKVVTNDYNLNKIAKLQGVEVINLNDLANAMKPIVLPGE GIQVKLIKRGEEQGQGVGYLDDGTMVVAEQGSFHIGEIVRITVTSVLQTSAGRMIFGR IDAPGPGPRAGNLVNAPGHHEGPSHASGRPQNS OJF2_RS07740 MDSADRPPPGDACFLCRGIEGSDDRSNLVVWRGAHSVVVLNRYP YNNGHLLVAPRVHEGTLGGLSGLDLVEPLETVRRMVKILDRMLRPQGYNVGLNLGKSA GAGLPGHLHWHVVPRWDGDTNFMPVLGNAKVIVESLLDFYDRLAAEIREDLP OJF2_RS07745 MSVSCYVSSGARLVPGPATLGAGHYARLADLIPGMAQWRWAQRE RGLVLLGTFLASLLMTVFCWGSLLGWGFLAMAFLTHIASSLDVLRQLAFPVFRPFVAL SASALGLAAGVYIPLSLSLYLLASPVGSAGPGAGYLINRIAYRAASPAPGEWVWFRIS QHLGGRAGQVLATEGQEVEWTGRRWQVDGHDLTAHPGSLPYYPAGWRFSIPRGCLLIG PEGPGPDPAVASPILIVGRDQVVGRAWVKCSPFWERTFL OJF2_RS07750 MENLRNVRNIGISAHIDSGKTTLTERMLYYTGRTHVIKEVKGEG AVMDHMELEKERGITITSAATTVQWQDKKVNIIDTPGHVDFTVEVERSLRVLDGAVLV LCAVAGVQSQSITVDRQMKRYAVPRIAFINKMDRTGANPVNVIQQLESKLGLTAIPLQ LPIGAESNFAGIIDLIERKAIYFDGDNGEDIRLEPIPADMVDAADRARQGMLEALSMV SDEVMELLLEEAEVSTDLIHKTIREGTIAQQICPVMVGSAYRNKGVQPLLDAVNRYLP SPLDREVFAKDNNNGMAEVPLASDPDAPLVAMAFKLVEESFGQVTYMRIYQGTLKKGT FYFNSRQKKRARISRILRVHADQKEDIDAAGAGDIVAVMGVECATGDTYCEEGANLSL ESIYAAEPVIDLSIQPAKRADYDKLSKALNRFMREDPTFRVHVDPETSETIISGMGEL HLEIYVERIRREYKVECSVGAPKVSYREAPTRETAFNYKHKKQTGGSGQYAHVVGKLI PLPTDAPEAFVFENKVTGGRIPTEYIPSVEKGFRESLHKGPVAGYEVIGVHMRLDDGS YHDVDSSTMAFEICARDCFRETFKKADPVLLEPIMKVEVEIPTEFQGPVTGAISSKRG VILGTESRSGYTVITAEVPLSEMFGYSNDLRSSTQGKGSFSMEFLKYQKLPSRFQEEI VKKVQAEAKATAKA OJF2_RS07755 MSTKTKYVYSFGSGKADGRADMKELLGGKGANLAEMSSIGIPVP PGFTITTEVCHDYYEAGRKLPESVKPEVEAALKKVEEQVGKKFGDSSDPLLVSVRSGA ALSMPGMMNTILNLGLTDASVEGLAKKTDNPRFAYDGYRRLIDMFGSTAMGVEHELFE HELSKLKDEKKVKLDTDLSAADLKELVKRYKAVYEKATGEPFPQDPKDQLWKAIMAVF NSWMGNKAVEYRRIERITGLKGTAVNVQSMVFGNTGTNSGTGVAFTRDPNTGENTFYG DYLINAQGEDVVAGIRTPEPITRLHEEMPKVYEQLMDIRQKLEKHYKEMQDIEFTVED GTLFMLQTRTGKRTGTSAVRIAVELVKEGFIDEKAAISRVNPESLNHLLLPQLDPKSK NKPVAQGIAASPGAASGKIVLTAEAAVAHAEKHPDEPIMLVRKETSPEDVAGMHLAKG ILTSTGGKASHAAVVARGWGKPCVVGCEAMKIDEKAGTITIAGKTLKVGDYLTINGST GDVMIGQVETIDPSVSGDFATLMGWADKARKLKVRTNADTPADAAKAREFGAEGIGLC RTEHMFFGDERIVAMRKMILADDVEGRKAALAQLEPFQKKDFVGIFEAMDGLPVTIRL LDPPLHEFLPHDEKGQETVAKELGIPVQKVKDRVEQLHEFNPMLGFRGCRLPIVYPEI GDMQVRAIISAAIDVKKAGKSVLPEIMIPLIGTVAELKILKERAIKLADELIAASGVK VEYLVGTMIEIPRAALVADKVAEEAQFFSFGTNDLTQMTFGFSRDDIKSFMGTYLKEK ILPVDPFQSIDVEGVGQLIEMGVKKGREGRKAKHGEHLKVGICGEHGGDPDSVAFCHK VGMDYVSCSPFRVPIARLAAAQASLAEGGKIARDK OJF2_RS07760 MDDGAEAGPALRERPPVGLESDGLQRHEIVLLLILASIQFISIV DFMVVMPLGPQLERKLELDASRFGLIVSAYTVSAGIAAVLASTILDRFDRKRAFLALF IGFLLGTLLCGLSDSYMTLLLARIATGAFGGILGGMALAIVGDVFPESRRGRATGVLM SAFALASVMGVPICLELGTRFGWQSPFLALAALGVPILALAVYRLPRMSGHLKGQVRS RPLAQVLETFSRPNHLRAFLFTFTLMFGAFAVIPYMSLYLVGNAGIAEAQLPWIYFTG GLLTLFGAPIAGRMADRVGKQPVYRIMGVAVSVMMLVTTNLPRVSLAVAAVCTGVFMF CNAGRMVAGMAIVTGSVEPRRRGGFMSANSAVQHLAAGIGAWVGGKIIESGPGGSIAH YGRVGLLAVAATMLTLWLVGKVRVLSDAPAATLTAAE OJF2_RS07765 MNEGVLIPIFALLVPITVAPTAILAKQRQRKRELLHRERMRAIE LGMPVPPPEHGLGQAVALVGGGVPIVTALAAFLTSMSASNLADDPVPVLGVIWGCATM ISMVALLTAIILGFMAARSSRPVEQSASLKPAYDPDAYDVVSRRG OJF2_RS07770 MASRNGHLGAALILLAVAARCACVLVLQSHLVSRSTYEHGEIAA NLVAGRGFSIRFLGTDGPTSQQAPVYPAIVAAAYLIGGIESPRSLLILELGQAVLGGL LTLGVLALARQVVGDHPPAVLAAGLIAALHPTLVYAASHVQVALLGATLVTWSLVAAT RAGSSGRARDAVLAGILLALTALTDPILSLCAGGMLVAIWGGRRAGPTAPAFGRHLGL SASMLLVAALCVAPWIIRNAIVHGEFVAIKSTFGYAFWQGNCSISEGTDKVVRASVEK VLEGGAGAGLAAWNRTLWAARHEAGYIDDVALTAEDRRLLARASEPERSRILFRRALA ELREQPWRYPGLCLRRLRYFWLFDETNPKTRSLIYRVSHGGLLALALLGLCMATADVR RRLAPLFLTAGLISAFHAMTIVSARFHLPIEPLMAVWAGAGLARRMPFGSMSTATADD VVRVGIVGGLQRGRLLDRPA OJF2_RS40510 MKLRPLSSLFLAGTIAGVVSATTWIVLDHDRWMEKRVKVIVPGQ LVRGAWQGPGPLRRIVEREKIRTVVTLTAINSTDRKFTGQEQVLRERGVRWMIIPMRG SRATPEQMALAADLLADPEAQPVFFHCVAGHHRTSLAHAAYLIRHAGYTADQAWKEIS TLPWSRPDSVVDRNDRFLIEEFARMQSSLIPSRERGVWEMGNGSWSQAADPPVHPADR GGLAGPGGLDRLESGDAQLRGDPSRAALPIRADVPGRAGPGSS OJF2_RS07775 MSPAALGRALREHRIRTVLNLRGPNPRERWYRDELRTTLESGAT QVDVPLSSCVWMSRVQLRGLIRILEASEYPLIVHCAWGSERTGLTAAISELLRPGGTL ESARGQLAIRYLYVPLGDGRIMGEFVEQYADWLRARGLAHDPVAFRRWAEEGYSPGNP SREAWPYDPTPLSVETRPGGPTQQVAGATPDPRRPSSARR OJF2_RS07780 MSDIREHDTQIRVRYAETDRMGLLHHANYFVYFEIGRTELLRAR GLTYKEVEDAGHFLVIIEIACKFKRPAHYDDLLTLRTTAAKVTHVKIVHEYRLYRGET LLAEGHSVLACVDREGKPQALPEVLR OJF2_RS07785 MGFFNALKRVLTHEGRKEADDDATRRIRDLWGLDEEEPGASPSA TVGADASAYDRSQWQKRLQRIVDELPESRPRWADLMQDAHALHLEPAWLHERHLEALA LLVRRAVADRVFSEQEHRRIDLARDLMNIPEEEAVKVLHDIVAEAEAVFGAPIKDEG OJF2_RS07790 MIPTQMISNRLVRAALLFTAIAAIVGETRSRAAGEDARATPSAP TLTSRLEPLIRAHKGKVAIAVKNLKTGEEFHHRGAEVQPTASLIKFPVMVEAYRQAAE GKVDLDARITLRAEDKVPGSGILTDHFSAGDQIALKDAIRLMIMSSDNTATNLVLDAI GIGATAATMESMGYPNTKIHSKVFRRDTSVFPERSKAYGLGSTTADEMVRLLEALHAH RLASPSACDRMIEHLRTCDDRDKFPKGLPYGTKVAFKTGSVDEARTAAGLIDCPDGTV ALCVLTCENQDRRWVPDNAGNVLCADVARAVFAHFSQPGAAPSAAR OJF2_RS07795 MLGDDQRRGPRRVGRTLLAAAMILAAHGSAPADEPAAHAGLKPG SRLERRGDEIAVCGQLYHTTTPVVLWSDPGGYDAYRLEPRFKPLSDSIPPARKEDTQP ALRSTFGLRRRNLSADDLERVRGGGWDLPTLRKAVDQFVIHYDVCGTSRRCFQVLHDQ RNLSVHFMLDADGTIYQTLDLKEQAWHATIANARSIGIEIANMGAYPPASAQALDRWY RTTPGGDTFLTIPDAELPFLRDRDAVLRPARAARIGGNIQGQDLVQYDLTERQYQALI RLTATLCTLFPGIRCDYPKDASGKLIPVKIPNDQLDRYRGIVGHFHVQTNKTDPGPAF QWDKLVDGTKALLSGSGVTP OJF2_RS07800 MNIAVTGATGFLGRYIVARLAGAGHRLRCWHRPSSDRSHFPGAV PEGAVTWIEGGLGDPGAVDRLLDGADAVVHSALSRTGGFQAPPADLTGYLQLNLMGSL ALMDAARRAGLSRFVFVSTCAVYDRILPDRPLDEAHPAWPASDYGAHKAAIEAFVHSF GFGHGFPACALRPTGIYGLAHPAHASKWYGLVRSVARGEPVRVSKGGKEVHAADVARA VEILLLAEEGRILGESFNCYDRYVSEREVAEIARRLTGSASDITGPAPSPRNQIAVGK LAALGMEYGGLTLLEGTIARMIEA OJF2_RS07805 MEEEKPGATRIISIVPEGSKVRKGDVVCELDSSAFQDEVKSQLI RYAQAKAWVEQARAIFEVTEITLREYRDGILPQDLGLIKQYIKTCEITKEQTSRNLAW SRDMAKKGFRASSQLRADELSDQQAGIALEEAQGMLERLEKYTGPKNLKQLEAKLMAI QADKKTQEAAFELEKQRLARLQKCIDNCTMRAPIDGTVVYKPTTNPWGRVEAQIEQGV TVRQDQPIFELPDPKNMRVKTRINETKVAFVKVGQPAIIRIDAFPDRTIRGVVEAVTA ISTPVNGPFSDVRIYFASVRIAEGFDDLRPGLTAEVFFKTDTHPNVTRIPVTAIRSFG DRHYAAVHRGSSTPKDAWDWKPIRLGASDADYVEVVAGLKQGDRVVANPHALPAPASA PIGPDAPPSVATASTNP OJF2_RS39095 MLGFMVAVDPDASPLDDPEPSEELPPPEELPLPLPPLDPLPDEE PLLEEVALPDDLLLDEPLVPEPPALDFALDVAVVCVVWAADVPPAAVPPAVVPPAVVP PAVVPFDPEPVPVPVLFPEPVPEVPEPPPTMPSRASTSHFTTVLEALSSEPFSTTYSI TSPWSISTRGSISADACAANSGGR OJF2_RS07810 MPGNDYVLSGYKWSNPGHITYSIAPDGVLWDHGVNDLNAVFNAK FGTDGAWQAAIARALATWESVANINIVPTTDGPYSEDVFGYSQGDARFGDIRLGGYSF PGKSSTLAQTYFPPPNGSTAAGDVEVNTSMNFGMGSDYDFYSVILHELGHSLGLDHAK NPADVMYANYQGVRTGLAEGDIAGIQAIYGARTLDAYQAKGQGLGYSSAIDVSAGLAG ANSLGLSNLSLAAIGDAEYFTFTAPSYASGVIRVTASAANVSMLSPEVRLYDAAGNLL ASASNPAAWSDGVTAEAASVVPGQKYYAVVSGATGDVFSVGSYNLTVALPASAPPPPS TPTPPASPGSGTSGSSGITPTSTTSPSPDRLEPNDTPGAATGLGRLTHVTVGGLTLSS AADVDDFTFRVGSSGRYLVGAAGVKIQVYNARGRLIAGGTDAVNLPPSRAGTPFLVRI SSATGAPVSAYSLTVSTVSPFAARRTVRTVRHQLTDLAAVTGASASAAKSRPAPISTP VRELAVRVALGFRAGMLRGHLTGLARRRPPGGG OJF2_RS07815 MADYTLPPLPYDSGALEPHIDAKTMEIHHDKHHAAYVNNLNAAL KDHPNLQGKTIEALISDLNAVPEAIRTAVRNNGGGHANHSLFWQVMKPGGGGEPTGAV GKAIEGELGGFAAFKEAFTKAATTRFGSGWAWLVVGKDGKLSVTSTANQDNPIMEGTT PLLGLDVWEHAYYLKYQNRRPDYIGAWWNTINWDEVNRRYESAKG OJF2_RS07820 MKSEVPAGKPYQTMGGAPPTVGFSSESHPASNAGMSNLYGDRGP GSGSLDGPPSSRQDAAFGTPTPGSERMGAPTDHRYGAPGTSGLASTEGGSAGLTNSLL QSQPTASETLSKDPAASSKIPGSDGGPGGSYP OJF2_RS07825 MIDVPTILFEDEHCLALWKRPGQFTQGDWAPPGDRTLEQEVRRY VAPDNPGSAYVGIVHRLDRPVSGVLLWAKTSRAARRLSGQFERRTVEKEYWAVVEDAR DEAAGRDGPSQAVRGTWTDWLTRAGVEGVVRSLPQGSPGVREAITDYERGTPDAIPAG LAWLRLRPRTGRTHQLRVQAALRGMPIVGDSNYGSTRPFPDGIALHARVLRVSHPILH TPLILTAPLPQGWATLGVRIGGPAAGSPG OJF2_RS07830 MSSDRIPMSKEGYEKQKALLDRMKNDDMPRIAEQIAQARGYGDL SENAEFDAAVEAQGMLQAKINDLQEKLGRAFIVDKTTLPTDRVVFGSKVRVLDLDLNE EEDFILVGPGDEDYDQNKILLTSPIGQGLVGKKVGDEVEVPIPVGTLKLKIVEIGVG OJF2_RS07835 MGRIWRALRLGMKSLLLHKLRSGLTVLGTVFGVAAVISMLAIAE GSSRDALERIRALGATNIIIRSVKPSDEAQATGGRPSRILNYGIKYNDYDRIVETVPT IRKVLPIREIRKQIRRYQYFLDGRVVGTTEDYADFSMLEIDRGRFLTAADNERFQNYA VLAATTAKTLFPYEDPIGLSVKLGSDYYTVVGVTKERQSTAGTSGSLAAQDFNKDVYI PLNTCKVRFGEKIVNNRSGSMEAEETQLSQITLKVGSTDEVRPTVPIIKAAYEPWHPR KDVEMTVPYDLLVAAQQTARQFNIILGTIAAISLLVGGIGIMNIMLATVTERTREIGI RRALGAKRKDITQQFLIETVVLSGVGGVLGVTLGIVIPYMIVYFIPDQKAFVTPFSVI LAFSISVGIGILFGLYPARRAAMMDPIEALRHE OJF2_RS07840 MDFTGNGNGRGDEPIVSIIDVKKTYVMGHHTSGGLFSRNKNTTA VTVHALRGVSVDFYPGEYIAIMGASGSGKSTMLNLLGCLDRPSSGQYLLGGRDVSRLD DDELSEVRSRYLGFIFQSYNLIQQYTVLENIQLPLTYQGGGEISPEAHERSLELAKLV GLGDRFDHRPTQLSGGQQQRVAIARSLINDPYIILADEATGNLDTKTSHEIMAMLGRL NDAGKTIIMVTHEQDIAEHAKRIIRMQDGRIVEDGPSPRMMQGQGAVAVTDGGAPGEV WETE OJF2_RS07845 MNFSLQNTGDPSSAKAAAPPHDLPPLQRPSRRRAPVGKLLVIGL VALLALGGITVISVPGLSKPIRGFFKPADVDVIPFEVKTGVLPITVADKGSLESSKNQ DVLCQVEGSTTIISIVPEGTKVKKGELVCELDSASLRDSFTNQKISTQSAEASFQNAK LTREVAQIAVKEYMEGIYLQDQATIQGEIKLAESDLKRSEDRVDWSNRMWEKGYVSKA SKVSEELTYQKAKFALEQAQTKLKVLEEYTKNKTIKELTSEVEKAKSDEYAKQQAWEL EKTKEAKLEKQIANCKLFAPGDGIVVYANDPGKNFGSTAPQIEEGATVRERQKIFSLP DIQKMQVNAKVHESQIDKIASGMKARIRVDAFADMELEGTVQEVAPLPDPSSFFSSDI KVYTTKVRIENPLPGLRPGMNAEVTILVDRKENVLSVPVQAILEYGGKDHLMVRTPAG FERREIELGVTNDKFVEVTKGLTNGTVVALNPTVLLTEEQRREIAGNGSRGASKKDWG AAKGKEGEAAGPAGEPGKAGAGGPPGKAELAKGGEPGKAKAKGARGRGGAGGALFEKM KNIPAEDRAKLKGASEEERAAIMKKAGFTDEELDQMRQMREQMRQGGGPPGGGFGGGP PGGGGGN OJF2_RS07850 MGCTREFYREWANQDVSEAVFEKSRDPRWRLDVFSVEPPAMSRF ASPYDPEFPPAPPDDPATAALSPVPQWPDNRVLVPVEGTGYLELLERWRTERESNDPN FSLPPGPRPADAAVGFPTPNVRGTVQPPSAASPFAPGTGNPDNPAPGTSGPGPDPAAG GATPGRGGSLPGPDPSSIEPAPPGGATPGGTPPGGPSARNSGANPRTKAAASSPKQIA STRPGRQSKAIPPPKPVETIVRKGSTRKPGLRDLAVVKTAQPPDQAVQQPLPPGPRIP LESRDSQREMSEETRRELQRIAPAGPSGGFNQEQAAELAGILVPRVPPLNVAQVAGLP RNARPYVVTMQQAFTVALINSRVYQTQLENLYASALAVTLQRFQFEPQFYAGMSPLTT PIGAGFAAGVNPANQFLYSTRKSPGGQISSLTMGEVAGVGKLFSSGGQLLMGFANQVV FNFVGKNPIQPTVQSSLPLSFIQPFLRGAGRAVVLENLTQAERNLVYQTRSFAKFRQE FIVVSLTGGTITNFGTGLAAQGFSGGGNSDPTLGFIPVVVNFAQVIIDRRNLAYFEQL AALYEELIEGESSGLTKLQVDQIRSSVLGARQTLIGDILTLRNQLDQFKQQLGMPPDT PMVPDLSLVQAYLDVYEAIDDWQRSANRRLDDIPRIVNRLPELEDIVLDGSSVLGMYK GSTTYDNEDELEATLQAAVRIAAEFRLDLMNNRAALYDAWRQIRVRANALRGYLNVAI TNQIFTPPTTSNPFAFVDQAKQFRLVLNAELPLIRVAERNSFRQAIIAYEQARRQLMS QEDFVKYQLRSDIRAMQVQYIGYEITKRNLILNIQLKDQAFEQIIAPPQGGAAGGVGL AANAATQTNNLVNFHNNLIRSEQALLSAYQSYQAARLTVYRDIGTLPYDEWEAFSELF PSEYRGPILSQGGGASARPASAPAPQPAQGPRR OJF2_RS07855 MRFVNRGSESSARGPRTSGAYRPNAEGLEDRWLMALLRLGAGTP FNLAGQTTTAPNGPQTIGGQLPFIADSSGTAQTQGNQTTDPGLGILQVGNVQAQGAGY SVAALGDMNGDGSNDYLIGAPTVTRNGSTITASTGIGNTAYLLFGDRSASIPTIQSWL SATPEQRVGVLSNLGGTLNFDPFTNRGTPFGYNFDGVSFITSQSTNSGLGTFVAAAGN NAFFIGAPNYAGGGRLYLVTATSNFNLGTLRSAPIDLDSPQNYAGLTIITFEDTANPN SGLGRSATLATNIFGDGSGDVVIGEPTASLNGKTTNGGVFVFQASSLPQTVGAANIVQ VQSGSQFTIAGATSGDQAGFSVADAGDVNGDGSNDLLIGAPAHNSNAGAAYLLYGGTP LTSGLLNGIVDLSRLQITPNTTTDPTPPQGAVFFGTGTDQAGYTVSTAGNFNNGVDSL SDFMIGSPGANGTAGRVTVFYGATTGALNSTGQFTGGLIANATNPIQLSTPTAALNLT GVSPLAASFTGASTGFRAGTSVSYYNAQSSGTSSVILVGAPGDTSQSGSGSVYELQGA STGTFQTLNTQLNSTNARQYTLTFPTTFQSADAIGYGISVSALPSGTGDFIAGAPGYT GTLNTSTSTPPTPLVGAAAVVLNALQPNNTLPILGGSGGGGGGGGGGGGGTFSGFAGA TPPGVVNLTYTVPTFGSSFVPSVSDLSTYNYSPIPLRAALQQYKVPPGFAQRFYAYYH PRGKVPGRGQGQSRNTFDGSGAFTLGSKVATRGRFHPGKTYQWTHSTRAGLIVPPSSL RQRYTSQGNPLGRV OJF2_RS07860 MNVPSSPTDRPSVPAAAPDVFTVGGYIIDRLRANGVGHVFGIPG DYVLGLYKLLEESPIRLVGMTREDSAGFAADAYARIKGLGCVCVTYCVGGLSTCNSIA GAFAEKSPVIVLSGSPGMGERARNPLLHHKVKGFDTQFEVFRNITAASAVLDRPETAF AEIDRVFEAALRYKRPVYLELPRDQTHASQVGPHRPTEGLPPSDPDALREAIDEAAAL LSAARRPVILADVEIHRFRLQDRLIALAESTGMPIATTILGKSVISEAHPQFAGIYEG ALGRPEVTELVESADCLLMLGCFLTDINLGIFTAKLDPSRCIDATSEDLRIRHHHYRD VRLDDFLEGLIRRDLKPARTPVPRRTSPFEAAEATPESAVTSSLLFARLNALLARHRE VTVIADVGDSLFGAADLEIHRQTEFLSPAYYTSMGFSVPAAVGAGMAGPSTRTLVIVG DGAFQMTGMELSTIARHGLNPIVVVLNNHGYTTERFLLEGSFNDVHGWAYHKIPEVLG VGMGVEVRTVGELERALEAAWSNADSFSLLNVHLDPYDRSPALERLASRLSRIVDNRG R OJF2_RS07865 MEMLFAYGTLSPEGPESAAAGGRTADAVRGRLYDLGPYPALVDP DDPSAGWVEGFVRPVSAEHLRDVLDDYEGVSEGLFRRVEAATRGGPRAWVYAYARPIP ASATGPVGRWLGTRRVRLLETPIRPPGEPR OJF2_RS07870 MSWESVRGHDRILATLRAAARAGRLPHAFLFVGPEGVGKRSFAR VLAGALLCETMPEEDLDPCGTCPGCLQAEADTHPDLLQFARPAERSELPIQVVRDLCS EFGLKPARGRRKVAIVDDVDSMSEEAANAFLKTLEEPPPGAVLILIGTSPELQLDTIV SRCQVVRFGSLPEPLLAELLEEQGVASGPDDAARLAALGEGSMSRAIGLADPELERFR RELIDELAVARGFDPSVVAQRFNAFVGQAGKEAADRRRRAALLIGELARLFRGVLWQT AGLEPPSPDPGDRAAALELANRLEPEDVFALLDRCLNAAYHLRRNLYLPVVLESLFHD LSGLINARGRA OJF2_RS07875 MPEESTAPSTPRPHPHPGFFLALEGPDGGGKTTQAARLVAWLRE AGLDVVACHDPGSTVVGERLRQIVLDRASVHLSIRAEVFIYMASRAQLVDEVIRPSLA AGRVVVTDRFLLSSLVYQGYAGGLPLGLVADLGRAATDGLLPDLTLILDVPLDAARRR VGPGRDRIEDRPDSYRRLVREGFLDVAGRGGGVEPAAYAAPVAVLDAQQDAEAVFDRI KSEVGRVLGIGPRA OJF2_RS07880 MTTFHERSAGVIPYRRLGDEGLAYLVLHSATVRNPRAKWEFPKG GVEQGETTRQTAAREFQEETGLTGWNFVDGFERSLSYTYVRRGRKVVKTVTYYLVEVS HADDPTRSEEHVEDAQGKWFQWGSFEQINQLLYHTKIRQVFAEAHAWLGEGAADRSP OJF2_RS07885 MRMAAVTVPDLGTGPDTPIVVSYWFASRGETVWEGERLVEVLVG PATFDVPAPATGRLVEIRAREDDQVLPGDLLGRLAVRGEGSDDESSGGPARGDRGRGG GPGDVPPRPGPRAT OJF2_RS07890 MDERTGHSAAGRRVAIATGAFLALGLLIRLTRYLVVYPIWHDEA FLAASFWDRSYVDLFRPLEYGQIAPWLFLVVERAAVGWLGYSEWTLRLFPTACSLASM VAFYDLSGQLLKGKARLFAVAVFATSIYPVRHGAEIKPYAGDLLAAILVLDLVARWLR APGQSRWWWALAGISPVLIAVSYPVVFVMGGVALATAPRILGAPERRVRLGWITSNLV IAAAFLSVYFACTQFQADAMRDQYRTGCWAEAFPPLDRPYMIPLWLADVGSGVMMAYP AGDRHGGSAATLICFLVGAIGMWKGRDRAPLAVLLAPFGLGLAAAFLGRYPFGGAQRV MLYLAPSICLLAGLGLSRLLERIRLPRRRAAISAACALAPAALGLGLIARDVAKPYRV VEDVKTREFARWIWTGQSPRGGVACVKTDLGLSAGPDLWRVGMSAVYLFHQRMFSDRH RGRGVADLDPSHYSADRPLRLVAFGGLPEGQPGFDARLDELRRGFQVRRRSSYEVQPG KPGEEWLRDAYEVLELVPRAGGPSVAGKAADRPGRY OJF2_RS07895 MTWRRDEGASALRLARMAIVVSALAAWPAAMARGAALGGDPGGG SLPIDRGVGQRMGNFTLKDAATGRPVSLFGYAGKKAAVLVFMGTECPLAEVYAPRLKE LNASYRSRGVVFLGIDPNAGDSAAAIAEQARKHGLEFPMLKDEGNVVADMAMAERTPE VVVLDGRAKIRYRGAIDDQYGEGTRKPEPSRRYLVDALDAMLAGKEVAVAASPVAGCL IDRVEPRPAKADRPRVRPAAPEILSGIREAAGEKSPDVGQVTFAAAGRIIRDKCQSCH RPGQVGPFPLEGYDDAKKHAAMIGEVVDNRRMPPWHADPRHGRFSNDRSLTPRERATL LAWVGQGARLGDPKEAPPPRAFPEGWSIGRPDAVLEMPEDYLVPAQGVLDYVRIRVPA NFREDRWVQAAEAQPGDRAVVHHIIAYVVPPKGKDAKESRGDHEHLVAYAPGDLPSVY APGTAKRIPAGSDFLFEIHYTPNGKARRDRSRVGLIFAKEPVTREARTIGIAEMNFLI PPGKDDVPVSSSLTLDRDARLLSFMPHMHLRGKDFRYTVTRPGRAPEVVLSVPAYDFG WQSVYTLAAPMELPAGTRIDCLAHYDNSVGNPSNPDPGKLVRWGDQTTDEMMIGYIDV DFPRGQSSGPGDGPREARAGGRAGVGRTLGALLRNREARNARPGGTKPSP OJF2_RS07900 MRRTLRCGIIPAILMIGSALGRAAEPARELPPALAPLEFLVGTW KGQGVPKDDPSQRFRGWTETHTWAWAFEKGKPVALTVSIRAGKVLSEGRLTPGGEAGR YRLEGKEPGERGKPVAFAGTLDASGKLLKLERPAPGGGIQRLSIRANGNYIRYVMTVD QKPAGSQVFAPKLEVGLTREGESFAAGSSSADRPRCVVTGGAATMSVSYNGQSYPVCC TGCVDEFKENPAKYLKKLAASGDGASKGTPSKPSAVSRFEDAFAGDGEDTVAPDAKAK MPAARPSGEPATTKAAAPAAGVGKDAEKASARRAASALQVARNLEKAGKREAALKAYR QFVKDFAGTPQARSAASRISALEAE OJF2_RS07905 MSRREPTPAAAVPGPEPRPGAGAGAEMPVSVAVAGGEAGTVTAR RAGGLRDLALDVDPKKVGLEAALQHLAKAVNIAFQTDYGENYLESVYQAAIVRRGAVI IVHREYFGFGDTWFSQFGRLIRSLELTMEGPDPASPEGQAAYEAKGARSIRGLLNLLG RKLDLVSEYEDRVPAYVEQMFDRLEASGILVGWDRDNWEITTTTIGLDIRIERKAEAP PAGEEAEAVSPAIPPPKR OJF2_RS07910 MRFYYRDRRPRLPIWRDGRLMVVRWGNGRGQSRFLPATGWTWQS TIDEGYWRNLDGVYVDIPASLGYDRGVWYRIRQGIRGILVPDERGAAVAYMICEPASH YYQVMTRSTRMPVLIEERI OJF2_RS07915 MVTRASTRAIGHLDADCFYVSAERVRDFFLDEKPVGVLGNQGAC VIAKSYEMKGKGVKTGEPIWDALEKCPDGIYVKRDFRWYEVLSRLMLDQVRELSPRVE YYSIDEFFFQAEAARGQSLQSLAEEMRDRIRERVGVPVTVGIARTRTLAKLISDSAKP FGARAVMEPDEVEELLGSLPVTEITGIAGRRAARLSPWGIASCLDLARADRRLVRSLL TAAGEVLWWELNGDPVQAIHTKRPAHKALSRGGSFGESTAEPAVLYAWLVRNLERLIE EMRFHGVAAGRVTVALRYKTGHSGVGQRTLPVATDRFDLLLDAARPCLRKAWMPGVQA SHMHLIAEDLGPRDQCPPGLFEDPAARARAEAVARVKEQVNNRMGRFSLRSAATLRLP AIYRDASNEYDICDIRGKICF OJF2_RS07920 MSSRIAGRHGRPRLAAPPSRVSKDGRRTPSPSPGRNRRRPALEG LEGRTLLSITASGYDAALGAVTLTGNSAGSYLVLGEAPSPDLSGHPEVLVHNLPYSSD TPGALNSLYDFDPSTPGDQELEVGQGTAPMVTVDFSAGGANTLQLDTSWTFAHPVAMT GGAGQDTLIDSAAGSHAWTLTGAKAGQIGSNLTFAGVDAIQADSANDDSLQGQDAVSA TWTFAAGSAATYGVGSPSISFQGFKLVRSGSGNNTFQFSGDGTVGSLDIQGGDGDDAF DFSDFAQLDGSIDGGDGSNTLAYYDGNSSQGYSSSISLAITSVSSTVGYDGIDSMGTS LTGTFSNITSLVGNASSDVGAQLVGSDADSTWTLAGSSAVSYKDATDAANPSLSLSGF SDLVGGAGDDTYDITADTAVNIWETAGTNTFNISDGATLDGMVGGGSGTDTLDMSAYT TALSVVLTGSDATGLAGTVGPVSGGFFGIDGLAAGSGSDQLTGEDVDSVWTLGSSSAS YADGTATLAFSNFESLQGGSAVDTYKVDASTSLNLLGGGGLDEFEVADGATASGTIDG QADSGRLDLGGYTADVHITLSGSDATGFSGVGTGTVGFQGISTLIAGSGAVNTLTGED VAATWTVNGSGSAYSDGAHSLALGTFNVFQGGSDADTFNVTGDTTATLDGGAGADAFV FSDGAVLTGSIDGSGGANTLDLGAYTTPVTVVLDAGAASGVGGTTAGATDPITGRFDG IGTLSAGGTGSSLVGQNASRVWNLDTTDTYGDGTDTFLTFSGFGALTGGSDADAFNIV SNTTANLGGGGGGDTFDFQTDGVVLTGKIDGGSGSTASLIYSGYTSAHPVTLNLATGF ATGTNGVKNIGNLFGGNAATTTLTGPTSAGQWTIEGQDAGNVVYGGKTFVFSSVGNLT GGIGDDAFYLTEGGTLSGTIIGGIGSNSIQVGSLSNAVTMSITGANAGNILEGISGSQ WTFSGIGNLTGTGLGDTFKFSKGASIDGAINGQGNAKLDYSAYTTSVRVDLGLGYATG VKGGLNGAVTGVANVTGGSGNDILIGNASANVLSGGPGGNDVLVGRDGDDVLTVAGSG RNILIGGNGADTLNASTATGDNLLIGGRVAFSGSETNTSALTSLMTEWSRAGVDFTTR TKHLTGQLSGGLNGQYKLVFSGAGQTVFNDAAIDSLYGSQSGPGHTWFVAFYQDSSHK ANILNSRTGDRTDTSDSGVIS OJF2_RS07925 MIPRRPARENRSPRRFPLHLESLETRRPLAVTSSVDAAGVLTIA SDAADVLALGTRAGDVAINGGDPDSGPAPASAITGIVVRGGPGANRIDLSGFDVRQFA ALDSMTIEGGGGPDTLIAPSAPTTFDVTEPDAGSLTGPAFMTLSISTFTAIADLVGTS AGNTFAFDEAGRLSGSLRGGGLDILDLGATTTPQTLTITGSGSDGFRGTAGRIAGGFD GVGQVRGGLGGDTLVGDDRDSTWTLDADWSYSDGVDTLAFEGFRTLQGGSGDDDFELL GGPSGQIPADLLGGGGDDRFAFHGFAQVIGAIDGQGGFDTLDDSDFGTAVVVQLTGSD ASGFSGTEPTSIDQDGFRGIDRILAGSESQGPSILAGEDVASLWSLGSTQTYSDDAGR VLRLAGFGFLQGGAGDDTFDIGADVAASLLGGAGDDRFVFSADGVVVGGDIDGQDGLN TLDLDGYRRPARIDLSSSTGSVAGGTLVNIGNVLGGSGDDILIGDASANLLDGRGGTN LVVGGSGDDTLVGGAGEDELEGGPGDDTYRLDIRAGMAVIVDDADGLNALDFSTSGDG ITLDLDSTAMQGVAQGRLQLAGAFASVLGSPFADTFTLTAGLRPCGVVGGPDGTSSGD VLQVRALGRQAVVTPAAVDVDGAAPISYSRIGKVIVRDQMPADLALTLSHQPEPATVG QAIDVTLVVKNGGPATARDVVLTASVSSNLRITSALASQGQARVQDGVVSVELGTVEV GGQAQVRLTLASTAAGTGTITGFVVGNAPDTNPDAARVSQSITVQAPSPPPPPPPPPP PPPPPPPPPPPPPPPPPPPPPPPPPPPPSSTLLIVRQQWLGFRRQLKRLMLGFNRPLD AASASNRRHYILLFPGRDHRFGTRDDRRLRVRKASYDPVTYRVTLSLPSRIPAHPTGR VIVRGLRDPSGQLLDGAVTGLPGAINARRSRGSIGL OJF2_RS07930 MSLENRTDEDAMPVGPEPGGPPAPRLPTWHYLVLSLWCGLLAGP LEVAAILVRKRALDLNQFYWMSRHFVWLIPLTNLLIFATLAPAFWLLSASGPRGRWFA ARSLCTLTLLPALWTLLTRLYSPAVVLLAMGIAAWLMPSLGRHGDAFRRCVTRSLPVL ALVPAILFAWVRVGDALRERGEAARPLPASGAPNLLVVVLDTVAAGHLSLYGYDRPTS PTLDGLARRGVRFDRAQAPSSWTLPSHASLFTGRWPHELSAGWLTPLDSGARTLAEHL GSRGYATAGFVANTFYCGSDSGLARGFATYRDYIFPGLGAFKLAALVDRPVEGLRALH HFLRARTSFATLAGLIRPFDAGFRKPASAINRELRDWLSGRPQKERPFFAFLNYYDVH YPYLLPEGGVHRFAARPRTDREMDLIEKWKAVAEAGLPEQERLFARNSYDDCISNLDE HLGKLLDDLEREGVLESTWLVITSDHGESFGEQAGIFLHGTSLYQPQVHVPLVVVPPR NGPKPARPVVTESVSLRDVPATVLDVLGLGADAPFPGQSLAGLWSDASGAAPDPPPRP SPALSEVVPTNPGEPDPARMVRDRRAWASLAEGDLIYIQVRGRDGLREELFDLREDPL QRRDLSRDPAHRPLLDGMRGRLERATAGPLTPGRFRL OJF2_RS07935 MTRTNRTRGFTLIELLVVIAIIAVLIALLLPAVQSAREAARRAQ CINNLKQVGLAMHNYESANSSLPPGVKGCCWGTWLNFVLPFMEGANLFNAYNFSGNNR YEDLGIQNGQFRYAGAANTTVTYTHFSAFQCPSDPNANNLPNGTGITCHNYVVNFGNT ITTQPAFYLVNGIKIPFLGAPFTQMGAPDSDIASGSQQSAASGTVKLSAITDGLSNTL MTSEILIGSGWNLHGYSWWGYAPQFTGLYPPNSSQPDVMQGASYCNSPNTNPQGVTCV GATGSVGSDGTYTGLGMINIPRSRHPGGVSAGMCDGSVRFIKNSVSPQIFQALSSSKG GEVLSSDAY OJF2_RS07940 MRSRETWIGIFTVCALALGAGCSGPEDDLPREPVSGTVSLDGKP LPGGTITFTPAGGGASAGGATIKDGSFSIGREGGLVPGNYAVAIYASDRPEGQERPKQ AGGLKEFKVAKELIPAKYNAKSELKAEIKKGGGNALQFALDSK OJF2_RS07945 MSRLARRREWSFLLGALVVVGLGYGGWRIARAYRREAALAGIRR HIEQGRHGLAAQALAQVLASEPDLDEASYLLGLCEKNRNRPEAADAAWARVAPGSRFA SAAVTGRASILIDGGRLADAEELLTRALGDPKIDGFEPRRLLTPLLWQEGRVAEAQRL VEANWESMKGTGREGSQEAIELARLHIAMRVGTASADSVRNFLDRAGRLAPDDPRIQL GRANLAIRQGALADAARLLEECLRRSPEDVPAWRSRLELARAAGKLEDVLAALAHLPA ADADDAELHRQAAWIAARSGDGEVETRQLESLAEADPGDVSTLDRLAELAIREGRPAR AEELRRRKAELDRLNEQYKELLLRDQPVRDAARMAELAGRLGHRFEARVFASVALASD PDREDMRALLARPGGRGRDGQVAGPTLADALRSELGESAAGAGMPRALPAAPDAAAVL PRFDDDAPRAGLAHVFRNGESAAHALPEVSSGGVGLIDFDGDGWLDVYAVQGGTFPPA NPAVRDWKGVAGEALPSRGDRLFRNRRDGTFEDVTESAGLPAGSRGYGHGVAVGDYDN DGHADLFVTRWRSYALYRNLGNGTFEDATVAAGLGGDRDWPTSAAFADLDNDGDLDLY VCHYLRWDADHPRLCQNDARTAYTSCDPLEFDALPDHVFRNDGGRFADVTVEAGIVDR DGRGFGVVAADVDDDGRVDLFVANDRSANYLFRNLGGFRFEEQGLVAGVACNAHGSNQ AGMGVAAGDLDGDGKLDLAVTNFHDESTTLFLNLGGGLFVDHTAAIGLAAPSRTRLGF GIAFLDANNDGTLDLLTANGHVNDYRPKVPYAMRAQLLVGGPGGWLTDVTDRVGPPFL IPHLGRGLASGDVDNDGRVDALLVAANEPLVYLHNRTAGGHFLTVALEGTKSARDAVG ARVAIEAAGLRRVSQRLGGGSFLSAGDPRLHFGLGPATRVDRIEVRWPSGKVDNFRDV EADRAYRFREGEGQPAPGR OJF2_RS07950 MSVAPVDGSPRIPASQPVRTPDAIEAGMAPETSVTFTIPIQVSI SLGTPTLAAGPATARPGVAGPAVGLREGLFGAPAPPPVADSKFDADSLLADDFGWKTA LSLALASRLSYAERAVVESTGLNVYGMETCAFFEADDTQCFLATSPGAVLLAFRGTEQ LADWLANLNVIYTTRPYGTVHRGFLGAFQVVEPQLRIALGGFRGRKLLLTGHSLGGAL AMIAAAEWQGTFPIDRIYTYGQPAVGRSSYVSFMESHYDGKIFRFVNDDDVVPQVPPT YRHVGKLIHFDATGNLEGLAGAITERPSPRMVEAAPSPADPPMLSQAEFDQLRASLLV QKAAAASPGRESVGQPGLEGFFPSVSDHGIDRYIAKIFAQAGG OJF2_RS07955 MDESEADESTLSEAPQEFEVRPRTDGKRIDAYLASRYTDYSRSV IQRIIEAEAVQVNGRAVKASYKVRAGDRVRLWLPELPDTTPVPEDIPIDVVYEDRWLT VVNKPPNMVTHPARGNWRGTLVNAIQFHYDNLSTVAGEDRPGIVHRLDRDTTGLLVVV KDDAAHRGLGLQFENREVHKEYLAIVYGEPERDSDYVEKAIGFHPITREKMAIRPEQD GGRPALTFYEVIERFRGYALVRCKPRTGRTHQIRVHLAHVGHPIVADRAYSSRASLTV RELIDDSAPRPAPGEDLDRILIDRQALHAAALRFTHPATGLPLELAAPLPLDMAKTIE ALKAYRSR OJF2_RS07960 MKNEPARAAGTPKTIPVRHQFEHEVPTQIHHSEEDMMVLARWTK HALENPTRFWGTIAAAVIGLLAIVLGATWMSTGTAANSEVWSRLETAKSPADKVKLAE DFPQSPAALWARLEAASNYFDQGVTDLPNNRDVALPTLKKALDNYNDVVKEAPKDSPQ ARAAAFGKARTLEARNELPKAIEQYELVAKTWPDTPEAAKAKELAAELQKPETVAFYK DLYAYTPPKVNLPPMGTQNLDLPPIVPAPGGEASPGIGTGSLPFTPLVPPPSPQPVEK ADTSKPAVQSGSGPAQAIPDAPFSIDLKATPKAGNTAPPVTTPDPTKPQLPLEKPKP OJF2_RS07965 MNRELSMSELRGASGLAEDVSYDAILVVGFGGPERGEDVMPFLE NVTRGRNVPRERLEEVAKHYDHFGGRSPINDHVRDLIAALGPELKRHGVMTPVYWGNR NWHPFLADTLKEMEAAGVRRALAVVLAAYSSYSSCRQYREDIERAREETGAGAPDVDK VRVFYNHPEFVAANADRVREAMAKIEAAARPAFHVTFTAHSIPESMARTSRYEAQLLE TCRLVAEEIGVPREGWSLVYQSRSGRPQDPWLGPDILDHLRDLKGRGTAGVIVHPIGF LSDHMEVLYDLDEEARLLCEEIGLPMVRSRTVGTHRGFVRMLRELICERLAGARSEER RSLGQFGPSHDVCPLDCCLPPQRPARAHAAT OJF2_RS07970 MAARRILLIEDSSTMRRMLDMMLKREGFEVRTAVDGEDGLAKAR EEPLPELILTDHEMPGLDGSGVCREVKKDGVLKAIPIIIMTTLGEVEKEAAAREAGAD DYIQKPKSPDEVKEMVERIHKALEAADLVASVAERNRQLEAKHKKLID OJF2_RS07975 MAARRILLIEDSSTMRRMLGMMLKGEGYDVKTGVDGMDGLAKAR EEPRPELIITDFEMPELDGAGVCREVKKDKDLRTIPVIMLTTLGEVQNKIAGLEAGAD DYIQKPKSPDEVQEMFARIRAALRAADLTAEVAERNRQLEAAHKKLTFELDLARKVQF ALMPRPPKPRGVLQVAVRYTPANQLGGDVYDFYRLENDRLGILVADVSGHGVNSAMLS GMVKALAAPLSIAVLEPGELLAGLDVATEQYFPEGYFCTGFYLIADESTGLVRYAGVG HPPAIVIGPHGTRTLQSNPGMLGIGMVDGTAGDSDRLEPGESLIIYTDGLTDAMDPGD VLFGEDRLMTVLQSHHGADPTEILNRVDEALNQHTSPGRPADDINIIVLQRPAR OJF2_RS07980 MAIDPAGPHAIALDGPATIYEASALRDVLRDAAATGEDLLIDLR ETGKWDLAGVQLLVSCVRTGRRGGRSIRLSNVPKACAEVAERSGLGDWLRSVSD OJF2_RS07985 MSKTVVHADDSAAVRHWVSQQLGEMDLKVLSVPDGEAALRLLRD APCDLLLTDLEMPNLDGLALVAAVRGLPSRRFMPVLVLSSHQPDEFEPHRRQGVTGWM VKPIDPEHLRRWIRRVLAG OJF2_RS07990 MSDANSDMFREMFFEEARELLETLDSGLSGDGPPGDSPKRWDPV YRAAHSLKGAAAMVGLAGISQQALAMEKALGQLRTGAAAWGAEISQSLGGQRARLVEL IDDEEKRFRAP OJF2_RS07995 MAEDQFREMFYEEARELLIGLEEGLMDLERRREDRAHLDRTFRA AHSLKGAAAMVGLENIARFTHGIEAVLDRIRSGELAVDSDMITTLLEARDHLAAMVEG ESMGSPVPASGDLSDRLASLLRGSAAHTAPVAAKPTPPPAPAAVAKAPMATPAPPPAL EAEPEAAASPANPAAPPASPAPARKPRTPRPRKAAAEKKPRAKGAKARAMDAAGDKAV GYRILLSPGPDTLRRGVNPLGVLDELRDLGRTTISTDPELVPFLDEIDPERCHLTWTI DLDTDAPPERLEDVFLFVKEDCAVAISERLADGRLRALASAEGLPDPGALAESPPAPP RADAAPPAPPPAAAKAGSGNGAVPRVMPVPMPETPPVRPASPAASAAAAPGARPHARI RVDAIQLDDLVGLAGELVVLSDNLQAMREDPHAAGWLHALEALDRVSREIRDTTLELR MVPVDELFSRFHRVVRDLADRSGKEIDLKIVGQETRLDRTIVERLGDPMVHLIRNAVD HALEPPEERLEKGKSRVGKITLTAGHEGDRVAIRVEDDGRGLDRERIVRKGIARGLVA PGTPADDPRVINLIFEPGFSTRDDVSELSGRGVGLDVVNDSIRALRGSLTVESTPGKG TAFVFRLPLTLALIDGLLIEAAGGKFVVPLTQVEECVSLSGMGPALAKERPCVSVRGE LVPMVSLRNLFRTNGQLPRRQELLLTRHAGQRVGVAVDRLVGRVQAVIQSLGEGMQVL NRYSGATILGDGSVSLILDLSAVVSESLVADQGAYSTPSIGVRAEVFR OJF2_RS08000 MRISIGTKVTVALVIFGLIPALLIATFTYLSAEEFMSRQNSLIR LTAASISDHARSLILKGDASRKADKPGEAPPPIKWAPSADDQVDLKSFVTQTILNSKL ENASVYLVDPVGNVLLQQGKQGGFGTSQADQTLDLRYKGLAEEVGITTVSKLLPARTD PPAPSEVVGFAPIQLPASPARGYFTLVAVPKSTAYEIIYNNQFLMLVILVATAVLTII LGYIFGKWFVRPLIEIKDVTEALHQGRLDVRTNVHRTDELGDLAGLTNLVVDRLSEVI SQIRSMTSSVSTASSQLNSSAQQLSQGASEQAATIQQIASSLSNVDASVARNAQHARD TARTANEASGQAERGGEAVHETVAAMREITDRILIVEDIAYQTNLLALNAAIEAARAG AHGKGFAVVAGEVRKLAEKSQDAAQKIGDLAKRSVSVAENAGALLERTVPMIRATSDL ISEIAAASQQQMAAIREINIGVRQLEEVVQQNAAASHQLAATSTDLASQSSGLQHKVE FFRLDATEPGYSTPLTQPPPSRSLQRTAPRPSHRPAVRRLPSPGSMLTQPSDGTAAPL GNSSPIHSTGGGNSHQPPPQAATPPHDFPQKGGILVNLDDNDDDNFERFS OJF2_RS08005 MVDGATAILPDFEREKAESYVIFRLGGEGYALEVMRVQEVMDVE SMTEVPGGSKSLLGVINLRGHVVPVYDLRIPFGLASNPNPPKMPSVLIVEIEAGNDAR VTGLVVDRVSDVLEFSPDQMQPAPQLGLGKATPFVRGLIRHQDAFLLVLDVDRVFSAL AKLNGEGV OJF2_RS08010 MATSGMLPPSPNEPEPWSEKDFGPIVAFLRHQIGITLETHRMGL LQARLRSRLQTKGFQSFTQFHEQVLRIDPAGWGTQLLVDLSTVNHTSFFREPAHFNFL CQQVGAWLKEAPGTTVRIWSAGCSSGQEPYSIAIALAEALPPHGLAKVEIWASDLSLE MLKAAAGAIYTQRDVQGVSPSRLRRFFMLGRGPREGSFKVVPEVRDLVKYRHIDLRQP TWALPSDFHVIFCRNVSIYFSDEERLVLLERLSHHLRPGGWLIMGNGEILPSVPASLR KHSPSLYQREA OJF2_RS08015 MVVDDSAVMRQRLKAIIESDPTFRVVLASDPYEAVAILSKSVPG VIVLDVEMPRMDGLTFLRKLMRQHPMPVVLCTTQAERAVTALEMGAVEVIAKPDWTNA SRLNEWSASFVETIRNAARAGRLPVRDDRAASPGGEARHSADVILPRVPFRPSPSITD RVIAVGVSTGGVQAIQQLLTGFPPGCPGIVIVQHMPPDFTQAFATRLNNDPKIQVEVA EARHHEPIVVGRALVIPGSAHGLIRRAGTGYRVELADGPPVCRHRPSVDVLFRSAAQA AGPLAAGVIMTGMGDDGAGGLLEMREAGSLTIAQNEATSVVFGMPREAIRRGAAKYVV PLDAIASTVMSWHANPDAGTWF OJF2_RS08020 MLTTNQRPASALTRLHDRLSRETRAEVHPDRGHRGLYSTDASLY QVEPVGVVVPRTVDDVAAAVRIAAEEGVAIIPRGGATSLSGQTIGDGIVIDFSKYLNR IGIVDRDSMTVHVEPGVVLDRLNAHLKPMGLMFGPDVSTSDRATLGGMIGNNSAGARS LRYGKTVDHVRAVDVVLADGTRAKLGPVSPAELDVLCAREGLLGTAYRTVRDEVAGHR DAIVARFPHILRRVSGYNLDEFIPGLPVRAPGWADDPWEFNLAKLIVGSEGSLAVLTG ADLRVVPIPPFQGLVVLSFATIPAAIDRIREMVETGPAAVEMIDRTILDLAAESPLYS QYLDFAEGRPEAVLAAQYYADSEAELAAKADDLVRRFEGRPGVVGIRKSLKDSAKDGF WKVRKAGLALLMSMVGDAKPVAFVEDTAVSPEKLPAFYERFQAIVAKHGVRASCYGHA DVGCLHIRPILNMKTERGVEGLRGIAREVSDLVVEFAGSMSGEHGDGLARSLWNRKLF GEEVYGSLRRVKAAFDPENRLNPGKVIGETDPGENLRMGPEYAAREPASTELDFSDQG GFARAVEMCTGVGACRKPAGGTMCPSYMVTRDEMHSTRGRANALRLVMTGELPSSGAF ANDTLMEALDLCLQCKACKTECPSGVDMAKLKAEVLHQYYGNGPRPLSHLLMGRIFRL NPLGSAMAPIANATLRSPAFRWLLEKVAGIDRRRTLPAFASRNFRAWFDRHAVDPGAG RRGSVVLIDDCFTTYNNPEVGIAAVQVLEAAGYRVGLASLRCCGRPAASKGLLPLARE LARENVEKLLPYAREGTPILGLEPSCLTMFVDDYRDFRLGPDAKEVAARCDMVEAFVA DPGNAPDLEFNPLDGRILVHGHCQQKATLGTAGTSAALRRIPGAEVRELDSGCCGMAG SFGYERGHYEVSSALAERVLIPAAAADPSATLAAPGFSCRSQVHGLAGIEASHPIEVL ARQLRRGRSVGG OJF2_RS08025 MLDLRVSLGRLGLRNPILVASGTFGYVREMAGFVRLDRLGGVIP KTVTFRPRAGNPTPRTAETASGLLNAIGLDNDGIGHFIDHHLPYLRTLDTAVIANIAG EDLDQFVEMAAMLGREEGVAAVELNISCPNVSHGLDLGIDPRSVGRLVADVREACPLP LIAKLTPNVTDIVPIAAAAAEAGADAVSLINTVKGMAVDWRRRRPILANDIGGLSGPA IKPIALRMVWEVSRALPGFPIVGIGGITTAADALEFLVAGASAVQVGTATFFNPSAAQ DLLESMHTLLEKEGVEDIPSLVGSLRSNKPGS OJF2_RS08030 MSENSTPEAHASDPLKTVADAMEHAVQAAKDGAADARARVDEAL PKVNRFMSRLVYTTCYTLSYGVVFPSVLVARSIPRDNPLVHGLVDGAHAAIDMVEDMK KGKAESVAGEPTPAIAHS OJF2_RS08035 MTRSEALFFAAGVVVGATAGANYPLLKEKLGPLLSGLVAGAGAA FGESYSEMAKKVAEKVEAVQDAMAEMKQAGTSDSPSPAGAGTPESAPA OJF2_RS08040 MDIAITFPARGQIRLDSHHLFSEPGNDDCRRFLERVFHAPEITD VTIDASRKAGTSPFAELRFCPSTYALPEVVKRISTCLDPGRTAGHAPPTEGAAGRGTA IRSVTPVRDEKGKIRYFRYGSVVTNWEVLHEIPGRLRMRNPAIHRRSELCQAIERELM SVLGVDSYKTNPLTSTVLVQYDPVELTRDQIIEIIDGALHSTERPEQKDKADLHLPLC TLSLPIAAFAQFAAPAMLPAAALLFAYTSIPTFKEARQVLFEEKRLGVDVLDSIVVIG CLGTMSIFPGAVLCWCLSFGRVLVKRTQDNSKKLLLNAFGKQPRFVWLYRDGVEVQVS MDRLQAGDTIVVNTGEVVPVDGVISEGLAMIDQHALTGESTPAEKGVGDRVFASTLMV AGKVLVSVEKSGSETASAKISQILNDTAGYKLASQHKGERLADKAVIPTLAIGSVGMA TMGPAGAVAVLNSDFGTGIRMAAPLAMLSSLALSASKGILVKDGRALELMNEVDTVLF DKTGTLTRERPEVGRIHAEDGLTEEQVLLYAAAAERKFHHPIALAILHKAKELDLDLP ETDETQYKVGYGITVHIDGHVVRVGSKRFMELEGLPIGEGILEALEECHREGHTMVMV GVDDRVGGAIELHAAVRPEVREIVEGLRARGIKHIAIISGDHEAPTKKLAESLGMDRY FAQVLPADKADHVATLQAEGRKVCFVGDGINDSIALKKANVSISLRGASSIATDTAHI VFMEQGLSKLCDLRDIARDLDRNVKRSWTMILVPNIACIAGVFTLGFGIMMSVVTNNV AAIGALANGMMPLRKIAEIEAEKKHEQEMRRAYGLARKTL OJF2_RS08045 MAWRERLYERRLKGPIDAEKMDEMRSLFHRRRHEIPIPAELIES PGRPEMTIRTKWLSFIVQFQKDIMNVDAELTLAAKMMATRENRRLAVQFIDSIADDLN L OJF2_RS08050 MEQPQPNDGPGNGETHDFNLRPKSRTGPLHRDSARFHVFLVDTG WNAPVSKVLHEHVHLFHRYHPQDPLYILTREQSIALLKHAPDHIGLDPMVIMYDMYRP AHMTKKLANYHGFRLNLGVFKNPQQAASKLQEFLKFVAKNREAECLSDEVARELHREG MSNVVKLLREASEASLEIL OJF2_RS08055 MNEEIPILPLVVGALVLSYFGFEVNRQRHKLRTIFNVFDREEAE LADLLEKWVAAGDLKPYVVPDPI OJF2_RS08060 MVTDARIADTKTPARVGHGQTGAPSPARETIRPVPGEPPPVSFA TANAAVALAVVADLVFPPAWPAAAATLVGMNLRAFGSATRQLREGRLELPALYSSIAG LTLVTGQFIPWASMSWAMRFWKRGYRAEFVHARDRLLADLAQRPPLARVRTADGAVIE KPAEDLRPGETILLGGGDIVPMDGRVVSGGGLLDDRAIRGGRGYRPGRAEDDIPAGSR IVRGSFVVEMRPGTDTRAAALARIAIGALAHAPGERTPTHKGESFASRAVVPSLAAAG VGLMVGGAPMALATLRADYASGPGLSYPLELARALGRCSRRGIVVRDPDALDLFATAD VLLIEDRPPLYAPEVEVAAVRIFPGHDEAGVLRYAASALLDLDDDRAPALRAACRERK ISLLRGVSIEHGTDLTLSHSGRLIKVGNLGREQAARAGASSLHHDRDTLMVGIDGQIA GLVEFRHSTNPRSRADLAALRSSSSQPLAIGLISGSAEREAKSRAAALGADFHRGETS PADLARLIGRCRARGLKTAFVGECLASPEAARAADLAISLDGEGLEQAEKNPARIIML RPDLAGLAHLAEAAREYRRHIRAAETTAVIPNLACAAGALLLGFSSLTNVAITNLGTF ATYARTTRNLRGAQDERESDRRSPARGSR OJF2_RS08065 MSRVKDLPREVGVMLVTVGALGLVLPGVVGAPAAIAGGLVLWPG TFGTVEGWFERRFPKAHRKGLNQIHRYLDDLERRYPDLARGPDPEPDR OJF2_RS08070 MNPASPPAEPERDVPATAYEGPVVISRLEFAGTAIALVRPGEPD RMLDDPVVRELNRREDYMPYWAYLWPGACLLAQAVATEPAEAFDRLCGPAGARREVLE IGCGVGLAGLVAVARGLRVLFTDYDPAPLDFVRRSARASGFDEADYRTGLLDWRTPPE LRSPLILGSDLLYEARLVPLVAGLLAGLLEEGGEAWISDPGRGSAAPFASEVGLRGME CRAEPIGARSEGGEAVEGVLYRVRRPISGPAPDQAPGRGRGTGAPGRPGSDGSG OJF2_RS08075 MTDDQLLGLIDPVLRDGGSHADEGEDYRRPAVEVLRYYRRPLKW NPVPILGRALSVVAVARATGDDAPPGQGHRQLLERTAMVVGARYSPWQAPTIGLTVVG LTDEPIGAGTEADLDQALGSSMRRFRVVPLGLILVNLDRETLSFALRAGPDQLFPEPV RVADVLGDRLRRFVDQFPS OJF2_RS08080 MSPHTPADSLAPRPGSASRVSLSTLVTPDQTNPYGTLHGGVLLR LADECGAIAAIRHVGHGQITTAAIDSFTFIGPVFVGERVELVAEVTYAGRTSMEAFIE IHAEPLHKAEPRKVGVGYAVYVALCELDRKPVRVPPLLCETESDRRRDEEARARQAIR LARRAEALAHREELH OJF2_RS08085 MLPQLGPMEMALIMGIAVLLFGKRLPEVGKQIGRGVLEFKKGLN GLTEELHEGSSGAGISGYSSSTSQSLGYASNYRYDEKPRGDSAYSDASVPKFEVPGVA SSSSTSAGDSPAESVGM OJF2_RS08090 MMPLAFFQNLGTTELIIVGFVSLLIFGNRLPSVMRSLGKSVTEF KKGVAGIEDEIDQAGPGEKKPIPPTS OJF2_RS08095 MIGLRELLVIGMMVLVLYGRSGVLKGDRAQTILPWLSPVRRPGP ARRPGAGPAAPARPRTRRQIALAFLTRGNRLYWFFTILAATAVAAWIITRTLITSGSA PRLSP OJF2_RS08100 MTTPTETMTPAGRERPPGPASPPAGAVDLSVLIPVYNEAENVAP LHQELDAALRPLPLSYELIFVDDGSIDGTAAQLEAIQARDPRHVRVAFLWRNCGQTAA ISAALDMARGEVLVPMDGDRQNDPADIPKLLATLESGYDVVSGWRKDRQDALLTRKVP SRIANSLVARLSGVRLHDFGCTLKAYRRRVLAGVRLYGEMHRFIPIFAAWQGARITEQ VVNHRPRRAGKTKYGLGRTFNVVLDLILIRFLQRYAQRPIHFFGRLGMWSFLAGFACF LGMLYFKYLCPWPGVGWFRGMEPKTFIETPLPSLTVMFFLAGVMSILLGIQSELIMRT YFESQDKPTYVLREVRQGNPGSPSAGSTT OJF2_RS08105 MKLGFVSAILPEYTLDQVLGFAREAGFSSVELMCWPVGKAERRY AGVTHLDAADLSAAALDAVRGKLADSGVSISGLGYYPNPLSPDRGEAEAAVAQIRKVI DAASALGLTRVNSFVGRDPSLSVDENWPRFLETWRPLISHAESRGVKVGIENCPMLFG RDEWPGGKNLATSPAIWRRMFEDIPSDSFGLNFDPSHFVLQGMDYLAAIREFRDKLFH VHAKDLRIDRERLDEHGLFAFPKLWHTPKIPGQGDVDWGAFFGTLGDVGYQGDVAIEV EDRAFEGSLQARLDSLIISRRYLLQYIKG OJF2_RS08110 MRQIGTLPKGLDSKVLEDYLLSLGIKTRIDPSPAGSVVWVIDED HVDRARKEMEEYVGRPADPRFVEATNAATEHRKREAALDRQYRKNYREVTDSWAGLQV RRRPITVALCLASIAVFAVIQFAPPAPGGGQSELSWSVKNALLFSPIRADKDGVSDSG LDAIRRGEVWRLITPIFLHFGPLHLVFNCMAIMFEGTLIETRRGTLRLAILVVTSAVI SNLAEHVYSAYMYPDRLHIFGGLSGVSFALFGYLWVKGRFEPEQGMILHPNTITTGVM WLVLCMTGALGPIANAAHVAGLVVGIFFGLMRY OJF2_RS08115 MPDSPALYRLVIFDAIDDPLALRDAISGITGLHPTDAVQWLARA PGVWPHPLDEATVRRILDALYEARVAAEARRADQFPELGPARTIHRAACLDDGLRTEG LRGEPTHWIPWDRVELICAGRIAADDEYRDPAAPRWPSTLVSGIRALAARKPRPLPRA SRASRIPRDPVGEVLVVRRDPRVCIRVVENQMNYAYLGDRLRETASENFPLLLADLCA RARDAYLTPSTRAWIEGRDPSEYEFPTSQALLEYATHRLLWSWYRRDGRAAGADAADG TA OJF2_RS08120 MANRDRYLFTSESVSMGHPDKMADQISDGILDAILAQDPYARVA CESLLTTGLVCLAGEITTKAMVDYPSIVRQVVRDIGYTSSDMGFDGTTCAVMVALGKQ SPDIAQGVDENSDRGKDIGAGDQGMMFGFACNETPELMPLPIALAHRIINKITEVRQD GTLKWLRPDAKSQVTVEYEGDTPIRVDTVVVSTQHAPEVSQAEIVEAIRSIVIDPIIP KELIQGGIKYHINPTGKFVIGGPHGDSGVTGRKIIVDTYGGMGRHGGGAFSGKDPTKV DRSAAYMARYVAKNVVASGLAERCEIQLAYAIGVSEPVSVHVDTFGTGQLPDSTISEV VRKGFPLTPSGIIRHLDLRRPIYRKTASGGHFGRSEPEFTWEKTDKAQVLRDLASQFS NQAAMV OJF2_RS08125 MSSLDDLKDRCVASFRGRFGTPPDALGVAPARVELLGNHTDYNG GLVMAAAIDRFTIAAGRPISSGSSRVHAVNYSDDIEFLLKDIRRGEDGDWHNYVKGVI WAIQDAEGPQLASGFEMAVLGDVPLGAGLSSSASLEAAVGFFLLQAGLVRGRDGRPID PRLDDAFRMAFAKILRRSENAFVGVASGLLDQFSSLFGKADHAVYLDCRTLDHARVPL GDPGPAIVVCDSKTSRRLADGMYNRRRAECETVVTYFQAKGAESVTSLRDITLGQLEE HWDRLDPSGRRRARHILTENDRVRRGVEALRAGELEEFGRLMSASHASSRDDFENSSP ALNALVEAAEAAPGFLGGKLSGAGWAGCTVNLVRADLAGPFAESVRSAYSQATGVTPD IHVCHAAEGARGMSVSG OJF2_RS08130 MRFFTIGYGGRPPSEFLDLLRRHGVRTVADVRLRPDRASMGTYT RSRDAQKGIAGLLGSAGIAYEPIVELGNVFLDWADWRGPYRQLLEGAGDLLCARLDSL EAPFCLLCAEKRHADCHRTLIAEHLVARRGWSVEHIE OJF2_RS08135 MTPGHPDPPSPSPTGEASAASPSPPAGRHSLRDQDTLWSGFLQL ASSVVESMEKAVVAIEEGNFDLVDDLALDEEDTDRREVLIEQECLRIMALYEPVATDL RRMATVLKVNRDWERIADLALRVARRARKFARITAGQAFPEPLVRLARDVLSQVRGCR DALTAIDANAAREIIVGDKAIDAQYRSIRKQLKFQIAEEPQKLDPILILMNTARNLER VADHASGIAMTIVYLKEGTIIRHLRDDRAGGA OJF2_RS08140 MTRQAMAAESSRHIGVLFAAGAVGRDSDRELLERFLDRDHPADA HSAFEALARRHGPMVERVCRSILGDAADAEDACQATFLVLARRAASIRDRDALASWLF GVAVRVSRHLKVDAARRRAVEREAAESRSTVTGATQAGPVDGLHGVFDEVGRLPERYR APIVLCYVEGKTHEQAARDLACPLRTLQTRLLRGKEKLRSRLVRRGLAPAAALAGLVA LAGRQADAASDMLLEKLAERTARAVIKGTKADGATLVSSAAEEAARGVIGAMTRSALR RVIGLTGCVAACLGMAAIGLVAAGEIRKEPVRAVTGRVTDEAGKPIAGAGIWMPWTMV QTPAETAHATTDAEGQFTLSVPASWASRPIHEKSWIVWAHAPGHAIGCVSVYEILDGR PGPVELCLGGATDTSFRVQGPDGLPLAGVVVEPHHFKTPMAYNYLPHGLLAELRGVTD AAGVASLPGVPREGLFRVQAASKEHGIQRFRLVDRASEPARRTIVFRRAGRVEGRIVA DRPEHARGLTVYLSTEGEANLNDPTGMPPEGAAEVVTGEDGRFSVPAIASGNLTIGCR VNPSWPVRPWWQKPIEVIPGATARADIPLVAAVKVRGSIRLNGSGKPIPGASVYVGNS GPAGHGETVTSDGEGRFDAYVLPGMLTMQIIAMKGNYAAVREDRRDPISVTSGTAVFD LPPLEAVPAVTIKGKLVRATGMPVAGATVAAIQDSLHHGHGKTNDHGEFTLGGVPEGP IDRYMALLEGDEPKWESLDVASRDPLVLRLDAHQADETASVPISGTVVDTRGTPVSDA AVLVIANLLGKPGPVAAAGTMSQKRQSLATDSQGRFHHLLPLTAGSTVRAFAVPQGYR IAGTREITSDGKSAADLGPLRVDRLRSVAGLVTDTAGKPIAGARVMNWGNPGPLTVVA TGEDGRFELGGLPPAASRLLVEANGFRAHGHDVGPDASTVTMRLRREDQPPEGTIRPR GTGLSREETVALARRVIEPLRESILDGHDPDLLGRGLEVLTKIDPSDAMRRCLANESP WNHNAVRIAAVHSIMASQPEDAAAILPTITNNFWRGYTRFEVLDALPDDRGALRKSLL NEALLDARREGDPDTRASLLIKAAIRLLDLGEKDQAGKIVDEAVALVPIGGDPAPSPR RLQTILPMLARIDLKAALGRIPAAGDERELNDLRGAVARGIAASRPEEAERFLGLQTW NNSANDPVKVCVRMARADLARARRIATGIRLDVLRGLAFGRMADDLFSTDPAAARELL EEAFRTFPRVMERGFGGGGVWGPNAAANLAAALLPVVERVQPGRIAEYVERVRALRWY PRTVTDLTSTIPDTSDLDAMRSAAALAGQMAPYDRELALSIARPILTHLREPRTEIER KYLDFYAILPPLALADPRGVADLVEAIPEGGDTSHGQTRDVARRIVAEALATPDSGRE ALIRRCCMDLEVAERDE OJF2_RS08145 MRVILEVLQGPRKGRSFTFDRHDTFIVGRSRFVHCPMSDDMALS RDHFMIEINPPICELRDLGSTNGTFVNNERVSRARLASGDVIAAGQSLFRVRVELGSA TSESGGAVAGRPGEEGGIAAIRCSGCGVAAPMDVTVTGPVSGEATEVVEWWCPLCRAE SGALPQPVPHYTTLREIGRGAMGIVYQARHNQTGQMAALKLIMPETATTRTAIDRFYR EMSVISRLRHPNIVEWYEQGMTRGQFWFAMELVAGTNLEALVNEQGGPYPVNQACRLI CQVLKGLEQAHRMGFVHRDIKPENILITRNEDGLIAKISDFGLAKSFRGVGLSGLTFS GEMRGTIPFMPPEQMLDFKTVTPLADLYSTAATLYYMLTQNYIYDEPAGGGDLIRMLL EERPVPILQRRRDIPRELAAVIEKCLAREPKERFPDAASMRRAFKPFC OJF2_RS08150 MMSGDRPWISGPPRGEASGGPIVVLESTVIAQGLPWPENLEMAA AMEGAVLAAGARPATVGVLDGRARIGLDGVDLERLARPAGDDPRAEGKDREGLDGRRI RKANRRDLSAVLAAGGSAATTVSATLWLARRYGLDPCVMATGGLGGVHRGAGISFDVS TDLDELARADGCLVVCSGFKSILDIPATLEAMETRGIAIVGYRTDELPAFTTASSGLP LEHRVESPEQAARIVWMHRRMCVPGAVVLVQPVPEEDAIDREEMEQVLTEALAEATRA GITGKAITPFLLGRISQGTAGKSLRANMSLLVSNARLAGEIAVALSAGS OJF2_RS39100 MAQVLALPLLSQTIGGTISPSTTNSGASQPQDVSGLGTQGAATT ATPAATANASISPAGDSPLLRGAMLALAASTVPVATTPGAPPASLAAGMAAPTAPTSS VATALPADVGLAIPTPRLPDSVVTDASVIAGTLPGITAGPLPAAVPEPGPLALPALLA MLAGTKPGRRWMRRWG OJF2_RS08160 MAAEPAGDGTVAPGAAAPWPVEGDAVTAGAATGWPDDEGNVEAD EPPPVAAGLEADSPGVPPWAWGEAAVAMDAAADVPP OJF2_RS08165 MATPPFVHLHCHSHYSLLDGQSKLPDLTKRVHDLGMSAIALTDH GNLFGAVEFLREAKNAHIKPIVGIEAYVAPGRRTDRSTGAAGSGEERFAYHLTLLAKN GEGFRNLLRLSSRSYMEGFYYKPRIDKELLAQHSEGLICLSGCVGSEFSQKLLGDRVE EAEKLAAWYAKTFGEGNFYVEVQNNGLQIQRDCMERELDVARRLGLPVVATSDAHYLT QEDYLAHDVLLCINTGKTVDQPLDKPRFVADDGKLSDQFHVRGPEEMHKALPGMEEAL AMSARIADMVEENYTSVGLGKRQFPSFKPPDEKTPEDFLRELCEAGLLDRYGDPPAAE ARDRMEHELGIINRMGFASYFLIVWDFVRYAREKGIRVSARGSACGALVSYVLRLSNV CPIKYDLLFERFLDPNRSEAPDIDIDLDQGRRYEVIEYVRKKYGDANVAQIGTFAAMK AKAAIKDVGRVLNIPLARVEQINKLIPTRLGITLEQALKEEPTLRQMAEQDPEIDRLI KFARRLEDSVRNTSTHAAGVVIADKPLESLVPLQVIRRGDKEEILCTQWEMGDVEKAG LLKMDFLGLRNLTTLEAAVNLITSRHPEAPRDLDVLPLTDRKTFELLQRGETKGVFQL ESAGIRDLVIKMRPDRFADIIAINALYRPGPLNGGMVDEYVDVKNGRKQATYIHPVLK EVLEETYGVMVYQEQVMRILNRLGGIELSRAYATIKAISKKKTEVIAEGRGMFVKGAV ERGMDKDQAVKIFELIEFFGGYGFNKSHSTAYAMVTYQTAYLKAHYPTEYMAAALSSE MDGMERDKFFLEHIDDCKRMGIEVLPPNLNEGELGFSVAREKQIRFGLGAIKGAGTKA IEAILREREKGGPFRSLDDFFERMSSKEVTAGCAETLIRAGAFDFLGARRSQLLAILP RAIQGGQSKQEDRRRGQRGLFDAFDGDPGANGHGAGNGKAAGPSPSNLPDVPELNDVE LLAGEKKALGFYMSSHPLSQHAGLLQALASHRCADLANVPDKAEVTLGGMITNVQARN VQKSRSGLTRMAKLTFEDLTGSTPAMLWPEEFAKLGDLVRDDLIGFVKGTLDRRREPA ELIISKIMPIETAAADLARGVIVRLNRPALHEEDLERLLRLIRVRPGHLDLYLELTGI EHMRRAIYRAGPSLRVRYDNQLKSDLENVVGIGNVRLLGQRGATARVDSPPQPSASAA RPAAVLVPEIDDAAQDDDMD OJF2_RS08170 MYPAKGKVLLKDDKPLSAGRVVFTLQGRGLDFSAPISADGTFTM TSQFGDGIPEGSYLVRVEPEAPTTLGPAGKKPAAKGAWTQPYPESYSDETTSGLTAKV NPGENTLEPFKLVPQAGAARAKSGKAGGRGED OJF2_RS08175 MTLPSLSTSRRRAFTLIELLVVIAIIAVLIALLLPAVQSAREAA RRAQCTNNLKQIGLALHNYESANGCFPPGGESTNFNLSTPGTQFVDGGWSTLARLLPF LEGGTSFNALNFYVDYNEATGMNFTGASTVVNTYICPSSTRSTDGNDGPDPNDTITQT YGRGYGYNDYGPTVYTDIDPTGTAQAAFAGTATPYRNKAARADGLLKQGKTRISEITD GTSNTIAIGEDAGRDARFISPYTEAYYDGTSTTRPILGQGPAGPNAPRRYWRWAEPDG GYGVSGGPNNKWKPAYEATTWTQNPASALSAGKNGGNNDELYSFHPGGVNALFGDGSV RFIKDSVNVVALRGLVTLKGGEVISADAF OJF2_RS08180 MRRPIHRREFLGTTAAASLSTLAIGAAAARPPGPKRPIVVASAN GLKAIEKAMEMVKQGRDPLDAAIEAVAIVEADPTDHSVGLGGLPNEDGVVELDAAVMH GPTHGGGSVASLRNILHPAAVARLVMKRTRHVLLVGEGALRFARAHGFPEINLLTEEA RQIWLHWKETRDPDDDWVPPPPEAVAAFVPEYIRKRVTGTIHCSVLDTHGDLGCTTTT SGLSWKIPGRVGDSPILGAGLYLDNEVGSAGSTGVGEANLLNLSSHLVVEGMRAGKGP KDAVMDACKRIATTSVRDPKRRRPDGRTTFDVKFYAVSKDGTFFGGSIYPGGKMAVHD GDSAKLVDCEPLYDTK OJF2_RS08185 MLLRSWMAAVVLVAGATPFLQGPARAQKPEGSKAAESSRAAQPS LDGTCQIDLKVKIAGLAEGGCDIEVKPGHRSCRFKAQSQHVEKTGEMSLRFRGVEVLG ADRTCSFAITVREPGQEARTIYRAFRINGRADQPLPASQAFTCYLNSPSKLARLSNQG TTVR OJF2_RS08190 MNGEERAVPAHGKHDFLNGIVHRFLHTNFSIILILVSLLVGLAA LLVTPREEDPQIVVPLADVYVNAPGLSAGQVEQLVATPLEKYLYQIDGVEYVYSMSRE SQALITVRFYVGQDRERSLVRLFKKLDENRDVVPPGVTGWVVKPVEIDDVPVVTLTLT DEEGDGDSHGLRRVGEELVQRFSALPNVSRAEVIGGEPRTVRVDLDPERLQGYNLSPL EVQKALAGANVVRPAGEFTRGDAVYRVEAGLVVDRPEQLPQVVVGVSEGRPVFLKDVA TVRDGPAEAASYVRHGWGPSRHAEGEHGSVGTVVGEDAEVDAGRFWDGTSGAGPAPSR SEARPAVTIAISKQKGTNAVAVSDAVLKTAESLRREVVPSDVAIVVTRNSGLIADDKV NELIEALWVAILIVVALLTLSLGWREAIIVAVAVPVVFGLTLGVNLLFGYTINRVTLF ALILSLGLLVDDPIVDVENIARHFSMRGKATRDIVLEAVAEIRPPLISATLAVIVSFL PMFFITGMMGPYMAPMALNVPVAMLMSMVVAFTITPWLAYRVLRRKFEGDGGGLHGHE PDDPDAIKETRLYKVFYPLMRPLLRSRFTAALFLLFILLLTAAAMGLAAYRSVPLKML PFDNKNELLLTLDMDEGTTLERTDAVVREMESVVGQVPEVMDFTCYVGVAGPIDFNGL VRHYYLRRMPHQAEVRLSLVGKKHRQAQSHAVALRLHEPLARVAERHHAVVKVVELPP GPPVLSSIVAEVYGRPDHSYGDQAAAAGVVADRLRREPGVIEVDDMVEAAATRLVFEA DQEKAALGGVSVEEIARTLQVALGGEVVGTVRLAGERNPLGIELRLPRPIRSSPHDLG RIRVKGRSGRLVPLAEIGAWRTTRVDQTTYHKNLERVMYVYAETAGRTPAECVLDVSF DRVRNARDRAGSVSAASPRPLDDRTFFSIGGGIPWSVPEGIRVEFAGEGEWKITLDVF RDLGLAFAAAMVMIYVILVAQTNSFLIPVVVMLAIPLTVLGVMPGFWLLNRVAGQTVG GFADPVFFTATAMIGMIALAGIVTRDSIILVDFIQQSVAKGRSLFDAIMESRVIRLRP ILLTAGAAMLSSIPITLDPIFSGLAWSLIFGLIASTVFTLFVIPVTYWLLYSGSDPAS QAGAEAVP OJF2_RS08195 MNALIEKAPESPGRSQRRPGWWKAIVSIVLLALFSAGIALLMMQ LAGRFEPKVESRRAGNVEAGSPPSATAEVRLIRRPRAESAIGTIRAVYEVNVASKLLA RVGEMRVKAGQEVKADELLVVLDDADLKAKHRQAVAAEAAAQAKQEQARVDCDRAERL RKQQSISQQELETSATAFRTASAEWERARQAVKEAEIILGYATVRAPMGGRIVDKRVN EGDTVTPGQVLLSMYDPGRMQMVATVRDALAMRLQVGQELPARLDALGLECHARVTEI VPESQADTRSFQVKVSGPCPPNVYSGMFGRIFIPLEDEDVLVVPAEAVRRIGQLDEVL VVDGAVVRRRAIQLGRTLKEGREVLSGLRAGERVVLTGAAPIAEDRS OJF2_RS08205 MPESRRPESVPSSRVFAVGVLLAMAAFGAWVSRACLAVRAAEAE VVKLGGEVRPLGERACLGAVRFAGRPITDADLATLRPCFLATGGPASLDLSGTRVTDA GLASLEGLEVMAVLILDGTDVRGPGLAHLSGMATREDIAAELSLARTRVDDDGLSYLR PMKSLSGLDLAGTRVTGKGLRHLRGLPLLSVLRLDDCPVDDEGLSGPGGWPDVADLSL AGTRVTDAGLPRLRPPHLRCLSLDRTSVTDAGLVILAGWDGLTHLAVAATPITDAGLA RLRRHPTLLSLRLGGASVTDASIRRLDGWPSLESLALDGTNVTDAGLLGLAGVPRLGR LVLRDGRTSEAGAAALTALRPNVRIDR OJF2_RS08210 MLRDSFEDLRAAVWGADLLVTHTMTFAGPIVAACEGIPWASAVL APASLMSRFDPPVLSQAPWMSRLRPLGPRFHGPVLRHGMALAGRWVPEVDQLRADLGL PPGDNPLGDGQHAPGCVLALFSGVLGVPQADWPPQAVQTGFPFLDAPQPETDPDLERF LDAGDPPVVFTLGSSAVHDAGRFYAESLDAARRVGRRAVLIVGPDPRNRLRGRLPSWA FEAGYADHGSLFPRAWAVVHHGGVGTTAQGLRAGKPMLVVPYTFDQFDNADRVVRLGL AASISSRRYNASRSARGLGRLAEPGCQERAARIGRAVRAEDGVETACRRLDALIASRG AGAPARSANPRWRTWARPGGRD OJF2_RS08215 MSESEASPPSFSLSLAARVDRACDLFEAAWREGTRPRIEDYLEA VPEPDRSTFLGQLLASELEARLIGGERPAPADYLDRFPGHSAAISAAFDAVGVPDTLA DPTMRADPGPGKSFHRVERAGPLRSTAGVPGYEILGELGRGGMGVVYKARDLRLNRVV ALKTLPAADASPEAISRLLAEAEAIARLEHPQIVRVHGVGDHEGHPYFEMEYVDGGSL ADRLDGRPRPPRDSAALVKALARAVHEAHRLGVVHRDIKPANVLLSADGTPKLTDFGL AKLLDDSGARAPITRSGALLGTPGYMAPEQAEGRASRVGPGADVHALGALLYELMTGR RPFPGPSLIETLEQVRTAAPAPPRSLVHGLPRDLETICLKCLEKDPLLRYGDARTLAE DLDRFLRGEPIVARPAGALERVARVVARGRGDREFVAWGPLMLLMACPLVFLAHFTVF VLARLGSPLLHASLNLPVVVVFFLLAFGAAIHGRRLPAAPGPLAQLVMSTLIGQVAGT VVLCDVHRRLTEAPQPNGYLAFYPICTASMGMMFFALGAPLWGRLYLAGLVCFAAAPM MTIDLTWAPLAFSLVIPTILLAVGLHLRRLGREDVAASEIRDGEPRRDGQASPGRR OJF2_RS08220 MDHDAGGSVTLWIGDLKGGDPRAAQKLWERYFATLVGLARARLR DARRAAADEEDVVLSAFDSFFAQASSGRFPRLDDREDLWKVLVTITRRKVADQLEREG AQKRGGGRLADEAALAGPGRDGMGLDGFAGAEPSPEFAAMVADECRRRLEGLADDTLR SIALLRMEGYTNEEVAAKLGIGLRSVVRKLDLIRKSWERDQDRS OJF2_RS08225 MRHLLGMRSNPGRPRRGRSRHAAFLLESLERRDLKTTGCWFDAN THVLHVEGDDAADTAKVEAPNWQMGAPGTPMDVYVYQTGGGFQTTHHLVFYKQADSSE PISYIHYEGHGGNDHFVNHSPISSYVRGGDGDDVLDGGFGHDVIYGDAGKDTIRGDGA YTSPPAGQTAPLGGRDIIYGDGGDGQSGDADVIDGGFGVDSIKGDGGNDWILGGADDD DVEGGAGNDIINGGAGADWIRGGAGDDTLVGAAGNDTLLGDAGKDNLSGGVGMDTLYG NEDDDSLDGGADNDLLVGGGGNDILLGGSGADNLYGLDGNDLLDGGADNDRLYGGSGD DRLFGGLGFDTLHGDAGNDQLHGGAMSGPVADGAIDILYGDDGIDVFWFETSIERKLS DFGNGYDLSLS OJF2_RS08230 MIKFRRPYVAGPRARPRGQTPQLEVLERRDLKTAGVLYDPSTLH LTIEGNDGADAAIVEDAGWTSCLPPGGRDIRVSQTLDGVTTVKVFSWQSSPVVAIVYN GHDGNDAFVNLSGIPSTVHGGNGDDALDGGYGRDIIYGDAGKDTIRGDGAFAYRSDGS HPGPVGLPVGGDDVLYGDGGGQVIGGPAGADVIHGGFGNDLIMGEGGGDRLYDDGGAD RLDGGSGSNVLEGGDGNDTLRGGTGNDLLLGVAGNDDLSGGDGVDDLQGGDGNDRLDG GVGNDWLFGDSGDDKLLGGDGCDRLAGGSGGDRLEGGAGDDWMDGGSGADFLQDLLGD NEAHGGLGNDTIRCGDGADRIFGDAGDDQLFGGGGDDRVYGGSGDDRLEGDGGDDLLS GDSGNDLLHGGLGADKLYGESGTDVLVGGTASKPFDKAIDELYGDAGVDFFWFEGPAE NGRSDFDSSADVAV OJF2_RS08245 MRILMELMRIIINENSDQQILFLKESEGDRMFPIVIGVYEAQSI ERRVKNQASQRPLTHDLLASVIEHLGGELQDVFINELREHTYFAKLRIRREGEIIEVD SRPSDAIALAVTVDVPIYVAPDIIDEVGQ OJF2_RS08250 MSQTEIPKQYDPKAAQDRWYAYWVERGSFHADPASDRPPYCIVI PPPNVTGALHLGHALNNTIQDILIRWRRMQGHECLWMPGTDHAGIATQAIVEKRLFEE EKKTRHDLGRQALVDRIWAWKDEYERRILGQLRLMGCSCDWDRTRFTLDEVCSRAVRR TFARLFRAGKIYRGKRLVNWDTQLRTAVADDEIYYEEVQGHIWTVRYPVSGTDEALHV ATTRPETMLGDTAVAVHPDDPRYKHLIGKLVDLPLTGRQIPIIADGVLVDMAFGTGCV KVTPGHDPNDYLCGQRNSLPTIDLMEPDGTFAPVAGAYAGLNARAVRKRLLADLEAGG FLERVDPHTLRQGHSDRSKTPIEPIRSDQWFIRMGEDQGESPGFAQQAMDAVTSGRVK IHPERYARSYLDWLGEKRDWCISRQLWWGHRIPIWSCATCSEEDLERAFAGRDDVCWR PAEAGGWLISSEADLPAEALGEGHTLVQDPDVLDTWFSSALWPHSTLGWPDETPELRK FYPTSVLSTARDIITLWVARMVIFGQFNTGDVPFRDVYIHPVIQDGKGRRMSKSAGNG VDPVDIVEIYGADALRYTLALGATETQDLRIPVEELKLPDGRRINTSERFEQGRNFAN KFWNVARLAMMNLEGFEPGPVHVEELPTEDRWILAGLDATIAETTADLEAFRFSEATR RLREFTWSEFCDWYVEFAKSRLRDPETRPVAQRVLAHLVDVLCRLLHPIMPFVTEQIW QSMAGLAPARGVPEQRPAEESVCTAAWPRPLGWTDAEALATVAQWQEKIQAIRYLKAE RNVPKDAKIAPIITAEGPVRERLIQGQAFLRGMTNAESISFDPPAVRPKEAAVAVLAD AEVILPLEGLIDKEAEKAKLKKNLADLEKQMGGLRAKLGNEAFVSRAPADVVEAQRAK LAELEAQQAAVKALIAGAG OJF2_RS08255 MTRIAIYDTTLRDGSQGEGVNFSLQDKLLITARLDELGVDYVEG GYPLSNPKDTSFFRAVGDLPLKHARVAAFGMTRRRDIAAEDDQGMKALVAARTPVVTI VGKTWDMHVLEVLGVSLAENLRMIGDSIAFCRSHVPEVIYDAEHFFDGFRRNPDYALE TIRAAADAGAAWIVLCDTNGGSLPEDVADAVDRVSREIPVPLGIHTHNDGELAVANAL SAVRRGARQVQGTINGLGERCGNADLCSVVGNLALKYPGYEVLRPGQLVHLTEVSRFV YETANMNFRPGQPFVGTSAFAHKGGMHVHGVRKNASSYEHIEPETVGNERRVLVSELS GKSNIAEKLAEYGLEHDTSLLARVLDSVQDLENQGYQFEAAEASFVLLVDRLAGRRAD WFELLRYNVSVSGKPGRDPLTEASIKLAVGDQVAHTVSEGDGPVNALDGALRKALEPH YPRLGEMSLVDYKVRVINARAGTAARVRVVIESRDGDDVWGTVGVSENVIKASWLALR DAFEYKLAKDSRPCRGGVTEVAADVAS OJF2_RS08260 MTASLKDLIRDIRREAERADFPVDREVYERAGKEPAEPILFAGT LEAPACIFGRDLGKDEVKYGQPLVGAGGKLVREGILRAFGTPGDPVPRTREDLEAALK FAILTNTVPYKPPGNKAYADSVKERFRPFVARLLVEHWGGRHVITLGTEAFQWFARYG REDDFAGIGKSDQRFKAAFPCRLEVAGQSGTGPVSKELIVMPLPHPSPLNRKWIPRFP ALLDERLREVRRAHAG OJF2_RS08265 MVTDRELEIMKILWARGKASVREVQDDLNRDAGPVAYSTVQTLL NIMEEKKGLVRHVVEGRTFIYHPRKTSERTIRELTTRFVDRVFDGALDRVMVALFDSK PPTVEELDRLRAMIDEAERATHENRDEVRAEAVEMA OJF2_RS08270 MWFELDRFSRTLTDATLATLALLSAMVLAMLFCEQPVRRMVIAR WSVILAALMLPVVALNPWRPGDVLAWPPRERASVESDWEPAPGVDAPARGAGGEVSIW RTLATEEFTRRILRELSLLYLIGVGGSLAWNVLGIWGATRLAAAADEPEAATKALFAD VSRTLGVGRDEVLLAVSPRVKRPVAAGLRKVHILIPPAFDRPDFDRESLRTILLHELT HVREGDPQFQALAGLSQSLWFFLPHIWWILAQIRIDREFRADQRVAEALGSASRYATL LVVLASYAGRGKVPEEPDRTRLLAQSARPGWWWAGGLRNPLLQRVVMLLHCPFPIEAR PPLWWTRVVPALACALAAFASSWTIFTPRAADAGWISASPSASSLAESRFHVDRFVAV PKGYVRIGRSAPHVLPVLLPDRFILRVRIEATTESLSRTRLAGLPLRDWNLAMVPRQP ELDTPSLPAMHDVVIERDGMFLRLWVDEARIRVDLPRDHVSEWLTIEPPPDDTVVLHE LDVSW OJF2_RS08275 MSGVPWQNRWDPLRELQREVGRLFDGLDGLPALRHLRPYPPINV HDEGEGYVLTAQLPGVCADEVELTITGETLTMRGERKRPEGVKDDSYRRQERLVGRWS RTITLPDRVDSTQVTASFSNGILIVRIPKAEGAKPRHITVATGG OJF2_RS08280 MNVTNPSIRNPSIRVVVGPGAPEEGSPGRQQAESPQPSRVSTPP IDIHEGPDGLILEADLPGATDKDLFVQLEDNVLSLRAHVQSPVPQSARPIHEEFSVGD FHRSFILSDEVDRDRITAELKNGVLRLILPRADRARSRRIEIRS OJF2_RS08285 MSRSNPWRREPFIPIHFLQGELARLLDQYLQPEGRPGTQAAPTD LEPTGWSPLLDVYETPEETIVVAEIPGVDPTGVELSITGNLLVLRGTKEVGELPEGQL QVRERRFGGFLRQVMVPSDVDFEAAQANAKDGVLTIRLPKKKAARPRNIPIRPS OJF2_RS08290 MQTEKVVTYTAVGIAGLVILIFLLDLAASIFGRNIAMDVLFILG GGVLLWQGIETIMELR OJF2_RS08295 MGSSAGIVYLVGAGPGDPGLLTRRGADVLARAEVVVYDHLANPG LLRLAPRGALLVCAGKSSGHCTLEQSQINDLLAEHASDGRTVVRLKGGDPFVFGRGGE EAEHLARLGVRFEVVPGVTAGIGAAAYAGIPVTHRAMASAVAFVTGHNDPEAAEERRT PDAGKAGLDWEALARFPGTLVVYMGVTRLPAIARTLLRHGKDPDTPAAVVQSGTTASQ RVVTGSLATIAEEARRAAIRPPALLVVGQVVGRREAIAWFESLPLSGQRIVVTRPEGD AARSAAMLEAMGAEALIAPTIEVGPMPEGETGPLDAAISRLAEYDWLVFTSANGVRFF LDRLLAHGRDLRALGSLSLAAIGPATAAALESYHLRADLIPAEFRSEALAQALAERAA GCRILLARADRGRVVLREELSRLATVDQVAVYRNGDASVVPAAVLDRIAEGSVDWITL SSSAMAERLHGVLPEEARARIRGGYPRLASISPVTSGAIARLGWPVAAEASTYTWDGL VQAIVAVVSDGRQRPDLPPPVSAAP OJF2_RS08300 MTRRIGLGRWLLFWSIALGGAAFDLVTKSLIFARFGEPGEPGSR IFGVVPGILDIQTSLNKGALWGFGGSYAYSSQLFAGLSIVAGVAIVYYLFVRGAAESL ALTVALALIMAGAMGNCYDRLVVGRVRDFVHFHVDSIGFDWAIFNFADNMLVIGSVIL ILFALRPEASRAADPQATARGPLVGEPAEGAHPA OJF2_RS08305 MAGTLKPDDLGPFRRTLQGLRARLKGDLSQMTDEALRLSAASGF SNLSNVPLHMADVGTENYDQEFTLGLIENEQGTLDLIEEALGRMERGTYGLCIECGGA IARPRLQAIPYARHCINCARLAENGG OJF2_RS08310 MDENKAKTIFITGATGLVGGHAAEEAVRRGHRVRALVRVSSDTR WLDQLGVEKVVGDLQDADALRKGAEGADWIFNCAAKVGDWGTLAEFRRLNVEALRLLL DAAVAAKVGRFVHVSSLGVYEGRDHHGTDETVPPAADSLDAYTRSKTEAEALVLEYAR AKGLPAAIVRPGFIYGERDRTVLPKLLTNLRRGTFAYFGSGEQALNCIYAKNLVHGLF LAAESPAALGEVFNLTDGEPVSKSRFVGRVAELAGLKPPTRHIPLRLARVLAGVVEGA ARLRGAKNPPLINKARYKFLGLNLDYSIAKARDVLGYDPPYRFDEAIERSMAEHRPRA GTSSGAKEHEGVKA OJF2_RS08315 MSILKGKKAAVLVEKFYEDLELWYPVLRLREAGCDVKVVGPKAG ESYASKHGYPAKADVAAADVDAADLDAVIVPGGYSPDHMRRHPAMVDLVAKAAQLNKV VAAICHGPWMLCSARCLSRRKVTGFFAIKDDVVNAGGIWEDAACVRDGNIVTSRTPDD LPEFMKGIFAAMAEDM OJF2_RS08320 MTPFFPDVPKIEYGGPKSKNPLEFKWYNPDEVVGDKSMKEQLRF SVVYWHTFCNNLSDPFGVGTALRPWDDGSSSVANAQRRVRVAFEFFEKLGVPFYAFHD RDVAPEGRTIKESHANLDEVVKVLKDEQARTKVKLLWGTANLFSNPRYMHGAATSPNF DVFAFAAAQVKKAMEVTMDLGGAGYTFWGGREGYSTLLNTDMKREMDHLGQFLTMAVN YKKQIGFQGQFYIEPKPREPTKHQYDSDAAACLNFLRTYNLLPHFKLNLETNHATLAG HEMMHEMEVAIGAGALGSIDANTGDPLLGWDTDQFPTSVYLTTQCMLCILNMGGFTTG GVNFDAKVRRESFEPIDLFHAHIGGMDAFARGLKTAQAILGDGRLADFLKSRYESWDA EPGRRVEAGQSSFSDLEAYILPKGDASRNVSGRQEMLENLINEFL OJF2_RS08330 MRATAALETANVAYAVVGGNAVAAWVGRVDEAAVRFTQDVDLLI ARSDLEVSKAALEAAGFVYRPVASIDLFLDGPDARPRDAVHIVFAGEKVRADYPLPAP EVNESEPAGAFRVLHLDALVRMKLTSFRDKDRTHLRDLIDVGLVDETWRLRLPDDLGE RLQSLLDNPDG OJF2_RS08335 MATSNPAFSQDMFAGYDQVYGVPRSAVTTVQGTMAKCFLLLAIM TGTALWSFHSLASGDLSMGVVPVAGIAGFVLAMVTIFKPTAAPWTSPVYAAMEGVFLG AISQLVEMRFAKAYPGIALQAVMLTASTLLVMLFVYGSGLIRVTERLKAGVVMATGAV GLFYLVAMVMRLFGAEMPLLWSSSLAGIGFSVVVVGIAAFNLLLDFDFIEEAAARQAP KYMEWYGAFGLMVTLVWLYLEILRLLQKVANSRD OJF2_RS08340 MGAPHVLANPQKVALIGGGFIGPVHAEALRRIGVEVAGLLDISP ERARPLAERSGIAKVYSTLDELLADPSITAVHIASPNHVHFEHAKRALEAGKHVLCEK PLANSSKETAELAKLAASRPGQAAGVNYNLRFYPLCQEMHARVSKGELGRILSVTGSY TQDWLLLPDDYNWRVEPDGGTNLRAVSDIGTHWMDLAQFVTGLHIQEVNADLATFHAE RNRPVGGAETFTGPNAAKKPTETVKITTEDYGAVLLHLDGGARGTFHVHQMHAGRKNR LYLEVCGEKGSMVWESESPEVLWLGRRGGANSILNRDPSLLSPEVADSSHYPGGHAEG FPDAFKQLDLAFYGFIAGGCKGTPNFPTFADGHREVQICEAIAQSAKDRSWVKVGA OJF2_RS08345 MREIKVAMIGEGFMGRTHSNAWSQVSKFFKPPARPVMHTSCGRR AEDSKAFASHWGWGRTSTNWADVVKLPEIDLVDVVTPNNTHAEIAMAAIAAGKHVACE KPIAGTLAEARQMVEAARKAQVKTFVWYNYRRCPAIAFAHKLVKDGAVGTIRHVRGFY LQDWADESIPLIWRFQKEGSGSGSHGDLNAHIIDMTRFVTGEEITEVTGAIAETFIKQ RKRMTGATAGGIAAGVQGGGETGPVTVDDTVLFLARFSGGAVASFEAARQATGNQNRN GFEINGSKGALKFDFERMNELQFYDATRPRAVQGWTTIMCTHGGDHPYAGNWWPDAHV LGYEHGFVNQAYDILLALAGEEPTVPIPDFEDAYKTQRVLEAALVAAAERRPVPLSEI E OJF2_RS08350 MDRRSKMRVGMVGGGGPKSFFGAPHRRAILMDNTAELTAGALRS NPEDSLSDARELFFARGYPDWRSLVSSESALPEGERIDYLTIVTPNDAHFGPAEAALG TGIAVLSEKPLTTNLDEARQLQALVLSREVPFLVAHTYTGYPMVMLARELVMGGAIGE VRKVEAWYRQGWLSTRREADGNKQASWRADPAKAGASGCGGDIGTHAYIFIRFAAGLH AVRLLARMKSVVPGRPLDDDFTVLAELNNGAIATVSASQITTGAENDNGVRIIGTTGT LTWSHIRFDELEHCVGGQPVRIYRQGADTSYLPGSIRPYLRLPAGHPEGFHEALANLH RTLEWTIRRARGEPSPQPFAHPGIADGVAGMAFIEAAVQSARGGGWVDVPRGG OJF2_RS08355 MKDPLVRFSVAIGGELLRRFDRYREEHRYPNRSEAVRGLMTAAL VEDVVERDESEAMGVVTLVYDHHAGRIAERLTELQHGHVERVVTTTHVHLDERRCLEV ILLRGQAKVVRQLADDLIGVKGVETGRLVLTAATPVVGNGVQSHHHDHGHGHGHRHEH DHPHTHEGPDAKAARRPGKRRPG OJF2_RS08360 MSTGEFQRIKANMVGDVAVVEVLPGELRFPPQASELGAELSLVA GQDWARHLVVNLKHTKYLSSTGFAILFKVVKEAQERGSVVRFCQLDPEVRLGAEIISL DKLATIFETEEEAIRSFAP OJF2_RS08365 MGMPAARAGDMHVCPMVTGVVPHVGGPILPPGCPTVLVGGMPAA RVGDMATCVGPPDVIAVGCFTVLIGGMPAARLGDVTAHGGSIVLGCPTVLIG OJF2_RS08370 MSDPAFINERFAAEIGSRTEMTPAAAALLREGLAPGAYLDLLAS GGLHLDGIRFLARGMIKRAAVWWACRCVATADPEGSATGPAESALKAARDWVTDPSDE RRRGCWAAAQEAGIDTPAGCTALAAFLSEGSLAPPELTAVPPREDLTARAATGAILLA AVIRQPEKAPEKHATFFAIGREVAEGKDTWPSPTRIPPPTRPTVKKR OJF2_RS08375 MPQISQANRPISISTPLGADVLLLQGVEGTESLSGLYRFRLEML AESSEDIAFDSLLGQEATVTMRLPDGSSRYLSGIVSEVDQGGRLPSPLGPSYFTVYHA ELVPKLWLLTRKIGSRIFQQMNVPDILTAVFSGLSIKNQLQGTYKPRDYCVQYRESDF DFAARLMEEEGIYYYFKHASGSHQMVIADTPGGHDDVPGATTVFFEEQEGGLRDEDRV HSWRRRQAIRPGKLTLWDQCFELPGQNLEAVKSAAGTVQGGTVSMSLTAASNDALEVY EFPGGYAKRFDGTAPGGGDRPSDVQNIFEDNERTAGIRIQEQNASAIRNRGTSTCRQF AAGCKFTLDRHFNANGPYVLTRVTHRLTMGDAYTTGGNGQGAYENEFECIPASLAFRP ERNVPRRVVEGPQTAVVVGNSGDEIFTDKYGRVKVQFPWDRIGQKDANSSCWVRVGTP WAGQQWGMIHIPRVGQEVIVHFEEGDPDRPIIVGSVYNAANMPPYTLPDNMTQSGYLS RSSLDGTAENFNQLRFEDKKDSEEVYFHAEKDFNRVVENNDTLKVGFDKKDKGDQAIE IYNNQAVKVGTANCDDGSQAIEVYNGQSLKVGTGEAQASSGSQTVEIYKDRSTTLKTG NDTLTISQGNRSATVKQGDDSLEVTQGKRTVSINGDVAEEIKQGNRSVKIDMGNDSLT ISMGNQTTKLDLGSSSTEAMQSIELKVGQNSIKIDQTGVTIQGLMIKVQGQVQTQVQG LMVQVSADAMLQAKGGITMIG OJF2_RS08380 MPVELQLPDSPVVGVVMGSRSDWETMRHAAEALRSLGIPAEAKV VSAHRTPQRLFRYAMEAEGRGIEVIIAGAGGAAHLPGMIAALTILPVLGVPVESKALR GMDSLLSIVQMPRGVPVGTLAIGAAGALNAGLLAGAMLARKYPAVREALAIHRRDQTE AVGESPE OJF2_RS08385 MTDSREKVLLPPADLAVIGSGQLGRMFVQAAQRMGYRAGVLSTT EDAPAAQVANWTVIGPPDRLAALRAVCEKAEGVTVEFENVSAPALRWLARRRIVRPGW RTVWTCQNRLREKTFLTRHGIPHAPWRPVRTVDELEAAGRGLGLPLILKTASSGYDGK GQVLVHEAGDLPTAWVSLGRVPCVAEGWVEFAAEVSVVVARGRGGEAVAYPVGLNQHE RHILDTTVMPAPVGPIVTQEARSMALAVAQSLGTEGVLCVEFFLKQDGRLLVNEIAPR PHNSGHLTIEASVTSQFEQQVRALCGLPLGREDLATPAAMANLLGDIWIEAGGEPRWD AALRRDPGVKVHLYGKRRPATGRKMGHLTVIDPDPQNALARVRSARRALVHG OJF2_RS08390 MQFAHPGWLWLLVLSPLPLLLERARGRLGWPILGGFAVRPHAGG RGAGRVGSARLGLRFIPGSLRGLAIGAMGLALARPQTVGGVERIAGQGVAIVVALDQS SSMKTEDFPADRDTRRIGRLEAAKATLLRFVEGRPDDMVGLVLFANYPDLACPPELDH RFLVETIPTISVARPGDDGTNIGDAIAWSLDALRITPPRRKVLVLMTDGNNQPAGRRP LDPERAADLARQLGVTIHTIAIGRPGGVVRDADAETGQPILAEVEGPNLRLLETVAEV AGGRSFIAADADALDRVFGEINRLEKSLVKSRILTRYDEHFATWAAAAAAFLSLERLL ASTWLRRTP OJF2_RS08395 MIRNLAEGHGRFRSMIERVGRELIDAERQEPIVIDSNPLSLGFS AVGAQVQAPHALFLGCSDARAPIERIFDQPSNGIFVVRVAGNVLGTECLGSIHYAVMN LRSSLRLLVVLGHTACGAVSAAVDSYLSPHDYPEIGYTFALRSLVDRLHIAVRGAAKS LARVCGDQTKQAAGYREALIETAVYLNAALTAFDVHNEIHAIRSGHVRVVYGVLDLED QHVRALPGGSKEPGDQTFGEFPVSSDAFTELGDRIAKSLRESGRI OJF2_RS08400 MGVNRRQFLEAAGVTTVGATSLADGTTRPGKLPQRAFGRTGLSV PILGFGSGSRFAMYKDDDEALAALSRAIDLGVTYIDTAHAYSDGQSEARIGRLMPARR KEVILATKLPGRTADEASRQLELSLKRLKTDRLDVLHIHDLKDLDDLSRIESRGAVLE TLYKAREQRIVRAIGITCHAHPVALKTALERHDFDCTQMALNAAMARMAPAPGGMKAT PTPGGSFEELALPVAVRKGMGIIAMKVFGQDQLLGVIPPDRMIAYALSLPVSLASLGM PRREFIEQNAAAAAAFKPLSPEESRKLRRSVSEAKRVAMERFFRDHRDA OJF2_RS40520 MSFEHLPERQARLAQDLYEELRAASDADIRAMAELLATKPDDEL FGEAEFQLRDMVHRVGAKALQAAAMQRKKGGM OJF2_RS08410 MDFARPQWLWMLLALVPLGFLLGRGSRARLAAWRALAQRGRPTP RRSWSVLLALVLIVLALARPRFGSPMGPAPPPGHDVVLLMDVSRSMAAEDAVPSRLAV AIESALSLLNALAEEPASRAAVVAFAGRGMLRYPMTENLGAVADVLGSLRPGSVQPGG TDLGAGLAAAVEAFGKDEHLDGRSIIMFSDGEDHRDRWQDALERLTRQGVAVHVVAIG DAENGHEIPSGEGASPLTYDGKPVKSRRVDESLTAIAKETGGATIPLGLAATDLGSLY RERIAPAARLRRQAARVPERPERFPYFLATALGFVLSASWPPGRPGPLRWAWNRIAGG MLLAFVVVGLGAGGTPAGSAHGRVKKGELAYRSGDFEDALAEFEAASALAPREAVPRY DAAAALFQLRRFPEAARIYREARELAGEALRVKIDYALGNTALAMGDIPGAVEGYDRC IASAARGAGLDAIRADAAENRRFAIEQAPPSVTAQGDGDDPKDQPRGGRKAPRRPEGG DDTAGDDPGGGGPNAGGKEPDGEDEPPRRPPNRRRRVGGAGGSGKEARSPAGESPDDR LDEAVDEIRDALNRRLSDDAPAAAAVDNHKDW OJF2_RS08415 MSSRDSRRFALLAIPIILTPAFGTAAMGRDPDSLSVRLEAEKGP YRVGQGIEIGVAVPARDERPTLELPAVRDARVFLAGTSFRPVSASAIGRVQSGENVFL TRVRLVPGRAGVLDVPPIVARLGDQSGRSQALRLRVEPVPTEGRPAGFLGGVGEFTLE ADASPSSVRVGQATVYRIEIRGPAAWGSRLAPDLSRLAHLPIAPKIDELPQAAADDPP TRTFSFRILPTRPGDVLLPPVSISAYDPHAGQYFTKVTRSVPIRAVAVPELESGTVEL PTHPAGWTRSSGTAAVASTIIGATLIVIASRLVRRGRAARRGPAASRRLARQLAARLA SLGKAGGAEGSSEEMSPARFAAELMGALTTFASTVADRPPGALTPAEARDAFRALTGS EELASRASRLVEWCDRVLFSDELRTDGLADCCREAVALFDSLGRRTLRPLGSRRGPAR SSP OJF2_RS08420 MGLSATMLKALARARYVKPSPIQSEFIPLALDGLDVLGQAKTGT GKTAAFGIPLIEMMEARSRGPQAIILAPTRELVQQIVGELQKLAEGRDVAICGIYGGE PIERQLRALARGVDIVVGTPGRVMDHMERRTLYLGDIVHVVLDEADRMLDIGFRPDIE RILRKVPDPHQTMLLSATISDDVRKLAGRYMYHPVEVNLSTDEPSVESIQQYYISVNH DRKVELLVHLLKRDKPRQCIVFTRTKRGADRLAERLRRVIPGVASIHGDLAQSARDRV MRGFRDKSIPVLVATDVVGRGIDVEGISHVINYDIPDDPENYVHRIGRTGRMGKDGIA YLFVGPDQGEPLTLIENLINKVIPSHKVEGFEVARARSAAPAARELYNNVGGLPREMA VR OJF2_RS08425 MADASTTPPDLQQKYRQFLDLLPLTIALAGLPTSEGRLYGEDQI EGRGMTIKMAYRQARNIAKECLSGS OJF2_RS08430 MTKMQPSSPRRIRAAFIGFGLDTLDDHQRLTRSDQSLVVGGSEE THAALRETVLRMELELDRKGQQLGDLSPMELAELAWRIDSPELHEIALRLEAGLEQQG RAFEDLTAEELTELGTAEITILS OJF2_RS08435 MPTEIDRIAPALRPPGRTVMFQRWADLLFLHWPLPVEAIRPHVP EGLGIDTFGGRAYVGLVPFTMTGIRYAWAPPVPGTSRFHEVNVRTYVHRDGRDPGVWF FSLDAANPLAVLMARWAWGLPYHLARMSLKIDPDRVEYRSGRVRTGPTPMGCDLAYWP EGTPSAATPGTLEHFLAERYILYAFRRGTLYIGRVHHSPYPLQPARVLRLEENLLQAA GLSRPPGVDPIAHFASEVRVRVYPLHPVG OJF2_RS08440 MMMDDPALVKAIRSGDVQATRLLVERFHGIVFGLCYRMLSHRQD AEDVTQETFLRALRAIFGFDAEKPIRPWLLEIAANRCRTALAMRAKRPRLAPVSEVED PADSRAGVRDPDDLAGELKAAIDRLRPEYRLVFLLYHEQDLAYDEIARSLGRPVGTIK IWLHRARAELASHLARRGIGR OJF2_RS08445 MDCREFDRRWDDLLDRETAARAGGGGAAGPDRRLAEHAATCPRC KPIHARYVLLRAAIRAWTPSIPGTAPSPELIDRVLASASVPTAARPRVYRRLSRPGAL VAGAIAAAAIAVLLTPITGPRPLPRGPRAPESKPKLSEALAGATAATWGLAESTTGEA ARFGRQVIGAAATSGDEAVPVAADDEGSPLSFLSFSPALHERSDESSAGWLQDVGAGL SSSVRPLSSTAERAFGFLRVRASARVRPDSPPSSKGA OJF2_RS08450 MITTRTARVARASLPLACLVAACLGLLSQTSAPLRAEGPSVGRG TASLLDLIPPDSGLVLTVDDLRGQYKELASSRIVDALSKTSLVRDWLNSDKYQEQQDS REQVERMLQITLEEFRDDILGDAAVLSLYLPPDVADPSRARGLLVVKPRTPAKLSRLI ETINEAQRSNGEIDEVALREHAGIKFSVREFADGSGRPDEAYVSFADGVFALSNSEDL IRGVIDRKSGKSAGAKPASAGAKPALERFREVDGRLPTRALARLFIDPRMVERLLRNA PSPHSAGEAAIRGYIASHDAAGAAITAGDQRISLHTAETFDARKLEVLLGREAGGAQA ATIDRIPDRTLAIAALQVDLAGAYELLRRSIPESELPKVANVEAIARGLLLGHDLRTD ILPKVGPLATLVVRAPAAWVPGAEAGDASRGRLPLPASLAVELGAKEVAQAIDNAFQT GLAIISLEPKHGGGKSRLVTTRVAGGEVRSLAPPVPAAYGIDRDGRRVVLGTSVEAVG EALSAKSGGPGVDRFRRVLAAESPGAQSFMCVDIAAVHAMVAAHDDAIAGRLVNGGEP KDKVRKDLAHANEVLGLFDTASWGARFEKAPASLVQEISLVPRP OJF2_RS08455 MSAAHVRPVADELDTGEFQASGIRDRVHAEVSFAGATHPGRIRE RNEDQYLIAKLAKSMRICGSSLPEAETIKFSDEEGYLLMVADGMGGVAGGKEASTLAV RTVESFVLDAVKWFLHGDGHEQSALSAELRHALQRADRDILQKAEMEPRLHGMGTTLT VAYSVGDDMFIAHAGDSRAYLYRGGHLERMTSDHTLVQLLVDHGELSPEDAKEHPRRH VITNVVGGPSPGVQVDIQRRKLHDGDLVLLCSDGLTEEVPEPEIASALADCRDLNATV HRLIEATLARGAKDNVTVVVARYRIE OJF2_RS08460 MSKSRLEAFSDGVIAILITIMVFDIKAPAGTDSNAFLPVIPRLL TYLMSYVYLGIYWNNHHHMFQVVGRVGGAVLWANLHLLFWLSFFPFATSWLESSRFAP LPAAVYGIVLLMAALAYTILQATLIRCDGPGSRLRDAIGSDLKGKASLALYLPGIALA FINPRLSIAFYVAVALLWLIPDRRIESRLNPRPATSAGSLSDAE OJF2_RS08465 MKRIGLVFVAFASFLVSVVAAADAGLGPSLWGWVERVPFGDKMC HCVLMFTLCLLANLALGSRELHPGRGPFLLATVVVGCLVLAEEISQIWIPGRTFDLLD LSADAIGLLAADLGARGLRARLRPSIRLADRGEAA OJF2_RS08470 MTVRWKPLLILSGLFFLVAVVGVIAMAWTLVPRSAAGILKQARA ESAQGRFDNAKIHFQQALQLESKNASIHEEIAAMYKDWAKAAPDRSESLRAERVVHLL NAMRLDMKALAPRLALLEAAMAQDQAGESLAYAREVLKLDPNNADANYVLAIEELDSP SLNVPELRQHIKALEAQHAPPMRVELVRARLAQVTGDETGRDEALARARKIVLGADAG PVDQLARVRLEAMQIQAARDLSGQEAPVKVLLEHVHAMVAAPDLPAGRVAKLSQVLEQ TQRSLVQRSGRYRQAGAGTAVATAMADAIEGELEGIFQKVLGAAEKADLQVYFTYTDH LRFRKQRDRCLQVVDEALRLPAAAQPTNALPVMGMHTVAVEMALSKQDDPARYQKAEP HVQALLSSSETRFQGLGNLFRGAIELEQSGVASVASKGVEKPGAAESQPKLRALSLAH LKLAASQLPNLPEAQARYGVALVLNQEQGLGRQYLQNAMKMGNLDPQYQFWAAWTILQ AGYPEEAAPVVEALFRALSQGAIPAEMEPTLHQMRGELYQARRAPGDLERAAREFEKA AGKGGEAAVALRQAQVDVQLGHHDRALERIDALRKQGMGGSQAENLAVLILDEQGKHD EARALLRDARTRFPKAADLAGLDAALAARDGKPAESDRILREYLAQDPDNVTLTLTRA ELLADKSKLNRPKEAREILAALAERSDNSAPLVELAKIEMDQDDLEAATASVAKIRSR WKESATGDILEGQLALKRGSIPKARDHFAAALKKDPDNKIIQYWKAQLDSRSGSVAEA AKALENLVRERPSKEVDAGVSLLSAAQSSLARLDVLTGRLDDAIRRYEELKRSSESGT LSRADRWQLIAAYSAKNQWPSARRELAGMLNDAKSPPSDDERVRGALLYQEHKETGAA LAQLDYVLKTNPTNAGAVVTRAYIHRDRKQSAEGAALIRKAIGLIQDRKEKPDGVFYL MLAAIEHEAPPEADAGRRAREVLEQGLAAHPDSLDLVKAKFFLMNGGGDPEGAVALLR EKAKSDPKGTIRRFLVDVLQERRQYEEVERVLRELVQQSPADAELAASLVRAVVLQAA DAGAAGQVDRQRSLDEKALAMIREDRKRFPDAPAFLEVECDVAARSGDFNRALAITEE IDKMAPASPAGASLRARLYARQDRPAEVVKCLAKALEKNPRQTDIRLWLGHELLKLGR GEEALTQARAALEATPDRAEAILLEARALASTGVGQSGQEAARAEAASHLEAAIATNP KFREAYLVLADIAEARGRRVEAISALRRGLEVAPDEGALLARLIQSLAGPGPGGTPPR AEDLAEARRLAAEIAGQDKVGGRILAAAVGFHKAGQLELALPLATKAAEMLDTSVAHL NLGDLVLSMAEAQLPDPARSRPLFERAVQEYDRVLKLQPWQVEAVNNKAWILHSYLGR SEPALELAQGLMKHADPAALPGEFYDTLGCIQEKLGRKIEAEQSYQSGLSRSPEHPVL NYHFGKLLAENPGRESRARGHLAKALASRDLLTPAMAKDAEVCAARLSSTPRAN OJF2_RS08475 MPKARPILAIAAAALATLAAFPAPSALAQQKESKNPVVVLETSC GTIAIELYPEKAPITVDNFLKYVDDGFYNNLLFHRVLPDFMIQGGGETDKMEEKAPTY PPIKNESGNGLKNERGAIAMARTSRPDSATCQFFINLKDNDRLDTLRYAVFGKVIEGM DTVDAIAKVARTTRAGTQEPSVPVEPIYIKSARRRKG OJF2_RS08480 MAHVVAEPCFDCKYTDCVVVCPVDCFYEGAQMLYIHPGECIDCE ACVPECPVEAIFHEDNLPDEWKEFTALNAEEAPKCPVINEKKDALEGESCDTSKKKK OJF2_RS08485 MGSFREENRGQGPIRGRDKKQARRRSFRWLESLEDRTMLSVANP FYAPTTTNIADIQHGPMANMGGLAIGVYETYLQKNGNTSAVAAAYPYLSFKGDSVMLS LSSNGGFADTVAAAKNLGMQVVSSDSMYQIVEGWMPISQLPASAKLPNLMSGHVIIRP ITYANAVNEGDFTMRTNVVRQNTGLTGAGTTVGIISTSFNNLGGYAADVASGDLPANV NVVQDVPAGTAPTIGTDEGRAMAQEVYKVAPGANLAFATGQLAGNLDFAHQITNLVNA GSNIIVDDLGLADDPMFQQGLVAQSIASAVSKNVTYFSAAANMADNGYLSNFRGLNGT VNGLGAGRYMNFNPAGSALTMPITVSANGGNITFQFDQPFNTQQVDKTNMVTSQLNFY LLDPNTGATLYSSTNDNTQTQEPFQFISGIAAGNYLVAIQVVKGPDPGKVEFLQFGGP DITIPKTFGSAGGTFYTTSFGHNADQNVIGVGAMPWWATYPDIVQTPIRNEDFSSFGP QILTRDPNGNPLGTAIQVVNPTITAPDGVSTTFFGNPPPSDTTQFSPQTATNLFATFT PSQVNNPAFFGTSAAAPNAAALAALMLQRAPTATPAQIRAAMITSARAMNGTPAGQLN FQSGYGLVDAVAAVNAVDLLRVTSTNPADGATVTSAPGGITVTFSKPVVFSTVAASDL TFTSLPAGVTVTVGTPVAVDDPNRPTTVFFPYSFTRANGTASANGTYSFTISGPITST DGKALVPTGNITFTLADVTGPTVTSVSTLSRVVTITFSKAMDPSTINLGTVAVIRQGN TGNWNNPINLNSDPRVRISYNATTRTATLDYSALPQTALPPDRYTVVVLSGPNGATDQ VGNQLDGEFSGTFPSGNGQVGGNFYDELGLLSLQAPVITSFAMTAGTDSGIPGDQNTN LSQPVFIGQVYNSFLGTVSNLRVYVQFNGLNPSLNGGFSLATGGGNPPRGIVGGFDLV VTTNAAGVFTVSLPSGVTLPEGFQRARLVVVGDADSPPQAGLSSQFDRAFRIDKTDPV VSGVSLVNGSAPTGPSPNISALTSIQLDVADIVQPGSPDYLRTPGAVLFPAIDPTAAT NISNYSLFLRNADGTTTDESRFLSSATFVATAPTLDGSGNFIASYNGHITLQFTTGLP GGNYQLIAHTTQAGFTGLVDAAGNPLASNFTYSFSLSSSPVYVTNLQMQSTWNPAAPE GANTVGGPRSYYEGPSSDPTYVPRATAPPSAWVVDLSAPTPYRDFSGLAANQLPLQLI GSANTAGGPADGEFGNLGQGGAGSTGTGFNIVGNVSLRLYNFDATNGTSTLVAPGGQG NRLVLTYNGGVLAPDYYRLYMPNQVNAGLDTRLFDIYGNQLDGEFLGNPTASGSYQDL LPDGSTRPGMSGDTVAGGAFTTGFVVVPAGNILFARPDYQEDPLIPSTAPDGSLAKPY STLAPESDPAAAPANPNHDPNGGQNDSKFFLSGFNRAYDRNGNGRFDRSVLYAASQLA FRGPVVVIALPGTPQRDPISGVISQQTFVMQAPSGSSSVINNGSASVPALTTLDFTAG STLKLRNASLFAQNQGSAIQATGGNTDASRVNFTSYSNDAVAGDSNGDGTNSSPQPGD WGGIVLRNFDQAAHTGQTFPIDGTLKNSTGQLAIAGADDVMSSINFANVTYGGGAVPQ SLSPRYNAIDLFNSRPAITNDVISFSGASGAAGSGAQAGLAANFDSFREDDIARGPLI RATTVTNNSLNGIWIRPEATGQAQATDAQPVSFNGGRNDYALDDPLPYILTSRLDVGA QLNVSTVGSTDIAARLYVQPGMMVKSQRGSSIGITNSESSLNVGDRTYMDEFDANPGF GPSDPGFKPASTGDAGVVFTSLFDDVSSTFFVNPDGTKRTIVPAMDSANRGPNAAQPT KGSWGAVEITSGAKAVIDEATFKFGGGFANLSSGTFASLNVLTFDGAFRGSGGTPVYV TNNSFDSNLDAPVAITPDGLLAGDPTRPLQSGHPFFRGNVLTNNDLNGLAVLATPSYA AGGPVELRFVPGTDQENLDVNSVWDTTDMAYIVRGTIVLAGHGNNFDSVGDRPMPSAT TFQQALQPAITLTLQSALPDTLLANGQSIARPGESLIVKFLNDPLNNAVRPPGDSVNG STGSGSADGAGAGFIVGVDDGVDPPANSRLLGQGLDSQIRILGIPGNETTGQQRVPVI MTSLLDNSVPLIVRGVDQSQTYGNPARYASVLGGRTTPQAGDGGLIYFGGKSLSSYNL WDPRGGNIIYNADLRYFTRVEVSGGGVSDVFNTNPGTGTGLNDTFDVNDNPRAQMVGN GTFSFLFPTTPPTIGFVNNSGLNQFNTARSMAIIGSNFSDFSGVGILAHPGPATALGR NVGTTALGTGATAVLPGDYFRTTFAGEPVNLFLYDNTFSTMPVGVRMNSDTGNNDTQQ NAYTLTLLNNTFYNVTEGVHTQAPEFSGGPPANSFSNVLWLAMNNIFSGSSDAAIRFV GQQYNTQAQYNLYFNNGIDVDDQELTTSGFGGNNGPVSGDPMFKDPANGDFRLLPGSA AIDAARSEIGPLQIGNALEPIENQALTSDGTGGVRNTTGRLGFGGFANSRDLVSLPGF TLFQFVSQWSPVLASTPNSYNGTGALPGTYNFVPLAGQRGQDGLLRLDDPATANTGFG ADPFFDIGAFEYRLLNPPRVESLVADTNPASPGGQQTINFYSTTTLVGTSLTPQDIKV QFNTLIDPSTITSSSVLLTGAGGDAIFGNGNDVPISLAGKLSFDSSTRTLIISLAGTG LSLATDKYRLTLLGNGSSVLRDPSGLALDGENTANGSPDGARLPLPSGDGFPGGNFYS DFIVNTTPSNITPGTFLLAPSSDSNIAGDSITNINQPSFVGSITEPNPALVPVAGQVV LVDVGVAVVNPDGTTTVYFADSPNIPSSLVPYLRNNAGTGVTDGTGAFNVTIGVDAAN SGLVTNTAALPDSPYNVGASGQLILPGTVSGYYVARARVVDQSGNVSSSNDPNARANF VVDTQDPTVTISSPSSGSVVSPGVQTFVVDASENMDLTHFTPSEIQLLKSAPDGTFGT GSTAIGVANLIVTYLDAGTGGPGRERLTFTTTSSLANGLYQLTLIGSGSNSVRDIAGN SPAGGDVVSTFAVFSSTSSAGGGFFVGASNYVTDTTAAQGSRENPYPTIAAAMAVAGV GDRLLILPGVYTENVNLGNLVSMASAATSSTDTNFVPGNALDTIIRSPAVASGTTVAT IAAQNIAKFVSPTTGLTFQSTISGLTIASALVGNPATGTTNPQAIGLLLSNAAVLVQN SYFINNGTGIYVITAGTTPPAPTIQNDVIAGNITGVVVADQGSDNASTTNVINNTFAY NTLGLFASNTSSTTSAQVYAANNIFWQNHDLSTARSGGGISSATVNKVTLNNNLFSGN GASDSTPVGAANNIGNGFNPALLGPAASDAAANLGNFTGWPAFVSPRDPRPGSDGPAT FLRDANFALAANSAAVNNALESVATKTDILGNAQNVNPTSKGLLLPGYGPRDVGAFEY VPVGTTPTAPVGGSFRVVTTSLVPDGTTVANGVEFDVYATPNSVTIDFSQPVDRATVD ATDLILSGSLLGSLSPAKATSVTWVDNHTVRFNLSGQLNSAGTIGISLASGAIKSMSG AALPSYSDKVYIKTVPMPTTPTTPTTPTTPTTPTTPTTPTTPTTPVAPPVTPPAAAPP TSPRGRRTRVQTPTAAQRAAARKAAAARQAAARKAAAEKKAEARKVAAQKKAASKPGK AATHKVVVSRKPFTFAGR OJF2_RS08490 MRQPVLIFDFGNVVGFFDYSGMYERFGRRLGMSGMALEETLSKR GVAAAGRDFELGRIGADEFARRVTGMAGLEMSFEDFEAEWPDIFTLNEPVARLVEALD RAGYTLLLGSNTNPLHAACFRVKFRETLDRFDHLVLSYEVGVMKPDAAFFEACVALAG VPAESCVFIDDAEANVAGAIAAGLRGVVYRDPESLASALARMGVEGTPGGA OJF2_RS08495 MSPRRPRPAGATAADLAVGLGLAAAVFLVALMALPRNRERARLT SCQRNLGQIGLALALYDQLEGRLPAVGKLEAIDTAPIDAPPAPGPGPLRTLLDTLGLE SFQGLKPASPLPRATGQVPEEASVPGFVCQSDPMATTGRFRAPISYRACTGGDALGDD GAFAPGRGTSLEKAEAADGASFTAAFSERKVGDGNARGGYEGNFAAVPGPIPAGGCPV PLPQGATWIGDAGASWVLAGYRSTLYNHAPAPNSPGSCVSRDGRTAGLGASSGHAQGV NLLMLDGSVKLVTPSVSPKVWKEFASLAEPEPTR OJF2_RS08500 MKKCPIFSLITAAVGLAAALSSPALAKLETWRQEGSAVFARHRR ERVVISDQGRVRLGRELVPAGTIAAERVWDLARGSDGTVYAATGDGGKVFRGDGKAGS PWALALATGDGEVLSVAATPDGRVFAGTGPGGQVVEVTGQAHRPSRPDPRVRYIWDLA ADADGSLYAATGPTGQLWKLGRDGKWTLLLDSKAGHLLSVAVSPDGTVYAGSDGEGLI YRVGRDGKASVVYDAPQSDIRTLAVAADGTLYAGTAAEASGGASRLASFFSAGVEGSD AGTTPGGSRDAAPGIVEGRLRADRGPTAGSSSRTSASPEKASAGTASPKPVLPGDNAV YRVDTDGVVREIFRAKALIFALCCAGDRLMVGTGPDGQLFEIRDRGAESTPLAKLDSG QILSLLAEPDGGILLGTGDPASLVRLSSRYVPRGEIVSEVHDAKLRSRFGALAWRGET PPGTTISFQVRSGNVGEPDETWSAWSAEQTLPGASRAEAPAGRFVQYRARLVTSNTAA TPELASVALSYRSINLPPEINRLDVPDVSVADGSARQVRLNVRWEASDPNDDDLAYTV QVRKEGWPSWITLTESPTAEKAYNWDTTAFPSGTYRIRLAATDRPSNREEDAASRDRE SSPFLVDHEPPTVAIRTDAGRARIVLSDGATRVTKAEYALDGGPWTPLFPEDGLFDTL REEISLPLPELKPGVHLLMVRGTDAAGNVGSKDALITGKD OJF2_RS08505 MPSFLRPAGPGFAGAAGLLSIVAAFAITVRGSEPDPRTYWDVKD LRPGMKGVGRTVMVGTKLEDFGAEVLGVMRDVSPGRDMVLCRLTGCNLEHAGIIQGMS GSPIYIDGKLVGAVAYAWEFAKDPIAGVTPFQQMVNYVRASDRRIAAESRERGRDRGM QAARLAVFPEFLDAAGEDGDGAPAQAADAALPLAGGGMAGMRPIVTPLAAAGFSPRAL SQLETNLRPLGMAPMAGGMAPDHVLRGEGDRPLKPGSPLSIAMVMGDFDLSGIGTVTH VEGDRVYGFGHPMFSLGSCEMPMMTGYIHTVYPRASVSMKMGSPLKVVGVIDADVSTC VAGHLGPKPDMLPVSVRVKTGRYADPRTYRVRMVREPQLLPNLLLSVLTSAIDTEGNL PEELTARLSATFTLAGHEPITLSDTFSGPRYTGQSGASALFGPLASIANMLVHNPLQP VRIESIDCNVEIEPGRKVAAIEAVRLLSDTVEPGMDLRAFVTLKPFKGEREVLEVVLP IPADFPEGPHEVFFSDVAGTIRRRFRNEPSVAEPRDLAAFMRSIRVQTGPRRTAVYAH IATPERGLAIGGHDLPNLPGSARAAFASRKEVAAQTIRTDLVAVTPAAWVVEGSQSLK FNVARDAGLSLSLK OJF2_RS08510 MSWEEEGRTESHLVSLWKLDEEGAAVMTETVPPGDRSVLLHVDL GPSRVASVPARVDSQAAGGFGRALVSLKFAPAVFPMDLVSGRRERRAWRRQRPREKAA VLSWVSKNSTVTARVELRDIGGGGAAVVSQERPLGEASLWLWVGREGNEAGPVECRLV GLEAAAGDMHVVRLAFVGLCPIHVFEVAMGLRGAGAGQDC OJF2_RS08515 MSTIRIVIADDHTIVRGGVRLLLEKLPDVAVVGEASDGSQAIDL VRLHQPDILVADIAMPGSNGLEVTRRLSDEGLPTRVVILSMHSDPEYVHRAVKVGARG YVLKRSAIEELELAVRAVVRGELFLSPSITGPIVDGYLDRGSPSSHHGELTPRQREVL EMIARGQTTKAIANRLGISVKTVEAHRAQVMDRLQIYDVPGLVRYAIRVGLTSSDE OJF2_RS08520 MATQDQPEIGSSTRDWTAMPEGISPRPLLLLVDDEPVGLRSLAS VFSDADYELSFAVDGPEAIVKVEGTRPDLVILDVMMPGMDGLEVCRRIRGLPDVAEVP ILLVTALDDRESRLEGLRSGADDYITKPLDRAEIRARVRTITRLNRFRKLQAEIVHSR RVMSDLAAHSARQERLRELDRTILQASSSQQIAAVLPLLRDLIPHEHAAIYKCDMRGQ PSVLLAEHGSRGRILAGLARPGEEDLGLDVEPGSVGSPSHVLSLMGEGRLPDVLEAFR ASGSSELLVFPMNLKGRRFGVLLLGFASRIGAAGPLAELVREIADILSLALAHFELLE TVTRGRSQLEFLSRRLLQVREEESRHIARELHDEIGQLLAVLNFSLRGMQQSEGSGPF QETLGYGLDVVSRLLAKVRGLSLDLHPALLEDFGLVTALRRYIGSVASRVGRTAILEA DESIGRFDRQIETACYRVTQEALTNALRHGEASSVRIELTEREGALHLLIDDDGRGFD TEAAMESAARGASLGLLGMRERVSLVGGELSIFSEPGNGTKIRATLPLNQSSRPS OJF2_RS08525 MDHHGPGLDGLDAIRRIRSLRSTAVIDTIALTVPRLSRDRDRNL DVGADACEVKPFVLLRDVRGGLPV OJF2_RS08530 MVATTDSVSLILIVDDEPSGRRALESLLLGQGYGLAFAASADEA LTLARGLEPDLILLDVMMPGTDGIEALRQLRSIPRIGEIPVILVTSLDDRASRLAGLE AGADDFVAKPIDRLELRTRVRTIMRLNRFRKLRDAVCSLSEAYDATLEGWVRALDLRE HQLEGHSERVAEMTARLAREMGVEPADVVHIYRGALLHDIGKIAIPDAILLKAGPLDE AEWTIMRRHPDYARAMIEPIAYLRPALEIPYCHHERWDGKGYPRGLRAEAIPKSARIF SAVDVWDALSSDRPYRACWDRARVSQYLLDNSGTLFDPDVVTCFVKLLGEDEIRRTAA SPADLAQDLSPAARPDDPGILAAPAASCYRLRSETPPARIDLTEAVPDLLTIPFRSIH RDRLGRPYAERSLVNSG OJF2_RS08535 MAMIHQPETGRAASPIATLDPSAFAERLRGIALDTHRLMKGSMP TAEELLQLERRIDDLRAFARYPGMLEINRWLDNARIQVERKVVEDRDLQGLGS OJF2_RS08540 MILDSSPNRPLVLVLEVDPESTGILARILESKYRVEVFGDGEEG LARAAEAVPDLILSDLKMLGPCGGSLIAALRAEPTTRGIPVLMLAEDAGDLARIPSAR ATSADFLLKPVVPAELLARADRLVAEAASGHPEDRGEEPRFSRFVRHLPLPLAYIDRD GDTAYLNDRFVELFGYTIADVPTLEAWWAAAYPDEAYRRTVTATWAAAADEAARSGGR IGPFQAWLTCKDGRVLAVEASGIILDDGVLALFVDRTERRLAEEAMERLAQQRKLALD AARLGWWAYDPINRLATFDARYREIFGVAGDRLTLDQLLRRMHPDDVPGVLARLEGAL NLVDSGPYSIEFRVLTDDGSPRWVSAHGLAEFEGEDGERRAVRLVGTVEDVTARNRLE AELRETAERYRLATSSGRVVTWEAGDDSTITRIDPAFWNWVQDGPSPIPCDPAGWLEW LHPDDRAAAARAIRDAFEGRSEELFYEFRARLVGGEMGWFQCRGRVRRGEGMSPLGAV GSIVDITQRKRDEDRRRESDERYRLALDGAGLGTWDWHLPSGRLDYDARWAGMIGYRA DEVERRIEAWEELLHPDDAPAVLDAMRAHLEGSRPGFAAEYRLRRRDGGWAWILDVGR VIERDAEGRPLRVCGVHQDITARRSAEEACRESERLAQSVLDSLRTPIAVLDESGCIL AVNRSWNEFAEENGYNGAPPVGTSYIRICEASTGEGAAEGAAFARGVRDVLAGHRASF ELEYPCHSGANRRWFIGRVTPFGGAGPRRAVVAHSEVTSLKLAELSLRDSEERFRTVF ESVPAGLVVVDGEGLITMVNGRIVEWLGYAPAELIGEPIDMLIPRVIGRQDGQPTLGH AGPADRREVAPDRELNAIRKDGSRFPVDAGLKLVQADSGPMMLVAIMDATERRRADRA IRALNAELERRVAERAADVHKLARIIDSSTDLIATATPGGVPLWENEAFRKVVLERSS AAARPPTIEAFHTPGSAARILGEGLPAAVREGHWLGETEVLTAAGHAIPVSQLILAHR DDEGRVVFFSTIMRDITERKEMEKALRRQSEELTLANLELARASRLKDEFLASMSHEL RTPLSAVLALSEALVEGVYGAVTDEQASVIMDVEKSGRHLLGLINDILDLSKAEAGQM RLDPLPTTIDAIGQGSLRLVRQAAHARRISLSFSIEGPAEEIVADELRLKQILVNLLS NAVKFTPDGGKVGLRVRADEGARTLAFTVWDTGIGIRREDIGILFQPFRQIDSRLSRQ YTGTGLGLALVQKLAALHGGSVSVESEPGHGSRFMVTIPWVPFRESQEDPYGRGEGSP PAADGPVVMAKGDGHPAMPESTGADPPLLLLADDDDLNRKLICDVLTAAGYRVAQARD GEEAVRLSTELKPRLVLMDIQMPGTDGLEATRRLRSEPGTRTLPIVALTALAMPGDRE RCLAAGADAYLSKPVTLDELRRTIADLV OJF2_RS08550 MPTSEPFRTLNAEELKAHLKKGHLAQFEVLQTAHYLVFYKSSRD FAETSARVLENLYDRLLDTFRKHEMAVADAEFPLVAVIHASEGEFRASHEVDPEVQAF YEIYSNRIYFYESSDRDDQAPEYAAMRKPQTVAHEGTHQILQNIGVQPRLGAWPIWLV EGLAEYCASPTSPRKGGKPTWDGLGLVNALHMATLRELDDPLTLDIPGQEENHGAPIR EPGKTLVESMLRKTRLTPTEYAPAWAMVHYLACKRQDNFVDYLRAMSQLPPLVPKSPE EQTATFREAFGVDLAKIDKAIDAHLRRLSKQKGFDPMPCYAVVYEQHLPMGQLRRAVM VSQSPQMIQQWLEKTTDPRGAPPSWQAFPHATRARAVLSARQWLKEGG OJF2_RS08555 MKASSPAIRYPFRRGSVEYNLGTEADHEAVYQTLLHVFHGPDRD SFLGALSDPAYRPDQRLLAKVDGRVVSHAHLTEREVRYGTASVPINGVMWVGTLPEFR GLGFAQNLLRLADERARATGRALQVLTTGMPQFYRPLGWGVCGRQTYAQALSRNLPQV SDGMVEGKGGFWHVRPWRQVELSDLMTLYEAQYAGVTGSVIRSEEYWRWLIGRRYAHV IWVACQGDAVRGYAFVKDHKILEIASDPAHPQALRALLGRVRAEALERAYPRVTVHAP TNHPVIEAFLSGGGRLVDQDLIDGSVSMSHIPDVARFLTSILPELSRRAEEADATLPL ELGISSGDHRWLIHIDGKHSRVEPDKLSRRYLTLNPASLVRLLMGHSGVDAASAEEGF VASTATAMDATRILFPAQPIWRSPLDSATA OJF2_RS08560 MKDNRLRAPSNDGALLAVPPLAEALGEISRTADRLRAWDHDFQG RGARRLRKQVRREVLARAAAFLKRHGISSPEIPPSGDPNLLPPLIVTGHQPELFHPGV WIKNFAAGSLAAACGGVGLNMIVDNDLPKSATIPVPSLKNDHARVVRVEFDRWMGEWP YEDLEVHDEGLFASFPERVRRVLDGQIADPILDDFWPRAVRRAREIPTVGLRLALARR EIEEEWGTANLEVPLSELCQGEGFLWFASHILAQLPRFQEIHNTALADYRQTYGIRSR SHPVADLGTQGDWREAPFWVWRRGEPRRRALLVLQKPRTMLLRISGESDPLVELPLAA DRDACCAVERLADLPGRSVRIRTRALTTTLFARHLLGDMFIHGIGGAKYDELGDAIAA RFFGIDPPRFLTLSLTQRLGLPERPADADTLHRMDQHRRALIYNPDRFIDEPTAPETR KLIDEKRAWVAKEPGNRGDKIGRFRAIRAINERLLAAVQDQLEALQIMRRKAVEDLHW NRVVRSREFPIVLHSARRLQQVMGGLSPAKDRADLPTAPGVVMDAPAR OJF2_RS08565 MRYLTAIPVHNEEKHLESVLREVVRHAEHVLVVDDGSTDRTPEL LRDFREVEVIRHPRNLGYGAGLRTAFRRTVDAGYDGLVTLDCDGQHEPHMIPEVAAGL AEADIVSGSRYLKVFDPAQSPPEERRRINVEVTRWLNECLGFALTDAFCGFKAYRRSA IEKFEITDLGYAMPLQVWVQAARHGMSVVELAVPLIYLDEERAFGGALDNSDYRLKHY RRVFQDALREAGLEVAGGCR OJF2_RS08570 MPEPQATPPAPESEEVQPGAIAEQDPAATQAPEATESIPEPEPW TPERVLEWNAYYDIYVMLAALLLAFVGSAVRVDAKNSALWTHLKAGELIAAEGSPALG NVFSYSEPDAPWVDVSWLFEWSHSALYRLVRDNVPVDPTDPTANLASADQIGIGCLIA LSALVRVATAWVLLKVRRPGPGLWWSAICTAVALGVLIGPGSGSFVGFIPGGIAGSGV VAPATWGALLLAIEMLILHRAFGQGRGRTLYGLVPLFALWANVDDSFLMGLMILAAAV VGRLIDGTASESLVLSPAEESSTSEDTDAGRRRPAATSAALLVLGLCVLACLVNPFTY KAYLAAGSPFGRIFSGSEVTRVGEISFFGSQIRKIYKAEWYWFTVFYLTMVCLGLGTF LLNARRFSWARFLPFAAASTVWALFMGQQQVYAVVFAAVASASGQEWYLGRFGSRGRI GSGWNAWSTGGRLVTLAVMFFLVAVSITGWLIVPGQPRFGFSYDPGEFAFEAAEYLAR KDAPPGNVLNTTAAQGDALIWKAYPGRKTFYDDRTNVFSRGLYEEQRTLRQALRDDDE ATWKPTLDRLRVNTVMIDADGAPDTIRRLSHSPRWIPYYDDGHTMLFGRADAPEAERK AFEDSRLDAPLRAFRISAPVPPADRPPTPTSWIDRYFRNRLLGQAEPHTSAAVRWLQG VGADPEEAATPDPARCLLAVREARKALARNPDDWVAYRLLNLAYRLLAAQETAILGGI PMTAENLPRINMLVPDYSLLGLRYQQRATALNYAIQTSPPPTTADERRELNQLTFELF QLYGQAGYIDLARDRLQMLLETSQPGDLSEDVRGSYERQLAELSERVQQIEENILNLQ VERSAGPVEKAMYAREQGAVGHAISELEEAYHQNMSPIVVKPMLVDLYCTTGQPDRAM ELISLAAGDDQTLGTEPGDSYRRQGLIYLLLGNYGSAASFWQDRAIPRLRYERTSRAL MTAQAVTRGDLANASNADLTLAGLLGRQSLWDFELGQCLLESGAPDRAAESYTRSLTV EPASPYRPLMAYYLEQMGRPVPAATKATTTPAAPGSQSPAAPDGKPEPPKPAAAEPGA SKPADPAKSEATKPAEPAKVDATRPDPAKPAENGTTEPAKAETKPGGGDPARANPAEP AKPKS OJF2_RS08575 MAWRNALRRTLAAIVCLLALPLADCRAQTAAQPKVAPGPGEPDW KVILEERYGLSLFADLKNPVETKPEKVSGLFRKAGPGDVTYTPLIALGLPTRTRGGWF RPEAEGRPAKAALWSYAFKNTADDLKANRNLPPPMEAGSSFRFDPGAGPFGLWVSNDQ FDDGGVFTRPAIVAAVNARLRKQPYKAMIYPYREKATGKDVPNSYLIGWEYSDNDDFQ DVVCRIDNVVLEK OJF2_RS08580 MNIVDAIRGQLTGDIPGRLASALGVSEDQIRSALDAGVPGLLAL LGKVASSETGAGKLADALKQAHPDAGGGPGDILSGKDLSSLQEKGLAWLNSVLGPAAL PVVISILGKFAGVGASQLKGLLGMLAPFILGMIAKQLSGRPLTSQAVSSFFEEQKANI AAASPAGLSFADVPGVGSVSGAAAAAASAARSTVATAREEAAGMPGWLLPLLGLVVVG GIAWYFLAGPGSTPPAVPGATEQPQGPAAGASRPAPTSTTPPAEPRKPAPEASKAAPG GTVTPAAESLAQVPTLTKDLGDAYASLAEILGGVKDAKAADAALPRLTDLSGKVDGYK ATFDKLSEDGKAAIARVTAEHLAKVKELAETALKIPGLPARFEEVVRAVLAKLAEIKA A OJF2_RS08585 MAHGIVLAALMFLAQAPAEAPADGAGPKGLDAARRLLQNGRYAE ADEAYANALAEAAKKPGGVTPAAKAAIAMARAECQASQGETGKARDGLGTLIVEQPDN ADAIARLADLDLQKGDWEGAEAAAKKALEKSPDHIPARWVAARLLEARGKREEAVQAC KWFVDHYNGRPADLARNAENLLIVGQAAERYYRSTARGEELSGALNDVINEIYEAALR ADQNCWQAPWLEGKLFLSGYNERAAAKELARAQQINPLSPEVLVTLGQADLQGYRLAA GRKKAEAALGVNPHFAPAYVLLADLNISDERFTDALKAAEKAVAENPRDEDALARLAA SRRLLVDAPGAQAAELMALANSPNPATFYAALAERLADRRKYLSAERAFLLAAQADPT RADAPIGLGMLYMQIGREAEARSLFEEAFAADPFNVRADNMMKVLKHMESYSPIESKH YSVLVDPTQDELLGKYMARYLESIYDELTTRFGYVPPGRTRIEIMKNHQWFSGRTIAL PFIPTVGACTGKVVALASPKATGKPFNWARVLKHELVHVITLQQTEFNIPHWYTEALA VESEGFPRPQEWNKMLLERVPGRSKLLNLDTINLGFIRPNEPEDRQMAYCQAQLYARY MLKRFGDDALIKMLMAYRRGLTTDRAIRECFGVEKADFEKAYLAYLDEVIKSIRVRVN EEKPVKFSQLERQLKQKPEDPDLNAQMAYEHYARRDYKEARPLAEKALSLKPHHPLAS YVKARLLSSIGDDDAARAVLEPALDEAKPDERVADLLGQLRMKAGLLDEAEKLFEIAR KDDPYNTKWIASLARIHFRQKRTDKFLDDLAMIAANDADDVTIRKELAERHLAGGRPE EAAKWAEQWLQIDVYDPAAHVILADARARSGKLAEAIEEYETGLSLKAKKPNDIRTRI ARAQLDLGRRDEARKTLDGVLKQDPEHPEAKKLRESLGPAKTG OJF2_RS08590 MADVDGIEDLAAVRRRVELDPLYATAAVIFIAVGGWYLLKELAP LLRPLVLAIFLAYTIMPAHRALRRRFHGRFAGLFLALLVSLAVLAVSLLLYKNLVDLR AELPRLIERAKTLIDDARAWGREHLPAWIFPPETSSTQAEADTSARLRALASSLVNGA SAFLAEALVVGFYLIFLLLEARRLPGRVREGFPAAQADRVLGVVASINEAISSYLRAK TTASIVGAVPVFVILWAFGASFPGMWGVLAFIGNFIPYVGGLVALALPALLAFLELQP AWRPLAVLGLLLVSQFVTNNVIEPRLTARAVDLSPLVVLIALAFWGLCWGVVGMVLAV PLTVVLKIAWENMPGTRPLARLMSEE OJF2_RS08595 MRPTRPEVAAAYGRTIPDLIAPGLAVLFCGINPSLYSAAVGQHF ARPGNRFWPALHAAGFTDRLLRPAEQPELLDRRLGITNLVARATARADELSAEEFVAG ATILEAKVRRHRPRFVAVLGVTAYRAAFGRRLAVVGRQAEMLAGAGLWVLPNPSGLNA HYQLPDLARAFEDLRLAAAAS OJF2_RS08600 MAITYEDAVAKLHEWTDSPALRNHARGVEASMRRAAHRYGRGEA DELRWAMAGLLHDADYDRWPDEHPRRIVAWLEEQGEPEIAQAVVAHFSPSDVPDLTTL DKALLACDELTGFVMACCLVRPDGIRSLTPSSVKKKLKDKGFAAKVSRDDVTNGADRL GVDLAEHIQLVIEALTPHAESLGLAGAARS OJF2_RS08605 MNLLEQLKSMTTVVADTGDIESIAQYQPRDTTTNPSLLLKAAQM SQYANLLDEAIAYADSQGGPADGKIQVCMDKLAVNFGKEILKIVPGRVSTEVDARLSF DTEATIGKALHIIELYEAEGIARDRILIKIASTWEGIRAAEKLEKQGIHCNLTLLFSF PQAVACAHAGVTLISPFVGRIHDWYLKDRGVKSIEPTEDPGVHSVQRIYNYFKKYGFK TEVMGASFRNTGEIVELAGCDLLTISPELLGDLQKADGKLERKLSPEAAKKQDIPETS IDEKSFRWMLNEDAMATEKLAEGIRKFAADIVKLEQYVAKRMKQAVGV OJF2_RS08610 MGRLRGFAFDLDGTIWAGPTLLPGAGELVEGLRSEGLAVVFATN SSRHGARILADRLTAMGVRAGERDVLAAFDLVAEEITRRLGRVRVMALATPDMDELLA AAGHEVVGVDDWPRAQAVVVGNDPAFDFGRLRAASRAVAAGAAFFAVNMDPRYPVAAD TFDPGCGALAEAVATAAEVRPIVIGKPFAPLFERTLERLGCSAGEAAMVGDSLGSDVD GARAMGMFTVWIDGRGEADRGDAARADMVARNLPELHRIWQNQRKISGMTPQPAQ OJF2_RS08615 MRIIAGQRRGHKFDGPRAKSDMRPTSDLVRESLFNMVGDLMPGR VAVDLFAGTGAIGLEALSRGAERAIFVEKDKEHVALIHRNVATLRYEGRAAIRLADAY RWARTYKPDGESPLAVFLDPPYRDYESRRPALRQLLSGLLQRMPAGSVIGVEAGRHLD EVVPDRDSWDVRRYGDTRVAVRLVGEGDRGTVDAAAPAEDIEHDKDSTGEGEGEAGDD OJF2_RS08620 MTEAEARREFAAEVVRRLRRAGHEALWAGGCVRDIILGGEPADY DVATDARPEAVMEMLPFPSIPVGIAFGVVRVRHPRIRGIEVEIATFRSDEAYVDGRRP KGVIFSTPELDAARRDFTINGLFMDPETGRVIDHVGGLADLRGGLLRAIGDPAERFRE DKLRLLRAVRFAARFDLHVEPATLAAIRSMASEVLTVSPERIAQELRKMLVHPSRDRA MDLALDVGLIGVIFPPLAEMRGLFQGKPVQPEGDLWDHAMLVLRLLGPRPSFPLAFAA FVHDVGKPSTRSRHHGRTSFHSHDLAGAKIAERFCRLLRLSNAERERITWLVAYHQYL GEAKKLRESKLKRILAEPGIDELLALHRADALASTGITEQVDYCVYYLEHQPSGPINP APLLTGHDLARLGLEPGPGFKSILDTVREAQLEGRVQNKREATEWVEHFRATGRWPAV WHADALDDAVSPPVDGAGRQS OJF2_RS08625 MRADGARGTSAAVLLSGGLDSAVLLSEMRRGHDRIHPLYIRGGL RWEEAELAAARAFVAAIGGPGLEPLTVLEEPVRDVYGDHWSTGSGGVPGAETPDEAVY LPGRNVLLTAKAAVWCRLRGVSELALGSLGSNPFPDSTPGFYRDLESVLGRAMGGSPR LTRPFDRLSKAEVVWAGRDLPLHLTFSCLMPVAGLHCGSCNKCAERREGFRQSGVADR TPYATSNASPRVGHAG OJF2_RS08630 MFQVSREIHFCYGHRLLDYEGKCRHLHGHNGRAVITLEGLQLDE RGMLLDFGDIKRTVQRWIDENLDHNMLLRRDDPILPLLRERGERVFVMEHNPTAENIA RLIYERAAEHGLPVVQVVLWETENCSASYGGP OJF2_RS08635 MATQQPPVEAAQPSAIPAIENELPTYRAISTQAIFALVCGVLSL FSIASPVFYAFAVLAIVLGVTADRSIRRYPDILTGRPLAQAGIACGLIFGLSILTITS VQAFVLRRNAEGFARHYAEVLKQGDLGDVLLLGQPPQQRKSITPKELMEKLMTKNKES AMFEMKITSVRDLKRRLDLSPEQEIHFERIEKEGSEGMVNVALAVFDVHGPSTKAFPE AEQHAMAIIKSSGSESKSKDWWVDDLVFPYKLKTADLPQSHVDDGHGHPH OJF2_RS08640 MPPKRSSSNNAAAGSADRPVINALLILGALGWGVSVLVDRGRLT WPPVALLGGLSTLAGCLALVGPIILSRSGAKDGSLGELVWLTGGLLVWLFDLAGVIQG QTRSINWTTPLGDRAMGLSILAVVLAGWRCGLAARNWSWTNVTGWALGLFWVGMAAAS WLLAPPTGLAGLVAR OJF2_RS08645 MSPRRNPWVVLGKITLVAITTAAAVGAWIWMRGRRDVRPPAERY AVAEVKRADLYPSLSASGRVESSVRTVVECELENVAIGVMGQPLYAGGSSVLLTIVPE GSAVKKGDVLATLDSSEYDELLRQQQMTVERSRADMRTAELNMEVAELAVLEFRDGSM TEARNDFQRSISMAEADMFRVNERLDWSRRMWKKGYVPKGQVISEEFNQAKAEFSLSQ ERAAYDLFTHWMAPSALRKLQVQASIERNTVAYQRSRLARNLDRLHKLERLVAACTIR APHDGYVIYANDQRRGIVIESGMFVRQKQDLMYLPDLNRMEVVTALHESLVKTVGKGM HAKVFVEGFPGRQLEGRVTDVAALPTADWRSDVRYFDGKVRLDHPPANLRPGMTAQVV IDLGERSDVLTVPALAVTREEGREYCYVVHDEGLERRQVKLGEGTSDLLEISEGLREG ESVVVNPDPSEVADDMIEASPAACEGTLAATGGSPAADAPSDPGPSSKSSEDGHDASG RAVVLSN OJF2_RS40525 MAQARPELLSPAGDRECLVAALENGADAVYFGLQRHNARIRANN FDGADLSEIMATLHRRGARGYVTLNTLIFPGELADVEATVRELVEAGVDAVIIQDLGL ARLIRAICPEMEIHASTQMSITSEEGVRLASELGCSRVILARELSLDEIRKIRCQTEV PVEVFVHGALCVAYSGQCLTSEALGGRSANRGECAQACRMPYQIVCDGRDVDLGDVQY LLSPQDLAAYDLVPRLIELGVASLKIEGRLKAPEYVANITRHYRRAIDAALSSEPAGF TARDVEEMQLSFSRGFSHGFLDGNDHKFLVRGDYAKKRGLLVGRVAGLTRQGVLLEQP ATSVKPGDGLVFDGDEQANVPEQGGRVYEVFPRPDGLVELRFGRDAIRLQALRHGQRA WKTDDPELTARLRRTFEGHPSRLVDLDLVVSAKAGEPLRVQARTAAGQGARVEGDAPL VAARSRPADEALLRDQLGRLGGTIYRLRGLDAAIEGDPMIPRSLLNQVRRDLVAKLEV AASTPARRRIAEAPVLPELLAPIEAERARQLAARNDDAVPRHASSPQASMPPSGEAIP SGPLPPPWGRVRERGRPPSPGEEAPGSSRDADFRSPAHPTIQAPSDPPLPNPPSPGGK GQNVDALHRGSARGATGELAVSSTPQLHDGLSALCRTTEQIEAAVAAGITTIYADYQD IKRYSEAVAAAREGGATIFLATPRIEKPAEANLFRFLEKLGPDGILVRNAGGLAFCHE RSIPFVADFSLNAANPLSVDLLKSRGAIRVTASYDLNAQQLADLIAATPPDWLEIVIH QRIPMFHMEHCVFCAFLSPGNDHTNCGRPCDHHDVKLRDRVGVEHPLTADVGCRNTLY NAVPQTAAEFLPRFLTLGARQFRIEFLGEGAAAVGRTIGLYRAVIEGRRDAKSLWREL KATNQYGVTRGPLAVIG OJF2_RS08655 MRTRISIAGLMWGVLLLALGLAALKSGSDAWSATIFLLTSGTLG LGVVGVVCDAPVARRWCVRLTVFATSQ OJF2_RS08660 MEKQAGEARLAEAHAESKPAMTQDDFRVFDAVLADLLDSEVFQT FSGANGGQTEIVLHQRSAGGPIALSERNLLAESRDEPSHTIPVDLRDDLRKRNPGSEI SLDEFKPSDPRIVIRDLAESYSYFDFSNRFPHGIGYVIAWLPGYSTNGRTAVFRASFG PTSHGATLTYLLTRKDGRWTVSWRTTISYL OJF2_RS08665 MSQILETRSGRPLEFQEYGDPDGHPILFFHGLIGSHLQAAYVAD EAGHAGFRVIAPNRPGVGRSAFVERNSALEAVPDVEDLTASLGVDDFSVIGISGGTPY ALACLLRLAPRIRTVTILSGMGPTRLPGALRGMERRRRLAVEIGSRYPNLARQEVRKW AERFKADPRGFLRYLVSTWCEPDRRLFEREDVFNLFLGDLEQVLVQGSGPETFAQDLA LYRNYGFSPAELPSSHLVTLWHGLDDVIVPPAMGWKMATTLPRCEAHFVPGGHFVAIS IADRIIAGLRKSRDESLAGRPGGVIS OJF2_RS08670 MPSDRDLFTEEQQMATMSFGEHIEELRVRLILALIGLAVGIIIA FIPYMDLGWRVMKSMEAPAKGALERFYADEYRKKAEAAEASKELSPPVEAVIPADSFV GALKQVAPHMDLPAPETLEGKTVTFPLRYLQHQAIRLIENGVVQIDQSLISLGPLETI TIYFMVCLVTGLVLVSPWVFYQAWAFVAAGLYRHERHYVKKYLPISLGLFLGGVFLCF FFVLPLTLRFLLEFNVWLGVAPTLRLSEWMSFATVLPLVFGIAFQTPLIMLFLERIGI FTVDDFRAKRKLSILVITIAAAILTPGQDPISMLLLAVPMVLLYELGIILIGYGKVGG KVPANVP OJF2_RS08675 MEDVTVGLVGLGTVGTGVAKLLTEHADRIARRAGKRVRWKWAAV RDPRKARDVSLDGVRVTTDPMEVARDPEVSILVETMGGIDQAAEVVLAALDSGKHVVT ANKAMLAERGREVFDRARRAHRAVAFEASVGGGIPIVQAIGVSLAANQVQGLAAILNG TCNFILTKMTMEGLPYAEALAQAQSLGYAEADPTLDVDGTDTAHKLVVLAQLAFGANV VTSDIRRRGIDRLDLADLTYAGELGYAVKLLAVARLSEEGLDLRVAPTLVKKGTPLAE VRGPYNAVRVVGDAVGDVFFYGRGAGMMATASAVVGDLIDVVTGRALITSRVLNPWGD ADHPVARTPSNRLRRRYYLRFHIADRPGVIAALTQVLGAHGISIASVIQHDSGDDAPA DSPVPLVIMTHLAVEFEVEAALKEIDRLDVSHAPSVLLAVED OJF2_RS08680 MTRPKFVIVIPDGAADEPTELLGGKTPLQAAHVPSMDRVSREGI VGRSRNVPERFLPASDVATLSLFGYDPEKYYTGRAPLEAAAMGISLGPNDWAIRCNLM TILDGRLADFTAGHITSEEGRPLMEALQAALGRPNVEFHAGVSYRNLMIYRGQPGEAR FDDSTISDPPHDHPDQPAAEHLPRGAGADLLRELMAAAGPILADHPVNRARTAAGKKP ANAIWLWGQGNAPNVPPFEQVHGIKGAIISAVDLVRGVGVLAGWTRIDVPTATGYLDT DYAAKGRAAIEALKDHDIVCVHVEAPDEASHEGRADAKVEAIERIDHDIVNPVLDALR KYPEWRMVISPDHSTLLRTRAHDRALVAWAMAGTNLPASGLTYDELSARDGGGPFLTQ GFRLMDRFLDLKWSGRPD OJF2_RS08685 MNTFAQTVAWLAIQVTALLVPATACHLFASRRGPAAGASVAAAA LGLTLGLTACAPCVGLLAIRLTAVPVAAVTPGPAASTAGRPPEPQASAEHPPGLAISW RTLAATLRNFEHRVGEASAPHAGGLRIAAWGLLTLAAIGSSRLALGLLEVRRCRRRGA VLRDQGFLGELESLRTAMGVLVPIEVRELPEAIPAATAGWRRPVILLPADWRAWPVAD LRAVLAHELAHIRRSDYVIGLVAGLAQALHAYHPLVAWLASRLRMAQEQAADALAAEV SGGRHVYRAVLARMALEQDVGRRRWPVSPFSPTRGTLIRRIQMLESNGGEFDRSGQRS HRWQAGGLLAAVALVISLVPNPLRASPPSDAAAETRPGAIPAFDLSYIPTNAMGVFAC RPSEISRRPRVDALCMALTFDIGAYLAELGEGFAPFWGEHPLMLNEIEQVTCGLQFGL KPDERRELRSFAMASLMLRTVRPYDWNGLIRAVWPDATEHHVEGKVYFKVKALSLGTN PCFSVADDRTLIHMQEDAIVAILGRPSPMPPLFVQRAEWKEVERDFVTVVLDNSRDRI RAATRREETLDKDDAFARFISLAERVFFGLSTSDDVRFNMLIFPRDGITQEKLARMVE QVRDLSIKDLLKVDDVDDLSPRVMRSRGLLVAILRSIVISRESFGLRLANQPSTPDAA VKLIELLVLDGKPKAHQE OJF2_RS08690 MARPRAKDLTERELEVMHVFWGMEAMTAAEARDRLAAAGLDRSY PTIANLVRALEEKGFLTQVNEERPFVYKAARTHEEVSGRLLGDLIDRVFRGSRADLLY RLVEHRKLTKEERRVLEQILREDGK OJF2_RS08695 MNAEPRPAESPPQPADVPSPAIRRGRPFRLRPWHLVFPIAAVAG LFSLARYFERQRVRHEAIFAAQAGCQENLNALASAMAEYAKTFGHLPPPFQPDPDGKR RESWRATFLPRFGAAAAVGERYDFRKSWDSDENQHHAGDMPALYGCPAYRSVMPEGNA SYRMINDLSAIDPAKLPRNAILLIESAGLPLDWRSPFDELSEEQVRSIASPHPSGFGV VLADFTSVRLKDVDRIRTVDGLYVLDEPKSVNP OJF2_RS08700 MRRDTLTLQARFVFPVEGPPIEDGAVVLDRGRIGWVGPASERAG DLDLGNVAIVPGFVNAHTHLELDHVGSGGSAGGPEDEVAWLRRVIDQRRGGSEQMLKD AVGRNLGASLAAGTTFLADTTTAGLSWDQVAAAPMRAVVFAELIGLKRYRGLQTSDAA WKWLATVQPEKQVAACAKPGLSPHAPYSTSPWLYHRAAASRLPLSTHLAEMPEEIRLL ERRDGPLRDFLEDLGAWDDDWEPIGPRPADFVRKGELRNADWLIAHGTYFDPSEFWQL RPEAAPNGHRVAVAFCPRTHARFGHAPHPFRAMLEKGVVVCLGTDSLASSPTLSILDE IRFLRGKEPSLSGELLLTMATLFGAWALRAETTTGSLRPGKSADLAIIQLPDRSDADP HSLVLDSEEPVVATVFEGDILNGPWKGL OJF2_RS08705 MSWSNLFVIFRRELLDQLRDRRTLFMVFIFPILLYPLLGLGVSQ MVAAMEKKPRVVVVVGAEHLPAEQPLISPEGDGFDPRLFDSPAEAALLKVQKVPADGP WGNPEFRQQAIRQGSAAAIMVIPSDLPRQFREKNDAPIPILYRSVDEPSQITYLRLRE MLDRWKRGIVEARAKRDNLPSGYTQPIEVRGMDVATEQEVGGNVWSRIFPFLLVMMSL TGAFYPAVDLCAGEKERGTMETLLISPATRAEIVLGKFLTVMFASVTTAVLNLVSMGL TGIFMAARGGHLGLHGASQAAGGGAGLSPPSVQSAIWMVALLIPLAAFFSAVCVALAV LARSMKEGQYYMTPLYLVCLPLIFLTLLPEIKLNLFYSLVPITGVALLLRALIMGDYR TGIQFFVPVMVPTIIYAWLAIRWAVDQFEREQVLFREAERFSLGTWFRHLLRDKEPRP TGGQAMLCFAVILSASWFLMVYMLMRGMGASLAAIAAGQFLILIPPIIMAVMLTSAPG RTLRLAWPSGRYLALAVAFPLALNPLVNLLGVLVQALFPVSSTVKEAYAQLVPADLGL FTLVGVFALIPAICEETAFRGFILSGLEGGRRTRSAIFISALMFGFLHVLLSMYQQLF NATLLGVVLGLLAVRSRSLLPGVVFHFLNNTLAVTQPARLRLLESAGLARWIYREPAE GLYHEGWVLVSVLASGLLFYSLWKRDRPESGRAARVEAIELAEAPAG OJF2_RS08710 MIHVEDLSKAFLDYQRGWVHAVRGVSFACRPGEIFGLLGPNGAG KTTTLRILSTVLRPTGGRAVVAGYDVVQHPQQVRRHIGYMSASTGIYDRMTAWELVEY FGRLYGMDRGTLRARMESIFDWLKMDDFRDTLCSKLSTGMKQKVSIARTVVHDPPVLI FDEPTSGLDVLVQRSVVQKILELRDMGKTILFSTHSMHEVSKLCQKVAIIHRGVLQAS GTPGELLERFGQPDLEELFFALVERADREAEASGSGALAR OJF2_RS08715 MSSTVPAILQGAAEGRRVTFEEGVRLAREAGLHALGRAADAVCR RLHPEPYRTYNIDRNINYTNVCTAVCDFCAFYRKVNDADAYVLDRDVLLDKIRETVEL GGDQILMQGGLHPKLPLEWYEELLRDIKAHYPAVNVHGFSAPEIYHFTKVSKLPLDTV LSRLKDAGLGSLPGGGAEILVDRVRAAITRGKVMTDDWLEVHRAWHRLGGRSTATMMF GHVETLEERVEHLERVRQLQDETGGFTAFISWTFQPDHTDMADVPPAGAFEYLKTQAL SRIYLDNVPNIQSSWVTQGPKIGQVGLFFGANDMGSLMIEENVVSAAGTVHHQSIEQI RRSIREAGYIPRQRNVFYEYLDRAPEAAEAALAAG OJF2_RS08720 MEPRVRVGAVSYLNAKPLYYRLEEFHPGVDLRMAVPSLMARDLA AGELDIALIPSVEYLRGAHRGYEILPGFAIAARGPVLSVKLFSRVPFNRIERLALDEG SRTSQALSRVWLDEAWGCRPGVIEPLPLGVPVLESTADAVLVIGDRAMLVPDEPFHAV ADLGEAWRELTGLPFVFALWVVRKGAELGDLPEALGRSRAEGLANADAIAREHGPRLG LDVATCYHYLTRALSYDLGEPELAGLTLFARKAAALGLAPEGVNLVFHRPRDLAGRR OJF2_RS08725 MRDRTIAIGDIHGCSAALAALIDAIRPTPGDTIITLGDYINRGP DSKGVLDRLIELGRHCRLVPILGNHDQMLLDVRSGKYPLFWLFDMGGTATLDSYGPGR DLARIPDEHYGFLESCRDFHETDTHFFVHANYDADVPMAEQEIGMLRWESLRATVPGP HESGKKAVVGHTSQKGGEILDLGHLKCIDTYCYGGGWLTALEVRTEEVWQVDRAGTWR TG OJF2_RS08730 MRQSAWRTASWIAISFAIAFLPCIGKGLRGDRQFGFRDAAHYYY PLYERVQREWDAGRWPLWEPEENGGMPLLGNPTAAVLYPGKLIYAAMPYPWAARVYIA AHVALAFAGTLLVLRAWGVSGTGSALGALTYAFGSPILYQYCNVIYLVGAAWLPLGFL AIDRWLTAGSRPAILGLTAVLAMQTLGGDPQSAYLLGLCGGGYAAGLAWIRSRSSVAE QAEPADGAEPTTGRRGRWWAIPLAVGLVVAWFYVTLRLAEILPRLRPTGEPTPALPWM RYVPLVMLGLWSLVGLRILGGWLRGGRRGRLGGALAGMAAAAVLAAGLSAAQLLPVLE FAGQTTRAEADGPHEVYPFSVAPYRVVEAIWPGIFGAASRTNSSWMDVFKFPGARQKI WVPSNYLGLMGLLLAVSAFGLRGGAPRRVWLSAIVLLGLLGGFGEYTSPIWASRAIAE ATGWDVGDIGPQDHDQVTPIRRDRYLRDGDGGIYWLMTNVFPGFKQFRYPAKLLTFTC FGLAALAGMGWDDLMRGRRKRVIPLAAGLALLSFVLLILVLVNRRELIARLSGRGIVT LFGPLDPEAAIRDVTFALSQGAAVATALAVLAILAQARRGGGVLGGLALVAVAADLAL ANASLVTIVPQSLFDGEPDVAKLIREAEAKDPSPGPFRIHRMPIWSPPAWFLRSSPDR ESEFVSWERATLQPKYGINLGIDYTYTKGVAELYDLEWFFVGFERSVHGATAKDLGVA EGTNIVVYPRRSYDIWNSRYFVLPSQSNGWTSEERGYASFLEGTEMVHPLSEFFHGKD NEAERRDWAEKHDYQIRRNLQAFPRSWIVRDFRPLPPLEQLSRRERGGPMQEMLHAGD LTWKDPNLPVYDPRRIAWIRGDDVPGLRPYLNGQPQKATETVKVSYPRPDRVVVEANL DAPGLVVLSDVYYPGWTLTIDDKSAPIYKVNMLMRGAAVEKGTHTLVFRYEPRSFRLG GRISIASLAISAILVLALAVRGRRPPPAADA OJF2_RS08735 MERLRRLSGIVAPGLVLAGFLGLGAGRLLSPPSVAQEEPHKPPD EDPTSPADYLWQASRPELIKDEPLAVKAPIGLMPLTPKVVVPAGNPITKGKYELGRQL YFDPRISLDGRESCATCHNPDLGWSDGRKTSTGIKGQKGNRNAPTVFNTAYGKTMFWD GRAPSLEGQAQGPMINPIEMGAQKHQDIVERLRKVPGYKEQFAKVFGTDVTLDGMSKA IATFERVAALSGNSKYDKYNAGDNDALSESEKRGMVLFGLRLSTDDEFKPGVELQKAK CTLCHVGSNFSDEQFHNIGVGWDESRKKLADIGRWAPVPIGHKTEEDRGAFKTPTCRN IELTAPYMHDGSMKTLEEIVEHYDKGGNPNPALDPDMKPLKLTAQEKADLVAFMKALT GEHKTLAELLPTLPPNADGSTVDPTVALTTASGD OJF2_RS08740 MAASSLAGKPRKVIPKILAVIHADGCTGCEACLEVCPVDCIYKV SPTEYPGLQGFCDIDLDRCIGCKHCAQVCPWDAIDMVDTIDVAYHVAVKGGPPEYVAE EWDNLVDAAQRNAEGLLAKKK OJF2_RS08745 MAIPNAHRAWLPALAVLGCSAAASAADLPPPVVSAGLGVNIHFT RPSRGEMERFAEAGFGLVRMDMSWAAIEHERGRYDFAAHDELLGHLAKVGARPIFILD YGNPLYNQGLAPASDADRAAFARFAAAAARHYRGKRVLLEIWNEPNLDGFWKPKADRA DYAKLAVAAARAIREADPHATVLAPGSSGFPWEFLEASFAAGLLDHIDAVSVHPYRED APESAATDYGRLRALIARHASPSRRELPIISSEWGYSTAEKAVDEETQASYLARQWLS NLASGVNTSIFYDWKDDGDDPKDRECRFGTVRTDLAPKPSFLAAQALIRNLRGYAFRH RLRGSTVADWKLLFEGPAASGSLVVASWSATGGRGATGSAPSYRQVSEDDPSWRPLRR LAAVRWQAGPLSERRGELTRLGVRIVNPEPTRATVGIRAIPPGPGTSEWTEIVLEPNQ EHEGSVVLPGGAGIGSMRRVQLEVTWNGEPLPATAPLDLWRTDPLELAAAPWRDELEL IVSDPSRVGFSGSVTREVHEVATWSGPVRIAAGQAEGRVRIPLGREPIRVLLKDDRST ILGDLEPRRYVPFPGFDDAGKPRAAFTAILHVENVGRTPRRLHAERSAADAPSSYLIQ VPYQFDKGWRYLTIAPEQTMEVPAGADSAVVWVRGNTSGDALNCRYRDSTGQVFQVSL GHLTRPGWYPVTIRFDGAEKTFHYGGANDGIPHGPLRWEALLLIDSTHRDRHSAPMAA AFASPFYVFNR OJF2_RS08750 MSPVIDATAFLAPGVIVMGDVHVGPGSSVWYQSVIRGDTERIRI GARTNIQDFTMVHADPGIPCLIGDRVTVGHRVILHGCVVGDDCLIGMGAILLNSVKVG AGSLIGAGALLTERMDVPPGSLVLGSPARVVKPLGDGMRDRLERTWRHYAELARRHAA GEFPPVPVTSGPLPEILGGSAVEDVER OJF2_RS08755 MKRRLTFESLEGKLLQSVAIARAPVVAAACVRVDLPAPDDDPAP KPEPDPGPLPTNEPPIIIPPLPPSGPAGPGLLILSTKR OJF2_RS39105 MEIRGGSQAVHEAFSTPGLDAWLYSRPHDGDACGGDVHYVSLCG GGVITRLVVADVSGHGAGVAGFSETLRQLMRKNINSKSQTRLVQALNREFGEAAQLSK FATAVVATYLAHRGTLTVSNAGHPRPLWYRASEGSWEILDRDALRRGNLPLGIDEDSS YGQFTIPLGRGDLVLFYTDALTEAADPAGRLLGEDGLLALARALDSSEPGTLLPSLLN SMEQHRGGRPADDDVTVLAIRHNASGPRRRTIGERLEVYGKVFGLKAY OJF2_RS08765 MIIDARSVPTGSVIEADVCIVGGGAAGITLAREFANSSHRVILL ESGGTEREQATQDLYAGSVVGQPYDLFPESRLRYFGGTTNHWGRVWCDLPHSLDFEER EGVPHTGWPVSLSHLEPWYWRAQSVLKFGPSGYELSEWGIEPAAIPGPFRGPHFVTRV LQQAPATRFGREFAPELGRAKNLSVYLHANGLRFDAAEDGGAVRQVHVGVLPDGRFTV RARIFVVSAGGIENARLLLLSENEAGVGLGNDRGLVGRYFMLHLEYSGGSIEPADPYV DLNFQTGEQGAQFRRIGGTRRFVSYITLSDETRRELKLPNFKVRFQYPRLPEMDALIR LLQRSGRGADMLRDLGAVTRRFGGVTAYLARRVLYGRNKPPAPLASISLNCTSEQMPN PESCVTLGNDLDAFGLRRIVVDWRLTEADRSGMATSNRLLDEELKRAGFGRLRSTFPE GDEGWPAGMHGDQHHMGTTRMHKDPNQGVVDENCRVHGVSNLYAAGSSVFTTGGTFNP TLTIVALALRLADHIKQNI OJF2_RS08770 MGTVAPARTVRRALPHALSVFPFLVATAGLIAGEPEKVRFSRDV LPIFAENCLTCHGPDAKARKADLRLDVEQSTLRTKEPLVVPGKAGESELIRRVETDDA DELMPPPKSGHALTKAQKETLRRWVDEGARWGKHWAFEPPIRPEIPRVSRPGWLRNPI DAFVLAKLDENKLTPAPEADRPALIRRLSLDLVGVPPSPAEVDAFLADTTPGAYDRLV DRLLASPRYGEKMALDWLDAARYADTNGYQNDFARTMWPWRDWVIAALNANQPFDAFL TDQVAGDLIPGATKAQKIATGFNRNNRTVTEAGSIDEEYRIENAVDRVETTSTAFLGL TMGCMRCHDHKYDPMTQKEFYQFLGFFNSVNEKGVYTETKGNVPPLMMVPDREQQAEL DRLNGAIAAATSARDEAARTLPERRKTWEARLGASAEPQEPRDWTFRLPCDGDLKVQT ASGDAQPASWRGSKPPTWAESPSGRAIRLEGDLESFAEAAPGVSLERTDAFSYGCWTR PQGEGACLSKMDDAHGYRGFDLLITGEGKAQVHIVHDWPAVALKVTSKQPIPKGSWSH VFVVHDGSGKASGLKLWVNGRAVEVDVESDALKDSIVNDQPLRIGRRSTSAPFKGEIA DVRLYRRALSADELRDLAAAPFLAIAREPAAKRSPAREAFLYRYYRENADEALKRTEA ELAGLQARKALLEAQVPTVMVMEDMPKPRPGFLLKRGQYDLPDKSQPVEPGVPACLNP LPKDAPRNRLALARWLTSPDNPLTARVLVNRIWQQHFGNGLVKTAENFGVQGEPPSHP ALLDWLATEMIRTGWDRKAMHRLIVGSATYRQSSKASEELGSSDPENRLLARGGRFRL PAELVRDNALAIAGLLSSHVGGPSVKPYQPAGLWEDLAGGAGEGPYVQDQGEKLYRRS LYVYRKRTVPHPVMSTFDAPSREICQVKRARTNTPLQALALLNDVTYVEPAGHLALRM FAEGGSTPADRLAYAFRRATARFPTEREIGLLSEGLDRYRRAFAAEPSAVRQWLQQAR IDAAAGVDPVELAAHAASASVILNLDETVTRE OJF2_RS08775 MEPLEIAQELNRRQFLGRAGLGLGSIALGSLFGADALAADASRS GVPKGDSKGLPGLPHFAPKAKRIIYLFQSGAPSQLDLFDDKPRLRDRRGIELPESVRM GQRITTMTSGQKSLPVAPSIFKFARHGESGATFSELLPHTSRIADDLCVIRSMQTEAI NHDPAITFVQTGSQLAGRPSMGAWVAYGLGSMNENLPAFVVLLSRGRTDQPLYDRLWG SGFLPTKYQGVKLRGGKEPVLYLANPAGCSAGLRRQMLDDLGSLNQIRHDQTGDPEIL TRVAQYELAYRMQSSVPELTDLSGEPKSVLDRYGPDVTRPGSYAANCLLARRLAERGV RFIQLYHMGWDQHGDLPNQIRSQCRDTDQASAALVSDLKERGLLDDTLVIWGGEFGRT VYSQGTLTATDYGRDHHPRCFTIWLAGGGIRAGYTHGETDDFSYNITRDPVEVYDLNA TILSLLGIDHTRLTYRFQGRDFRLTDVHGRVVKEILA OJF2_RS08780 MPRTILCQKCGIVLNLPDHTPAGKRLKCPRCAHRFQLTEQDASA ESTLAGPADAMASSTREFGTRPPSVDDLPVASGDRDLRDLFELPSGTADSIEHAAAGD PRRSEGDAAALFQAEPARRKKPRGAEARAQARRCVVCGSVVPAGMSLCPACGVDQETG LRVDLTDDLIAPPPPRAAGPPLHIAVIGVLAGLGSLALLVIALANSARVEPGVLQYCW LCLAVVSAVGIFGAIQFFVGKTPKYLMLALTLGLFVDIVALIAVPIIQANFEEREVIA TLPVPTNDPEEEPDAGIKPIAERIDQSKITTGLVVIGLYGVISLYLMSPPVKKYFTRR AIMDAGPLV OJF2_RS08785 MQQKKSKLNRRNFLGTTGAAMAAGAFAHPAIGAAKGANEKLNIA ILGPGGRAQEHIRILKHIKDETKLVDIVGLCDVWDGNDVAKRGLYYSAKKCGLDAEGK DKDRITKDYRKILENKDIDLVLIATPDHWHAKMSIDAMEAGKDVYCEKPMTHTIDEAR RVAETVKKTGRVFTVGVQSTADPRWRMANSMIVDGKIGKVMQGQTSYYRNSDVGQWRY YDLTKDMTPKTVDWKMFLGTEFGLAPDQPFDRARYAQWRCYWDFGGGMYTDLFVHQLT HLIMAMGVRFPRRVVGAGGLYMEYDGRDVPDVATVVADYDEGCQVLISATMCNDTQLP EVIRGHNATITFNRTPEKGFNVSQQRLASHPAPPGSNTGEGGELFNPQQPREDTRALW EHFLGCVRSSNQETLCPADLGYAAITTVNLGVQSYREGKAYFFDKETGAVSPADTSWA AHWEKVSHDRGKPTQVMGWKAGETGSLLVPPAYQKLEGPWVDGKDPAEKA OJF2_RS08790 MGRGRETIVGRDYRSSRWIELSIDGAAIADVRQAGGPEMPSPRD TWVAPAFWDIQVNGRLGHSFSSPQLTEEQVRDVVTAQASMGTARVCPTLITATREETL HGLKTIDAACRRWADVDRMVLGIHLEGPYLSEREGYRGAHPAPAMRDPDPTDFEELHE ASGHRIVLVTLAPERRGAIEFIAWARSRGVAIALGHTAADEATLDAAVAAGASLSTHL GNGIAANLPRHPNPIWHQAADDRLLASLIADGHHLDADTLRVLARAKGPSRTILVSDA SPLAGLPPCTYGGWAVDPAGKVVVAGTPYLAGSNRSLMVGLENYVAAMPCGVADAIGC VTANPARLLERPAPELEAGSPANLILFEQPEPGRLLPLRICVDGRWSEPSVDGSPRPR QPFGV OJF2_RS08795 MAKHIFVTGGVVSSLGKGLTCASIGMILERRGLRVRLQKFDPYI NVDPGTMSPYQHGEVYVLDDGSETDLDLGHYERFTHAPLTKDCNYTTGKIYLSVIQKE REGYYEGKTVQVIPHVTDEIKAGIHRLATDDVDVVITEIGGTVGDIEGLPFLEAIRQF ALDVGRENCLYIHLTLVPYLKAAAELKTKPTQHSVGALRQIGIQPDILICRTEVPIPA DEKDKIALFCNVDKKAVIEERDRQFSIYEVPLSLVKHGLDEILVKRLGLKAGELDLSP WSEMVDRIINPKQEVRIAVVGKYMKHRDSYKSVYESLDHAGFAHRARVLVVRVEAEEV TTRGSDALLGGVDGILVPGGFGMRGIEGKIEAIRYARTNNVPFFGICLGMQCAVIEFA RSVLGLEGANSTEFDRLADDPVIALMEEQKNVTQRGGTMRLGQWPCALAPERLARKAY GQDQISERHRHRYEFNNEYRKAFEGHGLVASGTSPDGGIVEIVELADHPWFLAVQFHP EFQSKPTHPHPLFRDFIGAAIARREALRSGVEPLARVAD OJF2_RS08800 MRLRIVFDGPDGRSRKVAIEQGRTVKWGRSEEADVSLPDDLLLS RLHFAIEWAGDGFRVRDLGSSNGTFLNRKRIAAPCAVGDGDLIQAGRTSFSVVVEGTA PAPARPKSSSWRLSPVAFESIRSQESPSPQPAPPAPDPQAASGEGGEEPPANAPRPEP AAPAPRPLAGDVLAADRVAIHTMMWEGPEGRPRLTVIVKITCTMPTKHGAVAGVAPAA LPVFTCDVPWEDESLRTVRFETDVVPFKPRADVVVAGAAYFPSGKDPETPLEVGLRVG RLEKSIHVYGNRSWWFPTRLAMIPEISKPEPFSRMELVYDRAFGGIDEAAALYSSANL AGRGFAGKKSPGSLHGKLLPNVEDPADPIRGWDSHPRPAGFGFYGRGWSPRLQLAGTP SPNPEGRERVLGLPADFDYGFFNGAHPDLQVPGYLRGDEEVELRNMSPDSDFEFRLPG LLPRIVVTRWEQPPDEWLEARLREGRSAGVEDVPTRREDVEPVLDTLVMIPDERLLYM VYRGHVYLRDLERMEIAHVAVRVEIPRSAREQPSALRTWIPRAES OJF2_RS08805 MNDPGATPHVPDQADLVPGARLRGVTLEGSALAGARLSGADLGG ARLTGSVLRGADLSAANLEEARLGECDLAAAVLRGAVIRRALLASACLRGADLRGADL TGADLQGADLVGADLAKARLVGTNLSGANLSGACLVAADLTDATLAGAELSGVGASAA IFARADLGGSCCRLGDFSESDFTRARAGDASFERATLARARLVELRAARGVFELADLR GATLDRCDLADANLVGVDLTGARATGCDLRRVDLYWATLASFDHSECRLEGVRLPEGV QVAFGPLGVKPPAIRPRPLYRSPLTAEERAALLKAAAAAGEVPSCG OJF2_RS08810 MSESESLLAEIEEIRLGVLGLFRQMSEVSRRLQEIARESPTDPE FLARGREIAILQEQQRELGQRQQQAFRRKKAVEQRLKELHVPGPWDDDPAPPDDPEAR OJF2_RS08815 MCVNVATGVVTLSAREFHLSGEMPFALLRSYSSASGRLGPLGWG WSSDLELWARLEGDTAILFEAGEETSRHGPLERDRELWFHRETLGAMRVLLTAETLIV TTAGRRRLVFAAPPRPDDPWLLRRISDLNGNAASFDHDEDGHLVRATDGRGRRFALSY ERGLLTRVELRTADRDPMILARYEYDARQDLVSAWDASGARYEYEYADHLVVRETNPS GGSRYYAYDRDRRAVATWLDGDVRYRGLVHDPARRTTLLVDSRGWGTLHRFNEADLCR EKVDALGQVKRTFFAPDNSLLFSEDEELGPVSLQSYDPATRSLTTLDAAGAATVTQFN ELNLPVRSEDPEGNAWVAEYDERGNQVALGSPMGRRWSHSYDARGRLERVIEPAGRVI AIRRERDGRAITYSDQLGTFAACEVDDFGRLVRYADEAGRTTTWSHDALGRLVAIRLP GGGVVRIRRDEAGRPIEALGPMGYREQRTYDRFGLLLSVTDSAGRVSRFEYDSEQRLI AATGPRGERATIDHDRLGRPHRMTYPDGRVEAFEFDAQGYVVAARDPSGVRFTLEYDA MRRLTARRYPDGRETTYTYDAAGRLIAAASPWSVVEIEYDADGRAVKEAQAAGTLSHG FDGEGRRRELHFDEARIAAYYYDPRGRLAELTDAAGRAIRIRHEDRGATVESSDSSGV VERSEYGPTGLLQHRRAVGPRDAVLHDREYRRDEGGRVATVADLRAGTATSYRYDRAG RLQSVERDGEVVEDYAYDAGDNPVRSHDGGGYEHGHLDRLTMAGSLRCGYDANGNLAW RERDGGRTEFHRDYENLLTRVTHPDGTATEFRYDAFHRRIAAERDGKTTRYLWWGDRL VAEAGPDGVVTYAHTPGGFQPVTRARDGVSLRYITDPIGSPQALVDQDGSVLEEIAPA GFDRPAVGGRLFPGQYFDPDTGLAWNRHRDYDPALRRYTSPDPIAFFPGSNAYAYAAD DPVNAIDPLGLACFRQECEDAFQRMDNAINTEHHPPAPVNPPHPGAQVPNHKGLQQRI AEFNANTGWMPLQRNPGDPAGGGSGQANTIGSHYEQYWQLQDHLRNAQNDWWANGCHP SNTSDPARAAQVEADANHLATYTPPVPPPNPEQSRLMEGIRMFRQDAGSGLWLPVH OJF2_RS08820 MGKPAARLGDTTQHGSPLLGAPCPTVLIGGKPAWRIGDQHTCPI PNAPPPACSGTPHGPGVTTPVPDGAPGICMIGGKPAARVGDIVMEPGALIPLPPPNNI VVGEFTVLIGMGGGGGGGGRACSTCT OJF2_RS08825 MKCCGQVATRAITRSQQARIRYEGGPPVVVAGPATGRAYRFSGT DRVQLVDPRDAPGLARGGMFRLIGVVETTGD OJF2_RS08830 MEPPDPYHPAFRFVLAGLASWRLAFLAVRESGPAGVLDRLRRRL DRGPGRGLLECVKCVGLWTSLPFAFFVGASVPEVLVAWLALAGVVALIDEWLKPPFEW REEKPDEMLRPGRDEGDHPEPTGEDSL OJF2_RS08835 MPVYTQVKRPIAVTTPLGPDVLLLQAVSGTESLSGLFSFRLELL AESETEIPFEKVLGQSATVSFLTADGDERYINGILSRFSQGRRVPSGLGPGFLTCYYA ELVPRAWLLTRRTQSRIFQQMTVPEILKQVLTGLDAAYQLQGTYKARDYCVQYRESDF AFASRLMEEEGIYYFFQHEPGGHKMVVGDTPQSHDDVPGDPALIFEEVRGGLREEDRI FSWEKTQELRSAKVTLWDHCFELPGQNLEAVKSTVDSVQAGRVAHKLKLPANDPLELY DYPGAYAQRFDGISPGGGDRAGDVQNIFEDNGRTAGIRMQQEAARALLIRGKSTCPQL IAGYKFSLTRHFNGDGAYVITGVSHAASMGDAYVSASDAPPSYENSFQCIPAALPFRP ERTTPRPTVEGTQTAVVVGNAGDEIFTDKYGRVKVQFPWDRHGKRDADSSCWIRVATP WAGKQWGMVHIPRVGQEVVVAFEEGDPDRPIIVGSVYNADQMPPYALPANMTQSGIKS RSSKGGSGANFNEIRLEDKKGSELLSIHAEKDQSISVENDESHTVGHDRSKTIDHDET THVKHDRTETVDNNETITIGVNRVEKVGANETIAIGANRTETVGSNESITVTLTRTRM VGVNESINVGAAQEITVGGLRAVTVGAAQTITVGAAQAVTVGGGQTESFGGKHTQTVG KTQTVTVGSDGSYTIGGKRDTSVGKDDNLNVKNKLTVEAGDEIILKTGSASITLKSGG TIEIKGTSITIEGSTKIEEKAPNITSDASAKNLVKGAMVNAEASGINTIKGSLVKIN OJF2_RS08840 MAATFALGCIVAAATRAEAGLVVSVEAPGVMSSKVSGITTETFD GLPPGIASSLDTAVGTLASRGRFAILNADSYGGADAGGEYISLGAQSGSPAPMTLTFA SPQAYFGMWWSAADAYNEITFYSGQQALGSFNSPFVLDALNALPDGRKYYGNPNGWGD ASEPFAYLNFFGTGGTTITSVVFANSGTTATGFESDNWSIASVAPSTIRGTIIAGAIV PEPSSLVLAGVACAAGGLAAVRWRSRRTR OJF2_RS08845 MTVSAKCYYALRALYALAEHADSTPLKASEIAERQHIPIKFLEA ILSQLKGGGYVNSRRGVEGGYFLAKPADRLKIGEVIRFIDGPIAPVDCVSVSRPKECE YPGQCPFFGFWGRVRQSISDVVDQTTFTDLMKENEGMRRVYIPDWTI OJF2_RS08850 MWTEPGGSTRPKLPALSGVRIFAAVHIYLFHVKQAHDAGLLTFG IIERLPACLTRLMSRGYVSTGFFFELSGFLLAYAYLDGRGRLKMSAGRFWRGRLFRLY PLYLLSLVLLIPAPALLPFTARHATPLEIAGGVATSLTMVQAWFPPFALWWNAPAWAL SAFAAFYAAFPLAGRLTLGMDRSRLLRLAGLMAFLAWLPPALYLTVDPYGDAWTVRSI DLGGTWLTVLRFHPLSWLPQFLAGVLLGRWFGLGIDREEIEVRTSAAAPRTSAGDLVM LGMFAGLALVPGIPYVPLRHGLLAPALLVVIVDLARGRGLLAWGLSNHLFARASEASF PLFALQMPAGLWFCVFFVDSAKGSTGQLLGMISWTLGASMLWVGLSSLNAGRIKRAVQ EKPRCLNHEPPGPMLSHPIVAARKAYVREDGVAS OJF2_RS08855 MITITVPTGHVGRHLVEWLASSGRALTVIARRPDRLPRYLHERE IVRRACSEDAAAVARATEGTDVLFWVSPSNPTAPDVRGWYRRLAEVVGKAVRVNRIPR VVNLSSVGAGWADGLGPISGLNEVERAINDAAKDVTHIRAGFFMENFLGQLASLRGEG EIPWLYPGSMTFPMIAARDIAEVAARRLLDARWTGRCVQALHGPADLSLDAAVNTLGV ILGRRLRNVQLSADEYRRRALEAGLSQDFADRYVQMCEALGVLGWSRLGEPRTPDTTT STTLGAWASEVLIPLVRAA OJF2_RS08860 MQTMSSGDFSSWTPEPRAGVVAVIGGGISGLAAARRLVDTVPRV DVHVLEAGERAGGVLGTVRDRGFLIEESADSFLTATPHAVDLCRRVGLEGEVIPTDPS HRRAFVVSEGRLVPLPDGLMVMAPTRLWPMVTTPILGPFSKLRMGMELLVGRSDLADE SLAGFARRRFGKGAYERLIQPLVGGMYTGDPERLSAEATMPRFREMERNHGSLIRASL RERAERARRERDTTGAGPADGTAGKAAGSGARYGMFAGLRDGMGSLVEATIRSLPPNA VRCGAAVRGLARLPGGGWRVSVADSGDFLADAVVVATAARDAARLLTGIDPDLGAELG RIRSTSCAIVSLAYSRDQIEHRLDGFGFVVPEVEKLQVLSATFSSVKFAGRAPADMVL LRAFLGGAFRAATLDRPDPEIIATAAAELGRLLGIRGEPSLTRIRRWPGVMPQYELGH IDLVRSIEDRIRAIPGLALAGNAYHGVGVPQCIKSGEEAAETIAEYLSGVEKAASGT OJF2_RS08865 MDVPNAAVLHGRGMGRFAERDFAKSPLIVFYEVTKACDLVCRHC RASAQPQSDPNELSTELAKRLIDQLASFSVQPMLVLSGGDPLKRPDIYELVRHSAESG LETAITPSPTPLVTTGAIARLKEAGVHRMAVSIDGADAATHDGLRGVAGSFDQTQRIM RDARALNIPVQVNTTLNPANYGQIEAMADMLAGHGIVLWSLFLIVPVGRATADLRMTG EQYERAFARIYAQSLKQPYGIKTTEGMHYRRYVAQRRVRAKQEAARAGAPSPAGGMPA GPPRGRDGRPGHPQFLTTGVNDGKGVMFISHAGLIHPSGFMPLVCGMFPFNSVVDVYQ NAPIFRRLREPDTFEGKCGYCEFRNLCGGSRARAFNVAGSPYAAEPDCVYQPAGVPAA V OJF2_RS08870 MRTSRGNTMTSPYGRRDVASVAAVLLLWHAAIGQAARAADVTPR VEVEEDVYSFNDARNGAGPMWCAGSTTLVRSGGRLFASGLETIPDAKPLTNCRWFLLS RDEAGWRRVRVDAEGRTREPSPLAAFPDGRVFLSVNPTLGKGPEPDGGPARPDVLEFR ADHPEASPVSLTPTWRGSPAFSEHSYRTFVADGAAGELLLMQNIGYKHAEWTLRDRSG EWSARGQILWPSPVAVAGPTPLRLCYPTVQLKDRAVHFLGVGDVLEPNPAYRTFKRGL TGRDWDYVFCRLFYTWTPDITGRPFADWIEIANLDETRGQVWPCDLSVAPDGGVHILW TERAIDERLRAKFFPAAKQSVSLNHGVIRDGKLLDRREIARDESEASGLSGLRHRFHV TPDHRLFVVYGIEPFGADTRRLLENRLAEILPDGRIGGTVKIPLARPFADFFTATVRA GSPASWTLDMLGTRPGAPDTIGYARINLARPGNATGRAPIRGS OJF2_RS08875 MIRLSIVVAMSRTGLIGRGGALPWHLSRDLKNFKTITMGKPILM GRKTHESIGKALPGRTNIVLTRHPDRVASGCLAAATREEALQLACRAAGDPGEAMIIG GAEVYREFLPMVSRVYLTIVEGSFTGDVCFPEALIDDPRWGILHREQWRADHANPHDA EYLILDRASPPGSSPPGPDGQPGVGPVA OJF2_RS08880 MRPYLDLLRDVRRHGVRKPTRAVLRSTGEKIDALSVFGRQVRFD LAAGFPLVTTKKVAFNAIAHELIWFLRGSTNIAYLREHGVTIWDEWADEHGELGPVYG KQWRSWRGADGEVVDQVARVVAGIRAVVEDPAASVGRRLIVSAWNPAEIEEMALPPCH TLFQFSVTEGRLSCQLYQRSADLFLGVPFNIASYALLTHLVAAVTGLEAGEFVHTFGD AHIYTNHLAQVDEQLSRDPLPLPRIEIDAGLRDLSAVSREQIRLVGYRSHAALRGEVA V OJF2_RS08885 MAPHAGRLTRPSLYSDDVIRVAQLQTIPLTELLLRPFNEHIAPL FQLVSWVTWQACGHRLTAAPYAFTAASLAPFLLALGALFALVRREARSATAGLLACSA FSLSAVHLEAAWWYSGSSFTWALLATIVAWAWTIRALDLREAGEPSRNAWVVAAVSAM AAPAFSAIGLLAGPVAMVRAALDPRLKGREKTGAIIPLVGTVFYLAGAGLLRYDSILT ASVHHNGDLVGGILAAMRAPADVLFPGFLGVANLDGLLGGGFDIAITSILLAAVTAWC SRSAARPAIVTGLSLIAGGYALTYCVRNQFGSHWLLEVQRYHLFPQLGLVLILAMAAR RPLARLDGRPLAAMGFAASIAAVLLAVQIHRIREAGRPLSFPAQARSLIALEDLEQIC RHRHLGRDEIVASLPPVRPRWFPHDGSILDMIPASPSSGRAPAEVLRIVLDSLAPRDL ESLLGGMDATALLAFEPAPLEGRTIARGNLIASVGVRAEGPARWRAGAHRALLDFQLD RSAEGTARHLCIPGLDPAHEVELWWAGDREPWTEARSIRWAAGAPGATAIPLDRVPHW SAGHAHRVRLVVKSDGPIVVEAPRLLR OJF2_RS08890 MNAIAISMLAALTGLGDGPAAAPQPRPSAAVAPGAAPKAISFEI REIAVASPEWRGKLMPNLNPVGRQEAATAWMVDAAGFKQWLEDCQADTRCNVLQAPRM IVRVGEPARMTNEEAHKYVASLKRVADAAPGKASQIAFQPQVEEVHNGVRVNILSSQV RDGRVFAQVSVEENRLLGIYTTNYKETVAPRPGEDPGVVRASFVDRIMPNHGPQPAAI AATIQVPEVDSRRIDGRWMIPAEGALLVSMGPRPSHEKSLRKGYSERLVAITARPTNE EPEKPSSSFPEGAKDRVRTVIPARNDLPKPSSPR OJF2_RS08895 MNIEQGRLLDERAKSIPWRRWGPYLSERQWGTVREDRSDHGDAW NDFPHEHAIARSYRWGEDGLAGLCDDRQRLCFALALWNGRDPILKERLFGLTNGQGNH GEDVKEYYFYLDSTPTHSYLRLLYKYPHAAFPYADLVATNASRGLLDPEYELIDTGIF DEDRYFDVLVEYAKASPEDVLIRITITNRGPDPADLHVLPTLWFRDVWSHPPFLARPT MDEKAGAIHATSAGLGEFVLRAEGGPPFLFTENQTNEQRLFGRPNRTPFVKDAFHELV VHGRTHAVNPERTGTKAAAHYPMTIPAGDSRVIRLRLTRIDGPAAFEGEPFGAGFEGV LAERIGEADAFYAEIIPPSIGEDARNVMRQSLAGMLWTKQFYHYDVDTWLSERGCDPY SHRGRRAPRNEHWHHMHNADVISMPDKWEYPWYAAWDLAFHALPLALVDEEFAKGQLK LMLRERYMHPNGQIPAYEWNFGDVNPPVHAWATIFTYRLQKSRTGEGDRAWLKTCFQK LGLNFTWWVNRKDRAGRNVFEGGFLGLDNIGVFDRSSPLPTGGSLEQADGTAWMALFC QNMLEIAVELSAADPSYGEMALKYGEHFVWIGSAMAHLGQDTGMWDEQDGFFYDVLRL PDGQARRLKVRSMVGLLPLCAATTFDEQDLMSVPELEDRFRWFQRTRPELFSAIHDPT RPGVARRRLGSILDEAKLQRVLARMLDEEEFLSEFGIRSLSRYHSGHPYIDRAGGEEY RVAYLPAESDSRMFGGNSNWRGPIWLPVNALIIRALLQYYLYYGDAFRVECPTGSGRL MNLYRVAEEIAARLSRIFVRDEHGRRPIFGGTRKFQEDAHWRDYLHFYEYFHGDNGAG LGAAHQTGWTGLIASSLNLFANLTPERLLQDGKASYHNRSR OJF2_RS08900 MRLSLADAEAQASPVDGERKALCKEQERLREKLQALGDRTSSEG LRERFVSTFAPQKDRLATSRGEYDRLPEEGARLRPEADGPMLGWDEAQGAWGG OJF2_RS08905 MGRSVQAGLLSIFILGAVAGAPTPTFAQAQPPAAPAGPQASTPR AQIPGYNDVVATVSEGNVSDKVTKGEVITFLSRYPIPAAEDRDQLYRNVVDSLVNTKL LTMFLNRQKIAVDRAKVDEEISRVESSLKQDKQDLETALKENNIDLKDMRAELENRIR WSEYVKAKATDAELQRYLNDNKDLFSGTQVRASHILLRVDPDAPSADKEKVKQKLLGI KAQLDANKMSFAEAANKYSEDPANAGTAGGDLDYITLRSGFITEFTDVAFRLKKGSIS GPVETPYGFHLIQVTDRKEGKLPDFEQIKPAVVQYYAGDLQKNIVTEERKQAKIDVKP MPKDLFPAAPAAPAGTPAAGAATPKTSR OJF2_RS08910 MGRTALYPLHFTPILRRLLWGGRRLGTVLGKPIGDGSDYAESWE LADYKDAVSLVDEGPLAGTSLRDLIRDRPAELLGEGLRGLSQFPLLVKFIDAREDLSL QVHPDDERGKRLANDNGKTETWVILAAEPGANIYAGLKPGVDREGLAAAMEARNVAPL LHHFPARPGDCILIEAGTVHAIGAGVLLAEIQQMSDATFRLDDWGRVGPDGKPRELHI SQSLESTDFGRGPVHPVTPDSSTSAAGNTRERLSRTSYFALERWRLKAPEFLGDTSRF TILMGLSGAATVSGGGQSARLELGRTLLLPAAAGPCEVIPEGEASVLSCSVP OJF2_RS08915 MNQPQTIESDTDETINHDLLSLSNRLVENVGLVVLGKADVVRLA VVAFIAEGHILMEDVPGVGKTLLARALAASVDCTFKRIQFTPDLLPSDVIGSSIFHNP SGEFVFKPGPLFSNVILADEINRTTPRTQSALLEAMSDRQVSVEGKTYPLDPPFIVLA TQNPFEFEGTYVLPESQLDRFMIRLHMGYPIRSEERRLLASHRDGAPVESLSPVLSID DILRLQRGVRQVRVDDAIADYLLDIVHLTRRSEDLHVGVSTRGALTLYRAAQGLALVS GRDYVIPDDIKDLAVPVLAHRVLGKSFLQAGQFGAAEAIIRDTVDRVRVPG OJF2_RS08920 MSIEERIRPAASRGLVGRVARGVAQALVPTERTIPTREGLLYCL VIALLLAAGWSQQVNLIMLVATLAAGPGLMSLIGGRAFLRRLSVVRRVPAYVFAGDPL AVDYTLENSRRWSAALAVFMEDLLVPVDRQAAGGAIAPKVFFPRVPADDRVRLRWAGP SPRRGRYRFRDLDLGTRAPFGLVERRVTIPLAEEIVVYPRIGRLTRRWFQLQRLANEN RMGKRRDSSSQHEEYHGLRDYRDGDSPRWIHWRTSARRGELMVKEFEQQNEQELALLL DPWLPRTKVPPGLRDAMEEAISLAATVCVETCRRQGRRMVLGWTGATPGVCQGQGSVK LLHELLEQLAVMRPASEGSLAELIDALPPTTLRDSLLVIISTRPVQLAEEAERSSRLA GGAARNLLSRTIVLNAAQGEISDLMEDVRDGSRSLIENRLTSTEQERLDDQEDRRRAV AASPAGANGEMAAGGPSEGAVKA OJF2_RS08925 MIYRVSFYVMLTVATKILCGDAADSRIDGLLPFVVAGAGVLAFL SVDQAPRLGLPRELANLLAMGTLGILYLEYKSDESQLIRALGHWVACLQLIKYFLPKT SEDDWFLFLLGLTQVLIGSVANQGDTVGVWLFFWAMLAVWVLGLFFLQREAGRFEPTG EPAAGPGLSAAADPYRGLFDTAYLMTSVRVLTLTLLLGGLFFLLLPRQVGASRARNSG GMAKHLTGFDEEVKLGQLGEILENDSVVMTVELTDAEGKPTRPPGEPLWRGVTLTQYE NGRWKRQNKATIQWIVSPSQAGNRSASPIHQSIKLEPNDSTTLFAMRPVLDWSAPTKL TPYMNPLDGTLVRNDTRGSYDYKVVSATDVDAPQRQEAPILEDRRETLLAVEAPLRDQ LREIALPIVEGLPEAGREGLTARARALEEYLRDSGAFGYTLEMDVTDPKLDPVVDFLT NRHEGHCEYFASALTLLLRSVGIPARMVNGFKGGDWNEITQMMSVRQKHAHSWVEALV EQGKGTAAPGWITLDPTPGIERDQSVAQVGSIPSRLRSITDLVRYVWVFYILGYDSAR QDRILYQPIATVVKAVREGYATIWAWMKQTFARLFDFKTWGSFISVKGFVVTFLLGTL LVLVGKLAGWLFGKALAWWRGPQDDAAGLTAGILFYRRLAQLLAEYELFRSPAETQGE FALRASRFLGGRGIEAQAMASVPSRVVGAFYRVRFGGHELGADTLAELEGELDLLEER MRNTDRGAEA OJF2_RS08930 MRVGLVGFAGSGKSTLFHLLTGAVPDPGKVHSGQVGVAVLEDSR LDFLASLHNPKKITPATVEFLDTPGLMPGSHGDNPQRLALIREGDALLIVLNGFAGAD PAAEYAAFREEMLFADMSVVTNRAERLEASVKKHRPDWEVQEKELATVRRILSALEEG RSVASLGLSAEEKKPLRSFGLLTDKPQVVVLNAPQGEGVPDALRALAPDALALDAKLE LELVQLEPAEREVFMADLGVAELGRARIIRAAYDAVGIITFFTAGEPEVRGWNLERGG TAVDAAGKIHTDLAKGFVRAEVTAYDELVAAGSIKEAKNRKIQRLEGKDYIVKDGDVV YFRSAI OJF2_RS39110 MNRQVIPSMILSVLIVAFFSVLLYEREKTEAGAAARPVRSARPV SPIPAPPSTQETVAGETGIDRGTADPHQSSPVSADRGTNATAATEPSKPAAAAEVQAA ASTMPSAPTTPPVGTHAAEDAGEAARIRESRPAPRPAPEPIEVRPSPQPPPAQDPPAA TPGPAPEPLPAPAGKPSATAVTKPAEKPAREASPAAADRPPATPTQAPAEVPNPPQAP GAAKPDAAPSVTGPAARPKPPTEPRSAFTTVAEGEGLGDVAARVYGGAEQIELLWRAN RDLLPSRDSPLKTGSILRTPDP OJF2_RS08940 MLLTGIVVLGLGLAPEGDGRATESEVRAAHQMLAGTWKILAVTD NGDSFGPEIVRRKIARDGTLRVTDRLVSHVNPETGETRTVAFTIDPSKFPRRIDLITD DDRTLPGIYKFEDDNLVVCYASREGRPRPVDFESPGGASVTLLRLRLTSGSPASSSAP VISDPPSRAPKLEDRADDDRTPAKTRQAAFSRLGQERKPSQGELTRDRDLLGGTWRVE AIEDDGQSLSADIIQAKIADGGLVRIGVRGISTVSPRDEEKRLWAYRIDPARSPKHID ITTQYDTVLKGIYTFQGDRLLLCIAKSEDQSRPTSFDAPNGSGQMFYKLRMVADSAAT QGAPAEPKPQPRVMVRAARTVSRPASQVVAEPAPQPPVDEQARLESQIRGMLIGSWSM ADRKGNVVVVFRPDGSFTSTRTYARRRLFEPDVVTSNGIWSYNRGVVSISVYGSNERN LLGHSLVSRIQSIGDDAMVAADNVGQLMTLRKIR OJF2_RS08945 MSDEKARGPARSRRSFLARSLGASAAAVPALALAGSRKAAAASH RRLTGIQAELINEVLNDEQQHVPILQNLLDDEDNPLPVPIRRPPGFNLSRLRQPNLMA FLETAAVFENTGSGLYHGALLNVTQTLEYFPTAAGLAAVEARHASWLNSLLDEPLVED FAPVESPIPQEIVLSRVAEFVTDHRATFPSFDTTTSSDANNFRILDFVLFLEYIESKF YEINVPLFAASPD OJF2_RS08950 MPRLALRPLALIASLALMAVVVGAQAPVPTDDDKETAKKVVDLL EQGHMARPVIDDEIAVKWCNNFLKDLDPQKFYFLKSDVEEFKKEATNLDDQIREGNFD FAKRVFDRFLKRNDERYQTVLVLIEKKADFGVEEYLNDDPEKVDYPKDKAEADERWRK KIKLDMLQLRADKTDDAEIAHKLKVRYHDRNRMFHQYDSNELLEVYLSSLTRTFDPHT SYLSAKNLEDMLNQQLHLSLEGIGASLRSEDGYAVVSEIVPGMAADKDGRLAPEDKIV AIRKDNGEEIDLVEKKLSDVVRYIRGPRGTKVKLVVIPAGTKERKEYEITREKVDLKE QHAKGKILPIKANGKELRLGIINLPAFYGNTLAILRGDPNAVSATVDCRKILREFKEQ GVDCVVMDLRDNGGGLLEEAKTLSGLFIDTGPVVQVKEIFGVKPISDDDEGTAWDGPL VLLINKLSASASEIFAGVIKDYDRGLIIGDTSTFGKGTVQSIVQIGDEGRRARANHGA LKLTIQQFYRANGESTQINGVSPHVHIPSVRDVMDFGEGKMDNAIRFDKVAELPHDHF NKTSPELVALLNERSEQRRKADPKFQKQSERIKQFLERKARHSIALNEARFKSEYAPD DEDPEHAEEVKQKKENKKKKYVEREIWASDFYNDEVVRIIGDYLTLGSKVLASLPVKA GAAHE OJF2_RS08955 MMETELLRHYVALRDPDAFRALAERHGPMVRSVCRCNLRESHDV DDAVQTTFLIFARQASTIERVEAIGPWLRRVASRVSRRVRVKIEERRIREAARPGVRR DGSMTDPLEPSALATLHEEISSLPDWYRRPLVLCYLEGKTNDEAAKELGCPVGTVKGR LWRARRELRERLIRRGWACTIA OJF2_RS08960 MADVWSGWQAQAGPRQPAPPVPGTYQIVTETGEDHETEDDAQQL PDSPLFGVIGSLGSGDVLDVYRLTLNRGARQLDLGLLATSGQPIAPMRLQIFDESGQL LASWELGQQGPALHASVSNIPAGTTIYLGVGSGRDGEAAGDYQLWVSNTFRVPTTPSS GLAPILPAITQIAPLWSGLPVPPTTATTWTSPRVESGTSAVPDARPATAAALDLRHAP LSAGVVSQGDESVPPARDAPPEEAPRPVRVLSGGARRTGLDFGAEATGSLPVDETQVA AATTPTRVTPSGEVGGFPVLGVAVIGPVASSGTDLVSIDLNELDAREMATSPPAPAPT TALMGTSDLTIPLFSGSGMAMAWSLNAFLSQPASGFDCYKAYFDARPRADEDETEEC OJF2_RS08965 MDISTIRYRPGRAGPSSMAAGGVVGLYRDRWAATQSGRPDLREF LAAVPAEDREARIAILRADMSLRWRTPEHRPPEWYRSEFPDLDEEALLTLIYEEFCRR EDAGESPTADEFVARFPDVAAPFLDVMEVHRLVGGTSMFDSMGMVAEPSRFPETGSII GGYRIVEELGRGAFGRVYLAEERHLADRPVALKVTRRGSREPQTLARLQHTHIVPVYS YRSDPETGLHLLCMPFLGRTTLLHLLAHPGIGEVRSGADLFALLDRLEPSTADDQDRE SVRRPIVRTTYARTIASWMARLAESLQHAHDRGVLHHDIKPSNVLVTADGLPMLLDFN LAQERLPDAGGGESIGGTLAYMSPERLGVMEGVRDDEGDERSDIYSLGMVLLDCLIRE PRPFSMPPSTATLREAVSHVSRSRREAMPAPRARDPDVPSSLEAVVRKCLALDPERRY ANAAELAIDLHAVADDRPLRFAREPLRPRVLRGLRRYRYHLTAALVAAAALGLLAENA VRTRLRSLQMDVEVTRKRGQGSESALRGEWDVAASQFDAAARLAQEDPSTLPRVPELL AERDLASETSAARRAAEQLFRAGEDLRISLLGFTGEHREPVASVQAALAAFSALKDPS WTDRPPVARLDPPLRDRLIREVNDILFLWAWALARDRSTHPKGLHQAIQLCDRAIPFA RPDAPWRAIRRYCEDKMDGLLRPDERPIGTEIGGEFQWALLFELEGRIEEAAACLERK TRREPGDYWSQFYVGFYYSRLGQGESALEHYQVAVALRPDSPWARINRAILLHARGDS RLAMDDLLAVLADPRGSNLPEARLELGVVKQALGDEAGARACYDRLIAAQPRGDLGRT ARLNRAKLEVDAGNLSVARTEYDALLVEEPRDVSARLGRAMLAIRTADAVQAEDDLTA LLALAPERAAEFLARRAVARLARGDIEAALADATGAFRRSPDPARRRLWLRCLLACRR PEDLIWLDQPEDLEILPTAGRPVLADIEAVLQALARMSDKRASTVSPGRIHRARAVIL SALHDPAAEAAAELATSLAPDSPEAYLVRGRVDRRAGRVEAAMEDVRNGLALHPGEPR LLELMALLELDAGRPSAALQDADRALAQGARGTIRLTRATIFSRLGRREEAVRSWAEA LREDPEDPRAYLGRAAELLALGRSDEATADLDNAADWAGDNPRLLSRVVRLYIRCAIT RPQRRDRVWVLARRVLAAWRAQHSSVSSSSARGRASK OJF2_RS08970 MTAAPLNVHAGGGDDDIRQLLDRIRRGDEDAACELMRRYESKIR MVIRRRLPRVLRTRLDSLDLLQSVWGSFFRHIRDGDDDIEGEQNLVTLLAWAARNKVV DQQRHAMTLKHDVRRERSVDRAVIDDRAFEVNESPSRAAEAKEAYERLMAGLPEGRRA VLEMKVAGYSCREVAERLGLSERTVQRIVEGLRNRTRDEG OJF2_RS08975 MTLPCLVLAAAAAAGAADQTPGEGQAPVHAPLSVFVENPRYFVF RGKPTVLVTSGEHYGAVLNLDFDYRVYLDVLKRCGLNLTRTFSGTYREVPGSFRIRAN TLAPAPGRFACPWARRGEKFDLDDFDEAYFERLKDFLAEAGRRGIVVEYVNFCPLYEE ELWAASPMNGRNNVNGLGRCPRDEVFTLKHPELLKRQLAFVRKAVAELNGFDNLYFEI CNEPYFGGVTLDWQRRVADTIVDAEKDLPFKHLVAQNIANGNAKVVEPHPAVSVFNFH YASPPEAVPLNEALRKPIAFDETGFKGTEDRVYRRQAWEFLLAGGGVFSNLDYSFTVD REDGSAKVEDPTPGGGGRRLREQVGLLRSLVEGMGLEELSPDNRAIKAVEPASLRGDV SVIASRRRGEFLLYAASGPKLALTLDLPPGNYQVQWIDPRDGRTILSRGLDATDGGRP VRLESPDFAEDLVVRIRAGREK OJF2_RS08980 MKHRIGVVVCGLLFFAGLRAGAQEDLGKELPRIPPREVAAALET FRLHPGFRLELVASEPQVSSPVSVCYDEDGRLYVVEMRGYPYPERAPTGRIVRLEDRD GDGRYEASKTYLDGLNWPTGILPYDGGVFVTVAPDILYAKDTDGDGVADLKKVLFTGF GTDNVQGLLNGLLWGPDGWIYGAGTINGGEIRNLSRPDAKPVSIRGRDFRFRPDGSAF EATSGGGQFGLCLDDWGHRFTCSNSNHIRQVVLPADDLARNPAFVPPAVTTDIASDGA AAPVFRISAAEPWRVVRTRQRAADPEMRKRLAPTELFAIGFFTSASGVTIYRGSAYPE EYRGNAFVGDVGGNLVHRKTLAPDGPIYRADRADQGVEFLASTDNWFRPVNFANTPDG TLLIVDMYRETIEHPKSIPEPIKKHLDLTSGKDRGRLYELMYGKERRTPRRPRLSKAS TAELVAHLADPDAWWRETAQRLLIERNDPASLEPLRKLAADRPTALGRLHAIWTLDAM GRMRPGDPPVLASDPDPRLREQGARLVRRGKATDEDLERLLPRLAEDSDAMVRLQAAL SLGDAGDAGWASEALASIAVRDADDPWMRSAVFSSIGGRSPAFLRRLGARKGFLAGAA GREWLDGLALLIGARHDRREVRELFESLSAEPGEVERSIAVIAAVGRGARRSGTSLAA VLGGDWPEQVGPIRDWARKIALSDAPAERRASAALCLGMLGASPSLDVLTVLLDARQP SAVQLAALQALGGVDDPSVGPNVVQQWKAMSPAIRREAVEILFARPDRLEALLSAIEA RELPSAEIDPARLDQLRKHASERVQARAIKVLGEKTAGTADRRKTVEAFGNAATLPGD AEKGREVHKKVCATCHQVGGQGIAVGPDLATVATRSPDDLLLHILDPNREVSPAYINY NVATVDGRVVTGIIAGESASALTLKRAEGVTEVVPRDQIDAIASAGVSLMPEGLEKGL TAQDLADLIAFVRSIKPAPAGR OJF2_RS08985 MFGGPIIAREVVTTPRSLRYYLWRASFSCVLFVLLWTAWQVIIG WQDVREVGVLARFGGYLYFMFAMLQLTLMLFFAPLFTAAAVSHEKDRRTFNLLLMTSL SDLEIVVGKLVAGLLNILIILAASVGLLSLCALLGGISFVQVLNLFAVTAASGVAGGA MGLLIALWRDRTFQSISLTILMVVFSVTGVELLSVAFPTLQVFGVPLGEVLNPYRAMI AVLYPASNQATGVVRASSLVYIGVRLTFAACIVAFGTYMLRKWNPGHNEPRELGDEAE AEELIEEIAAVEEPAGEPVAIGAASELAEGSSAVGSTRRMAAAGRSPGAGGVNGVLDG SAGPTTGLRVPRRTHRRVAAAAKPYRSPWANPILWRELKTRAYGTKPLIIKGCYALLF ALGVGFFLALSRGMANPMASSLALIPVGLTILSLIMVNAQGVTALTSERDSGALDLLL VTELSPGNFIYGKLFGILYNSKEMVALPLLFAGYLWWTGRVSGENLVFFVVDYLLLCH FAAMLGLHSAISFTSSRVAIANSLGTIFFLMTGILICSGLIILSDQAFGRQILSFMIF IGVGSVALFGSLGAKNPSRAIALVSLLTPFWTFYCIISLLHGDFLAAFLFSVGVYGFA LTAMLVPAVGDFDIALGRTGAIQG OJF2_RS08990 MKTLLLAALTVSVASTVLMGRVAGFFEAPAPKDRNALDQLFRQG NYKECYDGLRALALDAKDDPRLVGQDLTTAISCLMQLNRADEIDEFRDAVIEVHKENW RLLEAAAHSYIDGAQSFGFLVAGKFHRGQHRGGGKMVNAVERDRARAVQLLARATELA RTDADRPAAGRCFSSLAAALLVARNHGEAWKLQSLTPLDSLPDYDEFSYGGWGQPATS APVNDDGSPVYYRVPAGFKQAKNDGERFRWAFAQAVEMDAGLLNSVRMALADFLYGQF GTQTIGGYPMPFGAGNEAEADIAGLESLKDEETIARLATGVRRFTLPDEFNPIKIYQA IAADPRTGYGQDALGRLVMAFENRRQFARAAEYLRQSIAQYGDPGGGKAQQLNQIVGA WGAFEPTMTQPAGRGATLDFVYRNGRRVRFEAHEINVAKLLADVKEYLSSRPPQLDWQ RMDVSDVGNRLIALNQQQYLGRHVAGWDLDLEPREGHRDRRVSVTTPLQKAGAYLISA RMQGGNTCFIVAWLDDTAIVKKSLDQKVYYFVADARNGRPIPGADVQLLGWRSIQVPG KNEFRTETKSLGAKADEYGQVRVPTSDLGDQQGTFQWVTTATTPEGRLAYLGFNPIWG GQAYDPDYDQVKTYTITDRPVYRPGQSVRFKFWVAHARYDQPEASDFAGKSFQVLVQN PKGEKVFERGFTADPYGGFDGSFELASDATLGVYGISIPNMGGGSFRVEEYKKPEFEV SVEAPSKPVMLGEKVSAKVEAKYYFGGPVAEGKVKYKVTRTTATAQWYPAARWDWLFG AGYWWFAADSAWYPGWDRWGVTRPAPWWLPRPSAPPEVVAEGEVPLKADGTYSIEIDT GLAKAAHPDQDHRYEISASVTDQSRRTIDGSGTVLVARKPFSVTTWLDRGHYRAGDSI EAGLKAQTLDHKPVAGKGTLKLLKVNYDAESNPVETPVESWPIELDGRGEFRQTVKAA APGQYRLSAVVADEQGHSVEGGYLFSILGQGFDGASFRFNDLEIIPDRKEYRAGESVK LLINANQVDSTVLLFVRPTNNTYLPPKVVTLRGKSLVEDVGVVPRDMPNFFIEALSVA GGKVHSEVREIAVPPESRVAEVSVEPSQPTYKPGQKAKVKVRLTGPDGRPFVGSTVLA VYDKAVEYISGGSNVPEIKEFFWQWKRSFFPQTESSLDRSFGSMTRPGEVAMRALGPF GGAVELDVLRTQRGGYRARWNTMLGRGRMGGMMGGMAMAAPMAAAPAPMSGPVAAAKA ESAERFEVAADGVAMPAALEKAPAGEAAQPVVRTNFADTAYWAASVTPDANGNAEVEF NLPESLTTWKVRSWALGPGTKVGQGESEIITSKDLLVRLQAPRFFVQKDEVVLSANVH NKLKSGKSVQVVLETEGSVLEPLDESSRTLSVAAGGEARVDWRVRVAQEGQAVIRMKA ISDEDSDAAQMTFPAYVHGMLKMEAVAGSIRPDGQDAEVTLNVPADRRPEQSRLEVRY SPTLAGALVDALPYLADYPYGCTEQTLNRFLPTVITQRILIDMGVDLKSIRDKRTNLN AQQLGDAKERAAQWRQYEHNPVYDIDEVRKMASAGLQRLADMQLSDGGWGWFSGFGEY ASPHTTALVVHGLQIARGNDLAVPPEALQRGVAWLQKHQAEQVKLLHNGISETRPYKQ AADDTDALVFMVLADAGLRDEAMLGFLDRDRVRLSLYARGLFGLALEKLGEKEKLAAV LQNFRQYVVEDAENQTAYLKLPNEGYWWWWYGSEIETQAFYLKLLARTEPKGELAPRL VKYLLNNRQHGHYWKSTRDTSYCIEAMADYLKASGEDRPDMTVTISLDGRPRKEVKIT PSNLFAFDNALVLEGKQLESGAHKLTFARRGTGPLYFNAYLTNFTLEDPIARAGLEVK VGRKVYRLVREDKSVDVAGGRGQAVSQRVEKYRREPISDGAVLKSGELVEVELEIDSK NDYEYLLFEDYKAAGFEPVEVRSGYNGNDLGAYVEFRDDRVAFFARTLARGKHSVSYR LRAEIPGRFHALPARAQAMYAPELRGNSDEIRLGIED OJF2_RS08995 MEPVASGVSRRDFVRSGSAVLGGLALSASPGAKSGAEEPSPGAT PMPQVVLGRTGAKVSRLGIGCAYFQRDRVTPDDVTRTLHRALELRVNYLDTAPVYGND EKSSAEVKMGPGLRELRDRFFLVTKTEEPTYEGTLKLLRQSLKRMRTDRIDLVHLHNF GDAKLWGDRKLVFGDRGAMAALREAKKQGVVRFVGASGHLHPTRFHEAIDSGEVDVLM NAVNFVVRHTYDFEHKVWARAQSLNLGLVAMKVLGGAARPEAGFKMEPAHYEKAIRYA LSIPGLSVAVIGLENIPELEKAASVVAHAKPLSPEEDLELARTGLELASRPEWKEAYG LPLA OJF2_RS09000 MRTRIAFACLYMSMAVISGPMSPARAQKEAPGGAKVYLLTGGKR QHHGYRDQAFYLAEQLENTGRYEVTMGEDAAILETPAIRKYDLIIANADRRDPEFKYS RAQQEALLDFVRSGRGYVSIHGADNAAADWLPEWKAMLGGVFSHFGLPDGRTKKGEYQ VKIADRSSPITAGLDDFRVKDELYYHMQMAKDVKPLATVEYQGETWPIAWTNVYGSGR VFHLVFGHRDFGPGKDDPIRNPSLGRLVLQGVDWVAAGKEPAAAANEARRP OJF2_RS09005 MNRRQMLLTLPAMAVLAIALGADDPKRSIDTGGLTFKAPESWKS VPVKSSMRRAQLKVAPAEGDDFPAELVVYAFPGGAGTVDQNVKRWQAQFKGADGNPPK IESKTLKGKNVEVTRVETGGHYSPSNFPGMAPEPERDNARLLGAIVVTDKVGYFFKMV GPEKTMNGLKPAFDELIESIEVGEN OJF2_RS09010 MPTITIICARCTQPNIRSERFCASCGLPMGAMQADAGAATEALG AYEAPEPADPDVERMIRDFVSRSGFDVVPSGHGWRVTVPLRLDRKQAVYIGPSGTDVE GRPLLGLVSVCGPANDRDCRTLLTHNARMTDGHFAIRVLRGEEYFVVVENLVADAVSA LDASNIVRKVADLADGLEDRLSRGRDLY OJF2_RS09015 MGSSPGSILWPGLVAAAAVVGLALILDSAGRTSATYDEPTYLRV AARWWRTGEQAEITRMGSPLTFWKIQHAPVFWALDLAGRGDLIDDPIRRQAELLPIVR MGSAWIWLVGLLLTAGWSRALYGERAMAMSAWLFALSPNLLAHGGLVTMEMPIVACTT AVLLLFWLFRATGRRRWLWASAAAAGLAFSCKSTAVLLPPILAAAWWIDELFRAGRVG IASRTSRIACTMAGFVAVMLAADVLVTGFAMMPLSGSTGEHPSISARFGARLGPLLRA IYEARWPQDWVGFATQVHHQMSGGPSYLLGERRMTGWRSYYLIAMAVKVPATFWLLIA GRAALEPRLRRRTITAGRDPHDAIVPVMVLLFVTVASLGSTRNYGIRYMLPMAPAAIV WVSGLSAGLGPSRSLGDTPGGRGTGKVAVAPAAIGCTPIVGLGLLGQAIAVASSHPFE LTYFNAIAGGPIGGRRILADSNLDWGQGLRGLARLQRDRPELRDLTLYYFGDTNPAYY GVSGVLHVVNAVDDHSLLPPLRTVRTRYVAVSASLQHGPWGPEGFFRELDGMRPTCLT DDTTIAVYLWIKVGEKP OJF2_RS09020 MGESQNARLRVGVVGLGRLWESRHKPSLLRLKDRFRVTAVYDQV HRRARTEAANLNCVACEGLTSLVARPDVDVVYLLCPQWFGLHPAGLACDAKKPVYCGL PLAASPEELEQLARRVDESGVAFMPEFARRCYPATLRLKELLATSLGEPRLILGQSRL LGFDRYAVPGPTTQLAPAPLLVDPGSYLLDWCCFLMGALPESVIGTKAQVIPAAEARG SDPDFVSLLAAFPGGASAQVSFARYDRGRWGEASRFLPASGFQVFAERGAAWLELPER IQWSDASGTHEERLPMEPTVGDALNEQFYRLVHGEPSPAPTIRDAVRVAGLVREIERS LSEGRVIGLAEPSR OJF2_RS09025 MRAVVQRVSRASVEVDGDTVGRIGAGFVVLLGVARGDAEADAAW LAEKVLGLRVFEDDGGKMNRSVVDVRGGLLVVSQFTLLADCRAGRRPSFAGAAEPAEA ERLYERFVGILRSSDLEIATGVFRAMMQVSLVNDGPVTLLLDSRKAF OJF2_RS09030 MSNAQATDRLVLGLDMGTQSLRAALVDLKGRTVAYGVEPIETTY PRPAWAEQEPRQWWAATRSAIAKALEKSGASPGQIAAIGLDCTACTVLACDLEGNPLR PALLWMDQRSYREADAISATADPILRYVSGRVSPEWMLPKALWLKNNEPDTYRKAGRI VECTNWMMYKLTGHWTLSLNHVAVKWNYARPDGGWPLAMMAKVGLDDLPGKWPDEIVP LGKGDDRLSARAAEELGLKAGTPVAQGGIDAYLGMLGLGATNDGDVAAIVGSSTCHLA QCKDGVFGSGAAGCYPDATVEGLYTIEAGQTATGSILDWYRRHFAAREQAEADRRGVN VYSVLDEEAAKVPPGADGLVVRDDFQGNRSPYKNPQARGAIVGLSLAHGPGHIFRALY EATACGTRHILEDASKHGLKVHRVFLGGGGAKSPLWLQIHADILKRPVLLTRESESCA LGSAMIAAIAAGEFADLDEAARAMVAIEKTVDPNPANADAYDELFAKYVDLYSRLNA OJF2_RS09035 MLRAHGLQVTAQRLAVLRAVTARPHCTADEVAARVRAEIGTISR QAVYDALGALAANGLVRRIQPAGSPALYENRVGDNHHHLICRSCGKTVDVDCVVGAMP CLSPSDTAGYRIDEAEVIFWGLCPDCVVTQASPDRE OJF2_RS09040 MSEQATKCPFTGAGLKHAAGGGTTNRDWWPHELRVDLLNQHSAR SNPMGQGFHYAEEFKTLDLAAVKADLAALMTDSQDWWPADFGHYGPLFIRMAWHSAGT YRIADGRGGGGRGQQRYAPLNSWPDNVSLDKARRLLWPIKQKYGRKISWADLMILAGN VALETMGFKTFGFAGGREDTWEPDNDVYWGNEKKWLGGDLRYGKGDPDGDEGVLVADA ETHGSQNDRTENGRHLENPLAAVQMGLIYVNPEGPEGHPDPAAAAHDIRETFGRMAMN DEETVALIAGGHTFGKTHGAGPASNVGADPGAAGLELQGLGWSNAFGTGRGADTITSG LEVTWTSTPTKWGMGYFANLFGYEWELTKSPAGAHQWTPKDGAGAGTVPHAHDPSKRI APAMLTTDIALRVDPIYEKISRRFYENPDQFADAFARAWYKLTHRDMGPRSRYLGPEV PAEELLWQDPIPAVDHPLVDEQDVSALKARIAASGLTVSQLVSTAWASASTFRGSDKR GGANGARIRLAPQKDWEVNQPAQLADVLKALEKIRAEFNAAQPGGKKVSLADLIVLAG GVGVELAAKKAGHDVAVPFTPGRMDASAEQTDVESFAVLEPFADGFRNYLKGRFSVPA EALLIDRAQLLTLTTPEMTALVGGLRVLGANARPSGHGVFTERPETLTNDFFVNLLDM GTEWRPLSEAADEFEGRCRKTGERKWTATRTDLVFGSDSRLRAVAEVYASSDAEDKFV RDFVAAWTRVMNLDRFDVA OJF2_RS09045 MSLATRISAFFLVLLGLVLAGFSCTLYALARTYLVRQLDDRLQR GLDTLEAAVDIEPGGLEWEPTDRRIALGVDSGISAVRWSIRDGGGSRVDLSANAMASD FPRDWAPASWSAPRSEATRFGDAPGWRMAGRKLVLADLLRQGRGHPDDEPGYEVQYPE LVLVAGLSPAPVEAALNRLGLALVTLSAGVWVAAAAAGHVLARRALAPVRRMARVATA MTAADLGRRLPTPGTADELDEVGDAFNGLLERLSGAFDDQRRFAGAASHQLRTPLAAL LGQVQVARRRDRSPEEYRRVLDRVLDEGGRLRGIVESLLFLADPEDVPVEMGTLDLAR WLPEHLACWEDHTRRKDLRVEVREGGGLAARVNPHLLGQLLDNLLENAFKYSPPGSPV AVRCWSEPGVVVLGVEDRGPGLSPAELARVFDPFYRTDQARREGRPGVGLGLPVARRI AAALGGSLAATSGPGPGCLFTLRLPTEPVTDLRENETEAGHDA OJF2_RS09050 MGIRVLVVEDEENIADYLVRGLREEGFTVEHAADGVEGGKALRS GPWDVVLLDWWLPGVEGLTLLRQFRERDRRTPVLLLTARHQVQFRVQGLNSGADDYLC KPFDFDELVARVRALLRRRDDGAGTVLEYGGVAADLASQRAERAGRPLDLTAKEFALL VYFLRNPGRVLSRTRIYGQVWQDHSDGMSKTLDVHVFELRRKLEALGPRLIHTRRGQG YVLEAAADADGELP OJF2_RS09055 MRPKAGGVERQTVQPGSVHAFETVDVYAMVSGYLKRQPVDIGTR VRKGDLLAEIDVPREAEAVAESAALLAQARARVTQAAAQLATAEADRTAAEAVAAQAE ADIDRCVADRSLEEKAFDRIGHLVQRSAVEPRLLDEERHRLELTVAAEKAARISLRAA RAKLQAATARIGQARADVAEAEAAVGVAEARVARAKVDLAYARIVAPFDGVITSRNYH PGAFISSAAAGGREPLLTVARTDLMRVVVRVPDRDVALAQPGDRATLVVDALGNRRFE GAVSRVGESEDHASRTMRVEIDLPNPDGALREGMYGRAVIALEPSTGRLSLPTACVLD RTGKGRGVVQLVREGKVERASVELGVDDGKLVEVVSGVGAGDQVVLRSGASLEPGTPV ATRPAG OJF2_RS09060 MNGLIRASLANPIAVTVLSLAVVVLGTLAAWAIPVDILPVFRSP AVQVLTFYGGMPAASIEKNITARMERGVVQASGGRRIESRSIVGVSIVRDYFRSNVDR SGALTETNSLAGWEYPTMPPGTLPPVVLPYDPTSTTPVALLALDSETQGEAALFDTGR YEVRPQVMSQPGAIAPLVYGGKVRAVMLYLDRMQLQARHLSPQDVMRAVDDYNVFLPT GSAKFGKTDYAIDSNSMFDVVGNMAEIPLHNEHGNAAYLADVATPKDASYIQTSVVRV NGKRQVYVPVFRQLGASTLQVVDTLRSSLDSMKAKLTRGGIDLKLVMDQSIYVRRSIE SLAEEGVLGAVLCSLVILLFLGEWRMTVIAVLTIPIAVLAALVGLFATGNTINVMTLA GLSLAIGPLVDSAIICLENTHRHLGLGASPEEAAYLGASEVAMPELVASLCTLLVLAP LALMPGLGEFLYRPMAAAVAFAMASAYLLSRTFVPARAARWLRPHARRDHGSDEPGSD EVGAGGTASRGAAWRRLASGAFARWEAGIEAGIRWYARQLDRAMRARLLVVLGSAAVL AAVLVLLGSQLRREFFPEVDAGAFEIYVRAASGTRVEETEKRVAEVEAFVRKVVGDDV EILISELGVVPDLSAAYTPNAGPMDAVLRVQLTEHRARSAQEYVHELRTGFAADPDFA DLEFAFDAGGMIRSAMNEGKSSPINVRISGKDTAQCRRVGEAIKARVASVPGVVDARI LQRLDYPEYIIDVDRAKAADLGLNQAEVMKNVVAALNSSIQFHKRNFWIDPVSKNQYF VGVQYSEEDIDSVDTLLDVPITSPKQSQPIPLRNLATLRPGTVPTEITHSNLQTTIDL TMGVHGRDLGHVADDVTRVVAEFGEAQGGGTWAPYDPADRSEGRRPIKGATIELSGEY SRMEETFRSLGIGLVLATLLIYFLMAALLRSYVTPLVILLAVPLGLIGVVAMLYLTDT AVNVQSLLGVIFMVGIVVSNAVLLVDFAENLRLREGLPPDEAIRRAASLRVRPVVMTA LAAFFALIPMALALGRGSEANGPLGRAVIGGILAGLVTTLFVVPSLYSLLVRDAAGVS NAEATAG OJF2_RS09065 MTTRARANRDESWILFLSHRSGNNLLYRMRPDGSELTPIFGGEL KDVPGMEAGRTLYRQPHWSRQSPDRAYFLSWATDTNVESGQMSGPIGYVIHLGRTDGG ATRIMTNRAGEVFTWARSSEAFAYSRFAGRNPRRDGGQEPALPSTQIVIAQIDGSGEA TVLEKPGYWTACDWSPDGNKLLLLYWGMQSMRYGRTDLIELDLARAEQARIKTMELRP GMDFPSSSDVEYCLNSLTDGLPIAWFADGRYSPDGTRIATTVRRRARLDDPGFHELAL FDLASETLSVIADYPHPSRIHGPLSWSPDGEEILFSRPLEPDDRRENLPADVTGLGIW AIKSDGSSARFLTTGWAPDWQ OJF2_RS09070 MEGRRAVLSLSAWRWVAAGLAVAAATATPARAAEPKVEDLGRGP AYARVGELAVMHAGRIKPLDTVAREEIKAVFGRESIKLRDAEGRVVASWGPVAAFLDW MVRPEFWDDQPFILVDYGPLRQKVLDGALKQQLKDIASRAPESERPALQALAAGPELT AAAVNDYVRSGRLDDAGKKAVAAIAHRLGEEAKWLSPREIEDAKIAGHGDPEPFMQWA SELNEQKERYDANPKSAAKLSETERRALEVARRLMTYKAVSGDETRSATMIRVMPRPS SAKAMGYLAGVIKKARESKDVRSLSPLEFDVLKALDTYWDAIPRDQRRDPGEDPKFDE RFGAWLRENSAWVPLKAFVKSGAEDLIAAGYPEAETRAFLAAYKEMTQAEDREPGHLA EPLAAAMLDSSRKLGEAVAAGHYATVAAIERETHFNAMNPFWLAPFGYGAAFVLLILT IVSGAFRPGAASKVGKGLYAAGVAALVGGIAMEIYGFYLRIRISGWAPVTNMYETVIW VALVSAVLSVVLEGIYRKVFAALGGSAVALLGTLTAVNVPLLDPSIGSLMPVLRSNFW LTIHVLTIVSSYAAFGLAWMLGLIATTYYLTAVYKRSPTYRELAMPLVPGIGLLAAGI LGVAAASMSTGSNWGASDIFYYICAFVAEIGGMVALAGLLAMAGEFLNRRVFRDALRD AAAAELHGELADEARGPAYAGSRASAMASAGGGVATLARPTAAQIFATEKTAWGKLDA RGLAMQETAATIKPISNLMYRAMQVGVLLVAAGTILGGVWADYSWGRFWGWDAKEVWA LITLLVYLVPLHGRFAGWVNTFGLVCASVVCFLSVIMAWYGVNFVLGVGLHSYGFVEG GSQGAMSVIIFAVLAIPLAAAWRRTLGYRMA OJF2_RS09075 MATAAKKASVIDSSAARGPGSKRPPGRPATRGGLVGVLMAIYRF LASLKLAVLGLGSLAASLAFATWLESRYSTATVQDFVYRSTWFALLLGFLAINIFCAA AIRFPWKRRQTGFVVTHLGLLVLIAGSYISFRTADEGEVVMLEGETKSQLLRLQDSVI RLREVDARTGEPGATRDFHFAPGPFAWGNGQARLNNLLDFTLSGLTDGRLPTPSSSGE VLSQPGDPVRVAIKTFYPAAAPSTVHEADPSGTPMARMQLEFKAPGMPQAREAFASED EQWFALDRRFHRVARSEIGGREAPALIAFGYVDRPELIEDFLKPPMDAGPRGLARFRY ADKSGKTRVHDLPLRDQEGKTITLPESDLSVTVEKVADFPTSDGGLFRVLGEAAVPVG IFEIRKGDGPAVKHWALASLPMIPNVAPNPDDPSATPPQPLASINLMVVPDLDPKLSG RMGQIEVLAGPDGKLSYRVFGRGKDGKTVLRSSGQVATGKAIPALGGGDMPMFINFRV DDYLPAGVTRQVYEPLYLPKAQMDQGIPAVLLELSTGDASREVWVRRDDSTDTPAFRP VQLGDRTYQVAYDVDRQPLGFQLKLDKFDVGFMPGTENATKFESQVRLTDDSQGIKER PHLISMNDPMSHRGFTFYQMRYSPVSDPETGQKTGLYQSVLHVGVDPGRPVKYAGCLL LVLGIFLQFTMKAGVFSDTARRKMEQATRRLRGPAGDGAAGRDEPERL OJF2_RS09080 MITETDSDARLLERFARRREEAAFRELVERHGPRVLRICRRLLP CEHDAQDAFQATFLTLARKAGRVSWTGSAGPWLAAVARRLAMHARGGLSRRHARERTI SSLPGGGDARPDQAALSTAPEDHLERAELRKILDDALGELPDKYREPIVLCYLEGMTN DEAARRLGWPTGSMSRRLERARALLRKRLVHSGVLASLLAAGIAWRVGPADGPAAEGR ALLRPAMHSLAGIRGIGRREPAIAAWVAGVRGEPPTGEQIETLARNVEEVALVASAQS PGLPPGRVLWRGLAAGMFTEARSLAEAGRAGDRASAVEAARRLESTCVSCHMAFRR OJF2_RS09085 MDRIDRRTFVTAAGGLLAGAGLAGASPAKEAGQAQAAQPPPAAA PARWKRAYMLGHVTKGPVLPTFQLLKEAGFEGVELISPNQLDMKEVLAAKEKTGLVIH GVSGGRHWQEPLSDPDALVVERGLLAIKQEIADCKAYGGTTVLVVPAVVNKKVSYREA YARSQEQIRKLIPVAEAAGVKLAIEEVWNKFLLSPPEFARYIDEFDSPVVGAYFDVGN VVEYGFPEEWIRELGKRILKIHVKEYGKSKRFDYRLGEGEIDWPAVRKALVDVGYDGW ITAEVGFGDLAAMKDVVRRMNEVLQMA OJF2_RS09090 MEYDIVIGLEIHVQLQTESKMFSWCGTEFGLPPNTQCDPVSLGL PGTLPVMNRKAFDLALKTAVALHAEITPFTKWDRKNYYYPDLPKNYQISQYDLPFSTG GWLDIPKRKDGGGGGRCALTRVHLEEDTGKLTHAAGGLSEVDLNRAGIPLLEIVSEPE IRSPADARGCLEELRLTLRYLGVSDCEMQEGSLRCDANVNLHIRKDGQTIATPIAEIK NLNSFRAVEKALHYEAERQYRKWQEDGKTIKDAPKTTRGWNDVEEVTKPQREKETAAD YRYFPEPDLVPVVVDDAWLERVRASIGELPAARRERFETQYGLPPYDANVLVEQGQDV ADYYDQVAGATGEYKLASNWIQQDVLRTIKEKKQAISDFPVKPDGLADLINRVKRGEL NTNQGREVLGRMIETGDPAEVIIAMGGYRMVSDRDAIAEAVEAAIAANPQALEDLKKG KKKPEAVKGFLRGQVMKQTGGKANPALVGELLEAKLAAMQA OJF2_RS09095 MAIKTSLSLIARRISDSVRRAAARQGLAEGDYALAGIYYDDSDR ISLRVGTDRQIDDRRWFADAMNEIRQAFPEDPTITYFIGLVVRKVKNLDEVYWDTSDS EDAQDMTELLNRPRG OJF2_RS09100 MSSGGKGGGSPLMSDTRLMDLEAAWREREIEAAKLVADHPSTGL ALRIYALEIRLKTLICKTLAIPFLPRQCKTHAIDELIVFTGFSSELDDPANAGIRQNW ELLVDFARNRLNGIRYLPAGALDGDDLALYLSALDDPKDGVWTWLSRHP OJF2_RS09105 MNSPTATSLLTSMKKGETTSEEVVKGLLDRAERLKRLNVFVHLD PDRVLSAARDADARRKAGEPLGPLAGVPVAIKDVLCVQGEPTTCGSRMLRNFRPPYDA TVIARLRDAGAILFGKTNMDEFAMGSSTENSAYGPTLNPWDESRIPGGSSGGSAAAVA ADLAPLSLGSDTGGSIRQPAAVCGIVGLKPTYGRVSRYGLIAFASSLDQIGPFAHDLA DTALLMNVLSGRDPKDSTSVDSPVPDYAATLDTPPESLRIGIAREFFGEGLDPEVEAS VREAIRVYERAGATIKDVSLPTSKVGVAAYYIVAPAECSSNLARYDGTIYGHRAEDFS PKYPGEEDIAPLVRMMMVSRAEGFGPEVKRRIMLGTFALSAGYADQFYNKALKVRRLI RNDFDAAFKDVDVILGPTSPTPAFRLGERTDDPLAMYLSDIYTITANLAGIPGLSVPC GLTKSGLPIGLQLLAPAFAEEKLLRTARVFERATDWHLRRPGIGS OJF2_RS09110 MSLTIEDVAKVAILARLRVSPDELQMFTGQLNSIMDYVNQLQEL ETEGVEPLAHGVEVRNVFRDDVRGEALPREAALSNAPKRNQDSFLVPAVLE OJF2_RS09115 MSVNGPVAIILAAGHGKRMKSEKAKVLHEVCGRPMIRYVVDAAR GAGAKTIIVVVGYAADQVLQALSGEPDIQFAFQTEQLGTGHAAKVCRPLLDGYEGPVM ILVGDEPLLRPEPLADLFERQAQEQAACLLGTAKVHDPMGFGRILRDSAGRFLRIVEE RDCTPEERAIREVNPSCYVFELPVLWEALERIGTSNAQNEYYLTDAPERLAAMGRKVI ALNVLRGDDILGVNTRQHLAQADKVMQGRIQDHWMTEGVTIVDPLNTYIDGRATIGPD TVIYPFTVISGSVQIGARCRIGPLAHLRDGSVLEDGVEVGAFVEISRSSLATGTVARH LAYLGNASVGRDVNIGAGTITANFDGTAKSETRIGERAFVGSGSIMVAPVTIGEGAVV GAGAVITRGTEVPAGQTVVGVPARAIGERKPSS OJF2_RS09120 MRPGRLLFFVLFGLVLAPAGAGEETRPNVVLILADDLGINDLVC YGRKEHATPNLDRLASGGARFTSAYCGLSICSASRAALMTGKSSARLHLTTYLPGRPD APSQKLLHPRIITGLPPSEVTLAERFRSAGYATGIFGKWHLGDAPGSRPEDQGFDEAF LPPTDSTPSATEGGKNEYAITRRAIDFIDRRKEGPFFLYVAHHNPHIRLAAKPELVAR HPEAFNPVYAGMIETLDDTIGLLLASLEAHGLTRRTIVVFMSDNGGLHVLEGGDVPTH NSPFRAGKGYLYEGGLRVPLIVSWPGRIPPARIDEPMVNMDLTPTLMGLCGLPEPAER DLEGVSLATRLEGGPAGPIRSFAFHFPHYTNQGSRPAGSLREGDWKLIEYYDDRRLEL YDLDADIGERVDLAARHPDRARQMQSRLAAWRASVDAQPNEPNPDYDIRLARPLYQDF DASAVRPGTTASDTARLFLPWRTLMNEAVAGAGGRAAKR OJF2_RS09125 MRAASLLAFVILLPSFAGASDLPRSRPEDQGVSTAALLGFVEAA NRIETMNSVMVFRHGQIVAEGWWAPYSAETPHSLYSLSKSFTSTAVGLAISEGKLSLD DPILKFFPEDAPTNPGPNLKAMRISDLLRMSTGHQTEPQRTKDEPWTKSFLAHPVPFK PGTHFLYNTSATYMLSAIVQKVTGQRVLDYLTPRLFEPLGIEHPTWEQSPQGVDAGGY GLSIRTEDIAKFGQLLLQKGKWHGKQLVPAEWIEAATARQTSNGSSPTSDWDQGYGYQ FWRCRHGAFRGDGAFGQYCVVLPEQDAVVAITSGVKDMQAVLNVVWEKLLPAFRTDAQ PRDDDAYGKLASALKGLHVEYPQGTGKPANVAGRMFAFDANPMKLESLSIQADERGDT LVIRAAGKEGRIRAGHGDWTTGPAAIGPMSALSGGKSDWLVGAASAWTADETLTVKAC FIETPFIATFRLKFSGDEVRLNASNNVGFGATRAPELVGKAEHKP OJF2_RS09130 MSDAVPYRRLEKNDAAVLLVDHQTGLCNLVRDFSDDDFKNCVLA LADSAKYFKLPTILTTSFENGPNGPLVPEIKELFPDAPYIARPGNINAWDNEDFVKAV KATGKKQLIIAGVVTEVCVAFPALSARAEGYEVFVVTDASGTFNKTTRDAAWLRMQAA GVQLMTWFGMACELHRDWRNDIEGLGQLFSNHLPAYRNLMNSYGARR OJF2_RS09135 MSRIYLLRHGETEWSASGRHTSDSDIPLTPRGEDEARALGALAR NWRCAIVLTSPLQRARRTCELAGFGDLAEVDPDLVEYRYGAYEGRTTAEIREQHPGWN VFRDGCPGGESVREVTERADRVVARLRGATCDVLIFSHAHFLRCLAVRWLGLDLSAGA MLLLSTASVSILGYDHGPEEPAILRWNDVSLRDAAMG OJF2_RS09140 MRILFAVAASMALAAPAFADDKKDIVDTAVAADSFKTLVAAVKA AGLVDTLKGEGPFTVLAPTDEAFAKLPEGTVESLLKPENKEKLVAILKYHVIPGKAMA ADVVKLDGEKVKTAEGKSVKVAVKDGSVMINGAKVVKTDIEASNGVIHVIDTVILPPA E OJF2_RS09145 MSGRSSTKLEPEQAWTVLTESPLKGLAFAYEAGRILAWDEGSQL YLLNAQGETLCMSRAPQQIVAGAVSAEGSLIALVGEGGDGSLVLLNADFEIEVERPAP FEATFLAIDPHGRFVAVGSRGGAVSFLNRYGGPAGRLETIQPVAHLAFVPDRPFLVGA AAFGMLAGVELNDARGPGRLDPEIAWQDRLLSNVGRLAVSGDGSMVLASCFTHGIQRF DLRGRNEGSYHLGGTVSHAVPDFPGRTIAAATLEGEIAIMNSAGNVRWRDRLARPPIA LEIDPLGRYVIYGHSTGEIVRLDLFGAGPGSGKGRTGSAAGDGGRRAGGGTTAPRTAT GSVRRPDWTTRAVSSEEQAETAVMAITEDPPCVAMFTSPHRLELFSATGEKLGRGPEV TGVGRILRTAPGWLAAATDRSIALMDLRRSTQRRVDVSLVELTHLVIRPDSFGLATVQ ERDRVGRLTPSGRWIWKQELRSPVEDLAIGPEGFAALTTNEGELLIFDPAGESSVGAR FDPADPPLLIEAPDASQSGVVWISLCRRSQTLSGHELRGRVVWTRNLAWEGWSLSRSG PFAFAAAADGRVQAFDRTGTVAAEGAASGTANEAFTIDERNVPLRIIRKGVHLICMTL DGRVRWRAVGEETLGPFTAGTAGVAALFGQSLAWFATGKEPAQR OJF2_RS09150 MTAARSKPTFSIFPELQRSLQLCGREEANRFKWIRSEQAGYDLG DPAIREWIYLHWNGFLRHAWLEHLQGKVYWLELQETDFGLLQREFQNSPLLNPILDRL IVLKENLDIILWAQEVFTRDQMDEVIDILEALNVNACRLKCEFEPDLQRALFAVA OJF2_RS09155 MKIRLLRDRPTQILSPWVILPVFENSPNPPAGAGDTGLATLVGR LRDRKELTGSLGELTAIHEPAGFAAASALLVGLGPLEKWDAGAAFTAGFAASKRLAGQ PRDEVALILPAVATDFPDERISALIEGITAGTRGPGLRKSEPGRYAFGTLNVVPEEGE VAGRLEALESQIRRGEIVGQAVNLARDLVNTPPADKRPEALAARIREVAQEAEVSAEV WDLARIRSERFGGLLGVAAGSSHAPAVVILEYRGAGEGPHIALVGKGVTFDSGGLSLK PSASMEDMKCDMTGAAVVAASVRAAALLKLPVNVTAYMALCENMTGGEAMKLGDVLTM RNGKTVEVLNTDAEGRLILADVLSYASERSPSRIIDLATLTGACMVALGLKVAGLLGN DDRLRDEILAACSATGERAWQLPLDEDVREALKSNVADLKNVGGKWGGAITAAKFLEQ FVGDIPWAHLDIAGPAWSDSDSVTRDAGGTGCFVQTLVKFLEGSGS OJF2_RS09160 MGNKLRTDRRRAVATILLGLAAASGCVERRYTIRTDPPGATAVV NGQEVGPTPVSQPFTYYGDREITLIQDGYETRTIIQPIKAPWWDNMLTEFFTENLVPF HLRDEREYTYAMAPATSPNAGDLRNRAEQLRGESQAVPAPRRGGILGWLGFP OJF2_RS09165 MKIQAAAIQMRSDILDLDANLQRADALLRSAREQGAELAVFPEL FNTGYSLCPDFGPFSESADGPTLSYLRQRSRAWNMVIAGGYVEREGRHLYDSLAVCTP DGGLKVYRKRNLVFWERFRFRPGDSPLVVPTRFGRIGFAICADMIYRRVWEDYRGRID LAVVSAAWPDFACRQTGRRHWLLGHVGPLSHAIPAKVARDLGVPVVFANQCGETKTTI PVLRATILDRFAGQSSICDGRHGVPVHADREESVLVAPVTIHNQRGLKSWSFTSHSAA AGSSSASARS OJF2_RS39115 MEFYVPLGCRGFLFRLGTFVIGIVGGAVYRKAAQRRSPEAGDPA VSLALLDLLAAE OJF2_RS09170 MCGIAGAIDLTGNRTFPADRLLAMTAAIAHRGPDDEQVHVEPGV ALGARRLSIIDLAGGRQPIANEDGSVWVAFNGELFEYPELRQELLARGHRLATRCDTE AWVHLYEDHREGMFEKARGQFAVSLWDRANRTLILGRDRVGICPLYYAEADGWLLWGS EVKAILASGLVPARPDVRGIDHLFTFFCAGTTRTFFEGITSIPPGHYLRIRGGRVERR LYWDFDFPDAGQERRMADPAPLVGELEGLLQQAVERRLRSDVPVVTYISGGLDSTVIL GLCSRHRGEPIPAFTIGFDGAGPDERAHSEEAARILGSPLTTVTMDRAGIGATFPELV RAAEGPVLDTSCAALLRLAQAVHQQGYKVVLTGEGADEALAGYVWYKTQKLRDAAYGT IGRAIPRAVRNLAAWTVAGRRMVIPPEQAIGGVRPAQQDMYEMISQSKPILYSSSMWQ RLGDHNPYDDLDISAAERMGRWHPLNQSLYVGYKVMLAGLLMISKGDRIAMNASVESR YPYLDDDVIAFASGISPEYKLHGLTEKWILRQVAGRILPKAIANRPKTMFRASLAQTF LGPQRPAWVDQLLSPESLRATGYFDVDSVLAQRKLQSRLPRITPARFIFDVALTCVAS TQLWHHIFCGGGLCDLPPWEPPRREPVATGEVAAESV OJF2_RS09175 MPSPFPGMNPWLEQEDSWPDFHTKFLVALNEQLVPSLAGGYYVV LERYIYVHEPERKVQRSRASLVVAHPGEGSGGGREPGVGLLEAPSEIGHTLEETEEVP YLAIRRASVGELVTVLEMLSPSNKQGKDRRQYLDRRNQILASHTHLVEIDLLRGGEPM PDEDRPPCDYSVMVSRREHRPRAGFWPIGLRDRLPEIPIPLRSPDGDARVDLGAALHQ VYDRSGYETFLYRGRPSPPLRPADEEWARGLVPPSRA OJF2_RS09180 MVTNESPRNNRPLVLVNAVGLTSRWLGHAPNLRRLAEGGWLRPL EEIVPAVTCSAQATLLTGKLPREHGVVGNGWLFRETGEFRFWQQSNALIQAEPVYTTA RRLARERGRDFRAAKLFWWFNQGADVEISVTPKPYYGADGNKVFGITGEPEGLCERLE QSHGKFPFHTFWGPGAGLPCTDWIARAAAGVVEAERPDLTLVYLPHLDYDPQRLGPSG SDMPRLVAELDAACAVLIDATRRVDGSVWVVSEYGHCDVRRAVALNRVLAGRNLLTVR DGPFGQTLDTFRSRAFAVCDHQLAHVYVRRDQPTEPVRDLLLSEPGVARVLAGEERAE VGLDHPRSGELVALSEPDSWFAYPYWSDDDRAPDFARTVDIHRKPGYDPCELFLDPNL AWPKGRIIRRLVRKKLGFRTLFDVIPLDPSLVRGSHGLPAQALGDKPVLIGDGPPPDG DGPLPMTAVHGLLLRTLVPD OJF2_RS09185 MSTRDPSDLPPYAYVPGGPWPHPTASPRGHSWKARREEVPPVVG DDWASSWPYLRGVALFNAGYYWEAHEAWEGLWLVHGRRGPVAGTIQALIKLAAAGVKV RERQPAGVRTHASGAARLFEAARDMAGDHILGLDLRRWAAIARDVAVSPPEDAAPAGE AVSRVFSFRIEPGTAPQEGPRTVFDDAG OJF2_RS09190 MHRTIVLGTLCAAGLAAGLGMAPTFGQDTKDAAAAPAGSGALIG HALSMAIESSGLAATAAAAGATAPSATPSTAPSAAAATTSGRPALRDIEARNAAADAQ RSAASTTDEHRPGSGTAAAANDRRPANEAQPPGGTTVEPYGSGRTVGEIEKAAARDSG VAAATRPDATPRTAATDRPEMATRPEPAAATTRPDPMSAFAQGQLRQHAMRGFEESNA LFEQAARNMSGTTPEMNQFLTHARSYARILQALSQGKSAAATGATDNVLSSPTVPLGQ GEIQAVRLVNHGVTEALDSWKLNALPDSQGQNEASAVLRRHAQDMKAESRRLLEAVAA QYPSAATRAANATAAGTTTGGPSLADAVTAGAGQRTTAANGAGVSNATAGARPAVESN TGNESVAMLIQEARELIQILDHIGG OJF2_RS09195 MAEAQGRGTDRRAFLQAGALAAAGSAVTTFGEAAQQPAADRPED LPRRKLGKTGVEVTILEQGTVLGPGFDRIMRTSYAGGVRAFDTAKVYRSEPLFKKWFA QAPEVRKQIFLVTKDMVRTPSQMRKTVDERLSDLGTDYIDLYLIHGLGDEHPLDQAIA MVKSKELAETADALRKSGKARFIGFSTHHKDRAAILQAAAGGGIVDAIMLQYHPWLDR ESALNKAIDACWKKDIGLISMKQIASHNFGDAPKGDILKDVVAKVPMLKERNLSPFQG LLHAIWTDERIACVCTSMRNTDQIRQNIDAARRYEPVKAAEIERLRDVLLAAGPTMCA DCDGRCSAAGGTAAELGNITRFLTYHEHHGDRAEARRQFGRLSSEARDWSGADLEAAR AACPNRLDFARLLPEAERLLS OJF2_RS09200 MRRLVSTPLTWAIAAALAWTTAANPTWARPLEAEPPSDASPKAQ ASPTSLDEAEAALQKGLDQERGRSWAAAIETYRKAIERWPSRSDFSRRLRLCELHFKL VRRYQDASFRNVLLKLPTEQATELYAEVIERIQSHYVDPVPLEPLVRHGLDNMEVALR DPSFLRANVGEPAADRVTWLRERLREQRSRLSVPDRDAASRQVLAACELAREALDMPA TPVLLEFTCGACDALDDFTSYLTPDKLEDLYAMIDGNFVGLGVELKSDPQGLRLVGVI RGGPAWEAGLVAGDRIAGVGGKAVKGLSLDEAANRLQGAEGTTIDLEVVRRDGEHRLV RLVRRHVDVESITRAKIIDPVEGIGYVQLTGFQKSSTEELDQAISGLQALGMRTLVLD LRGNPGGLLNVAVEMADRFIEEGVIVSTRGRASGQTQVMRAGGQPRWRMPMYVLVDHD SASASEILAGALQDHHRAVIVGERSYGKGSVQSIFSLRAAPAGLKLTTAKFYSPNNRP YSEQGVSPDLPVKARIAARPAAGQERDDDRIDEAVLGDPSLDPVLAAAVRASRSGSQA AR OJF2_RS09205 MPREILRTSLLGPVGIAMAIAATGLPGCGPAAEKAVVAEPVAPV RPPEVKPATLPPVKFVDATSEAGIRFTHSNGAFGDKLLPETMGAGVAFLDYDNDGDPD LLFVNSCPWPGHESASPPTQALYRNDGKGHFADVTKEAGLDKTFYGQGVAVGDYDNDG DLDVYVTAVGGGHLFRNDGKGHFEDVTAAANAKGSDGWLTGAAFVDIENDGDLDLFIC NYVTWNPEIDKVQGFQLTGLGRAYGPPTSFNGSLCVLLRNDGGRFTDVSEASGIQVRT PDLKVPLGKSLGVAPFDVDGDGLVDIAVANDTVQNFLFHNKGGGKFEEVAILSGVAFD STGSPRGGMGCDWGCFANDQRLALAVGNFANEMTALYVCDRPDNIQFSDLANIYGLGA PTQPPLKFGLFFFDYDNDGRLDLLSANGHLEPDISKVQASESYEQPMQLFWNSGKPGR QMFVKVGPQAAGPDLFKPMVGRGSAYADIDGDGDLDVVVTVNNGPARLFRNEGGNANR WIRVVLEGDGKGSNRSAIGAKLEVKAGGQDCRRQLFPAKSYLSSMELPLTFGLGQAEK ADELTVTWPSGKTTTRKDLVAGKIYKIEEGKPTP OJF2_RS09210 MSTPVLPTRDRAGRVYKPAIGKGLRPLLWIILVGFALLAANGFY LSSVTALTWYLGTTQQTFFYMLMVALHLFLGLVLVIPFLVFGFAHLFTSWKRPNKAAV NYGLVLLAAGVITLVSGFILVRIGGFEVRDTRVRNVGYWFHVVAPFAAVALYVKHRLA GPLIRWHWARRFAIPVVGFVAAMGLLHFQDPRTLGVKGPKEGKQYFYPSEAVTANGKF IPAETLMMDRYCMECHQDAYKGWFHSSHHNSSFNNKAYLMSVRETRKVALERDGSTQA ARWCAGCHDPVPFFSGEFDDPNYDDVNNPTSQAGITCTTCHAITSVNNTRGNAAYTIE ESQHYPFAYSDNPILKWVNTTLVKAKPEMHKKTFLKPVIRSAEFCSTCHKVGLPFALN HYKDFVRGQDHYNTYLLSGVSGHGARSFYYPPQAKSNCAECHMDLTASSDFGAKDFDG KGQRQIHSHFFPSANTGLAAMRGDEKAMEEHAKFLKDKKVRIDVFGLREGGEIDGKLI APLRPEAPVLKPGGKYLVEVVVRTLNVGHPLTQGTVDSNEIWVELVARQDGKVIGRSG GIGEDGAVDPYSHFINVYMLDRNGKRIDRRNPQDIFVPLYNKQIPPGAGQVVHFALDA PKATGPITLEANLRYRKFDRTYMDYIFGKGQGPKLPIVDMASDKVQVAVAGGPAATNE PSPIKDEWQRWNDYGIGLLLEGSTKGGQKGELRQAEEVFRKVADLGKADGWVNLGRVY QREGRIDEALGVLEKAATHKEPAAPWVINWLTGEINAANGMTEEAIASYESVLKTRIP ERKFDFGLDFLVLNALATNQYAMARGFPVDGPERKEWLKKAIVTYHKSLAVDSEDANA HYGLGLAFGDPAWGSRPADQPADGAATEKPPAVPPDELARLGAAVADLKRPAAERKEK AIELAGAVGRYMRTPRPRFQSLLEPLHDLSTTLGPVWEREGNGETQVAIGRALEATHK ALHERLKPDETAEGRAFALARKDSPAANMNAQSIVIHPLQRPGAPGIDAPAASTSTAA TAAPTTAPAMQAPTHAQEGGQ OJF2_RS09215 MIEPIEKAGFQVKPPDGWKAVERSRWLAPGTPLAAWAGPDNSSL VVYQTLPAPSDTAEVLAEAMANRLMNLPELTLTAKRTETIAGQAASRVEAVAPGSGAA FAPSGIGKPVAASGETLIPTHRVVVGFPRSDGPVFLAWHAPESSSARLLQDVEATLKG LAIGPDRRRSSQGY OJF2_RS09220 MRKRATWRGDILRLFALAWAASLLFGQTPVRAAGCHVSDRPALF SGLSWDHWQGVESERQKPAAVRLPAPPLPAYAAIPCHKDVSTTSQPASDPLGAGLSSL GRIEAPRPREILRVPSLVVSSGPAPAPLDRPPRAS OJF2_RS41165 MLGRRDDPAAASPADEELMARIAGGCRESVAELHRRHASLIEAI VRRSLGREAAEEIVQDVFVAAWRKAASFDPARGTFRTWILRIAHHRVLNELRRRGRRP RIARDADESHLGNAPEHRPGPEEAAWHAHRTAFVRDAVAALPPTQRQALALAFAGELT HEEVARSLGLPLGTAKSRIRSGMQALRVRLAPLVAAGLAVLVTLSVALVREATSRAAI RRQEAALRLVTSSDVVPLRLAPTPGSAAPPEAHGNYRGRRGAEIAVLTLSKLPPAPEG YAYCAWGLFDGRWHRLGTAVLDTEGHALLISEGPHLAAPPGALKVTLESSSGSASPTG PTAISWPAP OJF2_RS09230 MSDSSTPAPGGDGGGDGIDRRGFLECMAWAGTGLLWTIGGGLAR SRAFGQEGTRAREAGFTFAQISDSHIGFGKEPYRKTVTATLRETVAKINALPRRPDFL IHTGDLTHLSTAQEFDAVDQILREAKVGRIFYVPGEHDVIPDSAEYLKRFGKETAGDG WYSFDHGGVHFVGLVNVAGSEKTLGTLGAEQLDWLARDLAGRRASTPIVVFAHVPLWS VYPEWGWGTEDGLRAIELLRRFGSVTVLNGHVHQTLQKVEGNMMFHTARSTAFPQPAP GSAPSPGPRKDVPAEKLRSLLGLTSVTYREERSPLAIVDSSLG OJF2_RS09235 MSIHRRVCIQRMAGGLAAGTLDGPAFGRRERGRIKAIAFDAFPV LDPRPVSALAESLFPGRGEALSAAWRIRQFEYQWLRLIGGKYEDFLKATDASLAFAAR SLGLVLTGEKRRRLTGAFLELRAWPDAAGALKALREDGVRLAFLSNATPAILRAGVEK SGLAGVFEHVLSTDAIRSYKPDPRAYRMAQDAFRLPREEVLFAAFAGWDVAGAKWFGY PVFWVNRLGAPMEELGEEPDGAGRGLDDLVAFVRGRNS OJF2_RS09240 MKSNSIARIAILGLPLALGAADPPGTTPATPLPARASIAAVSGN LPNALPVAAPRPMTTAEATPALSGVGMPLIPEQVIRPIDLPCALRLAGANDLDIAIAR EGVAQAMAELQQARVMWLPSLYLGPNWIRHDGQAQTVQGPVQSISKSSLFLGATAAAG SSVSGPVPAGGPAQVSGLTSILRFSDAIFEPLAARQVAAARRAAIDRATNDALLGVAE AYMDLQLAAGTLAIAREAAANAETLAKLTSSYARTGAGLDADYRRAVTERDRQRKNVE AAVGDLEAASAELVRRTRLDPRLVVAPVEPPESVLRMVPQGFSLDELITTGLRNRPEL AEAQALVQATLVRLKQAKLRPYIPSLAFRYSGGGFGGGTNSFFGDFASRSDADVNLYW EIQNLGFADKAIARQRAAQSRTACLEKLKVQDRVAAEVVQAVKGRIAASRRMQEAARA VPEALESLDLNLTNIRRGAGLPGATRPIEVLQPIQALALARTDYLAAVLAYNRSEFRL TRAVGRPPGVQAAPVAAGPPPARVAAGPDPSVDVRERPR OJF2_RS09245 MRLLAAIGPILLLGPGCGRPGGEPALSAATANTPAIARVVTVAP ERRAMRRLSEQPGQIEAVEVTPIHAKVSGYVASVAVDIGDRLKKGQLMAEIRVPEIEA DLKQKRALVQESEAEVKQAEAAVGVALAGVEAAEAKAMEMQAGVRRAESEVARWHAEF ARVEQLAQERALTGTLLDETRSKLEAAEAGRDEVKAKVRSSVAAVAEAKALLEKARAD ARSAATHVEVARFEAERAQAMETYTRIVAPYDGVVTRRRTDTGQLTTPGSAAEPLFIV ARTGIATISVGVPEADAPRVEVGDEAVIRLLALEDRKFQGKVTRTSWALEPSTRTLAA EIDIPDPEGVLRPGLYAYATIVVEEHKAALTVPATSVVKEGGKAYCVTVADRKAARRE VKTGLNDGKRVEILSGLGEGDRVVEANAASLADGQPVEVAEPPAAASSRPKT OJF2_RS09250 MNPIVFAMRRPLTVMVAVVAVVLLSGLALLRTPIDIFPNLNLPV IYVAQPYGGMDPAQMEGLLTNYYEYHFLYIGGIHHVESRNIQGMALMKLFFHPGTNMA QAMAETIGYVTRSRAFMPPGTVSPFITRFDAGSVPVGYLVLSSETKGVGEIQDQALFK VRPMFASLPGVSAPPPFGGSQRTVVVRVDPDRLRSYRMSPDEVMKALAAGNAISPSGN VRIGDEMPIVPVNSLARQVDDLKTIPIRPGASPTVYLRDVATVQDASDITTGYALVNG RRAVYILVTKRADASTLSVVNNVRAALPSMQAVLPDDIKVSFEFDQSPTVTNALKSLA VEGALGAALTGLMVLVFLRDLRSVIVVVLNIPFAVCGAVVALWLTGQTVNLMTLGGLA LAIGILVDEATVEVENIHSKMDHSENIARAVRQGNLDTAVPRLLAMLCILAVFIPSFF MQGSAQALFVPLSLAVGFAMVSSYLLSSTFVPVLSVWLLEHRPGGTGRGTGESAFDRA REAYGRRLGTVVRLRWLVVPAYLALAVLITYGVGRRLGLEIFPRVDAGRFQLRLKAPA GTRIEKTEQVARAALDAVRDEAGEHGVEISVGYVGLIPSSYPINAIYQWTAGPEEALL RVALHERAGLDVEALKRRLREKLSVAYPDVHFSFEPADIVSDVMSFGSPTPVEVAVSG PSYPDVLAHAAKIRDELAKVPTLRDLQYAQTLSYPTVSVEIDRERAGLSGVSAEEVAR SLVTATSSSRFVAPLYWPDPKTGIGYQVQVEIPVALMDSVKQVETIPVQRPGGPSLLL RDVADVRRGTMAGEYDRYNMKRIVSLTANVAGEDLGRVSGRVAEALSRAGTPPKGVTV DVRGQLAPMREMLTGLSIGLAASVVVILLLLTANFQSLRLAIVAVSTAPAVVCGVVLA LWLTRTTINIESFMGAIMAIGVAVANAILLVTFAERNRLEGTADAARAAVLGAMGRLR PILMTSCAMTAGMVPLALGWGEGGEQTAPLGRAVIGGLVAATAATLLVLPSVFALAQG KAPRRSASVDPDDPESRYYDHAFNGSVPPPNNGDLREAAAIGAAAGGPDAGLGDGMNR S OJF2_RS09255 MSMPSQEPDGPGAGDDTASAVGAPAPLDRTPDLGPEPGREPEPA SAEVPPSPRSGWKAVSLIPHDCKEPPASLADDLAQATWATVSAPWHPSLLSRSAAVPR IESLDSPVPPAPREIRIVPEGHLQRLPSGYMTQAEDAGAVVLEAGGDRAALVSKIQEL LGAVGTPETSDDPGMIAAADDFLALGTARWMVRDLASAMGHEAAVNEEALSREVLAGA DAWQACDRPTAVNRLRAAFEVLTQAREKFYPVDAYIVDLCLLDPELPPGSLAGPLDAH LAISFIAPARAIEAQAAGDPAGLERLRAAIDEGWADVAGGTYAEPEDLLLPLESVLWQ FRRGAEVYRAHLDDRSVETYARRRFGLHPHVPQVAKRFGLRYAVHLGFDAGRFPVRPE PKRLWESPDGSNLESILRPPMAADRPSQGMLLPWRLAATMRNDHVATLPLAHWPTPVA SWYLDVRRSAGYSPVLGRWVTLNDYFHLTDRPYETFRPDPDSYIDPYLTQALANRDRR PISRSARHHRLRAGLDATAWLRAMALAIPGVPAPAGGNEAAEGLPSTDAAEATLEAGD HPSAGREIEALQVAWAGRLAVAIAGAGARESAEARPGYLVLNPAGVPRRVAVMLADAP PGLHPEGPLRASQAIDGGVAAVVDVPAFGFAWIPAAAGAEPSPAPEPGVSAAGRILRN ESIEVEIDESTGGIRAVMAVGESTARLGQQLVLNGLGKDDDKPAISQMKAESFQVDHD GPALVQATSKGSLVDPRGGLRLAGFTQRYRLWTGRPMLELDVTIHDIHRAAIDRMQGP AALREPWKYALACRWAWPDPGSMIRRTVFEAAELTELEQVSTPGCVDVSTRSQRTAIV FGGLPHHRRHGTRMLDTLLVAGMEEERSFRLGVVLDLEFPFQASADMLTPAPVVRTTT GPPPQGPRGWLIFLDQRSVAVTHVSFAETTGEDRPWGLVVHLIETAGHSSRCRVRFFR NPTWARQVDFQGDTVLDLSFEGDGVLVDLSPNEMARVEVTLG OJF2_RS09260 MTATESSAGAPCWVPLSPIERRVVGVLAEKGMTTPDQYPLSVLA AVAGCNQKSNRDPITNYDQDDVEETLHDLRKKGAAILVETAGGRVTRWKHTLYTWFPA KKTELAVIVELLLRGPQTTGELRGRASRMEPIHELPALEMILAGLEDRGLVVQLSPKE QKRGVVVTHGLYPPAELEKVRQAFAASGGLAVPDADEGPARRPVAATATTAELVTVQA ELAAVRAELAVARAEVGELRGRLDALAAELRDLKSALGV OJF2_RS09265 MYARRRDGYDVNGPHATRLQQGERLMAIVDYVPTSDAPEGVRTL FEKLEARGQDVSNFLRTLAHSPGIFEAFLGMNKALGGMELDPKLRELAYIRASEINAC GYCLDHHRKAGLQAGLTERQVNETEGPDDDGLYDDLQRLAIEYAEEATRNVVLSDEMV ERLKAGLTNRQIVELAVAVAMANFTNRISETLQLDLP OJF2_RS09270 MISDARLAANRRNARKSTGPRTPEGKAESRLNGLVYGGRSEILG MPVLPREDPKALARLIDRFVREGRPGDSLERSLLERAARLTWAIERSDRAESAYLADA ARRASAPPAGREGAAEERSRRVTRLAAELFHPLSPHEFRDADWRDDPAAALAGLEETA EGRRWLLEQWRSIRAYFVAGLEPAIGDFYRYIRLHGRHVTDLAWDLDLNAAMAAAEVA WPGCGRLIYGRFLAELHAEDWRLFEQQRQWRTFAPLPATPEEAVAVLLRDAESQMARL AALLCEGDGEEVDPDAAAFEAELELAGHRRAAAARTRELMQVLEQLRKLRKDRGAAAS TVPLAEPDEPSPADEAVGAASVRRPGEPSPADALADGGGSDQPRPALTIPDGGGCDEP GPTRPPHGGGFHGEPEPAPTAEADRWEPAPVVIAEDDPEPPPPLDDEDEQAPPPGDPP APGTWEAFERWFLEAKAARVPDERTQGETLADREKRKFAEMLSIALDTPMGRAPDYDK YERRRAKQRQKANEEQQRKDQENPPPPGS OJF2_RS09280 MAGKPQNRLELRRQYEAAEPPDPMEDETDEVAPDVEEDEAVEKK PKKKAKAPAKSKSKSSRPAKPAARMRIVWVVMNDAFKPVATFDYSQKEAAETRAAELT AKGRGTHFVQRTKEAMPDDAPGLGAIIPRPEPAAKKAAVKEVEAAIEEEEDIEEEEEE VEIDDEPDDDDE OJF2_RS39120 MEFGPPPRILLVEDEALLRRLVAQFLRGDGFDVVEAADGLLAVE LFESEGPFDMVLLDLNLPGLPGVEVCRRIRKVEPSQPVMICSAAILDSHVESLTALGV QQFLTKPYHPEELLRRISVLLDGPDRAPGAAPPHRTPHSLRRPRAASHVLSNRDAID OJF2_RS39125 MDTNRPQMSMAALLGLVACVAFNFWLFRLGVLWGILGLSVSKHV VIAILCQGLGVDRPGEPAADPSPPPLTLPDAP OJF2_RS09290 MIRAKARGEAVPAAATDGAAAPAAPAETPAPAAKPAKKAKKAEE RPSLVWLGVEKLKALAARLNGELGEGTATIVQAGLLIPAGKLVEAALYLRDRNEVRYD YLASLQSVHYEDCIEVNYQLDSTSSPGSLIALRVRTAEAEGEGEVPSLYAVYRGADFQ EREVYDMMGVRFAGHPELKRILMWDGFAYYPLRKDYLEPYYEAPAKVLPSRVEDGFGQ HTRAEEVHPLGTNVKIPRDFKDWASLSSGSDPKGKPLLPAGVEVEELGTDQYMISMGP QHPSTHGVFRLNLRVDGETIIGLKPVMGYMHRNHEKIGERNTFLMNFPFTDRLDYLTS MGNNFGYALAIEQLMGDDARPPERAEYIRVIMAELTRIASHMWSIGFLLNDLGAFFTP ALYAIEERELILDLFEWASGSRMMCNYFRFGGVAFDLPKGWIERCRGIVNDRIDRKID ELDRYLSGNEIVLDRCKGVGVLSAEQAINYSAAGPVLRASNVPYDIRRAAPYSIYDRF DFEVITGANGDLYDRYYVRLLEMRESAKILKQAVRDIPEGPILPGKKTYQIKVPAGEA YSRVENPKGELGYYVLADGSGTAYRYHVRSPSFINLTALEAMCLGHTVADVVGILGSI DIVLGEVDR OJF2_RS09295 MGMGTGVIRGHAITLRRFLLTFWRDARQGRGLKRRGGGHGLLDF FRKPERGSEPTITQDFRTDGLFTVEYPDERLPVYERFRVLPVLVYDTEDGNVRCTSCN ICARVCPPQCIWMTQARSPKGTVVPLPEDFYIDMDVCMNCGLCSEYCPFDAIKMDQNF ELSNYERHQSHIYGLQDLLVSSEYYAKTHPEAWSSSEEATERGKVAKKKDQRLQKALA AGRSTPAAKPAPQPAKA OJF2_RS09300 MINIIRQFRASGRIAVMEGRVVGAVTRARPRRARGLVEMEVLED RRLLSTVQTFDGGGTPYAAGQIGGPPPATVTPGGPSGSFMRLATTPTNAIAGNNNSIS FATSDPGTFNSVTAQWDFRVTQTIPGQRGTGMSFALLNTTNYGTSGTAASVTPQQGLY DGSFGFGFDTTNNTVYASQNSGIVTAVSVPSGLDLASGQFIHATATIDFQHATVSLTL TPSSTGTAVTIFDAQEIPNLGPYQARVGFQAANTAANYADFDVDNINVQFTGQRLAGT LSFGSVNFVANESDGVAFITINRTGGSSGSVTIGFVSADGTARNGVNYTSVAGAITFA EGVTQQVVAIPLIDDGVADGNKTVNLYLSNPTLTAPLSPPIVSTLTIVNTDPVPPTVS PTVTKVYRAGTRRVAAFRLTFSQPLDRASAQDTSNYELIFPSASRAARTAARGASGSA SRAYAFASAVLDPTGTVVTLSRGVLGRMHLPKLVQILVRGTPPAGVRNASGTFLAGTG GVSGTDALLTVRV OJF2_RS09305 MIPTPPQPKYAPGTRLRITQFVRVGHRRWLTRVEGRVEGEGRRP VGGIEMGGKASFCQQATLRLRRDDGEVIEVALDEETQVEALPASAV OJF2_RS09310 MVLYNYVFIGLLLLLAVTFGLLPLIVVAVVAPRKRSLAKSDTYE CGVRTYGETWIRFRIQYFIYALMFVVFDIETVFLYPWAVAYGELGTFALVEMIVFLVI LSIGLAYAWAKGVLRWV OJF2_RS09315 MGLTWLSAGAAQAPTLLAQQPLVELDRFTIVRWLLWLLVGFFGV FPGIVAYMVWLERKVAARFQDRIGPNRVGPLGLLQPIADAIKLITKEDIVPRSADRWA HLAAPVLVIMSAFLVMAVIPFAVGLAPVDLPSGMAYLIAVSSISPLGIFLAGWSSRNK YSLLGAMRAVAQLVSYEVPQVLSTIPIVLWAGSLSLVTIFDRQVEYGWFLLSPPGFLA FMILLIASIAEVNRTPFDLPEAESEIIAGYHTEYSSMRFGLFFLAEYLSVFAVSCLAT VLFLGGGTPLPFVSFPVGAISPGSTPSLILADSILVAIFLSKVLFFIFVMFWVRATLP RMRVDRLMNFSWKYLVPLSIANVLIAAVWYEMVIRPGELTLANWLKGVGVTGLMTAFL VSLVFTVNRRIAASEPLGADWPTVRTVPQAGGAIPTARP OJF2_RS09320 MFQILFLVIAGLGLLAALGCVLARNLVHAGLFLVGYFFVVAAVF VMLEAEFLAAIQVLVYIGAVAIILMFGIMLTRNVQGDDTTIVVGPWRVPAMLAGVLLL AVLVFGINNAVAPAGQAAWTGRAERPPITDDPANPPGTAVRREAINNMGRMVGLELMK RYAVAFEVAGLLLTAALVGAIALAHRDEEEPVVPSASGEGARRAEPAGPEAGLAASGG RTSP OJF2_RS09325 MTNDIPLAWFLYFAAASFAIGLTGVLLRRNAIAVLMAIEIMLNA ANVNLVAFWRYGPPSSAQAAGAMPGVILAIFVITVAAAEVAVGIGLILLCYRRWHAAD VDQYDTMSG OJF2_RS09330 MEPSLPTIDFRDFWFLSPAIVLSAWGLVVLMVDLVLARRLSADA RRERVGWLAMAGVLLALVAQLGLSYVQSRVQADSPPGWMNSSMAAYFQGAGGVVFLGS IAVDPQTTIISILFLVLLGLVAWLSTSWTFTENWGEYYALLMWATVGMMLLAASEELI TLFLTLETMTICLYLLTAFEKTRRRSAEGGLKYFVYGSVSSALFLFGLSLLYGLTGTT QFEAIRLALDSAGPSARGLSHNVAGATALLLMLVGFGFKVAAVPFHQWAPDAYEGAPA AVTAWVATGSKLASFIALMKVFLHALQPWSYPSSGVMGPGWLGVIAVVAGVTMTYGNF AALAQTNLKRMLAYSSIAHAGYMLVGVAAASVSTRGAEAAGSVLFYLVIYAFTNIGAF AVAAWLVRDRKTDEIDDLNGLAYQSPVLAVAILVLMLSLIGMPPFAGFFGKLYMFMEA LNQQPSSSRLTLISLVALGLMNSVVSAFYYVRVLKAMFLRPTAEGARLRPAGLSIQVP ILLGAAVATFFGLYPDAVGDIVPNGLVSMMRTASIPMLTATGGGNVAAVSTPTGTAYK PLKEDRRGFVTGRDQEEIRRRLRESAIKMPGYGEAFKKGQEHDSGVPKQAPPGGAGGP PGGRPPGGPPRGAGGPPPGAAKKAAQPGNAS OJF2_RS09335 MAIINTFQKCLESPYLVEDGDAPSKIGGLLAKAGDRLEGAVNIQ TSGQGDPADVIFLSYEAMFCCLRALVYDKGYRECGLRCLILACESLYIKTGRLDPEHL RKFDLAQRLKLTPEDAVASASAFVKRTLELLGQ OJF2_RS09340 METIPESVIQFLDGNVESIEQLEILRVLAEDPRRRWDLNSLARV VQAAPRSVRGHLAAMCERGLVEMTGLALDVSCRYGRKPPELERRIRRLLEIYRERPVT VIRLVYERAGAARLAARTPQETDPG OJF2_RS09345 MRPFDLVATLIVLVAVLSYLNLKALRLPPTAGLMALSLLLSAGI AAAGTIAPQVGSWTRSLLARVDLGEALLHGMLGFLLFAGALHVDIGRFRRHKLAVAAL ATLGVLISAATVGGLMWWISGLLGLGLRPIDCLVFGALISPTDPIAVIGLLKRLGAPE PLKVQIAGESLFNDGVGVVLFLGLLEHAGVVHGAEGQGMAWIFLREALGGAAFGLAIG GIVYLMMRSVDHYQVEILLSLALVAGGYAAADALHLSGPIAMVVAGLLVGNHGRTFAM SDTTNQRLDEFWELIDEFLNASLFVLIGLEVLVLDFSAKYLSAGALAVPAVLAARWLS VVVSARVVGVWRALDPGSVAVLTWGGLRGGISVALALSLPGSYGGSDPPVRDILLAVT YIVVAFSTLVQGLSIGPLARRWLGPSAHASLPKSEAAPPASPASPSE OJF2_RS09350 MWDRNALHELIQARLRDQLLIVVANREPYIHRYVGDVVECIQPA SGMASALDPMMLACGGTWVGHGSGDADRLTVDAHDRIRVPPDSPRYTLRRVWLSKEQE EGYYHGVANSGLWPLCHVAFTRPIFDSRHWQTYREVNELFADAVLQEAGDRPAFVFIQ DYHFGLLPRILKRRNPELTVAQFWHIPWPNPETFRALPWKEELLDGLLGNDLLGFHLG YHCQNFLETVDRNLEARTDREQSEVVRGGHATRIRAFPISIDFERHSAAAAGPEVERE MARWRHRLKLDGKLLGLGIERIDYTKGIPERLRAIDHLLENHPEFRGRLVYAQCGVPS RGHIRAYQQLDDEIDDLVEGINWKWGNGSWRPICYEKRHSNPAEMTALHRLASFCVVS SLHDGMNLVAKEFVASRVDEDGVLVLSRFTGAARELTDALLINPFSVEELSGAMHEAL TMPRDERGRRMRRMREVVAENNVYRWAGKIVSTLLRLDPDDVPPRAPDATAHPASRET DEPASLLASLDEVGEVVEAAPHRLILLDYDGTLAPIAERPEMALLPSTTRDILRQLAR REDCTVGIVSGRSLEDVRARVGIEGLIYAGNHGLEISGVGLRFAEETAVSRRDGVESV VCTLAVLLKDIAGILVEDKGLSASVHYRLVRPEHRMQVERVVREAVPEDHPQFVVMPG KMVWEVRPRVLWNKGKAVRWLRERLGIPSAVTFYLGDDRTDEDAFAEVGRFVSARVGP PAPTRAGFRVADTAEVAEFLSWLSRSARPAVVPEPARG OJF2_RS09355 MGKLRVVLADDHAVVREGLKALIDAQPDLEVVGEAADGETACRK AEELRPDVLVMDVSMPRMSGVEATERLRQSRPELRILALTLHEDKGHLRRMLQAGASG YILKLATGEELLRALRVVAAGAAYLDPLLAGKLAGELVRDGQGAEGTRRSPLTDREGQ VLLQVARGFSNKEIAAQLDISVKTVETHKLRAMEKLGLRGRADVVQHALREGWLASD OJF2_RS09360 MIPGAINSADWNARRRPLLVRYAAAVVFVALAVLARWLLEPILE DRQAFPTFYVSVTAAAWWGGLGPTFLALALGYLAGDWFFVRPQNTFSALNLANTGTYF FVGFAIAFFTQMLHAAQARAEANAAELRDRQGELEHEIAERRRVETEREHLFGELSTA RGRLEAVLRQMPAGVVIAEAPSGRIVLANEQSRGIWGTLPQAGSEPPEWDPGRLKGKD GRGYLPHEWPLARSLHAGEVVKGEEILFPRDDGEWGTMTVSSVPIRDQAGGVVAAVAI LDDITARKRAEEALLQAREELERRVAGRTADLARANESLRAEVAERRRAEQTRNELLR RLVAVQEEERVRIARELHDQMGQQLTALKLGLEALAASLPGEGGGHDRLSRLLKLTRQ IGHDMHRIAWELGPAVLGELGLPEALSNYAEEWSGHSGVPVQVQATGPWESRLPSQVE TSLYRVVQEALTNVAKYANASRVGLILNRNADDVLVIVEDDGVGFDAEHATDPAQPRR RLGLAGMKERVGSVGGALQIESIPGGGTTLFVRVPLRGRDGRPVHG OJF2_RS09365 MATVEQGRKREVASQDSTPPLENGERLSRVQFERIVDIHSPVRP NRHGLPASAINCWLANYRIATPGLVSALSPGLRLDLDNEPQQDALLMFAPDHGGQAVV SADDDVEGPPERVAEVSRSATFPGLRLDAKALASAYMARMRARLVAGLAGPEHAEFVR VMAARAGQGPA OJF2_RS09370 MPPISVNRRRFLGCSAAASLAISQAAAEAAATDGSGATVRVGLV GVGNRGTGLLRALLELPGVAVPVVCDAEPKHRLRGQGIAEKALGRRPDAVGDPRHLID RDDVDAVVVAVPCDLHEAIGSDAIRAGKHLYAEKPLALSVPGCDRLIHESARRPDLAV HVGFQRRSNPRFREGLERIRGGEIGPLIEARATWTSSNGPLSGHGGWLGRRERSGDFM IEQAVHIWDVLHWLQGGPPAKAEGWGRRRLFARQDPGRDVTDHYAVELEWPDGFRASF LQSWVAPADDGFTGSSLRILGEDGGLDLSTGSLSFRDRQRPRQAIHPGPQNDTRLALR DFLASIRSERRLPPPVTLAEARDATLTGLLVRKAVDERRPVTMEEILDGTAGA OJF2_RS09375 MPTLIASPSRVEAAGTKPKLIDEYVGVVNSGEAGVSVAHMRSPG GWVEPGQTPEFDEYTVVLRGLLRVEHEAGTLDVRGGQGVVVRRGEWVRYSTPEPEGAE YVAICLPAFTLAAAHRDADDAKGA OJF2_RS09380 MSGKVCVVTGASTGIGYVTARELVRDGARVIGVGRSPERCESAA RRIREETGSGAIDFLIADLSSQAEIRRLVPEILAKAPRLDVLVNNAGGIFLSRETTAD GLEMTFALNHLAYFLLTNLLIDHLKGSAPARIVCVASAAHQGVTLPFDNLNGEKSFSP WRAYQRSKLANILFVRELARRLEGTGVTVNALHPGYVRTEIFRARGPAGWLMRRFADA FAITPERGAETSVYLAASPEVEGVSGQYFYRKKPIAPSRAALDDEAAKRLWQVSEELT ASSGSASGSGMA OJF2_RS09385 MTNRIPLRPSVATLAALLLAASLDAAEPPASGDRPTFWVIPHTH WEGAVFKTREEYLEMGLPNILRALRLLGEQPDYRFVLDQAAYVKPFLERYPDQEPAFR KYLAEGRLQIAGGLDVMPDVNMPGGESFVRQVQYGKGYYREKLGIDVTTGWLIDTFGH HAQIPQLMTLAGFRSYWTQRGVPFRTHPAEFLWEGIDGTRLPVFYMPASYAVMYGSPA DPSKFREFVIARFRSLDANAPGKDRAGPAGVDVGLPEPQLAPMVEAFNKDPDRPFNLR IATPAEFEKVVAPRTDRPVFRGEMNPIFQGTYSSRIELKSWMRRMEARLVTAEKLTAL SRILGGEVSDAGLWRAWEPVLFNETHDQASGVMTDHVYEDAIRGYESSDRLAGELIDA GWNALASRIDTRGEGIPVVVFNPMSWTRSDVTEVDVGFAVPGVAGVSVVDDRGVVRPS QLVSATSYPDGGLCAARVAFVAAEVPALGYRTYHVRTSAEPSRLPSPAPGHLENASHR LAIDDRTGAITSLRVKSGDWEVLRAPANVVARHQDRGDVWELYRGLDGGSNVALSNKQ PVPPRGEAKYSDDEKGTPATVVTGPVYSEYRVAHPFAGGQYATTVRLYADLPRIDCTT TLVNNEKYVRYQLLFPTSIRGGKHLDEIPFGAMERPEGIEFPAQNWSDLADGEHGLAV LNAGLPGHTVADGTIMVSVLRSHNLGAYGFGGGYEPGMSSEGAFQIGKERTARYALLP HAGDWRDAGLARAGLEFNHPLIARPVGAHAGDLPPSKGFLEVCSPSVIVTSVRPMRDE AIAVRLYESTGRPAPGTRIRLSAFVADAADADLLENPREPIAVADGALSIDFRPFQIR TLILRTRSAPR OJF2_RS09390 MKTRAAILICVVNWLPPGPSASCHAGDARPVPSFSIVVEKPHAW RPPFGLDRVGAPTTVRVEAAAPPGGRIWLAERERGRETARREIRFPGKAPFVATAAVA PDTTEAAVLVEEDGTPAGAARTAIERPPLEAEAAARPDRVVNPVDLGTILVPAGWLLL GPGQAGILEVAALSRVADRPHASVRARFASGSAAQAAALPLIRGKRARAEVRMAMPPG QSDRDTLRVELVGEDGTRLWGKDIPVMIVRRPPDLPRFGATYTKLRYDAPISVRDPAT GAFSSLDYDRGWEPSLRDVVVSLPGGGRFVFWRGSSYIPFWAGLHNTGACYEWAEVIT RRPGAVDCVEPLMDKELRYGRVEIVEATPALVHVRWTYESTDLNYKVWGDQAVEDYYF HPDGYGTRVVTLKTDPTTDYELCEFIILTPQGAYPFDALPENLVDALSLDGSKRSYRF PIRGEADTPRGGAPPAVYRLRPDRSSGPAAIAFNPGDRAFPKVVFGPFEDGGRLVTPC YWGSHWPLARGNATGSRIDDRIALTPCHNSVMSWASDRPEPISERRGIMVDTLGEART MVVRRWAWLIGMTRDDDEHLLRRARAFANPPSLKLEGAEPASEGYAIERRALCLRVRR PDVTIALEPSVACVDPIFELDDAPRGALSVRRDGVPVPEADLAWDGRTLWLRGSFAAR SRLDLHFESTTATTE OJF2_RS09395 MRRLSIAEALREGIAEEMRRDPSVFCLGEDIAVPGGWGGAFTVT LGLEEEFPDRMLNTPIAELGFFGAAVGAAVAGMRPIADVQYGDFLFLAMDQIVNNAAK LRYMSGGTVSVPLVMRAPVGATGRGSQHAQSMERYFTGVPGMKVVAVSNAYDAKGVLK SAVRDDNPVLIFEHKLLYGSKGARTEPGAVDATSEVPDEDYTVPLHRAAVRREGSQVT ILAWLLMAHLASQAAERLSAEGIEAEVIDVRSLSPIDYETIGASVRKTGRVVLVEEGP RSGGVSAEIAAGLMEHCGNDLLAPVVRVASPDVPVPFTPVLEEAYRPDVARIVEGVRR VLRD OJF2_RS09400 MPQLTETADWPTPLKPGAYEDSFLLGLYERMVTIREFEDGVKFL FLEGSMPGTIHQCQGQEATAVGVCSALREDDFITSTFRGHGHALAKGLTVESLLFELF GASTGCCKGKGGSMHVGDMSKGMVPGIAIVGGGIPLAAGMALAYKMRKEPRVVACFFG DGAVAEGAFHEGVNLAAIWDLPVIFACENNLYGASTRVDLVMRNARIAERAATYGIRA ETVDGNDVLAVHEATLAAAADCRAGKGPVLLELLTYRRTGHSRRDACHYQPQDEREAW ARRDPIERLGARLIEAGAADAARLQEIRREVQAHFQRAVEEARRQPMPAPGDIADDVL A OJF2_RS09405 MRMPDLATTGSPMRVVRLLAAAGDEVARGQPILEVETDKATMEV ESTVAGRLVAIAVEVDDEVLAGQLIATFAVESAGAAWVAPAAKLAPSHPAPAEDRTAR ASAAASPASDRPSFFARNRARRSVQPTSSKRASLALSLAGRVVARRMQEIKRTVPHFY VQASANAGGMVRRRDAAEGPRPAWDAFFVVAAARALREHPRFAHRYDQEKLVPHGGGA IGVAVDLDDDLYTITVDDPAAKEVERVSAEIREQVDQLRSGDPKARQPRPASMTVSNL GGSGVESFAAIVNAPEASILAVGSVLPAAVVESGGIFVQDRVRLTLSVDHRVAGGKAA AAFLHAIVRGLESF OJF2_RS09410 MPLQLISQMVSQARAGGYAIGYFESWNLESLQGVLDAAESSRSP IIVGFNGDFLARPGRLAAERLGLYGALGRAAASEASVPCGFIFNECPDDGWVRKAVLS GFNLVMPADPSAPFEESVRRVAFLTQFAHEHGVAVEAELGVLPCGTGGADDHGHEASL TDPDLAARFVEETGVDLLAVSVGNVHIRLEGRGGLDLERLGRIAGRVPCGLVLHGGTG IEPESLRLAVGLGVVKVNFGTYLKQRYLAAIRSALRSDCPDPHRLLGIGGDEDVMTAG RIAVRDAVLERIGTLGCAGRAT OJF2_RS09415 MHVSVEFEDQRLDLDLPEDRVVGSWQGPRGLAGADAAEAERRAL DEPLDFPPVGQMVVPGDHVVIAWDSSLDHAGGLLGGLIDRLRDSGVLAEDIVVVATPG PADEQARAAVASRGGAWEVHDPADQEQLAYLATTKDGRRIYLNRRLTDADAVIPVGRV GHDPILGYRGPWSLLFPALADAEALRSYRHHLAEDPADEPAPRVRWDESIEVDWLLGS QYQVGVVPGGDGPAAIVAGLGESVRARAVAELERLWSFRPEYGAECVVVGVGSPGRAA GIDELVEGLVTASRLVNHGGKILALSRAGGEPGPSLRRLTAVDDMRKASGALRGHDDD ADSVSGRRLARVLSWADVYLLSALDRGVVEDLSMVPVDHADEARRLLSRSGACLAVSH AELTRATVVEAKP OJF2_RS09420 MTTETSKPALDLDAYRAAGESAAWCDRSSRARLEIGGPDRAKFL HNLTTNDVKRLPAGRGREAFVTSPQGKTIGFVNILACPDAILVVADPGGLDLALPHFT KYGVFDDITLTDLSGSTFEYHVVGPRSGEVVSAAGASLPDADDLAVATAAIAGHAVTI VREAPAGRPGLTILGQREGADDVRRALLEAGGPAGLVELDPGTFEAMRIEAGTPAFGR DLDAKNLPQEAGRDRRAINFVKGCYLGQETVARIDALGHVNQHLLGLRLDPEAAVPPP GSVVEAEGKAVGRVTSAAFSPGWGVPIALALIRSSHAKDGAVVAVKAAEGPAGAAARA VVSALPMIPDAADASTA OJF2_RS09425 MRHPRYICIHGHFYQPPRENPWLGTVEIQDSAAPFHDWNERITR ECYGPNTRARLVDGQGRIINLLNNYAWMSFNFGPTLLSWMAEAAPETLAGIVEADRLS QERRGGHGNALAQVYNHMILPLASPRDKVTQVRWGIADFRRRFGRDPEGMWLAETAAD VPSLEALAEAGVRFTVLAPSQAKRWRRLGEKSWPEASGGIDPSRAYLARLPSGRSITL FFYDGIISQQVAFERLLDHGERFLSRLYQGFDDRRDHAQLMHIATDGESYGHHHPHGD MALAYVLERLSRDGDVRLTNYGEFLKLHPPEWEVEIHENSSWSCVHGVERWRSDCGCK TRGDWHQRWRGPLRDALNRLKEQLDHLFSTRGRECFPNPWAARDAYIEVILDREDPEA LGRFLARFGHSDLDDGQASDALRLLEMQKDAMLMFTSCGWFFDEISGIETVQCLNYAA RAIDIARLFQRDFEHEFVQGLEAAPSNIHRIRDGAGVWNQMVRPANVDLERVFAHHAI SMIFAPDGAAASRVFSFDVENLDVETRTRGKGHLAVGRLRARSRRTRTHAETYFLVVH FGGLDFHAVLNNAIDPDDFESFKVRLLAAYRTGSLADVMALVTEEFPGRAHRLDDLFR DEQRRIIGIVLADRFEDYQRSFEHLADQDEEILNRLGNLNYPVPRPLRAAASAYIDRH LEEQIVRIERGEESSLDRLESLHERGRAWGYQPQKEALEKILAEALERTLRGLGPDAD PSAVAARAGLLLDASRLMDVRPLYWQAQNILLDRFLDLRRSTAVDPELGTVVADLAGR LGLNPSLLGWRP OJF2_RS09430 MTFEQCQAVLSEIRQHQGTDHPLVQVTCSGAILRGRVLRSDSDR PARPNQDSPFGLLVLQQPGLFPGPLNFIQIASIPSGGLLGLLADDHADASSRHELLVG AAS OJF2_RS09435 MGMDSPQSQKVRGGSLPHQWTRVEDPGAESVVAAYETVIAGGGP AGLTAAYELAKHGRAAVVLEADRRMVGGISRTDEYKGYRFDIGGHRFFSKSGEVNALW REILGGEFVTRSRLSRIYYRRKFYYYPLRPVDALWKLGPWRAARILISYLKARMRPIT PERSFEDWVVNRFGRELFSIFFKSYTEKVWGTPTSEISADWAAQRIKGLSLTKAVLGA LFGGRKSRRGEVIKTLIEEFQYPRLGPGQMWETARDRIRELGGAVHMDRRVDRIEHDG SRVNAFLVTDSAGRRSRYTGQHFLSTMPVRDLIRAMDPPAPPEVRRAAESLRYRDFLS VVLIVDRAETFPDTWIYIHEPDVLVGRIQNFKNWSPDMVPDQSRSSLGMEYFCFEGDD LWTRSDADLVELGRREIDLIGLARAEDVVDGCVVRMPKAYPVYDDAYQEHLAVIRRWL SGLGNLELAGRNGMHKYNNQDHSMMTALLAARNILGLGRYDTWKVNTDAEYHEDGEGP GSDRTGRLVPRRVAAADLA OJF2_RS09440 MPAGRPGFQYLSVKEVDGVAVITFLESASMIEGDKVESLAGELL GLLEAKKYRKVVLNLYNAGYMSSAMLAQLVRLNRKMQESKGKVRLCCLRPPVMEAFKI SQFDKLFEVYPDEPSALKKF OJF2_RS09445 MSVETLYDRKSAAQPAKGVRRGTRPWRWALGSLALAAIAGGIYV ASRGREGQAAPAAGQEHGRAGEPSGRVVKVATPRHGGLERSSDQPGTIRGFDFAPLYA KVSGYLKAMNVDRGDRVKAGQVLAEIYDPELDVAVLQAQAQLQHAEAQVRQSEAKLKT ARAGVDAANAKLEQSHSMLEEAVAQRTYRKKALDRLTELARRNAVEQRLVDEAEDQYM ASMASEHGAQSGITTAQAQVLEANADVDLAQADLVTAKAQVAVAEANVKKAKVFVEYE KVTAPFDGVITTRGDGVHVGAFIRAANEGGMTEPLLTVSRTDKMRTIVELPDTDAPHC NVGDPASVRIATLAGRVFKASISRISESEDLKTRTMRVEVDLDNKEGVLRDGMFGRVL IELEPPTKNLTIPSTCLLERGEGGKGAVFVVQDGKVKRKQVRAGMDNGRLVEILDGLS EKDEVVAEVTASLTEGLPVKPEPVKVAGGGPAE OJF2_RS09450 MDRVRKASRRRCSFEALETRLALSTTPANVIGEAAGTIARPGAV GRTTAAVSPANLNAHRKSTLFGLFVSPNPGTGLRPRIVAATGAAGHARPIAHGRVYGF RGSATTVAFTTASAPGSLTTETTGAGGTSGGYQAQTTLIGDVNGDGRVDYSDLQAFAP TYMAKAGSANYDAAADFNHNGIINLYDAKVLLRNMAPLTRRVPLNVSMAIAPQFAAHY PTSQISGGATMYRDFEIVGRTTPGSLVIQDNHKARLPGGTQAYKFTGPATAVGADGTF TIRAENSEGLNNNDFLILDPFGGRTIFDFPVLWIPYASGRVGRA OJF2_RS09455 MRLALAMLAYVLPNLLPVSTAAADGREDSKHRVMQKNAELLLRV GSTIVPTTQLETYKEGPTEGRWTWIEAESGRARGWAKADELVRLDEAVPFFSGEIHAS PADPLLHIKRAKAYEALGKPDQALQDYDEAVRLDPSHAWAYNWRGLFHRARKEYDAAI DDHSRAVAVEPGRPASYLDRALCYLDRGRFDDAIADLEACLRLRPDDAQAYDRLGWAF YSKGQADRAVEYCSKAIQLQPDFEDAYVDRGIAWFAEGEYDKTIADETEALRLSPEDP FAFNARGWALSAKHEYDRAIQDFDRAIERNPRFARAYTNRGIARAGRKDFDGALRDLD RSIAIAPDRPRAHLNRGIVHLIKGEVTRAGADFDRAIELAPNDRWLRYNKLIATLMTD PAAACDNAARLLGEVGPRDELGVYTAILGYLGARKSGRKDDAARFIEMGEKLAGGRAW QGHLVDYLKDRADERGLLAAADGPAQQTQARLMIGLKKSLSSRREDGLEDLRWVRDHG LSTDALYPLAVQELRRLGLAEAQARPTRPDA OJF2_RS09460 MEEAYRAMEESNRRIQRQYDRLQERYDDLSRRVGPGPRTGDGNT IPAAASWLQEPPAEAAREGLGAEGMGGRTAPGPGGVGLEGFGSRGVFRGMAGFPPTPS TSRPGGPPGAGLSSRAATGIGAQGTEGRVFSPETEKGGEEKLQRRTAKVEFAEGLELS SSDDEFKLTFHNLTQAEYRAFPASQEGILQSQFFIPRQRWYFTGRATRNVEYYTVINR GYGSLDLLDAFITYRFDERLRLRVGRMKTPYLYEYYSIAEGDLVAPERSIYAGNLAGN RQDGLMALGELGKGRMGYAVGVFNGPRRSFQDYNGAKDVIGYVNTRPFLGSRRLPRFD YLNVGGSFDVGNQNNPTQPQALRTANDETTSPTADTLSPTFLQFNNNVIEYGQRTQWA GHMAWFYNSFTLLAEYAGGDAGYATTSHRVSTYIPYNGYFVQASYFLTGEHVTRRVNM IKPKKDFSLAQGKFGLGAIEAHARLSELTLSPNLFRAGFADPNLYTNHAWATDIGFNW YLNFYTRLFLDWQHSEFGQPVVMSANRLGLTRDLFWVRFQVFF OJF2_RS09465 MRSAHVALALALAVCSPGLAVPCSAGEAPSAPPGASRVAARESP GEGKAEAPDRSPAAGIPTPGPTEASAPPEPEAETEEERKAATRRQDLEQRVPSPAEIP PTPAYPIDLYTALRLADAENPTIAAARARIAEALAAQTAARVILLPSLNAGSNLRIHT GNLQRSSGRILNVTEQSLYFGGGSLAFAAGTVDVPAVNIFASLTEAWFEPLAAHQFVV GNRFRAMSTANEVLQDVAVLYLELLARQEILEIQRLNEKQVYDLAVNVNNFAIAGERR IADANRAQAEWKLHRAAVQRAEEEVAVVAARFAARLNLDPSIRLHAAGGALAPIDLID LNTEVFDLVQYALRQRPDLAARAADVERAEYQYRREVARPLLPTLWMGYSGGAFGGGS NVVPPLMGHFGGRSDFDVRVYWTLMNLGAGNLSLIHRGQARVGEAEAQRVRAINQARR EIAASRAEALAERARIEIARRELASAESGFREDRDRSRQNLGRPIEVLNSLNLVATAR VNVVRAVMAYDQAQFRLFVALGSPPPLPQPAIDEPSPPPVTTPLHGPLPVRGHPIHLG LE OJF2_RS09470 MSRPSLVVAIPAFAVGIAIAASTGGSVLAQSASPLANPASTAVP EPLPTVAREPGTSAVASADANKEGAPPPPPVSPPTILHPETRPIDLNTALQLAGVQNP ELNAARQRVLESVALRQLAAAQFLPSINPGMNYDSHAGNLQQSNGNILSVNRSAVYVG AGSMAVAAGTVAVPGVFLSGNTALGVFRYLESRQFVRQREFENVAIRNQVFLRTVVAF SELLRSEARHAVALQNREEARGIARLTRDYAETGQGRMADANRAATELQRREAYLKGV EAELVAASAALCQVLNLDPTLRLHPTDAFVVPQPLVPDPIPVNELIALGLLQRPELGA QRASIIQGILALQTAKLLPFSPTVYLGFSAGGFGGGSNLVRPILGGFGGRTDFDVAAY WTIQNLGVGNLAMIRGADANLQVRRFEQLEVLNMVREQIAESYARTHARFAQIETNEA ATRSGLLSFTQDLTRIRYRSRDVLPIELLDSFRLLAQARIDYADAIVDYNEAQFQLYV ALGQPPANSLARPVPTQGITPSGVTGDNPRVTGPARSAGTTPPNSVPPATPPSR OJF2_RS09475 MNGLIRASLGNPYAVTVMALTMVLLGALSVTQIPVDILPIFRSP AVQTLTFYGGMSANSIAHDITNRMERWTGQANGMARQESRSILGASIVRNYFQGDVDP NGALTQVNSLALAAIPNLPPGTLPPVVLPFDPTSTTPVCVVALDSEDPANNESVLYDV GRYEVRNMIMGQPGAVAPVVYGGKVRAVMAYLDRNKMQARDLSTQDVLAALNAGNVFL PTGDAKFGDLDYVIDSNSMYEKVEDMGDIPVRIEPNNATYLRDVAQAKDANYIQTNVV RVNGKREVYIPVFRQLGSSTLRVVENLRGSLRDMEAKLTRSGINLKLVMDQSVYVRKS IEALVQEGVLGAILCSLVILMFLGEWRMTGIAVMTLPLACMTCCACLFLTGQTINTMT LAGMTLAIGPMIDSAIICLENTHRHMGLGASPRDAAFLGASEVAMPELVSTLCTFLVL SPLVMTPGLGQFLFKPMAMAVAFSMIAAYLLSRTLVPACSAFWLKPHAAHAHDEHGHG DQADGHGDPAESETFQINGDGPKPRRRGAIARAFARWEAMIDRGIEYYVKGLDAVLRH PALTLGFSFTALAATILLFWPILRKEFFPEVDAGAFEMYVRAPSGLRIERMEDRIKEV EKFVKETVEEEDLELVLSELGVTSDWSAAYTPNAGPMDAVVRVQLSGERKKSAQEYVA ELREGFASRGEFSDLEFAFDAGGMVRSAMNEGKSTPISVRITAKDQKSAHRVASMIRN EVEKVPGVVDARIIQRLDYPQFMVKVDRAKSAQLGLTQADVMKAIIASLNSSIQFDKH NFWIDPKSKNQYYVGVSYAENEIKSLDTIYDIPITSPVQKRPVPMRNVIEIERVPVPT EVTHYNIQPTIELSMGVAGRDLGHVSDDVSEIIGRFGRFKSAGQWDTYKPDSDGKELL VGSRITLSGEYLRMKDTFNSLGVGLILASLLIYFLMVGLDRSFVVPLTVMAIVPLSLI GIMPMLYVTKSAVNVQSLLGFIFIVGIKVANSVLMTDYAQELRRHEGLTPLEAIRKAA SLRVRPITMTALAAFFALVPGALSLERGSEANAPLARAILGGLIAGEPATLFVLPVIY SLIVRDKPGKRLAPAHEGESEGDRPEGSSHH OJF2_RS09480 MTNVLYLSILALAAADAADEGPRGVHRDIAYSDAGGARTRLDVY SGRGGKDRACIVWIHGGAWEFGSKALVGAKPGAFNERGYLFLSVEYRLHPAVSYREQA GDIAAAVRWARDHAAEYGGDPRRIYLMGHSAGAHLAALVATDGRYLRKAGLRLKDLSG VILLDGAGYDIPRQIRSAGRSPRLRALYLDVFGDDPARQADASPIEHVAAGKGIPPFL ILHVADRPDSRMQSLSLAERLRASGVPAEVIPAEGKTHMTINRELGRAGDPPTDAVFA FLRVRDGRRSARGSESLKP OJF2_RS09485 MPKLHAPSHPAAATPFPTKNDLGADVREKVIAILNARLADAVDL HSQVKHAHWNVKGPNFIALHKLFDDIHADVLEYVDEIAERAVQLGGVALGTVRSAAEH SQLAEYPATAVDGVEHVAALSSALAAFGKAAREAIDETDGLGDADTADLFTGVSRGID KWLWFVEAHAQGRA OJF2_RS09490 MITLSPLALATLALPAMLLYMAAVALAKAFHSYSRSRLEEYCED RGQPTRADEVAHLDERTERACEMISVAAGLLATGLLVLAVDRSPVHAGGGLLLLVAVL GGLTYSIAAVAGRVFAEPLIFAAWPLARLLRATAWPLNHAEELIEGFAERWGADPEAG PRPTSVEVEILAEDGESPDDVDADLPESTRTLLQHAVELTRADVSEFMIPATAIVSLP ATVTARQASEAFRRTGRSRIPLYGTNRDDIVGILIGKDLWERMISAGDPDSVTPRDLV RPAFFVPETRNAFELIGDLRGHRTQMAVVLDEYGGVAGLVTLEDLLEQLVGPIDDEHD VPARTDGITALGGTRYELAGGLPIETLNERLGLHLPTEDDFETVAGLALHELGRLPDE GESFSYDGIRFQVVEVRDHQIRRVLMDLQPVPSR OJF2_RS09495 MPSPPEHRPPAVAEAIDVEVSDTQSHLAVDPGRLARLAGDVLRG EGIDAASISIALVDDPTIHRINRDHLDHDWPTDVISFALSDPGDRRLVGELVISTEMA RSTAAELAEDPSDELELYVVHGLLHLCGYDDTSDEAALRMGERQRAILEGRRASTPRG LVP OJF2_RS09500 MARQRASLIGLSIIVASVLATAAIVHGAGPPFTYRIGQRPDREI RVKVREFKIRNQTKTSNDRQAAADKVPPSMVNDPAPLRDLADRLDDLTVTIAKSSRIE DLPEVVRSSWKLRPEAYLDIKAATDTPQRRDNLHAQLIKAFEPLIANGVLGPEALPRN EEASAQLAIHGLGQPRDAASLVPRERVVPERIVKPDGSVHRDFVSAFTSPRLGEALFQ LVADRIGGRPTLTFEPEVTARLREEARDAVEEKFDTYKLGQVLVEQGQSIGEEQLILL RMEHEAAVKALSVGSRCQRAAGILALVVALFLLTGYYIYRHEPRIAESIRHIATVCGL VVVCMAVVRMLANQTWNAELVPVAIGAMMLAVAYDPNFALMATFGLSILTCLALGGGM SLFLVVMGGTAAGVLALNEVRTRTKLIKVGATAALGYLLMTWATGLWEHQPLDLVRSD GFWRAGWGLMSGFFLGGSLPFLESTFGMVTGISLLELGDITHPLLQELVRRAPGTHNH SITVGAIAEAAAERIGANALLVRIGAYFHDIGKMLKPHYFVENQVGATSRHANLAPAM STLIIVGHVKDGVDLGRQHHLPEPIIDLIEQHHGTTLVEYFYHEANRRCVDGSTVHES AFRYPGPKPQTREAAILMMSDAVESASRSLSEPTPSRLEGLVSDMIDKRLRDGQFDEC SLTLRQIAEVRDSLIKSLIAIYHGRVKYPEQRTA OJF2_RS09505 MPEVTITLDGHAEELAVFGSRDQHLRQIRDALGIKAIARHGEVR LEGESDRVERARQVFEGLRNLHKGRRPIHTSDVADLIDKATRGEEGPEASLEIREGNR IVRPRSDGQSRYLRALQDYPLVLCAGPAGTGKTYLAVAMAVTALRKGRIKKIVLVRPA VEAGEHLGFLPGDLEAKINPYLRPLLDALHDLMDYDQIRRYMSNDLIEIAPLAYMRGR TLNDAMIILDEGQNATIPQMKMFLTRMGTNARIVVTGDPTQVDLPAATKSGLADALDR LGRVDGVATVYLDRADIVRHPLVQAIVNAYEDEELGRDAEGPTAAPTVPAVERPGIAA SG OJF2_RS09510 MLGTRVLSGLSLIAVVLGVLFLDEWAAPWFPLWFLASVGAMTAA SLEMVALLRGAGLRPSVNTILGGVIALTVSNWMPHLAHSVGSTREIETSVYNAAGPLV YLSWPFLSFVGIFMVAFLVQGIQFVKPGRTMAALAATVLAIAYIGLLGSFMVQLRWLD GPYHGVIPLLFLVATAKGADTGAYTVGRLAGRHKLWPSLSPNKTVEGAIGGLAFAVAA TLIVAAVARHLLDVPTLDVVPAIAFGLIVGSVAQLGDLMESMIKRDGERKDASSAVPG FGGVLDVLDSLLFAGPVAYILWIVWGT OJF2_RS09515 MQSDPEPSITEEGLARLGAWGLKPGQLPRHVAIIMDGNGRWAQR RGLPRVIGHRQGIRSVRAVVEEGCRLGLEQLTLYCLSVENWKRPPRELKFLLRLFRHF LVVERAELMEQKVRLRMIGRRDGLPPDVLEEFDRTAEMTAENNGMILCLAVNYGGRTE IADAARQLARDARDGKIDPDRIDERLFASYLGTVGMGDPDLLIRTAGEMRVSNFLLWQ ISYTELWVTQTLWPDFRDDDLLEACAAYAGRERKFGGLPAGSLASTPGNG OJF2_RS09520 MGSTCVVGLQWGDEAKGKIVDLLCDHHQVVVRYQGGANAGHTVV HEGTTYKLSLIPTGILRQGVECVIGNGVVIHPASLLSEIERLSSQGVDFGGRLHISER AHVIFPYHMAEERLSEESASVADHIGTTRRGIGPCYRDKVGRMHGVRIADLYHPAEFR ERLARIVDFKNRLLRSMLADFEPFDAASMAEEYLAHAETLRPFVRDTTAWLHAAIRGG RKLLFEGAQGSLLDIDHGSYPYVTSSNSSAAGAAAGSGVPTRHIDRWIGVVKAYTTRV GGGPFPTEQENETGERIRRVGREYGTVTGRPRRCGWFDAVAVRYSSAVSGSTELAIML LDVLSGIEELRVAVAYEIDGVRVPSLPASLTDLARCVPVYETLPGWSEDITGAREWAD LPRAARDYVAFIARQVGVPASIVSVGPDRRQTIQIPECDRPSA OJF2_RS09525 MRTRTRILLGLALAATASIPALPALMAASETEAARKVKADYARQ VARIQSLDVTYKLETRSPLPAEKLLAIPEYMNQLFLPNETWRVAFKGDKRLTVQTEPE RVQYLQPQDRYGLTPPVEPPADAPEPITANQKLLREQYERAVATAKQAEARGALTKAP AAGVRPLRDRIKTRGFNGRTLWLKTPATADSDRYEIWSGSSRPNWFQVTDYLSAVGLY LPDPRGEEKVRKAQAMFAVADWLRDGSYDLEPATEVVDGSTCVVLKGSLNSLLEPGFL MGDLTDRIWLDRDHGLVLRKREQARDGKVGIRWTTRDLKEVEPGLWLPLSTTREQFSD KAPPEIRDRPVMIVETRVETIQVNRVPDDLFDMVPAKNDAIDDLRARF OJF2_RS09530 MRADDRPGGRPRRREDLEGRLKALDAGPVPDGLLDRCLETLDGP GSPETLELPGRRRTAWVSPLAAAAAILVLIGGVAMLSRPGAAAAAEFLQAARAGWSEV PACHRVMTMGGPDHPRIVETWFARGKGGRQEIRVGGELTGVAVSNGRWEYRWDIPGKL VAVWSGSLVNQRGAFSSAGLIEDSESLVRWAEDHRADIRVEADTLGGRKLRKVTLRWP GPEGQPGGQSDTVWFDHESLRPVRQVSHTFDGRAIEVTLDYPAPESLPADLFSFSMPR DVTLEVNDPDLGRQLYSDPLSPVPGPATPAGRERK OJF2_RS09535 MRDPTGNDADARLIQEAFVRETYEGLYRWLRRLSGSAELAADLT QEAFAAYWASRDRRPPGLPPRGWLYAIGRNLWRKAARDRRVPEPAELSLLAAADRPPD LAYDDREFDAAVQAALSRLPAELREVFVLRFWHEFEYAEIAAVQGASSALVRWRYFAA RGRLHRELADWAPISREKEGHHAS OJF2_RS09540 MSDTAKLALEDGTVFTGRAFGARGEVDGEVVFNTSMTGYQEILT DPSYHGQIVTMTYPEIGNYGVNHDDAESRRPWVRGFVVRELSGRASNFRSQGSLDGFL KEHGILGIEGIDTRALVRLTRTRGAMKGILSTTDTDDAGLVEKARRSPGLVGRDLARE VMPRESFTWEPGLYSSYYVDPSGRDVGTRQVRDGASSGPDARRPHVVAMDYGMKWNIL RFLAETGCRVTVVPGSTPAEAILEQQPDGIFLSNGPGDPSALVEAVEALRTLIRSAAD SRGIPIFGICLGHQLLGQAFGGRTFKLKFGHRGANHPVRNERTGRVEITTQNHGFAVD PSTLPADVELSHVNLNDQTLEGLRHKSLPVFGVQYHPEASAGPHDSQYLFDEFRALMS OJF2_RS09545 MVGRLIARIVRRASRLALAIPLLALVLAALLDRVREQPGLSAGD ASGSGESVNASIFPLVLAGFDPFVWTSMGHSLGLAAGVAIGSVLLGVLLGEASCRRSP AVRIAVAGFVLAPAVMTPAFLALGLLGVFGEAGPAGLPGLVARGFGHAAGEHAWPWLA WAWAALVPGVGLIAWATGSVRNRLDPSLEDAARVAGAGPFRAWRAVSWPLIRPVVAAA AGALFSATLADPGPPLVLGLRRTIAFQVFLAARARDPFPRAGALGLLLLAAALAGIGA CRLWGRRSKVFAPVPAPGRELGRASRLGAARGVLLGLALGTWAVVAWLPVAGLVLLCV EGCAREGFHATLFGGPMVGLLSRTIGLGLCLAALCAIAGPGRHPPVARRRAGDRSRRA AVSRPGAPLLVLGIGLLAVSRIAGLGAIWSEGSIGWRAVGSPLRAVAWLFDPYAVPDL LVLLGGCIDLLIRARTIPEGDRASSRRVEQALAAGATSRRARRLAAAGGLSAGRIALL CTTAATAVSPAIILAVTPDGQTLGPGVISLATRPGPGRSQAASLALLALLSSLIAVAW ASRASRRSRVSTHEHLVG OJF2_RS09550 MRAFRLHAFDGPDGYRLEERPSPSPGHGEVVVRMKAASLNYRDL LISKGLYNPKLPLPQVPLSDGAGEVAAVGLGVTRFKLGDRVSANFMAGWIEGPIDAAK GRTALGGEAGGVLAEEVVLPEAALVRIPDHLGFEEAATLPCAALTAWHALFPSGRVKP GDAVLTQGSGGVSVFAIQFASLAGARVIATSSSDTKLSRLKDLGASELINYKTTPDWD RRARELTGGAGVDHVIEVGGAGTLPKSLRAVRVGGHVALIGVLSGLGEVNPMGILMNS IRVHGIYVGSRDMFEAMNRAISAASLRPVIDRVFPFEDAASALRHLETGSHLGKVVIR IP OJF2_RS09555 MIRLTVDGLVKRFGQVAAVDGASLEVAPGELCAVVGPPGAGKST LSRLLAGLETPDDGEVFFDDRMVQSTPPRERGVGVVFPDFALWPTMTVAENVAYPLAI RRVKAPERRRRLAETLSMLRIDSLAGKRPEQLSPAQAFRVALARAVVAGPDLLILDEP LDPFDSRGKAEVLAEIRTVREEVGITAILLTRSVAEALAACDRVAVMDLGRILQAGPP DDVYNGPVDAFVARFLGPTNLLQGQIDGNGAGPDGRRELVVRTPLGRLVATMPGPALA VPLPPGTPVTLSIRPETLAFGPTIPADWNRFPATIERMSFQGETRLIELRGPGDWPIT AKALQSRSRALREGQSLTLSVAPEFVTLLPGRLGNA OJF2_RS09560 MSARSSAEPALRVATPWEARDRAELERHLKAEGTGLESLRIAWV ELPPGTPFDRARAREPAIDVFLGGPIAEYARLAAAGSLAPPGGEGQPHFRIVRRSAIR PWPEEPGRDPSARPLLDDPRADPVTLAWARGTLERGPWVEGYAALIASYGSAARPAGW LAGSARATVEHAGSRSLWTPALVGQETGAGEPILYEEAGGIAAGSTHPAAGAFLDFLA ARQTPGDRTRTTSPDPVRDELLADLLGSTLVDAQDELTAAWRAVEAGRPSDDQARARL VEPPPWPPAFVNKLQERGGDRALAMVQDLASRVSPEPEARLWLVQSWLRPSRPIDGSL LAELSAAADGRLARQTAFRDWLRGEWTAWARQRYRRVARLVAGQAPQPG OJF2_RS09565 MRLGARVIRRRSHRRHGLGEALSAFLIALTAVTTVARAQAPPDA VPSPSGAAYVSPAEARLKADVSFLAADEREGRVPGSKGIEAAASYIADTFKSLALTSA PGTNGYFQEFSLSGRAVLGTPNELSISAPDGQVLRGDVKETFTPLAVGDSGSVEGLPI VFVGYGITAKEPAKKLDYDDYAGVDVAGKAVLLIRREPQQDRSDSPFDGDRTSRYATF QHKATNAFQHGAAIVLLVNDLAGLAGKEDSLLSVVQTGGEQDTSSKLPFVMLTRAYAD RVLAAAGSPGLAELERQIDGDLKPRSRALPGVKLSAKVTIDRPGIKTRNVIGVLEGSG PLADETVVIGGHYDHLGRGGFLSGSLAVLSSDIHNGADDNASGTAMVMEIARRLAARR DPLPRRVVFVCFSGEEKGLLGSEYYVKHPPYPLDRTVMMINFDMVGRLNLKNELTMIG MGTSPGSEALVEAIGKTSGLTIKKVNGLTDGFGGSDHQSFYAKRVPVLFAFTGIHRDY HRPSDDPDRINYGGMARICDYVELLALELARRPVRPPFKELEAPRRSASQSSSVGMSA TLGVMPDYSDESKEGMKLSGVREGGPAAKAGIKGGDVIKSIAGKPVATIYDYMESLKG FKPGQKVEVGIRREGKDLKLEAELGGGASSAHKQ OJF2_RS09570 MRIARRIRAASAACVVFLGAAGPLATPAARAQSEPADAALRDRV LQLVERLGDPKAEARDEAQSRLTRLGAKALSLLPEPGSVQGDERRARLEKVRESLRQA SADVGTGPSLVTIQARGIRLSEALQQVQKQTGNAITDLREQLGAEVTNPAFDLDLKGV PFFEALDRIARLGDVSINASTGDGSVGITAGSPSKAPLIKYVGPFRVAFKQFTEARDL QSGTAMANAQIDVSWEPRLRPMLLTLKSEALSVKDDRGRVVKPQTMMESNELALHPET PTAELNINLEAPDRSASRLASFHASADVMIPAGIKTFRFPSLAEENAGSTQGDVKVTL VRTEVDEQVWKVNVEVAYESGGPALESYRQGLLNNRIWLVKADGSKFEHNGGFSNTGA EGGKLGFEYLFTDVPGKPSDYQLVYETPSKVVTAPLEFEFNDVPLP OJF2_RS09575 MRRRILVVDDMEFNRDHLRKVLEPGGYDVEAASSGVDALRRMEE QAFHLVITDLRMPDMSGLDLLSQVRTRRYPVGVIVLTAYGDTTDALETMKAGADDFLT KPCNPDHLLFLVKRTMDRRRLIDDLEELRTRLHEDYSFHTIISKSPKMRNIFDLIKHV GPLNSTVLILGETGTGKELVARALHAASSRRDRPFVALNCAVLNDSLLESELFGHERG AFTGAERRKAGRFEAANGGTLLLDEIGDIAPAMQAKLLRVLQTGVFERVGGTESVKVD VRIVAATHKRLEDEVAAGRFRRDLFYRLNVIRVEIPPLRERREDVPLLAMHFLESSSG TRPTAVKEIATEAMQALLEHDWPGNVRELRNAINSAAAFADGSVIRPENLPGSLAPRP SRRPSGSNLIDIDRRLPELTEDLVGQVEKEYFQRLLSEYRGNVARCARHSGLSRRSVT QKLQKYGLERTDFKRANGRVPSAPHEAEA OJF2_RS09580 MSIRGGGASGVIVDAERGLILTTEQAMGGSPRAVVVFTDGRQVE TDRVYRDPRSELVLVGIKPQGLGLKAVTWTGSQPLEMGDWLLAVGRPTGRSHAISAGI VSGRGLVNTPRGDFEGLWTDAITGGANAGGPLVDLEGRVVAIGLNPVDGAGSPERFGL AIPAEAARRFISEVSDPGQVRRGYLGLTIGEERGDPLDAGVPRGLVITAVLPGSAAEQ SGFRAGDRLLAVDGLPIGEVDGLSRVVEAAPVGTEFRLRVSREGKATELVVRSRPRPD GQGVAAIRPAVPPRTASPNRPATSPTRRAPSAAQDRDKAAPTRTPREMSITPDTPRQL DPIGDPPSGSASLPPDPDGSPATARTPSPRAGAAAEPRPTAEPGLPDPAELPEDSRPL PIPVEQPKPQTPRRPSPTAAAKPGANASPDAVEGTPPAAKPQPATPATTQPGRKPEDK AASRPGADDKAPPVRKPDDKPSPKPKPDAKPSAKPQDQPKSQEKPRPHEKPKTGDEPK PQEKPASQAPPDEKSSTPKSKQDARPESKPAPPPAPISEPVDLSPLDPPLEVSPAPGP GRAR OJF2_RS09585 MTDDKGSRALVPVTPGRAAASRPAEHTDPYKFWSDFYRTHDQGP EKLREALRILNLSRSFREVHAMLTGYLTQRVSQREPWMYEALALSIEMNNGRPEDVKT ALNYAADLAQRTHNPNDLVSAADKLYLKGYYDRVGALLDEAAAKVPHRSEPLVMMINL AQKTRDAGRMGDAVERLLSLGWPGQDEYYRIEARNQVEKLAAALREDAKPSEAKSLLD RLAAAEARDVFIRLSWDGDADYDLVVSEPLGASASKLLPRTVFGGSIVKNGYGKHPED IYVCPRGFDGEYTVRISMVYSNPEKPTTRLTLETITHEGTPEEKKDSHTLSPSDPQTK PVVVKLQGGRRKTVLPFLSPAAAIESAKAGTAAPKAPASNRGGAAPPRSGGPKAGRPA AGPVPPR OJF2_RS09590 MSRSARLWKGAGLTTLGGMLLSGAAVLGEDGPPLASQLNELGRQ ALAQKAPAMARGFFQKALQLDPGNAEAARGLKDSQSAQDEVRRVAFQDPAPGQVTPPP PPAAGATPPQAPAAAESAPEPAERPRATLERATEEETIARQQLTSDVEGRLSAARNLV ASGQPEAALTNLHLAQNLVRSSLNVPQSVRDTLDRRIQAQIQNTVRDEERIVLERAET QRLAAAADQRARAVTQFQQNQQTIKAMMTQFDILMGEGVYNGLYTGGLGNITAATAPF YQARLLSQHARSLMHAGTLPYSDEDPAPYAGMYVSTAMGFLSQEMMFEALKEYRFMLT MQDVSRASVPFPDDQIIEYPDAERFRTLTERRIARYGKAVDVFDRDPKTKQIIEKLNQ PVPMNFPNETPLEEVLQYIKQATQGPNDSGVPIYVDPLGLQEADRTLTSPVSLNLEGV PLKNTLRIMLKQLGLTYTVKDGYLMITSATSEDQQTEIRVYPVADLAIIPFSLMGGGG MGGGMGGMGGGMGGMGGMGGMGGGMGGGMGGMGGMGGMGGGMGMMSVPIEPFPQDPAS SFMQKKSN OJF2_RS09595 MSDDTNKTSPAPAAFDFTAFPSNTLFHERRTGRDRRATGEGPQP HAASKKSTLPAAPAERRARKERRRRIDPTTFEKQYTDDEMEFMNAMQRFKERTGKSFP SYGEVIRVAVSLGYRKEVELADEILAAETYEH OJF2_RS09600 MTLRLVLVSLVVALGMTIPGAPMLESWVASTQNWMNARFADWDT RNPHDADYVIVSHRTDTGRFAPAQVTPPAANTIVATATAPAPPEGAAHEASVRVARRP TAGRSAPVNESFRVAIRPASLVRKAGAHHPAVVARVSCWEYERDQNSTRPDLGVKPPI VRRPEKPRAHLDLVAAGVRCRDIALKAVSEKLAGLPVRQPVATPPPAPKKALDPLRIC SAILGGSARRPAAAAAAVAREIITASPAAAPVEKVASGPATTPTPAPGTPGPIAAVPP IATKPKSSLPSFAGMEKGQSLYFAGHLATIAGDASRAVESEPAKVVAASVVADRAESP KLEASQAPVDTAPKVVVAVAVMPATKTASLPSPGAKIGTEGAGRNELEPELDGLLADG GDGFGSAADRSPAVATASVEVSTAAASPPTDLNRAVRLTREAMYAWVNVFTGPAVVTV SQSRTATAR OJF2_RS09605 MVDRNLLREFDVSEDELSALVTAEDGSDSLEQFLGEGQSFQIGS IVAGKVVEIVGDQVVVDVGYKSEGLVALNEWEDEPPPQPGDAVEVLLEGMEDETGEIV LSRKKAHRMRAWEMVISKYHEGDVVKGKVTRKIKGGLLVDIGVNVFLPASQVDIRRPS DIADYIDQEIECMILKIDESRRNIVVSRRKLIEITREEQKKRLLEEIEVGQVRKGTVK NIADFGAFVDLGGIDGLLHITDMSWGRINHPSDMLKIDDQLEVMVLHVDKEREKIALG LKQKSPSPWENVADKYPVGTRVTGEVVNVMSYGAFVKLEEGIEGLVHISEMSWTKRIN HPSELVNIGDKIEVVVLGINKDKQEISLGMKQTQVNPWDQVAGKYPPGTMVEGTVRNL TNYGAFIEIEEGIDGLLHISDMSWTRKIGHPNELLEKGQRISCQVLNVDQDRKRIALG LKQLKEDPWETDIPGRYEPSDVVKGKVTKLTNFGVFVELEPGLEGLLHISELADHKVD SPEEVVKVGDEIEVKILRVDRGERKIGLSRKKAHWTKPGEEDVDVEAQESSESQPAKE TKELKGGLGGGGPLFSMGSPSNSDNSGS OJF2_RS09610 MARIRRHRRDVVQSPLETYLREINEMALLTADEEKQLAYRISDG DDSARDRMVRANLRLVVNIARGYVGKGLALQDLIEEGNLGLLRAVEGFDPAVGTRFST YASYWIKQSIKRALVNTAKPIRIPAYMVELLFKWRRMAADLQETLGRPATFEEVAREL KLPKKKLAIVKKAIKVYNLVPQTDQPENGWSLGEMLMDERTRTPDVEMVEADNLRLVM NRLEEMDKREATVLRMRFGLNDAPPKTLKEIGESLGLTRERVRQIENEALGKLSASLM AD OJF2_RS09615 MCSTIPRLRAALAVLLIGSATAHADLIRPRTSRSFPDIAGDVVG SQTYTFDPASKTGTFQVVNAPQFLALGPKGSEMIDVQPDQDGTLEQTLNLKLDQNGKL IEAPGNRFQLYGTVTIRGQLYRGLLLEGTPTAFGAQPVQEGSMGPSGVFDLNMKITGG QLAQAFGSDVYFRVIAQSNSTFQGSFATDFSGDRPMTNLRALQGRLPAPVPEPAPLIV FVAATGGFAWLRRRARRTVRRPGPLSPP OJF2_RS09620 MTPTLVRRLTYAGAEARSFKRAAIVMKQVAGQPVSAKTIERVVR DVGLELARRRDADPRTDDSLARRPEGPPALAVVECDGGRIRTREPGHGPGVHRTSEGW RETKNACLIRARPTTSEEDPEPEPPACFADPEHVAKIAETEALSVASMASPPESPSRA GEPPEGMEMVPPADWRPKRSVRTVLSSMADSKEFGKQMAREAKRRRFPEASAKAFLGD GLAWNWSIRKRHFGEFTPILDFIHVLSYLFLVAKAVHEGPEDAWDRYLAWMRGAWRGE VGQVIEELQAWRAKLGEPPATAPDQDPRKVLAVTITYLSNNEGRMRYPEYRRSGLPVT TAWMESLVKEVNYRVKGTEMFWNDPEGAEAILQVRAAALSDDERLEAHLETRPGCPFT RRPRAPRLTRKKIRS OJF2_RS09625 MRRAPRWPAVVALIFLEGCATVPRGAEIAATTTSFAYSTGRGSQ AFGTTPSLTINALKEAMNDLEMGDIKINREVGISQVRARTKDHRSVVATIRFHQGLST VAVRIGWFGDEPLSHALLGRVGVRLGTREAESIPAEAPSEPSGNPFFSRQAVPDYEML RDFVEAPYRDRVVP OJF2_RS09630 MSTHGPVDSPTRIASLDQFRGYTVVGMLLVNFVGGFQAVGAIWK HHNTYCSYADTIMPQFFFAVGFAYRLTFLRRVASLGVEPAVRAVVVRCLGLILIGLVL YHLDGKAASWADLRRIGPWGVLAGAFRREPFQTLVHIALTSLWILPVIGAGPAWRLAF LASSAGLHLALSRLFYFEHAWSVPVIDGGPLGFLSWAIPTLAGSFAYDVVKGADGSRL RPLGRLVGWAVSLMLAGYGLSCLGAWLPPPPFVQPPRGTPVDMWTMSQRTGSVSYLTF SAGVAMMVYAAFVLACDVGGHRIGVFRTFGKNALAAYILHGMVADAVKPYVPNDSPLW YALAGFLIYFGICYLLIRALERQGVYLRL OJF2_RS09635 MTPNDDQDAPPAVRVSRLAYRYPDGREALRGLSFAIASGESVAL VGPNGAGKSTLLLHLNGLLPGRRGAAGGHHAAAGSSAGREVSPSIWIDGVEVSPRTAT VIRRKVGLLFQDPDDQLFCPTVLEDVAFGPLNLGMNAEEARRVATDCLARVGLEGAGD RPPHHLSFGERKRACLAGVLACRPVVLVLDEPTANLDPRARRRFIELIRDLEATKLIA THDLEMVLEICPRSIVLDAGLAVADGPSRAILGNRELVDAHGLELPLSLALADRGARG OJF2_RS09640 MWPEPADGRRGDGGPLDRLDARLKVVASVLYVIAVIAVPHGRWR ILGVLALALLFVIGLSGTSPRILLRRWAGLLALVAVLAFTVAPGIAERSGRGAFEVAS MILAKNGLALLMMLTMAAVTPLPQAVRALGRLGLPRVLVETLEFMERYVHVLLDELDR MATARRARSFTNRRWLPWGTLTGLIGALLLRSFERAERVEAAMLARGWEGAFRRLEDP EPGRGLARPTTSRAVDGEGASEAP OJF2_RS09645 MHIPYAVLEPGVAIATTALGALGLGCALRAVRGELGERTTPLMG MMSAFVFAAQMVNFPVGAGVSGHLLGGVLASVVLGPWGGSVVIGAVLIVQCFLFGDGG LDALGANFLNMGMLGAVCGHAIYAPIRRAIGGRRGILIGSMVAAWFSVLLAAGACAVE LSAGQPPREFLRILSWMALIHTAIGVGEAVITGLVVRFILLTRPDLLEAGRRAGGSGE FPEVPGRVRPRPGWISTAVAGLGIAMAVAVFVSPMASELPDGLEFVGDKTGILAAGRE AAWPALPVPMPDYKLDIPGSGPLEAATALAGLAGTLVVFGMSWSLARIFAGGEKAPER LGADVA OJF2_RS09650 MDESFACPECGQAVKVRNLAPGRQVRCGFCRRLLEVPYMPRVAD PRWRFRRSGRPRWVPWAWGLIVVAGVAAIGLGASKLIIRQEREAAARAVERLAEESTT LEANGQLGPALLAIDSAITLACERPDVERCDLGILKERRRSLARRDASALLDGLRRAS PGDLPLGEWLGLVARLAADPDLAPLRQTADESFRAALRGRVEADLARAEGARQAGDPV LAFDTCESTAPWLARLPPEDRAGLRRRADALFRSLIEVHGIVVSPLHGELLQGSLAKY EGETLPALNRGLRARRYLPRVDPPGWVLPWSAAPYRLDIEIKESREGNYLSSQNRLTR IYARVRLYRQGREAWQATPTARTTVPLTKLPAYYASRIALSPDRIDEFELLLYNDART MIGDRLNFAIQNMPPCEDRPSDRPGPTSSHPTRTPSREGLMRIGLTASGSV OJF2_RS09655 METRFIPRQYEPNYAYPLLVLLHARGGDEDQLVRAMPALSWRNY VALGLRGPEVVTRRDRPAGFGWGRDFESEDRTGLRARPQRPEAEVVRRALFDPDHDEL GRLEEGIFGGIRTTRSLLHVHSERIFLVGVGEGAALAYRLGLSFPDRFAGVVAINGWL PPGFRPLAWVKSCRELPILVVHGAWNTRHPIAGVRRDVATLRSGGLRVAFQSYPCTHR LNSQMLGDVDTWLMNRCTSQAGL OJF2_RS09660 MSSRDRRSAGRRRAWGRGLIILKFDALERREVMSAAAAGLPDLV TSSLVTDATADWGDPITATGQVTNQGRAAVTSSFNVGVYASSKDAVGKYSVLLGEVAI PAGLQPGQTVPFSTTVKLPTSQVPGASSNGVVYIDSKVDPEGKVKESNERNNTGVGLG FDSASVQISAGQQAALSVGGIGVYPTTLNWGGTLQVTAQVRNESYGAAPASRAILVLT PSGQNFGGLSDLTIGSISVPPIPAWSTVNVQTTVALPTTVPRIVEGSSAYTLSIIPDA DYLTNATYPHGPAGGSGVDQTAVTINTTDSTPTTTAQSALPNLTPGDVQVSSGTLSWG NTFQVSTVLQNLGNADQGPFRVRFVLVNGSGSTNSGLFLGDAMVDGLAAGGLTTLTQS LTLPNRLPSGMSLSSQEVGRIAVIVDPEHVVNESFSNNDTAMSGPITLKLLGADGNSY VPTYPAPKQLLATNTAKYVAKAEAHAAAVAAKAANTAQHRKLYRKPKKDNSVLHNLSV FPKSFNNFLKKYV OJF2_RS09665 MGRRRSLVLGAAVVVVGLAAALQGRQEEPPASVDFRPDVPYATA AGEGLRLDFARPKGGQGPYPLVVCIHGGGWSGGDKAEFRQALFALAQQGLAVASLQYR LAPRHPFPAQYDDVKAAVSFLRAKAKEWSIDPGRVAAFGGSAGGHLALLLATDPDVNL KAVVSMAGPTRLNTPLPDLSARLVRQLIGTPGTVSASYWRTVNPIDRVGPHVAPILLI HGDRDEIVPYEQSVSMFEACKVAGVEVELLTIPGGGHGSGGRREDNEAAIIKAVSFLK DHLGVPPGAAAR OJF2_RS09670 MSISIRAGERLVVLGPSGSGKTTLLRLIAGLHEPQSGTVRIGAR DMAGVPPHERDLAMVFQSQSLYPHLSVAANLAFSLRARRVPRAERRDRVREAAALLGL EDLLERRPSQLSGGERQRVALGRAVARRPGILLLDEPFAGLDEPLRVALRAELLDLHR RIGSTLVMVTHDQAEALAIGERLAVLDRGRLLQVGPPSEVYARPGHRLVASFLGRPGM NLLRCAVVQDGDSLRIVPDGTAPSCPIVPPDDRLPRPGRYELGIRAERVRILGPSGGH TGIGAGLILAPGVARTVEYRGESNLLAIGIGLQTLFVRVATDASPGEGQAVAAAFSLN DVCWFDPGSGLRVAGGGGPDA OJF2_RS09675 MPESARVLDPQDLGASPRRLLDPASRAIAYGLPGLGLVLALRAV LLGLAGGLLSPILLAVVVCDATFVAGAYALAGMVLAALVRAVGDWLSDTRNSATAPVT TAAAPGPSSVLDSPPAPASPTDQPVPVDDEPAPTPKVALAPTGSIRRSIVGRNWDEAE NLLFDLEAESPEDPRLDALREELRLAQEAARDEHLLQIGAAREVNDPERVLELHGLVA PLLDAAARDSLESDLSRWLLHLVHNRLRTGKIQGDLVQLAGRIAEAFSHTPDGASLRA SLPTLRRSAGLCPRCAKPYVGLGNACPECLARAQAPYPVRVPDEEPGS OJF2_RS09680 MSTVPISQAQTRVHIRWMIRRDMPEVLAIEHASFEYPWCEEEFL RVLRQRNCIGMVAELSERIVGFMIYELHRNRIQVLDFATHPECRRMGIGRQMVSKLAG KLSSQRRNRIALFVRETNLPSQHFFRVVGFRAVEVIREHFQDTGEDAYAMLYHLDESV LEMPASANRIARKLGS OJF2_RS09685 MAFGKRSDSPDRPARSLLYRARVSLLLFAGACSAAWIRADLPGP ESRPPGDAAEAEPIRPTPPGPAHAPPSQTATVSATISPTSSPSADLSRTATADIPEGS RATSLADEEPMRRALRTMKGCREAFQKVHDYTCTFYKRELLNGRLSPLNVMAMKARTR PASIYFKFEEPNRGREAIYVDGRNRGNIMVHEAGLVKFLAGTMEIAPTSARAMEECRH PITEAGIGNLIDTVTRRWELELSPGETLLLFDPDVVAAGRRCLLVEAVHPKRQPHFQF YKVRLFIDADLNLPVRFEGYDWPSEPGGPGTLAEEYAYVDLKLNVGLGDIDFDVANKQ YAFGRF OJF2_RS09690 MSASSYAIEVVVSEPFGQNAYVLWREGRDDALVFDPGFDPRGIL SILRSNGRRPAMILDTHGHVDHIAGNAALKDAFPDVPLVIGRNEVDALTDPDVNLSGP YGIPVISPPADRLVDDGERIQVAGFDFEVREIPGHSKGSVVYVFSGEQPPFVLGGDVL FAGSIGRTDLGGDLQQLLSGIGAKLMNLPDDTRVYSGHGPVTTIGQERRSNPYIRQFA TSRPR OJF2_RS09695 MEIWAIADLHLSFARPDRRERYAARWRDHADRIEANWRATVGPR DLVLLPGDLSMGRNHREIQPDLAWIARLPGRKVLSAGNHDTWWNGVDKVRPMMRPSIR AVGGDALEVDGVIVCGTRGAPAPAGDDPPESRAAAAHELGELERALEMAATLRQSPSQ PVYLLWHYPPFDSYGRPGPWVDRIEQAGVSVCVYGHLHTEGQWSRAVQGNVGGVRYYC VAADAVGFRPLRVGQIPHS OJF2_RS09700 MLVLSRKLGEKIVIGDNIVITVVKIDRNQIRIGIEAPHDVPVYR EEIAPPRPALMSTREPVTV OJF2_RS09705 MMKPTWGSKHVMFASWNARAVGLKLGARESIELAARAGFGGVDL LVRDLDAEGEDPDALRERMDDLGLRGGAWPLPVAWKGDESRFVEDLRSLPRYARLAQR LGLTCTGTWVLPEVAGDVDGRLPVDEQVARTIDMHVERLGAVAAILDDHGQAMGLEIM GPVTARTGRSAPFIGRYRELLDCLRPLAENHANVGVLLDAFHLFASGEARAAYSGWGY ERVVWVHVADPVHADRDTLLDTERTLPGITGLADCRCLLLALQHAGFKGPVTVEPLAE CEVLRGLKPVDTARSVAASIFAIWPES OJF2_RS09710 MQEDQRVWLEIQADDLDLGRIPAYWIENKVGNSFWHVPIPPQAV GVRLHYRSVAQHGGSDIAFGPYQDSIVRPNLPDRTESPDLLGRTAEGLVGNRMMTVRV DGRGSTYDVYFPTVGLHSHVRPKEGDLPQSRSHFRAIVGGLAIGRRLDWFTERASWES YQKYSGDTNLLTTEMAWRHGPIRVVISDFVAMGQGLPRNAGHEVSPGQYVKRFRVTNE GNGLRQAMIAVYVQAEVNGGVGDTSLSWHDQGRALLAINRGHMHSNKKLARDATVAFS LALDPRGDVDCEPTGPNEAILYRWIELPAGKPVTVDLLVSGAFTGWWGDMGTFEHWLR PSLAWFRSPEADLDALEQETAQCWARYVEPIPDLHFPKAWYAMHLRRSALAAAIHADA ETGAVASGLDRGLSAYCWPRDALWVGSALERLGHPGINKGVFRFLNQVRMAHQPFLYW FQKYSMDGVPEWETPAIDQTAMIPWCLERYLRHTGDLDFVSSVWPMVEQAALVCQGNS GGHPGLYMDDGLHLISSAGMGDQIFGAFLYSNAAVVVGLRSAADLAVRMGREELARSW DAAADRIWYEGIDRAPASSQPGSPGLVDTETGRFFSGRRLSTLRDLWTRHPDYLLDRS DKLDVGILALAVPFDLLPASDPRLRRTFQAIEQANLSATTERDILSRFTYEPTLINRP PTPGEPQEVSSLATLWAVRYLFQLGRETGQGQHWLRAVQMLDAILVRLSPLGLTLKWA SRGQDSARVVANPGGTAWRLQAMLINAMLDLAGLDYDAVDRLLTYRPILPSTWPQTGI TQTFACGKVTCRLERPIGTKVHRLTVDAELEVPVKLLVQVTCPGLSEIGRWEAAPAAE EPSFDRPTSRLSWSVRLPFGASERVYTWG OJF2_RS09715 MVSQRIWQGSGTTFRLEWGGRLWELAADGQEPGLRPSGQSGPIW LGLAGVGARGRVDSSALCGRTLAKLELFRSRIEATYAPQGWGDLRVRASWSPCEGDAG VDLEIQVAAGSVGELKGLEILLRTRPGVDEMDGPSSAVGPTRPRVFRPRGSAGELAYV ELVHADDVSHLPAPPAPTVGAETAPGAAMTALFGHDLEKGVVIRGRVRGLWLPTEGLD ARLKSAESRLLEVPPPLGM OJF2_RS09720 MRATNPAEATVTRSGLSRREWLWQSGGGLGGLALACLLNSEHAR ADASASSLPGLHHPPRARRVVQLFMAGAASHIDLFDFKPELVRRHGQPSDFGEPVETF QDGLGPWLRPIWPFRPYGDTGKLLADVVSDLGPVVDDIAWIHNMVGKTGVHSQGTLLQ TTGFNRPGFPGMGAWVSYGLGSMNENLPAFVVLPDHRGLASNGTKNWDAAFLPAQHQG TILDASSPTPIHDLFPDRRADFVTPASEAEGRGVLERLNRRHAATRPGDDRLEARIRS YELAARMQLAAPEALDIRDEPRHILRMYGLEDGPRDWPAAINAPEETWHFGLKCLIAR RLLERGVRFVQVWSGNDNGFPRRNWDSHEDVERDHGPLARGMARGAFALILDLKQRGL LEDTIVYWTTEFGRMPSSQGGRGRDHNPFAFTSWVCGGGVRPGACAGESDPWGYKPLD PSRRTEVYDLHATLLHLLGIDHERLTFRHDGIDRRLTDVHGRVLKDLLA OJF2_RS09725 MRSNISPLLVALVLAGGPGRALAADFDRDVRPILERCCLGCHGP VRQKSGYRLDDREAAFRGGDRGEAAIVAGRPDESLLWAALTGGRDVPLMPPKESEAPR PTEAELGTIRAWIEQGAPWPEAPRAVTTAEARTGWAWRPIARPSIPPSKHANPIDAFL AARLAEKRLAMSAQADRRTLLRRVSFDLTGLPPTYEEVVEFQGDRRPDAYERVVDRLL SSPRYGERWARHWLDVVHFGETHGYDKDKPRPNAWPYRDYVIRAFNEDRPYPRFVQEQ VAGDVLFPDTADGCEALGFIAAGPWDFIGHAEVPESKVDGKIARHLDRDDMVSNTMST FCSVTVHCAQCHDHKFDPISQEDYYSLQAVFAALDRADRPYDRDPSLARRRRDLETRR DAARAVVDRIAALARDAGGAELAALESRLGAARKTASGDRRPEYGWHSGIAASESEAK WVQVDLGREVEIHRIVLAPCEDDFNNIGAGFGFPRRYRIEAGLDPAFVRGAAILVSRE EADEPNPRSLPVHHAVRPTRARFVRVTATRLAPRQQDFIFALAELQVLDGSGGNAAIG AQVSSLDSIEAPARWSRRNLTDGIYPAAIAGDVAALEAERDRLLSRVLTHEMREARAR AVADLSAIQADLDRLPPQGYVYAGTVHHGSGAFVGTGPSGGRPRPIAILPRGDVKKPG RVVGPGSLSAVTQLKSRFDIPPDGPEGERRAALARWLTDRDNPLPWRSIVNRVWQYHF GRGLVDTPNDFGRMGGTPSHPELLEWLAAWFRDDAHGSLKSLHRLIVTSASYRQRSDV NDPRAAEIDGENRLLWRMSRRKLEAEAIRDEVLAVAGKLDLGTLGGPGYRDFVIEHPE HSPHYQFHLADPDDHALHRRSIYRFIVRSQQQPWMAAMDCADPSLLVDRRNQTITPLQ ALAQLNDALIVAMSRHFADRVRGAGEVEAQVGLAFRLAIQRQPDPEELAELAGYVRRR GLENGCRLLLNLNEFHFAD OJF2_RS09730 MSRFVWAALALSLILASAQPPARAQWYFPMGYGGYGYSKWGADP GSGYMAALGSYGRSQGAYMLEKAKADAINVDTMVKWNRALRARQAQLRQDRERELAQK EAEREARVARREAEDGITLNRLLTEILDSDPTVVKASRSKTPLSPDAIREIPFEWDSE AITLCLDEMTASGGLPQPLMGPAFEQERSALRAAVSPAIAEDLKGDISPDTLAHLREA VAKFKAGFEKKLSEFDPGYQDARDYLTTLDSLSRLLKDPSMRAFLKQLDGGEERTVGD LIAFMNAYNLRFGPATTQRQVDLYRRLIPALAEIRDAAVAARTGPATPPAPDGSNLRA AAKDAFRGMDWKELEAHDRAR OJF2_RS09735 MRGEGSRRCGFTPRLRRLGILALAVAAGGGSLCDGRVARAGEAG RGDAAVLAGFELPAQWRATFWKGAGAQALLKLSPRELADLVPVQAGLRFCRCPACGAA ERDEPLAWSIEQPKVVKCRFCRAVLPNEKYPSKGDKKEPPEEVVEVVPGVAHHYPYHP VEDALARYADERIYIDARRDYEARKFLARSALYAAVEYRSQPAARRDARLATTACVVM LRFAQVYPHYATHVDQPDRAKILQPARLRPPLASNYEMARWEWNGSLEVPANLLVARC LLRGDPAWARAGELLGEKAPEESVDRNLFLAAAETSRQQPDQVSVQALNVYRGMLAVG RVTGDESLVADAMARLDGFARRGFYHDGFWRDGELAAHARILSELDGWLPGLLGQGPG EGPVRLAGNTRAAPVAAPPIFELARKVRGMLGPPAKVDAVEKASWPSRPAPRSNRRPV LLGGVGRARLAIGGGDDAMDAELLGMDSYGGPHFQRLALRLSIAGRPVLGDLDDSEAD VRGWRLATASHNAVIVDRLNQREQPKAAVQPAGGSRFLFFAADPDFQVACAEDQQAYP LSTTRYRHTLIASAGATSRYVVSVFEVLGGTEHDQIYHSASGQPARWALPEPLARPPA SLLPSSITFLPSARPEDGRWFVQAYGEFRPVAQASLARPAIAELVPTGNADEGGPALG LRLHVLGDLPLTAIAASSPEPPRRGSPPDSAAAPARASLILRRRAEEGGRLGSVFVTL FEPTAGAVPRLVRVGRVASDPELVILFIETSDGPEHLIVNLSPGNIRKTILTGGRHVS FDGLALRIRGDSVVLAGGTFAEASGRLVSQPSLRGTVAGSVSKPSSKGLGWFVTPTRM AADPALAGRALIIEHGDGSSHSWTLSSVEPTAKGTRLHVLEEPGFSLESDGAPARFHQ FPQVDAPGPHRFRIALMTR OJF2_RS09740 MAETSAFRYHPTCSTPGCKQPAVFKVGAAWSDGTSRELKNYGLA CEAHRESLLARARQRRDQLRLADGESVGPVALYVLEQGRRDTALSQA OJF2_RS09745 MRVGERLRQREEDWRELDRLVERLSRTGPRRASAGDVLRLGRLY RATCADLMLAEAHDLPRASVEYLHGLVGRAHNVLYRTRGFSLRDLGRALFDSAPRRLR TDPALRIAAAVFFGSFLLCALAAAARPDFAGQVVGEAALEQIDHMYARPLSGPGSEEM GRDDALMAGFYIRHNTSIGLQCFAWGILFGLGSLAQLLSNGIGLGTMFGHMATTPYAA NFFTFVTAHSSCELTAIVLAGAAGLRMGWGLIDAHGLTRIASLRREAANALPALGASV VLFIAAAFIEGFVSASSLPYPAKAAVAILTAALILLYVTLGGRPGRTPEDEIGRETGR IAEA OJF2_RS09750 MSLDGTVVLETPERIAFSFPLAGPFRRLPAYLIDVCILGVLVLA ALVFSVSLAGPSGLGLGLVLFFLFSWGYGAFFEGLFNGRTPGKHALGLRVVSEHGVPV RGPQAVLRNLIGSVDGPVPFAFLLGLTSMFVSPKFQRLGDLAAGTMVIVEERRPRIGL IRIDEQAVLELAARLPARIAAGPSLSLALSDYASRRLRFGDARREEIAEPLARPLRAR LGLPPSVPADLVLCAAYHRIFLEG OJF2_RS09755 MKLRIAFGMLGLALAGCAQSRSSLPSPPGAAGPIGVEPIPVLND TINRGMGDRAVQRTALGDPNSPRWSGSFVPERAGVPAGAGTPDPVAAPAAAPRAVRPS PSSPGAGPNPAAAAVATAAGTTAAPSAAPPDTDVPAASTPAAAAAEGLPPVDEPAPAP AAPVQAPPSDPATRPAADSLLGPDPNLSPMADPPREGAAAGAQAVVPASPDGLLEAAP SVPADPHPQAAAGPSADANQGRPAAAAVASRAPAQGEGVVKASFAPDVVLGAGAGGNL KQAGRAAARVGNEVITVHDLIVVVQDQIKRFSPDQRPSQEEILMVSKTVLAGLIERML IAQEAKRILKNPKQLNRLYEAADQYWREQELPPMMRRYVVENEAQLREKMAESGKSLS SLHQNFRQDFLAQAFLEQKLMDCRKVELPEMLKYYNEHVHDRQFDRPAQITWREIIVE YSRHPSPGDARKKAEALLTRLSRGEDFAALARAESEGPTAVKAQGGLMETSPGSYAVD SVNKALAGLPLNQVSPILEGPTSLHIVKVQKRRNAGPATFEEVQEQVRRTIMVEKLRK GREDLIAKLRQNTPVSNYLDGTEYDPTARGTE OJF2_RS09760 MYAALDREFSDPVLRDLGRELALAVQAKFDIESTKTVGLTNRII DEAVRPRCDLLWNNEILNTIRLKERGLLRPFRPAHAGDIPPGYRDKDETWYGFSGRAR ILLVNTRLVAEKDRPTRLLQLCDPRWKGKVGIAKPLFGTTATHACCLFVAWGDGKAAA FFRDLKANGVQVFSGNKQVASAVGSGQIAVGLTDTDDAMGEVEAGAPVAIVYPDSKPG ESGTLIIPNTIALIKASPHPEEAQRLADAILAPAVEERLSEGPSAQIPLLTSSRSTPR VQTPATVHAMTIDFEAAAKLWERVAAFLLAEFAE OJF2_RS09765 MDIDLIVLSRDLSPLREDVRAGIAAQRGVTLTVHRCAGTRHPDD PHRRATIARARNEMKRFGSRPLVMFLDDDVVLGPGCVSALAEGLRRRAGFAALAADSA AEMGCELENWDCPSHVGMAAVLFRRRDLAGLTFRWDDERCECRCCCDDLRAAGRGIGY LRGAAAWHRPGPEARHPARPHPDHAAPSAAASSPRGSPGRILAAFDRRDQRRFRKQFM KTLREFRNDEPVWAFAYGLSPLELEQLAAIPNLTVVSIPASGDCPALRRLEDFPRLLA EWPADTPVAYWDAGDVLFQSRLGPLWDLVAAHPDRILVAPEPLSYPENPVIRTWSDYV IDPVAREETFRIMSSQTFLNSGFAAGTARSLMAYCREGVQLLRSPFLWGMDLWADQPA LNLYCHAHPESFRIIDRGWNYALAGRPPDQYFVGEDGRGYRTDGGPVHVLHGNSGTLN WLDRTAFGPAARYGPAPPAVSARR OJF2_RS09770 MPPDPVPTLEFSEGQALAFDLVGTVSLPGPYPSTGDILLIRYPG PADPRAKDRHPRYYLKIVQQLSQTHTVTRFVAIPDIRMVRIVEDAEAASAEWTGDGPN WLSHATVVRPGEIRDLIASLKWDPKAENVAYEVRLPQGTRGIVYVPENAPVLTRHESL ISAATSAEGSFTAARGCAKEKKSQALSACPRDP OJF2_RS09775 MSSEMLRLTELQRIDELCDSFEEAWRSGRRPRIEDYLDRSTILA RTVLFRELLAREIELRRQEGEAPEPSDYEGRFGEHGDLVGTVLGAMSPHDGDDPFLAV GTDHATGMEPPSTLDRTDPAAPGGRSVFWEDAGDGWGGKTILPERIGRYRPSRLLGRG NFLVFLAHDEQRGRDVAIKTARPGDPVGRRRLMSLGDEARRLRSLDHPGIVRLHEFVP PGDPQSGEGGADGFIVLEYVAGQTLENLMSRGRLDPGRLARIVADVASAVNHAHNAGL THRDLKPSNILLDAMGRPRVCDFGLAIDEEIPRLRRGEVAGTVPYMSPEQVQGETNRL DGRTDIWALGVILYRGLTGRLPFRGRRTSDCFREILDREPRPPRQFGEFIPRELERIC LRCLSRQMTDRYLTAADLASELRRWLAGARPEDENGERPPASPRGMRPFRGEDAESFV SLLPGPRGSDGLPESIRFWKLRIEGEEASRPFSVGVLFGPSGGGKSSFIRAGLLPLRD RRIVEPVVLDATPCGLEDRLLAELRLLAPQLPADVDIADAIGIIRDDQAVRPRKKILL VLDQFEQWLQGRPLGPSTALARALRQCDGRHVQAILLVRDDFWMATTRLMRAVEVPLL EGVNTAAVEIFDARHARKVLEEFGRSLGQLGPSSTVTPEESSRFLDAAVAGLLGPDDR VVPVRLSLLVEVIRHRQWTLEAIDALGGMEGIGVKFLEQAFDPVNSTPASRFRREEAE AVLRQLLPSPSSRIRESPRSARSLRAAAGCDDRPEDFADLLRLLENDLRLITPVEPLS AVGPAADTNDGDRARAAETHYQLAHDYLIRPVRQWLERKAMGTSEGRARLRLQAFAAS WAHGPTRNRLPSLLEYLGILTSLPRRQWSAEESTLMNAAGRHHAVRLAFAALLTAGIA AAVLAIVARQEARAALDAALWAKDEMILEKAQRLDAFRGSVLGELERLERRARAEGEG QPVVDMLLFRYEPTRERAGRIRQLLLDAPDPDRVNALRRVLASQPGWAGPEELRRVMD DPRAEAGRRLRAACALRHLLPGDRSVPGDAAPAIAAALVQDGRHASRWMDLLGSAEGD LITPLGETCRDPDATPLARATASELLGEIFERQEEARRFAEVLVASRMDAAEILLRRL PSLGRQEDVVKSLRSVLAAPLGSPGVCRREDDDLAGRQAIASIALDLMGFEEHLTSLL SYGPDPRVRAVAIDHLAGIPIARPRLLVRVIEPQASPGARQAILMSWAEVRPGELSPD ERAIVLEAAARTFRDDPDPGVHSAAELLLRRLGEASLVEEVKRQLLANPRPDAAAEWR IGPLGLTFVACRPSGPASIGSPPAQEGRKENEALHLRSLGHDFEVSTCEVSIDQFRRF RSDREPDMVYSHDPSCPANGVDWYGAARFCNWLTSQDPAIPWDQCCYPDVIAPGMLLD EGATGRSGYRLPTEAEWEFLCRAGTATTRPFGDSKELFPRYGWTWLNSDDRTRPVGQL LPNPLGLFDTLGNVWEWCHDGTLDDPQCPPYPESSPGRPAPDRQPGETFVGRPRRLLR GGAFCYSPSQARSAHRYMVVASNDEGTFGFRVVRTIPQRESPRNRPRVEGR OJF2_RS09780 MRIHPGRRRGFTLIELLVVIAIIAVLIALLLPAVQSAREAARRA QCGNNLKQIGLALANYASSTGSFPPGSIGYGSKPTADCVVRRRHTFMSLILPMMEQNN IYNALNFSLPAVASVGPFGLSVNGAMCNSTATTMTISSFICPSDQQISLPAIMTSGAG GVKGFGQSSYSGSAGTRDIQHWWYGCPASPSGIEPDGMFGYDYNYLIGDVVDGLSNTL FVGEATKFRNDPDQDNSNEWSNDYYSYSSITGVTRPNSVAYVLSKLNASMIVPDIPAD GAGYGADWQRNPSTNFQNFGQWGFRSMHPGGVNFVFGDGSVRYLKDSIQVTNGTNPVN GYVNPGVLRKLATKNGGEVVSADSY OJF2_RS09785 MRERRLHPRGFTLIELLVVIAIIAVLIALLLPAVQSARAAAFRA QCVNNLKQIGLGLANYESAHGTYPPGSIRNGSGLTQDCNSPRRHTFFALILPQMEQNT IYNALNFNLNSLDQGMPYGLSGGDATAANTTAYNSVVASYVCPSDIKSRAYPSNLPGR SQASYAGVHGNKDVYHWWNGCPTTGPAVCEGDGVLNADYCYKLTAVTDGLSNTLFVGE TSKFVGDADGDWFYQWTQDAWYGSWIDSTVSRMFSFASTIARPNSPVMIPEAQGDTTY YVDWDLNPNTPLERMGQWGFRSFHPGGVNFLFGDGSVRFIKDSIEVSGGIHPVNKTRM GGVYRKLATRAGGEVISSDSY OJF2_RS39130 MSAISASSYEKATGDAAGGGTPPPAVAKAKQKKGFGAAPGPMAP PPQ OJF2_RS09790 MSDATNRLTAVFLDWAGTTVDHGSRAPALVFQEVFRRRGVPITA AQAREPMGMAKREHIATIARMPEVADRWREVHGGPCIDGDVDAIYEEFLPLQLEVLRD HSEVISGVPEAVSACRGMGLKIGSSTGYTRELMKVVSAAAKEQGYEPDCVFGADDARR GRPAPYLLFRAAEALDVFPLWKTVAVDDTTIGIAAGRNAGCWTVGITRTGNGVGLSAE EIRRRPAAEVDELCRLAGESLRRAGADYVIESVADLPSIIERIQTRMARGESPPQ OJF2_RS09795 MKSARYDVVIVGAGIVGLAHALAATRRGLRVAVLERHPAACGAS IRNFGMIWPVGQPGGEPLGIALRTRELWLELAARDVLEVEECGAICLAHHEDELEVLR EFAAAGTHPASMLSREQVLSRTSLAQPEGLLGGMYSPTDCRVDPRTASARIAAFLAHS RGVDISFGTTAVAIEGGAVRAADGRAWEAGRILVCSGSDLRTLYPDVLAESGLILCKL QMLRSVAQPRVGTPPHLASGLSLRHYASFAGCPSLPALKARVAAETPELDRFGVHVMA SQFPGGEVVLGDSHEYGGDITPFDRTEIEDLILRECRKVFRLDDWTVRERWHGILSKH PERLVFEHEPEPGVHLFVGTGGAGMTLSLGLADRAWDRWTRRGTDR OJF2_RS09800 MSRISPAAVEVAPAGIGDLKDLTRQVQRAEGFPEVLAALKNGRA ATVDGAWGSAAGLVSAALGLHAPTTLVVVLAHNGDVDDFRDDMAVFAGVTPEVFPAWE KLPREQDATDEVSGRRLRVLNRLSGTMPPRLVITTIQALLQPVPGPEILGSMSRRVAV GETIPVEELAGWLLDRGMQRAEVVEVPGEFSLRGGILDVYPSDANDPVRIEFFGDEVE SIRPFDAESQRSLDRWDHVTLTIPPSFDDKALSGFVPPSDYFPEGTWVALLELPDIRE EGKNYLGRLDDARGLYSVESVMARLTRLPTIAVSALSADSLEATCHLRIESVERFSGD LAKVKAELDGAAAGERVLIACHNAAEVERLGEVFADTELARQGRLARALGRIRAGFHL IDASTLVIADHELFARADIRRPVTRRRYESRAIDSFLDLNEGDLVVHINHGIARYLGL HFVDRSSDHAEETLLLEFAEGTKLYVPIAKIDLVQKYVGGGKAAPPLSKIGASSWEKR KKRVEEAVVDLAQELLDINAQRASQPGFAYPREDSHWMAEFEAAFPFEETPDQLAAIE ATKRDMAETKPMDRLICGDVGYGKTEIAIRAAFKAVDAGKQAAVLVPTTILAEQHHRS FSARMGEFPFNVEVVNRFRPRAEIREVLKRTAQGGVDILIGTHRILQKDVTFKDLGLV VIDEEQRFGVEDKEWLKTFRSTVDVLTLSATPIPRTLHMSLLGIRDISNLETPPPDRK AIETKILRFDAETIRKAIHRELNRDGQVYFVHNRIHDIHRVAERIQQIVPEARICIGH GQMGGEALERTMLDFIRRKYDILLATTIIESGLDIPNVNTIFINEADKYGLADLHQLR GRVGRFKHRAYAYLLLESDRPVTPNAVKRLKAIEEFTSLGAGFKIALRDLEIRGAGNI LGPEQSGHIESVGYELYCSMLETAVRSLTKQPEKPLFDCSVELKWRAFLPKDYVAGAR VKLELYRRLARLRSLDHLADFRKELGDRFGPIPRPGENLLAEAELRILAGNWRLERIH IEAEYVVLTYRDRKKIEALARRHPGRVRIVDEKHAYVPLGDDPLKPAEIATVVRSLLS TRA OJF2_RS09805 MSESGKAVWFLGDLEDPWVVAIGESLAGLPGLQVRGAGRELPAP PGGSEEVPGLLIVHRSRLTTHDLARLETWKSLGGERGRANQPRILLCYGPNVRYAELE RCARLANLLIPEATASETLHRQARRLAALEADLLTRPPGQGRRVDVVSSNRALRDAIA EGLAELDFSSRAVADSGRFEALLPESDDMAEAAVWDVPVLEPGWPEVMQRRARLAPVV ALLGLADRGLVAEARAAGASACLDLPLDLEDLGYVIDRVLAAAAVVGGPGARRAEGGH GSPPPPASRSRWPRQDSAPTMTSKKTTT OJF2_RS09810 MQVARGSVPELVRLFDSGSAVALSEEQILERYIVHRDERAFESL VASHGPMVLGVCRRQLGCSPAVDDAFQATFLVLIRRAATLNPSITLGAWLHGVAVRVA KQARKKAYRAEVRERPGLPLEAIPVRDRDAADPDLCRVLDEEIARLPDRYRLPVVLCY LEGLTHEEAARRLGWPLGSVKGRLSRARNLLRSRLTRRGVAGGVGAAALAGGGYAEAS MPLGLIQATCKSLLSSGTAPALGVVPAVSQPVLRLVEGVAGTMILSKIRLLAVAAGVS GLFLAGVGATARQPISPERRTVGKAVVPVDASPSAGKADVKRPSLATGVADPAAPEAP RSEITQLEKEALNAARESYRVVSEQFKTDKLSVGAEQVHNASRLLLDTELQLARTKVE RDAAFEGHRDRMREVARIAGEGGAQTGVTAAETRAFFALAALQAERARSSQAAEPDSP TRPADLVGSGAPGEVGRPGGNTPTAATGSGANGAGQGRRDANSDKVLAKLEDPIPMNF PNETPLEDVLKYIGQATAGPEGAGIPIYVDPLGLQQADKTVNSTVSMNLEGVPLKHTL RLMLRELGLAYTVKDGFLMITAEDAEFSETELSKKRERVERGELTVEELKPIVEELKL RRDALQLFDAPIYRDAPPRGEDETKGQSAEIRQQLKEMKELLQQIRSERADAGKKADV PKPAR OJF2_RS09815 MIVCVTLNSCLDKTLEVPAWRPGDLVRGKTLREVVGGKGNNVAR ALKRLGRPARPATFLGGSVGRHCAELLRRDDGFDPIAVPTQSETREILTVLTEGTTEQ TAFFDPDPVITPKEAEQFADEIERALAGRGVAALTLSGSSPSPATHGLFSDLISLARA KRIPVFLDTYGPALDAIWGFWPMALQLNRKEAAGRLRKAEASDSDVLGILGDWSRHGV TCGIVTDGPRPVLAQIRDHRYRAIPPTIKAINPIGSGDSLLAGLADGWLNQLEPEPML RRAIACAVSNALVWDAGAIEPEQVDRLADEVVVEPID OJF2_RS09820 MDAEAKMKELTAGFPVVAVQPVQWGEQDPLGHVNHVTYFRWYET ARLAYFYKVGLMELHRDERIGPILARVSNDYRRQLTYPDTVHIAVKVVRLGRSSMDLE HKIFSLEQRALAAEGTSTMVTFDYKANRAHPIPARIRHAIEGLEGHAL OJF2_RS09825 MSPMLRPALALVVFSMSTTLPTTAVSQEPARPAVGKPGPGIDSA VAAFADPIEDRLDELRGAFCDIAQPVLDRVRLMNGRDDRLTDLKIEVQSAEAAYQNAK LTREVDEIAIKEYDEGIFLQDQATAAGKLKLAESSLNSARQRAADLAAYLEAAKKLAS RSLADRVILAQAEAEQRDAVRSGRMEELEVEKARGEIERLERLEAPRRRMELRSILVK AQEVELEKQTALRKKSGRLDALNVERKRLGTVADWKEIVAALEACSRLDHQIRDKLQM LRAREETPAEPSTREIEALLRLAEAQFVAASRAMQVVRVREVEVELSRYADTPGPAAS PQSRRVAVEPAIPDERFASRLDRVRETIIHTAGPLIERLQGIGEDAPDIPAREEAIRR AEAGLESARQTCGTCERAIKDYVERIYVRDLAAARRAISLAESELNETKEDVARAVLA LQAAKGTAPKTLQEVDAIRGFEKLLTVAKLRERKAELGLEQSKNRLEILDSYEKGKTI KELTADHEKARSLAYGREATLQLERVKLAKDKKRQQGTWMPAMEKAVLLALLGGIRQE AELRARLADLKANGKGTDPDASRELETTVRKLEGTVREAWRRLGDERLREFVAELDRF RGSGDNASRIELEPGLAKRLLEKMRSLKPEDLDALKSATEEQRAKILQNAGFTDAEIG GLRSMRERIKAQK OJF2_RS09830 MISIRDLRVDYDNLCAVSDLTLEVGDGEVCGLIGPNGAGKTTTM RTMLGLIEPTYGSIVIDGVDVREFPREVNAIVGFMPDFPPIYEDLLVWEFVDLFAASY RIPRRERRDRVDRYLAVVGLTEKRNEGIPGLSRGMRQRLMLAKTLIPDPQVLLLDEPA SGVDPQGRIDLKNIIKQLAGEGKTILVSSHILSEMNEFCTSVAIMERGKLVVGGRIEE VNARIMGASLLAVEVLDDTAAFLRVVAADPHAGEPVLRGGAYEFRFQGKAEEASELLA RLIGEGVRVASFSRRKENLEELFLKVGAKELA OJF2_RS09835 MVPDWLLDWFDNPLFMKHVRSRLRRPAIVSGSIVTLAICLCIAW AGYEFNQFVSGGTYGALLVLQAILLCIMGASQVGTAVSSARASGILEFHRVSPLTPTE LALGYFFGAPVREYLLFLVTIPLAILCVAAGTPDLRGFLQVMIVLIASSWVLQSVALV NGLITKRPTGGRGIIGLIIFLGWIGGGIFPAFGNVANLLDREPRLGFFGISLPWLPVV LLYQAPIILFAFLAARRKLESERLHALSKPQAMAAMGVAGLLAVGGTWGLTDNEFVTF LILYALVGLGILLTIIVTPKQAEYYKGLLRAMRKGEERPSWWADHSMNRPFLAVVGAI VLGASTIVWHRSFSDGSAASSVARKGMPLSIAVGTLVVVYFGLALQYFLARFGRGGTT YLTLFLFLAWLIPLVLGTIIIVADFRRTSSAQVVYALGPIAGIGLASGAATSGFNSTA ENFPWVAAAAITPTLLFTFVFNMLVSSAKKRFRRVVSDASRWTPESGQTDRPAFLEHW ESDDRPSAPTPGEVPTSGASS OJF2_RS09840 MSTPRFLLSLAVLSLATGARAQQATPSSVASILDRSDRALVRDL GAYLRQNPKADDRDQGYAALFNKAIEHDWFADTEEAATRYVKNDPDGPVRALAQIILT MSRATAGRYEEALARYKELMNGLGKPEEETFATSFSETFAGSAATAGEFATAKQVYTI LQERFPDSTTVRDKVTREIGRLDRIGKPVESFQAIDLNGKAIRSESLKGKYVLVDFWA TWCGPCLAELPRLQETYRKYHDAGFEILSISLDETRTPVADFVSTRKIPWPQVHNGSA GTDLVEAFGVGSIPASYLVDPEGTIIRLDLRGQSLDAALAKLTTRPLK OJF2_RS09845 MVTRRPWFRSGPSPARPHLEAATTDAPRTGPGAQGDGRRAEDNE PGPPGAGRRLLFVNQYYWPDHASTAQHLADLAESLAAKGFECHVLCAQGTYRPGEPKA PAYEVHEGVHIHRVPATSMGRRNTLARMTDYLTFYARAAIRAVLMPRFDAVVTLTTPP IIGLIGTLLRRFKGTRHAFWSMDLHPDASLALKRMSSRNPAVRGLSWLSDLVYRQADR VVVLGPYMADRVIMKGVRTDRVATIPVWSRRDEVFPVEREGNPLRRSLGLEGKVVAMY SGNLGLAHSYDEFLEAARRLRDRDDLVFLFVGGGPRSRDLKAAVGREGLANVHFLDYV PRDQLGHSLSMADIHLISMRPEMTGIVVPGKLYGVMAAGRPALFVGPAHCETADTIRR SGCGFTVPQGDADGVVNALTAVAADPELAARMGRKGRISFLASYERDLCCDQWLDVIR GLLPTRSVVKSSPHRVSGVMATAGTFRAAAR OJF2_RS09850 MKGFWSGKRVTVTGGSGFLGQHIVKRLEGYGATVFVPRQKDYNL VALDSCMRCLLEHPCDVLVHAAAYYGGIGINQAEPAKLYYSNLVMGANLMEAARLANV GKVVNIGTACSYPGYLEGDLKEEDLWGGACHASVVNYGLTKKMLAVQGVAYKRQYGLD SIHLILTNLYGPGDSYNPDRSHVVAALVRKWVEADLAKAPSIEVWGTGKPIREFIYVE DCADAIVLAAEKYNDVNLPLNIGTGIGTSIRELVETINDVTGYAGKIAWNADKPDGAV KKVLDVTRMRRELDGWSPPTDLRAGLAKTISWYRANKAQADAKW OJF2_RS09855 MKILVTGGAGYVGSTLVPMLLEQGHRVRVLDNLKFGGHGLLPCC QNRFFEFRKGDVCDAATAKSAVEGMDAIIHLAAIVGYPACKKEPQLAQAVNVEGTRNL LGARAKDTRFVFASTGSIYGSIPDYVCNEETPRAPITLYGETKGQAEQMVLDAGNGVA YRFATAFGASNRMRLDLMPNDFTYQAVKNRNLIVYEGGFKRTFVHVRDMARSFIFALE RWDEVKDDVYNVGHESMNFTKEDVARKILEHVNFYLHFAEVGSDADQRNYEVSYEKIR KKGFETTVDLDRGIAELVRAAQLIEFQNPFANV OJF2_RS09860 MGHSMLKKLTKRVKAALAAVGFLAAVPSAAWLSLTYEPSYYRAM VNLTREQREGKARHFVAQSLQLRNDIVNEPTWEAVFSDQEVNAWLAEDLVTYFADQLP PEVHDPRVLFETDRVILAFQLNRNGVQSIITVIARPRVPEGNTVELTLEKIRAGILPV PADNILDRITEYARMRGVDVRWQRRDGYPVVVMKYTPNLAREDVQLEELEIRGGQIRL AGRSDRTKGAFYLPTLPSRRVLQSTFPSLNTQVYPSEGDDEMTPEPASYRSTVPTS OJF2_RS09865 MNDSPGGVSGRFVLLEHEHNGVHWDFMLEAGGALWTWALRALPE RGREVVARRLPDHRLAYLSYEGPISGDRGRVRRVAEGTHRTAVAGAGCLKVELAGDQL VGTVDLYDAGSGVISSSPSDGYTWVFRLGNVD OJF2_RS09870 MTPKEVLAHIRQREVTTVDFRFMDFPGVWQHFSIPADALTEETF EEGIAFDGSSVVGWRAINEADLLVVPQPETALVDPFTAQPTLTMICNIHDPITHQDYT RDPRNIARKAVSYMRSLGVADRFMLAPELEFFIFDDVRYAQNQHEAFYHVDSVEGAWN RGRAEQPNLGYKPVSGLGYFPCPPTDSLVNLRTEMSQRLAECGIKTAAHFHEVATGGQ CEIDLDSQDLVESADQVMMARYIIRNVARNSGKTATFMPKPLYGDNGSGMHTHLTLWK NDEPLLAGTGYGTLSDLGLYAIGGLLKHAPALCAFANPTTNSYKRLIEGFEAPTKLSY SRRNRAAIVRIPVSGASPRSRRIEYRCPDSAANPYLLFSAMLMAVVDGIQNKIRPGDP LDKDIYDLKPEELARVPTTPRTLTASLEALRADHDFLLRGDVFTPDIIDTWIWYKISN EIEALRVRPHPYEFALYYDI OJF2_RS09875 MLAPLFVSLSLLATVDGAEARPWWGPDVAASLARCPERRADWER MLADVPPERRPGAAYLMRDLPLSDLKELPAAELSRNLDLAYRARDSVAWGGRIPEEIF LDAVLPFASVTERRQPIRAEWLAEYLPAVKACRSPGEAAQVLNRRLFGDTKVRYNTRR IRPDQSSRESIAQGMATCTGLSIMLVEACRAVGVPARLAGIASWPGRGGNHTWVEVWD GGWHFVGAAEPDDKGLDHAWFADEAGGAIRDKPQNAIFAVTYRRTGDYFPMAWEPAAR VNAENVTERYRRPAAIASPKPAGKASVAIDVVKGGHRVAAEVEMIDEQTARSVCTGTS LGAEVDINRRLSAEVPTGRDVVITARHGGLGTFAIATVGEDQVLALHLDREPTPPSRE KLAGLLSDRFGTDGARREHAERLLTMIPFHDSQRAAAWDAYRSSPIHAELKREFEARR VTTPHRTSPYLWRHVGRKPDKGWALVIAMHGGGGAPKEVNDSQWKGMFERYYKEHPEA GGYVYLALRAPNDEWNGFYDDLIVPIVERLILQFVLFGEVDPDRVSILGASHGGYGAF VIGPKAPDRFSAIHASASAPTDGETMGENLRDTRFTFMVGSKDTAHGRADRCQAFARQ REIWKEKWGGYEGGFEWKPDVGHSVPDRDKVAELLRAPARDAWPDRVVWVQSDDVIKR FYWLDSPEPADGTRIEAVVKHNAIEITSSRATPLFVWLDAPLVDLNKAVSVRLNGVAP RVLSPSPELETFCRGIEQRGDPHLAAPVRIEIKP OJF2_RS09880 MRSARMGRLAGMVGTWLLLSGFYDAQRIALAQSQAPVGRQSGGE LLEFPSFGPVPGSDDTRMGGNPGAFDGGFSSPDIGIIGGTRRVGRVPHTRRPARVAAA PSLARPSALQLPSPLPNFGISPIAPEQTEAELTSMVDDEGPPDGLTLDAAIERMMAAN LDIIALRHEIPQADADILTAGLRTNPLIYFDTQFLPYTPFSPSRPGGPTQYDINITYP VDVTHKRQARIRVARTAKSVLEAQFQDVIRRQINSLCKAFIELQAARINSMAAETAVR EQQKVLDQARRRAAGGGKEAVQESLDRLAVTLDKARDSLIEARDALNDAQEALAVLIG APPEETETIMPRGSLRDKGPTAPALEELTRLALSHRPDLVAARRGIGRAQAEVALQKA NRLDDVFLFYDPFTYQDLSSQKLKSATSWVVAVTVPLPIYNRNQGNIARAHCNLSQTQ VELASLERRVASEVRLAEREYRSSRDLLVRTEKTTLANQHKLTVRNAKAFAEGKLNED DYLSHLEDETEAIRGFREALIRHRRSMVDLNSAVGLRILP OJF2_RS09885 MRTTITSITIILGLTSPAVLGGEAIRGDLAQLQGRWSATTGAKK QVQVVMTIQGRDVSVAIKTPTGTDIQVTGELKLDESTSPRSLDWTKFTGPDEQPLPEV AAVYKVEGDTFTVCNGGFLGKRPREFKPGDGVFADVVVFRRLPSDKAAATPAPGENSS IATR OJF2_RS09890 MACAFAAGTGSSRGADAPPNIVLIVADDLGWADVGFNGRAEWAT PSLDRLASRGMVLKRCYASAPVCGPSRACLLTGKYSIHNGVIRNDQDLPGQEVTIAEA LKHRGYRSLLAGKWQQGRARPGREEPLHPLDQGFDEFFGYTNSYEAMEKFPTKLWEGR ERVEVSGYVDDLITDRVIRFLDQSGPGPFFLDVSYLAPHFTVAAPEDEVARHVGKLPE TDRAHPLNATYAAMVTRLDRNVGRLLDALERRGLASNTLVVVVSDNGATFEFAAQGTS VALDSNRPFRGQKRTLWEGGIRIPAVVSWPGRIAEGKQSDEVVNLIDLMPTLLAAAGV SADPSWHVDGVNVLPSWLGIGHVPARTLFWEWRQENADQVAAMRGDFKLLIQRGGKPE LYNVAADPAERQDVAAIHPQVAKDLRRDLERWLASEDARGKE OJF2_RS09895 MAIGCGLSVANLYYSQPLLADMARAMRVGDREMGVVSMLGQAGY ALGLLLFVPVGDLTEKRSFIVGMLGAVAVACLAVTASPSYAWLAASSFILGAATIVPQ LIVPFAATIAAPGERGKVVGTVMSGLLVGILSARTASGLIGHSLGWRATYGIAAVLMV GLMIAIRFTLPRSVPDHAGMSYPLLLHSMLGLIRDEPILRHSAVFGAMMFAAFSVFWT TLAFHLSRPPFEYSSDIVGLFGAIGVGGAAAAPWIGAFADRRSARWTIGLGMILTLMA FVIFGVAGRTIVGMIVGVILLDIGVQCSHVSNQTRIFALRPEARSRVNTVYMVAFFCG GSLGSLLGAQAWSLWGWPGVSACGIAFVLVALGAFWLTGRDGRRPPTEQRTT OJF2_RS39135 MNSRRMTLRFDSMDDIIPEVERLRRGCRTVGTWSLAQICQHLAM VARRVVDMPATDTPDRSQWVPPEQKAEVFRSGRIPEGLPAPDALLPPKDVDLDAAVEA LRSALAYFKASDGPKVPHRFFGYLTRDEWEQLQCIHCAHHLSFAIPDATSR OJF2_RS09900 MTGLPFAGADDLTPAADRVVAEIEDDGGVVARDESLPGRPVVSI SVSGPRVNNAFLIRLRHFPTLRELSFSSQEVTDLGLSALGSLRQLEVMRLRCDAVLGW GVSSLARLPRLRTLELAGSRLSRACFDSIGKLPGLRELTVEFSENPGESLSKLAGLER LESLTLLKAPDADLANLGRLTHLRSLTIDSPGLNDASLRRLLPLATLEDLEVRNASLD GSGLESLSRMENLRQLTIGSDSLTDEGFRRMPELPRLRSLNLVVPPRTRGLTDAAMSV LGRLPGLESLDLGWWPVSDAGMNELRGLKRLSRLVVHSPRLGEEGMRSLSSLTALRSL DLTGVPVTDAGMAALGRLAALEELRLAGASIGDAGLASLRGASSLRTLHINGEFSDRG LEALGALTHLADLAIEDDTPDASGDATGSGLAGLAGMPDLESLAISGLRLNDDGLKCL SGLSHLSTLSIQAPELTDGGLAFLDGLTGLRSLSLTVNKVKGQGLSHLRALTELRSLS VEGDALEDEGLARMAAPAGLQSFQLVAPAIEGEGLSSLSNLVGLRSLKLRAPKARGAC LVHIRGMPELRELSLTGLRVEDEDLRQIELIRGLRRLELNAMDLPGDGLTRLHRALPR LIIAL OJF2_RS09905 MSSSDPAWEADDDGPRTPAEGRPPASGKRAGGLGDVLGMVAVLA ALVLLFGFLSKHFWTGQTARIIVNQSADLTVVAVGMTLVLVIGGIDLSVGSVLALAGT VLGLSLTDWGLPLAAAIPVCLGVGLVCGAINGAISVFARIPSFIVTLGMLEVARGAAY LVTNSETKYVGPRIEGIARPIAGLGVSPAFLAAVAIVVIGHVLLTRTVLGRYMVAVGT NEEAVRLSGIDPRPVKLVPFLLSGLLAGLGALFQVARVSSADPNGAVGMELGAIAAVV IGGTSLMGGRGSVLRTFLGVLVIATLQTGLAQIGASEPAKRMVTGAVIVLAVVADVHR AGWSAMLASLARKVRPAPRP OJF2_RS09910 MPDPHTEPSPAAPLLRLRGVCKAYAAPVLRDVDLDLLAGEVHAL MGANGAGKSTLAKIVSGLVRGDEGLMALDGEPYRPSSKAQAEALGIQIVQQELTLIPT LSVAENLFLNRLPSAAGVVRYRRLRRQALRALDAVGLGGLEPETPTARLGVGEQQLVE IARALARPCRVLILDEPTAALSGPQVEQLFHHVARLKGEGVAIVYVSHRLEELRRIAD RITVLRDGRVVATRPAASLGIDEAVRLMVGTNPEKELRPQARPRGDEVLRVEGLSRGD RVRDISLTLHRGEVLGVSGLVGSGRTELLRAIFGADRADSGELYLRGSTRPRRFASPR QAVRESVGMVPEDRKAEGLLLTLPVRLNLTLARMARLVGPGGWIRGRREDEAAGAAAA RVRVHCHSIEQPVGTLSGGNQQKVLMGRWLLRDPDVLLLDEPTRGIDVAAKFAIYRLI DELAAAGKGILVVSSEVEELMLICDRIAVLSAGRLVRTYGRGEWSEEGLLAAAFEGYA GGAKRPKPEGLSHELQ OJF2_RS09915 MTLRRSTQPGARLRAATALVLAGLLMALGGCGGDGDTAGSAGPG APKKSGKPRIALIMKSLANEFFKTMADGARRHQADHSSEYDLIVNGIKDERDLKRQVE LVDEMIGQGVDAIVIAPADSKALVSACKHAQEAGIAVVNIDNKLDAQILEEQNVKVPF VGPDNREGARKVGAYLATKLQKGDKVGMLEGIKTAFNGQQRKLGFEDAMKEAGLEIID SQSAQWEIEPANKIASAMLSEHPEIKALLCCNDSMALGALAAVKAAGRGGQVQIVGYD GISAVEAAIREGAILGTIDQHADQLAVFGIDYAILLHKGDAAPADKTTPVDLVTAETL KKP OJF2_RS09920 MRWFLAGVAALALASPTAPPAAAAEPPGLIFDTDICGDCDDVLA LGMIHSLQSRGACRLLAVTVSVDNDKAAPFVDAVNTFYGRGDIPIGVVGKGGVVEQGK YLPLVDAKDESGRDRYPHDLRSGKDAPPATSVLRKVLAAQPDESVVIAQVGFSTNLAR LLETGPDEDSPLSGIELVAKKVKLLSLMAGAFEPIDGNANYREYNVHRDIPSCRKVAA GWPSPVVFSGFEIGIAVPYPAVSIERDYAYVKHHPLPEAYILYLPPPHNRPTWDLTSV LYAVLPDRGYFDLSPNGKVTVAETAETRFATDPAGKHRYLILKPEQRGRVVEALVQLS SQPPRVLEGPRP OJF2_RS09925 MSRQDDTPEAREGTDRRSLLMGTAGAAILGLSGVAGSQAAAGTG EPAVKNGRIRQSIVHWCYEPYWPSIDAYIKNVKALGVTSIELLPVKYFPALKEAGLTC AIGQIDMAPDPPFLKGFNNPAHWDRVIQATKDAIDACAEYGYKKVICFTGYKDGIPDD VGATNCVEGYKKVIGHAERKGITLCLEMLNSRVSNHPMKGHPGYQGDHCDYCFDIIRR VGSPNLKLLFDVYHVQIMDGDVITRIRENRELIGHVHVAGNPGRGELDETQEIYYPPI MSALIEVGYDGFVGQEFIPTRDPYQGLHQAISVCDV OJF2_RS09930 MAQRASAAAVPGFNSLGLDDRLVEALGALGYEEPTPIQREAIPP LLEKKDLIGQAATGTGKTAAFALPLLHLLAGREGKGGGPFALVLVPTRELAMQVAEAV HKYGRALRASVLPIYGGQAYGPQLRALQRGADVVIATPGRALDLAKGGKLKLDSVEVV VLDEADEMLDMGFAEDIEAILHETPAERQTVLFSATLPPRIAAIARRHLTDPVKIKIA AEQAVAGTTAKVRQTAYIVPRGHKLATLARVLDVENPTSAIVFCRRRNEVDELAESLS VRGYRVEALHGGMTQDQRTRVMKKFRGEASDLLIATDVAARGLDIQHLSHVINYDVPA EPESYVHRIGRVGRAGREGVAITLAEPREHRQLRSLEHATGQKIEIAKVPTIADMRAR RLELTRASIREAIVAGELDRYRVVVETLAGEFDVVDVAMAAVKLLHQSDSNGEQDADE IPDVEIWDRPRRDDRPRPGNPRDGRGRPARGGGEGRGDMSKIYVGAGRAAGIRPQDLV GAITNEAGLTGGQVGGIDIADRFSLVEVPEDLVESVIAALRSTKLKGRKVTVRRDRAA E OJF2_RS09935 MNDGKAGGSFCGRTRREMLWEAGGGFASVALTALLGKEGFLARQ AVAADGVTPFVNPMAANPASRPAKAKSVIFLFMYGGPSHIDTFDYKPKLYGLDGKTIQ VKTFGRGGKRNEGRVVGPKWAFRQYGESGKRISDLFPNLGRCADDIAFIHSMYAESPI HGSAMLMMNSGRILSGHPCLGSWVTYGLGSENENLPGFVVMLDHTGGPISGPKNWSSG YMPAAYQGTVLRASDVPIHDLALPKDTSEVTRRRLLDRLREKNEDHRAGRADNSELAA RIASYELAFKMQRSAPEAADFRSETPETRSLYGIDRPQTAEFGRKCLLARRLVERGVR FVQIYSGGSHGDANWDAHNDLVENHTKHAGATDKPIAGLIQDLKRRGLLDETLIVWGG EFGRQPVAEYEKGTGRDHNSFGFTMWLAGGGIKGGTSVGTTDEIGGAAVEDRCHVKNL HATVLHQLGLDPNALSYFYGGLHQKLVGVEGAEPIHKIV OJF2_RS09940 MPELGSPQERTQGIGLRRYVAGLAALTLLGFLASNAEGGDGSKG VLDPARIEFFRSRVEPILKARCVKCHGGEPKVRGGLRLDSRAAILEGGDQGPAVSLDQ PDQSLILQAVRYEGLEMPPAGRLPDEEIAALDRWVREGLAWTPGKEATGLDAAKAPPA RPNARPRPKVVFHAVERPPVPEVVHSDWVRNPIDAFLLAKLEPQGLAPRPPADRVAWI RRVTYDLTGLPPTPEEVDAYLADGSQDADARLVERLLASPRYGEAWGRHWLDLVRYAE TNGYERDGPKPFAWRYRDYVVRSFNDDKPYDRFLHEQIAGDEIDPTSVDAMTATGFYR LGLWDDEPVDRAQARYDGLDGIVSTAGQVFLGLSINCARCHDHKKDPIPQADYYRLLA FFHDLTHPDGKDLKKVGPAPGVPVMCVRERGQAETHVLLRGNPNLLGPVVEPGTPGML GEGYSTFTRGPGKRRAFAEWLTDRRNPMTARVMANRIWQFHFGRGIVPTPNDFGALGE PATHPELLDWLAAELMDGGWTLKRMHRLILLSSAYRMSSRASEAGLARDPGNLLFWRF PMRRLSGEEVRDSILAVSGSLNEKAGGPSVCPPIPQEVLAGQSVPGQGWAVSPPDEAA RRSVYVHVKRSLQLPILATHDAPDTDSSCPVRYTTTVPSQALGLLNGEFANEQASRLA ERLVRERPGSLREQVRRAIRLCTGADPPDEEVRGDVAWIRDLERDAHLDADSAMRQFC LLALSTNAFLYLD OJF2_RS09945 MTSRVRVAGFLIASGAMVVALALVAYLAGWAPARIVPAGDLTQV SIRLDAVEGFQKPTTITSEDAGKIAALAAVLRDNRPSQDHKCASTGELVIRTRDGAAV SLGLLPSHDGRSFEFRVPREASYDVVRVDRDAFLKAMADLGATGIYTGRENAR OJF2_RS09950 MTIVQRMRSQLKDAMKARDSVRTGFLRYWIAQLTLGTAEEVSDD EAIKKMRGILKEAKGGPTTFSDAELGLLREWVPSSLTADQIAEALANVREQIKAAPKD GMAMGIAMKALAGKPVESDEVKAVIASFRQ OJF2_RS09955 MNSADGSLSVEMIRWTFTVAQEHRVEIETHLLDLGLEIQARGDE VLVVTWDEPEGKVDELIEELWAINGEPFEITHEEFHRASLLVYGPEDADEGDTASESA AA OJF2_RS09960 MPTPDANVESLVNEALAVVRSDRLPYLATVEGDQPRLRPVTPVK TDGFIVYIANLRTHSKTAEIEANPRVELCYLDGHNDQVRITGRAEAVDDPALRDEFAA SAHFLPRSIQGLTSPDFMLYRIIPTRVRFMKGWALAYEDVPIPGGATESGTGD OJF2_RS09965 MISRWTASRVLISAFVLFHITGTVVWIVPESPIRQQLIPCFRPY MLPLGLWQSWWMFAPNPMGATAEMEAEVIDAQGMRHLWEFPRVAGISWWRKLPLFRHP KFTCNMIEPEGTTQREFTARHAVRRLNLGPESFPVHTTLLCRVKEPPPPGKTRIEAPR PTQILVLGTYDFASYEEVHP OJF2_RS09970 MNPVRAWNRFWFAPISARPLGAYRIIFGVLVLAHLAFTWMDIDY WYTDAGLLRGNEARIVAGDLRYSPLQYVQDPIAVRLIVAGIAVVAALFTAGWRTRVMG VLLYLGQLSLYHRNMSSVCGPDTVRMLTSFYLMLSPCGAAYSLDARRAARRRGTAAEP LIVPWAQRLLQVQLALIYFATAAFKCHGATWLSGTAVHFVLFNAELRQLDMEWLASYP VLLCLFTYGALFVEFGLAFLLWFRPTRTWFALLGVGLHAGILPMVNVPLFGEQMVAVY LVFLAPDELASLLQVLDPRAWLRRRRQEWDALSARLDPAGALPGWRQLELSFESAETT AA OJF2_RS09975 MPTADEIIAALQLEPHPIEGGYFRETYRSAASIEESCLPPGYPA GARRSLGTSIYYLLTPRTFSEMHRLPTEEVFHVYLGGPARMLRLFPDGEGREVVLGPD VLRGQSPQVVVPPGVWQGTRLEPGAEFILLGATMAPGFDYEDYEQGSSSDLAARYPEH AGLIRLLTRT OJF2_RS09980 MEPIDDAEAERLLKQGAFAKDRPARPAADRKPRPDPAKPPGEPS PGTAEPPIRSGGLLGRFLAPPAGRERGRAAESSITVEPLSDPATETALKRRLEKQIQQ ELGDRVTSAEVRVAGRVVSIRVRVSRFWLRRGVRRSLESFHVPPGYRVRVDSVE OJF2_RS09985 METAKKIVEIDGVALHLGDPDVTDQGWIGQDEVLRQLLACWLVV DPRDRALSPRIVGPPGIGKTTLAMAAARTREQRLYITQCTADTRPEDLIVTPVLAESG RIAYHASPLVSAMIVGGVCVLDEGNRMNEKSWASLAPLLDHRRYVESIVAGITIRAHD DFRVCVTMNEDESTYEVPDYILSRLQPTLALGFPSRDDEMAILEYHLPFAESDLLAIT VDFLQQAHELKLDFSPRDGISIIRYALKRMAQDPTHPLGRDAAWREALERVLGDEAVD LESLARRKRRSHGGSHAPLGLGDLFFDPSDPLHPDGPGETTDDEEF OJF2_RS09990 MFAEEQEIPLIGRLETTATLLRPGPMAPSRDRRLEEWIASNLDQ EADPARENHWNRLIKNLPAFRPRARTNGKGGAALGPATDSQGGWSHFRVAYRRGYTMV RVADQSLVQRSLLRELEADLMDLIAVGNHRMVLNFSGVEKLGSWIIGVVGNAHRRCAE ADGGRLKICGLDPQLAEIFAIVGMARELELHPDEAAAISSPWPACSAPRQLPVDLLEA LRSVAPVPPICGGAPSQADEPMPPPDADGKAPARPNNIRGFSVRLELTADSGDGRSLA VTHPRWLIGRDRSCKIRLNSAQVSKQHAAIEIRDDRIFVRDLESTNGTLVNGKVLRDA EIELRHGDEFRIGPVRFRVAIDRSHSSEPLPEDPATVVIGAHPEARQATAHPHSLPAG NEPLPTEEMPVADDADGTLRIRTEDFDGVTVVTPLFPDLDGEEAIEALRTRLESLREE PGARRVVVNLEFVNHLSRKAIAFLLAHHVRLEWEGGGLRICQAHARIIALLDQVRLTM LVDCFPTVDEAVLCAWSGVEERATARP OJF2_RS09995 MGSPDSSADGFLSIGPRIRVLPIIHGSGDFAIRVRDELLERPYD CLAVPLPPSFQDEVERAVEALPTPSVVVQPDADGEEGEPSYSFVPIDPCQGVIAGLRT ALGERIAREFIDLETPSFESIAASFPDPYALKRVSPEKFAAAVLPAIPPPQGAQHRAR LAWMGARLREIESRRRLTLLVCSLLDWPWIRDAYRSRIAPPEPEPFFAPIRTHRVDSR SLLFLLGELPFITGLYERGRRELTADDNLSVDGVKELMLEARERLRLERPDVAQRITP QLLSVAFRYIRNLSLLERRLTPDLYTLIVAARQTAGDDYALALAETARQYLAPVPSDE GLTPEFDEDRPLRMGVHRADVPGCGPARMTSRLPGQAISWRTCKLRPKPSPPEQRRWK QRWDPFGMCSWPPEDDRIEGFHRHVRDQACAILGADLARVEKFTTSVRDGIDIRETLR NWHKGELYVRVVPPSRGSIEAVVFLFDVPADPDVYTHRATWFAEHSEESTLAFYATDP MKDLVGPGIARAKYGGALFLFPPRPIPEIWTDPQLESAATPEERLLAAAFLHSRDRHV AVVSPAPPLGNWRRMARASGRKIVHLPLKRFGGQMLDRLRTFHVLNGKQVRSYAADYI RDA OJF2_RS10000 MDVLIVGDGEEERAWADWLSGRPEYEVIATVLPRVEGSAPGPGS LSDLNEGLAIAGLELAIIGGPVESRGEVLRRAAAEGLAIICLHPPGDDSESYYQVALS RAETGAVIVPDLPLRRHPGVERLRRMLSAGELGEFRGLRLEVNTPPGERLATRVFPTM IDVIRSLLGTIDSLIASGDPPGSDPDQELVVQLRDATHRRAEVRLVAGPPGPTRLTLS GSLRSVTLELGAGLCGPSSLIHRDACPGMDSAEHLGPWDPKEAIGTALREAMNEGPGV ERGSTGLGPSLLDGTRAMELSEAVVRSLRKGRTIDLHYEEISEEAVFKSIMTSTGCML LLSLLLVLPVSLAGPAIGLPGTIYLAYAILPALVLFALFQFVRLGIRTGSHDDRRRTQ ERPRTSQASRM OJF2_RS10005 MITIENLHDFPAEARGAFVTVGNFDGVHRGHQRLIARLRARADE AGVPAIAITFDPHPASLLRPDQAPVPLVWPEREVRLLQEAGATHVAVFRTGSWLLDLS AREFYERVIRRQLDARGMVEGPNFAFGHDRQGSVDLLGRWCGEDGIVFEVAEPLRDGG ELISSSRIRRELREGHVEEAARLLTRPHRIRGIVTHGAGRGRGLGIPTINLDEIDTLI PPDGVYAVRACVPGDARHGQGLVRAAACNIGPNPTFGEQARKVEAHLIDFEGDLYGRM VELDFLARLRPTRPFGGIEDLLEQIRADIERARTIAS OJF2_RS10010 MNRPATAPDLAAEQARAARINDRCERFEAAWRVGERPAIEDELA AAAEGDRRPLLIELLALEVELRRESGEAVDFASYLQRFPGKESAVREALQGAQEVSVA LAPGDPQGWKTVNVAESPGFALPPAAGGDRPFRPGEERLGDYVLLEEVARGGMGVVYK ARHEGLKRTVALKMILAGAMATPAERARFRREAELAANLDHPNIVPIYEVREHDNVLY FTMRFVGGGNLSRRIANGPRDPAAAARLVGVLARAVQHAHERGFFHCDLKPSNILLDA EGQPQITDFGLARRSTEESSLTATGAVLGTPSYMAPEQASGQRASIGPATDVYGLGAI LYELLTGRPPFRTPTVMETIVHVLERDPVPPRELDPAIPRQLETICLKCLEKLPEERY ESARELADDLGRFLDGEAVEATGIFHRLRRWTRREPELVSRVGGLAVISALTEFNRHA LSPMPDARLHYKIQCTLLAWALSAILFQFLWRRGWRSDGVRRLWSSADIICLTACLLL LGKSESTLLIGYPLLIAASGLWFRVRLVWFTTAMAIVGYLILYTALAIDWSMPLVMWT NDELQYPNIFIAALWLTGYVVVRQVRRILALGRYYEQSQREV OJF2_RS10015 MVEQGGSVTHWLGDLRGGDLAAAQPLWERYFGKLVTLARGKLTR QRHARAEADEEDAALSAFNSFCAGVAGGRFPNLADREDLWRLLMTITVRKAYAQIQRQ RRLKRGAGKVVEEAMLRGAGGDAAEAGAGLNGLDILAGEEPSPELAAMVAEECGRLLD ALGDDALREVAIRRMEGYTSDEIAAHLGCARRTVARRLDLIRKTWLAVGEVRT OJF2_RS10020 MGVPRGRAWAQAPRPPQDNEEPAGGGDLDVPAVRDRLEKLGLGP ISTARSAHYAAIGDAAPGFMKVILQDCEQFAQDYVIHFRSRGFDIKLPAKPLVVVLYK DDRSFGKFFHIPSLLDAAAMGHPVQPAGVYDPSSNLLHIFDWRSVPMMARSGHRNTET LSHEGTHQLTFNTGLLKRGGHAPVAIVEGLGAYGEARRTDGPSDLGRLNLKRLDDLAK IQRRVPWIPVRTLLTDDSVLRVGRSDRVLLGYAQSWLLIHYLLKDPGTLPGFRDYLRV VASRGDAGHTLDDLRTHLGDLDALDRELRKYQVQLQMSIR OJF2_RS10025 MPERRRAGLIVGGIAGGALVAATAYFGLFNGRREAPSAPAAANP SWSEGSPLGTLAEGLRNSDPAALGIVANRSASQKNEAKKALTDQEAAEWVEVLGGLRA GIEKFTPPARATAALVAGNVMEKFAVEPAPACWSMALPPVHDVLAACLTDGESNVRYI ALGEVGKLWVWLPGRTMAESEENLLGLWKEGLHAPVLRCLAGTDVRTRIGAVACLGLL PMDAAAAPAIPYLEDRESVDVRRQALVSFANRPTLLTEDLLLKRLHDDDETIRDSALV MLKARGLTQEQIHLGSLMVSPLPQQRASVINLIKERGDIDPLVWLLQLSHDADESVRV QSVAALADLKPSTVPIKRRLAEMARRDQSRSVREAASKFVPDLEETTASLPPLPGSPS LNPKAN OJF2_RS10030 MSGIHDRSVERSGMRLGLAMLTTAILAGMAPTAECGIGRHIDPA QVLPMDQLQAQHREIVSEVIRDHTFHRQGEAESFPCSSGLYLSLVNEPLLTLALWKDL ADSPVQARKLSADRYQGDDGAGTTATWDFLIRTPRLHVLLAYLNYAGPKSNTRIDARV VLVLRSGYYREVNKEPYIQHSVEIFVKVDSKGWKTLARTARPLVERILSDQVDEAGKF ISLMSRLVVTYPNWAVQVAANQPAIDEPIRGRFREIVAQDRRPNASPGRPMVMANSSS PDADTRRR OJF2_RS10035 MTISDLCINRPVFTWVLVVIPVVMGIVSYNELGVDLFPDVDFPV CTVTTVLPGASVEEMETTVTKPIEDIINTVSGIDELRSITQEGVSIVTVQFLLSKNGD VGTQEVRDKVNTILADLPDGTDPPIIDKFDTGSMPVMTIAVSGRRDFREVTEIARKQI KERLETVPGVGAINLVGGRTRAMNVVIDTDRLAGYDLSVDDVRQALTRQNLEVPGGRV DQGPRELVLRTLGRLKTEREFNDLIIANRNGYPIRVRDVGRAEDSNEEPRTLARLDGD GAVSLVVQKQSGVNTVKVVDDLKARLEQLRAGLPQDISTEVIRDQSRFIKKSIEEVKF HLILAAVLVSATILLFIRDWRTTLIATMAIPTSIIPTFMFMKYMGFTLNNITMLGLIL AIGIVIDDAVVVHENIFRHMEEDGMDGMEASRKGTREIALAVLATSLSLIVIFLPIAF MGGIVGRFFSSFGLTVAFAVAMSLFVSFTLTPMLCSRFLKLEHAGDGKHHKASSKSGL VWRIVDGSYGLMLRGALRFKFLVVLMTIGVIVSTVPIGRVMGLSLIPRDDQSEYEVSV TTPEGYSLDRTSKLVAELEERIWKLKGTRHVFTSIGQTESGRTVKGEGDVTRAAVYVR MEELEERDYTQFAIQQEARKMLTDYPDLRVSVNDVSAFQGGRRSQTFQVNLAGPDLNE LATYGDKLIDELRKDGAIQDLDTTMSLRKPEVQVLVDREAASDLGVPVGTIADTLRVL VGGLPISKFRDGDEQYDVWLRAAASARASSQDLYQLTFPSPTVGLVKLASLAKLKDER GPSEIERLGRERIVTVLGNPEGIALGDAVSKAEAILKGMNLPPQYSYIFTGQAKTLGE TGYYFLIAFALSITFMYLILAAQFESWMQPVAILMALPVTVPFGMLSLVLWRTPMDLY AMFGLFMLVGIVKKNGILQVDATNQLRREGYARHEAIVEANHTRLRPILMTTVMLVAA MVPIAFGQGPGSGARASMAKVIIGGQMLSLVLALLVTPVFYALLDMVVNLTRRLGIRF SVEQRPAATPAPSGPGPAGSPGSAVLEGAGYGR OJF2_RS10040 MRRWYLLAPASALAMALAASSGCSHAGAEAAGKAKPAVRTIPVT VAPLERRTVERTVEVVGSLRGWEHVTVGSKQSGRVLKVVHDMGDRVKPGEVLIELDPV DAKLAYDQAQSKYLAELMKLGITEARADELVEKFGVTEELIRGKHAEDAIDRVPAVVQ VQVARDRALHNLNRQRALSKKGASTAQEMEDMENEYRSQCAAYDNARYTARNAIATAV GNRIARDQAAQALADMVVRVPSLKVQPPGMSQADRVIYAITKRPVSEGQMLRIGDAVC ELVIENPLRLWTSVPERYSDQVEVGQPVRISVASHTNMAFQGKVVRINPSVDNASRTF QVETLVPNERRLLRPGGFAKATIVTDSQAKAAVVPIESIVRFAGVTKILVVEGGTARA INDITTGWEGQGWVEITGKGIPEKALVVTTGQSQLADGTPVVIRTPEPPPPPGSHQPA QQAAAEPAKKAEAH OJF2_RS10045 MSERAEAESLGVESVRRTAPAARSLAKAAARLFANQGFDATSVR QIVEAAGVAKPTLYYYFGSKEGLARAIVQEPLGLLVEQIRRIVSSEPDPIHALERVIE AHYAYCREDADRARFLYATIFGPPGSNPANLMACEKHDLSGLTEAATRRLVEAGIVLA DRLDDFNAMVRGVIVVPMLGFLYGDKPLGPGLARALVHGLLVGFDGRKLPEPTR OJF2_RS10050 MNFKHHVTSSITIADQPTAEDLLDCKYEGYVGVVNLRNDGEPEQ PLSTSAEGDRVRAVGLEYQHYGVGGKPLSDPGVAEVCDFIDRLAAGEGKVLVHCRKGP RAAALVLIQQARANHWTAAEALEKGPAMGLQVDGPLRAMVVDYLEKRR OJF2_RS10055 MSRYFKYKNAESLLADARSLGLPIRLQSDLSPLLAPARVGGRSV GNRLAIQPMEGCDGNADGTPSDLTFRRYERFGDGGAKLVWGEACAVVPEGRANPRQLV INEANAAELGRLVDTCRRAHREAMRGEDDLLLGLQLTHSGRYSCAKPILAQHDPLLDP RTVMDRTQGTVASPSVPLISDAELDRLQDAYVAAAGLAYRVGFDFIDIKQCHRYLLNE LLAARGRPGMYGGSFENRTRFVVQLIARLRDAYPTGIIAARLNVFDGVPFQKGPDGTG IPATFQAPAASAWGTDAEAPVEADLAEPKALVGLMRDAGLDLLNITLGNPYASPHLVR PFEYAPPDGYETPEHPLIGVDRHFRLTAEIQRAYPGLPVVGSGYSWLQAFAFQAGAAN VAAGAATFVGIGRGSLSQPDFGRRVAAGQPLDPKRLCRTFSYCTALMRSKHNEAGQFP TGCPPFDKDVYGPIWDQAKR OJF2_RS10060 MSDRGGFRVVVAAISLVSILAGPGTPLARGGEDPRTATAFLQAL RDRGLADLAIDYIDILRHDPACPPDLRASLDYVEGSTLIDEATRINDATRQQELLEQA RARLEGFLKAQPGHALSRQARVQLARLLFERGRSTMLIAEEIQVPSQKAAKVDEARAL YAKARDAYGEAVTVFGNALKAYPVSLPANDPRVAERNSLENDHLFATLKKGIAQYELA GTYPAGSAERASGLEAAMKDFHSLWEGHRSQLAGLAARMWEAKCFEEQGRIGEAVGIY KELLSHTDPQLRDLQSNISYFHIVALGKRKEYALAADEAVRWLEKYNRREETRSATRL GVLLELAKDLDAQLGANEDKAEKQAAAKRIVEAVSQVVRFATPYKNEALALLRKYKPS SAVKPEDLARISVDDAIAQAEEAMAARDWERAIAFYRAAIRKADARRDLDRINQARYN LSFCYYMNKQFYESDVLAEHLARRYPRNPLGPQAAELAMQDLVEAYNVHREIDRGSDL ARLVGVARYAAETFSDREQGDDARLNLGQIELGQGKFDEAIADFSAVRERSPKKLEAR TRLGGALWAKSRALDRAGEAKKAAAEATAAIDILAKTLQARQESQAPASDPGTLNNAA DLAVALTETGKVKEALAMLAPIVKAQATRSGPAFSRLMEANLLAQIADNQVEPAIQSM KAIEQAGNSAGLTQLYLKLGMLFEKTMDQLRARQDRAGLERMQRAYRALLGTLAESRS GQSYQSLDWAGRGLLSLNAGEEAEKVYRRILSESVANPDFLSQAGSSDRLMLARVKLA AALRLQGTKEPKKLDEAASLVEEILSKNTKYLEPLVEKGNLLEAQAAAGQSDWNQAFR HWQDLAQKLGRSRPRPTSYFEAWYHAADCLRNQKDYTKARQTLNGVMRLNPGVGGPEM KKKYEDLLARLK OJF2_RS10065 MRSTARNRALATMLLLASSVAPCLADEVLLTPGSTLKGSSGGRV RGQVQSEGPTEIVVQLGNSTTTVPTDQIATIRYDGQPATLQLAESRENGGQLAEAAEL YRKAAAEAADRPFVAQSALYHEAAALADLASIEPDRLKDAKDRLTRFVQKYPSSRHII PAREDLARIQLASGDHAGAEANVAELAKIPKASEKAGVLHARVLSNEGKDDEAISELD RILASAADHPITQREARLAKAEALVNRKKYSDAETIVRQVIAANGAEDVAAQSAAYNI LGDCLREANRPKDALIAYLHTDLLYAKDKQEHPRALYQIAKLFRVLKQDGKADEYSQR LRQEYPRSPWLSAK OJF2_RS10070 MTRLHGQILRLSGIFIEMLGVFALLTMTRTGPDGSPAPGAISQR VAWAIICAGFGVWAVGNAVIYWRRGDRAGRDRQGDKPRGSEDLGLRL OJF2_RS10075 MSNEGMTRRGFVGSVGATAGAASLIAAPAIGKSGSANGKIRLGI IGSGSRGNQLLDSFLPQPDVELVAIADVDDHHAGETAERVKKEKKNTPKTGRDYRYML DDKDVDAVIIATPDHWHALPSIHAVMAGKDVYVEKPVAHNVAEGRAMIAAARKYDKVM AVGTQQRSSSHFQKAVDIVKSGKLGKVFWVQTWNYENISPTGMGKYPDGEAPSYVDYD RWLGPAPKRAFNPNRFHLLFRWFFDYAGGMMSDWGVHLNDIVLWALDAKGPKSVYAQG GVMTTDDDRDTPDTLQVVYEFPSCLLTYSMHKGNGLRLNGKDYGILFCGTDGSLMLDR NGFEVIPDQTNLPYGIRLVHGAREPRKIDLKPEKEKGVDGQNPHVRNFLDCMKSRARP TCDIEIAHRSTNTCHLGNIAYKVGRKLEWDVESETVKNDPEANALLSREARKGFELPA I OJF2_RS10080 MTFRDLLRAACLGFVTTGTAWCQALPTSATIESIPLELTMPEHY RVTSVLEPIRRVPIVAPADGIVRALPAPLGSTVRAAQEVAQLDRGAAAARLKLASAEV KEKDALLKSNSNYASVYGAQLEAARAKEELAQLELDGLTLRAPFAARITALPVAAGQF VLKGTTIAELSDTSSYTSLVPVDRRSAAEGGDLKVFVEEQEQAAKVQSILPLPESYQS LRELAAPFAAAWITVPNPRGDLAAGLRVRSATLPVTPLATVPKDAVRPAEAGAKGSMV QVIRNEYVTNVPVEVLGKVSSERVQVTGALRSTDALIVSSSVALLPGTLIRFSQAPPQ GVEGTTPDPTRRGVPAGVAPPSASTPPSPIPPRTPPASTNRTRRPQAPAQGGSPF OJF2_RS10085 MARSGLLELLTGPVTMVNYLSNLLFFGLLIVGAGITVAIASANV AFAVIFAIAWLLFDFILSSSIRLAAQWEKAVVFRLGRYHAIKGPGLFLVAPIIDEIRV VDTRVLAVNIPKQQVITRDNVPVTIDGVLFFRVSNAAEAIIMVQDYKYVISQYAQTSL RDVIGQMSLDQLLTEREEIARSIEKHVEHDTKGWGLEVTGLRIQDVDMPEELKKMMSR QASAEREKRATITKAEGDKEAAANLAIAARTMAESPGAMQLRTLQTIDGLGPTASNTV VLALPVDVIEGIGSLKTMLRHPSADTMPGPQA OJF2_RS10090 MEIHISASDGVPIYLQIVNQVKFLVAAGRLLAGEELPPIRVLAE RLVINPNTVARAYRELEIEGVVEKRRTAGTFVSEGATRLDRRERIRLLDERIDALLAE SRHLGFGVSEVVDRVRERDEAMQRGRAEERS OJF2_RS10095 MSEDRVVSIAGLSRRFGRKLALDSVDLQVPRGVVFGLVGENGAG KTTLIRHMLGLYRCQAGSVRVFGRDPVAEPVEVLRRVGYLSEDRDLPEWMTVAEVLRY LRAFYPSWDDGFAEDLRRQFELDPKARVKTLSQGQRARTALLAAIAYRPELLVLDEPS TGLDPIVRRDILTAIVRTIAEEGRTVLFSSHLLDEVERMADWIAMMDRGRIVLRGPLA DVKGAHRRLTLRFDEPPQQPPRLAGSLFSEGYGREWTAVCEGPPDLLAREAAGLGARV VGEDVPSLDEIFVARVRSREPVESEG OJF2_RS10100 MRSPAIAIAWQVWSRHRLGLQASLAGLAVMILAYPLILPRLDHQ VGLVAGAILPAILFSYVGNLLIFSDEVGSLTSGYPRHMFTLPIPSRMLAFWPMSLAVA TMTAIWCIVSLLAFRRCGFDAPVILPAIGGGLLIAWFQVVSWLPVAGVVTPYLAVGGA WLFVGAPFLLRANDTLSDATVSALGLAAFPLLYLAGCFAVRHARRGDRWELGVDRMAG ELIRLRERHLSRSRGFGSPREAQLWFDESCQSGFVLGLLTIQNLIVTLFALFSDRGNA FAPQVALAVILSAPMILSASLAGTISRWSPIWVKRRDGIAFLAVRPMPSEVLISTKYR IARRLMWKSWAIAAVFAAALILGRRDAGLDASLAAAFQRALPGWKGCVAVVLGVPLVL VVQWKLITDNLVPAFTGRRWIADGMVFVSTALILGAVAVAVWCAKRPEIIPAVLAVTA WGASVLAAIRVAVAAVALRIALGRGFLSRRGAARMTVAWALAAAATVCLAVVFLPGGM PFVPRPVLVAAPLCVLPIARFGLAPLALDWNRHR OJF2_RS10105 MTNVRLRRREILRQAGSAALLAAGGTIGFPGIARAARLAPSEKV RIAVIGCGGMGTRHIEALAVNPNCDLAAVCDCFTPRYENAVAVVKKLSGKAPDGYQDF RRVLDRADIDAVFAPLPDHWHPLMTILGCEAGKDVYVEKPASPTVAEGRAMVDAARRY GRVVQLGTQQRSMPIFQEAVRLIHEGRIGKVTSATCWVGVNGTRVGFTTGPVPAGLDW DLWLGPAPWAPYSADRQFGFMGCQDYARGGELTNWGVHLMDIVHWGIRQDRPLSVQAV GGLHRGSAGSENYETVDAVWEYPGCTVTWEQRHKNEYNGRSYGIKFQGTEGVLLVDRG SYEVHPESLGIKRVEGEPERSWANPPHHNNFFESVRTRKPPVAEIEQGHRSTTPLLIA GIALKTRRKLNWDAETETFLRDEAANRYLSRAYRAPWHL OJF2_RS10110 MNPIRTRCRAPLFLFALSGAATLALAGDERKKDLVADLAGSDEQ ARAAARQLLPRQGIEILPRILPLLGDETTAVKEAAYQVLLDLANEASAPGRVADRAKA AAEVMGLLGADRPKYLKLRGLRLAAIVLPDSCDVGPIAALLDDPELREYAREALEEAN TAPGRLALRGRLGKSDPDFTCAILDSLGRMRDRDGLAKISEMTSSDDPRVRAAASRAL AWAGDPASLANCREVVAKADAATKADAWDAELRLLNKMALREDSRPAARAGYRELIAA SHGQVKDGALAGLGRIGTVADIPAIASAIRHEDAPTLNVGASAMGCIPGREASQGLAR IYGGLPDRVKLALLPVMGARPDDCALPLIAEVARGGDAAFRAMALRALGASSEPKALE MLRAEAERGDAADRTLAKDVLAAAEARLNRERLARLGSGYGHETDLLALHGVIGRWHV VGPFDLGEKNEGWARDYISEPDVNVVARYMAGKVRRQWKPLTTQDPHGKIDLRANLAD RDKCIGYAYAEIEVDRPTDAVLLLGVDDGEKVWVNGKKVFELFEARGLTVDQDKIPIR LIAGTNKILLKIYQNTLGWEFCARVATPDGQPIPIRQRAD OJF2_RS10115 MSRPAGEELSRRERQMMDVVYRLGRATAADVQQAIPDPPSYSAV RAILRILEEKGHLKHEQDGPRYVFLPKVSRERARRSALRQLVQTFFEGSTAQAVAALL GEPDAKLSDEEIGRLAILIAQARKEDR OJF2_RS10120 MDTPLERIASALAASISDATARATVVLLIAGIVAAVLGRSSASL RHAIWTVALAAAVAMPALLVLLPGWRIGIPLAARIREAASTPSSSFAIPEPEPEKDSP MAGAIRPHPSRPVSEAPISAPPPVPGRGITAGLALIGVWMAGATAALLPTLAARMRLT RIRRHGAIPAGSRVRDIAQHLQGALRVSGHVTLLLGKGGGSPMTWGILRPVILLPADS OJF2_RS10125 MLLHELAHILRRDCLTQLVGRLACAAYWFHPLAWMAAQRQRAES ERACDDLVLRAGSRASDYADCLLTLARASRGQAGLVAAAVPMARPSQLESRVLAILDG SRTRRCLTGRGKIAIAAATAGLLLPLATARLAITTARAEADGSGSNSRAIVSGRVLDA DGNPVPGAKVAIIGRRRLSTLTARTETQEALIGRAESDARGGYRLEIPRPTSLSHYEV HAMAVAPGLGAGWTEIVRDDPAPMADVRLSRGRTAEGRLVDAQGRPVRGVVLRVARLG VPRPEGRGVDGVGFSEAAPRELEDMWPGGATSGADGSFRIAGIGRGTTVWLSIDEPRF AERHVSLKDDGKRERPPETFVLHPGMSVSGRVTLADTGEPMKDAIVEVRAGADVFNAA RVRTRTDANGRFESGPPPGSHVAVCVYPPSGSPHVVFERNVENHDPRKPCVMDVAVPR GVLIQGTVTERGSGRPLPGASVYYENGGGNVVSGQGAIPGWMSAVSADARGRYAIAVT PGKGHLLCYGPTAEFVYETRSDRELRGGTEEGRRNYAHAFRAYEVKLADGRADMDVAL TPGLTIWGKVVGPDGQPVAKAEIISTLYISPFHTTWRGDFTIPVRDGRFEIHGFPPDR NVKASILDAERG OJF2_RS10130 MAKEPPILTLQPGGTAAARIVDASGRAVEGDAARINIVSTPGHG MDYYGRSLNGKERGGLMADEDLYANVDRTNYWDGPRSDRNGRITMSRLIPGATYRVYE LSETLEGPPLYRWRDFTVQPGGVTDLGEIRSGRKPAG OJF2_RS10135 MGFLNGRVTFTRYRVGGDSPLPFSEELLELVGKHAIGKGGIAAA DGISVGWSGGDHVLDVSFDLAKNLVNDSLHLAMRTDTDKIPGTLLKAYTQIELAGIAK NNPSGRPTKAQRQEAKEAALARAQADAADGRFRRMSHFPVLWDGQNGILYAGTASNTA RERLLSLFRETFDRTLEPISAGTLAAAEGDADAIGAATLNLFGGEQSELAAVAWAENA PNVMDQLGNEFLVWLWHTIQNVSDTIPLSDSSDVAVMVAKTLTLDCPLGETGRDSLTD DSPTRLPEAFRALQSGKLPRKVGLILVRHGIQYELTLQAESMAVSGAAFPKPEEQGLS SEDIRSARVDSLRHLSQTIDLLYEAYLRRRNGSNWSKEVSRIKKWLAAA OJF2_RS10140 MADNHSFDVVSEINHVEMHNAIDQAQREIAVRYDFKGTKASLEF DKKENAITVLANHKGQLDTVLQVLKEKMAKRGVPVKALIRGKVEDASHDTVREVLKLH TGVDTEDARKIVKDIKQLKLKVQAQIMEDKVRVTGKKLDELQAVIAYLKEHGPEYPLQ FVNLT OJF2_RS10145 MNPTIAIAILVLLAATALIVRGVEVRLVLLAASVPLFALTGGMV PMLDKVVAEMANAATVVPICSALGFAHVLKLTGCDQQLVRLLLKPLRRVRWLLVPGGI AAGYVINSTIVSQTGTAAVLGPILVPLLLASGLGPEVAGAVLLLGSSMGGELFNPGAV EMRKLAELTGLSGHEAVLRSARLNLLACGSALLAFWLSAPRRDAAQDEAEGRTIADVP EERVNLLKAVVPVVPLLILFADPLLAGYSPIHDFRDPARILAAMLIGIVLAGLTSPRA IRRFGTAFFDGAGYAYTHVISLIVAASTFAEGIRLSGLIGLIIRGLVGSPGLTIAVAA VAPWALAVASGTGIAPAVSVMEFFVPVSSSMGIDPVRLGTLASLGAHFGRTMSPAAAV VAMCATLSGASASRMIRLVAGPLAAGGLIMIAAALLKVA OJF2_RS10150 MSLRSHETIPVEIGLADVEAAATRLAPWAHRTPVLTSTTLNERS GLDVYLKCENFQRVGAFKFRGAMNALLQLDEERRFHGVVTHSSGNHAQALALAGRLLG VPVTIVMPRTAPAVKMAATEGYGARIVFCEPTLAAREAAVAAEMREHHLSLIHPYNDW DVIAGQGTAALELLDQVGRLDAVIVPVGGGGLASGTAIVAKGRAPAIHVIGAEPAAAD DARRSLETGSIQPSGDPRTIADGLRTSLGARTFAVISRHVDEIVTATDDELIEATRFV WERLKIVIEPSSAVVVAPLLRGGLSVPGERVGIILSGGNVDVGPFFDLLSKRH OJF2_RS10155 MPPTMVQIKLPDGSIKEFPDGIRPRDVAAGIGKRLADAAVAAVA DGTIVDLDRPLENGTTDAVEMRILTPRDREALDVLRHSTAHIMARAIMRLFPGVRLAF GPTTANGFYYDVEVDGRSLSESDFAAIEDEMAKIVKDAEPFERFSLPVEDARQFVADL GQDLKVEHIDGELHKYGILSFYRQGEFVDLCRGPHIPNAGKVGAFKLLSIAGAYWKGR TDRKMLQRVYGTAFFDKKELDAYLAQVEEARRRDHRKIGKELGLFTISPLVGKGLILW MPKGATVRGLLETFMKAELLKRGYQPVYTPHIGKIDLYQCSGHYPKYRDAQFPPLKML TDDAAKELLDGLHAGTVDEAAQRTLLARAGIPERLPEKPLDGESPRAYSKSFFEMTDG EKIAYLEANCDYEEYLLKPMNCPHHIQIYAAEPRSYRDLPLRLAEFGTVYRYEQSGEL SGLTRVRGFTQDDAHLFCTHDQVKDEFKSTMELTQFVLSSLGLGDYKIRLSKHDPSDP KFQGVDGDIWRRAEDEIAAVLDEMKLPYFVGTGEAAFYGPKVDFIVRDCLGREWQLGT VQLDYVLPERFKLEYIGQDNHLHRPVMIHRAPFGSLERFFGILIEHFAGAFPLWLAPE QVRVLPISDKVADYAGDVLHRLLAAGFRATLDHRPEKINAKIRYAQLEKIPVMLVVGA KEAEQEAVAYRDRTAGDLGAMPLAQAIARIQREVEERTMPQVAPLAAAAEAEEKAEGH EY OJF2_RS10160 MMDTSFRPLRIKGKELIPIVQGGMGVGISAHRLAGNVARLGAVG TIAAVDLRQHHPDLLERTRRCRDRAAIGEANRVALDREIRAAKEIAGGRGMVAVNVMR AVSDYATFVRQSCESGADAIVMGAGLPLDLPDLAADFPDVALIPILSDVRGISVVLKK WARKERLPDAIVIEHPFFAGGHLGATRLEDLDEPRFDFEQVIPATMQVFESMGLEPGR IPLIVAGGINSHERVRQALSLGASAVQVGTAFAVTAEGDAHLNFKRVLAEARPEDIVT FMSDAGLPARAVRTPWLGRYLDRVDELQAKAHPRECRVGSDCLLACGLRDGNPKAGQF CIAYHLVAALRGDVEHGLFFRGGEPLPFGDAIVPVADLIEYFLAGRRPAASAATTSHA ASGRVEPAIPAAAC OJF2_RS10165 MGLNDLRREFQRATLSESSLDPDPINQFRKWYEDAERAEIPDPN AFTLATATPDGVPSARVVLLRGCDGRGFTFFTNYESRKGAELAANPRAALVFLWHELE RQVRVEGAVERVSAEESDAYFASRPAGSRLGAWASPQSAVIPGREYLEQRTRELEARH PDGQIPRPDNWGGYRVVPASIEFWQGRPSRLHDRLRYARAGSAWRIERLAP OJF2_RS10170 MRLLVPVLLLNLAALRHEATGQETRSAEDLAAAMESQLRGELSA HWYPHAVDRRHGGFHEEMARDWSIRAGVSKSQVYQARMTWTAAAFADHDHSRRDEYLG YARHGLAFLDEAMRDREHGGFHWIVDARGNLDPGLGDEKHAYGMSFVIYAASKVRSVG GDERALKVARDAFDWLEAHAHDRDHGGYFEALKRDGTPILTAQPGSPGRDKDRLGIAY GRKTMNSHIHLLEAFAELARVDDRPVVKQRLDEVFHLVRDRIAAEPGLLNLELTREWK IIPSHDSYGHDVETAYLLVEAADVLGMPSDGATWKVARSLVDHALAGGWDDRHGGFYD KGDVATNHAFDLTKVWWTQAEGLNALLLLDGKYGRETDRYHRAFLKQWDFIRSCLIDP RYDGWFSETESDGKLRGDGAKANPWKANYHTSRALMNVVRTLRNPPPGH OJF2_RS10175 MSHGSAETRELGHRSGADASWSPAERLFNVGALVDGRYEVIRPV GRGGMGWVVEVERLDDGRRLALKYCEGSSLRGKRLVREAKILGSLRHPHLLPVLDARL DQEPPYFVMPLAAGTLEEDIRARRRGGIAWSLSVLRQVCMGVQALHWAGVTHRDLKPA NVLRLEDGRYVVADLGTAKREPRDSTILTRTCAILGTLCYLAPEQLLPGGSRTADART DVFQLGKMLYQLVTGKLPAVIEATALPPALSHIILRAVAQRPDERYPDVASLLDAIDA CRWNAPSRLAGSPSDILEVLVARHQGGDDPEAVRREILHALLRLQGEPPAVVLEAIDR LPRRLLVELGERGDPAFVRALGAFARALEHAAGRRPFDYADLVVARMRAVFQASDSAE IKVAALRAILIAAVVLNRYAAMGHFKALIYGVQSSELAFLAAEMLRENRDYFQEVATH LNATRLHPAVLAVVDDLDWIDTVSF OJF2_RS10180 MSAGHGPQHADGELSSFLARIQAGDGNAARELLQRYESEVRLVV RRQLPRLLRSRFDSLDFLQSVWGSFFRRMREAPTAFEDSRHLVAFLARAAKNKVIDEY RRAASQKQDMHREEPLWSEGDRPREVPDRVDSPSEVAQAREVFGMLRDLLPEERRTIL EMKAEGLSSRDIGEKLGISERTVQRVLEDLRRRVESEWESRG OJF2_RS10185 MNAAASGRTWDDASSPAAVRLARRYEEAWQAADRGGRRLDPRGY LADAQDEAGATLAILRADLSLRWEAGDRAGAAWYLERFKDLGEDSVVALLYEEFCLRE EDGERPDPASYLARYPALAEPLRRVLDIHELIGSATATNSILGAPSMAGPGGRSATDE PPYPEAGQTIAGFYLVEELGRGSFARVFLARERELADRPVALKVARRGSREPQALARL QHTHIVPVHSVREDRATGLHLLCMPFFGRVTLSRLLQEVRGAPSPSGRAIVEALDRLS GGDDAPPTHARSACRDALASRTYAQAIAWWGARLAEALDHAHDRGILHRDVKPSNVLV NDDGMPMLLDFNLARDGRPGDGGHGAEVAFGGTLDYMAPEHLEALADEASEGVDSRSD IFSLGVLLYEAVAGVKPYAPPRKNLPIYDSLLRAASDRRKECPVRFPEGLPSPVPASL AAVIRRCLEPEPADRYRGAGELAADLRAVADDLPLPHAREPFLSRLGGRLRRHRRVIF VAAGVALAFGGLLGVYTMYQVDRQDRYDNARAYYLKGCVAIDEGRFEDAHNWLEAAGD AARFNWRDTIRGKLRWGTFSTFGGQLRKRLENLWAGPSMDDLELNIEYKARMADLIGS TRRDADSLHAATENLRFRLIGLGDDKPAAIRDLKGLMEPFRVLDCREPGVTYERQMAL LDEDHQRRLTGDVNELLFLWMVGVEEAFRRSSAGPEPAAAADLDRLEDALAVCDRALT FAEPREPWLSLRDLLAQHATPRTGMDAPGGGGDPRLPGEPRDIASVQSPNACFQWGLL NSSEGRRARAVEWLQQAVRLDWSSYWYHFYLGYLANNEGLIEDALGHFSNAVACRPGS AWVRFNRARLLRAKGRWGPALDDFLEARKAWSGTPNAFRVSLELGVLHTQLGDFAEAA GQYREILAGAPGTELARAARLNLANLDAESGREEAALATYDSLLEHDPADHSARLSRA YLRLRMGRPAEAIGDLDLLVRAGPPDASAGELMASRATGMLLLRRRDEALEDAAAARR LRPCPAADRLYERALLAAGRFGQVELGRVEEVRLLPVGGSWLRSDLQKAAVALEAEGR AAGPGAPRAARSRAIILSALGEHAEAISAARQHVEVEGRSPEAHLILARVLLNAGRAR QALQRADAGLLLQPRDPEMLEVRGVALARLGRLEEALESLGQVIATTPSAYARARHAE VLQVSGRHEQAVGEWTNVLRADPEFDEAYLGRARAWVSLRSWDRAIADLETAATWSHG HLEQETKVLVAYAACLRERPGHSERWLALLQRTAKGWLRGGTAAWRPTVVAATKR OJF2_RS10190 MLLATSLAGLPVPSVHVSVGLQSPDVDGPVPALPFQWESVGSVS VVKTTSHPPSITTVRVSQPAPAPEIVLAPEGDSSAVGPAILLSSPWTLPNDDVPLEVD DPANLGLPAPMSRGEILAVPELTEGSEVHLSGTLGPDQMKATFRMAVGPTTQNVKIKL ASDPGSPGSSRPAVEDILLTDARGQILAVILGAYEKWLDAPSEMTVALSHIPRGADLI IRIIQAPVSPGPSAPPSDGSAGDLTFTMDLRRTEAIGLLPLPTQALTDAFFSPVNLVF PLNSPPGLMGTPAARSPTPSLGSRDEAASNWASDPPATNLASESSPSNEDALGVSVGP LVSRGSSAVGPALATTQDEETTPVDRRGGAFDLASWPSATAGDGVAMREATTPTADGW PAGRSAAHPARRGRVREGIELLRGPGGLPLLASSAAGTGDPGDPSELIATLPESAGHP RMTEGDDSPIQACRDAQAPPHRREKKLGLWAALWGIALGVTLASTPHYPDLMGRLRFR MTRIARVAARGGRGKRRPR OJF2_RS10195 MMRRSSGMVAAESTFAARHGRPAARVFAIVASALSAASLAGAAD PPARGPEAIRDAAAIQPAAPVVPGDVVAAMQEGKYDAAEGLLATLAAKADAADDRAYL NYLRAVAQRLAGRRKEAIETLQSAMKASPGGSWVPKIRYELAGLEMAAGNLAAAEELS RNEAIRLLADDRKDRLAEVYHAHARHLLQPDDPILQPDPNGAWELLNQARDLAKSPTL RAQLLFSMGKASQQAGNDPRAIENFQAYLREYPGGADRFAAKYRLGEVQRHTNALLPA RLTWTDLAREIEKLPPQQRTRDADSIRADALAGIPSTYGIPTPQDDANLSLGVAALRR CLAAYPSHRGAVRAAYEVGASYLARGKSDAALEAFRQFLKGDEFRVESDEARRDQAEL LMTATFQSAQILQGQQKYAEAIAGWRGYLGKFPNGPQSADAQRAILDTELLVAADHLA RERYAEARAAWNAFVAGNPLDPRVPELLFQVGESFAKEKKYDEAIASWGPLTTKFPAS EPAAHAQFLTASLFESEKADPAGAIERFRKIAVEPWKSQALQHVAVMESLALAVMTPR AFRTGETPGLKITSRNLEKLTFTAYRLNAEAYFRKKHGLGNVESLDIGLVAPDAEWTS EVPGYAKYRPVEATYELKSLERPAVYVVKVTDQKHLQATTLVVSSDIEAVLKTSRDQV LVFAQDMKTGKGRPRAKVLLSDGGQVVLEAETGADGVLIHDWSPARPGSSHLAYLILD GGNVAGSGLAVPEKVSQGLSPRAYIYADRPAYRPGQTVAVRGVVREVQNGQYANVPGA LYRFEVTDSRGRQLVSRNVTLSEFGTFRESFPIDRGAPVGTYRIRAYQPGKSEFSGAF EVQSYQLEPIELAFDLKKSIYYRGEAVETDVVAKYQYGAPVAGRPIEVNLPDGRILHG ETDNAGKFHLGFSTDAFAEDASLRIVARLTQDNVEAGAVVMLATRGFDIGLRTRRDVF LDGESFPVTATTTDAKGAPIGQSLSLSVIKMVTQAGRVTEREVERKTVATDAKTGTAS LTFRVDDKDGGSFRLRAAGSDQFGNPIVTDHAIYISGKKDENHLRILADRQQFKLGEQ AEVNLHSRGRAGTALLTWEADKILSYKLVTLKEGDNPLAWPVDGPQFPNFTLTASRMW ENTFDQAKLDVQVERELTVTVKPVRPTVGPGEEVELEVTAADQLGRPAAAELSIAMID RALLRLHPDRMPAIASFFYDQTRTGAFSTESSNTFRYVPATVPVARAVVDEAERIAAV AANAADRKDVQQAAQSQVALSLPAPSAPGDPDRLQAKGAMMGGYGGAGGAPAEAAGRR ELASKRRSAGARDKRKADVSKDAEGSGYFQQLRGEAGEASAEDFDDRVALGDAPSNQP GSPRQRFVETAYWNPSVVTGKDGKGRVAFKAPGSLSDYLITARGVTGGDTLVGQTTTS LTIRKDFFVDLKAPGALTQGDRPRVIARVHHVGVIGTVDLRLTVYGGGREEVFPKALE IKGDGVDEVLMDGFETPDTDSVRLTLAGTLGASRDEVTAEIPVRPWGVQAYASESGTT SDGTAVFVGLPKGRAYESPEMVVLISPTLRRMLIELAMGRESRVDSPGLSCRIAPPPA NTTADRAADLLAATSALAYLRATRSAGSPDVQRITSRIQGLVAELTAAQNEDGGWPWV AAGPIPRQNEKAPAAVGSERLTSAAVFWSLSAAEQAGLVPDAKVLDKAAGWLTQAVTA GTSSRDLDARATILHALSTRHAATFEMANSLNRERQVLSNAALAYLALTFANLSRPEL GGELAAILVPRAKVEPTAPGRPSRLYWEGSGQSANARGSVETTALVSLALARVRPNGN ELDRAAEWLHAHRFGYGWNPHRAKGAAVAALALYHGRSKGAEDRYRLTVTVNDAKVAE LDVAGAGESREIRVPTKALKAGDANRVGLAMEGRGTFSYSVTMTGFSRDFGPDQDRTN RPAWVDRRVYWPAPRELDGKVLPSNFSVAVNPSTWENTISQVPLGGKSRVGLVVWRNV PDTTPEWERDFLVVEEQLPAGTTLIAGSVITSASSYTLADGVLTFYFAPDQNPGGIQY DVYGYLPGQYRALPTSVRSAYDPGRSHLGQPSDFKVLSPGEKNTDEYRVTPDELYAAG KIHFDAGRYAEAAASLEPLFAAYTLREDVLKDAARMLLLINIAPYDARKVVQYFEVVK EKAPELILDFDKLLVIGKAYRDINEYERATIVWRGLIEASYLEDARVGELLRQRGKTL EATAYLIGLWRTYPNTPSIESDFFGLSQILAKGAAEAFTEPRLRAELAAAGVTRSQLL LQTIRMVQVFLSQSPTNPMADEASLALVNAFIELEDYEGVVKLAGRFAATYPRSSYLD AFQYSEALANFHLGRYDRAVEVAEKISRAVYKDASGVDQPSPNKWQAIYILGQIFDAR RVPAKAVDYYKQVADRFTDAASAIQFYTRKELRVPEVSIVRPQPRPAVAGAEGPGPRP PTGLRAVGLEPAGAGVEGLSKPGIKLEYRNIAKAEVTAYPVDLMQLYLARRNLNAIAG IDLAGITPLVEKSVVLGSGSDYEDSSKVVDLPLTKEGAYLVMIRGDNLYASGIVLVSP IDVETLEEPAAGRVRVTVRDARTRAALPKVQVKVIGTNNGDFFSGETDLRGVFVAEGV QGHVTAVARKDASQYAFYRGTNYVGSPPVPATPPPAANGQPAAGAADSPINQALDVNL KIQNSSNYMRQIQRLENRYNTAEPGKPRGAAAGGFR OJF2_RS10200 MMSLEGLSRITNRPLMEEVPARRACGGRSDWASGIAELAAREFG AAVGIFDRGRRRWLLALGVRDYDLPEVRPELLDALDAPALRQGRASVWHPEDDPGRAW LLLPLSAHEGSEVVLFAGFRSSRAAELAASPGDPGATSLRRDELAWGPACPEPALRAW GQEFLARLKGTPYHPASQQSGPAAPDDEQPDRAVISRLIRRMRVSDPPARFQSLATNV LRSSLRVAAVAWLPAERDGQGVVSGSIEGLHDGALRRIAAQAGRDAGYLFNDAPGPHP GRGAGALGRYACMPAGSAGWLIAMNPLDDRLFDAYDVDRMQFVASLISTQSSNARIHA ELKELVFGIILALSTAIDAKDPYTSGHSERVARIAMRLAEELGFPPDKRSDLYLAGLL HDIGKIGVDDVVLKKEGPLSPEEYRKIQAHVEIGVTILRDLKTLHHILPGVRHHHESL DGSGYPDHLSGEDIPLEARILAVADSFDAMSSNRPYRKRLTPGQIDEVLKKGRGKQWD ARVVDALFACRMDVEAIRQKGLGESLVGAVDHTLGRH OJF2_RS10205 MPGRRHGDESSSMDLKDRTNARKPGGGPEGGGPRPVGPRRYESD AGPLPWDAWNLPAESAESLSNSAESTVLRSPFSPRCLRRSDLPHSPQHPQIKGSDAAS SASAGEPPAGSNGVRLGRGRLPSVGDEIGGFRLVLELGRGAFARVFLAEEARLAGRLV AVKISRAEGDEPRMLARLHHANIMPVHSVHDDPATGLRVLCMPYFGGANLAQVLNHSW GFAQPRPSGKGLVEAIDELSHRIPEELRADASFSRRCRSRTSPSSRAGGALQPSMRAH ACANPAEPVRASERFPSGFRSLVGRLVGGGQSSPLPAEAEKEAFVPSRMFLRNADGLH AAVWIAARLAEGLDHAHSHGLLHRDLKPANILIAADGTPMLLDFNLAAVRDEDGRDGD GASRAALGGTIPYMSPEHLDALDAAGTTTPDQVDERSDLYSLGLILFEMIAGVHPFLE ILPGAGRETVVVIRQMIQERSRTPVPSLRAACPNVPWSLDALVAKCLDPDPGRRYQSA HDLGEDLRRFLDNLPMKHCPEPSVRERAAKWARRHPTLASSTSIACLCVALVVVLGGI GWKAYDTMLGLSARMKLRAFESASIEAQFLLSVGSEASMMIRGMEKSRALLESLGIPG PDLEAPMGGHQAPPRGSTDERGRVVRLPDWVERLTPEEAGRVRQRAASLLILHARAGV TWTALRGSAEDRRLALSRGVELLEMARTLDPELAFPVDRDRARFEAALEGRHDEGTRE GEQPGRRPTSPEAWALLASSLLADGEVAAAEAAIREALAQDVTSLWAWFALGHCYHEQ RRYLEAAGCFSACVALGPGYPICHFNRGLSLARGGRLLEAVAAYDHAVRLDPSLVEAR ANRALVELELDRVEDALADLRVAERAGCREPAVLAALGESLARLGRPDEAEAWFSDLL RSNPADAVVRIARGMSRLSADPQGAMADFTAVLARDPRSPLAHYGAARVLRRHDHRGA ISHLNAAIEADPDLIDAIELRALERGHVGERSALEDVDRLTHAATPRRLYNAACALAL YGNASGDAVSLDRAIEILSEAIRAGFPPAQAARDPDLAAIRDRPEFARMTGRPAAGKP RSGPPRA OJF2_RS10210 MHRHSRPTGASRPILARVMLPLVVAAGIGTVAAASPRAEAQITF SRTARADPASQETIAEFPVGESGGLEPRKGTAWKVHYARGLHKGLYITGAWYRRNLEE DWIKILGEVRVAELFVPYHHSSYIRYFDLTGFSFPMAQVREEDAGATGMLLPPFPGDS YPTVVREVRDRGVVWKDYAHGVRRGRELVIWGALEAGNYMYLMSYGFHDDGAVSLRLG STGQNLPGHRGEAHMHNTHWRIDMDLIDGRRNSAMVMRHVEDPAGPGAEDLEEPFNRG FEGGIDWDPREFTMVRIASDRKNGRGDTIAYDLHPLRMGSSRHKEEFTRHDFWVTRAH PERPLENIFSNLPNMVKDEETIELADIVLWATSSAHHEPRDEDGKPGSGPKFWPGDDA WEGSALVMWSGYDLRPRNLFDRTPFYPYTPGPQVAAGAGGTPAATDEPRRSSARSSGP EAVPADERPR OJF2_RS10215 MLSTRCLPWRRIRPLVVAAAFLAPASVGAQGTPAPRAGLSPQQV QGAVRSAREAIEQSRKKDDEPERRDVDRRQYVVGVEVVDLKQPPAPGPAEASASPPRD ADREEGEAEARPSAPAAPRGGILALVTTYRYRDDVTIFATVDVATGKVVRMEEAAHLR SALSDEEYEDAQALARERSDPVKALFEKFGNKLSVYPQFSQFTVGDDPRIHRVVYLTY RVGTKDLSYPRPRIDLTTREVTTAAPEEFPQPRKPR OJF2_RS10220 MAQEAQAPLNFEVVVPRQASANRGPRNNFRDFNEVTQGAEKIEG LFNLYLTGDHLYMEIRPDQYNQPLLTPTTIARGMAQAGIPVGDDERVLVLRRVGDRVQ IVRRNIFFKAPAGSPLEKAVKQNYTDSILMAVPIVTQNPMRGNAALIDLTEVFMSDFA NVGLGMPDRSRSHWTKAKGFPGNVELELEATYGGGFRSRMSGGGEVADPRGVTLVLHF SVIKANEMGYRPRAADDRVGHFLNATKDFGVTSPDSNFVRYINRWRLEKSDPRAKLSP PKKQIIWYVEDTVPLEYRPYVEEGISEWNKAFEKIGFKNAIAVRWQESDRDVFDPEDT NYCTFRWITSDTSYAMSCLRSNPITGEMIDGDVVFDASFVRYWKENYALLIGSTAAAG GDPHVTPLAFGEVISPILASKMGFGQPGGGRLPGVAALDAKPGQMVGDVVPAEQNFLQ WQLASKNAFSRRGLCQLQTGFQNDLGFAAVALADPPKPSAPPIEKDKDKDKDKDKAAK KPETKPELPEEFLAQAIKYITMHEVGHSLGLRHNFKASTMLNEDQLHDTNLTHARGLV GSVMDYCPVNIAPKGKKQGDYYPTTLGPYDYWAIEYAYKPADGDEASELKKIASRAPE HDLVYATDEDAALNDDPYVNRWDMGSDPCAYGRDRIQLASDLLKDLDARVVKDGESWA RTRKAFGILLSQWGDGATLASQFIGGQSVSRDHKADKDARDPIVPVSGAKQRECLKFL SDNVLSDKAFQFSPALLRRLGVERWMHWGDENGYGPAVDISVFERILGIQKIVLAHCL SGGVLARIQNQQLQADAGSDPLRMEEVFRSLTEGIWSDIDKVPDPKDAKAPKVVLSTV RRNLQREHLRRLSNMVLGDRPGSASDSYTFVVFLSGGGRNVPADARALARLHLREVGQ KIGTVMDTKAGSLDDTSKAHLEECRHRIAKVLEANLDIKEP OJF2_RS10225 MVATGERKGKLAVDETELTAVRAHYRAGQFLKAYEAARAIGPIE VWGGTEARLLGGRLAHHLGGSRLGTLLHLRAYRDDPGHPEACYYMARRLLTSRGPLPT WEFLRRVGPLDHAAEDVRADWLALHGFTLGSLRDFDSAEAHLERAERLTPERPWLLIE RCSLLEMEDRYGEALAAADRALGLCPGYVPAIFSRSHMLQITGRQEEALAFLAGAVER VESCVVAAMLSALEYESGRFDDARRSLDRYEALAPLIEKPGRKWLAGRRSDVAYRLGD RVAAAAAARESGEPFFVAVAARIADESIAAREVRLPVGFVRQHHQTCAPATLTALSAF WGKEASHLDVAGEICYDGTPDHRERGWAESNGWAVREFTVTWDAAVALLDRGVPFTLG TVEVQSAHLQAVIGYDAVRRTLLIRDPSLPHEGEALADPFLERYRSVGPRGMAMVPMD RAGLLEGLDLPEASLYDSLHRLKASLDSHDREDASRILESLIADAPSHRLTRHARRML AYYDCDPSAQLRAVEDLLADFPDDVNLRLVQLGCLRELGRRDDRLAIYRDAAAGDRPD PTLLREYARELLADAREHELAGRLARRALRNRRTDASILNVLARIAWDARRRPESLEL SRFASCLEDKDEYLASTYFQAARHLHREDEPLRLLEARVRRLGALSSLPSRTLHRALV ELGREEEARGVVEAAIAARPNDGDLLLYAAEHDASIGEADRAAERLERARGNCRRTDW LHASAGLAWSRGDLAAALSLWREVLQVEPLATDANGSVAQLLAETEGRPAALAQLAAA CQAFPFSCALHRLRIGWMRDEAPAEAEAAIRQLLHVHPADGWGFRELALALGRQDRHD EAMEALETARALEPSSTNEASVRGALLSRAGRTEEARDAYREAIRRDVDNDWAIDWLL ESAGTQAERREDLEFVGRELERQVTFGDGLLAFARRARGTLDGYSILEFLTRALDARP DLWQAWSALIRERIERDEAGEALDLVARATARFPLLPKIWLDAAAAHAARGDAEAERD AIRHALRISPGWGEAARTLAASLEREGKYDESRPVLERALASSPLDAYNHGFLADTLW HLGEKDMAVERIANALRLDPGYDWAWSTMEDWTRELGRSTAVEALARELTQQRPGDRR AWLALARVLDGPEALDERLEALETATRIQPLAVEAYDLKAELLAGAGRFDEAKAACMP AALGDRPPHLLRGRSAWVTAARGDLRAAIAEMREVVSHNADYGWGWLRLSEWTRQVGT DEEYLRAAEGAARAAPEWPVALGYCGEARARNGDRAGAKASLRKALELAPGYQFARME LFDIELAERDFAGASETLERLKGNGEEADPFILSREVQLNAARNDRSAAMAGLSRLIR TTAPEGDWPWEAADQAVVKAGWADAADAVYFEGLHRPDLARVVGRLWANRWIGRRQWR RRRQLESILDCGGDAAHEALTAYLSGLGDCWSVLRTSRCIRRHGERLRSRTSSWGTAG YALIRAGRHRAAARWLADWPEREDAEPWMLLNAVIAHRSLGQVAEAARVGRHSVSISP EGRNPHHQLWLVVDDLIRGALDEARRVLATIDGEGLDRTAAYLHALANIAAETMLAAG DVDSGGRRRAARDARVRIRGLNRGAAISPDFHLAVMRTYSRLLRALAARIGPWHGAVL RLSLQGSVPQAAARR OJF2_RS10230 MQRRGLPGATPAVTTWLLGMTLLIGLAARVSADEPWLGPYSGPS RDDVDASTLDGKVLCGYQGWFNTPGDGWGSGFVHWGRGLESPDRRHFTVDLWPDVSEY APEDLCDIPGLKMPDGSPARLYSAFRKGPVLTHFRWMREYGIDGVFLSRFAGEAADKN RARHVNQVLANVREGCHREGRVWAMMLDLSVGRGLPTKAVMDDWKFLCDQVRVREDSR YLRHQGKPVVLLWGLGFQDRPWTPEQGEELVRFFKEDTKYGGVYLIGGIDPHWRTLKG ASRRDPAWSAIYRSFDAISPWDAGRYRDDASMDRLRKDVWEGDIAELKPLGKGYMPTA FPGFSWDNLMRKKRGTTRIPRREGEFFWRQFAIFRDLGVRTVFVGMFDEVDEGTAIYK VTNSPPVGPYFLTYEGMPPDWYLRLTGAATRMIRGEIAASPKIPADLRSTLP OJF2_RS10235 MTAAGCRPHTSIASRMALLGMLAWGTLAGPLRADDWQAMESRLV AVVRARFYDKRRADDWAQSNAHFSRDVRDAAGYDEAARAAVGRLRTSHTAYYTADEPR YHGLRSIFRGPMGLSPRDVEVDSIGVDLAAGGFIRVIFAGSPASRAGLKRGDRIVLAD GKPFEPIASLRGRARSPVKLSVQGRPGGPLREVTLMPRKIDPKREWLEDQEKGARIAE RGGKKVAVMRLFSAAGEEHEAVLRNAIAGDFAAADALVLDLRDGWGGASPSFVNLFNR TPPVVEMTERGGSKAIHDTQWRKPLVLLVNEGAKSGKEIVAYAVKKHKLGTLVGMRTG GAVLAGTPIPLGNRALLYLAVADVRVDGERLEGFGVSPDVVVTEDLSYADGRDDQLDR AIDVAAKP OJF2_RS10240 MHRSETHLRLRPIAESLESRSLMAMVFLLNGNGYAQASPSIQTR VAAADLARRGHTPIQVSYASMTGLGPYLALANSLVRASQGQPIGLIGFSAGGTLALRL ASYPGLRVSSVLNFYGPPDLAGWLSYHGRDMYARRISQNIDGSRAFIDAMSGPGDSSA HLVSVFGERDRNVDAVSSASSFRQDFRSGVVYTYAGNHGVTIRAQPTAYQDFLSHLPP VTPAASSSPWMSRFRLNRDRVVRPSLPAADRGGQIWVLPGKPA OJF2_RS10245 MKRGDANPGRSGSHEDESSAALRALRGHMDLDEVEAAVGVYRQA RERLGPWSPPPRDWMDLIKAILAAGDRENAVQVMEDYVNGVEAPSPRIQLKLAQLLIQ SESRPAQALRILDAIPTGSLPEPLEALRGRLRTIAQAMRDDGPPELEPLR OJF2_RS10250 MNLQTPAKDEPGAPQPRPGRAANPAEPGVGDLLPYVAPMFAFLA LTSLEAYLPEGWYPLAYAAKVAIVSMVAIYFRAAWSDLRPLPRIGAVTLAVVVGLIVY VLWVRLDGLYPPLSFLGKRTGFDPTAIPSGGRQAFIAVRLFGLVLLVPLIEELFWRSF LMRWIINPNFLEVPVGRVTPAAAGITSAAFALAHPEWLPAILTGLLWAGLLWRTRSLF ACFVSHLVANLALGIHVLSTGEWRYW OJF2_RS10255 MNDPEPIQAVVFDLDGLMFDTEALFFRVSSEMLAARGKSFTPEI MGAMIGRRAADVGHVLRDMSGLEEPAEDLLTEVRRRFNDEVDTAVHPTPGLIALLDRL RREGLPLAVATSSGRAYADRLLEGHHLADRFRFILAAEDVTKGKPDPEIYAKAVRRFG VPPASVMVLEDSPAGVASARDAGTFVVAVPHEHSPVEGLYSADLVVRRLDDPSLWTLL RRDY OJF2_RS10260 MNLPPIARVRRRYDQPEVADVAAEVTRAVRESQLATRVLRGGRV AITAGSRGIAGIDRILRAAVDAVRSLGLEPFVVAAMGSHGGGTAEGQRAMLAEFGVTE VAMGCPVRCEMETVVVGTNSFGLPIHFDQNAFDSHGIILLNRIKPHTSFTGRYESGLL KMLTIGLGKRQGAYQVHKLGLPGLKTMLPEVGAILLKRTPVALGIAILENASEHTAKV VAVEPEELLEVEPRLLDESRELMGRLPFDQMDVLVVGELGKNYSGTGLDPNVIGRQRV ETMPDLPRPVITRLAVLDLSEETLGNALGIGLADLTTDRLVRSIDPVPMRVNSMTTNF LTRARVPLSLPTDGDVLGACLDTCWRSSREEGRLVMIPNTLELTTLWVTRPLAPDVEA HPELEFETDFRPIPLDDDGNVRQELLFPESVRGRRARGPARESAGPGLAISRPGGRVG SKP OJF2_RS10265 MNKFTSALALGAGLVLSLTGPSAHASSTTGTVVQQLSSAEISAS TFNSLFTPIANAAPIKSSFQFMNTTTTGTMESQVFKGKDGTSASGLYAYAYQIAVNNV SDVNGQPTSVNSASFAYNATPVPAALTAGATPSAVYVSKDGQIGGLDLSQAGTGSVIQ TPTSVAWMPGSKTGALTLQYLDAAKGTGPLPAGSNSATVIVLSNQPFTTQPVSLQNAN PQIAYPSAYSAQGGEISEVPVPEPAAVLAWAGMIGAAALVRRTRKARAAA OJF2_RS10270 MTEHLVEHLGYVGIALILVLGGLGLPIPEEAPVILAGVLSRNGK MIVPFALAACLVGVLLGDFIVYGLGYYYGEKVLKLKLTRRLLTQAREAQIKGYFHRHG FKILILGRFAVGFRTAAYLTAGIIKLPPLKLFLTDLVAASLSTFVMFGLAFVFAQQIE SGIREAQQWFAVALAVAIGGWLAYRHYKGQKRAGLPVGPPVLYGEEPPLPPDDLKTYP EDNGREVDVLLDGKARSPSASGWRRAIGAAPSPGPPPTAVPPRNSPETPAEPAPAPSG PISSPPGEPEVEPGASATSNGPASASRNGVASSHGDQPIAHAASPKSPPESGSGRRS OJF2_RS10275 MGKTVLVTGAGGFIGSHLTERLVRDGHRVRAFIRYNGRDDWGHL DDLPPDIRSEIEVFRGDLKDPAAVARAAADRAWIFHLGALIAIPYSYANPHDYVQTNV VGTANVLDACRASSKLERVVLTSTSEVYGTARYVPIDEKHPVCGQSPYSATKIGSDAL GESYFRAFGLPLCILRPFNTFGPRQSARAIIPTIISQALTRPVVKLGRLDPRRDLTYV KDSAAGFAAIVECDGALGKAVNIGRGSDVTIGELVERIGSLLGKPIDVETESERLRPA ASEVERLQAGTALAESLWGWKPRYSLDEGLEETIAWIRANLHRFRADGYTT OJF2_RS10280 MIINWTPLADIVETHDRFLITTHVRPDGDALGSEVGMAGLLRQK GKDVRVVNASPTPPRYDFLDPDRTFFEHYGHPIQASDLDDREVAIILDLSAWGQLGEM AEFIRGFRGVRVVIDHHVSQDDMGATFFKDSTAEATGTLVQSAVAALGGSLTKDVATG LLTAIAMDTGWFRHPSTRPSTMRGVADLMEAGADVAGIYRKLFEQNTQGRLRLMGRTL SGLKTDLGGRVAWANISQDDLRETGAIPPDSEDLIDFTVSLRGVEVGLLLIEQARGGV KVSFRSRNGLDCSRLASTLGGGGHKAAAGATVGGTMAEVEARALAAVRQALDSATVPS QT OJF2_RS10285 MTRRDLYRLGSRVLGGAIKLAVAVPALAFLVSPLRKKVAGQGGA SDSGGSMETLTSLSQLKVGVPRSFPVIRDRNDAWVTYPSEPVGSVWLIRQPEGAKPEV IAYSAECPHLGCAINLTTDAREFLCPCHTSAFDFEGKPKNQVPPRPMDRLDVELTAET DPKVRVRFQKFRTLAEEKIPLA OJF2_RS10290 MRNPLADWINERTGYRAFARTFSDQVMPGGARWRYVFGTTLATV FLVQAFSGLMMMLAYSPSSNSAWGSVYYISNVMWMGWIIRGLHHFGAQTVMVLLGMHL VQTLLSGAYRKPREVNWWLGLALFVLVVGFGHTGYQLPWDQKGYWATKVVTNIMGGAP VVGPYIKTIVVGGNDYGNQTLTRFYGLHVGVLPVLLFLCLAAHVYLAKRHGLTPPAHV APGREENYYPAQTFRNTAVSALVVAIMMGLVLYHGGAPLDAPADPSTPDYPARPEWFF LSLFQMLKSFPGRLEWVGSIVIPGSILTVLALLPLLEKVLPWKVLHFLACMFLFVLVG GAGYFTAAALWSDAHDEGFKEARRKADAAEARARFLASSPQAGIPPDGAGFLLRRDPF TEGKAVLEKKCMSCHVLDGQVAGEQSAADLTDFGSRAWIRGLLEEPSSAKYYGKVAKC DGMAEWKKTSKLTAKELDDVADFVASFAKIPADITPDEWLSDETVVKHPGSEPFQKEC GKCHVIDGYTEGGVRDSPRLFAWGSPQWTERMIRKPGAPDLYGYLDPQFQMPAFGRDQ LTEDDLKAMIRYLRGDYPMPMDRSSKAASPEAAGNVPAAAGHLP OJF2_RS10295 MSHRPLIGINTDLRVSAKGRTACSVIPSGYYEALLTANALPVMI PPLIRESELMPILEKLDGVVLTGGDDLDPRKMGLSPHPSVKMIPERRELADRLLCKLV QQQKIPTLGIGLGMQELNVVCGGGLFVHLPEDLPRCIPHYDPHGGAHRHTVVMQPKTR LAEIYGPGEIRVNSYHHQGIRKLAPNFRTAAIAPDGLIEAYEGKEPGWWVVGVQWHPE NEGHISLDMQLIEAFVAAAAKATAAPVLAKVG OJF2_RS10300 MRRSAFILLPLILVPASGCGSTAQGQSGSPRDGAAASGAIHALA RLEPASGLITVGARPGSRIESVLVRQDDKVEAGQLLAVLEGNAQAKAQLAVAEAAKAK AEHQRAAEKRRLALEREREDAEQKVRGGMAPRLLASQAIFDDLSNQFKQLQSTLQGRE KFDLTRGYLETEARFLRDSIEVRSLQATQGLIKNKRALEDQQLDGPSPDLVLLDRQID LARAGLAMTEVHAPSAGRVLEIVARPGELSSGPLLLMGDLSAMSATAEVFQSDIPRLK VGDAATVRVLDRPTAGKVSRIGSVVARNLINPVDPRALQDRRVIKVQVALDDPAFAAR FVNMEVEVSIRPGDAIAGAGASMPERKPGS OJF2_RS10305 MSPSGVAAAAGVAASRTGRRGLPWRTPPLALRNVVHGGRRSLAA ISGVAFSLTMVLLQLGFLEAVRITARNNYELLDFDVILLSPYYEQFYAAGFIPLERLT EARSVPGVVSAAPLYATFRLWRCPAVPVDDDPGPSPPATDLSPRPSAASLGRWFLGGG VSRPLQRRELFVLGIDLGRNPFVGVVRDRIEAASSLMRLPGRVLLNEESHPDFGWQLR DRVQHWELGGSEVKLAGGFPMLRGFAADSTVICDDTNFAALCRLPSGRPCFGLLKVEP GSCAEAVSRLREALPGDVQVLGRDEILVRETDHWVNQTSTGQLFAFGVLIAMIVAAVV VYQVLSNDVREHLPEYATLKAMGYSLPRLYRVVVEQALLYMIVAYLVAVILAIVVYRA TEALAGIPMRLTPQSLAITLGLAIVVGLLSGGLSVSKLRTVQPADLF OJF2_RS10310 MQPGATIPISWRNLTENRRRLMASLAGTAFAVTLMFMENGFRHA MLDSMVNVIERLDGQVVIVSRTLYTLAVPYNFPYRRLLQAKEFPEVEAASPFYIVTRS GFWRNPADSGLSRITVIGVRLDADDLNLGELKANRDALGEPDTAIADALSRSASFGTF EAGQVSELSGHRLKIVGTFRLGINSQSNGNLIMSERNLLRLFPELAGPTEAENAVTIG LLSLRPGTDAAALVGRLQASLPADVRVLTLGDFIARERDFWDKVAPIGTIFYIGVVMG FIVGCVICYQVLFSDISDRLGEFATLKAMGYSNSRLVRIVVMQGLYLALMGFAAGLAV SIALFAVVHEATGLPMDLSRNNPLAILALTVFMCVASGAFAARRLLSVDPAQLFA OJF2_RS39140 MASVTTDTGAYSSLTSIAVKQPARPDVVIRVQGVNYAYGSGETR TQVLFDNDLEISRGEVVIMTGPSGSGKSTLLTLIGALRRMQEGRLEVLGKDLTEAGEA EQVELRKDIGFIFQQHNLFSSLSAIENVRMATALRPAGVREMNERAAEMLGRLGLSAR LDHLPSSLSGGQKQRVAIARALVNHPSLVLADEPTASLDAESGKTVLDLLRGMADGPD RTTVLLVTHDQRVIDHADRIVNMVGGRIVTNSLTRIAVRIVRALAAQESLRGLGEATL SRLASFMTVENRPAGDTIVREGADGDRFFAIGSGIADAYINGEFDEELCFGEGFGIIT AYFNRPNRRTVISRTDMELYVLSKDDFFEALKLDTSFENRVRATLMSNPWFQDASPGA AGS OJF2_RS10320 MSDPSRDLEDKAGPRSARTPSLVVGIGVARGGEDALTALFRVKP RHTGLAFVVVLPDDTALDIDARGLSGLTGLPAFEARDGDLLRADHAYLARPGSSLGIR DGAFEVNSPGPEDGERAIDVFFQSLAEDRQAGAIGIMLAGDSPDGTLGLKAISDAGGL TLVEDVGSAAFDGRPRSEVNLGMADRALPPERMIPEVLAYAEHLQSLLVGDQGGVVED QIGGHLGEICDLLEERTGHNFKHYRTSTLARRIGRKMQVHRIESAADYLDRLERDPDE VQDLFRELLIGVTCFFRDPDSFDRLQAAVIAPLLKDRGPDDPVRVWVPGCATGEEAYT LAILFREELERCANPIPVQIFATDINASAVARARRGNYPASIADDLTPDRLKRFFEPA GRGFAAAREIRDLCVFSQHNLIRDPPFSRLDLISCRNLLIYLGLHLQKKLFPLFHFAL RPGGFLFLGPAEGLASHEELFKAVDPKARISRRLDTAVRSPAGPPVPEGSRTPSRVPE GTAGPATHDLPLLMQRIVLDEFAPRSLVVREDGQILCTSSGVERYLGIAEGIFQNDVV KLARSGLRLAIRSALAEAVASRRTVKNKEAVVRTPEGVERIGLTVQPMPELGEAGGLF LIVFDNLGLQAEPGESVLEGVGEKANALIEHLEQELRKARGDLEQTVQDIEAANEELK SANEELLSMNEELRAANEELETSREQLQVSNEALTRTNTDLENLLASTRIATLFLDRE ARIQRFTPAVADIYNVIPGDVGRSLSDITHRAASMPPLPSMDALAAGPVEDEVRTSGG RWFIRRVHPYRDADGNASGLVVTFVDVSDLKEAEASVRDREARLALALDAGGMATWEW DLATTRLEWSEHVFALLGLPPGRGPVYADDFYARVHPQDVPAVRAAFLSALESGKEYY QDEFRIVRPDGSERWLAGKGKVFRDELGTPVRMLGVHFDITDRKRFEDRLRQSEAQFR TLADTIPQLAWIADADGEVLWFNWRWYDYTGTTPQHLQDRGWRVVHDPEVLGSVLARW EESLRSGEPFEMVFPIRRADGVYRSFLTRGEPIRDDSGNVVRWVGTNTDIEDQKRAED DLRLANHHKDEFLAILAHELRNPLSPIRNAVHLLRLSGSTDPTLVGAREMIDRQVTNL VRLVDDLMDVSRINRGKIELRKRPTDLRSVIESAVESARPLIQSKGHGLSVAMPPQPF VLEADPARLSQVVLNLLNNSAKYTDEGGSIAVSMERDNGKAVVRIRDNGVGLAPELLP RIFDLYTQAERTLDRSLGGLGIGLTIVRRLVEMHGGTVEAHSEGLGRGSEFVIRLPLA AEWSRRADAESRAV OJF2_RS10325 MTQIELARGGVISPEMEFVARREGLEPELIRSEVARGRMIIPAN TVHLRLGLQPMAIGIKASCKINANIGNSAVTSLADNELAKLRMAIDLGADTVMDLSTG GNIDSIRAAIIEASPVPVGTVPMYQAIQNVKKVEELTAQDLLDMVEHQARQGVDYMTL HAGILRDYIPLTAHRITGIVSRGGSLIAQWMIAHNRENPLYTHFDDLCDIMREYDVSF SLGDSLRPGCIADASDAAQFAELRTLGELTRRAWDRGCQVMVEGPGHVPMDQIAMNME KQAVECNEAPFYVLGPLVTDIAPGYDHITSAIGAALAGWHGASMLCYVTPKEHLGLPE VEDVRQGVIAYKIAAHAADLARHRPGSRDRDDAISRARYSFNWEEQFRLSLDPETARR MHDETLPQEAFKTAAFCSMCGPKFCSMRISEDIRKHAAATAALVQLEPAAAAH OJF2_RS10330 MYTHVVTCSHPYCSDAAAYKVASRWSDGTFSELKTFGFACPDHL EDVFREAEERILDYTLCPGEVIEEIAIYRFESGKRDRQLQRLWGLEENYRS OJF2_RS10335 MNIITTRFGLIQASEMDLYQVPEGLLGFRSYTQYLHLPDPEVSG LSWLQSATAPDLAFAMVAPPLAISDYRIEIRAGDRAALELEDERAALIFVILNRGMGG GLTVNLQGPLVFNPVRRLGRQLVLTSSRYPVRYPLEAPAAASAPALVPAHSPLRATA OJF2_RS10340 MLVLSRHRDESIIIGDDIVITVVDIRGDKVRLGIAAPIEISVHR QEVYEAIQRENRQASRLEPQEARQIERLHPPVRREVRRPRSDEP OJF2_RS10345 MRILIAEDSLTQAVDLRRRLEALGHEVVVTHDGRQAWERLSARH ENLVISDWMMPEMSGLDLCRKIRAEVTSNYVYIILLTVKTHRHERLQGLQAGADDFLG KPIDGVELEIALKTARRIIDAQEALRAKARELEKVNAALATRAVLDDETGLRNAEGFR HALATAFQQSISDGLPLSLIHLKVVPEAAPASHHRKSDWGAVANLAARILCSEGRTCD IAGRLDDLGFGLLLPGLAAEDALRIGDRLLSRLDEELGFHADAVGYAGVATASPKSQT DDPCELTAACDAALAWAMQHTEARIAHRDCAEVTPPATATAGGFNRGR OJF2_RS10350 MKAELVPENGDPPIPITTDMAVIGRRGYCDIVIDHPSLSKRHCV VVKTDGLLVIRDLISTNGTKVKGQRIRWAALLPDDRIAFGSYKLRVYLGPDDAPGPSE RRSRGVYNAPSRPFSAAGAGGAIASGAGFPDPSPLEVGVWESDEHPASEGEDAVVPPI VLDDSDEIIDLD OJF2_RS39145 MKRRRIPGLALCFLFSAGPLLASAQQVPSDADPARSPDDGLASE IPASRPLAAAEAPAPRLGRVADQPVKPLAEGPLHEAFLSPRKDVLPPAVQKTPPPPVV ERPAVDPPSTTAEWIEGYWEWDPGRKDFVWVTGTWRIPPPGRFWVNGYWKRDQDGWHR VAGFWSDRKTDRLDYRKNGPPQDRPDEDPGQAPADDTFYVPGQYYPDGDGVVWKKGFW TKAQEGWAWVPAQWVHQAEGWVFQDGYWDRTLEDRGTLFAPAQVDRSAPQNGEVTYQP YAQVTPDLYGQLNGAFGRPNSYYDGYPGVFYDEDGRFYGYANYGTLGGYYGYLDYPYY GGGGYPYITTPVYGGYGTTLGYGLPYGYSLCGNALSCLGGFGCGMPVLGLGWGGLGYG GLGWGGLGYGGLGYGGLGWGGLGYGGLGWGGLGYGGLGWGGFGWGWPMWGLGWGGWGW GGLGWGGWGWGGLGWGGLGWGGWGWGGLGWGGLGWGGWGWGGWNRWCNRYPYSPGRMH QGNGQGRGGIGQGGMAGNGRSLNGNFNRTGLAHNVGQGLGRGGAGGPAARGGHNQGLA LNHPSQGSLHHGLQGPAASRAYGNPFRGSGNGLAHHAAGGRGLGAQGSAAGHVQHASS LAGGANSFRPGFNGVNNATVHHNVSRPAFAGNTAGAMGHGAGGLNLGGVNGQHAVGGA YGRSGAWNGAQAGGGLAGAGQGAFHQGGNAGLGGMGMAPGGHHAGASGMGTAGLGGGV PGGHALGGGSYAMPGAGHAAGMYGGQGGGGLGAAAPHIGSAGSLGGGFGAAPHYGGYA GYGSFSGGHVGGWGGSSFSGGHVGGFGGGMGGGHMGGFSGGHMGGGGHMGGGGGGHR OJF2_RS10355 MPAQEDFAIKTLGPCTRPSPLSRLHDVGFVEDDARILYQHKCGA GAADWGGLCFEEAGPRASIYFDPAKTTAAVVTCGGLSPGLNNVIRSVYCELAYNYLVP RVLGVRNGYMGLNPDSGLRMVELTPAFVDNIHYLGGTVLGSSRGSQEVGVIVDTLVRD EIDILFCVGGDGTQRGAAAISDEVERRGLKKAVVGIPKTIDNDLHYVYKSFGYDTALE KAEEVLRGAHVEARCAPNGIGLVKLMGRNAGFIAAGAALASQDANFVLIPEIPFRLEG EDGFLTELERRVLSKGHALVVVAEGAGQDLFTPSDTRRDASGNVLHDDIGILIRDHCH AHFKDRGIAINLKYIDPSYYIRSTPANAGDRILSDQMARMAVHAAMAGKTDTLIGYWH NELVHVPIRTAIAEKRQLDLTSDLWTAVMRSTGQPVWRV OJF2_RS10360 MSNTEHAGEGLVAIRRAILSVSDKAGLVELARALSSRGVVLLAS GGTHSAIAGAGLPVTEVADYTGQAEILGGRVKTLHPRIHGGILARRNVEEDLSVLAAQ GIEPIDLVVVNLYPFAATIARPQTTFEEAVENIDIGGPSLIRGAAKNHDHVAVLTSPL QYERFLGEYLTAGGTTLATRRRLALEAYRSTGQYDEAIADYLEGAFADDKPAGDLPSG LAVRFPLKLALRYGENPHQRAGFYADSSATGPNLATASVRHGKELSYNNLLDLDSALK LIRMFDEPAACVLKHNNPCGAAVGQDLESAFERAYEGDPVSAFGGIVGLNRTVDLPTA KRMCAPGRFLEAVLAPGFEADAFEWLTTKPTWKNSVRLIDLGGPIGPGSAAPSGFDLR RIEGGMLLQDWDLVEHDPAGGRVATKRAPDDREKRDLAFAWRVCAMVKSNAIVVAKDG QLLGVGAGQMSRVDSVRIAVSKAGERAAGAVLASDAFFPFRDGPDIAAASGVSAIIQP GGSRRDDETVTACDEHGMAMVLTGRRHFRH OJF2_RS10365 MSCRRVAVLAFALLSLGSTAVRAQIPYTRDMIPTRTQLARVGLE RQWIAVVALSETERVLRVSRSADLIFAQTNNGGLHAFDAETGKLRWTASLGGYTPFAR PISSNSYAVFGTVGETLIGFDKNKGRVIWRLSLGAIPTSGTVADEDRVLVGTAEGRLN AYSLRSRDAKGNPTIRTKPGMEWGWQTSGPVDTLPLMAQHVVAFGSTDGRVYVVLNDQ QITLYRIRTGGKIGDGLGAYGTRTLLIPSADKNLYAVDLLTAQNQWVFPSGSPILQSP LVAGEDILTINEAGVLSSLEPATGTPKWSIPTPEATLLSLSPTRVYLRSTNQDLIVVD RTAGKLLADAAATRQRAGLNLRDFELSMLNRYDDRLCLGTSSGIVVCLREIGATEPKL LRDPKALPFGYIPPEGLKKPPAPAATTEPGAEGKESENKDSAGDAAADPAAKPDAEPK PDADAPEAEKSESEKP OJF2_RS10370 MSRIDIEVQNEATGFMISSRGLCGRDFSGIDNGDEAWAEAVRDG IILPVELAQDEAFWVRVLLDQPLSNQEDAEWIGCTRHRLRVPDGKLEITGGGPDFLWD DDSEQYTRTLDIPPGDYLAELYTYLQGINGVYTLEKAVDAEPLGAYFRRTRPHIPFPL WLRNECAADPDVDPGHQAEWNDVELDYMSDQPPYLSFLLRLSPFVDAPEPARLEQGWV AAGQGARRPSKCPLGLVAEFFPPDAPS OJF2_RS10375 MREILRALVQSLQAGREAIVCQVVETRGSTPQKAGAMMIVDPEG GQVGTLGGGCVENEVKQKAIRHIGTPGAAIQSFVLDHDYAWADGLICGGKMVALVECV RGDAALAYFRAEMGLLERGEGFTEAIVVEPDRAGIPAMGRRFLITPEGEIAGSWPDGP TPDGLKSRAASLADRPRPEVRGGVAWIPSLPRVRLVIVGAGHVGQAVAELAAKADFDV WVVDDRAQFANAERFPAAQRILVGPIEDVLRNLEVTPQTYCLIVTRGHGHDQEALHHL APTPAPYVGLIGSRRKIRLIFESLVESGISRDVLDRVAAPVGLEIGSQTVSEIAVSIV AELIARRNLGRKGQSPSSRPLGPA OJF2_RS10380 MTPRRLDAIVPAAGESCRLGRPKLLLPFDGLPLIARVVTALRDG GNDRVVVVSPPMDSPEGPALAEAARAAGAVVIAPAERPREMRGSVQAGIEWLSRSGPP AAAMLTPGDSPGLTAHVVRQVRDRWEESPRSIAVGVAGGRRVHPTILPWDILEEVPLL PEGQGVNAALRVHEARLVSVPLDCPELAEDLDTPDDLDAWRTRLGERPPNSMTVTVRL FAVARQRSGRPEIAIEVPRDATVGLLRDAIARQHPELSEIAARVAIAVDDEYASDGHP ISAGSRVAIIPPVSGGECP OJF2_RS10385 MIEITDSPLDHAAITDGVRSKAAGAVCSFLGTVREMTGARRTLT LDYEAYREMALKKMAELESEARARWPILEIALVHRVGRLDLGEISVVVAVSTPHRSDS FEACRWLIDTLKDVVPIWKRETWADGTEEWVHPGLNAT OJF2_RS10390 MDENGHTLVDGFGRRHNNLRISVTDRCNIRCVYCMPENPAFLER EQLLSFEEIERFVRVVVPMGIDKIRLTGGEPLVRKGLPGLVESLSAIPGVRDIGLTTN GILLGPMAAALWEAGLRRINVSLDTLDPGRFFEITRRPGVEKVVEGILAAKAAGFHPV KVNAVAMKGVTEADIIPLARLAREHGLEIRFIEYMPLDGGTWERGKVLFAAEILETLA REFGPLAPAEHQDPRAPAMDYDYLDGGGRVGLIASVSRPFCMSCNRIRLTSDGRLRNC LFALDETDIRRLLRGGAGDDEIATVVRDSVRGKWEGHEINSSRFIQPERLMHSIGG OJF2_RS10395 MIRLPQAIVAVMSCTLAAGAAGGIVGAGVGRLAPDFVLWIYNPL GQAGIGAVDPTGFGTGLGIVCGLLMGCGTGLFLVAVLAMRDAYLYRVRLLPHAKARED DFN OJF2_RS10400 METMDAMTRREALQVAAASALAVPKISLDEAAPAAAIIDTHVHL WDLKTFRLPWIERGSPLDSSYTPADYAAATAGLGVVKAVYMEVDVEPAQQGLEARTIS ELCRRGGTPIVAAVISGRPADESFADYIKPLASDTSIKGVRQVLHGGGTPPGYCLAAS FVRGIRLLGELGLSFDLCMRADELGDGLKLIEACPGTQFILDHCGNPEVYGADLGPWK KGLAAIAGRPNVACKVSGIVASTKGHDWKPEDLAPIINHVLDCFGPDRVVFGGDWPVC TLGAPLSRWVEALREVVRARIEADRRKLFHDNAVRVYKLDR OJF2_RS10405 MTATSPIERVVLTHVQVPLKQPLRTSEGEFTVKDAILVTLETAS EVAAGESSPLAGDLGVTAGTPEQCWKLLSEAIAPSLLGFSFSTTEEIGAIASGWPGGG PAAAGAETALWDLLGQSHRVTVAQLLGAIDEQIRLGVQSGLGLGSFPSIVELLRSIET HLVEGFRRVRIRISPGSDVEVVRAVRQHFGDIPLMVDANGAYSSRDIDVLRALDEFDL LMIEQPMKPGDIEGMIALQGQISTPICIDESAGTMEQTTEAIRLGACRIVNLKIQRVG GLGPARAIHDLCFQHGIACWVGSTPELGLGQSFGLHLATLANCKYPTGVQPSARWFVD DCIAPALELSSPGLFSIPQRPGVGYQVDQQKLRRYQVRQAEFTSRTTG OJF2_RS10410 MAKKTPKLPWYEDGLSFSCTRCGNCCTGAPGYVWVTPEEIEAIS AIRGEPVDDFSRRFVRQVGTHYSLTERPGGDCILWDPAIGCTVYEARPVQCRTWPFWP ENVESPGDWERVKKGCPGAGQGRHYSRSEIVESIGMVRQ OJF2_RS10415 MSVKPDPEPPLRPDDEGEARDAAMRRDVLEVYRQLGAEIAALSP TCLLSGRCCRFREFDHILFLSGVEAAVLKALAPAQVRPVDDGSTCPWQDERGRCTARD GRPLGCRVYFCDPEYEPLAPSISERFLEKLRRVAERYEVPWEYAPLHHHLDRTLAPPG OJF2_RS10420 MTKKEIVKKISEEIGLTQLKTKDIVQRTLDAIIQTLVSEGRIEL RNFGVFEVKRRAPRKARNPRTGDKVYVPSKNVVTFKPGKEMEELVRKMNPDNLPLLEE GSDADVDNIGPVEPVAEPHAQTSAD OJF2_RS10425 MRKFLAALTIMVASLAVFEGCAPVPLPHYAAWKPKRVEELLTDS ENLRQAGDDWERAWLLDQPSHMTPFRTHGGLGP OJF2_RS10430 MSSGSRALRRLTLFSAILASLAATAYAGVSLLTAERLTRATNHP HRIDPAQLGAGARPWSARTEDGLTLRGWYLPTKERRHLIILVHGMWSSWVEMAALGCD LHAGGYDVLLFDLRGHGQSDPTRLTMGRRERGDIRAVMGWAEREGFDRDRIGWLGYSM GASTVLLEAAQNPDIRVAVMDSPYGDLPDILGSQLSKHSRLPAWFNPGILAAARWVYG VRTDDLIPIRAARSWGGRPLLLIHGEADSIVPLRQAYQLAGAAGATCLTTTLPGVEHV GAYESDPEGYLSLIETFFASHLRP OJF2_RS10435 MSLTYSEQIHSTAIIDPDAILAPDVQVGPYAVIEGPVQIGPGCI ISAHACLTGPLVMGRDNLIGHGAVLGKSPQHRGYRDEPTSLEIGDSNVIREFVTIHRG TVQGRGVTTIGDRNMLMVGAHLGHDSQVGNGCTIVNNALVAGHVRLEDDCILSGHTAV QQRVRVGRLAMLGGLGASSKDIPPFILQQGYNCVTGLNLVGMRRAGFSSESINAMRQV FRILYREGRPLSGALERIEGDFGHIDEVKEFVDFIRGSKIGINPARSQDRESFDIH OJF2_RS10440 MVNWLKGAGLGSPAYYYGGLVLLVAALIYGLIVAKRAWEEAHED LEPASLDELLEDLDEAHAAGELDEGEFARVKEALGKHSPPPGSSSSRS OJF2_RS39150 MARAQTSIGDISADPFMFYYAFYLPNQQVQSLRPKPIDQLNDAA QARQYYAQTQRRSLYNPNSPYTEEFDPLRPFSKQQGQERLARAHRFTQDPSNADGRGP AAYFQRAQIYYPTLRTGRGPNANVATIGRRTGRGGGIGGGMGGGMGGGMGGGMGGMGG FM OJF2_RS10445 MQPGPEQRLSPEERGNLTAYLDGELAEIEARSIATKLSLSQTAR RELDSLKRTWELLERLPRPPASPNFPERTLDSIRAVDAPTSAWARDTSFLARQALKLC LCLLVIAAAASTGFVLIRNSLPDPAERLERDRLLAEHLDEYQEIGSFDFLDELVRSRE FGDER OJF2_RS10450 MRPAVHKLIAVILGVGGCLLAAGSSPGPASGRGGASGISADEYK AITAALRRFDLQLGPSEQAAIRELDSRLRKLPENESPRYLAVMRRYHDWLASLPDAVR QKVLDAPPSGRMAVVKQTVARYPVPSDETPYWLRLSEMGGGSPSELAAVVRVWGELTP EQRREVDRLPLVAAKRERIFKFGRAMKLAREIRPPDFRPEEWIPKAEARVDELRWIEG ELQAPITRAEAKAEAASKTKGEAKAKLRPAVLERLALNLYFLSHPAAPVMADRLDAFF RTLPSWVQTGFDAYSADEARRKLTEIYRLVYPHPSEFRPATPARISPSPGKTDSSSSA PRPSPVGPKAAPAGSGASPF OJF2_RS10455 MSDQTWMAIALDEAEKGRGLVEPNPVVGAVIVKDGREVGRGHHA RFGGPHAEVAALAVAGQEARGATLFVTLEPCCHHGKTPPCTDAILEAGIAKVVVSARD PFPRVNGGGLDRLRAAGVTVELGLLGDRAARQNAPFFKRVYTGHPYVIAKWAMTLDGK VAAATGDSRWISGEASRAEVHRVRGRMDAILVGIGTALADDPQLTVRPPGPRTPLRVI LDGEARIPVESRLVSTSRSVPVLVAVTGRAAADRCRALTDAGCEVLSLPSESSRIPVV PLLSKLGERGMTNILVEGGGRILGAFLDAGQIDEVDAFLAPMLEGGDHASTAIRGRGV LRMPDSTRLEGVSYSQVGPDLRVRGWLDQPWRARLKDLVQSPGDDRS OJF2_RS10460 MKDLGREPEHVKMAPRVVVGRVSLYLRQLEAFQRQGYTTISSSQ LGAPLSIKNAQVRKDLACFGQFGHPGVGYRIDDLIGALRRALGIDRDWPLAVIGLGNL GRALLGYRGFRTRRFKIVAIFDSDPAKVGQVYEGLTVEPLENLKKAATSGKIHLGLLC VPAESAQRVADQMVHAGITGILNFAPVPLTVPPQVNVVTVDLSVQLENLAYKVLKGQE GLLARGTLPALVGDR OJF2_RS10470 MTLIAFQAWLGTAYGGSVLPSSTAVYDSLTTYYLDSGDVTTETT FQTGSVLEAQAYESQYIGVDQTLSSFTEVSACIFRLSACDPSTPAILWISMAYCFDDA FLTVELFGTNHDRVQQADFTADHAASMAAGFYVPPVSSPGGPDGLLLSLDVSDYSAGL VNQGYEYLEVKFATTYSSRWGSILRFGASLGSAAAVPEPASGATAILGYLAVGIIWVR RRRLGPGIPANGEIAYQASVAAIP OJF2_RS10475 MFDLDAIQRGLRDFGLDGWLLYDFRGSNVLARRVLDLDGKPAGS RRFFYMIPAAGEPARLVHRIEPGALDHLPGSKTVYMTWQELEAGVAGLLAGRSRVAME YAPRVSNPYVSKVDAGTIELVRSLGVDVASSGDLVQQFEATWDDEQWRMHREAEACTT SAYDVAWGLIADRTRGGGAVRETEVQAAILDHFRRHGMTTYAPPIVGVGPHSGDPHYE PLPGKDSEIRTGDFVLVDLWCKLDRPRSVYSDLTRVGYVGETVPDRYESIFAIVARAR DAAIELVRSAFAAGRPLHGWEVDDACRKVIDGAGYGPYFVHRTGHNIGQEVHGNGAHM DNLETHEERLVLRRTCFSVEPGIYRDDFGVRSEVNVFVDAEGKVHVTGGLQDRVIAIH GR OJF2_RS10480 MQVTRAALWHVDEKECRDIDVRSSWGSWAHGLNGRGHVVGESRL DSLTDLGGFIWPNRPEEPPSAWRWDGLMPAGYRESHALSINDAGDVAGYATSRDRKTR GLIWKRGKALELSPLSGEQHSLAMSLDAAGRAVGNSFHDDRNQATFWDGADRPVALKL SDKYREMKADSIAVSISNGGLIAGSYWRYGKIPRQACLWVGEGAEFRDLQPASLGVTS ESISVNQHGHVVGNYQTRNGETRGFLHDGSKMHDLTSLAKPPGGLVLQYPRMIDDRGW IVGTGTRGRGGPLEAFVMAPA OJF2_RS10490 MSNFTMPPQYIFQIEKLSKAYAKKEVLKNIWLSFYPGAKIGVIG GNGSGKSTLLRIMAGLDDDFVGTARPAPGTTIGYVPQEPTLDPSLDVRGNVEQAVAET RALLERFDAINARMGEGPDANELDELLEEQAKVQDAIEAHEGWDLDRRIEIAMDAMRL PPGDADVSTLSGGERRRVALCKILLERPDILLLDEPTNHLDAESVAWLERHLSEYPGT VILVTHDRYFLDNVVKWILELDRGQGIPWEGNYSSWLEQKQARLALEEKQESTRRKQL ARELEWVRMSPRARVAKNRARLQRYEQLAAQEADRRDEAIVLQIPPGPHLGSLVVEAE GLSKGYGERLLFEDLSFRLPPGGIVGVIGANGAGKTTLFRMIVGDEKPDRGNLKVGDS VAISYVDQNRDALQPENTIFQEITGGIDPVVLGKRKIPARAYVATFNFKGPDQEKKVG KLSGGERNRVHLAKLLKSGGNLLLLDEPTNDLDVDMLRALEEALVDFGGCAVVISHDR WFLDRIATHILAFEGDSTVVWCDGNYEAYEAQRHERLGTDADQPHRIKYKSLRR OJF2_RS10495 MILAEGTRPSHGAASRERPLRKMAIAYGVAAAAWLVLARWPGRS LALAVNRGAAPDWVRHLMLGFPPPAYGEETLAVWGEKAIAVAVALVLHLGLVVWLRRR PGRDVDDDGAWRAMIGRFAIVFLIYTAAVGPVQDYTFYLIMWKETWLGHDPWFLTSTV FGLHPLNAYGPLFNLLAALWAIDRFFPKLVFASAYVGFAAWVMESRRGPRPGSAAGRL MLMAWLGNPYVWVEIPHYGHFEVLVGVLCVAAVRARIRDQDVKAGTCLALGTLIKYLP VFLVPFVSLDRPRHRWRLIAWAYGLTAIGLGVSTVIWGRSTFRPILFAVERSPHHLSI YRFLNGVYSPLEYLSIRERPSDWAPAILVIALGSALAWCERRRVAPLPAGVLAMVITA LLYQTGFAQYHMVAFILSTVWAAVDPPEAWRRRVLIAAMVPYFGWLAGFDVFTACFEF DRYNFQEWAGLVTFLLGSLLIAAIAVAATGPARSREPGPSLEGEHQPGRA OJF2_RS10500 MQVKGFRTFGAAAAFSGMLFVGSAGAADKDLPGPIDSVEDLQSV GKMLFKLADTNNDNLISQKEAVDAGNLLAGGFFFRADANGDGTVTKAEADAAREALFA QKPLLRFVFERGKAEVNNQAAQGVAPRPLNLQGIFDSNSDGNLSAPELRQAVQTSVQG LFTVADKNADGQLDPTEVNQGIVEAGRAGIQAAFNAADLDKNGAVSQQEFDRAIINPA HVMFRIMDANNDNQISADELRSGSQVLLREFKAMQVPEPANSIPNQVRQLTAPTGSTP GAPAAGGPATTVAPR OJF2_RS10505 MPETLPLDESANSAAAPADPASVDSDLINPSLRVADAMTAGPRT CSPHSTVLEAVMFFRDADCGAIPITDMGKPLGILTDRDVALAVAEHQGELSRLPVSEL MNNQVLTINVDDTIATAMDMLGDHGVRRLLVVDSAGVLQGVLSWTDLVPHLSPCGLGH VVSRIVENR OJF2_RS10510 MRECLVEESDGPVCRLTINRPERRNALSMDLLSRLEAAIARLRE DATIRVVVIAGAGPVFSAGHDLAEMAGLSETELRGLFELCTRVMLGLRRMPQPVIARV HGLATAAGCQLAAACDLVVAAESASFATPGVKIGLFCTTPMIPLVRSIPAKAAMEMLM TASPISARRAFELGLANRVVADAELDAAVHSLCETMLALSPKVLAVGKRAFYELEGLD ELTAYRKAVGVMVENASCEDARRGISAFLRKQPPRWCHQDNADPQ OJF2_RS10515 MSGLPSFERLFGVADARGDAATLAVAGGDDPTVIEAMARARDRG WIRPILVGPEHRIRQVAADIGIDMAGMQIVHAEGGDVASSAVSLVRSGRARALMKGQI ATASLMRAVLDASSGLRTGRVICQVALVEIPRDGRRFLLADTGITIRPDLEQKIGIAT SAIDVSRALGVASPRVAIMAASESINPAMPETIDARDIAGRLNEGGEISCEAQGPLSF DLAYADDAGAQKQIGGSVVGKADAMIFPDLNSANLTVKAIMYTADCHFGGILMGCSCP VVFMSRADDVSTRMNSLALTLAVLDDPRRKTSN OJF2_RS10520 MKLVIVGGVAGGASAAARARRLDERAEIVVFERGPDPSFANCGL PYYVGGEIRDRTKLLVTSPEVLKGRYNLDVRTDTEVVGIDRDARTVTARRLADGTTYV QPYDALILAPGAEPLRPSIPGIDLPGVFTLRNLPDVDRIKAAVDRGSRRATLVGAGFI GLELAENLVRRGVETTLVELQDQVLPPLDREMTTPLVEALGAKGVVVRLRESAEAIRA VAGGLAIDLRSGGTIEADLVVLGVGVRPENALAKAAGLEIGQRGGIRVDDRMRTSDPA IFAVGDAVEIRDFVSGAPAQVPLAGPANRQGRIAADNVFGRESRYRGTQGTAIVGFFG HAAAITGASEKALRREGRSYQKIYVHANQHASYYPGARPMTIKLLFEGPSGRILGAQV VGEDGVDNRVNVIATAIQAGMTVFDLEEVELAYAPQFGSAKDAINMAGFVASNVLRGD VRQVHVDELDEQRLLGAAIIDVRTPKEFAAGRIEGAVNIPLEQLRTRIGEVPRDRPVV VHCQVGMRGYMATRILRDAGLDSANLAGGYKTYRLYHPECKL OJF2_RS10525 MITPAAASLLVLSLLADDHVGGRQEVPARPAMTATAAQLESHLT AQAGLLLRRRRDEVAAATTVEQVEARRERLRAFFLGSLGDLPARTPLEARVIGVRPCP GYRVERIVFQSRPRHHVTANLYLPDGPARVPGVLMPCGHSENGKADETYQRACILMAR NGLAVLTYDPIGQGERKQLLDAGGNPAVREGSTTEHTRVGVGAILVGRQAASYRIWDG IRALDYLASRPEVDGTRLGCTGNSGGGTLTSYLMALDDRIAAAAPSCYITSLERLFTT IGPQDAEQNITGQVAAGMDHADYIALRAPKPTLLCTGTRDFFDIQGSWDTFREAKRLY TRLGFAERIDLMESDEPHGFTSPRRVAATRWLRRWLSGRDEAITESPATVLPDSELLC TSTGQVLSELHGVSAFDLNAERARELRPAREAFARDSTPADFRARVRELLGIGRSRPA SGPVEEVGRQSGTGYLRRSLVFSPEPGLALGAVEWIPTGEAGKAPVVVLLGDRTDSEP TPGGPLERALKSGRRVVQAQLRGSDSGKATKAASGLDAVVGQDWKAAFLSLHLGRPLL GQRVVDLLGLLESLDGESGVRGRGFEVRADGPSGPVALHAAGLDESRRIVALEVRNSL VSWADVVDRAGGRRQLGNAVPGVLRYYDLPDLAARLSPLPLRIESPMDSVGNPVTQAD LESSHAAAVRAYEKTGGLVLEAGKPREASDSPSAKAR OJF2_RS10530 MPLDPQARDFLDRLAAANLKPIESIPVSEARAQMDLSTHFLGPL PHVARAEDRHFEGPGGTIRVRLLRPSPRDGADSSAGRHPAPALVYFHGGGWVLGNLTS HEHICRAIANEAGAVVIAVDYRLAPEHRFPAAAEDALAATTWAITRAEEFGLDARRIA VGGDSAGGNLAAAACLMARDAGGPRPAFQVLVYPIADCGMDTGSYREFADGYFLTAAE MAWYWDQYVPDRGRRPDPLASPCRAQDLRGLPPALVITAGCDVLRDEGELYARRLQDS GVPTTLSRYEGTIHGFVRRFPFFDQGRAAIKEIGRAVRDAIGEGGPGPSTPSVL OJF2_RS10535 MIHLALSLGLLLPWASLQDRPANDRLAIRLEDLKRLADDPTLAI GQRESAAMEMVGALDETARAADGPAEKASFWDRAIAVLGEFNEQNEGHPRSREFQLQA GVYRWAQGMSWRQHRELNPADEADSRQAASALDDAISRFRAIKPEEGETALSENVRYR LSRALADRAELEPSDSPARKALESEAVALTAPPPTEPGLKTFNSLLRAELLRRVGHLD EAAAEVESASRLDPPPPPRDLFEARLEILTSRQRWAEAEALIKAAPADDPTKNLALVR LRIAQLSALDPGAGRDALEQDLFRVLDGMRTARSPQARRALVALAASGLKTSKKHSAM ASDVMADAFEIRGDLDRAAELESQAAAHADEASDPAGASAYRLKAGAMLFRAGRLAEA DALLSRVCNDPKAGASRSKAGLLLALARGRALAAGAPGVTAASYADALERQIRDFPAE PSTDEARWLLANLSRAADDTSRARSLWKEIGPGSPRWLDARLAAAALNLFELETLLVT SERATLKEDFRRAQDELQGSVKQARGDAERAALLVAEARLNLVPLVGNSRHSLECLDR ITTMPMRPAERYRARLLRLVALVQTGPPYLQAEREAQMHASWAEPTGRTAFLEATRLL DECATYSEEDLHERRLGMVLRLLVQPAASDPDEERWTAVERAELRMRLARALLFLGDE RGARAALRTFSGPPRGTVDDALLRDLADTYNRIEAYELAIDVERLRSRNLKPGSPAWF GSRYGLALAYFRSGQLAESAQLINGTAILHPNLGGGAIEQKFIRLRQRIGSQH OJF2_RS10540 MPDATPSIAAASWAGVSKTYPDGRKALNDVHLNVHKGEILALLG TSGSGKTTLLKMLNALVLPSSGEVRVRGKATTEWSATELRRSIGFVIQEGGLMPHLTV HRNVSLAARIQGKPRAEREELAASRLRLVGLDPDRFGGRFPRELSGGQRQRVGVARAL AASSDLILMDEPFGALDPVTRRDIQDEFRDLQRKLGTTVVIVTHDIREACRLGDRLAL LHEGTLLQVGRAAEFLDHPATDYVRRFFLDAAAVDLAGEAS OJF2_RS10545 MADGWLALLMAERWSLLEAAAAHLALVAEAVALAAMVGLPAGIV AARRPTFGRIALGIANILQTIPSLALLGFLLILFRGQIGQPPALAALALYALLPIVKN TMVGLRGIDPGIREASLALGMTAWQRLALVDLPLAMPVIMGGLRVATVASVGMATIAA AIGARGLGGYIFRGVALSDTRLILLGSVPAALLALAFDAALGEVETRLDPGRPRRSRS RAIASALALAAAAAFAAWGLWRENRPTGGGARQATIVIGSKDGSEMIILGHMLAELVE ARTDLRVDRRLNLGGTLVCYNGLRLGGLDAYVEYTGTALTTILKQPVERDPGLVLERV RAGTGRDEVACLDPLGFENTFAILMKRERAERLGIRRISDLRGHQRDLRAGFGPEFMN RPDGYRGLLQAYGLSFGQAPRELDRNLLYQAIVQGSLDVAAGDSTDGRIAAFDLVQLE DDRRYFPPYEAVPLARAKTLEEHAGLREALNALAGAIDAPAMRGLNRQVDEHRKRPED VAHAFLVERGLIPSSGRTD OJF2_RS10550 MTFATRVKLSVMMFLQYFVWGIWLPMLAQRLGKNDLNLSANEIG WIFTVYGIGAIIGPFVLGQLADRYFATERVMAVAHFLGGLLLIVAAYLTTFWPIFIAL LLYCNLYMPTMALSNSISFRSLGEENQHYFPKIRFWGTVGWIAAGLFFAGYLEYNNLS FYQSLFDLVGAHGAFESFLAGWRASVVPLLKPIFALPFVGEPKYWDCLRLSGAFSLGY ALYCLFLPHTPPLPAKETDPVDKKSAALESLELMEYRSFAVLVLVAGLVGIMLAFYFA CENYFLEAIGVPPTQTGAYMTIGQISEALVMLFVPAAVSRLGIKATMLIGAGAWAARF GLSALGYPFWLMITTIALHGFAFGFFFVVAQMYVDRAASRDIKASAQSLLIFVVYGLG TVLGSVLTGPIRRYFTETVNGATVENWHGIWMGPFLLTIFCMVVFGLLFKEEQFGKGG GVEELEPATAPVH OJF2_RS10555 MPVHTGPCSDARSSPWAVAVADINWFTTESLFREHEVDDVTLLG LRCMDYLNGWRKGLTPWSPSCRPHPWAGRSIAQDMVLPSGWMKRFPTLGMRPIARAVR RFWRGRREEHRGLILTYPHYLHLLRKLDAERSLYFNLDDYALYWPRQADRVRELEAAL VHAADVTVCVSAHRARCLRSAWPGLAGRIHHIPHGTPSAFLSPAPNHRPAAAPDDLAA LPRPRLGYVGSIESRVDWKLMDRLAKAFPHASIVVVGSIPPPRESEPWYRDWAAFASR PNVHAIGWRSQAQLPAYYRSFDVILIPYLTTHPFNEACSPTKIADGLGAGRPIVATAI PECRLYDHLFDVAEDADGFLEAVARIVSNDSDDGRSAARHHHARINSCTVNAGRLLQL LTGGTSPSSTRNPMASQAPAR OJF2_RS10560 MRRMLLCGLAVVAISGQVVRGQGLEWVNAALPERAYDFGTVARG SQIRHAFPLVNRTDQEIRIVDWRTKCGCTDVKVGAKVVPPGTQTTIEATIDTSKFLGY KPSGLTVIFDKPSFVEVELNLTCFIRGDIVTSPGQLDFGTVRRSEKMPSTSLTITYAG SVAGWEVTKMKTQTAKVKAELRDLGRSAEGYSMYSLAATLQPNVENGFFKDEVTLLTN DPNMPAIPISVVANVQSAVAVTPSIINFGGVKAGQSITKTVLVRSSQPFSITRMTAED GGLQSSESAEGAKPAHQVTLTFKAPEQAGPYHSVLTIETDMKDEPAAKLKTFATVVP OJF2_RS10565 MDVTSKRRRHGRKAIALLATAAFLMPARGAFAQVPAPREAPRLA EYFGFLPLEIYKLERRISNLTVRDLDGDRVGDIIVGNNARSRIDLLLSGKRPAEEADG KPFRKETNELDFDKRMRGANIPVNKEIVSLDVGDFNGDGKPDIVYYGTPAEVEILYNE GEGRFSSGKKIATGEAVESANALAVGDIDRDGRDDIALLAENDLIFVYQTGAGTFSEP ERVPHTGTGPRMLKLVDMDGNGALDLVILDGGTDHPVHIRFATDEKKLGPEQRFQVES PRAIAFGQIDGAGGQELLTIEAQSGRGRVLTLDDSANDEQNRWGRLIFFGLPQGSERG RSIAVGDLDGDKRRDVVVTDPANAQVWLYRQSARNGLNAGQSFPGLLGGKTVRLADLD RDGKDEVYVLSEQEKQVGRSTLANGRIGFPAPLPVVGDPIAMDLADLDGDGVPEVLTI TKANAGKGDSFELRALKREPSGTFRPFRWGQTEVVSVASPTGAPVAIQGMDVNADRVT DLMIFTGYGSPSLLIGRKDAPPTPFTGSLGPMASATTAGLTLGNLNGPAILVAQTTFA RRIRLDERGQWEIPEQYNSGRNSAQILGAAALDVDGDGTKEVVLYDRNSKSLLFLAQK DGGYRPAGTLSVGTLTFEGLHVADFDGDGREDLLIAGAERFGVLQTGRRGLRLKPIAS FESKRNEARLSDLAAGDLNADGVPDVVFTDAAEGMIEIATYAGEPALLPAIGFKLFER KLYHANSDGAEPRDMTLGDVDGDGRADIVLIAHDRILVLRQDAGTGSKQPQASASAGP KR OJF2_RS10570 MGRVATDSPRTGYLAAAAMLVLAVLFPIGLMVFDPDLMFRRGWE QYVGTAIYFWAVFTLARELRWLWSNEQAFADAPRLLQYIGGMLRKARRPGSGDAEPQA DPAALLAVAINQDGRILPVRVRRLVGYVRESSTPSATQLMEVNRETSGLDQEEMAGRF TLTRYILYLLPVIGFIGTVEGISKALMKISVVLPLVKDLDAFLSNLTGVTSALQVAFD STLLALFLSAALMLVQTLVYRKSESLLGRVDGWVVEHVLPGVGTNDPFADRLDEAIGP HLDRLRSELATILAPAAQALRSEAEKIGRSLESPVAQLASSMERLPASIAAFQQGAAS IGRVGDDLRSLEELGDATRRSAASLSRIEAALAQSDTSDPQLEEIRRGLDRATLAIEG LSSSWAAAYEKSSRTTQDQLAKTLNSLKDALELISVSMEQGNSLYRNIVKKMFDERAG GSRAA OJF2_RS10575 MRRNRRGGGHGLEFGGSGEDSFVAVVVTKLTGALLFILVLTMVI MALLPKAVDSMPSGSRRDGAAEVDRQPLAIVTPEALPEAIAGRPYAVALAAAGGGGTL KWSIDGDLPEGLSFDAASGVLKGTPKRGTPQPLALSIRVTDGDEVATQATRLLVYQSD RPLSTPAWWKPGLPPVLWRQWLDHGVGFLLLWLIYLVGMNALAGMERGRGEGQVSLEP GGTLLVTRRFSAYRIVMRLATLSATAGLAAWLWIAR OJF2_RS10580 MSRSRLMLVLAGLIVAQAADTVSSYAQPPGRGGRGARGAAPAGN QNGFNVPLTGLIVLAQNDYVQEELKITDDQKQSLKKLADDQQNRNRDSMQRLRQQTDQ ATSKAAQDAQAMALQHEMMALANSANNTGYGGGLAGQINSYSGFGYSNYGANQVDPAA LQQAAAMQGRMAANAVQSESWQMMRQSFLRMEQEADRAVARVLNKEQMKRLREIRLQA DGAASVLRDDVAQNIELDPEQAGQIQQVLRETGQKKREVERLASQSLRGIAPQSGGSN RGGGRRGQGQSNAPAPPDDASLQKALEKPDVKAKVQEAKKALTQVRDREYSQVFKSLD RRQVSSFKKLLGKPFDVDAMSNPLFRALAQANAKSQESKDKAKPATKSGSSKDGADDA AADSGASSDDPKKPATTRRPSPSQRSRRGTGQQTSGDDSSPN OJF2_RS10585 MDHRRIGLRALVLGAAILELSSATPARAEDWSLPDSRIGTRTAP LLLLSRADVQAELRLGGEQVAGLRTIIADLTRRGLALRGKTGPAVVVERRAIDQAQLD WLGENLSRNQLDRLEQLEIQWEGPGAMLSRPRVAEYLRLTDEQRQSLAKVIAERNTAL ARDPASAGKESASFHGRIMASLSASQQELWYRLLGTPLAFHQQPRAATRDDATQRAGH TTEPR OJF2_RS10590 MAGELPRLPLLQQREIEARIVGPLVRAFAREFGEEPTIATLRGV ITTLAREGGKRLADDLGTDSLEAFAGALDRWRENGALELEIIEQTPERLSFNVTRCRY AEMYRSLGLGDLGFSLSCQRDFALIEGFSPEIRLKRTQTLMEGASWCDFRFRRQATDQ PPAAEPE OJF2_RS10595 MAIGRQFARPIAWMLLLLFVCHLWTYDPLHDARTLGPDGVSILP DVPYGTGDSSARRLTILVPSAESRTQRPAGNIGAILAIHGGSWIGGSRYEYYPQLIRL AQHGLTVFVADYQLARPGMPSWPAALEDLRQAVRWIGRNGHRYQTHPGQLAILGSASG GLLALLLADEPDVRVKAVISLYGATDLEELVRERRLEQEPVKAFLGADAPSWLDRARL ASPIHRATPSKIPILLIHGLSDQWVLPEQARRYDRVLARRGVMHRLLLLPDARHGFEL QLGDPSRSDLLPNILDFLSSVSHD OJF2_RS10600 MLQHLGVAWPDNECRIDANAKKNRSAHRYRTNSEFHLEDRPLAS LGVPLASAGHSPQVIMSRISPDHPDIPRSDSSASRRIASLNGTSGVQRRHKHQSASDL NPTDGTVFSDLVYTTPGEPMAKLDVYIPPGPAPEGGRPVILAIHGGGWRKLDKRDYGR RVASAFEPKGYVVVAPDYLLSRRGRPSWPVNLQQVETAVEWIKGKADLYGIDPNRVVA MGESAGANLAELLGTPAVPDSSSAPATSPAVAAVVAFSGPSDLATLYAQSPRAGKAAA QFLGGSPSQVPGNYRAASPALREGAGGVPTFLVHGGSDPLVPVGQSLEMASALRKFGI PERLVILPGLGHDLNFPVNTPGDLTGQILEFLATTWNDRTINP OJF2_RS10605 MATGAHTGGAISVIPENLDFSGEFDPVLVTAADRPDLDTLPPTP DPAADHQDPELARRRQDVELKHDRIRAYLDATEQDAVVLGRAGSVAWFSSGGDLGQDL GSDVSSVLFFINRTSRAVISDNVQSSRVFEEELAGLGFQLKERPWYNDPFRTVAELSH NKRVACDLGGSAGLPWRKDGDPLKNLRRQLTSLERQRLRELGRTLTLAVEATCRNFDR GEREADVAGHLAHRLHREGVTPVALRVASDDRLERYREATSKDAAIQRRATISVTGRR FGLCASVTRTVSFGPLDPEYARHHNLATMVNATYIFFSRPGLSVADVFRRGKRIYEKF NAPHEWTLDYQGVALGYSPRDLVLTPDCDLILEPNMALCWSPSVRSARCEDTVVVDER GYEVVTAAQNWPQLEVSVKGHAIMRPGVLVR OJF2_RS10610 MNISWKLGRIAGIDLFLHPTFLLILIPGLLGGEPSLPLVVTLFG CVVLHELGHALMARRFGIETLDITLYPIGGVARLERMPRAPGAEILIALAGPAVNFAI AGLLIAFQSLSLGDGEPAASLGLLCDNLLVLNLVLGLFNLLPAFPMDGGRVLRALLSS FVGRPRATSIAASIGRAAAVAFGFYGLLHWNFLQLALAAFIYFAAGAEEAGVLADERR RATGERDEDIWSAPPGYRWVHRGNGVWQLAPIIVRAQPRPGASPWTH OJF2_RS10615 MDPLTPCLELGVSEAYAILTERLGVEPGSLPPLEAIENEDWGRD LLFERFLDFTAEDLAEVGLRLE OJF2_RS10620 MSDDASRPSESPSSPRMARDGVDLFEALDTTIQTGGPEAAIAKL IDDLDSAGDYRALLDALLLKARHDLGLPLLPWNSLSGLAEPGRGRFEERYVEAIRLVA SRYLEAGEIPSAWSYFRAIGEPEPVAAALDRYAGTDDAEMLGRVIDVAFQQGANPRRG FELILEHYGTCSAITALEQAPPADPAIQVGCIGALIRHLHRELHSNVRADMARRGWTV TESPTIAGLIAGRDELFADEAYHVDVSHLSATVRYSILVTDRDALSLALDLAEYGRRL SPRLQFEGSPPFERTFEDHLDYLKALAGDDPEPALSRLRRRLESPAEDDFEATVPAQV LVNLLTRIGRHEEALELLAERLGHLPDQMLSCPGLTELALRSGRMDRLAVHSRRLGQA VSYLASRLQPARSANEERPTAAGKRTD OJF2_RS10625 MTEDEQLLNRALPADPSPQVAALGAFTHSEPWRVLRIQSEFVYG INALSSVGAAVAVFGSARFGESHPMYEQARRLGAMLADSGFAVITGGGPGIMEAANRG AQEAGGYSIGCNIELPFEQSSNPYLDLSVNFRYFFVRKTMFVKFSEGFIIFPGGFGTL DELFESLTLVQTRKINRFPILLYGKEYWKGLTDWIVGTLLERKAISPEDLNLLVVTDS LEEIRDCIVECYKTRCWATWKRSMGAKVDADPPGAPRTAPDPSKGDGE OJF2_RS10630 MPSPIVPAPQPASPDVLRDSPFLKACRREPAEITPVWLMRQAGR YMSEYRELRAKVSFLDLCKRPELATEVTVTAAEVLGVDAAILFADILLILEPLGFQLE FTKGEGPAIHNPVREAGDVDRVRPLQTAEPLGFVADAVRSIRAALNPATPLIGFAGAP FTVACYAIEGGGSRHYEKAKAFMYRDEGAWNVLMSRLVDATAIYLNSQVAAGAQAVQL FDSWVGTLSPADYRRFVQPHMRRLFGQLDPSVPSIHFGTDTGLLLTLQRDAGGSVIGL DWRVELDEAWDRLGPSVAVQGNLDPVVLFAPLPEIERQVGRILDQAGGRPGHIFNLGH GILPKTPVDHVRALIDMVHEKTSRN OJF2_RS10635 MKGPYRVIVVGGGLSGLAAAHRIHERAKAEKLAIEVLVLESRDR VGGVVWTERFEGFTVEEGPDSFITNKPWGVDLCRRLGLGDRLIETDRAHQRSFVVRKG QLAPVPEGFVLMAPQRIMPILTTPVLSWRGKLRLLMDLVIPRRADEAEESLASFVRRR FGREALDRLVQPLVGGVYTGNPNDLSLKATLPQYLAMEQEHRSLIRAARRDAASSRPR HRQASGARYGLFVSLADGMDSLPRSLAASLPAGSVRLGEPVRRISRSVASNRWLVELL DGSPLEADAVIVTTEAHATARMLDGEDPGLALQLRAIPYASSAIITVAYRRDQIQHPL DGFGVVVPAVEGRSILAASFSSVKFPCRAPEGTVLIRVFVGGATQPDFFELDDAALSS LVARELGELIGATGPPLFERIARHPRAMPQYVLGHLERVEAIRTKLARHPRLFLTGIA FDGVGIPDCIHAAESTADRVVEALTKSIIVAA OJF2_RS10640 MNRLASAAAASCIIAALAALVGLPLAATAFEACGPRRVADFLDA SGLSSGARWIRETWPPEPEPASGLSLDPAATARMLDDRGGLPRPARLALESLGLVAAT EALALPVGVALALLLFRTDVPFRRTLLGILLIAAFVPLPLHATAWLGAFGNAGRAQLL GSRPLLVGRTGAAVVHAMAALPWVVLIAGLGVRAVEPDLEQSALLDMTTWRVAVLVTL RRSLGAIAASSVAVAVLTSGDMTVTDLLQVRTYAEESYVQFTLGRGPADAAAVAIPPL AILAAAILGLALALERSDPARLAAAFGRVDAWRAGRRSAPAWMLVLLVSNVMLLPLYG MVWRAGRVGGRARLGLPPVWSFEGLAGTLSFAWEESWEPMQTSLWLGSAAASIATALA WGLAWKARDSLAWRITLLATVSLAFATPGPVVGMAIGLAYRWLPVVYDTGLVVIAAQA VRVLPYAIVILWIAIRTLPGELLESAAIDGLAAAGVVAKVAIPLTAKPIVAAWLSTFV LAFGELPATNLIQPPGVSTITGRIWSLLHTGVESHLAGVALITLAVIASSLMGVAAIA WVGRSLRGRLTPRR OJF2_RS10645 MKLGLINSAWVQAGRGTSYGIARTRELGFDAIDVFADPLDIDAK ERRLIREQAAAAGLPIVSVCCVALGLIDFNPSVQRFHVDRARAYLDMAYDFGARNLLL VLGEYVWQKEVIGPDEQWAAAVKHVRSLGEHADGLGLELAMELEPFRLSLLGDVPSML RFLQDVDHPAVKANIDISHLVLAGQPPSAIADLRGRVAHVHISDCDGKVHGDLPPGRG VVDFPPYLDAIKDLGIDDATISVELEYSPDPDHIEAWVAEAYEKTAALMRKAGLRP OJF2_RS10650 MLRIPEVIQAWSVPAWAAWNLGRVLFAGVLVGVCAIMAAAWIAL RRLRKRHTDLSSDLEAAADERRRAVQALRHTEALYYSLVETLPQNILRKDLDGIFTFA NRRFCAELGRSLDQILGRTDFDFYPRELAEKYRRDDRRVIESGQVLDVVEQHVTPQGE TLYVQVMKSPIFGDDGKPLGIQGIFWDVTARIRAEEQLKEQYVTLQELAHSEHQAHQA LKQAQSRLVQTEKLASLGQLVAGVAHEINNPLSFVSNNVAVLERDLADLLAIIQAYGE ADRDLERVRPDLAAKVAQLDERIDLTYSMSNLPRLIERTREGLRRIERIVKELRLFAR VDEGEWNEVDLNPGIESSINIIKGYARKKGVKVAMDLGAMPAIRCRAARIHQVIVNLL TNAIDACGEQGEVQVRTRSEPEALGIRIDVSDNGCGMDPETRDHIFDPFFTTKPIGQG TGLGLSISYGIIEEHDGSIEVQSTAGGGSCFTVHLPLEPRRKPPSPAAATGLSTAFDS TQDATIVEDHPREVPTVAAASVSEESDSATGDSSK OJF2_RS10655 MTAPSLGPEDHLPDWPLRRDVGIGIVGSGFIVRDCHLVAYRAAG FRVVGITSRTRARAVEVAASRGVPCVFDSLAAMLDDPAIEILDVAVPPAAQPGVIREV LAHRGKVRGILAQKPLADSLAEAATLVAACERAGVRLQVNQNMRYDHSVRALRRLVGR GVLGDPVLATIEMRAIPHWMPWARGGRSLSTFIMSIHHLDTFRYWLGDPDRVLASSRP DPRADFPHQDGINLYILEYGSGARASAWDDVWTGPAREGSGADLSIRWRFEGTDGLAV GTIGWPGWPARVPSTIDYSTRADGGAWHRPRWPQAWFPDAFAGTMAGLLRAVEAGADP DISGRDNLKTLALCEAVLAAARDHRVVQLEEFAEGTG OJF2_RS10660 MSLRGPTLDELRRTVQKGRHREIGNWLARRVSRPSAIYGTWAAL RIGLSAHQVTIASLAASLAGAAAIGSGTRTGFLAGIGAAHLGYWLDHVDGQVARWRRT SSLDGVYLDYLMHHAVNMALGFALGYGLAMRTGVTTWALAGFGIAAGWGLLSLHNDCR YKAFFQRLKAANESYRVDGGSGGRPSPPAPWPRRGPGMITWPAYKACEPHAVLLWMTA LALLACVAPRLWLRCWEIATLGMAAMAPLLAAARICRGVRRGSVEEEFARWFRPEIPR OJF2_RS10665 MLDLAMALFLALVAAGIGRRLMGAMVDLPEHPVDVFALAAPLGL GALSLGCLAVAAAGWLNLVGLAVLLAVATELGMFAGAGLVADFFRLRGRVSGGAPASP MDRILGVCLASTVAATALASLSPVTDGDALCYHLQVPKVFLVRQSIVFDPDLHETIYP LITEMLYAIALEFRGPVACRCLHWVLGLVFAAGVTALARPNLGRRSWWAGAVALLVPA VSNGMSAPLNDVSLAAFGVAAILGWTRAVARPTPGAWMAAGALGGLALGVKYPALVLV ALLATATPACWIAIRDARNRRGFARYLKGTLIFAATAGLVGGVWYLRAAVHTGNPVFP FFKGAFGGAGLDEVLDPIKRPLPATAWNLLTCLAPLSLDPARFDSFAHQFGPVFLLFL PALLIERAPRRVLGLAAIGYAFLVACMTQRQSMRFLLIAMGPMSVGVAYLACRWSERR TPPGRALRAAFVLLLCMEAGIAATRGARTARAVLGGETFHQFLARLEPSYRVGGWIAR NLPATSRVIGQDHRGFYIPRDYTMELAHRRRTGLGARGESAGEIVDTLRREGYTHVML CPPDQGTSVEFDPTLGGLLSPWLEDHSPLYREDLADGDGVVRHYAIYSLEDAVRQSRK PEQETRTR OJF2_RS10670 MSVEAPAMSILPVRAWRALSARGPRYAWHKVLRRSLGRWPSWKR RLVYADPRHYWTMRGGHDYFREQEGQAARTDRIEWLADRIAAYRPASILEVGCGYGKL LRALRARLDCHLVGVDFSATQLTQARSFLGGLARVDVALASGTRLPFIDGAFDMVVTS AVILHNPPPQAEQIRREILRVARRFAAHNEETSVSYNRYGYDTAAWYRGEGLDLVECG PIPADLEPESSQFCVARLPYDLPDELSTRLG OJF2_RS10675 MGVRSGRASVADLAFQVYDRALHPDWFGTRSHRRLSMPRWEADV RIIEGGHAVIFGDRKVRITELLAGREIDAPVAGRLHLSPVRSERSASFHPGGVIEYQT CYEVERLHPDVFRHICEELVANRPHQDLVHHVRSTNRLLPSPLSQVHVEPRALGLSVQ CFHTFPEENAIVRSSSLYELTRPGGEA OJF2_RS10680 MEKMEHIRGKILQDELIVLDPVDGYLACHDHKGRKTYYGYFEMD SEQLKVLSHEVCYRLILADGRKGNVYTEIVPSNISGKSVAEFHVTGGLKK OJF2_RS10685 MPHLSRIDRVRGSLLGLAVGDALGAPLEGLTSQQIRTHYGRIKN YVDGVQAWKRKPYRWRMRGLYSDDTQQALAICDVLLECGRVDPDRLARTYVDLANPRG AFAGAHRGIGRSFRKVLANLEGGTPPLWSGHISAGIGAAMRIAPVGLFFEDQGEDLYR SVLAASIMTHRDVRSLAGALAVAHGVRRMAAGEPRDASLLLWVAADVARDEARIAEDY GEVVVSFKEHARSLSRALAHAESLLDQPRERALSALVEEANRHGAVPACRKATMGFPP ACIPTCFYLLLTTDSFEEALVEVVNLGGDTDTSGAILGALAGAYYGIADIPRRWLDGL QNRCAIDLRAQALSNRTAEGLDIPDLISTEHELTRVEGETLENQAPLSRNGGDRGANR VV OJF2_RS10690 MSRTISDRIRRRLHEAEGYLELDLPEHALQILESRRDWPGLQFE ACLIHGESLRRLNRHREAITPLELATRLRPDDTRVALALGWCFKRSNRLAQAIDALDR VRKHHPDNALILYNLACYWTLAGNTSRAIEELRAALRLEPDLRSQVVGEADFQRLRGN PDFESLVMGPAPRL OJF2_RS10695 MQTREETGIRPLESAEDLFETRLEAVGFIFHGNLPVAKGKGSSS AGTNLLHFARCAKLEKTGEGETKIWFRSVRVAHKHLDELLGAKKWKWCKLCEKEITQR VLDER OJF2_RS10700 MLRETVGHLRDLPRYRQILTTLVRYGYHDVVAALHLEGIIRPIE RVATGGEATPQDRPRRLRLICEDLGPTFVKLGQVLSTRPDIIPEAYTVELAALRDDVR PFSFRQVVRILEADYRRPLGEVFLSLDPEPVASASISQVHRAVLMDGRTVALKIRRPD ITKVVQADLDILKNLAQLAERRLGFLAPYKPMALAREFERSLKRELDFTIERRTMQRC RELFSGDPTAHIPYTVEEYSTPRVIAMEFIEGVRIDDVEGLRAMGVDPAAVAVSGGRL MLRQIFEFGLFHADPHAGNFRVLPGGVVAPLDYGMFGQLDATLREYIADLLTGLIVQD PDRVIRALDALDIRGDNVDPKALRRDVSELVQTYSRLTLDHINLGLLLRELIAFIRAY HLHIPPDLVLLIRSLVTIESVGRTLDPRFDIAAQLEPFLRRLTMRRFHPLRMLHQSAR TLEDVQRIATLLPDVLSQSLASIRRGELNVRFDLQGFERLVRQLTRASNTLAVGIVIA GLLVSSALVFREGGTSLAYSGFASGLILSLWLVWNMSRQ OJF2_RS10705 MRILDRERYWAFLKAYMTCYISLVGLYVVIDAFSNIDEFMKRCE GFPELMKAMGRYYLIHQAAYFDMLGGVISMMAAIFTVTWMQRNNEHLAMLAAGVSTHR AIRPVLVSSVLVSGLAVSNKEVIIPRFAEDLQKSHDDDGTRNVTAIPSRRDERGVVLS GLEAVRSKQTLVGRVNATIPEDIYGKLRELEGQQATYIPEGMSRAPMRGGWLFRGATL RPDIEPEQLEPDASILTLVKDEAGFPPQHGPGILPGRTYFLRTSLTFKAMTRKPDWYQ YASLGELFDGMADPASEGTERNDITVEIHQRFLKPLLSLTLLFMTLPLVLGSYGKNMF IGLGMSLGNSAMFYGLVIACAYLGTNAVLPAALACWLPLFAFGLLATWRWDIIRT OJF2_RS10710 MKILQRYVCGEVLRSFLLSLLTMSAIFVLFMVAAEAMRSKLLTP SDIAELVPYVIPSTLPYTIPVSLLFSVTVVYGRIAGDNEVIAVKASGLSVMTVIWPTL FLAAALSGVLIYVSRGWIPISAHNAKMVIFKDVEDTFYKLLKRDREFNNRDWPFFIKV KDVEGRVMHDAIFKKRAKRKDNSDTFSAAVHARSAEMHFDFENRMVRVTLDKAEVQNY GEKEEDVMLINDEVLEIPMPQDNKKAMQRAVQEYTNAEIDAELMESRKKLESDRKREA IRTAFQFATGRYDEVAWASVQRAQVESTYYKRRINELETEKQQRTSMALGSLLFAILG TPVGILFAKRDFLSAFISCFVPIITVYYPLILFGVNMGKEGTMEPWKALWIGNLVLGV LAVWVYPRVIKY OJF2_RS10715 MQTELDTLSEVARVPGESTDRRSPIQPPRSTPPIHLSQAAIRTS APSITNLMRMALENPGIVSLAAGFVDQQSLPLEVASRAVGTLMADPVEGRRALQYGTT IGHPGLRTRLIERLERAEGVSAGSYREAIERTVVTTGSAQLIYLVCEALLDPGDIVLV ESPTYFVFLGPVETRGGRAVRVPIDEGGLRIDALDQAFAKLQAEGQLDRVKFVYTIPE HANPTGISLATDRRKPLVELVRRWSGVAGRRIFLLEDAAYQGLSYGRREPGSLWALDP EGETVILARTFSKTLSPGVKIGYGILPKGLLEPILRLKGNHDFGSANFNQQLLEHALA SGDYDRHVEHLRDLYGRKRDLFLEALGEALSGYGDRVRWTRPRGGLFVWMTLPEGVDS GFEGAFFANCLKHGVLYVPGEYAFAPEPGPVPRNHLRLTFGVPSEAELVEGTRRLAAA LESSLSP OJF2_RS10720 MERRGLNVRKAVLKRALRCIRWLPLTTASNLVSGFGRLEWRLHR PLRQAFDDAVGEASRSLGCDWDVPRVSQELAGNQLLWRSRDMLLDGSSDERALAMFRV NGKEHLDAALAEGKGCIVLTSHFGAHMLPAHWMYRLNYPLRLYMERPRSVSRFMARRF GTDGPLAQDKLFISRKGESTDAAGSILRATRVLRSGMILFLAGDVRWSGQMTETASFL GRTMRFSTTWILLAAMSGAPVVVVTCPIGPDRRYDLEFRPAFRVPADVQKAGRIREWV ERFLAIVEEQIRLHPTNSNDYLFWEDGKEDAS OJF2_RS10725 MRDASRERIYVVGLGASTCLGPDMDTTWRALVAGRSGIRRHESL GRDAYLQDVAGLVETLEPDGGTGDRNVARLSARFILLALKSAREAWADAGLMKEGSPA SPAVDPGRVAIAVGSAFGGVDFLEAQQARMKRRNDLSVSPFLVPGLLINQAAGQVAQN LHLHGPSVAPSNACATGGHAIILGAMALRAGDADLALCGASESAFTPAIVNGFATMKA LFQGKPGDRAEADPSQASRPFSVDRDGFVMSEGAAMLALATGSAVERLGLEPRAELLG YAMNTDGYHIAMPHQDRIVECLRLALAKAGLEPTAIDYYNAHGTSTALNDRVETASVK EVFGDHARKLPISSIKGALGHGLGAAAAIEAAVCVRALSERCIPPTINHRPDPELDLD YVPGESRPAELKIAASASFGFGGTNNVLILGRP OJF2_RS10730 MRLIKFTQHEEPTPHAGLLDGDRVIRLATGDRALSQILEADDVP ATVEALAAKADPALPVDSVQLLAPIDRQEVWGAGVTYERSKVAREEESEKGATFYDMV YRADRPELFLKATPSRVAGPGRPIRVREDSSWTVPEPELALVISPSLRLVGYTLGNDV SARDIEGENPLYLPQAKVYDACCGLGPTIALASSLPDIRNAQMDLEIDRGGRVAWAGS TPISRMVRSFEDLIGWLGRDNRFPDGVFLLTGTGIVPPDDFSLRPGDVVRITVEGIGT LANPAVQGAPS OJF2_RS10735 MTKLFGIKTLATFAGLGLSVFLVSGCNPDEPAKTTTPPPPPAKT TPAKPDDKKGAAPEAKPADAKPADAKPAEPKKS OJF2_RS39155 MLDTMQAVAAQGELFTAVVDLIHTASAKSRTQVIAPGSLLLEEL SLDSLDLVRIIMLIEDRYQVSIDLDEVPKLKRVEDLTATLARELRAAA OJF2_RS10745 MTGIRALAVAALAIGSFGGAAEAASLSSWFGSSSPNAWYANQAN SNGGFYAPAGAPTATYSNANWVNSASYMSSLGTVAAPASVIPSPASNAAPASSTSTSN SLGSAFINFGNAPYAESSNLTTGGAQAWYNSPAVTQVFGGTPSAAQQSSFQSAVLADI ARTFQISGLSINLTTDPNAYATHEMSVVSGTSYPGNTGAIGITDVGASGFSFIDKLNY ASTPDQLEWAVAHNLAHELMHAVGVGTHPDTTGTFLDTATATWSMLTDPNAKFSPQAV QMMLAANGGTMSGYSLGAELLKLASHPANCHCHFCQAMRAMGLDSSLIVKGLGIDGEQ MLEQPVPEPATVLTWSLAGIGGLAFARRKARRAA OJF2_RS10750 MLRLVYEAAAADCQRPRPLPLGLAMNTANLVLALAVLVFSPAVF LPDRPRRPASSAPRPEIRGPIRLLHWLMIGYCCLLHRLRSNLPSPLPETGPAILIANH TCGIDHMLLQAASNRILGFMIAREYYEWPKIHWFCKLVRCIPVNRDGRDIHATRAAIR ALEAGRVVPIFPEGRVTAASGRVLGPMRPGTAYIAVRTGVPVIPAYLRGTPETDQIGE SLVTPSRSEVRFGPPLDLSDFRPEQAGDKDVQAEVSRRFLRAFLDLAGEDVTLKAAPA PAEPAVAG OJF2_RS10755 MADPRIADILEQYAAPLQPRGEVLALGNAGGLSGSAFWRYQSEV GELAFRAWPAGVQPARLGTIHRWSAEAARRSGLPLPVPFADRSGWTFRHGDGRLWELT PWLPGEPDLDRPPSVQHVRAAFEALGRFHASLEHLGQQGASPALASRLAELGHLERSG FEELASVLDASRPDSVAEAGLRWLRLARALVARILPSLRLSSALPVRLQPCLRDARPD HFLFQGDRLSGLIDLGGMDLECVSADVARLCGDWLGERPGLRAEALASHAAGRRRPLD EPESRLMPAFEASADVLIAGHWLRWHFLEGRRFDESVAVAQGVARGLQRAIGLAGRLG LSPLIEWARPLEQPPG OJF2_RS10760 MPPRARIQRGFTLIELLVVIAIIAVLIALLLPAVQAAREAARRI QCVNNLKQIGLALHNYHESRGALPGADMVFNVTELSALTMLLPMMEQTNVYNSINFSF NYQDPNNTTAMYTAVSQFICPSDQSPPLPALGAQTNYMADMGSGIVWQAPIGPNVGMP MPNGVFHGDVSNKFSAITDGLSNTAFFSERILADGNNAIVSPIADVFFSPLAPTDVET AYQMCQAVNINDLNNQFPLFMGAPWLCGQHIFTHASTPNSRSCGFFVALRAVMPPSSY HPGGVNVLFGDGSVKYIKNNIDRQVWRALGTIAGGEVVSADSY OJF2_RS10765 MTRIDGGRRFAALACLAMAASLGASGCSGGSAHEVDPSRARDAL VTALDAWKRGEDSKSIPAMTIQDLDWQRGAKLEGYEILGEGQSKGANLSVQVKLKIAA APGKKAVEKPVYYLVGTSPSVTVFRDTLRR OJF2_RS10770 MIPRYTSLLSVTAVLAAVASSASADEPKRPAASAPAAVSPTGPA NAEAAEIIKALSAAWPDRPEWLDMYTAILDDEEMGPQYGWFRSAVTQSRYGWDATRKR YDKDGDAKIARNEFPGRDADFARLDLDRDGAVTKDDFDKAAAPVGASPGLMLFSRADR DGNGKVTREEIDRFFKAADTDGHGFLTRSDLEAALPMPSPSRAMSPGDRPNKAMLIRG LFKQEVGSLQPGPKLDETAPDFTLRTNDGKSEVTLSKLVGPKPVVLIFGNFTCGPFRS HAGNFEKLYRRYGDRANFVMVYVREAHPTDGWRMESNDRLGVSTAQPTTYDERVGVAQ RCGKLLGLGFPMLVDSIDDAVGARYSGMPGRFYLIDRQGKVAFKNGRGPYGFKHDELE QALVLLLQDEESRASAGGSQAASR OJF2_RS10775 MALKILFIGDVVGSPGRKIVSQALPRLIPRWGLGLVVCNAENSA GGSGLTLRCYEELADAGVDVMTMGDHVYRKDEIFQIFERSDAVVRPANFPTESPGAEM ALVQARDGTLVAVFTVLGRTYMKPVDSPFTACDRLLERLGGTAKVVVVDVHAEATSDK QLLARYLDGRVSAVLGTHTHVATADEQILKQGTAFQCDVGMTGPHDSILGRRYDRVLS ATLSQVPCYFDVATGDPRLNGALVTVDPVTGRALSIQRVSLSQQDVQKMLTESGDGTG PSPASEPLRG OJF2_RS10780 MDGTINGGLIGVILGMAGSLAGSYVLGRLRAQTARGLADQIISS AHREADAIRLQAELAAKEAAFQQRQELDREVDQARKEAREQERRLEKRSDLLDQKLEL IGRKERDFEVMQRTLADQHEELQKRQQEVRELISDQREALHRIGRMSLEEARDLLLRR VREELASDVGTLIMQHESAARETCQQRSREILTTAIQRYAASHTAEVTVSTVDIPSDE MKGRIIGREGRNIRAFEKATGVDVIVDDTPGVVVVTGFDSVRREIAKVALEKLIQDGR IHPTRIEEIVQETREEMEEHIRRLGREAASEADVPELHEKLLDYLGRLKFRTSYSQNV LRHSIEVGFLTGMMAEEIGLDGALGRRCGLLHDIGKAADHEMEGGHPAVGAELARRYG EGPEVVHAALGHHDDLRVDRPYTVLVAAADAISASRPGARRETLDKYVRRLEELEALA LGFPGVEHAYAIQAGREVRVLVDSQLVDDAAAAALCRDVARAIQEQLTYPGEVKVTVL RETRAVEFAR OJF2_RS41170 MPRDRRGRIALTSDQSTSTQIHQDDTAVTFPDSRDPATAAITL OJF2_RS10785 MRGCLVLHGLGGGPYEVDPLIQGMSECGFTVHAPMLPGHEGPGP RMPASTWPEWAAASEAAFDLLAREASPVAVVGFSTGGTLALRLATTRPVARLVLLSPF LEIRYTRLLPVAAIRYVRPLSRLIPSLPRRRPAVRDPESLRRVVASAGFRTFSVRAAA SALELIEATRPLLPAISVPTLILQGALDSVVEPRGARRILEELGSDWKRLLTLPSSDH LIALDRERDVVRREVVAFLRGDPGLRGGEPSSTRPQASPSQGIRQE OJF2_RS10790 MNPAWGAILHWTPRVLGCGFVLFVAMFALDVFDETHGLLETLLE LIIHLIPAAFALVAVAISWRWPGAGALLFGGLGASYIAMVWGRGHWTWYVAIAGPCFL LGLLFLADALARARLRAG OJF2_RS10795 MAGDRFLSGRRHGHARLSAVLVSLVLASTGFRAASGEVLDEGGR DPLTLATNPGGDVPTGVDLVRLRLFRNEILRWTILADGRNLKRIPQPPAIVVEPPAEA GAAAPAPTPIIPQDDSFDDWAFGGGDGLAKFREQLDRLLESRLREVEAMFALSDAQRR KLRLAGKGDIRRVLDLIEEAREEFDQARVDVRRLAELQRDLKLIELRVSEGLFRDRSL FSKTLRKMFDDRQLTRRPAGSRTIR OJF2_RS10800 MEDLEPRLVMSAGVLTYHNDIAGTGVNAAEVNLTPANVKVGSFG KLLGVNLDGQVYAQPLVDTGVTIVAGPNTTAGSAGVHDVVFVATEHDSLYALDASNAG GGAVLWRRSFLDPSNPGSGSQPDINNTLGATAITSVPNGDLGSSDISPEVGITGTPVI DPSTNTLYVVTKTKETIGTGAHYVQRLHAINIADGTDRVTPYLIGDTRLSNNTQIYVY GTGDGSVVDPYNGTGRKVVQFNAQREAQRMALSLVNGSLYASWASHGDNGPYHGFVTR WDVSNLANGMPLTGVLCVSPNDGEAGLWEGGGRLSFEANGSAFYFETGNGTGGAPALD AGGFPADANYNEALVKVVNDPASTPTGQNPNGWGMKVADYFIPYNVAALDAADQDFGS GGPLILPDSAGIPGHPHLIVAAGKQGKIYLIDRDNMGKYNATNDNVLNAVVNGSGNST PPVQIGGSLSTPAYFNGALYWVSGYNSTARAYRVQSNGSLGITSVTAVGSFGYLPGSV SVSADGTSNGIVWIMDRNANLIRAYDATTLATELWDSGQKSGGADSVGAVVKFATPTI ANGQVFVGTTSGLVVYGLTPPAGSAPSKPTNLQATTLSGSSVKLTWQDPTTAPNTATG YSVLESVGGGPYTEVTTAPAGATGISVGGLQPNMTYSFEVRGFNGVGSSPPSDPVTVS TTNVIPVLDFSAGFAGAASKLTLNGSTSLVGTRLELTNGGKNQAASAFSTSPVDVTKF TTQFTFQVTAGAGTADGFTFCIQSKAPTSLGSTGAALGYGPYSAGGPGGIPNSVAIKF DLYSNQGEGVDSTGLYTGGASPTNAGSINLAPSGVDLHSGDVMQVEMTYDGTTLTVVI RDTQTGKSATQAYTINIPSAVGGSTAYAGFTAGTGGLSAKQDIITWSFAPNAPQAPSA PSGLGASPATDTSVSLAWANNATNQAGFHLDRATDSGFTQNLITQTIASAAATGFIDA APGIAPGGTYYYRIRAFNTAGDSANSNTASVAIPLAPPKPSDAAVVNVGTGEVDLTWT DNAGRAATSYIILRQANGSGGFTQVATLPALNATPPSTYPTWADTNVVPGTFYEYHVQ AINTSGHNDFTGTNATTITLPPSGLTAKANGNGLALTWTAPAAYGTLSYNVYRSTTPG GEGTTPLAAGLATTAYIDASATPGVTYYYEVTAVNANATRTPPLPAESAPSAEASASL AATAPAAPTGLTSSVPFNTPVASVLLSWTASAGAAGYNVYRSLSSGGETGTPIATMVT GTSFTDAAAAFGVTYFYKVTAVNSGGESAKSGESHAMPLFLTHVNFTTANGLAVADYL ADTGLAYSAGTRANGLQYGWNVDNTANARDRNAVNSPDELHDSLGHMQKPGNTNAWWG IAVPNGIYSVHLIAGDPTAVDSVFRINVGGTLSGGVVTGGTLAINGTPAASPNSAHWV ENTVTVTVTGGVLYVSNAAGAANNKIDEIDVTQVLPGVDFDAGFTSTAGLTLNGGAYV KQVGTALQLTDGGRNEAASAFTTNQVYVAGFSTSFRFQLSSGNTLADGFTFCIQGNAP TALGATGSGLGYGAYSSSGGNSLSKSVAIKFDLYSNQGEGSNSIGVFTGGAPPTVGGL APQGGMNNLNGTGIDLHSGHVFDVTISYDGSLLTVKLSDAATGASITRSYAVDIVTAV GGNLAYVGFTAGTGSLSSTQDILNWTFSPDA OJF2_RS10805 MRMRLAALVFLLACPSATACINDEESPSHEREFRSSYLKDLGRL AGDAEGSRGGFIDEAWYYAGGAAVVIATLAFSARRERAAGGQKPCQVGELA OJF2_RS10810 MPGRRAGLIVLRLLVIGAAAMAGRWLGAGLCGARLAVLPADRFP FLATPYPLPHHVPKDPGGLALRFAMVHDVVHERFPRHGRAYYEWRDRKTRERLARLDE ADPARFPLLDDLGAGLERLGRSDEAVAVLRDKLARQRRLGVAGKELYTSYANLGTFLI HAGFPKALAGDREALAGIEEGISFIRKSVEVNPDAHFGREAWQAAIAEFLAAAMRDPK LLRTYDCLGNRLDLRISEIINRETNWVGTGYGRPYDAAFAQGKTSEEFPAFWRPDVDL ESPANWPLVRDIRKHITRVGAEDGWDGVPVPSHRGPVAFDEPVMGIIGMWRQGGGANP HFALALGETMLRVGERFLAWSAFERASRLAGRYSRDTALQEFLREHCRSRQAEIEGTL QSRGGSVSGRSYAYHVDAYLTPAEIGRMRPAFEEELARGTEYQERMSDFEAAQIAAGE IESGEALLEEFERQHGAIATTPGAEETFDRVSKEAKADFIRERMKACGFFGACLFASV AILATSRKVRAPGIRPSRSDEFSGDGRSGIAVTDS OJF2_RS10815 MPAAHLTVLCAALLGWSDNITIQPSRGERGLFSSSRDNAGIDRP SDRTHETLKRYDLERTYRRRPDLALQSLEKLARKQPEAELVYALAELSWVEGLKQERW RKGEAMGRFLDAVGYAHDFLFDPELAAGRGPTDPRFLLACKLYNAGLERIIRTVQSKD PINPQGTIKLKIDGREQVLQVALSQSPWTAADIHKLILCSDYEVSGLAKSRNQYGLGV PLIAVRATDPKKEDRKAGERFYPDEMTFALTAFLYPNSRLRDPANEGDGPRRCSLALI DPVVQRTVASGSSTIAIEADLTTPLAYMWSRTDLERYRWAGLMRPEANLGRANLLMIR PYEPGKIPVVMVHGLISSPLAWIPMLDELLRDPVIQSKYQFILYMYPTGVPLPIAASS LRDALGQAKETFSPGDSDPAFDRMVLLGHSMGGLLSHLMTVSSGDQFWRLNSDQSFDT ILGPKEVLGDLQHLLFFEPLPFVSRAVFLATPHRGSGLSRSVVGRVGAGLINDPDHVT KLLTQLVRDNPDAFQRRFRRFPSSIETLDTDSKTLLAVLAMKQNPAVTYHSIIGSLRP GPTDESTDGVVAYKSSHLDGVASEVVVRSDHGVQASAMAIREVRRILHEHIGSGSQVA QGRPGLAATGTRPRPAASAEEIPAPATAAAAPPNQSPAAILMEPQPTVDGEPELLPAL PR OJF2_RS10820 MPYSSRKKTRWFSDSDADRPSPTAAKPREPLIDLAGVSLRFVNY TDKAYSLKRAVLDLVLRRENVAPVEDFWALRDISLRIDRGERVAIVGGNGAGKSTLLR VLAGIYPPTSGTLAVRGNVAPLIEMGAGFNPELSGGDNILLNGAMLGVPRRVMLEKVD DIWEFTGLRDFADLPLKYYSSGMYMRLAFAIATQIDPEILIIDEALSVGDAVFKDKAR GRILDLMGRSHAVILVSHDMATLRELCTRGIWLRQGQVVADGPISTIVDDYLAWTFAS AG OJF2_RS10825 MDVCTSTKAQETEEFPPAPVRRAGEVGVWRRRLRDDRAQLIRYW PVIQNLVMQELRVRYQRSLLGFFWTLLNPLLMMTTLSIFFSAMSPKTPHFPVFVFAGM VPWGFLSGSINECATCIIQNEGLIRKIYLPKLVFPITRLLINLITMALSLGAMFLLLG PMGARPSLPMVLLPAALVLFMAFAAGLGLLVATTNTFFRDCGHLVAVFLQAWYFATPI VYRAEEVFGQTSMWMFRINPAYYFIELFHAIIYEGLWPATGTWLLAFAIAVVSLGMGY AVFKSQEDKMVFRL OJF2_RS10830 MKTFLIRFVWGLVALAGLVGPGSARADFLATLDVTTTPEAGGLT LYQYMLSVDPASDQAAVLLLINVDAAAGLTSISGPAGWEIDYNTGDTGVSWLSPGSDT DLLPGQSAVFSFASPLGAGDEDFLTVGDAFGAVQGRIAGPSLLTVPEPPSLWLLAGAA AAGFPTMTGRRRARHRS OJF2_RS10835 MPTKPRRIRTKHPDLEPLEQRALLSHLEVLGSSFLITSSMGKTQ QVTASLPQGPLTSNLFNQATVQDPVTYVQTWTTLDGYQPDASSSDGVVYSLVGDFSGG TSILPPGLPQPAGSDPTPSGSITGSIKLELIPDEGDLPDSLSDVSLKVETNTEDPPHN SSTVALSYSAGSTSGSLSASPSVAGVGATDSADLKGLPFNTPIQLNWSFDATGGAGSF GSLDLGITPTLDIAAKYNTPEAGANVIGRFFRGVPIPDENATITVLQQFAQSGAASVT ATLGGSPLAVTKTGKGTYTTANFDPSTYANGTALKVSLTVNGELVATKQETLDVEPQP PWFIALNGKATFDTGSEVYTFNASLLDSHTSSSDYFSLHQVPGLWFGGGAKSGLDATI AVTAQTGLDPTEDPSVDGNVKVDLTFMGKSVYAASFDSDQQDGPFVLGVTLDPRTLAL QSGDVSYSETRQQKIDLFKNDQFKTANFTALSGVGIDLTETMDLNLSINADGTFVPGQ VAADGSLSGSRLSFDLKGDLSGKLLDLDFTSVAEARAILGVARRFLGATTPIGTILGS IATELAKDLGLLPSLSMQSDVTGSIELSGAVQLTGQNSGRLLYFDPSVDLSLTPPTLD LTWLGNTYEVAVLPSLFNGFLTISYHPKKP OJF2_RS10840 MIPKLNRRDAIGSMGLASAAIAAATSAASSRPAQADHVELGRYA HRQGVRGKMTGAQAVAAALRCEQVRCVFGIPGAQNNELWDAFKAYGQPYFLVAHEASA SVMADASARATGEVGVFSVVPGPGLTNALTGVGEALYDSIPIVGIVTDVDRSPGAPIG QVHGLANEAILRPVVKALVTVRHQAEIPGAVFQAFRIARSGEPGPVGVMIPYPLFMQA WDYDQPAPPPYPVPFDEAAYQKVLCHLQDRRKRVGIYAGLGCADAGSSLTAVAEMLQA PVATSVSGKGVIPDSHPLAVGWGYGKQGTRAAESAFKDVDLVLAVGVRYSEVSTANYA IPKHDTLIHVDINPQNLGRNVPAHVCLCSDSRVFLDRLLADGQAIRRPADPKLWERIH RQRQVDRCLARTVQVDRCVDPMYFLSQLRATLGPDELVIVDVTASTHWAAESIEVEGS RRFFTPADNQSMGWAIPASIGAKVVRPDRNVACVTGDGCFLMSAMELSSAARAGIPVK FFVFDDGAYHYMQMLQEPVYRRTTATEIARIDYEAFARAVGLGYNRIASNNDVLPGIQ RAFGLAGPILTHVCVSYEGREIRWLSALRTHYLDELSTRQKIRVAARVGVRSVGRAND SD OJF2_RS10845 MPARQLGTMITCGYPNLTPQSELDLAASIGAELLEILPDWRSFP DVGPLRRAAQDRGLRIRNVHGCWGGQSIKARRVDLGSVDAAIHRESIDDLRRCIDWLE AAGGTHLIIHPGGLSEADERQARRGQLARGLVELAELAAGGGMCLCVENMPPGVHPGS LMADLHDLVRELSHPAIALALDTGHAHISADVVGETLAAGHHLATTHVHDNDGRRDSH DPPGHGTIAWPAWAEALDRIRYEGPIVLECIRQLREDPSRFFHDVIAPLLGRE OJF2_RS10850 MPPVALVDPSTIDTSRVLGDRDAIHRFNPQRFEMEQLTAVIHVD REERLIIGYKDVAEDEFWVRGHMPGYPLMPGVLMCEAAAQIASYFCKEVGLFESGFVG FGGMEEVRFRGPVRPGDRLILVGKAIRLHRRHSIFEVQGFVKTNMVFHGKFLGVMISR EDELPG OJF2_RS10855 MTRARPSLDVSSCLLEPERFSPDACLNWNDLFGDGREVEMEIGS GKGLFLVNAAAANPDRGFFGIEISKKYARLAAERAVKAGVANVRLWPGDARPVVGRLV PDASVAAVHVYFPDPWWKKRHKKRRVFTGSLVESIVRILKPGGRLEVVTDVEEYFEVM RELLASEERLLEQPSPAAKEPEHDLDYLTNFERKFRIEGRPIHRASYRKAESPGPALR OJF2_RS10860 MGELYHECGVAAVFHALDEPVSRLAPIPGDVNSVARLVPRMLLD MQNRGQLAAGMASFRPDRQSLIRTHKELGTVAEAFRLNHRNEFEAIMRGADGPAAIGH VRYATCGGDDRYNAQPFERDHGRKAKWFAFAFNGQLANYNELKEELLSKGDYHLKRDT DTEIIMHSLAYELQNEDHQPDWVGVFGRLADRFDGAYNIVLLTAHGELVVARDPYGFR PLCIAEHGPLFGAASESVPLANLGFKNIRSLDPGCLVVASREGVRVERYAPSPRQAHC FFEWIYFANVASTLDDRSVYLSRSELGKELARLEDVPLDAETIVVPVPDTAKAAADAM SFALKIPSVEGLMRNRYVGRTFIEGTADRAAKARLKYTPLPEVLKGKRVLLVEDSIVR STTMRALVHEIRDRGGAREIHLRVACPPIIAPCYYGIDMSSRDELFATRFIDLPDGGV SEEAQQRMARELGADSLRYLPVDAIARSVTMSPDRLCRACITGRYPTQTGEDLYQIEA SSCPPDSASGGRAYERERERVALT OJF2_RS10865 MNTNPNTAGDGAAGAVTAGEAHPVARAADRDLVRDEVVLSSAIW VVKVGTSVLADPRGRLDPERIHHLSEQICAVMDTGRKVALVSSGAVGAGIGQLGLPRR PENLSQIQAVAAVGQSYLIRAYDEGFRRHGRHAAQLLLTHEDFDSRARYLNMRNTLHS LFEYDAVPIINENDTISIDEIKFGDNDRLAAMVTNLLQAPLLVILSVVDGLLRTDPVT QARTAEVIPLVPRIDDDVLGLAGSSRSALGTGGMRSKLAAAGQVTRAGGSVILASGTR PEPLTNILAGRPVGTLFLAHGQSHRARQRWIGLTARPRGHYVVDDGARRAVEAGSKSL LAIGIVEVVGDFDKGEVVGIRDREGREFARGLTNYPSTDARSIRGLHTHEARAMLGGA LYDEVVHRDNLVLIS OJF2_RS10870 MAGLGLALVIGLACQVRESSDPRSLIEQLGSSRYAEREAAEEAL ARLGTLAVPSLKMAASSRDLEVRSRAAALLKKIEESVLTQPTLVALDYRDLPLGEVVR SLSERTGMRLVLFPPNQPRWNTDRITLEEPRPLPFWKALDRLCAESRLLPDASQRAPD GREGQAVLLMDRRGRPIFPTCDSGPFRLTLAALEYRRHIGFASDMTEPPMPPAGPRPA QAPPPPRTVATSHFSAQIQLAAEPRLSVTLAGLPLVTEAVDEKGHSLAVPAAGPPQSP VPFNAVRPFARDAASTAFLTTPVPLMRPEEPGKVIKVLRGSIPILAVARQADPLVVPL AGAAGKSFDRGDLHIEIHDIRSGPNDRGRQIDLTARVASGSDAPGADSPATPGSSADL RGPQIEILDAKGQPIPWFRTGMEPEESRLTIGLAGQIAADARELRFYKVSESRVAVPF EFKDLPMP OJF2_RS10875 MFRYSERPSCRFRGTLLGTMALGAAVMFGCGTTARAQGYGPDPF RPYNSQYNAYIYPVAPSMNYGYNNAPPVVGSAGGYQNYLDSLSGMANGRGGAAVPYNQ ANRAYDEQFGRIYRPNREADAQFERRQQKANDIYFQYFREKDPKRRAQLLRDYNRART LEERDAGLAGGAARTRAVESGRARGEAGAARDPGADLGPPAIGGSRSAARRGSGLPVS SAARRAPRPSSPTRAASPSTVRGRKPEDILDRAKATEAPEGGPPPAVAPRRRGQDGPP PID OJF2_RS10880 MNTEFTPIFLLLMVAVVIGLSMLIMSAVLGPKKVTAVKQMPYES GMNPFGDARQRFDVRYYLVAIVFLLFDVELLFLYPWAVSQWSGAAAPVAAAEAAPAAV AGIPAAFRTLVFWEIQVFVVILVAAFAYAWKKGVFEWR OJF2_RS10885 MALNVPQGQGPIVTRPSSQLVVEPAHEVKVPENVFLSTLDAAVN WCRKYSLWPMPFATACCGIELMAVGASRFDIARFGAEVMRFSPRQCDLMIVAGRVAMK MMPVLQRIWLQMPEPKWCISMGACASTGGVFDTYSVVQGVDRFIPVDVYIPGCPPRPE QILRSLMDLQGKIQKGGGMFASNGLPELLEREKLMAERRSMPPGYSIAPERGDEDRYG YRLPGGPARLGGDESH OJF2_RS10890 MSSTTETLADPHAATLRALAREFGEGVFTTSRFRDNLRLFVPPA RLLDLLKFLKQRCGLNLLAELGGADYLGYPGRSRERFEVHYVLRNLDTSEKLVVKVGV SDPDPTLPSAYPLWKGADWMEREVFDMFGIRFEGHPDLRRILMPEEFAAFPLRKDYPL RGRGERHNFPRLSRGES OJF2_RS10895 MTANLLDEPELERDAKQVTWTLNFGPQHPATHTTLRLILELDGE RIVKATPEIGYLHSGFEKLGEHLNYNQYVTIADRKNYISPPLNEVAWHHAVEKLLGIE LTPRCQYIRVIIGELARISDHLLCTGAAALDLGAFTAFLYAFNLREQIYDVYEEMSGY RFHPGYTRVGGVLYDFNDRVLDKVRRVLDSFPKVYSDMSKLLFRNRIFLDRVRGVGVL TKEDAISMSVTGPLARASGVAYDLRKDEPYLAYPDFDFEVPYCTEGDCWARFIVRMEE MKQSHSILTQALKRLPGGPVNLPIAEKLSAPDKLTTYNSMEGLIQHFELIMPNRGFTT PREEIYAAIESPNGELGYYLVADGSEFAWRVRTRPPSFIHFAVFPHIIKDHLIADVVA VLGSLNIIAAELDR OJF2_RS10900 MPAEAPTKPARAPMLNEALRQRIRDLFPRYPSKRAVTLPALHMV LEHYRCVPMQAMEEIAELLEITPAEVHDTMSFYGFFPQAPLGDVRVWICRSISCMLRG GDELLEHACKRSGIEHGETTADGKLTVDYAECLGICDHAPAALADDGRVFGPLDEAGV DAMLDLLKKGRQDPPA OJF2_RS10905 MSTFEPVLSRNWNVADGHTLKVYESRGGYQAARKALAMDPDAVV NLVKDSELRGRGGAGFPCGLKWTFLPKDRKETLMCVNGDESEPATFNNRYLIEKDPHQ FLEGILISCFATRASTAYVYLRYEYINGYRIMEKAIAEARAAGHIGKNIYGLGFDLDV WCHRGAGAYICGEETGLIESLEGKRGWPRIKPPFPAIEGAFRKPTVVNNVETLCCVPH IVERGASWFKSIGTPKSYGPKLYTISGHVNKQVCVELPLGITCRALIEEHGGGVWKGR KAKAAVPGGISMGLLSAEELDTPLDFESLRKPGCLGLGTAAVTVLDDQTRIIDYLYNT ARFFAHESCGQCTPCREGCNWLNRTIRRIRDGGGRLEDLDIMLRQANNMGIMPGTTIC GLADGAAWPIKNALAKFRGELEDFIRTNQRPARAVTPLQEAIARGVRVEPASLVTLAA ARPAGALHSPDAPR OJF2_RS10910 MATIIINGNEYPIPEGEKLNAIQMAKRVGVDIPYYCWHPALSVV ANCRMCEVEVGAKDAKTGEIKMTPKLVPGCQTPAKDGTVIVTDSPKVKEHQRMVMELL LLNHPLDCPVCDQAGECGLQDYSYEYGQASHRFVEERLVNPRKSVSDTIQLNSDRCIV CTRCVRFTREITQTGELQVMRRGSHSEIDIFAGHTMDANPLAGNVVDICPVGALLDKD FLHKQRVWFLSRHDGICTRCSTGCNIQIDENKGQVWRFKPRDNPHVNDYWMCDEGRYS YKAANDPYLLGAMYVRKDDDLKPVPADEALKAVDRGLREASERGAVAAVLSPFMTVEE AFLAARYVKGLGEKNVLALGPVPTRGEDVTFKPDQTKGRTGDTSFVVPRPFTIHAEKC PNRKGVEAVLEHFQGSVIPFEDLSSRVAGGEFAALYVVSDSIDAWIDEPAAKILRAGV KFLVLQDTNVTPLAHLSDVVLAGATFAEKAGSYVNANGRLQYAAAALPPRDGSLPDLD IFSILLNRTGGPAQSGDVLAELAEAVPAFAAAEGGTLPRTGLDLSGEAKPGTAKVDPP AFVDTWYAPQGAARWR OJF2_RS10915 MPWELIGTLVKILLVVNIAMGVVAYLIYIERKVAAYAQDRIGPN RAGSPIVPFGLLQPIADGAKMLLKEDVIPGYVSRPVYILAPLIAIVAAIIGFAVVPFG PVGPDQWMNFQIAPNVNIGILYVFAVGSLAVYGVILAGWASNNKYAFLGGLRSSAQLI SYEIPLGMSILGMVLIAGSMDLSTIINWQSRHTWGIVVQPLGFLLFLVSGFAETNRLP FDLPESEQELVGGFHTEYSAMKFGMFFLGEYLHVITVSYLIAILFLGGWHIPFLTSPE QTWWPTSLLKMVILMSKVMAMILIIMWVRWTLPRFRYDQLMDLAWKSMIPLSIVNLVA TSGIVQLIYSAS OJF2_RS10920 MRPDDPKLKKLEPPRLTFGDRMYLPQIAAGLLLTAKHMAGVAFG NKAITVQYPEEQHVPSPNYRGVHRLNKDDQGRVKCVACMLCATACPAHCIDIVGTTAP DTWPDREKYPASFVIDELRCIYCGMCEEACPVDAIELTGLYDLTGLTREQMIFDKTKL LSVYDATRDAEPMRYTAPPPKTAATEALPSPIS OJF2_RS10925 MTTVEIVFATLYIALGAAGSYLLLPHRHGKARPRVLHLAGAFFA AAALFGFVTMWSLPGGGLSGIFFYLFGIGALVGAVLTVTSRNPVYSALWFASVILSTA GLFLLAGAQFLAAGTVIVYAGAIIVTFLFVIMLAQMEGKAEYDRAARAPGAATLTCFG LLWSLIYLIGPLHADRSTEINDAQIQSVAERSLVRGRDIVGHYRLLAGNPTRTVAEQA VRPTSLLRDASGAEKPNVAGLGEALYTDHLITIELAGALLFVALIAAVVITNPRRPIR PGEAAA OJF2_RS10930 MTAPDPFTLDILKNYLLLGAALFAIGMLGFLSRRNLIVMFLSAE MMLQGTALSLVAFGRYHGNWTGQVFTIVILTVAACEASIALALIVVLYNRKSSLDVTL WQDIREEGVRPETPAMEAAQAEPVEVTPGPESYPSLTPSGIEPVHPVESWLQRRR OJF2_RS10935 MSGFFVDNVWVIPCLPLIGGLLAGIGGRWLKSNAHIPVVAGIAL AFLFSLGSLANADPHAAPLVGRWLSISGMEVPFEFRVDGLTTMMLSMVTFVSTLVAIF AVGYMNGDPGYPRFFAFIGLFVFSMTGLVLSNNYALTYAFWEGVGVCSYMLIGYWYTK PSAAAAAMKAFLVNRIGDVGFAVAIFWLWSLVPGHDLSYTNVLSPETLAALPESAKVG IPLLLFWAATAKSAQVPLYVWLPDAMEGPTPVSALIHAATMVTAGVYLIARSTPLVAL APGVQALIAATGCLTALLAASIALTQNDLKRVMAYSTVSQLGYMFMGLGAGVGEVAQL AVLAAMFHLFTHAFFKALLFLASGSVMHAMGDVIDMRRFGGLRHRLPYTHITFAVGAL ALSGVFPLSGFFSKDEILLALKSASHAAGENGWGSLYMLVYWVAVFTALMTAFYTGRA YFLTFWGPDKLPSPDDPEAPPVETGSGHGDHHAHGAGHDESHGHHHVGHESPPVMTIP LMILAACAILVGMLFGPTELFAHHLEKTLGFEELGHGEHAFDWATAIVSTIAALAGLA AAYLLYATRSPVPSRLAARLGGLYRASLNKFYVDEAYDWIAVKTTRGFALVSEFLDVY LVHRLVLLVAQLPRRFAGETLFRFQNGLLQFYAGASAVGVAVLLFILLFYA OJF2_RS10940 MATLLAITVLLPLLGSATLVLTPRLDRNGARRIALGTTLVTMAS SLVLLAEFRTGVLQPQFAFGQPGGPYGLAWISQPDIRLAFGLDGLSLWLFMLTSLLMI TAVLSSWEAIEQRAPLYYAFLLALETGLLGLFASLDVILFYIFFEFTLIPLFFIIGLW GGPDRQRASVTFFLYTLAGSLLTLLGVIALVIVHYQHAPDHTLTFSIPALTQGLAKLP WDRWHEVGQWSSPQVLIFLLLFAGFAIKVPMFPFHTWLPLAHVEAPTAGSIILAGVLL KVGSYGLMRFNMGMTPLGAVALFPLLATLSVIGIIYGALVALAQTDIKRMVAYSSVSH MGFIALGMFALNATGLDGSAIQMINHGLTTGALFACVGVLYERYHTREMGDLGGIWKR LPLLAFFFFLSALGSAALPGLNGFVGEFPILAGMFAESPRAGVLAATGMVLGAYYLFG MLRRVLFGPLREPSAHGHSHGELAGDGHGEEGHPEVRPVGWHEIAALAPLMALIVIIG VIPGPFLDRIRPTVGEIDKNLQAQRLVRTTAPPAGEPGPGSIHVGGRGNAMGGGGGGG RR OJF2_RS10945 MNPELAYETVTQTLKILAPELVLLAVSIAMMTLSPFVQLTRQAW CAVAAGGLVASLVALLLVAGIRPDPYSAIALNDSLGFYGRLVAILTGLVLLGLAHREP PHDRSAEFFGAFLMIDAGAMIVSTANELVFLFVGLELVSIPTYLLLYLSRRSTTTQET ATKYFYLSIFSSGLLLFGLAYLYGMTGVSNLKAVAELSWKLSVPNPQLGLIAVVFVVA GLSFRVAAVPFHFYAPDVYQGSPTVIAALLSWVPKGVGFVAMVRVLTSVFAVKGPLDP LVEKAVLLCWFIAAATMIVGNTMALLQNDLKRLLAYSSIAHAGYLMIGATVAFSNGPN GGGAYYGSEGIFFYLVAYALMTLGAFGTILALRTPTRPVETVEDLDGLSATQPLPALG LAICLLSLSGIPPLLGFLGKFTIFASAFAANTDQGFGMLVGLAILGVLNSAVGAYYYL RIVVGMYLKPSRQKVEATGGWPVAAAVGACVTLTLVLGFYPLPIARASREAAVAAVGR DIPAPTSDRIAAERAPAESAPVTSVGARD OJF2_RS10950 MHQSDGSSWSALGLAPEFQRLWEDSSTLPDVFGFLASHPAAAMD EKVDVLRIDQEYRWRRGKPLPLQTYLRRLPNIAGRLDLVRRLIAGDQSCRRESIASSP FAPAEADVAGSSESSTQVAETPGALLETVVELPGAGDEKARDASPSAPTGSRAAGSTQ AGTVPPSDVDCLEFHVEPGPGTLADPPRFRPVLESTRFTPIRRLGAGGMGVVFEAYDE ERGELVAIKTMKRVDPAGLERFKGEFRSLSDITHPNLVQLFQLFSVDDCWFLTMELVI GSDLLTYVRGGPAAPYDDATRLSAPPDPTHVRSPAAGGAGEGADAPPAFPTEPATGCW SSLPRRDEAHVPPSPPPLDQGRLRSALLQLAEGLQHLHRAKKLHRDIKPTNVLVTEEG RVVILDFGLTADLEPGFLKATPVRDRQVVGTLAHMSPEQSLGLSMTAASDWYSVGVVL YQALTGRLPFRGTFDEVVIRKQTARPTPPDEIVPGVPADLASLCMDLLERDPERRPTG AQVLQRLGSGRGESPAPADLEARREIALIGREWHRDVLQASYEALTQGRTATVFVYGS SGTGKTALVRAFLDELAAKGDVVILAGRCYEEEWVPFKAVDNLIDALARHLKTLPRNV LDGILPADAWLLARVFPVLRGVDGVLQARRATSEMPDPQEMRLLVFAALRKLLQRLGR ICRLVLAVDDLQWGDTDSAVLISDFLDGPQPPAMLFIGCFRQEEMAQSRLLQIIRHGR GADDRPGTWHRDLYVQPLTQVDSRSLALALLGREDPASLALAHLAARESGGNPLFIEE LIKHLQTSGPGRDWASPTTVDLETVLLSRIREQPPEARRLLQVIAVSGRPIREALAFR AAGLELGGRAALGALRSARLIRGVGPSGQDQVETYHDRIRETVLAHLSEEELRRNHER MAEVLEVAGQADPEVLAGHLHGAGHGDRASILFVQAAEKAMAALAFNHAVRLYRLALD TGASAGPDVRRIRRRLGDALASGGRGAEAAAAYLQASEGAAAAENLELTRLASTQLLI SGHVDEGLSLLRTILGPLGLSMPRTPGRALLSLLRHRAILRVRGLHFRPRDATQVSAE DLTRIDLCWSAVAGLSVIDPILGADFQTRGLLLALRAGERFRVARALAMEAAHHSTAG LGSARHAAALVERAGNLAAHLDHPEAQGVLSMVRGISRMMVGDWPRAVSWFEEAEDLF RNRCAGVTWERNTVRCLVLWSMMQTGRMAELRRRWEVLIKEAEERGDLYAATTLTTFF LAMIRLAEDRPGDVHEDLERAMGRWRHQGFFVQHSTEFCSRVHLDFYRGEASRAWERT NAIWPEYTRSMLFRIQITRIQMHELRGRAALAMAESAPDPARFLSQAADDARRLEREG QGWALAHAGFLRAGLAAGREDVATAVHLLEQAAARYDQVDMPLNAAVMRHRMGEVLGG MEGKRLVVSAEQKMREQSIASPEGWARMIAPGFSKIAASRVETSV OJF2_RS10955 MNRSTQTMASTRRRDRMVRLFIVAYSIWCSGIGTHAARCGDALA IGDMKSSRRTPKLIEFGWDEPDAATIERLRVAMSASPFDGCVYHANTSVPGRPHESLT WKGWGRKAFRLEDFGPPLSRSGRKDGKGFDENFLRFNVTPGDLDWFDDHAAVLANARI AATLARGGAGILLDTEQYEGKIFQYPKLADRTGKSLKDYEAQARRRGREVMNAFQAGY PDLAVMLTFGPSQVCRELERGKKSPAECEYGLLLPFVEGMVEAAAGQSRIIDGFEPSY GYLERAQFEAARELIRERAAAMTSDPARYRRVVSAGFGLWLDFDWRKKGWSPERPDEN YFSPGRFRVALGHALNATDEYVWIYAETPRWWTADGRHVALPPAYVDGLREARRAARG D OJF2_RS10960 MTHRLRVGAAVLWTVVILILCWTPRIYLPAPEHEGSLVHLMHLD KIVHAGIFAVFAVLWLRTSSGRPRDYLLVLLAGAALALLTEAVQNHPFINREGELADG LTDVAGAIAGFPIYRFVEARYQRWSASNRRTADSRAFAVEDA OJF2_RS11005 MSRCSERYPGSWLEWYAWCLRTGRGDRRAAARLVEAQLDAGRTP TSDDLHQVSILLLLEGKPEVARRLLGPEFEKGRNTMDGVFLALACDQEGDGEARDAAL KAVAEDPKASGPKTAKIMGVLFQWLSHGDQSPLDIRAIEELSRGADPSRSVNTAACLG LFLDRHGKPEDARPYLEQADSDVAVPWIRFLAREALRSRGAKLKPLRG OJF2_RS11075 MTRQPKRSSTLAPAPAAAVRTSAAEAAKKRRDRRESVESVVVVV VAFLLWSFEAEGFVIPTGSMAPTLMGRHKDVTCPECGHVYTVNADSEVDSSGSGASTG VKIVRGTCENCRCPARVDGVPSVAGDRIYTMKKGLHLPLVPALGEVGPRRWEIAVFKV PEEPEVRYIKRQVGLPSEIVRLQQGDLWRRPLDESEPFARLRRPFAHQKAMQVLVYDD AHRARSLRDDPRWDRWASSSDGWSESSPGRFAVNAAAESWSELRYRNLVPEPRHWDAI ADGEKPPAPRTSLVTDFCSYNTDLSPHWQSNPRLASRPWLQPHWVGDLTLSGRVKITQ PTGTLRLALIKGGRLYHADVDLQSGRATLLRDDEPLGEAAATRLNEVGEHSFTLSNVD DRLTLEVDGNLPFGDGLPYDSGGADGLARPASADLEPARIAARGVPLEVAGLQLRRDV YYTLSPGDADLPGLESLAFQGPNALFDLLADPEAYGRLGQPGWHDYPIGPGRYMMLGD NSPWSRDGRAWGRKDQVDPEAPGLGWDESGRESWEVPESLIIGKAFWVYWPHLQPMWP AWQLGDYRFPVRPNFEQIRWIR OJF2_RS11080 MVKAIGSDSAHDLANLTDEVLMSRYRDDGTEAVFNELVRRYERE LYRYLARYIGDANLADDVFQNTFLQIHLKRGLFEDGRPFRPWLYSIATHQAIDSLRKV GRHPALSLDQQVQGSQAGSDAGSLLDLLVNEGVGPLGELEEQERQQWVRDSILRLPET LRQTLILAYHQDLKYREIADILKIPVGTVKSRLHAAISKLQEMASSAKRDGKD OJF2_RS11085 MNADEMIDHVMGRLDSADRERMERAIGGDPELSSRVEVLSHRLH ILLDDGDAPEPPAGLHRRTMMLVARERSRPRALPEFAPSRSRFRWADLAVAASIFLAG TVTLIPAIQHSRERMAIAGCAFNLQQLGQSFAQYASLNRSYPYPPEQKTDAPAGSFAA FLHDAGLLDDLSILDCPRNGRKKRPGELPSFTELETLRRTDPARYGELLQWDYAYNVG HRQESGMPGPVDPRPAMAVPVAADAPAHENYARILAGNSPNHGGRGQNVLFSDGSVRN LSTRKVSPRDPDLFLNNLNQLRPGVDEQDSVVSPSYSSFVGTPAR OJF2_RS11090 MGSSSSHDFDALHERSLRDSEGFWGEAASRVDWFSPWTRVLDDT RAPFSRWFPGATLNTCHNAVDRHVHAGRGDQPAIIHDSPVTGSVRVISYDELLGLTSR LAGALRALGVAKGDRVLIYMPMVPEAIAAMLACARLGAIHSVVFGGFAAPELATRIND AAPRVIVSASCGMEPSRVVRYKPMLDAAIEQSAHKPERCVILQREMERAALLPGRDID WDDATSWAGPADPVPVLATDPLYILYTSGTTGRPKGVVRDNGGHAVALLWTMDHFYGA RPGEVFWSASDIGWVVGHSYIVYAPLLQGCTTIVFEGKPVGTPDAGTFWRVVSQHRVP LLFTAPTALRAIKREDPEGKHIGRYDLSHFRALFLAGERADPDTVRWAAARLNVPVVD HWWQTETGWAIAGNPLATHLFPVKPGSATRAMPGYDLRVLGAGLKEVPRGQQGAIYAK LPLPPGALATLWKAEDECRRSYFNACEGHYRTGDAGFIDEDGYVHVMSRTDDVINVAG HRLSTGEMEGILAEHPDVAECAVVGMADPLKGQVPLGFLVLKAGVTRPPDTIVAEVVR MVRDRIGPVADFKRAVVVDRLPKTRSGKVLRGTMQKIADREDYNVPATIDDASALTEI AEVLEASLPDAGPEGAVLQRAGVPTKEL OJF2_RS11095 MTNPGLNPSRRDFLQTGAAAGLALGALPFGAGAAEDKGPTGIPM RPFGKTGEKVTLLGVGGFHAAVPEEPASLRMIQRAVDEGITFFDNAWDYMDGLAEERM GKALAEGNRRDKIFLMTKCCGRTAKDAQSNLEDSLRRLRTDHLDLWQFHEINYDNDPD WIFAAGGALEFALKAKEQGKVRHIGFTGHKHPEIHLKMLGKPYDWVSVQMPLNVMDGH YRSFQRQVLPVLNQRGIAAIGMKSLGGRGGIVKDAKVPVDEALRYVFSLPIATLVCGI DSENVLDQNLKIVRELQPMTREEMAAVEAKYLPIAGDGRHELFKSAQNFDGEVHRKQH GFQA OJF2_RS11100 MMRGPLALAALLSMTLLADPPARAQQVSGFPRAEGWKPLFNGKD LDGWKFRNPDARKVWMACDDVRLDPADPARLLPVGRGGGPSSALLCGDDGRGSDILTV EDFDDYELHLEFTVPKGSNSGVYNRGLFEIQVFDSYGAPSLAFHDCGALYERAIPPEN LSRPPGRWQSYDITMRGKRLSLVWNGKAVYKDMDVRYGETDRAAFERLIEESKGRPEP LRVKLREENGKYVGYFGEGATRSGLDGPDRPGPILLQGDHGPVAYRNLYIRRLPR OJF2_RS11105 MKTIKVTLDYGRTGLDVEIPADRLVGPLTIREHPPLPDPDSAVA EALAHPTGSPPLADLARGRRDACILVCDITRPVPNRTILPPLLKTLHDAGIAREDVLI LVATGLHRPSTPAEKVEMLGPDIAAAYRVEDHRGTLRDEHTYLGDTPRGIPAWIDTRY VRADLKIAVGLIEPHLMAGFSGGRKLICPGVASIETVKHWHGPELLEHPNADCGILDG NPVHEENTRIARMAGCDFIVNVTLDDQRRITSVVAGDMERAFLEGVAFVREIVRAPVA RPVDIVVTSSAGYPLDTTFYQSVKGMTGCLPIVKQGGTIILAASMSEGVGSPELQSIF AENPTPEAFMSRILGKDYFVMDQWQVEELAKVLRKARVKVVTDGLPPETLARCYVESA PSVESALADALAEYGPAAKVAVIPRGPYVLPTLQGS OJF2_RS11110 MLALLLIIGMIGGVMAWMTDGATWRPIVGFPALTVGSLGILLWS LIREDEAPDLMRQACGSYFERDGFCFAVVPAVADGQARLDVYFQNRFEKACLAHVAIR PSQKFFLNRGSIQAIAVPIECDGGAFGVARIPWGVPSKYQGQRQSLDVAASTEYPTGR GRMIRFRDGVRVGKATSSLWPNVLTVAAAATGTFYLSRPARLKFRLPKGVNEAVPEDA RIRVETLWRPPIASETGDAKSPASKEETDAADGLHDWEFPPA OJF2_RS11115 MAGRKALAITERQFEVLRVLWEHGPQTVRGLMEHLPRGDRQPYT TVLGLVQGMEKAGLVDHDKQGLTHLYRAAVTRQEATGSLLSDFLARFFRGSAEQLVLG LMDAEQLSAEELRAIEIRLMDKRGNDPRASS OJF2_RS11120 MTDQWVVPWLLLLGGWSLRWAFILAATAGWFAVRPPRRPNTCYR VCLAALGAGLLLPLTPQWGAGLLTSQASGIVPRLVSGRVSSSEATPPGGGPLPTASTP RSHASISTESRFDRTGNLTGMTAMGSMDPKRVAILAVGVLWAFPSLVMAIRLIGGWRM LARLRRDATELTGSSGLLGECRAALALERPVRLAAHPLVATPVILGGLRPLVLVPEDW AQWPDSRRRACLLHELCHLARLDDMVKLAQELIRIPFFFHPLVAWLLIRLDRERELIC DEAAVAHGCDPVDYVRLLVELARRRSRLPRTSPGLRPGWLPFLDRRTVRARIERLLEG DARTPSPASSGRPIAPGALAVLVAVAVGGIRVSARPVPEPDPVEYLATAPGPLAADAD EPARPKELRGIVVDSEDRPVEGATVVAGCADPGRSGHQVLATDAQGRFTWKVPERSEM VGVFAHKSGMSAATWLDWMGSPTARPEQRLKLGKSEPFEAVLLNGQGGPIVGAKLRIK MVAHSFASGNTVTTWYEHVAGRVVEGSVLEPLYAATTGPGGSLRFDGVAPGSGLAIHA IGPDGRTFLVRHGTEPAESARQGMARQGFVDSPPGSRTKLVAVPSARITGRVVSKLPR VGVAGLVITQGVCHFPERPPSPLQFADEVRTDAEGRFVLDGLDEGAVNVYVHGAGENE TWTYRAAKEVRLTPGSTSEVKLELIRGVEVEGMVVEQGTGRPIDKVEVGVIGPHRPRS GSAVMSATTDGRGRYRYRQPAGETYLYVMGHPDGYDSLPDGRSTRTLVVPEGAPQFKA PSIELIPPARGTGQEEAAE OJF2_RS11125 MSTRSRRFFRLLPGLGLLTALATPARAADPKPQPLSPAEAARKL VVAEGLKIEQVLAEPTIAQPVFLNFDERGRLWLVEYRQYPNPAGLVELSRDKFWRAVY DKVPAPPPLGDKGLDRITIHEDADGDGTYERHKTFVDGLNIATACVKGRGGVWVLNPP YLLFYPDRDDDDVPDGDPVVHLQGFGLEDTHSVVNSLRWGPDGWLYAAQGSTVSGDVI RPGLDKVPVHSLGQLIWRYHPETRRYEIFAEGGGNAFGVEIDGKGRIFSGHNGGDTRG FHYVQGGYSLKGFQKHGPLSNPYAFGYFPAMKHARVERFTHNFVINEDDALRPQDRGR LFGVEPLQGRVVEAEIFPDGSTFQTRDIGYAVRSTDPWFRPVDIKAGPDGAIYVCDFY EGQIAHLRHHEGTIDPSNGRVYRLSAANAPHRPTPDLRKKPTAELVSVLMTGNRWARN TSLRLLADRRDPAAIPPLREALHSATGQAALEALWGLYLCGGLDPAESARALDHADPY VRLWAVRLLGDANRVGPDVAARLATMARGEPNAEVRSQLACTSRRLPAAQGLPVVANL LARDEDANDVQIPLLLWWAIEARCGADREAVLALFRGPELWSRPIVRKQILVRLMQRF AAAGSQKDLRTCAQLLEAAPDQGSRTLLLQGFEIATKGRSLATMPDELTAALAKSGGG SLLLDLRRGRPGAIEEALKVAADPSADPRRRIAMVEALGETRRPQVVASLKDLAERDG GDVRLAALNALLSFDDPSIAPAILACYPTMPDDARVAAETLLAARKASALALLEAINA GRIDRAAITPETVRTLSVHRDPRIVALVARLWPNAQGAATEQLRREVERLDALARSGA ADRAKGKAVYLGLCGKCHVLFGEGGHVGPDLTSYGRNDLPNLLRNIVDPDAEIREGYQ TVQVATKDGRILSGLLTEQDPQSLVLRGADGRDIAVRREDVEEIRGSRHSLMPEGILK PLDDAQIRDLLSYLRSSQPLN OJF2_RS11130 MPRSTDIRPVAASLYFLRIRNRVPLKFGAETTTEVTCARVCVTA EDRSGRRAEGWGETPLSVAWVWPGSLSYAERHEALQSLCRRIAAAWVASDADPGHPIE VGQSFVEHELPGLLREFNLRERPEAEPVPWLAALVCASAFDLAVHDAFGNLHEIPTYQ TYDDRFMSSDLAAFLEPVAGSAADFRGRYPADYLVLPRPDRLPAWHLVGGKDPVDESE TTGQEPDDGYPVLLRDWIRRDGLKCLKVKLRGDDAAWDFDRLVSVGEMAIEERVEWLS ADFNCTVQDPEYVVAILDRLLRERPRIYAMTLYVEQPFPYELEDHRIDVRPVSARKPL FLDESAHDWRMIRLGRELGWSGVALKTCKTQTGAILSLCWARAHGMTLMVQDLTNPML AQVSHALLAAHAGTIMGVETNGMQFYPQASLPEAAVHPGLYTRRDGRIDLSTIRGPGF GYRQDEIARTLPEPAVHVAR OJF2_RS11135 MSAGAAEVESEIVAPRAPRWAWGLCWLMFASTVLCYMDRQAMAL VGPLIRAEYGLTNESYGWVLAAFSLTYAAFQVPAGQIADRRDVRAVYASAVGWWSAAG LAAAFAPGLGILLACRALLGVGESFNWPCALRVTSRILPPADRGLGSGIFNSGAAVGA VLTPLVVTPLAARYGWRAAFVAVGSIGFLWIVPWLRLSRRLPSPAATEARGAVPADGG RLDSPARLAYALLAIASALVACSASLFGLPAIWWAVATLMVGGLAVARALPPQDAGPA WASSLSRIVRLRRFWVLVAVGVSINLCWHFLVNWLPSYLQDDRKMAFLKGGLVSALPY LAADAGNLLGGGATRGLARRGPGTRRARLAVMAASSVLASFGAWVGWVDNDAVVVALL MIMALGTAAYMANYFSACQEVSAEHTGLVVGVLGGLGNLFVAGFLPVAGRIKDATGGF SPVFVAAGLLPFVGLAAMAWGWGKDSPESPESA OJF2_RS11140 MRHSAKHLRPGWLLAAIATLALGHTGATAGGPERGSRLDVTLRS RVEDRGHPGRFALVERVESWVPAETAVIVCDMWDFHHCLNAVRRGSEMAPTMDRVLKE MRGRGVTIIHAPSDCMDAYKGHAARRRALETPRSANIPAGIGSWCDRIPAEERGRYPI DQSDGGEDDDPAEHSAWAAKLAGMGRNPKAPWKSQTDLLTIDEAADFISADGEEIWSI LEARGIRNVVLMGVHLNMCVLGRPFGLRQLSKNGKNVALMRDLTDTMYNPARAPFVGH FAGTDLMVEHVEKYVCPTVSSEQVLGGAPFRYASDARPRIAFLIDEDEYQTHVSLPAF AAAHLTKDYRVSYLLGSPADPDRLSAIGELDGADLLFVSARRRVLPESQVEALRRFVA SGKPVIGIRTASHAFAPRAGKAVPEGRRAWASFDADVLGGNYHNHHDASPAVEVRAVP GAEAHPILAGVDSARIKGHGSLYKVRPLGPSATGLLIGSIPGQEPEPVAWTNAPATGN RVFYTSLGQIDDFASDDFNRLLADAIGWALGRGGPSSGARP OJF2_RS11145 MPCALVAIVALMLAEVPDPLPGTRPLRQSGDLASAMVDGIDRFL LRKIDDSAGRRGAFWDRRLGDEQAFVAANEPNRLRLHKILGLRGGPIRTSGIPLDAME VLSDTRNGPTIAEDATVRVVAVRWEAYRDVHGEGLMLIPKGDNRHLADVVAIPDSTQT PEALCGLEPGVAEESRFPLRLARSGCRVIVPVLVDRGATNEGIPHREHVYRSAFELGR HLIGYEANKVLSALMWLHADRDRDGDRRPIGVIGYGDGGMLALYTSAIQASARATCVS GYFGDRSRIWTEPVDRNVFGLLERFGDAEVAAMIAPRALIVEASRVPDVKVPPGGRAA PGSLAGQGGPTVRAEAKRADRMVAGLPGAGAIAVVGDGATPFGSREALGLFLKALRPE LEVREATPLPPPVGALPDRDARASRALRELDRLNAEVLEESAGVREQFFKGVDASSLE SFRRTIEPYRRTFEDDVIGRFDDVPLSPSPRSRKVFDEARYAGYEVMLDVFPDVFAYG ILLVPKGIRPGERRPVVVCQHGLEGRPSDVADPRVNNPAYNQFAIRLAERGFITFSPQ NPYIFHDRFRTLQRKANPLGKTLFSVIIPQHRQIVGWLKSLDFVDPTRIGFYGLSYGG KSAMRIPAAVPDYCLSICSADFNEWVWKNASTKSRYSYVWTGEYEIFEFDLGSTFNYA ELAALIAPRPFMVERGHFDTVAPDEQVAFEYAKVRRLYAARLGIPDRTEIEFFVGPHT IHGVGTFRFLHRHLNWPEPRP OJF2_RS11155 MRSWHSPARAAVLSLAAAWTTATALAQKPAPVEDVSSFPHRSPD EERKALHVPPGFEVQLVAAEPDIHKPLNLAFDDRGRLWITDTVEYPYPVKPGAKGRDT VKILSDFGPDGRARSISTFADGLNIPIGLLPLPSTTAALVHNIPDIYLMRDTDGDGRA DSRDVLYGIFGHRDTHGMTNAFTWGIDGWVYACHGYANDSRVQGKDRKPIAMNSGNTY RMRPDGSHAEYVTHGQVNPFGLAFDPLGNLYSADCHSRPVYQLLRGAWYPSFGKPHDG LGFGPEMVDYDHGSTGIGGISYYAAEQFPEAYRGTVFVGNVVTNRINHDRIEWHGSTP KGIEQPDFVWSEDNWFRPVDIELGPDGALYVADFYNRIIGHYEVPLTHPGRDRTSGRV WRIVYKGGGQPAASAWKDWTKAAVAELVSALKDPNLAVRVSATNQLVERGGDEAASVL AGVLTGSAAGPVRSHALWALQRLGRLDDEQLSRTCRDERDRDLRVHTMKVSAERPELS AKLRGQVVARLKDSDAFVRRAAAEALGSHPDPAQIRPLLDLLQATTREDSHLLHVVRM ALRDQLKERDSWKALASTRLTDRDRRCLADVSVGVHAEEAATFLMSYVRNNPVDSADL RRFGHHIARYGDADAVASIAAFAKELKGPPKERLELFQEIQQGADERTTPIDPGVRGL AAAVCRGWLDSKRDDQIGLAVQAARDFPLPELIPDLSRLAAGETAEAVRSEALHAIAA IDPRMALPLLRDLTVGSGSPIAVREAAAVALANLDRPEAQKAVLDALATAPEQLQSTI AAALARRSEGAASLLQTIESGKASPRILQERRVVIGLENAGIPELGKRIATLLKGLPP ADQKLKDLFDRRRSAYASKPHDASRGARVFEKNCGICHQIEGKGARVGPQLDGIGSRG LDRLMEDILDPNRNVDQSFRVTNLALENGRVVSGLLLREEGEILILADAQGKEVRVPR SNVEERSTAQISPMPANMAEQIPEDDFRDLLDFLLRHREDKAPKP OJF2_RS11160 MIHPETTPAPTTDIPGPDLFMTVIRVADWQASLRWYADVLGLLP IRTDPTRGFALLAAGTGRLALQSRRAGEPNARACDLPRLVFLVPDADAEYRRLAARGV SVTPPAENTRESYLEIRLHDPDGIPLSLFSWTSPDADPTARPGD OJF2_RS11165 MAHEEDSLISTVAVGLSYAFGGGLLAAKIGLPPLVGYLVAGIAV GPFTPGFVADTALAPQLAEIGVILLMFGVGMHFSIGDLLAVRRIAVPGAAAQITVATA MGAGMAASWGWPLMAGLIFGLALSVASTVVLLRALEDRRLLHSRDGRVAVGWLVVEDL AMVLALVLLPALAGGPPEGGGPDAWELFQAVALTLAKVAAFVAVMLVVGVRIFPWILA RVEGLRSRELFTLAVIALSLGVAFVAARVFGVSFALGAFFAGVVINESELSRRAARDL QPLQDAFAALFFVSVGMLFDPSVLTQHPLSLLAVVAIITVGKSLAAMGIVLALGEPLS TAMTVSASLAQIGEFSFILASLGLSLKIVPPEGQSLIVAGALVSIVLNPLAFAAVRPW MQAQPQDPEPPPAAQGH OJF2_RS11170 MAEESRESLEAVRLEKLRRIAALGIDPWGQRFDDHQAIAAVRAL PLPEVVEGAEPEAGPKVRIAGRIMLRRGQGKVVFLDVRDWTERIQVFVGKKQVGDAAW ALVDLLDLGDIVGVDGHLGRTKTGELTVFAEGLTFLSKSLLPPPEKWHGLTDLEQRYR RRYVDLFTNPESLQTFLGRSKIISAFRRIMGEKGFAEVETPTMQAIAGGAAARPFVTH HNALDIDLFLRIAPELYLKRLLVGGMERVFEIGRVYRNEGISPKHNPEFTMLEAYQAY GDYHSMMDLTESLICGSIEAIGGGYRRPWGETTIDFTPPWPRRTYAELLAEHAGVDPA DFATIKAKAEEVGLSTAGKDPDVVTSELFEEVVEDRLKGPVFVIDYPAAICPLTKRKA SNPAVAERFELFVEGMELANAYTELNDPMLQEELFKSQLAGLPAEESMAKMDDDFVRA LKHAMPPAGGLGIGIDRLCMILMNRQSIRDVILFPLMRPAASGGPAPGEAGAAPPA OJF2_RS11175 MYKYLLCWRYLRTRYIALASIISVMLGVATMIVVNSVMAGFADK MRDRLHGVLADIVVESNSINDGFDRYEDVMARLEEVAGGDIVAMAATMETPGILRYRV GSVHNERPVQIHGVRPLERAKTGDFAEFLFDEKGNRVPPSFDVPEKYRENSPAGAQLK EMEGDEDDELSRAVRETLRQHALEQVPEHGAIIGYALATYHPGNGQPDIFMARQGSKV SLAFPKAGTKPEAGLDEFTVVGYFKSGMSEYDSTHVYVPLERLQYLRLLSGGPDDKGK VNQIQLKVRPGVNLDALAERLQLSLEKIHPMYFHVWTWEQKQGPLLGAVAIEQSILNI LLFMIIAVAGFGILAIFSMIVVEKTRDIGVMKALGASTAGIRGIFLGYGLLLGAVGSG VGMVGGLLFVRYINEIEKVLSRILQHKVFDDTIYYFDKIPTLVDPHTVIAIVVGALSI AVLASIWPAQRAAKLHPVKALRFE OJF2_RS11180 MSTTTHIAATGIRKSYRKGRIEVPVLRGVDAEVEHGELVAVVGA SGSGKSTLLHILGLLDAPDAGRIEVDGRRIDDRPEKHRDAMRNRLFGFIFQFYHLLPE LTAQENVMMPGLIRHGLWSYWKERARIRREAGELLERVGLGHRLTHRPSELSGGEMQR AAIARALLGKPAILLADEPTGNLDAASGQGVLELLRTLNREEGLTMMLVTHDQQIAQQ ADRVVRLAEGRIEEWVPALA OJF2_RS11185 MSPKVYIGGKLYDKADAKISVFDHGLLYGDGVFEGIRSYSGKVF RLAQHVDRLFDSARAIHLEIPLSREQMARAIEDTLAANKLTDAYIRVVVTRGAGSLGL DPRRTTDPQVIIITDQISLYPEELYEHGLKIITAGTTRNHPNALNPRIKSLNYLNNIL AKIEGTNAGCLEALMLNHKGEVAECTGDNIFLVSRGVVHTPSKDSGILEGITRDAVIE LARAANYAVVERTMDRYDVYTADECFLTGTAAELIPVVECDGRTIGSGRPGPVTKDLH KRFHGLVRGDR OJF2_RS11190 MIEIRRILVPTDFSEHSRHALEYACSLAERFEAELILLHVLSEI VPAGPEPLLMPVMPPEFYEESEARARESLAGSLRPEWGRPAGVKSAVCWGSPVESIVE YAAEHAANLIVIATHGRTGLSHVLLGSVAERIVREACCPVLTIRDRREKPARASIPN OJF2_RS11195 MAEPDPPASRRIIYEGRKIDLALQQVTLADGSVAEREVVLHRGA VALLPMVDDGHVCLIRNTRYAVGKTLIEVPAGTIDEGETPEETAARELVEETGYQARR ITRLRDWYVSPGVMSERMYLFLCEDLVPGPSDHQPDENLESLIVSCEDALAMVRDGRI EDAKTMLAILLGLAPRARRA OJF2_RS11200 MLRLSGTAFLAAGLVLAASTTRGDDAQVNQVLDKAIKALGGEEK LSKAHAICVKGKGKLIIDGNENDIKSEATVQGLDHYATKFEGDFGGNHIEGRSVLSEK KGWRKFGDNVMEMDDDAVKNEKRTIYLMLTPTTILPLKSKDFKVESAADETVDGKPAA TLKVTGPDGKDFKISFDKESGLPVRTVAKVLGFQGDEYTQETLYKEYKDFDGIKKATK MTVKRDGEDFVLQEVTEFKAIEKAPEGTFDELK OJF2_RS11205 MRADETSPAGTAGAGCPGPCSSPPSANPFNRRQFLARTGNGFGL LALASLLEGEARGGPPARKGPAEASPLAPKAPHFAPKAKRCIFLFMTGGPSHIDMYDP KPVLNRLDGEPLPPSFGKIHSQFLESNPLCMGSHRRWGKYGECGMDMSDLVPHMHGHA DEIALVRSCVADSVIHAPAMYQMTTGRIFMGHPSLGSWAVYGLGSESQELPAYVVMTQ PQGTPEGGAPCWGAGYLPAHYQGTLFRSGPAPIVNLRPAAGMSPAGQRKLLDLLRSMN EQDLDPADTELSARIATYELAYRMQSAAPEAVDISAESTLTRSMYGLDDPNTAEFGMR CLLARRLVERGVRFVQLYSGGGPVAWQWDAHDDIDANHEKMCGLTDRPVGALLADLKR TGLLDETLVVWGGEFGRTPVRQKGGRGRDHNATGFTMWMAGGGVRGGTIVGATDEIGM NAVSDRAHVNDIHATILHLMGLDHTRLTFLHGGRDERLTDVAGRVLEGLLA OJF2_RS11210 MSTAAAEPAPASPGIDRAPSLQDVVGGLGGIPLSRILARPAPGR ATEDDLLDVNESRSRICELVDGTLVAKTMGFAESLLAMMLGHLLLNYVIPNDIGLVTG PDAAMKLGPGLVRVPDVAFFVWEGLPGRRIPAARLPEVAPALAVEVLSKGNTKGEMER KRREYFDAGVRLVWLVDPKERRIVSYKRDDDGPSTYGEDAIIEGIDFLPGFSLSVREL FGPLDQKAQEEE OJF2_RS11215 MSTAAAEPAPASPEIERTPSLQEVIGGLGDIPLSRILARPAPGT ATEADLLAANQGKTRICELVDGVLVEKGMGIRESLLASAIIALLRAYVVPRKLGLVTG EAGTMKLFPGLIRVPDVAFLPGERLPGGRVPSEPIPSVVPELAIEVLSESNTRAEMRR KRQDYFRSGVNSVWEVDPRTRTVAVYEQADHPVQVHQQDETIEGRGTLLGFRLLLADL FAELDQTF OJF2_RS11220 MRSPRRPRILHLAPALILVIAASRTTAGETPDQAHANASEAPPE RFTDEQKSHWAYQPIVKPEPPPVRLARWVRNPIDRFILAELEAADLTPSAEADRVALM RRLTYDLTGLPPRPEEVSEFLADRRPDAYERLVDRLLESPHYGERWAQHWLDLAHYAD SNGFELDAERPDAWRYRDWVVRALNADMPYDRFVADQVAGDERRPGDSEALIATGFLR CGPRELVGGNVIPEVKRQNELTEITGTVGSVFLGLTVACARCHDHKFDAIPATDYYRL QSFFAGSDLVELPIASKAEIVAYEAALKAIEAKAAPLRARMAELEKPYRKSIAESKNA MLTPAERGLMAIPAEKRTPEQKTILEGLQSSLRITWEEVAAAVAANPADHARRESLKR AIYEIERTKPRPPAHAMSIVDPKPTAPETHVFRRGDYRNRGPKVGPRPPGIILASQKK TVFTPDSIRPGKATTGRRSALAAWLASPDNPLPARVIANRVWQYHMTRGIVPTSSDFG VRGEPPSHPELLDWLASELVAGGWRLKPLHRLIVSSATYRQSSRPGGKGAGADPDNTL YGRMNRRRLDAEGVRDAMLAASGELNPRMGGPGVLAPIEKEVKDLIFTESEEVDLWPV DRDTREHARRSLYLFRKRNVRYPLLESFDSPDAQFPCPRRENSTHALQALNLLNGEFA MNRATALAARVFREAGPGEHARIDRIYRLALCRPPTAAEEVRAAAFLDDATDGESVEA RWARLALAMLNCNEFLYVP OJF2_RS11225 METSRIARLLDEMGTILEIQGENPFRCRAYHNAAQALGNLPEDL SEMIADGSLAEVPGIGETMHAKIVQLATTGRLPAYDKLRKSVPSGILALLRVPGLGPK KIKALKDSLDVDSLADLRAAAEAGQIARIKGFGAKTEANILEGISFLEKSGGRILQHE ALALVSPIFELVRNHPKVKRAEICGSLRRRAETIGDLDILFSADDPAPVLKEFVGLPQ VMKVLGHGTTKASVMLHSLTRPDQLVQCDLRGVEDRQFPFALHYFTGSKAHNIAMRKR ALARGLSLNEYALSGEAKDVPCETEEDLFRALGLAYIPPELREDAGEFAAAEEGALPD LIALEDLTGTFHCHTNWSDGEATLEEMAEAARSMGLSYLGIADHSRSLRMAGGLTVER VRDQWAKIDALNEKLGPKFRVFKGTECDILADGSLDFPDEVLDGFDYVVASVHSRFGM PRGEMTARIIRAVSNPRVTMLGHPTGRLLLSRDSYAVDLDAVIAAAAEAGTMIEINAN PHRLDIDAAHCRRARKAGVGIVINPDAHSTAGLADLAFGVGVARRGWLSADDVFNAAS PSAVVKDLDRRRKSLP OJF2_RS11230 MATARPIAEKDRKYFSVEEANRTLPLVKAIVQDIVHQSRLVESL QQRLERVLRERRRPSEDMYSEELEQTQLELETQEEKLRSYVEELKSLGIELKSDEIGL CDFRTLMNGREVYLCWRLGEPEVSFWHELDAGFAGRQSLKSHAGTKLGEGRL OJF2_RS11235 MAKVVTIDGPAGAGKSTVARRLAGRLGWQFLDTGAMYRAVTLAA LLRRIDLSSEAALDALASCVNVDVSGGRVILDGEDVTLEVRRSEITRQSAKIADSASV RGHLVRWQREFATRSDTVTEGRDQGTIVFPDAFRKFFLTADEVERARRRLGDLLAKGE RTTLETVLADQLARDARDAARSIAPMKPAPDALHVDTSGKSVDEVVEELAGHILIP OJF2_RS11240 MSIVTNLYFGWRATGQENMPATGPVLLVSNHTSFLDTILQGLPL RRPLNWMARSTLFRGILGLCIRTLGGFPIQREGLGVSGLKETLRRLRNGGIVTLFPEG TRSPDGRLGAFKPGIASIVQRAGVPVVPAGIAGAFEAWPRSRKFPRPHPVAIHFGKPI RPEEFDGLDSGAITDLIHSRLAEAIAEAEAELWRGRRKPPALTPTPEPSRPGPPA OJF2_RS11245 MTPPEPFPSAPISRRAALAGGLAAGACTMGPTLRAAAGNSAAQS PKAESPFVIGLNTSTIRGQKLGIVKEIEIAAEAGFQGMEPWMDELKRYESEGGSLADL GKRFRDAGIRVESAIDFFEWVVDDDARRRKGLEDARRSMDILRKVGGTRIASPPSGAT DAALPPLKVAERYRALLEIGDQFGVVPQAEVWGFSKTLSRLGEAAEVAIEAGHPKACI LPDVFHLFKGGSSLAGIRLLSPESIHVFHVNDYPASPPRQKLNDGDRIFPGDGVAPYG TLLRDLRAGGFRVMLSLELFNRELWKQDPHVVARTGVQKLKELIRASES OJF2_RS11250 MSSRTTRPPRADQPSPRHRRPVRLIALALAGISALGVSIAIAVR SLSTQPTWAEIQAAVGSGQTERSGRLLERWLLLHPDDGEATLSLANLRLSAGRREGVE GLLAGVRESSPAWGPAQLRLGELAIERRRAADAEAIFRRLAARDPQAVAPRQRLIYLL SLQQRTAEARERLWELRRILRDPRVLVDLVLQALVDPQDVRSLPPELEEYIRETPDDP FLRRAWGMALLYKGKPDEAIPHLRAAAEGLDNDPLGRLALVECLIALGNRDVDETLLG DRPESPADAAIWWINRGRLAEAAGKMDRAVESFQRASELLPDSREAHTRLANALERTG SRDRAEKERERVRLIGERIRLVFAEHKALKRSGVPRDPALCERLGKLCVDAGLSREGR AWLEEAISIDPLRESAHAALSSLSGVGDTPPFLLARPILKHLASSEHGRPLEDAAAST AARRHDRGTPREPISGPGGMGPVFEDRAEDAGVVYRYDSGASDRLHIAEIMGGGVGLI DYDGDGYLDIYFVNGCSFPFDPSHPPSPNRLYRNLRDGHFRDVTAAAGVGGSGFGMGC AVGDYDRDGRPDLFVTGLGRTILYRNRGDGTFEDVTRGAGVSSDRWTTGAGFGDLDGD GDLDLVVLAYASVRLDEAPDCRDGSGRRMHCAPNRFPPQADLLFRNNGDGTFTEASRG SGFEETTGRGLGLAIADFNDDGKLDIFVANDGSANFLYRNAGGLRFEEVGFVSGVATK GSGQTTANMGVVADDLDGDGRIDLFVTNLVNESSTLFKNLGHFLFVDATLAAGLEGPS RSRTGFGDAAFDADNDGLLDLFVANGDVDDRPWANNPMAQAPLFFRNRSSGRFEVTRG GRSFPYLERQVVGRGVAAGDLDNDGRVDLVVVHRDVPASVLMNRTPGGHWLGVRLIEG RDGGPIVGARVACQASGKMSIRWETGGTGYLSAHDQRIWFGLGAHEHADRLEVRWPSG KEQSWAGVAGDRIIDLKEGSPHILEGMANGPGKAPGATTNGSKGVAPINTDRR OJF2_RS11255 MRVRFSSVGRDPRRGFTLIELLVVIAIIAVLIALLLPAVQSARE AARRAQCTNNMKQLALACHNYESSNGSFPMGDHIGRNNNGQPMRQDFGHFVALTAYFE QGATWNALNTNLTCFVGANSTVNSIGMNILWCPSDDVAGLRCPNSDPPDPTGWDNAYQ PMTYSSYAGNMGPLPYHYKDTKLPQSNGIFNHHGGKDPATGNVFGPANFTPIKISAIT DGTSNTILYGEHAHSRIISQDLGDIYGPNWWSSGDWGDTTFSTFFPPNYFKTFAQANQ ATNPQAPPQKFPNGNNWTMTATSNHPGGCNFAFCDGSVRFIKDSVSSWSPNAVTASGS QDNWVYTVNQPQGVFQSLGTRNGGEVISADQY OJF2_RS11260 MRSLKPSTSPLCSGFLAFGVLALNLGGCGEATSTPSATAKAEST TPPPATSKAKSAKAKNADPTAEMSRDELKAYKKKMREEGKPL OJF2_RS11265 MHRRWAAAAGLGLVALVLLASGWKWHQDRHSRALLQQARDAMGQ GHYAVARSALAGLLRDHPGWDEAIYEMGVCELARGRLEAAAEAWRRVPEGSPVRGWAE ARLSRIEMDAGRFDASERLLRSAAERPGTQKAEARWGLVLLLRMEGRVDEARRWLQEG FEVMSDPVETLQRLFRLDHDAYPIEGIRRALENAGRRSPDDDRVWLGWAHLSVIQGQL HAAEGWLDRCLARRPDDPVVWRMRLQWAVLADRPDEVRSALAHLPASEEPDTRVPSLQ AWFAARRRDRDAERKALAAWTEKDGAAARAFDRLAELELEDGHGPAAAELRKRRNDLE QLRREYARLLVIPDPRTKAGELADLAGRLGRRFDAERWRRLATNDGPTPRQADAITNV AGPRGQMLADLLPEVSRQGQSTPSEVSPPAESSLRFTDDATSSGLNFIQANGGQAGRL IPPVTSSGGVGLIDYDGDGWLDVYVVQGGDFPYRPGDPHGGDRLFRNRGDGTFEDASA RSGIAAMPRGYGHGVAVADYDNDGHADLFVTRWRSYALYRNCGDGTFEDATEKAGLGG DRDWPTSAAFADLDGDGDLDLYVCHYLKWDENDKRTCADPNDPTVYNCSPIDFEALPD HVFRNEGGRFADVSREAGLRDQNGRGLGVLAADLDDDGKVELFVANDMTANYLFRTRP GFRLEENGLESGVAGNASGASQAGMGVSCGDFDRDGRADLVVTNFFNESTTYFRNLGG GFFADESDVIGLSTPTRYRLGFGVSFLDADNDGWLDLVTANGHVFDGRPQYPWKMPVQ LLRNGGGPRPRLTDVSGQAGAPFQVPRMGRGLAAGDLDNDGRMDVLVISQGEPLVFFH NRSAGGHFLTIGLEGAASNRDAVGARVSIRSGTRLLTEQRIGGGSYQSAGDPRLHFGL GPAVAADSVEVLWPSGHVDRYSNLRGDRGYLLREGDTAPRVLPGYAVTRMPEEESISR GDQGGQTFP OJF2_RS11270 MEDVPISPVKSGRIASMDQFRGYTVAGMFLVNFVGGLTAMPAVL KHHNIYFSYADTIMPGFMFAAGFSYRLAVLRRLERDGPAAAYGKFFVRSLMLVLVSLV MYAAEDCEVRRWAELEGTGLWKSLAETLKANLWETLAIIGVTQMFLMPVIARGTKVRI GTIAACLATHVAISQWFNFFFVYGQPNWLDELLGLTGKSAWDGGFFGIMAWAVPMLFG SLSYDWMMTRSPGRAAGLQVGLGAALMLVGYASNCAATLYDTDKGTVEVLGKDVAASP VIPPFGNFQGRSFQSLLATPPFMTPPPREIRPDNYWEMNKKVVSLPFSLFSSGFSLAL YALFIPLCDIRGFRLGLFRTLGQNPLAAYILHHAVEGAVLAVVPKDSPLWYCLMGTAV FFAISYSFVRYLEKHNFYLRL OJF2_RS11275 MQIDRIELRLARLPLIRPFRTSSSRKDHLDHILVRAIMADGVEG WGECASPSDPFYCPETVETCWHVLHDFLAPMTLAREWSTIEELTGFYRLVKGNAFARS GLEMACWDALARTHGRPLSEVLGGTRPEVLSGVSLGIEEDVEALYDRIDQFVAEGYRR VKLKIAPGWDVEVVRKVRGRYPDLPLQVDANSAYTLDDLPTLKQLDEFDLLLIEQPLA HDDIIDHVQLQRTIRTPVCLDESIHSAADARKAIDIGACKVINIKVSRVGGPQEARRV HDACAARGIPVWCGGMHEFGIGRAANLAVASLPGFSLPGDVSGSDKYYRHDLVEPPIL ATRGAIPVRQAPGLGVDPVMERIEKATLRAAVLSAGPSRG OJF2_RS11280 MDLPAIPAAMRSKESSIVTELCEMAEVETPTEGKVAVDRLGGRV AARWRTLGGRVEVVANDRGGDHILGRFFEGVPGMPALVLGHIDTVWPLGTLRTMPLRR EGGRLHGPGVYDMKAGLALFHAALEWFRESGTQPARPILALFTSDEETGSPTSRALIE ECAGGVAHALVLEPPLADGGLKTGRKGVGRFAIEVRGKAAHAGVAPEQGASAILELAH QVIRAHGLNDPEAGTTVTVGLASGGTAVNVVPASATARVDVRATTLAAAATLERTILA FGPITPGTEVRVTGGFNRPPMERSPAIAALFGRARDIAGEIGLSLGEGSTGGGSDGNF TAAMGVPTLDGLGARGGGAHADHEHVEISSLSERAALLTALLLKL OJF2_RS11285 MTATRASVNAEEIVQQIELEPDVTIRRAASPADYRECQEAQRAA WGVKDNGYLVPIATMVGANLHGGIVLGAFLPDGRAVGMSFGFLGVVGDRVALYSQLTG VVPQYQSRGVGYALKMVQKYIALAEGVELIAWAFDPLQAGNAHFNLERLGARVCRYID DMYGPRTDALNAGAPTDRVIAEWEIGPKAAPRRPVPVGVAAHLPRLIEVSRRRDGLRV PASYRPAPHAPTVLLELPRRIAELRRDQPDLAEGWRRAVREAFLASLEAGYEAVGLFR ETDGAERHDYYVLDRRKPGG OJF2_RS11290 MQHPSPETGRYANLRRGGAGLGRLAAWLPIGFVLLGAGLFLDQA RDLVSDGQFTTGERRVMGIIALVTFSGSVLAGWILSRLFRTLAGVLEVLADSAEASWR TGDLIEQHLVPTLGRIALAVEESHPAAAQPADDRAEVARRPQALIAELDRAKAAGRAG RAVELRDALTRHLRGEALHRLDRDLALWLLRLVEARVQSDAVDVELADWVARAVDSLG DMPEAEPLRRALPALQRRAEQRAGRQAAGHSRRTSTNRGQP OJF2_RS11295 MSLRVRCTSCRTAFLSPDEPPGGIAECPKCGARHRLASPQAAPP PLAGDGPAVPVPPSAASEVEQPADAGARTVFVPSAESRERSGRRRWLILLAIPALVLP IAAAVLVAWPRLGPRPVGAVERVADQYLHAIAKDEETAQRKLSTVEDPPAIRSYREVR RDRRADRTVKGSFAPIGRLHAKIAASYAYDPSIGRFTPKNPLGAAGETLDAVQAAREN VEKSGLYDKMKSGDPDDIFDAAEGLGQVFSQLAQGAVSPKRVLPTYKTLVDDAKPPLP KDAKELADAVGEDPKSWDALLGRRFVDLKADGPFILDRAEVEAQAEDRLSSLGDPPTP LRLSLVRFRLEGIDTGWRVVAIRRVLPGDESGMQPGATTASPGAEPGVPPADTRPPAR YNPPGQDAPSGD OJF2_RS11305 MRRWDPSVLVRLVAILFPVVPLISPLARGQAPPPAAATAPEDRP PSARGDDEAAPHPLDPLTPDEIRLAVATIRKEKSLGEGVRFASVLLDEPAKGLLAEAA RGKDIPRVALIVLLDRATGRGYEATVDLTARRVRRFEALPPGVQPPILMEEFSECEEA AKKSPAFREAMRKRGIDDVSLVMVDAWSAGHYGNEPEEDRGRRLVRALSWARTDVLDN GYAHPIEGVLTVIDLNRKEVVRVEDHGVVPVPKSAANWTRAAISSPRKDVRPLDVVQP DGPGFSVRGREVRWQKWSLRVGFSPREGLVLHSVSYDGRPILHRGSIAEMVVPYGDPK ETAYRKNVFDIGEYGVGMLANSLELGCDCLGTIRYFDAHLADNQGRVTTIKNAICVHE EDHGLLWKHTDWRTAQSEVRRSRRLAVSLIANVGNYDYGFYWYFYQDGSIQHEVKLTG IVNTQGLRPGESSRFGTEVSPGVLAPNHQHFFHARLDLDVDGPANSVLEVNTKAAPAG PENPHGGAFFTEAIPLTKESEARRSTSPQEARFWRVVNPGRKNALGRAVGYRLMPGEN AAPYAVPGSPLLRRAGFLAKSLWATPYRPGERYPAGDYPNQSPEDRGLALWTKADRGL VREDLVLWYTFGHTHVPRTEDWPVMPVASIGFWLRPDGFFDGNPALDVPASPSPSSTA HRASP OJF2_RS11310 MCTTISKCLSPALLLPLLVAPAAARAAEEPGPRVRGFCIAAPGP SRMDEFVKFIDEELTTRGVNTLVLRVDYNYRFTSRPELADADGLSRGDVAKIVAICRR GKIRVIPQINLLGHQSWANHPGRLLRVHPELDETPWVKMPEHYKWPNEDRLYCKSYCP RHPQVHEVVFPLVDEICDAFEADAFHAGLDEVFYIGESKCPRCGGADAGELFASEVRA IRDHLAAKGRKLWIWGDRLLDGEATGLGEWEASTNRTARAIDLIPKDIVVCDWHYERA VPTPAYFALKGLDVVACPWRKGDVAVRQLRDMRELAAQSPAKTGRHFAGVIQTVWSGC DGFLDEFYGRKPPRKDGAQRRDGSEVQCFKMLAEAWGAGAKP OJF2_RS11315 MAGFAQLTAMLQTRDMAGTIAFYTGLLGFSVASRWPLEDPVWCL LERDGARVMFMTNDHLGKPAMTGTLYIQTDDVLEVHRRLEGHVHVLWGPEVYEYGMHE FAIKDCNGYTISFGEPTDPAELQTSKEPSGVS OJF2_RS11320 MSRERCARRAIVLGLGTLVLLHLQARVAVSQWEPQASGTRARLR GVSAASHEVAWASGTSGTVLRTDDGGKTWRSVKVPATEQLDFRDVHAVDEKTAFVLSI GPGARSQILKTTDGGATWIASHSNRDPDVFLDAIAFWDPSHGIAMGDPVRGRFDILVT DDGGRSWARPRLEGMPEALPGEGAFAASGTCLATGPRGLAWFCTGGASKARVFRSTDR GRTFSASDLPIAAGAASSGAFSVDFRDERHGLACGGDYRAEEKGGVVLAITEDGGKTW RLPKGPAPRAFRSAVAYLPGEGPPAAVAVGPSGVDLSTDGGESWRPLGDLRFHAASFA AGGVGNARCTGWGVGEDGRIARIRISRQSR OJF2_RS11325 MDNSRCVVLVPAGRSIEPHCDFSLRQLEAAGYPVRRLYGFAQVD VARNRLASEALADGFEEMMWIDSDIAFEPAAVERLRSHRLPITCGLYPKKIEKAWSSQ FAADQGTVTFGQEGGLVELRYAAGGFLHVRRPVFEEMDRQLALPRCLGPGGFATVPYF LPMVVPSGAGHVYLGEDFAFSERARRCGFRLLADTTIRLQHIGIYGYSWEDVCGGLPR LPSLKLDLGDLTR OJF2_RS11330 MRQARPCLFGLEPRQLLAVIPVISTSDVNEPGTLRFALGSSEPG DRITFEISTEDGGYNPATGAWTITVDSSLPSVLNSITIDGLTQQAQPGASTSHPAIEI VPRSSISAFSGNGLNIIGGENTVRGLAIDGFSGFGVAMSGSGADRNLVTGNFIGTDVT GSTARPNVGGGILVGSSDNTIGGTAAVERNLISGNGKDGILIESGEVNAATGNVILGN RIGTDAAGSLAVGNAQTGLRVRSTGNTVGGTSSGAGNLIAGNLKEGLFVQGGGNAFLG NFIGVDAAGTAALGNGLSGLTLEGSGSNTVRGNVLSGNGTASSGAGGIILNGSGATQN LVRGNLIGLNNAGTAAIPNRANGVVIIGGATQNSLGGTGTGDRNVISGNGGFGVLVQQ SSNNAIVGNSIGTDAAGNSAVGNATGGIVFFDSSDMQVLGNLVSGNGAQPGSGLGIWL NGSGSTRNLVQGNYVGTNLAGTAAIPNLRVGVYLQSGAGDNSVSGNVISGNLQQGIEL NGSDVSGNAISGNKIGLNVGGNAAVGNRMDGIQLVGASNNTIGPGNVISGNGFSDAPG SGVNINGSGAASNLVQGNFIGTDAAGQSAVPNAGDGIIIQSAAGNNTLSGNVVSGNSR SGIELSGADVAGNAISDNKIGLNVGGNSAVGNGLDGILVSGASRNTIGPGNVLSANGT GGQQGAGVNFTGSGATGNVVQGNFIGTDGSGARAIGNSAIGIFLGDGSVSNTVGPGNV VSGNGPGTGQGVGVYVFGATSTGNVVVGNRVGTDAAGMARLDGSEVGVLVYLSPNNLV RGNQVSGNRTIGIEIAGTTASGNQLFGNIVGLDATGVGALGNGFDGVFINDAPGNLIG GPNVGQGNFISANGSVGIQLFGTGATGNVVQGNAIGTDVSGQRPVPNRTAGIFVNTSN RSNVIGGNGPGQANVGQSRPIYTTAGGRRTTTVAVSVTSIPPAASKFRKAAPARRRAG RARHA OJF2_RS11335 MGRNSIVVGRLTFVAMGALAGADLRGQEATPAAASSQASPVIGL TTEPVQPTGQAPAVPQARLRQVPIGQLRNPFETEPLSKRGLIGWMNERPSPQALPSQP GMPPTSSTPGGEAGPGLYAVGTPEGGGAPGTPAAGGAAGGPTGTGPAPGSAAEAFAAA AATPGPGFGGGAEAASTTFPMFGDRGPLFSAATLRFPNIPTPLPPGVPGQGNAGFLAG RSVAAIVPAVRAFKVADNQYPRPVDRVWVNFNYFDGVNSGLNRILQAPIKNMQVYNEI FGIEKTFLDQQASIGFRIPVNTLTIQSGLQNLGGSHTSVGNFSSYLKYAFYADEKGNL LSAGLDMTFPTGPRSFGGYPSLQGINAFELQPFLGYILMMDRAYLQGFTSIQVPTDRN LATMYYFDVSVGYFLYRARDPRAMISAIVPAFETHLNQPLNWAGFQPQYVGSTPTVVD LTFGLNIGLANRAVLSTAYIRPVTGPTPFNGEFALMLNIPFGARGRGLPVTPPVF OJF2_RS11340 MGVESSALVKTLNKTCLNALQAAAGLCLSRTNPSVEPEHWLIKL VEPPDTDVTRILKHFEVDPSRLTAEITNALNKLRTGNQRTPSLSYKIEQWLRAAWVLA SVQYQSRQIRSGVLLLALLDDDELGRLARDSAPELAKIKVEQLQPNLIRLVQGSPEDD AGMAGVAVGAPAGQQPATVSKTPSLDQYTVNLTEKARKGQIDPVIGREPEVRQMVDIL IRRRQNNPILTGEAGVGKTAVVEGLARRIAEGDVPPALRNVVLRTLDLGLLQAGAGVK GEFENRLKEVIAEVKASPVPIILFIDEAHTMIGAGGAAGQNDAANLLKPALARGELRT IAATTWAEYKKYFEKDAALARRFQVVKVEEPSEDVAVRMMRGLTKILESHHGVRILDE AVDSAVRLSHRYIPARQLPDKSVSLLDTACARVAIGQNAIPPAVEDSRRQMDHLRVEI EILERERSTGVDNKDRIDELKKALEDEHSRLGALEQRWEAEKAQVKEIQALAAKVEER DKEERSKHGGNVTPFDPSAELAAMQAELREKTAALKTLQGETPLLQVSVDSQTIAEVI AGWTGIPVGKMLANEIQTVLNLGKRLGERVIGQSHALEAIAQRIRTARANLTDPRRPI GVFLFVGSSGVGKTETALALADALYGGERNLITINMSEYQEAHTVSSLKGSPPGYVGY GEGGVLTEAVRRRPYSVVLLDEVEKAHPDVLELFFQVFDKGTLEDGEGREIDFKNTVI LLTSNVGTDTLLKLCKDPDTTPTPEVLAEAVRPDLLAAKSERGVQIFKQAFLGRLIVI PFYPIGDHVMRQIIKLQLDRVARRMAENHKATFSYSEKLVDSIVGRCREVQTGARNVD HILTRTLLPEISQEILGRMADGRSISRVDVSVDDQGAFTYAIE OJF2_RS11345 MSQIEARAAAPTDLWELIMQAGGILVLCVLSAVAYGILHDQITA RVCIEYFTVAHPPVFPTQSPTWLAIGWGIIATWWVGVLLGVPLAVAARGGSRPARNVS SLVRPILALLVTMSCCALAAGVTGYLLGRSGWIRVTEPLASSIAPEKHSRFLADRFAH DASYLVGLIGGIVLICRVWRSRARTQGRAADFRSRTMGHGILGSSRGPTGA OJF2_RS11350 MTERRGGHRPSGTWARGLIVLLAASGACGCLGPKAVKLSRIRYN EAYRDTNDEQLLLNIVRLRYADSPVFIDLPNITSQFEVAGSGNYQGGYGFQFPGHVNL GTGTLSARDTPTLSYHPREGREIARALLTPLTADFFSLVTIGANTEQILMLTINDFND VRNAPQATVLHPRTPDENARFRQGAHLLASLIERDAAELLIGTTEEDEDSSEPVPAGS IRGSDLLNAAKDGYVFRARGGDRVTLVKRERGLVLKVRPQYVNSPEMLALAEVFRFRP GRRIYRIKSELTADATTRMPGPLGDESDTIYLNLRSVLQVLTFLSKGVCVPAEHVENG IAPSTPGPDGRPFDWTGITRGFFFVHSDRHRPKHAEVEVSYRGYWFYIAPNDVASRAS LAILEMLFALEESEEKPGGPLLTLPVSGGG OJF2_RS11355 MRRGEFARSSSRSLALRPLPTLATLACLMLVLAGIPARAQDEVR NYRKPVLVVDTGGHHARVRSIVWQDDATLLSGGEDKTVKVWDLQDKPRLARTLRPMIW RGTAGTIYAMAIGRPDAQGQSYLAVGGIGVEARRGDMTIFRFPGSSGQGGEARIPTGE VFRRLMPPAEDQPAARGHVNTVLGLAFDPAGTTMASASSDGTAILWDVPAFTPRAVLS GHAGQVRGVAFSPDGRRVVTAGADGTTRLWDAANGAALDRYPAAAGPVPINAVAFGPS IAIGRESGDLFRFDARSLTQFPIAQIPVQPGRGPIESLALSPDGTRMAVCIKADRADP IDPIGMASVVEVRQMPGGEVLRSYNVAGQVLACAFSPSGRRLAYAGGHTMSVFVRDMT RLDDPPIELKGNGSTPFDLAFTADGGSITFARGRGAPNAPPAREAFDLARRVTRRPGG QEQLRPAIATLDGWSIRGDLGRFVLEAVNRDGRTSRLLLNPATERNWWCSTMVPPGPG HPRPTVAVGCEGGVAVFDLATGERTRFFAAHSSPVVSVVPSPDGKWLASSSVDQTIQL YPLEGCDVRPALGAVFVPRPDGTWTVSEVQPRGFAAGFGLKPGDVVTRIGIERRPAPA AYSKPGEIGAFLDRARDASPSLDVIAFEVRRKLLLPAPLGVVEVDMPRRGTSKRNSAA MSLIVGTDREWVFWTPQGFYDTSIAGDARHLGWHINPDYRASRSTDFVPIATYAATML QPRVLEQLWQLASIDRAAAALGMPAGLPTFDLLAFERQPPRIVFSPIQGGLRLPAPGI LWKLDNAEARLEVTISTPEWSRVTSRRVIVDGQPLTLPPAPPSSLVRESIPVRLQPMR RIRLAVEATSVDQTRRSDFVDMIYIPPAPPPPTARPGRLIVLALGNDRSNNPAILPPV PFADRDASALAGAMARHLVTRDGTPFEQAGRGDVAVLTGAEADAGLVGKFLRRLDHLV NEKQLRPEDVVAVVVASHVLNFDGDSHITLANSVVNGDGRIQPSIPARELSELLGRVA DYGCRVVALVDGVHELPAGTLKSNIKDWVRDLRDNRGVICAVASKDGPSDVRRVERQG IFASGVLSGLQGSGTEPFTLEDFRLRLVQQVSDLSERVQEADVFYPIAVDPQALFGRP OJF2_RS11360 MNTRAILSGGLLVTAAVAAAMTLRAGADDAAPGRPPQVWAVVVG IGKYSDPAIPEHRSAPTQAAQMVQWFRQAGWDERHQLLLQDFGTGDPGTVEHPSSSIL PNRKNMDWAADEWLSAHAKAGDLVVVHFAGQAAGTVSRKTPRSEPIVEHVLLPSDAVR SDAATTGWSLDRVVDRCALRKIRVVCWLGTGVGPGPGPAPSPRDAQAMPDTEARDWLR RLTRWPGVTAWLASDRPMGFGQAADPSVAFTEALLKGLGDSSRHPNLAETLGEMERDA PLANQGFQSAGRVPPDLNLWAQEFGRVTKPPPPEMLLQTGHARAITALACTADKGMVF SASMDSTVRAWSLANGAVLNVWAGQMVGATTLGLSRGDRRLAIGGGRGTLQVAELPRF NVVLPPRPPHERRVEGMAMLPDGESVVTIDRDGHAVLTRMNTAPLASRPWPDTDTRVR DVASGGPAGLGVVAALLDDGSVRLFDAKGEGGRTVDLAGRTAAAIGLDPGGRTLAVGD APGRVLLIDLASNGRERLEVRKEEIGLLRPSSTGWLLIGDGRGLQLASPARGEAGRHV VELVDRTVASTCLSANGRYLAAAEAGTGAVRVWRLDGGEAPRLVHSDNEAKAAVVALS GDGDLLIVGGQDGRVAAIQVEPSDRGIAARSWSIPASSGKVTQVTASASRRSLLVIAE TTGAMLWDLKERVCRRVPGPWKSGAIVDDDVMVLAGASANRAAAGKLVRVRRDRDRSR FDLDTGHFARARGAYSIPPRMMFEGVIVSPDRKSVAATANPGQPPLVCVWDAQSGELR NWIRKLDGPVVSIDFSTDGKRIATGGNASSARLWNLAEPGEINAPEFVFEDPNAGTAK VTCVAIRPGRNQLATGRQDGQVDLWSWEGGKARLEVPRLVELFFTGRASALAFVDGGA KLAAGGDGTSIWLGKVDGEPAAIDDLNALRPHHLEQVNGLAAWPGTPVLISASDDTTV RFWDLKEKSLWGTFTASVPKAAAKGGPEPAVEWVFYTPDGFFDASTGGQRLVQFRRGS RVDSMERYEETNYRFGLGESLLVGQAPRAEPLDEATPVSILEPSRPDPSLEKTTLQVT LGEGPWSDVVLYHNDRPIPTGLDRSKKIPDHFTVEVRLVKGENRFNVAASRGGVYPSV SDPVRLVFDGPADPGRVHVIALGVGDYRRRQLKYARTDAQSIAEVLRQRGIDSAGKPG IRTFLPDDKVTPDGVEAAFDDVAIAVADRPQDKVVVFLAGHTGVFEKDRFCLLLPKYP FPEEEPELVAMRGDAPKSLGDAPLDPDAVLPYSSIALNLARLNALDRLVVVDACQAQS ILNDPQVVQIQKWMEIQNRKSRTTYLLAARRNEPAFEVDPLRHGLFTYTLLRGLGEID PADDPDEIRKLRLPANADRNGDEVLSTDELMTFVDDHIKEISRVFPQIVATREASLPA GRPRTDPLRLVQNPLLQSFGAPFPLVPLGRPAPAKAPGGGQ OJF2_RS11365 MRPKSEDGSQGGDSTWQGLPPGVSMTPESDLNDQTLPTPSATPT PSKIFDSEVGDSSDEDSSEDGPTGDVPEAGDDAATLKKQGAERSQEWDLLPPPRIARG QEIFGKYRLLEKLGEGGMGDVWLVDNLELGRKSALKLLKPQIAHNDKGWRRFRREARL MAKLEHPNAIVVHDFKRTQSIGYIEMEFVRGRSLDKVIDEHKERPIPLDEIASILDQL CAVLQEAHGHLDEETGKPKPIIHRDLKPSNIMVLDHKPSGQNIKVLDFGIAKMVEEES SHEATLTNVGDVLGTPAFMSPEQVRGGWGKDGSRELDRRSDLYSVGVILYQLLTGKIP FTSRDPRGLMAAHLTEKPRAMALANPQSQVPPAVERLVMQCLEKDPDRRPSSAEEIAR RFRVAIGGRPAAKSWLVPAASAAALVLLGLSYAAWSSLRPSRGDGPVPKGDAPTTPKT PPPPQPPTLRMPAGYHAVDGTSPAEDSDEPLLIQNSVTGTRLAWNGPGVYLPEGFEPD SQDPQDKVGRWPRVVVHKSSKARFILISGRVYLRGDGIQKPPDRDAVGNRLKPHWVRV KSFYIQEKEVTNGELDAYAEAHPDDHEFLSKWKNFYNVSRERTKPADDAARLPAACVS YLGASRFAAAMGAALPTEAQWELAAKSGHDDFCYPWGGEQPRRPGELMKANVSNPAGA AFVGTFPKDCTLEEHVLDMAGNVRELCRDAYRPYDDLALIGNSRDNPLEEPCEPFRSE QPGTKSWAVARAGSFLSPSLNKARVYYRDRIPADDSPLDVGFRTVLECPIDAPAAD OJF2_RS11370 MSEPRDERGGDEGPPSRPTGLERRLYEEGYSFDFFQAVRLLQRR EGRSRVGESGPPRSEAVRFRTHVSLGFPPSTVHEVIPPRGGAPPILVHAFLGLIGPSG VLPHHYTEIAYRLERDRASQNPERLAYRDWLDVFNHRIVSLFYRAWEKYRFFIPFERE RSSGRGGRDDLDPFTASLLALVGQGEAASRGRIRVAVRLPAEHHGEPTERPLARVEDI ALLRFAGLFANRIRSAMGLEALIRDYFGLPVEVRQYQGQWLEIEPANRTRLEAGGNNV LASSAVIGDRVWDIQSKFRLRIGPLAYDEFLDLLPDRSPIQERKTLFLLTQVVRLYVG VELDYDNQLVLRRSDVPHCRLDGAGGFGARLGWNTWLHGLPLDRDPDDAVFQGEEVIH VS OJF2_RS11375 MSRTLYHYYERELTFIRHLAREFAEQYPAAAARLRLESNRSTDP HVERLIEAFALLTGRVQSKLDDEFPELTAALLGVLYPHYLAPLPSMAIAQFVLDPARA QIPDGFLIDRLSRIRTMRIGDQPCRFRTGYPTTLWPVQVSGARFARPPYPAGLRPPRG SAAALRIQLETLGGMSFSELSLHSLRFFLYGESASVSLLYELLFNHALQVVLLPADRV LEGGPDPVFLEPRDAIRPVGLDQADALLPYPPPSFAGYRLLSEYFAFPTKFLFADFLG LDAARRAGYGKRLEIVVFLGRGSEKLEHDVSASTFQLGCTPIINLFEKTAEPIVLDQA RFEYRIVPDVASPDGLEVYSVDQVTGVDPLSGRTTEYQPFYSYRHGIGTADQKTFWYA ARRASMRADDRGTEVELSLVDLGHDPSMPAESTLVVRTTCTNRDLPLILQKAGERLGL ELEAAAPLSAIRCLRSPSAPQRPPLRRGLYWRLLSHLSLNHLSISHGSEGRDALREIL RLYDFSDASVDRQAAEVIQQLIEGIASVSSRRVVARPPASDGAFCRGTEITIEFDEEK YVGTGAYLFACVLERFLALYCSINSFTQLVGRTTGGGAPFRTWPPRAGERPLV OJF2_RS11380 MARTEIPNALSASVLDRLIDPESDGTPARPGCTIDQIIDSVRRD LEDLLNTHCTRLDIPAHYVESERSILTYGMPDLAAFQATKAGAAAKVAEKIEKAIARF EPRLTNVRASLIDDHDEKQLKLKFQVHATLRVEPSPDVAFVTILKISTGEASIQRVDT OJF2_RS11385 MNAGDLYKAGKLAAAIDAQIADVRSHPLDQAKRVFLFELLMFAG DLERARRQAEAFQFEDMDLERAAANYRRLVDSEQARRDVFERGTAPGFFGEHSQHLRL RLEAVNCLRVGNTGEAASLLARAEEAMPAVVGSLNGQPFHALRDADDLFGGVLEVMAN GRYFWVGLDQVRVAAMNPPSFPRDLLYIPTHLELESEAGEVFLPALYPGSHAHDDEAV RLGRLTDWKTLEDDLTLGVGLHTYLRDDDAATILEWREFRATGT OJF2_RS11390 MAFDTYLKIATVDGEATQSNHEKWIEIYSFSWGASNPTTVGSGA TGLTAGKVSVSSFNVMKKTEASSAKLFAACCNGSHFADATVEMSKATGDGGQQVFLKY VFTDVMIESIQWSGSTGGDDSPTESLSLAFAKVAITYSKQDDTTGAMSAAGDASWDLT KVSK OJF2_RS11395 MSSTEQAQAAAPAAGTTTEAPSLLDEVIKATRPQTDKESERARD YFRQFLDQAVKPGQVVSKDVETNIKTWIGEIDKKLSAQLNEILHDPAVQRLESTWRGL HYLVMQTETSPTLKIRVLNIKKQELVKDLEKAIEFDQSNLYKKIYEEEYGQLGGHPYG MLVGDLEFGRNAEDLGLLKHLSGIAAASHAPFVAAASPKLFNFDSWTELANPRDLSKI FDTVEYATWKSFRDSEDSRYVALTMPRVLARLPYGENFKRVTEFNFEEQVDGKDHNNY LWMNAAWAYAARITDAFAKYGWMARTRGVEGGGKVEGLPVHTFPTDEGGVAMKCPTEI AISDRREFELSNLGFMPLLHSKGNDFAVFMGAQSTQKPKTYFDASANSNAELSAKFNL ILNTSRFAHYLKVMARDKIGSFMELNQCNVWLNNWINQYVLANPELVGDDEKAKRPLA EAKVNVRAVPGKPGSYEAVAYLRPHFQLETLSASMRLVAEVPKKA OJF2_RS11400 MSSLQHKLDRVRRPRVQITYDVETNGAMRTVELPFVVGVIADLS AQSTEPQKSLKDRPVVEIDRDNFNNVMQRAAPRVATKVQNRLTDEDTKLAVELKFKHI DDFEPAAIAEQVPALKELLEMRTKLTQLLNKMEGNDKLDQLLADILNNPEKAQAVAKE LGIDSAAPSTETKE OJF2_RS11405 MPHQPLVDIESLLEPIPGEDPAGSRDVSAVRGQLEEMRKEIDPD SYDKNDAARPEAPKRADWRGIVELSAETLRSQTKDYLIAVRLVEALTKLQGFAGVADG LRLLRLMTDVCWDRMHPEITEEDDIDTRARRLDWLDMNDRGAWFPSTLRLIPMLPPEP NGLGWQQWKESQGPKSKVSPADVEKGVQLTSREASEALVQDLRLALQELDLLSRSLAA RMTVDGKNYAPGLMTIRSALGDCLVLAQMVLGKKGGAMAAEAQPAVGEAAAGDGAQAA AAPQAPRMETRAEIYARISEAAAKLQQIEPHSPIPYLLMRAVDLGNLPFPELIKSLVL NADVLKVLNRELGIKDTPEKK OJF2_RS11410 MDANAETFYRALAARDARYDGLFFVGVTTTGIYCRPVCPARTPR AERCRFFPGAPAAEAAGFRPCLRCRPELAPGLAPADATGRIARLAAERIEAGALNDDG SIPGLAGELGVSARQLRRAIRKEFGVTAVALAQTRRLLLAKQLLTETDLPLIRVATAS GFSSLRRFNALFRSHYGMPPSRLRKGAARVAPDGDLRLLLGYRPPMAWGFLLEFLASH STAGVELVQGDAYARTVSIGPHRGWFRVRPVAGRDAIAVEVPSSLAPALPRLLGAIRA LLDLDARPSAIAEHLGADPRLARGLAAAPGMRIPGSIDGFELAVRTILGQLISVKAAT TLAGRLAAAFGDPIETPFPSLTRLSPGPERLVHAGEASLVGIGVAPTRARAVVSLAAS VIAGRIRLDPGRDPEAAIDQLRGLPGVGDWTAQSIALRAFRWPDAFPASDLALVRAAG LRTTRELERTSQAWRPWRGYAAMALWHSYLHPSPET OJF2_RS41175 MSPKAFYWTLASPIGPLLLTSGGDALTGLHMAGRGPEAGWIQDA GPFRSVVQALDAYFEGELARFDVPLDLGGTPFQQRVWSELREIPFGRAISYAELARRV GKPGASRAVGSANGRNPVSIIVPCHRVIASDGGLGGYGGGLDRKRWLLEHEIAILRRD PRRDSEDFPGAITPKLPAYPLFSCESTGRRA OJF2_RS39165 MGDTLLEIQATDVLVRVIPGVVRGDLPLRQVLCSAAASGQEVAY VANRGGEARP OJF2_RS11420 MRARSNRCRLTGVLFFCSIVPIASAGAADGPVPDGGESRDRTSF QTGGPWNAGVQIPADVAMCYGVDKTLPARIKGWKEHGYNVQLMTGVAWGQYQDYLYGR FDGKNHVDEAQKERNGKVISHGGDVYYLCPGPTFGQFLATRVVDSIEAGATAVYLEEP EFWARGGYSEGFKRAWKTAYGEDWSPPHASHEARYKTSKLMYALYRDALKQVFDAVKE HDAKTGKKTPCYVATHTPVNYSQWKIVSPESSLLAVGADGFIAQTWTGTARTPNVYEG IRKERTFQTAFLEYGSLIGAVRGSGGKLWLLHDPIEDDPDHSWEDYKTNWECTVTASL LWPETSRYEVVPWPDRVFHGRYPTVDKKRRKRGEKVVKEPISPAYATELVTVMNALND MEQKQLGWECGTRGLGIVISDSMMFQRAEPDASDEHLGSFFGLALPLVERGMPAEPVQ LETAEKPGNLEGRKVLLMTYEGMKPMTSANSQAVADWVKAGGVLVFVDDDRDPYNRIQ GWWNAAGGPGHAIPREALFAQIGLPAGVSPGTHKAGSGTVIYDASSPARLTYQKDGGD RIRGLVRQACGLAGLEYAETDHFVLRRGPYIAAAGLDHAAPGKAHELRGRFIDLFDAT LPIRTSVQLEAGRRVFLVDADAARGPAPKVLAAACRIAPEATTGAEALRFRATGPAAT NAAMRIALAKAPARILVDGQALDAGSWSWDEASRTAFARFPNKAEGRTVAVE OJF2_RS39170 MSEALTRRRALAGCVAMASVPAARSALADVEDSPSSAIADIRAL LERQEADWNRGDLDAFVKGYWNSTELVFQSGGRRTQGYEAMVDRYRKRYKAEGKAMGR LAFSSVEVIPLSADSAFARGAWRLTMPDGATPGGLFTLILRQLPEGWRIVHDHTSAED PPRPK OJF2_RS39175 MDHFVTLDKLPENLEFPGDLKDRIWIDPASKRLFFRGYMSKTEF DRISSLTRDWAFRRKLEELFQISVLEDLPAPAVGRGLLSLFRRRMVPS OJF2_RS11430 MGFRWSLGILAGVVMVDVCPGAARAAEPANPSASAQARAVLAYF GSLEGRKDRRLISGQFDGFGPGASLKACRAAHEKTGRWPAIIGLDYADFSPRGIETKH VNKVAIEYARAGGLVALSAHIPNPANPRGGGLRDNGVDLRTLLAPGETHDRWMKELDL LADGLAELQDAGVVVLWRPFHEMNGGWFWWGARPPETFIPVWRHMFDHFTRRRRINNL LWVYGPNHGERTAAYYGGDHYVDLVGLDAYTDFIDPGHIRGYADVARLPKPFGFTEFG PHGPENPPGDYDYRRFRDGVEAHFPRTVYFLSWNDRWGLGSNLHTREMLSHPWVVNRD DLPVGLGTRP OJF2_RS11435 MSTIPAFSGVVVGRPLQHANLAVFPLFASGHAESDVDYELSDEA IAAGTVVVEEVSESGSVPTLRVTNKGARRVLFLEGQELEGAKQNRVLNTSLLVGAGAR ATIPVSCVEQGRWRHRSRSFAASGHHCSPSLRKSLKRSVARSLDEGKGHASDQMEVWA EVGRQMDSLGSSSETMAMSDTFRTFSGQIDEYRQDLAYADGAVGLAVAIGPDVVAIDL FDRPSTCRKVWDRLLSGFVMDALERPGVTGAAGPADVLAALQRLASAMWRESPPVGEG QEFRAKPGPDAHASALTFSASLLHGSAVLA OJF2_RS11440 MVGRREWLRGITAQGLFLAAGLGHGMTARSASGQTASQPPKNQA RRTARRPALNTATPVIAAPSQPIRADERVNALLTGVRERHDVPGLVGAIIRGESLAAI GAVGLRKKGSAEPMRVTDAVHLGSCTKAMTATLVGELVEEGLVKWSDTIADLFPEVSS RLHPDFQAARLTDLLTHRAGLGANVDWWRLPGNSTTEQRRALLLSSMADAPLSRPGTK FLYSNVGYALAGLVAEQVAGDSWENLMAQRIFRPLAMASAGFGPPGRRGANGADAPWG HGGSRGKIQAVRQDNAPCMGPAGTVHCSVPDWGRFASLHLRAEQGRPKLLDRETFRAL HSPPPGGNYNGGWIAVPRTRAGRALTHDGSNTYWYASIWLYPERDVATIAVANQGPEP APEACREAGQELLQLALLERPPRRR OJF2_RS11445 MQRLAIAAEPAEISLRARIGWLPGLACLATWLGLGLARDATADD LRWNQIQVIGSHNSYHIAPSPPVRALIATAGERQAQGLDYSHPPLDRQFSDRGIRQIE LDLFRDPDGGRYAMPRARKLLQASGRDAGPDPNAKGELSRPGLKILHVPDVDYLSTAP ELVTALKQVRDWSAAHPRHVPIFILLELKGSPDSKLTTQPLPFDRKALEAMEAEILSV FDRRQILTPADVRGDLPTLAEALRNRGWPALDDVRGKVAFALDNEDEVRDTYLSIHPR LDGQLLFASVPEDHPQAGWFKINDSIKDYDRIRRLVAAGFLVRTRADADTREARTNDP SRREKALTSGAQFVSTDYPVPDERLSTYCVQFPGHAVARPNPVSAPGRTEEDVEAGR OJF2_RS11450 MDDPRVYLAAERTFLAWVRTSLSLMGFGFLIARFNLLLHEQIRP PSHQTGRIATISPWVGFAMIVFGVAVCVVALLRHRDYIRRLEQGIANPASSIATPVVV AAILALVGLAMAVTILTL OJF2_RS11455 MNPQQLWDRYKTHFCAVPSLGLSLDISRMNFDDGFFASMAPAMG KAYRAMDALEAGAIANPDEKRMVGHYWLRAPRLAPEPAITKEIEGTVAAIRDFAAKVH SGAVKPEKAPKFTRLLSVGIGGSALGPEFVADALGEPGKDALVAHFVDNTDPDGIARD LEKLGGPLDETITIVMSKSGSTPETRNGMLVVAEAYRKAGLDFAKHAVAVTGVGSKLD QQVQKEGWLARFPMWDWVGGRTSELSAVGLTPGFLQGLDMEAMLEGAAAMDVATRVHD TPKNPAALLALMWHAATDGKGLKDMVVLPYKDRLLLFSRYLQQLVMESLGKQLDLDGN RVDQGISVYGNKGSTDQHAYVQQLRDGVNNFFLTFIRVLEDGGTGLEVEPGVTAGDYL HGFLLGTRSALFANDRHSVTITVPRVDARTIGALIALFERAVGFYATLVNINAYHQPG VEAGKKAAAEVLTLQAKVVGALSSSPQTAEAIAAAIGQPDDAETTYLILQHLAANGRI QGHPASDPASATFAAK OJF2_RS11460 MRYAPIFLGLMLSMSPGGPRALAEDPRVLQRGARLIEPFDFRGV ALQPGPLKTQVDEVRAFYLAVPDDDLLKGFRARAGHPALGKDLDGWYTSDTFHVFGQV VSGLARLHAATGDPACKEKANRLVEEWAKCIEPDGYFFASRKPNAPHYIYDKMLWGLL DAHAYCGNEQALRHLDRITDWAIRHLDRGRRVNDTSTEWYTLSENLYRAHFATGDLKY RDFAHVWEYRDYWDIYARNGDLFAPRPDGRRNEAYHAYSHVNTLGGAGAAYLATGDVH YLEVIRNAYETIQRDQCYATGGYGPDEMLLPAARILEKLGETHNSFETQCGSWAAFKL SKYLISFTGDAKYGDWVERLAINGLGASPPMTPDGRVFYYSDYCLHGGTKRNTDFGWS CCTGTRPQAFADYADQAYFHDADSIYVNLFTPSTITWNHAGDPIRLAQATTFPEGEET RLTVAVPRPQAFAIKVRTPGWLASPITARVNGEPVEVRPDERHWTTIRRTWNDGDVVS IRLPMRLSVSRPSSRRTPDAFTIGPVVLAFAAPNARPFARVDFDALENALVPMDGRPL RYRAAWDSSLVARPFASFGAGERYFVYLDPSMGSRIPHLDMQFRGRWNDAGAFRFSNE VGATAEGQFEGTGVRWLGKRFDDAGTAEVSIDGKFVAVVDQFGPGRDLPFDWSHRGLS PGRHAIRITVLERKADASLNRFVNVAGIEVLGGAGSTP OJF2_RS11465 MGLVGAFLASCLAAAQATQIRGAVLSQDGRLAAVALLRSWVWAV DNREIDAEGTADEGGQFRMPVPPARFGTNDDAGPMH OJF2_RS11470 MTVFTGFSHVRHVATRQGVYSLSLDGPGVLKTSPAGRGAFDVTL LGTSQSSKLTIGLVRPRFHTEVGLMSVRNLAVRTGQIGDIVADVASLGGRLTPLTSSV NTLDFGALGRAAQIDVAGSVASMRLGSIDLGPTGHVVIAGDVNGGAVDQALSSSSSST AKVTPAMTVQTFRLDGGRFLIGRDSSAPIVVNGDMALSHNGLFSVGRDQGALMTVHGS LVLDTGGVISVGRNLTSIKVDGDLLVNPTSSGIQVGGDLGVRDTGLTVGGFFRGQGSA SAIDLSVGLNLNGLTILGGAPNQGGLRSANISVGKNLNDLNVQHGIFDSYITAGVSIN NGYVGPDGVTAIQNSEIDATSSINNLTAGGDVVSGFPTGNTAGYPTRIIAGKARSTQA GAGPDQGLYVANGAINSLTINGALVDAVLAASVAPYGGDGSLPPPVPYGGTQRTSGTP PTTFSNYNAPGGLTDQGNGTAIKNYSIRSIVNGALVPTAVWDTTTDPNLHDNVLANGT ITAIVTGGVTSTPHGDNNDFAGVFAVNTVGINGGSIPFSPTAS OJF2_RS11480 MNQPSPSKATVSFISLGCSKNLVDSERMLGLLSQDGFALVPEGQ PSDLVIVNTCGFIDAARQESCEVIEELLDRKRAGAVKGVVVAGCLAERQKDVLLEEFP EVDQVVGVFGREEIARVSRRILGGLEEQRSLFRPAPVQAQDDRARLRITPRHFAYLKV SEGCDRLCTFCAIPYMRGKHVTKPIEQVVAEARELVADGVRELILVAQDMTYYGVDLY GRPRLAELLKELDQVEELDWIRILYNYPNYFTEELYEVLGSARRIIPYLDMPLQHIND RMLRMMNRRHTRAETESIIARLRATMPGLVLRTTLIVGFPGETESEFRELLDFVEATR FERLGAFAYSLEPDTPAARLPNHVEQAVKEERQARIMAAQQPIAEAFNRTLVGRTLDV LVDGPAPAGAGGVPAGEAWLGRTYADAPDVDGVTLLAGPDYRPGDLVPCEIVEARGHD LIASPLAPAPPRRKRPRPRPRRRPASSSLTILDGMS OJF2_RS11485 MSGPRERGEATATLPQGGPRRPRFWNVPNSLTVGRLIMSVVVFA LMGGEYYAAAFLVFILAALSDWLDGYFARLLDQGTPIGRQLDPLIDKVIVSGCYIYLS AIADRTGVRPWMVTAIVVRELLIQGLRSLLEGQGQPFGAKMAGKLKTTAQCFSIGAAL LALAMPTPPGWLLGTRDGFTWLAVLLTLYSGASYMSGAMPALRGQATRN OJF2_RS11490 MEWFESLLLGVIQGVTEFLPVSSDGHLAITQQAFAWLTGHRRTG KEDLFFDIMLHVGTLCAILFHYRKEIIEGARGFLTDAKARPADLDTDSGDPAADKTVR PGFDRASIFRVGLLAAVATSPLVPFALLFKKKLEEAFQSTTAAGVGFLITASALLIVT WRMRGQDGRKDAATMTWLDALLIGIAQTAAPLPGVSRSGMTIAAALLLGLTRTWSVGF SLLIAVPAICGAAVFELKDAVKDPAALGLTPDRIGQTLAATVLAGIVGYFAILWLIQV VRGGRLWYFSVYLIGLGSLVLALSAISGGSPDAGPAKSLDRTALGILPRPHPGGVRGR APFTLARPDATGPRPPGLRAGAASRAGKASPLRPLLG OJF2_RS40550 MDDRAKGLCHEARPRLHAALLDAPDLSGSAGDARVRASALAAER GDTSAIERLAATRAHRAILEGTAAALHASARRQRGTDYGEYEGLIRDGAAVLELDRHF GASRPFSASQLETYLSCPFQFFAKHVLKLTPVEERDELDEDYTQRGSDLHDILEKMEA RFLHLEGEPDWLAAAEAEVERLSNVEPANATDLDMGLWEIQRTRLIETIHLYIHQRQK YQEEAGHRFAPRMLELDFGSEGAQYPMLEIRDGARSLRLMGRIDRIDVAGEDQGARFR VIDYKSGHAPSPNEVKTGEMLQLPLYAMAVERIVFRGEAATLADLGYWSLKSDGYRPI SFEDWENDKLELIRHVLSLVDQLRGGNFVVHSRREGCESFCDYRGVCRVRQVRQAGKR YAWILPKLSAQARRARKAAKAVSAAPGGDA OJF2_RS11500 MTPPTTPEIRLTDEQRRALEVKSSSVALGAGAGCGKTLVLTERF LGELEADDASSGADGRALGELAALTFTEKAARELRQRIRARCRQKLASGADPARWRAV LRALESAPIGTFHEFCAMLLRTRALELGIDPEFRIVDAPIAASLRDRAVRTTMRRRIS ARDPDLALLSIDYSLDQVREALDAILSRRTGDLVDRWAELSEEDVVARWRDAWEARGR PAVLAGLTTSIRDCRRTLDELPGTIHPKLAARRAELLQVLDGLEGGGAGEGDLAAARS LARIDDLREKAIWPSADAKDAIKGAFESLRKAIDRARERLEFSDEVTRENAANSLRLV RLVKQVQTEYEHVKRRRQALDFDDLLGLTRRLLDRPAPPPAARGGIDFIMVDEFQDTD QVQSDILRLLAGEAFAGGRMFVVGDEKQSIYRFRGAEPAIFGRWRGEFPADGRLPLTE NFRSVPGVLHFVNALFADAFRPDGGPGGEWEPPRLVPVRDAVVPDPAVTFHWASPAVR PGESAEAEARPKLTSGDRRANEARSLARWLRTRLDAGWTVLDRQSRRPRAAQAGDVAF LFRAMTDVWPYETALADEGFDYHTLGGSAFFAQQEVRDVVNVLSAVEDPLDEVALAGA LRGPFFSLSDEALFWLARKVPGGITRGILRAGEIQELSDRDRACATRAAALLDRWRGI KDRVPLATLVATVLDESGFESALVCEFLGERKLANTRKLVRLARDFDRQESFTLADLV DRLRADLENPPSEEQASTTDEQSPIVRLMSIHQAKGLEFPIVVLPDLGRQPSPREGLV GLREDVGLVIRPSRQIQLFPDGEPAEAGSSLGWMAYTAVESEEDRRESLRLFYVAATR ARDHLVLSAGLDAPKESEAMPPRSGSPALQLLLERFDWRTGDCRADLPEGWPSPAVDV RCLAAPDADSARAGSSRRPPLREIEEAITRTAVRDEPAPRSPRWPAWIDLAGQSDAAS REARLGTLIRSVIVDRGLLRGGTPAMACSRAAERMVPAGSSALSAEAAERLRDWSAMP LFGALREANRAGRPIEADVAWSVRWPVGEEGESILRGRFDILYLDRSGNWRPVIVSTR PSEVDADRLRLHLAPIAAAGLARVPCGPAWWVSVGQAGDLEVENELPAPPASVGAAIA EWLASRRWPS OJF2_RS11505 MRQALSIALLTVLLTASAGRAGDAPPALEQARKLLAAGEGARAA QVLEESLATAPAADRAPIVQLLRQAYDQLIRAAEGAGNAALAAEYRDNLAILGPSPSE SRDAAPRSAPPVGPASQPGGSAPVVAAPSPPPSGMPASTPPSEAPFPPLPVEGAAATD PHVRPASNKTPAREDRAIPAAKGDDDLPALDEPPTLPEPAATPPPAKAAAASPAARKV GPPASKKARPAADASPAASAPAAPVAEAGLDEADRLFNGKRYLEAGKVYARLAAGNRL PPDRREAWAYCRWVSIVNQINAHPRTEQEWDGIENEVRDVQRLVPGNWYGTYLSNLVN EARHGKAAGGRRGVVVRGSAPDEQPQPQPRRRPRLLGRGAPTAQVPAQDDRSRAAAAE QPLALPAGPSSGEPATPPAPAPGAGLAPEAESPQASGLGAAGWQVIETANFRIFHVDP TLGAEAARAAELVRSRQAKRWGSVATRSTWSPRCDIYLYPTSAIFARMTGQPDTSPGF SSLGVSGDRVTSRRVNLRADHPQLLPAILPHEVTHVVLADMFTRQPIPRWADEGIAVL SEPEDEQATRAADLVGPLQDGSVFKLSELMATDAPNADSWTLYYAQSVSLTQYLVELG SPSDFVAFVKGAQKTGIEASLRSVYGLDGFQALESGWREFAQRRVAADGQQIAAGPDD ADRRQ OJF2_RS11510 MQTIAIPDGETRGAADGGRVDDESPECGRTDRITAWMIALGTAT LVCAFGDAVVAFLEWRRLPSSSWRTSGLFFREQSPLLVVGMCWPLLIALLARGSQGGR YLPMAALTFFAMSLGGVWNIVQAIAFPADGTLIVGSFSIPAFSLARGSPSAWTQALLG MAQLATELFVAIAAWLECRAWPARLAPDSTFRDRVRGRLAFYLTLAFLLVCIRVPIWS GYVALVNRSASLRSYVLTSGPAGRTAGPGVGVGARDPGPVGTAPLRLGEARRLAEELR DREAAAAYEAAITLLDGLAKAASPPSDIGATLALASNNLAWLLATCEDQSVRNPAEAV RLAERAVELAEDEGTYWNTLGAAYCRMGRWEEARRALSRSMELRTEQGDAFDWFFLAI VEWHRGHEGLARWWYEKAVEWTASHRPRDRELRLFREEASKLLRLPATPSPPDEKPGP TPPAASTDAGPTRP OJF2_RS39180 MARGAVAASIQYLRNGPPPLSGGMASGAASPTTPSSPAPSVAST SISRDPAAVIRQVIDLTNQARVADGLQPLAPDASLADAAAIHSADMARLGRMSHDLPG VPYGSLSDRAAHVGYDYQSLGENIAYNQPDAPSVVEAWLNSPPHRENMLSPDLTQIGV GVAWSGGGEPYYTMELGKPA OJF2_RS11520 MQETSRRGPVGWAATSPHAGIAFYVAIATVSVVAGLFVVARVAK PSLDPDRVWMQAQEALKAQRIDDAEEALKTLTRLREPTPADRLLHAQVDLARDRIEPA LEGLASIPDSHEAAPQARLIAARVELRRHRARFAERWFREAIRLDPNLVAAHRELIYI LGYQLRRADLAAEFLALSRLSDLSFQNVFDWCLLRNSFWEPTTALPELTKFIEADPED RWSRLALADEYRRMGRLQEAEAALGSLPPSDPKVQAFQVMMSIDKHEYERAEEILAKG PADDPDLARLRGRVALARRDVDEAVRAFRIAYERMPEDHDSLFGLINALSLKGDEKSL APLKARAKALDEVNSLVQQASNVTNRSDVNLIKRLGAACVAAKLLPEARCWYKLAIAA NPLDEESQQALYRIQEQLGEADQPGAGDAGNKG OJF2_RS11525 MLSLLIWLMPIILEPPTEGEVTDRLAPAGFQGNYWGPEATRARK EGRLPPLAITPEMARWDAWGQKVLKDGDIVFRMGDARVLRGFFPMSKFYANCSRSKFS HTGIVSIEEGRPFVYDTTGSGVARQPLSVWLLDNIGCLGVKRLKEGLREEKVPKVLAY LHRVYAQQVPFDYELGLDDSALYCVEMTEKAYRYAGLPLSEPVRIGDMERATEYPLCM LGLGICTKFALEHPLTAETQVYFPGNERHGIWSSPDLVVVVPPTFRPVAPDAADASRP LVAGFGSRRE OJF2_RS11530 MDVQPDRAAWFEGGEARCTIMPSPKDRPFRIVLLGPPGVGKGTQ AELLCKSLGTCHLSTGDVFRAAQCQNEHSPALRSALDAMKRGELVSDGLVVSMVSERS SCLSCFGGFLLDGFPRTAAQAEALDELLDQNGVQLDAVLSYELPLAEIVDRLSGRRTC SKCKAVFHATARPPHKEGTCDLCGGGLVQRDDDRPEAIRVRMQAYEESTRPLADYYQQ TGRLLSVSAGGSPEEILERTLEALQSKAARTRT OJF2_RS11535 MSRLAVLPCLTLLASLALVQPSMADPPGLRTLDIGAEAPDFRLP GVDGKEHSLKDYAGAKVLVVVFTCNHCPTAQAYEARLAAFHEAYKDRGVALVAISPND PKAVCLDELGYTDLGDSLEDMKIRAKDHKFPYPYLYDGDTQAAARVYGVLATPHVYVF DEARKLRYVGRFDDSEVKEVKSHDVRNAVEALLAGRPVPVEKTRVIGCSTKWADKQAD ARKSLLKWDAEEVSLESIDLDALRKLAKGDDKKLTVINVWATWCGPCVAELPDLVTMH RMYRGRPFRLVTISLDDEAKRDEALKVLRENHVSATNVIVKSSDKDAVAEALDKDWPG PVPYTLILAPGGKVLYRKVGAIDPLEVKRSIVGYLGRTY OJF2_RS11540 MVPAKDFKRRMVVEIDGAPHMIEHIQVQTPSARGAATLYKIKAR NLKTKNRVEKSYRGTEGLNESSFERRPIQYLYRDQDELHFMDAQDFTQFSMLADELGD IVSFMTENMEGVESLVVDDEVIAIELPDTVELPIVESAPGVRGNSATGRTKPATLSTG HVVQVPEHLDPGAVVRVDTRTGEYLGRAT OJF2_RS11545 MAASLTSSVAAGDEPPAALPPARNGEVLEDRLRKLESLNEQILR RLEDSERDRRATHERYERLEERYRDLSRKMEPALREPERWDSPRPGNRTEPSASGEAR VGREQGRRRGSGQGFESFLERSEELPLRAALEEGFTLKSRDDEYQLRFRLLDQTDLKV FSPGDQVPARSGLFIPRVRFYWEGQLTRLFQYEVSIQRSVEGVWDLLDGNVNVVPDKR FQVRFGRMLVPYSYDWYDHLEQYFITPERSLFPLNFGLSRSAGLMAHGFLFDDRLEYA AGGFDGHLIGLADNNTTRDAVGYLNYRPFLRSERMPLLRNFNVGASGFLGKQANMLHP LPLRTSIQSSENDEAAQAASSIFLRYNDDTVDFGGRSATALHMAWYAAGFSFEAEWQG GRDHVYRPGLHALQSIPATGNHFTLSYFLTGEVVERRQVVTPLRPFDPARGLWGPGAL EPYARFSQLSLGEAVFTGGFADPNQWTRSIDLLDVGLNWYLTKYIKIYFDWQHSMYGS PVLLNPSKDLYGRTNDLFWIRGQLYY OJF2_RS11550 MKVYRVTPAYLWLTRLTKLGLTLGAGALYLNAVTHPTPLAARLL LLAGLAAFIWVFYVRLPRRPTEIELGEDGWIEFRGKKAARQVHVASIRSIRRGPTPRS VRIRHGGGSVRFPARFRHFYDFLASVKAMNPAIDIRGF OJF2_RS11555 MQDKFRKKYRVPAATERLRKQIAVEAARRLLDSAPADDPAAADW IAERPEADLYAAKRKAAAVLGHRIRPGDLPSDGEVREEAARLWRSRARDEADPEDAVG DADGAAEEDQEEEAPDPADPPEGYVPPALSERLDRFTVYRMRLAPLESIKQDARSHPE GDALYHSLQVFELAREARPYDEEFLLAALLHDVGKAIDPRDARNAASQALRGAVTERT LRLIVHLDDDRVARSSEVDEQDGEDLRLLRELDLRGRLPGAAVVSIEEALAYIRGLED ESYLDPT OJF2_RS11560 MDESAEEKIRPELTRSEKLVWCGQPPQGFMLRAADALLIPFSIL WGGFAVFWEVTAIAMGAPVFFVLWGIPFVLMGLYITVGRFWADARRRASTVYAVTSER VLIVSGVWTRSVKSLDIASLTDMALTERRDGAGTITFGSVPYFYGWYAASGWPGLAAQ QVPSFDLADGARQVYEQIRAVQKASRQHA OJF2_RS11565 MSSSPKRARTRTMPGALSLAAALVLGMPMTSLAESPPLIPREVL FGNPERIGPKLSPDGTRMAWIAPDKKNILQVWVKTIGKEDDRIVTADPKRGIRQFSWA ENSRVLLYMQDSDGDENFHVYGVDLASGSVRDLTPFQGAKAQITATDPGYPDTVLVSL NVRSRALFDVYRLDLNTGGLTVDTENPGDVMGWVADSHLQVRAAQAMTPTGGAAIRVR DDASSAFRPWLEVGPEDALTFGALDFSADGRSLTLLSSVGRDTAAVVQRDIAAGTETV LAASDEADADSVLIHPKTHAVQAARFSPGRSTWKVIDPSIAEDFEAIGKLREGDFSVV NRDNADRTWLVAFASDRGPVAYYAWDRSSRKGTFLFVHQPKLEGLALAEMKPVKIKAR DGLTLNAYLTLPVGIEPRGLPMVLMVHGGPWARDQWGYNPYAQWFANRGYACLSVNFR GSTGYGKAFLNAANKQWGKAMHDDLIDACKWASDRGFADPKKIAVFGGSYGGYAALAA LTFTPEFFACAVDMVGPSNLKTLIATIPPYWKPMRVMFDTRMGNVDDPKDAELIREAS PLFKADRIRRPLLIGQGANDPRVKQAESEQIVAAIEKNGGRVTYVLYPDEGHGFARPE NRIDFNARAEAFLAECLGGRVEPMAGDKVAGSTAVVKEVGRK OJF2_RS11570 MSQVFESLSPFTNVQCEGDQARVEFRGDWYELDSIDDLPCPAML AFCKRIYGDAWDERFATGLVEILTRMGHRPAETLAIEDGTNIRLALRNPQSGDVERID AAPMTRENQRRVWRAYRQRRQARLASPGPTDPDGHRRSPFTKVEFSPDGILVEFRGHP YRLVEIDGLRTDAVMAFCRERHEDGAEEMIAGWLGQVLAEMGRKPSDSVSVRLVDRIT GNAVMIQDAPMTAENRHKVMENRRGARAATESLHPQLPIKDLMRCMLGDFEKVVNGRW AYRHARQADFPKAIESLRRRIDEGLTPEEFSVEIQRIVSLGIDGHATTQYKLTPRGYL PFGIDPAGGRYVAFRPDRSGFILPGHPYVVRIDGRDMAEWCARAAELIPKGSASLIKL KMFADPGVIFNVHYLRGELGLPSRPEVTVEFSSEDGQSRRTLTLPVSEGMPLEKFESW PTRKTLSPAGSRLLAEDLGYLRLERMDRAGVREIEEWMPRFLGSRALIIDVRGNFGGS HDCLRLIDSYLRKPDEPPRVVKAVKYLNGEKRATVMDMNYRPADFPGWTTAEREAISR FRLTFRPDWDPPPDRFGDWYYMVLSGPAKEGTGHHWEQVVILMDYRCFSATDTFLSSL KGRPGVTLMGTPSAGSSGGVAPTRLCDSMLIHLATRACFQADGSLLDGNGVTPDVDVE PGVGFSIGTSDDALDAAVRLLTRR OJF2_RS11575 MRVLITGGAGFIGSHLAEAYLGRGDEVFVLDDLSTGSIDNITHL RGRKGFHYSIESVHHAPTVAELVDQCDAVFHLAAAVGVRLIVESPVRTIETNVHGTEV VLAAANKKKKKVLIASTSEVYGLSADVPFREDGNLVLGPTTKGRWSYACSKAIDEFLA LAYWRERKLPTIIVRLFNTVGPRQTGQYGMVVPTFVKQALTGRPLTIFGDGRQSRCFT HVRDVVGALVGLMDHPGAVGEVFNIGSSEEVTIQALAERVKERTGSNSPILHVPYEQA YGEGFEDMPRRVPDVGKVAGLIGYRPSRSLDAILDDVIGFFRDAPPAGARAGDLPA OJF2_RS11580 MSNQNTAAQARAALEAGEGILRLAPTWVPRSFLMPGGRLKLSRE DLYALGTHRGGIDERWFGSTTPAANEGAPPDEGLSYVVHEGGKFTLRDAIEAVGADII GKPLWDAYKKWPVYSKFFDNLGPIPHHMHQNQEQAAKLGLEGKPESYYFPPQLNWTGN NFPYTFFGLEPGTTKEDIRRCLERWNQGDNGILDHSKAYRLKPGTGWLVPPDVLHAPG SLVTYEPQWGSDVFGMYQSMVEGRRVPWELLVKDVPEQYKHDLDYLVNQLDWEKNVDP EFKAHNYLEPIVRSGGEEQGFVDRWIVYGTVDGKQLFSACELTVHPGRTATIKDPGAY GLIVTQGSGKIGSLDVDSPNFIRFGEITKDEVFVAAKRAGEGVTFHNTGSEPFVSLRY FGPDTHKDLPAVGDHLKRGAR OJF2_RS11585 MVSWTAFLVALVLVLGGLFAVLVLFGLSRSASGGDLDWQIARLE RKVDLILTNMGIGHDDHVPEKVTRLASNGQVEEAVEEYVRLTGSRKSVARREVQYLRE KLRASKGGPDPEP OJF2_RS11590 MFDPYGWNGEDPADRLGEALVGLIKEEIGPTEYLLWADRPASPR PIRIPAMPMLFVSAMAGLSGLALAGIFGLANQAWLDPRLVALAMGLAPAVLGGLIILH LLGRGVRMLLRRRWLSRLVYAVTDQRAIVGRIDAPAGDRLTHSLWRGTVADTRRFENP DGSGDLFFLGHGREDWLPLDFQEVPSVGLVESLARETLIDEPAEGWQADDD OJF2_RS11595 MSGSHPHNFPKLHNAAWPGVVGKGGEGGDPPIGLDTMLDLTAAA EVDGIRFDGFDLFLFQPHIDIDASDDEIRVLADKAATRGLAIGSVVAPVWGATGGGSA MDEGEGRRNFLSQVRKGCRIAQRLRELGIRPHGVVRFDSACGPNEWFADPAGNQAKIA ETFRQAAAIARDHGEKLAAEGEICWGGMHSWRSMLDLLERVGEPETVGFQADMAHTLL YTLGENAPEDRILPPGYDWKDRAVLDEALKTLTSALRPWTMDFHVAQNDATVFGSGSH DHTGRHCLANDPNGKLDIPHHAGFWLRDDDGYLTKRLRHICWDGCMFPNSVMMKPETW NDVLAAMIRVRDAHGWAE OJF2_RS11600 MADLKPLNIGLIGCGFMGRTHSNAYKRVNDFFDAPYRPVLKACC ARSEENARHFAGRWGYESIETDWRKLIARRDIDAVDICAPNNMHAEIAIAAAQAGKAV LCEKPLAMNLVQGHQMVDAVEKAGVANTVWYNYRRVPAVTLAKQLIESGRLGRIFHYR ANFLQDWTISADLPQGGTGLWRLDADVSGSGVTGDLLAHCIDTAIWLNGHIGSVAGMT ETFVKERRHNLTGKVQPVHIDDACAFLCRFDNGSLGLFESTRYARGHKALYTFEINGE KASIKWDLHDLHRLEYFDHGDDSLVRGWRSVHVTDSDMPYMKHWWVPGLQIGYEHTFV HHVADFLDNLAMGQPTAPTFRDALATQAVCDAVLDSADHHKWETVVPV OJF2_RS11605 MKYGMNLLLWTDFVTEEHDAIIGEIKALGFDSVEVPIFNTADLA PYARLGKRLRSLGLGATAVTVMPADANPISPDRASRDAAVAHLDRVLECGQQFGCEIL CGPLHSALGVFSGAGPTGDEFKSGVETLQRAAEKAKDRGIRLAIEYLNRFENYFLTTA EQAVRFVKAVGHPSCKMMFDSFHAHIEEKDQRRAILACAPETIHVHVSENDRGTPGTG QVDWDSFYKGLSESGFDGYTTIEAFGTALPALAAATKVWRPLFPDAMGLCREGLAFMK GGGRRLG OJF2_RS11610 MPIHDWTLVDARLFHTFHQHWITRLCDALNDDRLPPEFFALSEQ SIVRPIPDALTLGMHAEPEGPERPSAAMAVASAPPRARLVSRIEQQVYARKVDRVAIR HRHGQVVSVIEIVSPGNKSGRSALRTFVEKSADLIERGVHVLVVDLFPPTRRDPQGIH KAI OJF2_RS11615 MRPRAAMPIVLASTSLCLGLGLAASPGGSPQEPPRVQGKVEQKP QARPHGAPVVVDPATVRIDDGDTVVIRWGEGDEETVRILGIDTPETRHEEHSIPYDQS FGPEGRAFAQGAFAVASDVKLIRAAMLDPYGRTLGYLILDGKNYSVLVIKAGLSDETV SFYGDNGLPAMAAEVKSAAKGRPPLAFEPPHAFRKRMRSVSDWQKSPHPNPAR OJF2_RS11620 MPLDVNSYSTLSVMITPALFMTANGSLIISTSNRMSRVVDRIRV LNDLRDALCRGATDLDLVPERLAHVADQLHHLEWRSDRVRYALSMLYLAFGCFVGTSL MLALDVLFGNRLVAIPTLMAVCGVSLLLGASINLVREARRALLTNRLEVRFYRDLNAK RQAAASCGMPRTSSATA OJF2_RS11625 MKRRDDIRNVAIIAHVDHGKTTLVDAMLRQSGQFRASQLQGERI LDSNDLERERGITILAKNIAINYGSTKINLIDTPGHADFGGEVERTLQMADGALVLVD AFEGPMPQTKFVLRKAFECHIRPIVVINKVDRPDARPNEVLNEIFDTFVELGASDEQL DFAYIFASGKAGFASHDPSDTAGDMRPLFELIAAKVPGPVVDPDGPFSMLCTTLDYSE YVGRIAIGRIFSGKVRRGQRANLLKAGNAVTPGSIDGLLLFDKLGRVEVQEAEAGDIV AVVGLATVEIGDTIADVEMTTPLPRIEVGEPTLSMLFRVNDSPLTGEGQYLTSRHIND RLQRELESNVALRVEPTEDGDAFQVSGRGLLHLSVLIETMRREGYELSVGKPEVIIRK VNGVDHEPYEFLVVDVPHTHIGPVMELVGGRRGEMSKMDVKGAYAHLEFLIPSRGLIG LRNRLMSATQGEAIMHHNFHDYRPFKGDIPSRPNGVMISMVRGQAVAFAMDNLQQRGT MFISPGDDIYEGMIIAENARNEDMVVNPCKEKKLTNMRASGSDKNVLLKPPRLLTLEI ALEYIESDELVEITPSKIRLRKKVLSEEGRKRSERSGKKVGV OJF2_RS11630 MLMQLPGVEHPEASTKIAEASSLVLFLELDEVLEDAGAWSEQEP RTLIEDLASREGVLVCLLGSRSLAEMKGLVENPRLVYVADRGMEVEGPAFHFVHPEAV QCRSAIEAVGQRLMGLPLLYPEIAIESRTLALAIDASRCPGDAAEEIANIVAALVPET HADLKASRHHARFEIRPRVSWGFREAMEWVYGRLKGTDAAIVAVGGEEVANAVAGSIH LGGEQRDGAGRPGGLVDVPGLLSWLLERWQERLDSMASSWGPHRARPSLALKETTPIL NVRLRRAAMDRRRAANG OJF2_RS11635 MVRRFFEWPDNWLLPEFATHIGFFLGLIFLAHLIRQRRSPASTM AWLLVILFLPYLGVPLYLMLGGRKMSRMARRKPRVYERAGLQNPRSIEGHQGTERFLM SYGVPPAREGNRVELLTRGEDAFRRLVELIDSATSSIHITTYILGRGRVGRELVEHLA RRAAGGVSVRLLLDSVGSRRVRRRDLARLLESGARVAYFMPVLHVPFRGRANLRNHRK IVVVDGRIALTGGMNFAWPYMGPGPDDGLWRDLSAVIVGPSVADLDALFFSDWEFATG EDLRKMPPDGEDGERAGPAPPPVAGIAGASSTVQVVASGPDVQDDPLYETLVSLIFAA SKRIWIVTPYFVPDEMLVRSLNLAARRGVDIRLIVPKRSNHLSTDLARESYLRELHES GGKVYLHQPVMMHAKAVLFDDNLAVIGSANMDNRSLFLNYEVALFLYSRECVADLAEW ALRLQFDSERELPQPGWLRELAESVVRLLSPLL OJF2_RS11640 MTPRWLQWARRIDAIGQTGLAYNREPYDRERYESLRAIAAEMIA EGSGEEVGRVAGLLGGDSGYATPKVDVRGVVFRDGKLLLVRERSDGLWTLPGGWADVG DSPAEGVVREIREESGFETRATKLLAVLDRDRQGHPNLVHHTYKLLFRCEIVGGAPAT SYEILEVGFFAEDEIPDLSLERTLPSQIARAFLHERDRSLPADFD OJF2_RS11650 MAMGRNRLVCCGLATFLLVQGAGAADGPAEPRGGEAARDTPAEV PVPPKGRWTSLFNGKDLAGWTPKITGFALGEDAMETFRVRDGKMVVSYDRYGNFDGHF GHIFYAHPFSSYKLRIEYRFVGDQAKGGPGWAFRNSGAMIHCQPPGTMRKDQDFPVSL EVQFLGGTGRGERRTGNLCTPGTHVHMKGKLITQHCNDSTSKTYDGDQWVTIEVEAHG GGTIKHFVNGELVIEYDRPVLDGSDPDARRLAESRGGKREVAGGYISLQAESHPVEFR KVEIFPLDE OJF2_RS11655 MPAPLTGLIPACHTPMDAQGGLALDRVDDQAALLREVGIRAVFI GGTTGECASLGLDERMALAERWCATAGGSMQVVVHVGDNSLPEAVKLAAHAREVGASA VSAVAPNYFKPATALDLVDFCVPIAAEADPLPFYYYDIPGMTGVRIAMSDFLRQARFR IPTLRGLKYSNDDLLELQECIRLEGGAFDVFFGSDECLLAGLCLGIRGAVGSTYNFAA PLYQRLTRAFEARDLIAARELQGRSIDLIKTLRKHGFLAASKAVMGMLGVDCGPVRAP LRSLSLDERVAIYEAIAPLDAFPRPLERPR OJF2_RS11660 MFDASDDPNAASQYRRAYGEAARLIEIARFDHCFGRDFAAGIGG RVEAIAAEVTRRMGASAKADLVGLAVRDASAGRPPRW OJF2_RS11665 MTDEVSSKDGGSEPDGLHLWSDSNRQAFLASIVESSQDAIIGKA LDGTILSWNRGAERIFGYAPEEVLGRSITVLAVPGQVDDILHVLARVGRGERIEHYET SRATKDGRIINVSLTVSPIRDVSGVIVGASKIARDISDRKRTEQQNLALLEEVRRGVK GRDEFLSMLAHELRNPLAPLRNSIHLLRLRSDDPTVVERVRDMMDRQVTHMSRLINDL LDVSRITRGKITLNRERTDLGQLTRLVVDDHLEPFREAGVALNTSIPEVPIWVGGDRT RLTQVLDNLLENACKFTDPGGEVSVEVAADGPRREAVVRVRDTGIGVEPELLPRIFDV FTQADLSLDRPRGGLGLGLALVRRLVELHGGTVRAGSEGRGRGAEFLVLLPLEDEPMA LTETPAGEAAPSRHVRILVVEDNRDSAESLRMLLATHGYDVRLAFNGVEGVQSAQQSH PDVIICDVGLPGMDGFAVARAIREHPDLRHVRLIAVTGYGREDDRKRALDSGFDSHLV KPADPEALLALIV OJF2_RS11670 MSILSEDTLITTNPATGARVGSRAATPTSEVEAIVRRAGEAQAG WQDRPWKERRAALTRWRRILSRDRGRWADLIRDEIGKPRVEAMAGDVLPTLDGLRWTE RYAGRLLRGSTVGPSWQRMLLIGVARQRPIPFGVVGIIGTWNYPLFLNATAIAQALAA GNAVVWKPSELATATGMLLQEGIDEAGFPTGLVAPVFGGADVGRALIDAGIRKAVFTG GVAGGRRVLAACGELGIPAVAELSGFDPAIVLPDAPLGSTASCIAWAAFVGCGQTCVA VKRVYVVGDPRPWAEELAAAANALRVGDPSREGTDVGPMITDGARARFDDMIKAAVRA GARVIAGGEAPGGPGWFYRPTVLLGETPDAEAALAGAFGPVVLVRGVPDADSAVAAAN ASEFALGASVWGKDRTAARAVARRLLAGSVSINDAVTPTAHAGAPFGGFRSSGYGRTH GAEGLREFVQMSATFERPAGGFRPQLYPYGKTRMVKRMLDFYCRLFHPAA OJF2_RS11675 MNLTPAAQKFVLHWGEMGQPWGINRTMAQVHALLFVSPAPLDAE EISKLLDVSRSNVSTSLRELITWGVVRRVHIIGDRRDRFEALKDVMETFRVIMAERKR REMDPTIALLEHCVKEAKAGDEAEKYTREQLEKMLEFVKMVTQWYGYIDNLSTSALLR LFRGGAMIAKLFGKAKPRPTSAGADAGMDEDEEVAEPSLETS OJF2_RS11680 MSRAVPRCATLAAILLAGLARVSAAAELPAPEVAAAARPGEPID RLPYRIELHLAVDPSARLDRASLSRIVREWQALVRRFVGPPWAVTVAPKASPLAGGNL QALDGEVLSGFDPGFDKIWLVRISASGDAALAFTGREYDTGTRRLGPVQEQRASVPDD APRALLRFALELFNPTALITGQEGGRALLLVRGASVAPASELGRVASKGTVFLPLRLV TTRDNAVLIRRIPFSYLQVEQVDGPVARCAIVSALRDPLTQRVSRPNSLAAIGIKPGK SPVRLRFLTRGDQSPAAGYTLTARAVPDGHPQELGMTDRSGRIVLDADFATGLVILRL LAANTEPMVEIPMMPGEGGDERDIPFDPKPLTVGYQVRLEALRDEVIDLVAIRSRLEK RLEARLQGEDYDAIEQGLKEYALLPRRDVFAKRLEDLKNQATKEEAASKTAVLTKNVQ ARFNDLQALIDRYLSDDAFTSYTEALERKKAERADSAAARPAARKDTPRTPASPPPPG EPAAPAAPASSPAPGFPPPPARPAPPPAGQPAASKGEPPF OJF2_RS11685 MMSRRGNSSRGGAIRLTALAGSTLAVLAWAGHGAATGRAQEPAG QPPGAASAPSQLLRSVPFDRITLSDNSVFIVDPVSPRPLPPVDPKKKDASASSDAELA AQYEGNITLPGERSKIKTAPRKKAEEAPAADDPTQVVKVHLLDNVAVRDYTVKRSNIR SIEYFEDMLLEEADRLVLARDFARAFECLLRVKTRNPSWPGMDEHVNKLLLAEGTAAL VAGDSERGLRLLRELLARDRNYPGLMDQLASAYGGWIGRAIELRQFSRGRRYLHEAEE LAGDHPSVRAMRDRFASLARDRVRAAEALQGAARLDALADALRTWPELEGAADLYAKA FEAIPTLDVAVNDVPYPVGPWVRSPADARVSRLLYRPILAADSEEAAQGKLADQLVES LESADLGRRLVLRVRPGTRWSDGSREATAADVARCLIDRTDPSSNKYQARWADALDRV AAADESRVEIRLKRPLVRTGSWFEWPVGPAHAGIDGRVATTRLERLLVTDGPFRCAAS SADALDLARAGPRPEPAPASASSAGLRVMRIHEVRLPRNRPVVAALLEGDVTLAAHVP PDQVAALKANPEIRVGQYAQPRSHVLAIDARNPVLRNRSLRRGLSYAIPRKGILEDAV LRRPVDESSDATDGPFPKGSYANAPGVKPLEFNATLAIMLVAGARKELGAARIELKLE YPAIAEARAAMPKLVESLELTGIKIQPVEVPESRLESELRQGRRFDLAYRALRCEEPI LEAGLMLCPGYDAPPQSDALSSAASPRILQLLLQLDRATELSTARGLAIQIDRESRDE LPVIPLWQVADHYAWRTRLRGPVEAAESLYQGLATWEIEPWFARDPWRKP OJF2_RS11690 MATLEVHDDQGRVQFVELSRDHPALFGTSAACDVVLSGEGVRPV HGRILWKKHRFRIEASPDAQYVEVNGTKMSSSSLHQGDEVGVGPCRIYMFRIDEDLGR RPGRRASRRGAEEATRVLDRPAAQPSARDEVRGGRGREDGDEKTRVLTRPAKLESPAW LDELELEGPPRAGLAEVGPGAKADASEGRLGAWFRALRDRRAEAPGRERLVSSPLVIG LVVALLALVGMGIGLRAIIVKSQTESSYNHAFEEMEDGDFRSAMRDFDAFLAAYPEDR RAGQARVLRALANVRQYITVSGATWSTALEAAGEMLSGVGDEPAFRDSRAELADLVIR IGEGLADRARRSADENSLREAETAVTLHAQIAGESAAAFLKKSRLPDLLDEARAAVRK SQARAESLAAMDRALQAGSAPGVYAARDALVSKYADLSRDAELVRHMSGANELVKKAV RLDASRRPAATDDRREGLGPATTLVLRSSAAPAGPVAADTLAYGLADGIAYALDSSSG APVWQRPVGLSAPFPPTAVAGDSSVLVADARHDELLKLEAATGKLIWRLPLGEPIESP PLVLGNDLFQALPGGKLLAVSLRQGEVLGTLNLGLPLAKAPVSDEQGRFLYLLARRDC LFTLSREPLACLGVEYLGHDEGSIACTPVRVGRYLVVAEDDRPAESTWRVMLLEDEGA KLRPAQRLDVAGWQWATPATAGSVLWATGDRGAVEAFALGDYSSDSPLRSLARTNPDA DSAGPAFGLALSERELWLGGARSGRYDLDAERGKIAARFLLGPLGPPIAPLQAIDGRR RVVFTTRDPATGGSLLCGVDAKEGSIGWRTILGAGWPTPLSRTAKGDGLKTVGEAGGV DVLPLQRLTAGGFEVLPIAGTGVKALPAGRLMTVAGRGDGIDVIAPDGGGASVWVHEP GGGQGIEAWRKVELPSSLASPPLAWEGNLLIPGADGRVYLIDPLSAESRAEPFVPVYD RDRRGRWLAPAPIDATTAILADDTGRVRRLALKAEPAPRLVVEAESLLDRGIVSDPAV TEGAAIVATSDAKVRALSIRDLSPVGSWPLAAPLVGRPVIVAGRCFVADAAGGVLALL ADGRRAWSAQLDSPAIGEPIVEGDTVWFLERTGALQGRSLADGSPRRRMELDILPAGG LLQVGGRTLVPVARGTLRPVDLNAQPGGRP OJF2_RS11695 MTTTTTGPAPGVKAGAKGGEPAASPMVLGESLYDQVTSFLMAVI AGAAMVVGLLGIVYIANQAYASRVTSPVEIIEVSGGGGGSPEGTPGSTEKIDVPGADA APFASNNEEEAGDFEEPSVQATPGAMLDAVAEAGSGMAEVDLGAVMPSGGAVATGKRA SKIGSGGPGLGYGPGDGGVSREQRWSIVYNPGQTAEEYARQLDALKVELAVVAGPDQL IYVSDFSNPQPTKRYGSGRSDGRLYFLWQGLGRKASDIALLKKAGIDVGEGVVLQFYP KGVEDQLAQLEVRYRGRQPGEIRVTRFSVVPRGDTYGFQVIAQETLR OJF2_RS11700 MSHSQRPLPFVLPPCLALLLMALGTAPARGQQEQAVMAGVQYLR GAAATQGQVGESAMIALAMIKADVPKSDPVLADCLAKIRKRFTGSAYEPQRHGGQDIY EAAVVAMVLSNLDGDEHKGEINLVATFLIGRQNANGSWDYTHRQQGDASISQYALLGL WECENAGFDVPPSVWDRAAAWYLSVQGSAGSWNYHRDQAEYQDNMSMTAAGVGSLLLC KRQLELYRQSRRGDSPLLTVISTDPGGQAYQITTPLAQMDQAAKKGMAWLAANYTTTN PTLIGQSIYYALYGLERVSAFADRQSMGKADILEKGRAFIRSSQQPNGAWKASPQPDE MNSAWAILYLTKSTAKSIRRVINKKLGAGTLVGGRYLPKDLTSMTVAGGKIMSRPMNG AVDEMIRVLEDPRTKDGDSAVAGLIERYHREGPDVLKPHKDRLRKMMKDRDPGLRQVA AWALSRTGDMDVVPDLIGAITDPDEDVVAASRLGLQLLSRKIDGAGPPSPSTPEQRTQ AAQAWQAWYDATRPLGGTPDEDDIRRPPARGSATPTQSARSPSP OJF2_RS11705 MLCAAVAVAGALGWGAESSRAADNGGWIDLTGGGDLSGWRKPWG AWAQAGDARLRPGNSRLLEPVPGRGTIVNGPDGRTSNLLSAESFGDVEVHLEFLIPQG SNSGVKLEGLYEVQIMDTRAKGDRGLKGSDCGGIYPRAELLPRYRYLDEGHAPARNAA GAAGEWQSMDIVFKAPRFDRSGKKTANARMEKVVLNGITIHEDVELGSPTGHAWRLPE SAKGPLMLQADHGPVAFRNIRVRKRG OJF2_RS11710 MTTTQRTILGIYAAIIAIWPVRFFVLRWILGKTRYLSPDSPSID AADAPLVTAIIPAKDEEAVLAGCLASVCAQRYPRLEVVVINDRSADRTGAIAAEFAAR DPRVTVLNNEEPPPAGWTGKTAVLDRAKDHARGDWLWFLDADTEHAPPFLGVMMEHAR SQRAALVSLLPELRCETFWEQLVQPLGGIVLMQSYPLDRVNDDRSTLAFANGQSILVE RAAYDEAGGHAAVRDRFVEDIGLAEKVKGLGRPIRTVMVRGLVTCRMYASLSQQVRGW SRILYDALGRSPWRLGLRLLDPLVFCQSGHVAFLAAIGLLLRGGAGPFAWWLLGMSLL HHAFMYAVFRLIYEASVPGSRYAALFPLGNLVTDYILAKSIRMCWTGRVDWRGTSYAG TSAGRPGRPGARAGIRG OJF2_RS11715 MHDPNETIEEALDVASLHCLRRTRSAVLNVLIGVALVVAGSGLL LRTRPAGARPDLASKLNQALLAALFVLFAFSTLLRRVLGRRARLDDPRSRWARFYWGH VLPALVGALAAPLGLAHGWLVSPRVETIFPFWLTALLLGILAYPRGYELEGYAAPMAW DEVPGR OJF2_RS11720 MAGHYGTMIFVPLVGLLLAGLVAAGVRGRAALRELRRANAHAGD RIQDLSRRLQLVEARLAATTSTVVSGAAARRAGPTVSGPRLVARVAPAGAQDGSGSGI GPTLIEVPDLGISAAGPPQAPPDPAEGLSLRHADIREMAQSGATPDLIARRTNRPVGQ VELILALQRRLREPGEAGPHARPE OJF2_RS11725 MRVGYFDCFSGISGDMTLGALVDAGVDHRAIEGAVASLGLPGSL SFETVRKGGFRATHAKVETPREHAHRHWHHIEAIIDKGRLTDSQKDLARKIFLKLGEA EARVHGTELAKIHFHEVGALDSIMDIVGSAVGLDLLGVDRFEASPVPPGRGWIRAAHG RMALPAPATAEILKGVPLAESPAECELTTPTGAAILATIVERFGPLPAITIESIGLGA GTRDLPEQANVLRLFVGTVNLPPSGDRIWVLETNLDDLPGEVVGYTTIKLMEAGALDA FVTPIQMKKNRPAVMISVLCDEPKIPAMEEILFRETATLGIRRYPVSRHKLKRQATEV ETPFGPIRGKLGWIENRPPTFSPEYDDCARAASAHDVALREVFDAANAAYRARAQGEA AEAQPQPSSGVHAAQPAHPYDPNPDEGGHGNHGSGDHDDTHEHPH OJF2_RS11730 MEPERLGELLDRHAAALELFARQWCDTPEDVVQDAFLKLAALPT PPDEPAAWLFRVVRNGAMDASRAARRRRKHEALATRSAAWFEGPDSDRPVGTIDPEDA ADALRALPDEEREVIVAHLWGGLSFDQVAGLISGSSSTAHRLYSRGLSALRERLGVTC RKTRRNPS OJF2_RS11735 MPQDAPEPELSEIAAELGKLAPAGSRVNRDRLMYEAGFAAGRTS ARGRRGWAWPSVAAALAFAVVAESAALAVRREPRVVVVERRIPTPDLGPGSPPRDGSL AQVRTGGPTAVPDASDDPGEPRRPRRRALDWTLDTMPGPTPLLSRAEAASGRGLDRSP GSSLRRVDTPRLLQTGGPS OJF2_RS11740 MKRSVIPLFGLSMAIVAANAFGGQEPGSAPMVVALRPTSAPVPA LKYLLIPARHEQQPGNAAVFYHRAVERLIEINLRVQLQAATQKQASKAQASQEAVASW LEMPIAELPREEVRNYLAIYDFCLNEADLGSVRETCDWEYGHRVVGFTLVIGELQEMR QIGRLIALKARLEIAEGHFDSAVHWLRTGFAVADHLTRAPIMIPMLIGGTVVSSLRVP LLDLCQAPGAPNLYWALANLPRPFLDIRPSLDGERFALEQEFPELRQLDSGAWTVDRA RAFADDISRRFTRFADDWVRFSNRDTPTPLENLADRLVFASVVGRNYPEAKRRLIEKG RPAATVEAMPAVQVVALDSYLLYEQARDDLFKWAGLPYVQAWEGMQRQGIDWAMLRNG IPFVAMLPAMQSGVMVPSRVQREIDLVQILEALSLHASKNGGALPPSLEAMKDVPVPA DPLTGKPYEYALQGDTATLTAPAPAGYPNEPPYLLKYAVKLSQTVGAREPSPKDDDMA TILPLVLALATTAFAPGDDPRARAIAPFLDPDVVAVGRVDVVRLDAGRLLHGWIANRE QADGLSGAVGTWIDALRKAGAGDVYVLFDLPDLSRGPSSPPSVVVPLPEGADAKAIGD LFRRGGPANGPAWEASEVVHGAVFAGTRARLERVRKSAASARPELSEAMASLGDSVAD LVVIPSADTRRVLEEMIPALPSELGGGPITSLTRGIPWAAAGLSAGEEPRIQIIVRGQ DAAALKAMADLGQGVRKLVGESPTIAQYAPEIAGILEAIKPAAGADRVTLTLEPAKAA VVARGILLPARQNASRQVCVNHLKQIGLAMHNYHSLHKAFPPAYTTDPAGKPLLSWRV LILPFLEQEALFKEFHLDEAWDSPHNRTLIERIPEVYRCAGLGDNPPPAGKTSYLTPR GEATIFPGATATDLKSITDGTSNTIFVLDVPNDRSVTWTKPDDWEVAAGLDAKAILSR HPGGSEVLMADGSVRFIKDAIAGETLRKLLTRNDGDVFSWDDVP OJF2_RS11745 MRLGLAPARLFVLPCLLSAPYSACFGQPAPGSGEAASITPAQAK VRADEIVKDLREAAAEARKIGDRALRERIELRLSRAELKARELAEELSRVRPAPVTAS ALPLSDAESEKLLQGLKKEPFDDGKLTFIENFATARPLTCRQAAALIRAFSFDEKRVA AAKALYPRLLDRQNFNDVLGAFTFDSNKVLARKAVGLK OJF2_RS11750 MTDASARRPDRTWLIIGLVFVAFWVVYLTFFVPGRGPNLAEMAM DRPAEYRWPMEDLQGQAVSLERYRGKTVFLNVWATWCPPCVREMPSIADLARREMLKG KNIEFVCVSLDRDRDKVSDFIRGKNWPMTFLHATDVPMAYETEGIPATFILSPAGRIV SAEVGASDWDRPDIVEFLQKTAETPPAPDPSRDPVAPAKS OJF2_RS40555 MAGVLARLLPYALAFLSSLCIMILELVSSRLVARHVGSSLTVWT SVIGIILGGICLGNVLGGRLADRVEPRRAVGPLFALGAMLTLACLWMNAVVDRVIPSP ESMNWELRTVLVVVLDFLVPATVLGMVGPVVAKMAVEQSRRSGSAIGDVYVCGAIGSI VGTFLAGFILMYLASSSTIVLVVGAALSLLSALLASGGAGAALGLVASALLGLGSVGP VVRGLGLGSIHLGSYDLNYLAVAGNVAALAMGINGLMGLLAARQGSLGSSVEEGWEAD SDATGVRPSLKDLATLAFVASLAFMALEMVAGRLVARHLGSSIYGWTSVIAVLLAGLS LGNYLGGRIANLVRDEKQASWLFLAASVMTLGILAMEAPASLVQWLFDLPEHKNVLGS AIAWNELKLPFLPAVKLSWQYRLLLVTAIVFFVPAITMGTVSPVVAKLAVDRLRRFKR TGTAIGEVYAWGMVGSILGTFLTGFYLIDLLGTKGVLLVIATLMAFAATVLGTLRHAL WAGVPLGCCVLAFLPIPVFHQIGENYGLREPSGDPATKDDAFAWVDESKYYYIKVTND PEDGPDGEEVNLRTLVLDNLIHGYFVLGHPERLDYDYEHVYAQVAYRAAKAGGRITLA ADSAPAGKPSTPGKVSDLPANVFSQAGPSGKREDRVKERVRESLQALDDQGRRAPILP KVARSDLKTLFLGGGAYTFQRHMQYAYPGTQVDVAEIDPAVSRANFMATGLPADTPIQ THWGDARQFVEKNAGQKQYDLIFGDAFNDFSVPWHLTTREFNDKIAGMLSPTGVYMIN IIDVYESDDVANMKADRRISKDEVVDPAARERIHAQELDRARRYGGFLGAWTKTAQLS FPHLYIFGTDRKPGAGLRETFVVVASKQPLDLEELGRRPDDPQFFRKGRRTEPMVYDA ETTRIVIEDRSRGIILTDDYAPVENLLAPVAETRGDD OJF2_RS11760 MRHFRVQRALRPGIALVALIAIALGVFLRRSGRRESPLPPPAGQ GRIEIEAGTREQPEMDEPPVAVAEALAVGERRAVEVEGKPLIVDGFGAFPAMGDLDGD GRMDLLLGGTRGFLQVYLNVGSPGRPRLSAPVRFGEFCRDERIPIG OJF2_RS11765 MADIDGDGRPDLMTGSDDCCDKAPGFHWFRREADGTYAAKGEVL VRVSAIQAGPSIADAVKLQALSDELARYRMRVRFADWDDDGHVDILAVDTRSRGRIAR TRGRWRPEGAVDAAAEVEGSPKVEHVDCQPVVIDWDGDGRLDLITADHVLPSRDARAY TCRVWLQRNEGQAGSPRLGPRRLLTSFENWGVTVGVDAADLDGEGRPGLLLAARTGEQ APSYRTAVWYHPRRRPPITPGTRRDAGPDASRQP OJF2_RS11770 MKRQFVTVDGNEAAAYVAHQTNEVIAIYPITPSSPMGEFADAWS AAGQGNIYGVVPTVIEMQHEGGAAGACHGALQGGALTTTFTASQGLLLMIPNMYKIAG ELTSAVFHVAARSLATHALSIFGDQSDVMAARATGWAMLCSNTVQEVHDFALIAQAAT LRARVPFLHFFDGFRTSHEVNKIEQLTPDDLRAMVPDDLVKAHRARALNPERPILRGT AQNPDVFFQAREACNPYYLAVPGIVRETMERFAGLVGRRYHLFDYQGAPDAEDVIVAM GSGCGAVAETVDKLNASGRKLGLVKVRLYRPFDVPAFLAAVPATTRRIAVLDRTKEPG AIGEPLYLDVISALVEGWEERSGGKPQPKVMGGRYGLSSKEFTPAMVAGVFEEMIEAA PRRHFTVGIVDDVTHLSLKWDPAFSTEPADTHRAVFYGLGSDGTVGANKNTVKIIGEN TPYYAQGYFVYDSKKSGSVTVSHLRFSPRPIDSAYLCRNANFVACHQFNFLEKMDVLE FADPGATFLLNSPYGPDEVWAKIPVETQRQVIDKRLKFYVVDAEAVAEKAGLGRRINT IMQTCFFAISGVLPRDEAIAEIKRTIKKTYGKRGETVLQQNYAGVDMAVSELFEVEVP SEPSGGLRRLPPVPDFAPDFVKRVTAMMIEGKGDLLPVSALPVDGTFPTATAQYEKRS IALQIPIWDPDICIQCGLCALVCPHAAIRTKAFPAEALAGKPDAYRSRPYSGKEYPGH HLTVQVAPDDCTGCGVCVDVCPARSKEMVKHKAINMEPKLDHLEVERANFDFFLEIPE LDRDRVKSEIIKGSQLLQPLFEYSGACPGCGETPYLKLLSQLFGDRTLIGNATGCSSI YGGNLPTTPYTQNRQGKGPSWSNSLFEDNAEFGLGIRLAVDAQEMYARELVRRLSAEI GDDLARGLLEAAQAEEPGIEAQRGRVAALLRKLASIDAADARHLEGVADALIRRSVWI VGGDGWAYDIGFGGLDHVLASGRDVNILVLDTEVYSNTGGQASKSTPRAAVAKFASGG KAVGKKDLGMMAVDYGNVYVATVAMGANPLQTLKAFREAESYRGTSLIIAYSTCIAHG IDMTTSMNHQKEAITSGYWPLYRYDPREAHDGCKPFHLDSRKPTTSFREFALKEGRYA MLARANPSQAERLLDLAQRDITERWHFYEQMAGIERTVSPMEELAR OJF2_RS11775 MSPSIRTKYLGLDLKNPLVASAGPLTGKIDSLMKLEEAGASAVV LPSLFEEQITREEVEIALLYDFNNEGFAEAQTYLPEMQDYHTGPDNYLQLVRQAKQAL TVPVIGSLNGTTNGGWIHYGRMIEQAGADALELNIYFLPTEPETTALEVENRYLELVS AVREAVSIPLAVKVGPFFSSMPNMAKRLYQSGADGLVLFNRFLQPDIDLETLSVEPHL VLSSSDELRLPLRWIAILRSYFEKSLAATSGVHAAEDVIKLLLAGADVAMTTSGVLRK GPGLLSEMLVGLRTWLEEKEYVSVEQMKGSLSQRNSPDPAAFERANYVKAIRSYTSQF AG OJF2_RS11780 MPTIAIDDLEDPRIAAYRSLKATNATRGLDHFVVEGDKLVDRLL RSRFPVESILATDRFAAKHGGQLPDGLPLYVVPFGLVHELVGFPFHRGVLACGRRLPW PPAGEIVGRGGRSMTLAICPKISNPENLGAIARIGDVLGIDGILTGPSCPDPLSRRVL RVSMGSALSLPILVEEHLGQAVDRLVDESGLALWAAVADGSADPFDRHRRPARLGLVL GDEDEGVEAAWLARCERSITIPMRPGAGSLNVAVAAGILLYHLAGDRRGTRDGSAPPA OJF2_RS11785 METEGSLTHVVYHLRSPDATLRDEAARIIWERFSPRLKVLVRRH LDDRILRREDEHDILQSMFASFFRGQFEGKAAPASRKELWKLLVRITMCKVVNTAHRH MAERRDFRKERPDRPASHDDDRSPPWLLDHEDRHQPGPEEQVAVVEEVTRILDMLPEE QRRIVVWKLEGFTNAEIASMINRTVRAVELKLQLIRKRLEQEFHRLGLRPAPARGEVA GPQGN OJF2_RS11790 MISDQSIVPTLQILSGPHAGRLYKIDRDVLVIGRNTDCDLILEP KSVSRRHAAVVRREADYVIKDLNSTRGTFVNGVRLAQPAVLKNGNVIQIGELQLYFKT NAVQIQDDSSEPSTVFATMDMPREDARFEAVVRPEEKLRALHRIGRSLGATLELSAVL DKVLAALFEIFPAAERGFVLLEDRTRGILTPEAIRTRSGTPGNPKISKSILQRVLNDG KAILSKDLPSEFPNSESVSEGRITSLMCVPLLDHQQHPIGIIQIDTRTGRTHFEQDDL DLLVSVAGQVSVAVQNARLHHDLIKQHELEQELQYAREVMQALLPERPKSVSGYEFWD HYEPARHVGGDYYGFIPLYGPRDDRKQPARRWAIAIGDVVGKGLPAALLTARLSAEIR LFLQGEGDPAWVVSRLNQQLIENGVLDMYITFLLIILDVETSRIQLANAGHPHPLLRR TDGQVVELGKEASGLPLAIAPDGPYESWEATLQPGESVVLFTDGVTDAMDQTNGRFGD KRLRQIIAATPGGPTPIGETILHQVQEHSSGRTQFDDITIVTFGRRPAAGG OJF2_RS11795 MATPHLHASVTRISPLSKSPCTIRPLERSRWGTGDYVLAEVEDP GPASTRLELCNGRLVEADRGDLVIGALGKRFATLEGTGDWEAIGPDGRMHALTEGGIL GLCQMRSALLPPMLPLSYRGHVFLGGEPTRMADFAIRGPLRVLGVPTVLIIGSSMSAG KTATARVIIRLLSRAGLRVVGAKLTGAGRYNDLLSMRDEGADHAFDFVDAGLPSTIGP ADAYRDAMMPLIGRIDELHPDVAVVETGASPLEAYNSDTAISLIESSVRMTILSVGDP YASLGVMTAFGVRPDLVTGPATKTAAGAALIEKLAGVRALDVRDHEAHPRLNSLLREK LGLEGPRGDD OJF2_RS11800 MTDWDWLIGDAVIGSILPPEYARYRRPVVEGLRHFLGRLRAGEI AGILAGQAALGPTATAEERLVDLAERCPVLHKIGQVLARDRRLSAELRRHLQRLESLP PTTPVAAIEAVLAAELGRLDRLGVTLEPPALAEASVAVVIPFSRHAGPEPRRGVFKVL RPGIEEKLSRELRSLEDIGAFLDDSCARFEIPALEYREVFEQVRESLVSEVDLAGEQD HLRRAAAIYADAPDVVIPRVLPYCSPRVTAMEWVDGRKVTDAGPLSPGHRRRLAEVVI ESLIAAPALSTDEDAIFHADPHAGNLLATPDRRLAILDWSLAGRLGRGDRAAMTQVML GGLTLDPGSVRDGLRGLSADGRLDDPALAAVTAEHLRTLRHGGFPGFSWLMGLVDDAV LRARLRAHSGLMLFRKVLHTLEGVVADISPDVQADRVLPAIFLRRFVSEIPARLAAPY RSRRFGTGLSNEDLAILLVQLSSTPARAFVENTLELLAALGGRPEPGL OJF2_RS11805 MEPSDQQIEKRAYERWERRRWQHGNDREDWIAARMDLVFDLNYA TIAEIERGESRPKPVGAERRPRCRFCEQSAPRAAFSFVRPILPDFLDSPSPTTREICD ACFEQLAGSLDRELAAFWGTLEGLRGDGAARRDLRIPTAIPIPAYKALARIALAMMPD ADLADFNDTIEWVANPDHDFDGGLFGGVSCLLYQTRHGGDGAWAMLARRTDDEAPYPY MLFFLGSGRTILQVHLPLCTRDEDQEGEGLKMPQRSFTTGGEGDVHAATCLVLPLAPS DEPVRARRFRLF OJF2_RS11810 MPATIAELPAPTEEPEAFRVLVGLVGSKATKVPAEALARHVMRI VPAEEWPVRAEALDALLRRVAAARKDELRLERRPEEGAVLGAYATRRKGSGSRPYQTV LAGVDPLAGRCDCPDYLKSSLGLCKHLFAVLEHVFARPALHRRALKEQSQLADEPPSG FRWDPVRPLLGFGDWLERVSWAGPKGIGAAPDKGADLLPRLRPGPSPGWWTLRSAFRD RPEKRLELVEALLKAVPAAARTVQDDPALRALLVQERDRLTRLQADALGPAEIRAAIR GLKVSLYPYQKEGVTRFLQAGRLLLADDMGLGKTAQAIACCEILRRTGKVRRGLIIAP AALKPQWGREWARFSDLPIEIVDCSPAERKALYGERKEGFFIINYEQLLRDLDLVHAW KPELVVLDEAQRIKNWATKTALTVKGLTPAYRLVLTGTPMENRVEELASIVEWVDDMA LEPKWRLPALHAIRSDGRKEVTGVRNLETIRTRLRPSMVRRVRQEVLDQLPPRTDTRI PVEMTAEQMEEHEALNQPIAALVSISYRRPLTQVEFLRLMSLLTTQRIISNGLAQHQF KDVWPDIRRRRPEERILRELGAPKLQELRQLVRQVVLDQGRKAVIFSQWRRMLLLAQW AVADILAEQHLRSGFFTGAEPQKRRTENIVNFHDDDAFRVLFASDAGGVGLNLQRAAN CVINLELPWNPAVLEQRIGRVYRLGQKQPIDVFNLVAEQGIESRIADLVGNKQAFFKG LFDGDSDSIRFDQSGSFLSRVQKLYDPEGKAAAAGAARGDEPADLPGVDPDGSPDDSI DDPYEEMIEAGDEALDRDEPASALAALSPEAGPLALPAPGAPSAPPDAESPPAQASEA PAPVDGEAARPPGGSAAAPSMGEVRELFSRLQVRRGEAGKIVIEAPADAATTLGALFE GMAALLQAAAKAEG OJF2_RS11815 MKRRDFLTRAAIAGAAGPFVGGTVPSFAAAAQGRSPNEKVRFAC IGVGGKGDSDTNDAGAHGEIVALCDVDARTLDKMGDKYPKAKKYYDYRKMLEELGDKI DAVTVSTPDHTHAPASVMAMRMGKHCFCQKPLTWSIEEARLMRTLAAEKNLCTQMGNQ GTAADGFRQGVETVRSGAIGAVKEIHVWTNRPIWPQGIPRPKETPGVPNHIRWYEFLG AAPDQPYHPAYGAFNWRGWLDFGTGALGDMACHTINVAAMALELFDPESVEVVDTSGI VDHASYPAWSIIRTHFGARNGRPPLTMTWYDGGDKLPEGKKAFRELLYGQKLPDSGLL LVGEQGSFFSENDYGAEHTLLPGDRYKDFKEPDVKLPRSPGHFTEWVEAIKTGNPKKA LSNFDYAGRLTETVLLGVVALKAGTKIEWDADAMKARNCPDADQYIRRNYRKGFSIH OJF2_RS11820 MASWEDRIRQPVEHLADQLRGIRPGAIGPGFVETFVVECQGSRS PIGRIAAITTQAGRIIVAPFDRAMVPAIVKSLADARQNAYALDPARVCVTIPPLSGEQ RDEVASHVKALGEKARVAVRSVRQDIRKQLAASGKRSDRVVQELTDGAMAEIDRLVDA KLVEISGDSPPHRSGRKS OJF2_RS11825 MVLNVRLDGDLAVLSNFGRMMNDPRYVDAAADVRELLDRGVRNF VIELAGIRETGKSLLAILMTITRDVRKAGGEVVIAHPSREIEGHLAMMQMEDYWDVFP TVVDAAEFFGRPRLSRRKG OJF2_RS11830 MTTDETRADARAGEPAAPGLLTRLSIRGVSFRNRVGMSPMCQYS CKDGLATDWHLVHLGSRAVGGAGLVMVEATAVTPEGRISPGDMGLWDDHQAEPLARIA RFVESQGAVPGIQLAHAGRKGSCDVPWNGGRSLKTREEGGWTVVGPSAIPFHDGDPVP VALDEAGIDGIVAAFEASARRAVGAGFRLLEIHAAHGYLLHEFLSPLSNRRTDRYGGS LENRARLLLRVAQRLRSLMPAEMPLFVRISATDWVDGGWDAEQSVALAGMLREVGVDL IDVSSGALVPHAKIPVAPGFQVPFAARIRKEAGIRTAAVGMITQAAQAQAIIEAGEAD IVLIGRELLREPYWALDAARELGGEAPWPTPYGYAVKRR OJF2_RS11835 MATATFGAGCFWGVEAAFARLPGVIETAVGYEGGTLDNPTYRDV CSDRTGHAEVVQIEFDPTQIPYEQLLAAFWKVHDPTTPNRQGPDHGSQYRSVVFYHDD AQRRAAEAMKEKLDAEGVFRRPIVTQIVPASKFWRAEEYHQKYVQKHGGSSCHI OJF2_RS11840 MIPNPGNQAGNIESLRMLLERLGSSDVTIGEAKVLRTQLDHLLK GGTCTQASNRGGAAGRVPGF OJF2_RS11845 MESLKGQLLIATPELVAPMFARSVILMLDHNEDGALGVILNQPI STTLTDLAGKVFDEEFVWDKPLRLGGPVSGSLLVLHTVEELADQETLPGVYVTLESSQ VQRLITRKPEPSLVIANYSGWGPGQLEGEFGWDSWLTLPATPELVFWDDDRDLWKVTV SEVRARKLSDFLGLKGVPGDPSLN OJF2_RS11850 MKCQKCSKPATFHITDIVEKGKHREYHFCDEHARQHLTAPEETP ESAPMGELAKKLASGSSASVSFREASPADKQVCPVCQITFLEFRKSGRLGCPYDYEVF RDELMPLLESIHGETRHSGKVPRRAPRNTQQQTTLIQLRNELKRAVAAEDYEEAARLR DRIKSIEQEQGR OJF2_RS11855 MICQRCKNEASVHLTERVGGKRKEIHLCSACAKKSGLGLPESPP NLALDAVVQDLILTHVGELVGELAELACPECGIKYMEFRAGGRLGCPQDYRIFGRGLL PVIQRVHGATRHVGKVARQRPGAIHRLRLRSQLRDAIAREDYEEAARLRDQLRLKDIH A OJF2_RS11860 MTLDDLTKTSGEWLRGNGPESDIVMCSRIRLARNLAEFPFTNRS SRAEKGEIESHFKSALAASRLEMDYFDVNVLPPLDRQFLVERQIISSELAKGEGPRGV AISPHENAAIMVNEEDHLRIQYMLSGFRLHEVWDEINNIDDQLEENLAFAYNPSLGYL TACPTNVGTGIRVGVMLHLPGLVQDKSIDKVFRALQKINLAVRGLYGEGSQAFGDFYQ ISNQQTLGKSEPELIRILTDVVPQVLLYERQARQRLVTERRQHLHDQVSRAYGVLKTA QTISSEETMLLLSSVRMGINLGLIDDVSIATVNELFIQTQPAFLQKIRGSELDVEDRN VARATYLRSRLANGRMAPEP OJF2_RS11865 MATISFEIDPKSVLGVAEDASLDQIRDAYRRKSKILHPDAGGEE WAFRILAQSYEILSTARVRRAAAAEPPHPAAATAASHDARRDRKAGPSSETVRGGFHD ADVPADRIVAVEHLCVRYLWDDAAYLFLARKQSDEERFLSCSLNIRWPDENRSAFAMG DEKVASILATLRETFAVMAASPDVVTSHVSDDHDEFAGWLTYRNFDRSWAALKALHAE LRRKGLGLRQWSRDLFIPKNWK OJF2_RS11870 MSQETDPFRTAVFAGTFDPITLGHLDVIRRGRALFDHLIVGIGI NPGKTSLFTVQERIDLAASVVRPYENVSVRSFDELTVQFVRRVGARVILRGLRTLTDM EYEFGMTLTNQRLDPEIETVFLMADGEYANISSSLIKQVARFGGGAALERFVPDELIG PIMAKIGSLESPEVNRW OJF2_RS11875 MADPWDDLRDREFPVTRGWVYLDHAAVSPLPRRSGDVVRAWLED QQGHGVVRWPEWGKKLEAARDAAARLIGADRAEIAFVNSTTQGIGLVAEGFPWRDGDN VVVPAEEYPSNVYPWMNLADRGVSVRLVPGRDGRIWPEDLAAAMDARTRVLAVSHVEF ASGFRNDLDLLCELCHGRGVALFVDAIQGLGPLTLDVRKTPVDFLAADGHKWLLGPEG AGILHVRRDWIERLRPLGVGWHSVIGTFDAPELDFRLKPTAERWEGGSFNMPGIQGLG ASLGLILEIGPEAVSARILDRAAAVRELAAGAGWRVVGSPRPGDVAGIVAIEQDGVDP AAAASAFREAGIVVSARRGRLRVSPHIYNDDDDLDRLRAALEMSRRPRGGA OJF2_RS11880 MQRQRSSWTSRIAPALLALGLIGSACPAARAQDEPAADGDSKGR PLDGYFGAGILAGLAMFLVGKSARR OJF2_RS11885 MAIRSNHYEAAFEAYVRSLRVPCVAIDESRRAVFGDDGLKNPDF LLYPRMASNLVVEVKGKRGRNPRGRRDWENWVTTDDLDGLVRWQSMFGPGFRSILAFV YAEMPRPFPLPRDNGSFEFRGQEYRFWGIALDDYVAHLRSRGPAWKAVAMARRAFRRR VRPLSEWLPMTLEAPRRPKPVKERDRCNANAAPGLPGSLPPSWRWD OJF2_RS11890 MRSRRRSPRPRRDELKAGECLCDHCVGKCCRYFSLPIDTPTTWD DFDSIRWYLAHGQTLIYTVKEQWYLLVMTKCNYLAADYRCKIYHDRPKICREYTTAEC EYDDEWSFTKCFETPEQIWEYAEAVLPPRPRRKPAPGPAGLPIIQVP OJF2_RS11895 MSDPLTWSPIRLGRWFGTTVRVHIFLILFVGSELLMALLATRAE DGVRRLPATACWLGLLLAALAIHELAHALAAYLLDTEQEEVHLWPLGNLVTPSAPARG GEHMLVALAGPAVSGALFLGIALGLEFLARAHFVWNPFGNGPVAGVTDSGAPTLAGGS LARPLSRIWTIGWFGYVNYLLFLANLLPALPFDGGRMLRGYLSSHGLVSSRDSIYAPW TARATAAILFLTGLARLLIKWRADGLTLIMLALLIEWLVRSESRILEDGGYFEDGVFG YDFSEGYTSLESSAAKVRPYRESAIRRWRRRRSELRRQRRMAREAAEERRMDEILDKL HRQGRSALTDEENRFLVRVSTRYRNRSKTQD OJF2_RS11900 MPEPPDGTESSVLVDLGPRRYTVRVVTGSRGFGAFARESLAATW AGRACRSALVVTDANLADHPAAAGTLDALRNVQIEPRLAVLDPGEATKSLPSASRLYD ELVAMRADRHAAVVAVGGGVIGDLAGFAAATYARGVPLLMVPTTLLAQVDSSVGGKVG INHPRAKNIIGAFHQPSGVWVDTATLDTLPDRELRCGLAEVVKYGVILDAAFFEGLEE RVGEILARDPAAIRWIVARCCELKADVVTRDEREETGLRAVLNFGHTVGHAVEAVAGY GGAYQHGEAVAAGMVAECRIAERIGWIGPGLTDRLIGLLARIGLPTRINGCDPATLLE AMGRDKKNEAGAVRFVLPRELGRVELTGLPSRDDVVAALEPLCR OJF2_RS11905 MDDEDRKPDLRDLLLLTMVPGVGPLTCRALLDHFGSPARILDAP VSQLREVPGVGPKTADRIAAGRRERDADAELELCRRSGVDLIARGSPDYPAILDEIPD PPALLYARGRLEPRDHLAIAIVGSRHCTPYGMRVAERLAASLARTGFTVVSGLARGID AAAHRGALKAGGRTIAVLGSGLATIYPPEHEDLAGQVAAAGALMTELPMRQGPLAGLF PQRNRIISGLCLGVVVVEAAPRSGSLSTAKHAAEQNREVFAVPGPVDSLASRGCHRLI RDGARLVETVDDILEELGPLAREVRTAPDEPSVRHPAELALSDQERSLLGHLDNTPIG VDELIARTRLTASQVMATLSVLELRRMVKRLPGHLFFRA OJF2_RS11910 MIQEQTLVRIRERGHLDVLDLTMLVIRRRPREIGLAAAAGIAPF AALNAWLLAGADFPAILWPVLLYFEAPWATAPLTVVLGGLMFGRRPRAREAIGQLARA FPAFFFVHVIYRALRATILLLPFAAGSPWVANQVILLEKAGAATAIRRSARLAGENPS GTLPIALAELAFGLIFAVCFWIGTGAAIETFLRGETTWDRPGLGDLGGLRFQLGIWIA IAFFGAARFFLYIDQRVRSEGWELRLRLWSAGADLERGPR OJF2_RS11915 MTALRLAFAASCLLGALAAGCRPAAAAPPGDDAAGSQARSAIRR QAYPWYDADRDEVRPLIPARSSWSRRLEGWMESIGAWFRRHFGGNDEGASGGRAGSLL STLLFAIAGAALVALCWRLWRMHERGPDRGAMTATVGEAARVAGLTPGEGLEGADPWA EADRLLAAGDRRGAVTWLFLGQLLVLDRARVIRIAPGKTGRQYAAMIEDPGLGDALRA TLAVFEQVYYGRKDPDPHVVEALFRRATGFRRRLSEIEGVAGS OJF2_RS11920 MTAHPPTRRIAFLATCGLVLALAMGCGGGPETAYGTLRGSSLNG TGAFAELLRSQGHEVRAARRLTDETAGWSEVIVRFAATPGPPGRDEAGWYARWLTQRP GRSLVYVVRGYDAEPEYWDRVLAQLSGEDQPERRAEAELRRGRALRWANRLPPAAEKP ADPGAWFSLLPAVEPPATCKALGGPWAQGVDAQAAAIPLRQAIRDEPGRTTALLTGDG KVLAAEWRVEGGGDVLVLASAAFLLNLPMATPARRPLAEQVADWIAAEPSRVAFVEGL DPLGPPRGPRTLLELVEDLPTFRWIAVHLGLFAVVAGLARAVRLGRARREPPPGAGRP AAHAEALGELLAQSRGGPAAAREILGAYRRWRSGDETTERSS OJF2_RS11925 MRRAEAALRQGHHLEPKDDDACVDRYYEAAVMGTAAYLSASRDA GAEHPEAIRARDLANEALRDCLRAGQQFGRLDPRSHLTVNTPAGSTVVPIRHGGFVWQ AEDFHRVEDPTRLERNPSEHGADAVRPGLGADVAIERDNPRLSTSDRFLPRQAAFNAT AVLRPDLDAWLIPGGGRPPVDVLEFHDPLREADVPLPAGRVPLRGNFAAGNRLAHQIQ AERGPFGLAGFVFPSMMLSKAAVRILEPYQPGKIPVLFVHGLLDDPFLFNDMMVSLYR TPGFVERYQVWVYRYPTGVTSLRTASILREQLREIEGTFDPEGKDPALRRMVVVAYSM GGLVTRLQITSSGDQLWKEFSNVPLDRLATTEETRDFLRRLFYFEPSPMIRRVVFIAT PHLGSPVAGSVVGRLATRLVQPASDTSASMEQIRRDNPGAIRGAYAGRIPSSIDLMQS GQPFLPIIRGLPLGTDVTLHTIAGYAHHSPEGGGGDNVVPLSSALIDDAESQLLVPAR HTNIYYQPQAIAEVRRILAEHAAINPVPGGAAQLERSVVSSPDLQRR OJF2_RS11930 MSDPVNEVTFLDGGEGDGEDAPVEATHIEAAAPVEPRPAAEGSR DGTVAGWSRRVTAEINKVFIGQDRLVRGVLAALLAGGHVLVESVPGLGKTLLVRAFGR ALGCDFNRIQFTPDLMPSDVTGSPIYDERIHDFRFRPGPVFTQLLLADEINRAPAKTH AALLEIMQESRVTVDGTDHRIEPPFLVMATQNPIESEGTYNLPEAQLDRFLFKLVASY PGEKDEIEILRMHTRDTPPDRDLGAQLAPVTSPAEVIAMQGRAARVLADDHVLGYITA LVRATRKWPAFAMGVSPRAGVAMLKGARAIAALEGRDYTVPDDVQEVVLPALRHRVVL TPEAEVEGRSPDELLSELVRSVEVPIR OJF2_RS11935 MTRVRIWPGRPLANLLLLPALLSLGVLASEAVKPPVIALDAIAA AVAIADLATLRGSSRLRVSRDCQVTCSIGEPHLVRLAVENPGTRPRRIRLRDDVPPAF AAEPAEFRLDVPGKARAELEYRMVPGRRGAYRLDRADALVGSRLGLWQAAVTWPLRTE VSVYPDVRQIARYTLLARRDRLSAIGLRSSRRMGNDNEFERLRDYIEGDDPRTLDWRA TARRQKLTVRAHQQDQSQRVVFLVDCGRMMAGDTGGGLSPLDHALNATLLLAHVALSR NDQVGLMAYSDRTLAYVPPTGGPRRLRRLVHAVHDLFPEPVESDHGRALIDLESRCRK RSLVILLTNLFDEVAAGILAEHLKNLTGRHLPLAVLLRDHDLFAMADAATRDDAALCA GAASADLLNWRERVLAGLRREGVLTLDAFPDELTAALVSRYLAIKARHLL OJF2_RS11940 MEPVTKPDPAPDELDYYAPPRGESGPSGPGRDVEADVVPFDLGC IVAATWSVFKRRLWPSVGIAWTAYILVLAFGQVQSLVTGRLSPADRPTYYFVYFAFFF GGSVFSTWISLGQNLAFLAIARGEEAPNDRLFQGWPFVLTSLLAGLVLVGLMAGVALI VLAPAALLAEVAGRSILPVVLGGVVAMVAIAYVALRTWQFAYFIVDRNLGVMDSLRAS WWATGRGPGTVLLLYLTVFAANLAGLLCFVVGLIFSIPFTTLLLAVGYLSLTGQPLRP LEAGPDSPAE OJF2_RS11945 MMRILLSAVPAALVMLASGATGFAPAAEAPASPEVTEAMKPYLD RHKLAGVVALVADRGGKVLYRNVLGYADVEEKRPMDERDVFWVASMSKMFVGASVMLL VDEGKLRLDDPVTKFIPELDGWMVVAEKDESHVLLKRPARPVTLRHLLSHTSGLAGMS ELQRVTGADGTSLKARSLSSVTGPLQSQPGERYAYGNLGMNVAARVVEIVGGMPYERF LQERFFDPLGMTETTFWPTEAQVARLAGAYGPNPAGTGYRRGDVGFLTRPFSDRSRRH PEAGGGLFSTAHDILRYGLMLANDGELDGRRYLSHAAMDELRKEQTGTTRAPYSLGYH LRNGMFGHDGAYGTDLSVDPRTGMVAVFMVQCTGGDQWAARDAFLRAARRAFPR OJF2_RS11950 MSAELVTGLQCRLCGKRYPKEALNFCTEDFGPLEVTYDYEAVAR TFTREAIAARPRTMWRYRELLPVDGEPAVGRQVGGTPLVRADRLARALGVRELYIKND AVNHPTLSFKDRVVAVALSKAVELGFRTVGCASTGNLAGSVAANAASAGLEAYVLIPD GLEQGKVLGATIYGAKVIAVEGNYDHVNRLCSQIAFRYGWGFVNVNLRPFYAEGSKSM GFEIAEDLGWRAPDHVVAPMAGGSLIGKIYKAFYELDRLNLLESPVWTKMYGAQATGC NPISAMVKSGAKKVRPIRRPDTIAKSLAIGDPADGYFASKLIRQTGGWSEDVDDDAIV DAMTLLAETEGIWAETAGGVTVAVARKLIEQGKIDRDGSTVLCITGNGLKTQEALLDR LARPAVIEPTMDAFESLIAESSARHTEDAGALVGAV OJF2_RS11955 MPLVRIPTPLRPHAGGSDRCEASGTTVGEVLADLGSSHPALKDR LFDGDDLRRFVNVYVNNEDIRYLDDLATPVAEKDEVSIIPAVAGG OJF2_RS11960 MSSPTADPLDRYSRQVRFHALGEAGQRALMGSRVTLCGCGALGT VLANHLVRAGVGRIRIIDRDFIETHNLQRQILFDEQDVADNLPKAEAAARKLRAINSS VEVEAVVTDLDHTNVIPLVQDADLILDGTDNFETRYLINDAAVKLGKPWIYGGVIGSE GQTMTIIPGQTPCLRCVIETAPPPGMTPTCETAGVLGPAVAVIASFESVEAIKVLSGK RDAINRDLIMVDLWDWTFRQLKIASLLGKVDCPCCKHRKFEWLEGEQGSHTTTLCGRN AVQVANRRSEPLDFTEMARRLQPLGEARHNAFMLRFAAEGYEFTVFPDGRAIIKGTND IAKARTLYAQFVGS OJF2_RS11965 MIYLDNAATSFPKPEPVYQALDRFARTSLANPGRAGHRMAVAAE RMLDEARHRLNRLFEGEAPERWILTLNCTDGLNLAIKGLVRPGDHVITTDLEHNSVSR PLRALEKAGVIRLTRVASSEGYVRAADIAAALTDATTLVAMTHASNVLGTVQPIEEVA SAVRAAGALFLVDAAQSAGVVPISLKELPIDLIAFPGHKALYGPTGTGALYAGPRTDG KLRPWREGGTGGDSSSEVQPVLLPYYLEGGTPNVLGVAGLVAGLTWVEEKGPDGLRAH EVELLQEVVDWVERAEGWGVAGRWDPARHVGALSLRVPEGLTPQDIGSVLDVSFDIAV RPGLHCAPYIHRALGTFPDGTLRLSPGPFSTRQDVQHLIGALGEITASIL OJF2_RS11970 MTLPTWLVAALALINLIALPYIAFLLVTTLAAILGRRRGADAAA PIQRFLVMIPAHDEEGGIGDTVRSCLAMDYPRELFEVLVIADNCTDRTAEVARREGAT VVERFDDAKRSKGFAIEYLIDRLKGSGRFDLLDALVLVDADTVVEPTLLRSFADLVRS GGRWGQCYYTVANPDASWRTQLMTYAFALFNGVTPLGLSRLGQSVGFRGNGMCLTTEG LRRVPWTSYGLVEDMEFSWKVRLAGETIAMRPEVAVRGMMLSGGGKAAEDQRQRWESG RRDIRRRMPGQILRSKHLGWADKLACLIEVTMPTMTALLAGMLVLFVADAATLTSPLP PALGPCLWAMCITIALTLSLSTVAPFLCFGLPWSYARALAYLPVYAAWKTVLALKPKP KRWVRTVREQPAGR OJF2_RS11975 MAVRVGINGFGRIGRLVFRVLAAHPSEYEVVAINDLADPKHLAV LLKYDSVHKRFNGTVEAGEKALIVNGKTIPILTERDPANLPWKNLGCQVALESTGFFT SREKLQKHIDAGAEKVILSAPAKDKLDATLVMGVNDHILDKSMRIVSNASCTTNCLAP MAKVLNDTFGIEKGLMTTIHAYTNDQRVADQIHEDVYRSRAAAVNVIPTKTGAAKAVG EVIPELNGRLTGFAVRVPVPDGSMTDLTAVLKKPASKDEVNAAIKAAAAGPLKGIIEY NTDPVVSSDIVGNPHSCIFVPDQTLVIENLVKVIAWYDNEWGYSCRTAELISKLGAL OJF2_RS11980 MSKQTVRDLDVKGKKVLVRVDFNVPQAKDGEVADDRRIRAALPT LNDILGRGGSLILVSHLGRPTGDPAKDEPFRLNKVAEKLSELIGKPVKKVNDTVGPEA EAAAKQLAPGGILVLENVRFNKGEKKGDVEFAKKLAGLADAYVNDAFGTCHRDEASMV AVPEQFPTGHRAIGFLVEKELQILDTLLANPKSPYVAVMGGAKVSDKILVIENLLKKV DKLLVGGAMTYTFLRAQGHSVGNSRVEADKLDVAKHVLELAGPKLVLPVDHLIADKPA AGAETKVVDGPTIPEGWFGMDIGPKTIELYSQVIKDAGTVVWNGPMGMFEVEAFAKGT KAVAQAMADSSAVTAVGGGESAEAVEKFGLAEKVSHVSTGGGAFLESLEGKSFNSLKV IPERG OJF2_RS11985 MRVKRWPGALLLPAVMLTAVGCHGSGSKRPAPETTLSGTIDGGS PVVEAPAPQSVGYVDRHPILSKPRDYWEDGGDNKIVKAARATFIGVPSGIYNEMKQIV VGAPPTTR OJF2_RS11990 MELDGWPHAYIAFDLETTGLFARIDRVVEIAAVRFGEGGEELGR FERLVHPGRRMSPAAQAVHCISDDDLADAPPAREVLPDFLAFLGDEGSCSLIAHNASF DAGFLGAELRRAGLELPLHRVFDTLALARRRRPDLPRHRLEDLSRAYGIARARAHRAL DDALCVKDLWVHLRGHADPDGVLVSYPIHCADEAQAAPHGWDLLSYAMESRAVIHIEY DGGTKGRGPRRITPRRFIHKGGEAYVLALCQKDGFEKAFRLDRIVRCELVAEPAVPVV DGRSIGR OJF2_RS11995 MRTVVALVLIALGVALRLNPYHEFNFVPIGALALFAGSCLPLRW AWVVPLAVMGLSDLYLDWGSGRAVLDASRVFSYAALAVIPVLGLLVRRSRAGLYLVPG LAVAGSAIFFALSNLGSWIDLPMLYTRDLAGLADAYVKAIPFYRNTFLSDLIGAPLFF AAGYLIERAYRRLTASQAVSAEAGKSSIA OJF2_RS12000 MARLLVSVRSATEAVVAHRAGASIIDVKEPDAGPLGMSPWPTWI RVRQALDESVPVSVALGELPEWRSEVPPAVPSRAWSGLAFRKIGLAESGPRWRGDWFE LMRRLDGSGPSWVGVAYADWRSAGSPSPDELLEAAGDSPETVGILLDTWAKSDRLRID DSLVHWSGRVRERGKFLAVAGGLDASSIPGLDVLEPDIVAVRGAACEGGDRRAPVVAS RVERLAELVSELGRVPCRRG OJF2_RS12005 MPTRLTQRSFGFAERPRRLERRFKSGILALTAVIAALLLGGSPH GRNAVAWLAAHGRWAALRAVGTQPSRSEVDAEWARKRQHDVDQAMAKLRRTYDQYEPP MRRLLDYAGLDPDHALLRWGNFDRTVYLPSTVFEADETGRSYRLRPSVKSIWIRNLKL KEGLLAYFPLPVGPRLDEVVHASGALLVESSLQTTNSWGLRGPEPDLSAELRGIVLGD SYMQGLFVGDDETPVECLKRELSKAMGCGAEVLNTGHLGYSPEQEYFTLRTFAERFPP RFVVLSLFANDFGDLFEVLEGKGDWEEGRHWIGQIVDFCRARGIVCLVVPAPWVNQLS SPRRSGFYPGKISNILDVDPLAYLDPIEDFATETLRRTSPQEPAGAPVTSNPLFNGHI GDGHFSALGCQVWARAVAGRLALLLGGKMASRLPASGPAAPSR OJF2_RS12010 MKSHTEYLTIHHPERFGIVHLTPRIDEIVRKSGIQEGILLVNAM HITASVFINDDESGLHEDYRDWLEALAPFDASPQRYRHNRTGEDNADAHMKRQIMGRE VVVAVTRGKLDFGPWERIFYGEFDGRRPKRVLVKIIGE OJF2_RS12015 MRSFRSSAVICVAAMVVGLPVLADELRGTVKSIDRDNSRMVVHD EKAQRDVVVNFGKSTTLKPGGGVSELKDVKPGTRVAIIDSITASRVAIEDSQAAESEK PGSRSILAEFWENFRHNLFKPLLLFFYLGFLVPILRVHFEFPYVMYQALTIYLLIAIG WHGGEELSHLDPNHIGSIAGFMLVGFLTNFVIGTAAYLILRATTRMRRIDQATVAGYY GSDSAGTFVTALGVLASAHVAYEAYMPVMLAVMEIPGCLVALFLVARLRASGMDALGN MPDEAGFDPGAVAQAAQENEDSRGPSKHDAAVESEAEIALEKMVHPDADGDGGGNGAG KKPLISGKLLHEVFLNTGLYLLFGGICIGLISGLQGPAVTRADDSFFVDLFQGVLCLF LLEMGMTASRKLRDLRTAGLPFVLFGILAPNLFATFGIAVAHAYSWATGVPFELGTYV LFSVLCGAASYIAVPAVQRLAIPEASPTLPLAASLGLTFSYNVTIGIPVYMLVAKAVT RAFPVG OJF2_RS12020 MTLLELPWLDASIATTLVGALWVGRVRDPIRAARLGLAFTALAF GGTFLAWLAFYSGADPAAIADASPQVRLFGRRVLALDELSAPLVPAVALLHFLTALAT TRTKMRRFSFAWSLTSETIRLAMFSCRASWLLVLLLTLCAIPPYFELRNRGRPTRVYA AHMGLFVALLVLGWAGVEASRGAAPPTWAAVLLMAAILVRCGTVPAHCWATDWFEHAS FGVALLFVAPLAGVYAAVRLVLPIAPAWVLQGIGIASLFTAVYAAGMAAIQRDCRRLF ANLFLSHASLVLVGLELHTEMSLTGSLCLWFSVILSLGGFGLTIRALEARYGRLPLAG HLGLYEHSPSLAACFLLTGLACVGFPGTLGFISAELVVDSAVEVSPYVGIAVVAAAAL NGIAVVRAYLILFAGARHVSSVPLGIVARERLAVLTFSALILGGGLFPQPGVTTRHKA AAAILEERRGLMEGPARPVDSSRDEAPDGREGAESPRPRGGPGPIMHR OJF2_RS12025 MPTAESFMTALGAVVIAAPLLLAAILGVSSLIDRKLDEPTTTRI LQWGTVAGLLAALGTLAGMLWLGTRHVPIELGDWVVIPGQYHFAIKFVFDRLSVPMAI LSFLLSGTIGAFAARYMHRDVGFNRFFVLYTIFVLGMVVTSLAGTIETLFAGWELVGL SSALLVAFFQDRQAPARNGLWVWVVYRVSDAALLLAAVAMHHLRGEGDFDKLMGTGLW PEVVPSLFGHQALLVGLLVLVAAAGKSALVPFSGWLPRAMEGPTPSSAVFYGALSVHL GAFLLLRVSPLLDASPTLSVLVAVLGVLTAMYAYLAGSVQTDIKSALSFASLSQVGII VAEIGCGFRYVALVHMLGHACLRALQFVRAPTLLHDYHTMENAIGGHLPRSGQRWSPS GHPVRDWLYRLALERGYLDAILRDYVAGPFVSVMRRFDAIERRWTDFLAGERSRESEQ SGPHFGAIEDYS OJF2_RS12030 MTSSTAPEAAHSAPGLDAELADLEEIIDHAGHLLPAQGPITVFI HHNTLHALEHLPFNEALAKGAEIFGCQPYLSEERYRQELVRGRIRYADLREALVQDLG ADASREVPCFGTRLELRLDMLEHPPRSAPTDELIWFVAEADALRRVRPEVSAADRARL IAETRRWVVRDLRTALEPGRNGESGPGVPGSFRRPGALEELLDRFDDSRMEHWSESDW EGFTVQALWRLCCDGVRDLPPFTAPPPEPLRHRDLLLEATGADSDRPVHELLIRFCAA YLDQGMARWAMPGREDGFYRAFCDLYGQPFGPPDRWMKGLHAELSGLMQAGVGPLESI RRSLRALGVPPQEWEAFVSKTLLALRGWAGMIRVVELRGDRVVQPIPAGSLVEFLAVR LILDRHALAWTAQEALGEAVAPDALRDVCRRMIRRPGRPGVERRAFPLFQLVQLRGLP PDVLYRLEPSQWRAMLEEVESFGSFERRRVYHLAYERRFYTQAADAIALHVRRPAPTP SWPRFQAVFCIDDREESIRRHLEEVAPDVVTYGTAGFFSVPMYYLGAADAHFAPLCPG SMQPRNWVVEEVVDANRAALEVRQRVRRALGMATYRFDVGSRSLTLGAFLAAIVGVLA SIPLVARTLFPRMTARVTRRLGRIVDATPQTRLRLERAGGEPGPATVDQGFTIGEMAE IAEKVLREIGMTRDFSRLVFAIGHGSSTVNNPHASAYDCGACGGSRGGPNARAFAQML NHPGVREILAGRGVSVPDGSRFVGAMHNTTSESITFYDADLLPQSHVAEFESFRTLME AAAARNAHERSRRFQSASLALSFEGARQHVEGRSEDLAQVRPEWGHATNALCVVGRRE TTRGLFLDRRAFLTSYDSSQDDEEGTILLRILRAIFPVCGGISLEYYFSHVDNAGWGA GTKLPHNVSSLLGVMDGAASDLRTGLPWQMVESHEPVRIIFIIETTPAVMTRIMDADE GIGKLCRNRWVRIALIHPGSGELSVFQGGEFRPYSPQAAVLPAAESSVRWYRGWRDHL EFAEIVGRG OJF2_RS12035 MGLADSLHARRLLLGLLAAALLVVLAGVRAGTDARTRTGESLAD PEPPAPPISVAVQGGRASLSWAAPEKGAKSLIVVSSLSRRPGPFPIELRARPLAEGSG TSVRRAPAPVEQPPRLRRFRPAPACGPARGRPPAERRFHLMVRDGDVTIASNYVEVRG VLRAVGRRVQIYVGAEDLTTAGPDLLKDIVDTFDDRIYPAADRTTGVADDVDRDGRFT VLLSGWLGRLGGGRHAVDGFVRVTDLDPSFSPPFGNRCDMLYLNSALAPGPHLRTVLA HEYMHAVVFCGKSRTIDGGRDAAGGLEEEGWLDEAIAHMAEDDHAFSRSNIDYRVEAY LARPERYQLVVDDYYAADLFRSHGNRGSTYLFLRWCVDRYGRDLVPTLIRSRLRGTEN LESATGCSFAELYRRWSVDLYLGGLGGRGPHGSPAGAGAGASELDEWHLGGPRATFVT PGGPPDRWSAAGTSSHFAVVDAEAPGGIEVAIEGPEAADLQVTFVPLPRGLPRLELDA SLARGADGELRLRGAVRESDGQNLRLASLSWGPLIPGADPRPEAGLRGRLDMLGVASR FGTSGLPGGGILRSQPIRLTGSPPEPGPFIVRAVGLDERGRRVAAWAEVAARPSPVGG SPLPLAGDPR OJF2_RS12040 MIPARTVIRCTPRLLLCVLAFSLAGCASHRGLRTAPDSQNVVQR PVYPAPGSRPFYIGGYAGADYRPAGAAGR OJF2_RS12045 MGQGDIRIGIVGAGQSVRKRHLPGLRNLAGVRITGVCNRHRESA SRVAREFDIPRIYSDWEELISDHEIDAVLIGAWPYLHCPVTLAALDAGKHVLTQARMA MNARESQRMLDKAKEHPSLTAMIVPTPFGLSGESHLRSLIADGFLGDLRELRVDSFSG DLAAPESPMTWRQMTRYSGFNMLTLGVVYEAVLRWAPPADRVMAYASKQVERRLDPEL GKVARVGTPDSIQVLTTQEGGSVGVYRLSGLTRHERKMTVTLVGSDGTLVYDLLRDEI RGARSTEHELRPLPIPPDIRGRWRVEEDFVAAIRGERPVTHTDFATGARYMQFTEAVA RSSRHQVPVRLPLQEFSNPSL OJF2_RS12055 MGSVFRKTTTRPVPAGAKISTQGGRLVARWDGKGKRAMAPVVTL PDGRQAIRQESSTYFAKYRDHDGTVKVVPTKCQDKSAAEHFLANLEKRADRIRAEVVT PEEDRRADTARRVGIDQHIDDYVSTLTGNAMHRTNTRGYLERLRDALGWSALADLKRD RLELWLAGEALKKRSARSRNAYRVAASGFCSWLATAGRLAGNPFARLPKASEKADPRR PRRALTPDELGKLMAAAQQAPARRPLKSAGKVEGGRPAERMTGADRADLYLFLAGTGL RVNEARLLRVADLDLDGESPGMSLRAGTTKNKQGAILPLRADLIAMLRRRVDGRRPAD AVFNIPADLIRRFHADRKRAGIPLEDDRGRRVDLHSLRTTFGTLLAASGVPLTVAQRL MRHSDPKLTSNIYTDVRVADMRAAIEAMPSVAPAAPSVAPAAPSVAPSVAPTRGKRVQ RKSS OJF2_RS12060 MATMTTSKSKATRKRQTKPAYTKPAAAATQEEGRPPRSIEELAA LLTPEEISLISRNYGECLRSHYGMGWPRENLDLNRSLRNDLLRFLESRDDVLFGSMDA SRELGWAEFTFYTAADNGISHRLTLSING OJF2_RS12065 MARPKSSRNDVQVKIDANVAQTAKIVAAYRDTTVAELVSEILRP ILEQMEQEEIARRAAGTAAQGE OJF2_RS12070 MDPRQFLDLALILKNNHASPSSFRSTIGRAYYAAFNVGTKTLDE IGIRVGEGGAAHCTLRKCLASSKDDGLRDAERVLGQLHSRRLRADYRMGDSATETLSE AEIACLEARKVIEAFDDLLMNRLVKSATIDAMKNYARNILRLHVV OJF2_RS12075 MASAFSARAVKSGGSWRTLLFEAMMSPRAYVPQDFLPQAIQTCL KASEIATCPIARSAISGLTGAAFAFCEKMNIIADVIKVQAVIPSYFHLVGMPEYEVVQ ESGPEDGYLAIHIKAIGTPESLLENGLALSGHLRKIIEQEKLDHIAVVYHAV OJF2_RS12080 MKVKFLLLATYAEIGPTGQLYIMGADFDAFLTASLPILVPSFYV VGKIQYDEVEYNNQINFDVSIIDPDGKSLDYARTSGSLTAGSPRDPNLPAAGGLIVLI QNCLFQAWGIHWLIVRINDEEAARLPIKIESVDSVAGGLNSNQPR OJF2_RS39190 MKQSLVHLHSNISRKSAMALVGKISIAMTASTEKFKSGLASAQR SLQSFEKSATGTGTLVAGAFTAAFGAASAIGFGKMIAAASDLNENMNKTQAIFGGASA KIIADSNKMAEAFGVSKSEFLDASGRLGGLFKGAGFSQGDAADLASQFVKLSADASSF FNLPFDQAFGKLRSGLSGESEPLKDLGILMNEDMVKAQALAMGLVKSGQELSNQAKVA ARAAIIMKGLSDAQGDLAKTADGFANASREATGRVGNLAASIGETLLPIVGKGLGEIN VAIEALNLAWQGNKAAALDWAAGTVGGAGQAAESMGWLQRTAGGVADAFQTIARDWKL AQASITEGVGEIIDRLSTLKPLISMAMGDFSRVFDGKNLGAFADPNAGRRLGGMGDPE MKRWADSFKATADAQRKEFEKMSLAPDASNAVNKAFDDARAKIDALRKDLARPAGVDF SKLGIAGGKEKADKAAKAPREGKAFSAAALAGSAEAASITLRSKFGDPSGKTQDQIAK NTNATASGVNKLAQAVQKLAANLSQPADLITLDAMV OJF2_RS12090 MAIETVKLTKALIHNGELREAGELVTVPETLAEQWAEAGRAVYT IRIKALRDGVVAHRHILVAGQEGIASQFRALDLHRDGAAEIVDPSQLTEPLPDRRPPV KPTPPPDPFNGQPRIKVTVTGDHLMFNSTHFGKGVALDLPEERACQELKSGFVKLATG ARLTQAGERYLSALRSSRARAGDIFPTYA OJF2_RS12095 MSNHQYSLFSAIKRCVDGKRINPGGFEGEASQALARSLGISPQG FLVPIPALLGGSFEERALDTTAGSGAIYKYLAGTADILRPKLVCGLAGAFLGDLRSGE TTNYGQVQLPRKLTAAQTSWVNEAAAPGSSTNPTTAAVVASPRTVAAYVDITTRMLSQ GGPAVERMVIDDLITGAAVEIDRAALNGSGSSGQPTGILQTSGIGSVALGTNGGVPTR ATLVAMEKAVAAANGDAAASASLGWVASVATRSKMRSIDGSSAGSGAWLWSDADRVLG KPAWSTGNMPDNTTKGTGTNLGSIVYADWSNLVIQLFSVADVLVDPYKASTLGVVRVN LFQDVDILVRQPAAFCAATDIVTS OJF2_RS12100 MKTTKTTAGEPEFADLGGRGHRGVDTDRMIREGLARTASQQGKS APAMAPEPTAEDRQADRVDIGKIDLSAPLKDASVRLIRGCLERLCESRDNAADVRAVA VAARDLINRQRRAGHLPSREALLLLIEISEAGI OJF2_RS12105 MSTASCKLAAAIPADDPHGSINEASRRYGVPTKAIKALIQLRQV SVRKLPGCRPVIRFSDVARAIEAATQGRVA OJF2_RS12110 MPEVLAKAAVMLGVAAGAIFIAGSFMCESEPVRGPTGGPGLRDE FELESLKAAAKPLVERLYQIRRSEGYFPASLAYLGLKRPQSRFGRWRYHVHDGGQAFA LTNGDYMKNGFVLCWSSEHGDWWVDR OJF2_RS12115 MATAATRPRNATSPRARKAAFLSQFRLREYQPTPRNVSRFLRLS SAADRDDIRDLAAMIAPGGLDENLFGQDQMEVYGRDGEPLAIVGHACSLWPRDVEILT RLQALGFATSIDSVSWRPGLGIRVTAFRPADLGVSSPWPKRPGRIERRA OJF2_RS12120 MQTLQYERADMFPSSPALAHDGLSGDAAGPVNLPSVQPPSPARP AVPDPEQTRRFLGYLLEPGSCLEIRIFKARFTRTGFIGPDDRYSTTLAGWFDDADAAV ADLGRLQGVSAYVTVNPIRDDLLSRTCNRVAKQRSTSADADVAAVRWLYLDLDPVRPA DISATEPEHAAALSLRDKILAENPEIAEAAHYGSSGNGAWILVRIEDLDPTEGTKHVG AALDWFARTYSTDAVVVDTATRNPARVMCCVGTIKAKGSDTLKRPWRTATIDSPERPL KAVTLPAWVAGHPATAEAKASRTEPAASPGKPSPGPALRGADVTIRAAAYLDKMEPAI SGSGGHRQTFDAACALVKGFGLATEPALRLLQQWNGRCIPPWTERELLHKIEDAIARP GPVGYLLDARPLPGDTSPRPSPGERGSRPAAASEDDPRPAIEITVEHHLILGAAVGAL AADPEIFARGDVLVRVPLVSAAETIRADDDPYSAGRLAKAIRVEEPIVSSLLSRNATF YRMRKAKDEEPYPVDEAPPRFLSSAIPALGSWPGMRRLAGITYAPYVRRDGSVVEGPG YDPETEYVYHATCQLVPGPEKPTRDDAMEAAGAILDLVSQFPFAEPDIDGAVWLAMLL TMIQRPAIKGPVPGFVFSGNNAGAGKGLLVDTAGIIAMGKNLQASTYTDDPAEMRKLL FSIALSGCAAVHFDNVENGSTYGCAPLDSAMTAAEISDRILGQSRTASAPFRPVCVLT GNNVSPTADAYRRWAIARLVTNEERPFCREDIRIKDLRAHALANRPALLRDALTILRA HARADRPGGGWAPLGSFGEWDAHIRGAVHFATENDPITTMLETAEKRPERLSLGRLLD AWEECGRRMTVAKAIEESLSNGGMREILAELCGGKTPLNPRTIGRYLAKHCNVVVDGR KLVEDGEFRKATIWAVAKLAPDSPH OJF2_RS12135 MKADETTLPVLAVLFLATLIRSAFGFGEALVAVPLLALFIPVGV AAPLAVLVSVTVAGLILLRDWREVHAGGAGRLVLSTLPGIPIGLIVLTTVAEPVVKAI LSVVIIGFAASRLVGRGRLVLEDDRLAWLFGFGAGVLGGAYGMNGPPLVAYGALRRWS PQQFRATLQGYFLPASLLGMVGYWAIGLWVPAVTVYYLTSLPAVLAATFLGRVLNRRM DADRFVFWVHIGLLAIGAVLLIQATV OJF2_RS12140 MLKNITLDRPLAVLDLETTGTDTKIDRIVEVSVLKILPGGECDH RTRRVNPGVPIPPEATAVHGITDDDVADCPTFRAIAPGLARFLDGCDLGGFNILKYDL RLLAAEYNRAGLDFPVAGRKVIDACHIFHKREPRDLTAAYRFYCGLEHEGAHGAAADV LATLAILDAQVARYDDLPTTIDGLHAHCSDPTALDMSGMFGKDEDGVIVFVKGKYKGR TLEQVAGDKPDYLDWMLKADFFDDTKAIASQALRRAG OJF2_RS12145 MKSPARWASAILMGAVLLSVAQARAGGPSRLDLGGAWQVVQEGS RDPIPARVPGVIHTDLLAAGQIPDPFYRNNERAVQWVGEASWIYGRSFDVPADLLNRQ HVLLRCEGLDTLATIKLNGAEVARADNMFRTYEFDVKSKLKPGANQIEIRFDSVLPSI REKEALRKLPTWAYPGSAYVRKEPCNFGWDWGPTLITCGIWRPIGLVSFDAARLDDVA ILQDHSQPGKVALTVTAATSPAPPPGAAAKVTVRLGSQDVASSSAPVREGKAKVGLDV ADPKLWWPAGMGAQPLYDVRVELLDAAGRVLDASGRRIGLRTLRAVEQSEKETMHLVV NGVPFFAKGANWIPPDSFATRPTREVLRRYAADAVAANMNCLRFWGGGYYEDDDLFDA CDEMGICVWMDFKFGCTTYPSFDPAFLENVRQEARDNLRRLRHHPSIALWCGNNEIMF FRGKAEWTKEKMSEGDYYKLFRDLLGEQVRELAPQTDYVTGSPDCGDVHFWEVWHGGK PFEAYRNIHGFVSEFGFQSFPVPSTVEAFTAPSDRDSVYSPAMKYHMRSNRMYMNVAE DGTVGTDKIMVIVKKYFRDPKDFESTLWLSQITQAYGIKYGAEGWRREMPKSMGCVYW QYNDTWPCTSWSSVDYFGRWKALHYLARRFYAPVLVSGVEDPKAGKVDIHVTSDRMSD CRGQLTWTVTDLVGKPLASGSSRVDIPARASRLSQSVSLRDLLQTHAPQDLLVWLKLD VDALTVSENLVTLTYPRDLELLDPRLSSKVAEHDGHFRVTLHAAHPALWTWLEFDGVD ARLSDNFVHVMSDRPVTIEVTPARPMSKEAFQAALRIRSLYDTSAH OJF2_RS12150 MRNRRSVLRLAAAATTPLPCTLLSSALADQDEPLRSRRPPVAER KFTSEAVEAKIAEVRKAISDPELAWLFENCFPNTLDTTVRTGQKDGKPDTFVITGDID AMWLRDSTAQVWPYLPLARDDKALKALLAGVINRQARCINIDPYANAFNEGPTGSHWA KDHTKMRPDLHERKWEIDSLCYPVRLAHGYWKTTGDASPFGADWRKAAAAIVKTFREQ QRLKGRGPYSFQRETANPTDSLPQQGYGNPSRPCGLIHSGFRPSDDACIFPFLVPSNL FAVTSLGQLREIFETELPDKSFAQECHELAEQVREAIRTHALDHRKEGDVYAYEVDGF GNQLFMDDANVPSLLSLPYLGCVAADDPTYLRTRAWVLSDDNPYYFRGKAAEGLGGPH SGMDMIWPLGIITRALTSRSEPEVARCLTTLKQTHAGTGFMHESFHKDDAKKFTRSWF AWANTLFGELILTIHDRYPQLLRG OJF2_RS12155 MLLKTLPIFLAFFLMGLADAMGPNAEKMKTAYDLSNWQSTLVSF SVFIAFAVFSVPGGVLAARIGKKKLLLLGLGLNAVALVLPSVMVPPFAVVLGCIFLLG VGTTFLQVAGNPIMRDVSPEGAYSRNLALAQGIKGLGSAASTYIVLAISSLAVFKSMD WRGAFPVFCILMTLAFVAVLFLRVHEAKVDEPPSIGSSLSLLGEPAFLLAVVGIFLYV GAEASMGRFLQPTLRDFGMDGDSAAFWGPTVFFLSLTVGRLLGSAILTVMSPRTCFRL SALLGLLGAAALMSGSKPLSLAGVLAAGLGFANIWPMLFSITVEEKPDRANELSGLMC MAISGGAIVPLVMGGLKDLNLGAMAYVVPAACFAYLLALSLRGGKPAPAPEPARAA OJF2_RS12160 MSLANDPRVVMTLDAGGTNFRFCGMRGLQPVTEVVALPSNGDHL DRCLSNIVEGFSRTRELCPEPPAAISFAFPGPADYPAGIIGDLFNLPAFRGGVALGPM LEDRFQIPAFINNDGDLFVYGEAIAGFLPYVNGLLEQAGSHKRYRNLFGVTLGTGFGG GIVRDGELFVGDNSMAGEVWLLRNKVEPSLNAEEGVSIRAVRRVFAEKSGIPFERAPE PKDIFEIGTGNAPGDRAAAVEAFRRMGEVVGDAMANALTLTDSLAVIGGGLSGAWPLF LPSVVDELNGSFTGHDGRHYSRLASKVFNLEDRDQRETFLKGDARVITVPGGTRTLRY DPLPRIGIGMSRLGTSEAVAVGACAFALRKLDQRRGPASV OJF2_RS12165 MKRPPKKSGGLAAFALGLFATACLGAGPADHVKPLIGTDGHGHV FPGATLPFGMMQLSPDTRDETWDGCSGYHYSDGSILGFSHNHLSGTGCGDLGNILLMP TVGPLKLSAGKPGDGYRSSFSHDQEEARPGYYRVMLADPKVNVELTSTVRAGLHRYTF PQSDDAHVVLDLWHGISNRPTDSQVTIADDRTLTGFRRSDGWGGDKVFYFVVEFSRPF DGAGVATDHKPAEGKEAKGRNVQAHVDFKTKAGEVIQARVALSTVSVEGARKNLKAEL PGWDFDATAAAAFAAWDKALSPLQVESKDENFKQTFYSSVYHTMVAPTVLNDVDGQVR GPDGKVHTVQGFNYYTELSFWDTFRAEHPLLTLTQPNRVNDFVKTCLAHFRFASPDNR YLPVWANGGKETDCMIGNHSIPVIVDAYLKGFRDWDVGEALNAMVDTTNMNRQFLDSY RDVGYVVQKRDEQSAAKTLEYCYDDICIARLARAMGKTDIAQAYEKRAKNWQNVFDTE TGFMRSRNDKGEWVLPFDPKRIDMNCYTEANAWHYEFFVPHDVPGLIAKLGGDANFVT KLDGMFDPSQKIPNSLQDITGVIGMYAHGNEPCHHVAYLYNYAGEAWKTQALVRKVAD SLYNNTPSGICGNDDCGQTSAWYVFTAMGFYPVDPADGVYVIGSPLADSATVTLDPAY YKGGKFTVVAKDNSPQNVYIQSATLNGRPHTKSYITHDQIVAGGTLELQMGPSPNKAW GAATADRPGTAARP OJF2_RS12170 MVQVLLLVSAAGALGGLLNALLGGSGFILPRLTQVSGSNVVVPG FLGNVLTGAVAAVISFGLYGPFSGVAVVTTPAVAAIPTMPVQLTLAALAGAALVGFSG SRWLTAESDRRFNHATAVATSQLAENLAKAGQPQLESVAVPTDQPTVTPSQIRSLSAS LRTKSPLEAYEEAGKLAQSQN OJF2_RS12175 MLLKATDIRRGMVITMDGVNFVVVDFAHHTPGNLRAMVQTKLRN MNNGALIDKRLRSVDQIEVPYVETKEFEYLYSAGDEHVFMEAETYDQLHFDKDIIGTA MQFLLPNTKVMVKYINDKAVSIEIPDSVELTVTDTPPALAGATATNQYKEATLETGLK VQVPPFIKPGEKIRIDTRTSEYLERVK OJF2_RS12180 MDDLSRLLGDLVSIPSVNPMGRALDGPGVLEAQLTGYLEGWFRA RSIPCRRVPIAAGRDNLIARFEAPRSARTLLFDVHQDTVPTDGMTIDPFDPRVEGGRL YGRGACDIKGGMAAMLTAFARLCRERPPGAASVILACTVDEEFTHIGSTHLAASGHGA DLAVVAEPTRLDLVHCHKGAVRWKVRTTGVACHSSSPGLGDNAIYRMAEVIRLLAGHA AELSRGPSDPILGPPTLSVGRIEGGVSVNVVPDRCAIEIDRRLIPGEAPAGAIEQVRR LLRPLSGPGGDVLFDDPWVTMPALVPNLGDWAGPLGDAVAAATGRRPELLGVPYGTDA GPLGERGLPCVVFGPGDIAQAHTKDEWIELEQVRLAAEAYYRIACDLG OJF2_RS12185 MSRGSWIKALTLAVALLGLGGMNSAKAQDWTDYLHWPYVPPQVP GNGFEYQGLYDGWYKYPREQRIVPQIQGPYYRNFYGGYRVLGIFRHPHGWHEWNKKKF YEGHHFTLDVF OJF2_RS12190 MLTALAIRLAGASLCLLAIPATSGALDLPAPTAAAPDQARLEAI VRKLASPEMEGRRGAGAERTAAYLVDQFKDLKLEPLFDGRFTQPIPGKTPGAIQGTNL GAMIRGSDPRLRDEWVIVSAHYDHLGVRRGVLYPGADDNASGVAMMLEVARGFATGAT PPRRSVAFVSFDLEEIGLFGSRYFVAHPPMPLDRVSLFITADMIGRAMMGVCKDQVFV LGTEHAPGLRAWLDESARGRPVRVGLLGSDVLVLNRSDYGPFRTRQVPYLFFSTGENP CYHSPEDRAETIDYPKLTAISAVIGGVAASASGAEETPRWSPTPDNPFDEARTVRDVL RTLLDHEQALKLNGAATFLMRKALGDAEGILGRGAMTPAERSDLIQVTRLILAMIQ OJF2_RS12195 MQALLVGVMRLVATSLAATCLHSPPAWSPDGQWLAYTTAAGGVT PLRPGWLIPGMDGADGSGTPREPGSRPGAAARRFRIWATEKATGASVLIEDSTDPLTA PTWGGDGHSLCYGRLVVPLAGGPHLAGRPRAANLEIIIREALDRKRVVATIPGLDPAG LSPGSIAEIRLAWSPDGQHLAVPLPAPSRGVAILLPEQGRVVRTIPAAGCPAWSPDGS RLAYLTSAEKRVQSRSLQLLGRDLAAGSPVIDVAEPCGAPAWSSDGQSILIPGRQFAI RGSGLVMLQVSLESRASATLLPLGAPYPGVLEASMDRSQEQVVFTADSPGQVSSLVFG ELRGGKVFKKFHPVDVDRRLGAPALHPDGQVVAVRLQTGDDSALPLLCDLISEDVTLL APDESSRSEWMASLTAAAGSILRSALASRPARPGPAQSRPTLLPVPGEIAEQNAAHSR LRRLAKIGRGLLDRPPGAASDPPGDVPSEEPTDELRLFFDYLRGDHAAAEADLDAIEA RAKSPANRLLLLALRAQVLLARGEKARAKSVVDYLAAAAGRVRRVEETPAGPVVTDLE DSGGEAWARYLAERVDAAPSKELEAQAEGEDTLDLRMPHLLDEIPAGLIDAGPGLPRP GRARGFVDPPVPGFDPRNVPPPAPRPGGIPRPGAPVRLLIPGGTE OJF2_RS12200 MRFVLIDRIVDVQRGKSLVAVKNLSLAEEYLSDHFPGFPVMPGV LMLEALTQAGAWLVRDMEDFQHSIILLKQAKTIKYGSFVEPGRQLELRVSLESHTESE ASFKGAGVIDGQTMVNGRFTLTRYNLRDRNPSLHRTDATIVEGLRDLYQTLRKGSVGA RAITRSPEAQAVNAGH OJF2_RS12205 MPTYDEIFEKVQTTLVDALGVDEDDVTQQATLQGDLGAESIDFL DIVFRLERNFGIKIPRGELFPENLVQDPEWVADGKLTSKGLDELKSKLPFADLSKFAA NPDVENLGDLYTVDMLVQYVQSKLAA OJF2_RS12210 MRWIWIDKFVEFRSGEFARAVKNLTLAEEHLHDHFPGYPVMPAS LIIEGLAQTGGILVGEAGGFAEKVVLAKISRAEFHGVACAGDQLLYEVSVKGIRPDGA IVDAKAFLNGELLADAEIVFAHLDNTRANQIFGPKNFVFTQQLLGVLDLARAQEKSRE ADASAEPGEAGNGQPVGKQP OJF2_RS12215 MLGSERRVVITGLGLITPLGDSPDHIWASIEAGKGAVGPLEAFP VEGLPSRNAAEIRHFDFLKTPALAKARFFKELRKSRKYMARDIQLCVAAAQLAMVDAK LDQGGVDPTRIGIDLGAGLISTELDELAPAIAHATTPSGGFDYGAWGRESIGIIEPYW LLKYLPNMLACHISILMDCQGPSNTITEADASANLAIAEAARIIARGKADVMVTGAAD SKIHPLSFIRMSMQNTLSRWEGEPAGACRPFDSHREGTVPGEGAGIVILEEYEHAVAR GATIHGEVLGGGSGCDAMPSGGLDPEGNGTAVAIRAAIRDARLTPKDIGHINAHGIGS KVGDLAEARAFHRVFGDDLPPVTSLKGHVGTMASGCGSVELAVSLAGLSRGLIPPTLN CDDPDAACGLDIVRSGPRPTSNRIFVNTNITANGQAAALVIRGIPRESGA OJF2_RS12220 MRRVVVTGMGMVTPVGRDMESTWSALLEGKSGVGPITHFDASTF ATRIAAEVKDFSLASYRPDGDRWNNHSRNTKFAIAAAQMALDDSGILGGSPALDHDRF GVYLGAGEGQQDFPRFVRLVNKATHAEAKGMKVHTSDFTRLGLHELHPLAEAEQEPGT PAAHLANLFGARGVNSNCLTACAASSQALGEAFEMIRADCADVILSGGTHSMIHPFGL TGFILLTALSTRNDEPTRASRPFDRDRDGFILGEGAGMLVLEELEHARARGARIYGEV VGYGSTADAFRITDSHEDGRGAIACLREALDVAGLTPEDIDYINAHGTSTSVNDRIET LAIKKVFGDQAYKVPISSTKSMMGHLIAAAGSVEAIVCLLTIRDGILPPTMNLDHPDS DCDLDYIPHEARRKHVDVALSNSFGFGGQNITLILRRFHD OJF2_RS12225 MTALSTTLNILAWGGLIVFAALLAFVVFATLKYGPIIQRIFEVR PVFLPLHVRPEDEGEPVSFEAEGGVALSGSYLRRRTEARAGVLVFCHEYLSDRWSYLP YADHLRDRGFDVFTFDFRNHGTSHRDPGYSPLQWTTTFEVADLRAALRHLRSREDHDR AGFGLVGVSRGGTTALVVGAEQPDVWGVITDGAFPIRGTMTAYILRWAEIYINSKILL RLFPLWLYKLVGYISRRQSERKLRCRFPDVESAARRLAPRPWLAIHGEKDTYIGPDIA RNLFNRAGQPKELWIVPGAKHNRCREANPGAYAARQVDFLSRKAPRPLVDPPAADVDP APHAEPTDVPVHSDRTLTGSRLLPGIVAQVTG OJF2_RS12230 MIATIKRIIGRPLTSRARGLARTFHDLTARAGDAQRELLRGFIA RNADSQFGRDHGFGEIRTAEDLRRRVPIGGYDYLEPYIDRVRQGDTRALFGADTRVLM FAMTSGTTNRPKTIPVTEQSLRDYRDGWTIWGVQAFDAHAEMISRGLKPILQIASDWR ESFTQGGIPCGAITGLTASMQSPIVRNVYCMPASGSRIKDIEAKYYVALRFSIYRDLG TIIAANPATILAIVRLGDREKETLIRDLYDGTIAPKWAIPPEVRRALRFRARIPHRRA ARRLEEIVRRTGRLLPRDYWPDLQFLSNWMGGTMKAYLRGYPEYFGETPVRDVGLIAS EGRMTIPVEDGTPAGILDVRHHYFEFIPEEQAGSAAPETVEAVDLVPGRNYFILLTTA GGLYRYNIHDLVRCVGFHNRSPILEFLNKGAHFSSLTGEKLSEHQVIAAVQAAQQALG IRLRSYLLLPSWGEPPSYSLLVEGSDLEGGDRDGRLAAEVESRLRSLNIEYAAKRDSL RLGPVRTILVPDGSWADFQRRRLARSGGTVEQYKQPHLIPDLGALALFGVEAPVAT OJF2_RS12235 MSTAMDRVSRPAASRRLSQLAAALALAWLQAGCATWDALGWKRP SLGWRQAGPAFEPAQDSYAAAATASLGRQAPDALASRERRPSPASASPKPVQESAHVD SPPVEEQAPPEEGPSLDGPVASRRGSRAERSGKMDSGVKVTLGRPEGLPTLRDGEPAM ASASQTTWSRSGSGRPPAEGAPDEVRKAPPSARDEEPALLADAASPRRPSAPAAARAS RRASTRPQAPAETAGDPDDRPAARPASQDSPKPEGLRDVLDAAKGRLDSMDTYQVSLT REELVNGQVQSEKDVVLSIRRKPAAARLVWVAGPSKGREVIYSKSLNDRMMYVNLNNG LPLSRMSIPVDSPLALRNSRHPISEAGFDTIFAKLFPYRDAANAATARDGRLVLKGIQ TPEGYGAPCDLLERTTPAGEVWRVYLDRKTHMPAVVLAQKAGSGELIEKYTYRDLKEN PTELAAAEAFDPDKRWGESKGFLSRLAGGGSGNADKTGSSTRR OJF2_RS12240 MVSGQPYSILITDDDPAARETLRDVFEPEGYRTFLAESGEEAID IVKDHQVHLALMDMHLPRLSGLETIAIVRQMKGIIPAILLSADRDDNLLRRALSENAF CVLAKPVSKSVVIYVVSKAIQKYYSN OJF2_RS12245 MKRRTPDKTAPRGPARAGRRAGRRSLLLGLLWLLVTPEVASPHD IPNERIDRSIQATVRPGRLEIDYEVSLTELTLTQDLRRLIGSLPGGEREEWLKRYGEV TGPLNAKGFIVECAGSELSLSFVRYRLVVEEHPRYTFHLEADLPSDGPLAVQDTNYAS SEGTSRLAIRGGDGVRIEGDALAPDVEDIPIRPVWQLDDEQERRTRRVAVTVRFPEAS SPAAGAASAPAVPSEHPTPAPASAAGPPRTGGQRLSSLLDEAASASWLGLLAAAAALG AVHAIQPGHGKTLVSAVALGPGSSWIRPALLAVVTTAAHTGSVLLIAAGLWWTGASQV AGLHEVLAQVAGFAIAAAGFYRLGRQLGGRPGHDHGEPVAASPKPSLVGLIGLGLAGG LVPCWDAVGLLVLAAAIGRLGTGIVLVLAFGSGMAAVLVTVGLVAARLRSAIVESPRA RRWEGALATASGLILAGIGLFLFLG OJF2_RS12250 MSSPSTRTSGPADPARPGLRIRRITLLRLAVPLKREIKHASHAR SVSENLVVRVELAGGIVGHGEGVPRDYVTGETIESTFASLAPHDWARIVGSPADFAEA VRVIGSIRLPENEADPRGMAGNAARCALEIALLDAYGRAFGEPLGKAVELAGFSGLRR FAAVRDVRYGAAITAESFRKEIRSAVKFRIYGFRDVKAKVGVEGQDDARRLGWIRRIL GRRVELRIDANEAWRAGELIERTDPLRRFSIAALEQPVPHAEVDALATLRPRIGMPVM LDESLCGYPDAERAVRDGTADILNVRLSKCGGILPSLRIIALAHRTGLDLQLGCHPGE TAILSAAGRHVAGRVEGIRWVEGSYDRHILRENVAREDITFGYGGRATPLAGPGLGIT IDPGAVDAMATERRELDYD OJF2_RS12255 MIDGATIEGRASGMTHRSFTASDGYEVHVGCWPAAQPARGHVVI LHGVQSHSGWYGSLGRALSAAGYQASFPDRRGSGPNSQDRGHAPSAGRLVADLAEWVR IIRSEGPGLPVTMGGISWGGKLALILTARHPELVDGLALICPGLLPRIGVSFGEKARI ALALFTDRRKTFPIPLSDPALFTGNPEAQAYIAADPLGLREGTAGLMATSFFIDRMVS RAPGKVRQPSLLMIAGRDRIVDNARTLRYFDRLATSDRTVIEYPEAHHTLEFEPDPSR YAGDLIAWIRGHVERA OJF2_RS12260 MGLLLGSRGRKTLCAMIAWSGVLVVPTLAQDPFQAPSDPPATEK DRASTAADKPDDAKPASGAKAGAKAGTAKAVEPERIIKTNEEWQKLLTHDEFMVTRMK ATEMAFSGKYATGHFKGTFHCVCCGAPLFEASHKFDSGTGWPSFDRPTNSRVIETAMD YSEPTEARVEVTCRRCGAHLGHVFQDGPTSTGLRYCINSLSLKLDTEKAHSATSKSSA TKKPTRPSTSRSARRGRTSTGTKDADVSTETAAEAKGGAETSAGSPR OJF2_RS12265 MLAPRRLAGPATLLAAILSIGLTTSRASGDEAAKDATPSAAGGV KLLGEGDRLADEGKPNEAVVRYKSAFEQILPALRHIPFKHEVKRDVTRREAMKDMLLK EFEEDMTPEEFRTNELAMKAFGLIPRETDLKAMIVQVYSEEVAAFYDPKTKTMHLIEE PEEVRKAQPSFLERLFGKRGGFDKDENKTVIAHELTHALADQHYDLQKLHKDAKNDDD RAMAVSSLIEGEATLAMIGAQMEDWDGTRTPKLPAADLDRTMTFLGPFMSMMGGGKSL KSAPPIISETLIFPYLRGMVFCAWLANKGGWKAIDDAYRNPPASTEQILHPEKFLGKP DLPTVIDLGKVDPGPPWKEIGRNVLGELQTAIMLGRQGSKAAAGWDGDRYAIFEGPGD KLALVWFSTWDSPEEAREFAEAYVRYQTKRQGKKGFQPETIPAALWRCQDDSCQVVER RGADVVVVEGFPPVATGRLVESAFHATKAEFQPPKGSPQPADTPSPAKP OJF2_RS12270 MTERGDQATEFEPPEEDAAERTPEAHVVVIQYRSRGLPWYFALP LLVLLPVGAVLLYHRISVRSRGPQPAPAGFSEVRNEKARLVLLPDRPASEADRFDLPL ALNSQPITPEPLNLTGELQGPLAGSLAAEWRGAASGMPPAPPSGPPSPTSPSPPPPTA PPATPPPRPTTAPATPPPPPAAVAASPTAPPTRAADPAPASQPPGPKPAAPRQPLAVG FSVPSDAGPGGPAGVAGEIGAAGRGIGGATADPSAERAIAPPAPPAPSREELAQDLKE EAAGRRAAMDEMKSIKSKALDQIAEDTLNRVEDERRAFRDELRTLLQAVDKDTSDRID ALCDRYGRRYGKELHDRALYVLSRSGGRMSREAKARLLRSLGVPEPGILDYLANELGR SLNSRKGPRDQNEVQIQAARQLLAIKLGDPAPPPRINGPARGGRPDSYVPDFSPGNRI GRRGQ OJF2_RS12275 MAGTRMERDSMGEVPVPAEAHYGAQTVRAARNFQVSDLRFPREF LRALGLIKKAAARVNLELGLLRPDLAAAIVDAAQAVAEGSHDDQFVLDVFQTGSGTST NMNANEVIASLANEALTGRRGGRSPVHPNDAVNLGQSSNDVIPTAIHLAALDGWVNAL IPAIRELRDALGERARAFDAVVKIGRTHLQDAVPIRLGQEFSGYEAQASQAIRRLEGC IPSLAELAIGGTAVGTGINSHPEFGPRMAAMLAGETGLPVRLAPNHFEAMAQRDAAVE ASGALRGVAVSLSVMANNLRWLASGPRCGIGEIRIPELQPGSSIMPGKVNPVIAECVL MVGAQVVGNDATIAWANSLGSSFQLNVMMPIIAYNLLQSIRLVTEAAAHLRTRCVDAT AFLEGQKVDGVVRIEADGERCRGAIERSLAMCTALAPRIGYDLAASIAKKSYHEGKTV RDVALSLVGRDAKDVADELGAPGAAGDLEGRGGYPSRPEIEALLDPRSQTSPGAGASG GGSG OJF2_RS12280 MDRDPTTMPTGAVGGRSAAQLRYDCIVISDLHLGSMVCQAKLLE AFLEWACDHCRELVINGDIFDDLNFKRLTKRHFACLKVIRRNSDRDDMRVVWVRGNHD GPADIIGHIVGVEIHDEYVFDNRQVRLLILHGDQFDTITTGYPLLTEVACGLFYYIQK WAPHRTARWIRRISKRFQRNSQVIARRASEYAAGRGFRYVTCGHTHLPVQSVHDGVFY VNSGTWTEAPPCPFVTVLGPEIHLQYWPLEPELAAAASEEEEAAVPVTRGNPPPLPAH G OJF2_RS12285 MLRDEGTDEGNGADEALDRFLPAVAGWFRENLGTPTLPQRLGWP EIAAGRNTLIVAPTGSGKTLAAFLAALDLLWRTPRREKGVRILYISPLKALNEDVRRN LGRPLEGILEHAEGLGSPLGRLSVATRSGDTPQAERARIVRKPPDILITTPESLHLML TSRAREVLRGVSHVIVDEIHAVCGDKRGVFLALLLERLEALANDGRTPPVGAGSVRRP SEPRPARSPDGAGSHGKAPWAGGMIRIGLSATQRPLEEVARYLGGQRIVAGAKGGERR FEPRPVTIVDAGRRKTMDLKVLWPGADGGGPPGPPQTVWPAIADRLIALTEEHKSTIV FANNRRTVEKLTARLNEALEPGLVMEAEEPGGEDAHAAFRPHHGSLSLDERRATEEKL KSGELQAVISTASLELGIDMGDVDLVCQVESPGSVARGLQRVGRAGHVVHGVSKGRLI AKTPSDLLETAALARSMLSGDIEASRVPRACLDVLAQQVVACVAMDRWTVPELFDLVR GAYPFRDLPAEAMEQVLLMISGRFPTGTLRDLRARIVWDRIHNALAPLPGTAKLAIVG GGTIPDTGQFPVYLGEGGPRLGELDEEFVFERRVGETFALGNSLWRIASIDAQRVIVG PAEGRDAVMPFWRGESAPRTGELGEAVGRLTREIAGRIDDPSLPSWLEAECRLEPGAA RQLVRYVGRQRRVAGAVPDDRTVLYETFRDQTGELGLAVLSPFGGRVHQGLKIALLAR IRERFHVQASCLHGDDGLLIRLPQMDEPPLDLLGGLTPDEAERLIRLELPDTALFGLR FRQNAARALLMPRPDPAKRTPLWLQRLRAKDLLQVVGRFPEFPIVVETYRECLEHDLE LPRLRALLAGIEDGSIRVAIRPAEVASPFASDLLFEFTPLYMYQWDEPRRGDRRAGGA SVDEDLLDALLEAPAGATLLDPQAVGRVEGRLRRKGKAPRSVEEMAETLASLGDLAPS ELVGPMERWLGELEAQGRASRIELEGTAESCLWIPAEEVALYRAAFGADGPDRDALDT VVGRFVRTHALVGLAELTRRYPVPPGMASDLLERWVESGALVRLAPASEDAEPRWADG GNLAEIRRLTVAMRRREGVAVAPEVFADFLVGRQGLDRPRSADPAGDLDAALDRLRGF AAPPAFWEEEILPRRVQGYRPGLLDELLARGDWLWRAAGGPDSVCVAFVPREFAGRWP AASTEELGGDGMRVLDALEAAGASFAVDLARRTGMEPSALRRELDALLRAGLVANDRF DPLRSGGASMVEALEAARSQGVGRRGGRRLRAASPEGRWSRLGQAGADDEGHRRAWIE ALLDRYGVVAREMVEMDRWAPPWSELAPQLARAELRGELRRGYFVEGFSGVQYATEEA ADELASLAGGRRSGRDVLVAASDPANLYGSGAPLDIPLLEGGTARLSRQPGSYLVLRG GRPVLIVEGHGRRLTGLASASRAEIDDALVHVAGLARSRQVFRVETYNGGPAIQSPIQ GRLAELGFVRDFPRMTFYSAWAPPAPAEEGRGAS OJF2_RS12290 MLVTALALALSMAAPEPQPRPNIVIILADDMGYSDLSCYGGRLV QTPQLDRMAREGIRFTRAYVAAPICSPSRCGLLTGQHPGRWRITSYLQKMAGNRACGQ ADYLDERAPSLPRALKAAGYATAHVGKWHLGGGRDVVDPPKFFAYGYDLGLGTWESPE PAPDLTARDWIWSAADKVKRWERTGWMVDQAIDFLKAYRDKPCFVNLWLDDPHTPWVP TEADQQARPGGRAEGKANTPSRLKGVLANLDRQVGRLLDFLRAGGNGRPTIVLFLSDN GPMPPFGDTRTGGLRGSKLSLYEGGIRVPLITWSPGLVPEGRVDSTTVISTLDFFPSL CRIAAAPLPEGYRPDGEDVSAALLNTPRARTGPLFWEYGRNPTSFDYPVGKNRSPNLA VLDGTRKLLVNDDGTGAELYEISSDPAESRDLSAVEPQTAVRLKAAALAWRRALP OJF2_RS12295 MRRFSLVGLMLILASPQASAQLGGMGGMGGGMARPQPGARGMMG NMLAEGFGGPVGVERTVQVEMADGEKIAGKIYLNAVGISGSAGQYQVEASFVKLIRFA SAAKDAEKDGDDEAKEPAKDAIFTTTGREIRGKIARMNWLLAIDCGTLSLDASKMRSM TFVPLPEPGREKPKLGEGGEAAGSDLKATPISGEGVTVLRVAGTNIRRLAASRPAGDR WATVELREPFTGQVMPVVAPGVAACQIGRHVYAYGAAADRWDVAEIPASVQAMLSVRP GLVTVQAGDHYLRFDPAAGKWSDADLTTLLTRGERPAKPDHAGEKTR OJF2_RS12300 MFRRDCFLAVAVALVLAPGDAFAQFGGMVVPGAIQPPRPDAAAA ANRPARIALAEPGGADMQPITRTVCVEMIDGETISGRIVFGPVSVMATSGQHQVAPAL VKIIRFVESENDGDVGLAQADGPGTVILSNGQEIKGRVQRGRWTFTTDYGSFTLDPAR MRSMTFVPLPEPGRAKPILNEGGATAAAPVAEPPPLNVWPVFAPEVAAVAASGPNITR LAASRPRGGEWRTVDLKEPFSGDLAPAIAGGVAAYQVGDHLFAYSAAFDRWEVATLPN RDNVTLEVRSGIVIALAGDHVLSFDPGAGKWRDVDLRALVTTAPKAPGPPAKKP OJF2_RS12305 MLALEDFVDAVSRSGLVPRSDLAAFRAGLEASVGGEASVDLARR LVRQGLLTGYQARKLLAGATRGFFLGGYRILRPLGEGGMGKVYLAVNHKNEERVAIKV LPPRKALEEAGSLPRFRREMELSQRCVHPNVARTLAVGNEGDVYFMVLEYIPGMSLYD MVRSDRYGPLRVTDAAKLFLRVIDGLAAAHRAGLVHRDIKPSNIMITPDGNAKILDLG LARALGEEKGITRANTVLGTLDYASPEQLSDATKADVRSDLYSLGCTIYYALSGRPPF EGGDMINKIFRQRLDDPEPLEKAARGVPAAFAAIVRKLMSKKPEERYQTCEELRADMA RWTDPDRVHAILGAEADAARSFRPPAPALAEEDLLLLSLSDESESGFASLRDLGDPEP SNAPRHKAPLPPLAAARRPLPAARPRQESVDDLRWLFHFCLVAMGLGLLAILIIAVAL RG OJF2_RS12310 MCLLAKIRSWWHRLVGQSPVDNDQDATDEFATLSSLNLLPGQLK LKVGVVSVRGNYREHNEDNFFVPGRRPVRADLSDASNDRPTMTFDVSNLFIVADGMGG QQAGEKASLMAVEQIPPAIARRLSQVDREPKAIQESIREAVAEVNSEILSSSGLVTEY SNMGTTVVLALFRHDKVYVAGIGDSRAYRLREGRLEQLTEDHNLARALLKAGTITAAE LPNHKFTNVLYLYLGSKDARGGPEEFKVLDVKPGDRFLLASDGLTSVVPDEEITRMLG TVGDPQQAAVMLKDLALHNDSHDNVTCLVIHVVAENAPAPHDAPSSVPASV OJF2_RS12315 MTETGNLELDLIAVGAHPDDVEIACGGTLARLVRKGYKVGIVDL TDGEPTPGSPGPDVRLEEARRAAEILGVATRINLNLPNRRLFDSFENRVALATVFRRH RPKVVIGFGGKTVLASPDHYQAMLITDAAVFYSRLSKWDEHFEGLTPHVISNQLSFPI ALHGLDLPEASGYIVADIGPTLEVKLEAIRVYATQFPPSKAGIFRAVETMNRYHGLTA GFEAGELFLTYRSVGIDDLMHWASPAHTRT OJF2_RS12320 MPGEPAAEATGCRPPADVRREVELYDERAEAGTWDGPRYRMTYR ILGEGPPLIWIPGIAATYRVYAMVLNRLSERFRTIQYAYPGDEPADGARLGAITHEHL ADDLFGLIDHLRLGRTFLVGLSFGSTVLLRAIAREPRRFPRSVVQGAFARRRFTAAER LALALGRRIPGPASRLPLRETVLTYNSKPDFPAVLADRWPFYLEQNGATPIRALAHRT AMVAGLDLRPIAGRVASELLLVQGREDRIVPYRYFEELKGLLPRSESVVMPTVGHIPH LTHAEVFARLIGEWLVPCNEGGQCSRDAATGPAEGPRS OJF2_RS12325 MSSLDRPRLRPLSARRFEHEGKSYALIEDPQGAFRDPVLVPLDA FVKVCRHFDGENSVDDVRAKVLEETGEALPEEVLSRLVAQLDRAMVLDGPEFAAFLGR YRRSEMRPAALAGRSYSANGPALRAQINGLYKGPGGAGPPALGVASKGERLRAVLSPH IDFGRGGPVYTWAYKELAERADADVYVILGVAHQYCRRRFALTRKDFETPLGIVRTDR EYVDRIAAVAGEDLFDDELVHRGEHSVEFQTVFLRHILSKDRDFRIVPILVGSFHDHM ERGVEPIDDPSVRRFVEALREAERASGKAVAYIGGIDLCHVGPEFGDPSPVDEGLLET IRNFDRAMLDRAADGDPEGWFRTAAEVSNRWRVCGLAATYTMLHALGPVKGTLLRYDQ ALDSRRRCCVSFASMSFHAPDPGPRPGPPSNADDGHPRD OJF2_RS12330 MSAESNPVDRQKAKTFFQYGNDAVQKSNLDYAIDMYKQACKLVP DSLPYRQSLRGAQRKKFNNDPTKVGMLAGAKNQTIRMRARSAKSKGQHLQALEVCEEA FTNNPWDVATAWEASEAAEGAKLGPLAEWYVESVLAFAKDVDFFRHAAAVFERNEHWA KAIATWERVKQLDPNDENANRKINQLSASSTIQRAGLDQSLDRRNKVEAESKEEAQAR VERLKIEQLSPEERWLKEIQDNPNQVWPYMNLAEHHRNRSQLDHAEKILAQGVKNNPR EPMILQAYADVQIARMKRAIDSWTQRVSERPDDEAARAKLEQITRLLADYEIKEHRRR LGLNPEDANLHYQLGLCLAKAGQHDEAIAEFQHARSSPALKVKALYQVGLSFEANRAL KLADRAYRDALKNLEDEDLATSNALHYRLGRVAEEMGNMEAAEEHYNEVAANDYSYLD VAQRLRNLN OJF2_RS12335 MPNSAQAAKRLRQSKKRRMHNRITKKVIKTSMKKAVTSVTEGDA AAVEVNVRAAMAKIDKAGARGVLHKNTAARRKSKLARSVAAAKAKAEAKSAPPAAQA OJF2_RS12340 MPSWTESYPRLIALLNEHYGPPPVHEGGEDRSPFEAGLAAALGV DIGASRRSPVIAALDRAGLLEATTLAAMSATEVRDAVVAIGFALTPADALQVHRFAKW FADRLPDEADARDEAAAPTADLRDALLAVKGIGQATADSILLAMGRPSYPIDRATFRI LVRHGWADGSTEYDEARAPIVASARGAPAELAELSYGLARIGRQFCGPRTPKCARCPL QCLLPDGGPLEPEG OJF2_RS12345 MPSRRPPRKPAQGQVAYTLEGPKPARMYEVILPKKLGYFGKFEE VLEDLFSEQAIREIPFVRDAIADRHRHDPAFDEDGWIKTLCQASRGYSIYEMDGRYLS STGPIDERVLVIRFIFHNPSDHTDPATDFLAVSLEVVNHLVAHRFAHELGVEEEIWFV EYHMPQLSIWRKTREEQGG OJF2_RS12350 MRSREDRSDHEPTADALRRAFAGLLSRDVPLANVGGSAIPSVEV ANVASDGSSLDLVLTFRVGERYCCSQLGCHLDLRSPEAWAKIRGRMDANGLTTVSLPT VRRVHTAVEPGALFDPGGLRSGPLSSPGQFAEDGPFFPAIGP OJF2_RS12355 MATEAQILANRRNAQLSTGPRTAQGKAAVRFNALKHGGRSRKTT MPALPGEDPRELERHVRDFVESGKPGSDAERELLERAARLSWTLERFDRAESAHLARA VRESRKAVRPREPASSARIARLGRELFAWERGDAAHADAPAVLLAGLEQSPEGRAWLL EQWAGFRNQVAGGVPFTAFDRYRFLRLLGKDPMDALTCLRANAIFRAWNALGPGAEGE AFFALARERARVGDPIFLESLAWRALGPLPPTAKAARAFLLNVIEEQSGRLRALQADD ERSIDADDCAAFDAGPQLAQHRRVGNAHGRELLRILDALRKLRKAGTAADEPSRADET GKIREIAPSMPTDGMTLPSTPQELMPASRERPRDDQSRSDEAPGTRTCGLAGMEGDRP GPSRIGGEADRATPGTGAYREATIPANPPGDRDAESRECAPSMPSPARLFPPAHPGVR TPGRGARRDDRSRWEAGSGTAAARGRRPADLLDDPSPSRDGGPIVAFSVVPAATARDR MTVPPGPGPESGRPGGEACRPRRRRDNVPGRNRRS OJF2_RS12360 MTPIESLIERYAAGSTLLAYAAGGIHDEKARERPGPGRWSCAEL VAHIVDSDLVDSDRMKRVIADEAATLLPYDENRWVAGLHSAEMPIDAGLALFAANRAW TARILRACDEAAFRRAGLHGERGRLTLAELVAANVTHLDHHLRFLYGKRANLGISVQP RYLNSTID OJF2_RS12365 MTRLFAGTPWDRPPTCDRCGQPESECRCPPVVPEPLRIPPEKQT ARIGVEKRPKGKVVTVVSGLDPAGNDLEGLTARLKTSCGTGGTLKEGQIELQGDHAKK AEGLLQSIGYKTKRR OJF2_RS12370 MTLHHAMPIMIGVLAILAIAYRYYSAFLAARVAALDDSQATPAH RFKDGQNFEPTNRWVLFGHHFAAISGAGPLIGPVLAVQFGFLPGLIWLVVGVCLAGAV QDMMVLALSTKRSGQSLASLARTEIGRPAGIAAGLAILYIIIIALAGLGIVVVKALGG EEIPMKAGTRLVYPEGAEHRSRIFAGGPRLVSIPPGTKYRFGDAPEQTMTFFEPFDLS VPMKSWLKTAPDGVGVIVPEDAKRLVPGSAWGTFTIAATIPIALFVGLYMYKIRPGKV LEASILGGVLVVGATVAGAFIKGSPLEPYLTLSRDATVFSLAGYGFVAAVLPVWLLLC PRDYISSFLKIGTIALIVLGVVAANPKLEAPPISPFFANGGGPNLDGPVFPYVFICIM CGAISGFHALVSSGTTPKMVDRESDVRMIGYGAMLMEGLVGVVALIAAAALPNAMYYD INIDLGKRPDFMIKHPDFAQFLKASERDPDGGHDAGQGAAGGAAGKAAPSELAVMEGD VRESLHGRTGGAVTLAVGMARIFEQALPGFRGLIAYWYHFAIMFEALFILTTIDAGTR IARFLVQEFLGRAYKPLGDLESLPAAMLATGLVVFGWGYFIYTGSVDTIWPMFGMANQ LLAVIALAVVTTGLVNSGRRKYAWATLLPLLFVGTTTTTAGYYEITGKFWRMVQAGDK VRGWLNIGLTAMLLICAAVILATAAVRWIKGPAAAVEGVAGPAVTKA OJF2_RS12375 METHEHGLKRTLNATNLVMLGIGAIIGAGFFVYTGTAAADHAGP AVALSFVLGGIACAFAGLCYAEMASTVPIAGSAYTYAYATMGEFVAWLIGWDLILEYM VGATGVAIGWSGYVVSLLHDLGIDIPARFASSWGQAMIEIPDAVADQLHMRHGWTALT DSVIKLLSEHNIDYAGFPSATAVINLPAMLIVALVTTLLVIGIQESAKVNNVIVIIKV LVVSLFILIGARYFSTANWGGKFIPDNTGNFGDFGWSGIFRGAGVVFFAYIGFDAVST TAQEAKNPQRDMPIGIIGSLVVCTLLYVLGALVMTGVVSYKLLSVPDPVAVAIDAMGL RWFSYFVKIGAIAGLTSVVLVMLLSQPRIFFAMAKDGLLPKAVSKVHPRFRTPWITTI ITGVIVMTAAGTIPIHVAGELTSIGTLFAFAVVSAGVLTLRIMQPEVERPFRTPLVFF TAPMGVVSSVLLMATLPGDTWIRLVVWMAIGLVIYFVYGIHHSVLGSRRPAEPLAEVG SASGLS OJF2_RS12380 MLRQLLTRAGSLAAAMACLATSACAQEGTWTPLFDGQTLGGWTK AGSEDSHWVVKDGCIVGTGKASMLYSPKTYKNFRYRAELRINDHGNSGVYFRCPAPNG SFGEGYEAQVDSTHADPIRTGSLYTFIHIFDRLVEPDAWFTYEIECITKEFRGKTIPH ITIWINGKKLYTFLDHTDAWKEGHFAFQQHDPGSRVEIRKVEVMELP OJF2_RS12385 MNEARTDPLEALAGLLRRGTPRRFDPARPVDDGLLRRVLAVASL TPSEFDLQPARFVVVREPAERRALRPIAFGNPLPGDAAAVVVVLGYLHPHRTDLDAIV AAARGEGAMTAAREAELRGRVATALGHRGEAELAARAGRAGSMAAAALLLAARAAGLA AAYVDNLDRPALRSRLGIPADHAVCGIVAIGHAAAIEPFAGRLPLAEVCFDGHFGRPW NDAASRAGVPDGSAPSAGLR OJF2_RS12390 MLRNRFSPRLVLAAVGAAATLCATPAAAPAQEPAKALRTTTPAP REGNWMKMHESFLKRARDKERIDLVFLGDSITQGWGHNDTWKRFYGPRHAANFGIGGD RTEHVLWRIEHGEFDVIRPKVVVLMIGTNNTGGESADDIAAGVTAIVKQLRRRLPEAK ILLLGVFPRSAKPTDPVRGKIEEINSKISKLDDGHSVTYLDIGKSFLEPDGTLTREVM PDLLHLSARGYRIWADAMEPTLWRLLDKPQQAKK OJF2_RS12395 MGRLAVILPAAGRSTRFGDPSQKKVYAELDGRAVWLRALDPFLT HGEVEQIIVAISPEDREMFDRRYRDKAAFLNLDVIEGGEERADTVARALGRIPPACDL VAIHDAARPCLTGELAAAVIAAGREHGAALLAIPVRDTIKRDGGDGLTTETVPRDGLY LAQTPQVFRRDWLEAAYARRDVGGPAATDDAQLVEAIGHRCVLVPGSAYNIKITAQED LKLAAALLEIQSGRDRGRDPSPHPFEDEPPAWAELPKIDPNRLFGP OJF2_RS12400 MEESKSASAAECLGPQCAAMVLDWLRARAGEAAGAGAGAVAVAG PRSEARQGLLWRSAPYSEDSPRIASTAELAGAIEHLQYAVFRRFENTLTRAERIRLDN SIENALFKVDRYLARADEAEVAANAPEVATLRAAIREGREKAERMRTQARRAELKLAQ LTTLSPETFEEFVAELFESLGYEVEQTGGSGDEGADLRLRRKDMVAIVQCKYHKRGVV GSPELQKFLGTVHHTRSHKGFFVTTSSFSLAAERFVAEHPIELIDGPRLVELVQHAMG PGARREPLPAWF OJF2_RS12405 MSSRIPKPALHGLELPPEFEDLTGVVRNDLKVLVSILADRATER LLLSRRQSQQLRRSLWNSLTDTLNREMAPLTADRR OJF2_RS12410 MARVLLGVTGSVAAILTPALDAALRRAGPHAGGNSVRVLATEPS LYFFDAAGLDPGEGPDEAPGRLYRDRDEWPGVRYRRDDPVLHIELRKWADVLVVAPLD ANTLGKFALGLSDNLLTCVFRAWDFSRPVILAPAMNTMMWRSPVTARHLGQILLDRAG LAALPDGWSLDSAPEHFARLAPRIILIPPRSKRLACGDVGVGAMAEVRDIAEAVFSWS QDAPRPEREEEAGAMLF OJF2_RS12415 MQFESLKIFCDVVRWASFSRGAEESGISQSSASQAVHQLEVRLG IKLIDRSKRPLVLTTAGKVYYEGCKELVGRYLELENRVKSALGGEKVVGTVGVASIYS VGMHHMSEYVKGFEERFPEASVRLEYLHPTRVIERVIDGGADLGLISYPRKWPELTVI PWREEAMMLAVHPSHRFAARSRVDVRELDGEAFVAFDAELSIRRAIDRTLRHHDVSVE VVHEFDNIENLKRAVEIPAGISILPEPSLAREVRAGTLVAVPISGQDAKYRLVRPLAI VHRRNETLDVTAARFLELLAGKAPGGPGPEPAGRAKRVTAATA OJF2_RS12420 MGTGLPSPQGLYDPSREHDGCGVGFIVDLKGRKSHALVRDGLTA LVNLDHRGACGCENNTGDGAGVLIQIPHEFLAERCRRLGIALGEPGSYGIGALFASPD PKQQAYGKKLFERIVAEEGQTLLGWRPVKTNNEPLGASARSVEPKVLHAVVGRSASMK DDDAFERKLFVIRKRFESAIEDSGLDDRKYFYFSSLSCRTIVYKGMLTPGQVRIYYED DLADPLLKSAICMFHSRFSTNTFPSWELAHPYRMISHNGEINTLRGNINWMRAREALF ASGLYEPGDIEKIRPIVREGLSDTACLDNAVELLVRSGYSLPHAMMMLIPEAWDHHES MSQVKKDFYQYHSCLMEPWDGPASVGFTDGRNIGAVLDRNGLRPSRYVVTKDGRVIMA SEVGAIEVAPSNVLKKGRLEPGKMFLVDLEEGRIVGDEELKEQIASAKPYGQWLREHM VSLADLPPAPEVPGPDPKTLLQRQQAFGYTQEDLKYILGPMGSSGEEAIGSMGNDAPL AVLSDRAQPLFNYFKQLFAQVTNPPLDAIREELVTSVFTGAGGEGNLLEPKPESCRQI ALDMPVVDNDEMARLKQLEGWRGFTSVTLPMLFVAADGAAGMERALDDLFEKASRAID AGASLIILSDRGVSAEMAAIPSLLACSGLHHHLVRRGQRSRAGLLIECGDVREVHHVA LLLGYGAGTINPYVAFETLDAMIREGLMKGVDREEAIYRYRKAMKKGVVKVMSKMGIS TIQSYRGAQIFEAIGLNEEFVARYFDKTASRVGGVGLEEIARETLEHHRRAYGLRDAG PSLLDDGGQYQWRRDGEYHLFNPETIFRLQHATQAGRYDIFKKYTSSVDGQNERLCTL RGLFEFRRGSRKPIPIDEVEPVESIVRRFATGAMSYGSISAEAHETLAIAMNRLGGRS NTGEGGEDPERFRPLPNGDSKRSAIKQVASGRFGVTSEYLVNSDELQIKMAQGAKPGE GGQLPGHKVWPWIAKVRHSTPGVGLISPPPHHDIYSIEDLAQLIFDLKNSNPRARISV KLVAEVGVGTVAAGVAKAHSDVVLISGHDGGTGASPLTSLKHAGVPWELGLAETQQTL VLNKLRDRIVVQADGQMKTGRDVVIAALLGAEEYGFATAPLVVMGCVMMRVCHLDTCP VGIATQNPKLREKFRGQAEHVVNFFRFVAEEVREHMAALGFRTMDEMIGRSDLLDMRK AIDHYKARGLDFSKIFYRPEMGPDVAVRKVREQEHGLDSTMDVQTLVPDCAPAIDRGE PVTLDLKIRNVNRTVGTILGSVVTRKYGSAGLPEDTIQLRFKGSAGQSFGAFVPRGVT MTIEGDSNDYVGKGLSGGKIVVAPPRDAPFVPEENVIIGNVALYGATGGQAFFRGLAG ERFCVRNSGAQTVVEGTGDHCCEYMTGGVVVIIGRTGRNFAAGMSGGMAFVLDEAGDF PRRCNRDMVDLEPLAEPEDIELVRDLLIQHAGYTGSAVAARLLKGWDEAVGKFVKVMP RDYRRALEERKKAEMEAVEVSDQVEVTRG OJF2_RS12425 MGKPTGFMEYPRELPTRRPVDARLHDYLEVYEPFPEDKLRAQGA RCMDCGIPFCHQGCPLGNLIPDWNDLVYKDHWRAAIDRLHATNNFPEFTGRLCPAPCE ASCVLGINNDPVAIKQVELAIIEHAWEQGWVAPEPPKVKTGKSVAVVGSGPAGLAAAQ QLARAGHSVTVFERADRIGGLLRYGIPDFKMEKRFLDRRLRQMELEGVTFRPGVDVGA DVTAGQILAEFDAVCLCGGATQPRDLPIEGRNLDGILFAMDFLTAQNRRVAGLGDGDG RHEHVHTAEGKHVIIIGGGDTGADCLGTSHRHRCKSVHQFEIVPRPPESRTPANPWPQ WSNVFRVSSAHEEGGERVYSINTRRFVGEGGRVKALEAIEVEMKLEDGRPRFVEIPGT EKVYPADLVLLAMGFTGPERNALLEQFGVELDPMGNVKADATKRTSVPKVFTAGDMTR GQSLIVWAIAEGRHAAHSIDEFLMGSTLLPAPLDFGNHARPFS OJF2_RS12430 MAIDPEPPSGPPEASSIRPAAAPATAASPRLVLFVALIAGLAAW LAGESPPVIRPPEAVKTDVMGFSVVSQTAESARAADRMNAVRYFGLSAAIAGLAMAWV GSILGRGSLLTKAMVVLFGAIACGSLAGLSMPLFEYGHAMGLSEMVDSMLVHLLLWLP VGGLVGLTLGLSGGRPREIPARMFAGMVGAGLGVVLYEMIGGIALPTGGAGLPLPREA GARLAALLLVLPSAAFLAARATSDASASSGEQRSGG OJF2_RS12435 MESKMHTAPSGRHGRARPLRSAGVNATGLSRGLRDRGRIIVVGA SVVACVAVLSAAARTWRADPLGRARSLVQDGRFAEADRELAGVPPTSSPGDRLLRAQV GAAVGRLDEAIAELGHIPDSDALGALARLTEGQLQARLGRVRLAELAFRRTLELLPNC LQAHRELVYIYSVQQRIPEADSHLASLAALGSLDLKHLLHWGMLHHAIWLAENDLPSL RHFVEADPEDLRSRCALVRALIKVNRLDEAAMQAARLGEEEAETFAIKAEVADARGDL EELERLLADGPEKHPVLARLRGSRALARRDLRAAIRHFSIARDLRPDDRASLFGLGSA LQLSGRGGEAEPLLGVARRMDRLFALFSRAEACHGEVPADLERALGIATSELGRIEEG RAWLRRAITQDPTDGEAQLALSALPPGGPMPRPARD OJF2_RS12440 MRPSQFAVAACLCLGLVIFPGCSDGENKPVNNQGSQATPEMEKM RDDMMKNMQAQMKGKFPGNAKKK OJF2_RS12445 MRSRRAFTLIELLVVIAIIAVLIALLLPAVQSAREAARRAQCIN NLKQIGLSLHNYHDQNNSLPPLIQNGGVWLWDPAHQDPWPLNWSASTLPQLEAMPMYN AINFNWNTVGSPPNQTVLNARINSLLCPSDAVSQPSQGASMRSYHACFGGPAAIGGWN GAITPLTQDSAGLNGLDASQANSNLGAHGFASITDGLSNTAMVSEKLIGSGPASPVAR NSADVKRGYGWKVSVADPLDQGINGGTMALAFAQACQAVPGSQQSFGGLNPANGIYWL GGTPSSCLMWASYNHITPPNSVVCIASNDPNSGAWGNVFDSMPATSNHPGGVNVAFAD GSVKFVKDTIALQTWWALASRAGGEIVSADAY OJF2_RS12450 MIGVAPSTHAQVGPTYADLFRDPPVTIQLSRADLANLGRLVVLE AISMFNHARLEFANSPAGPQLLAEIEGVWRAADDFTNSIAYGPDRASGVESGRLTYPA MEEAFARLRARMDDLPGGGSSRTRLDFLRMNRIVAVIPPLLAAEPPPQAAAVLAATDR ARVDETARILAAQVLSLKDAIEGQAAVPARLRRDVDRLKELADGVARLAVPGVEDAMI LAALRPLNAVASTVGTELTRSTPGALVGDRWGRARRTIDSLAARFQLPREITLTRPRE DASLDGALVPLDDALRRLDRWIEVGAGDSPGSAGPVREVPVAPARLLRSRVLLLRQDV IAGRPAPQIGRDLDDVESARAPLRPDAVNRALRPEAVALDESLGRAARIVGESLRRNA GD OJF2_RS12455 MSNLLLQLLASGRRPAVVAHRGDSCHAPENTLDAARLAYSAGAD AWELDVQLTRDGVPVVVHDEFLGRTTDIATRFAGDPRGAGGFRLSDFDLAEVLTLDGG SWFVRPAGGRRSAVDFGTLGRIPPERRALFESGGVRIPTLAEALGLTVELDWLVNVEI KSFPESPPGLLEAVVRTIRETGSGRRVLVSSFDHRDVARLGELVGEDEPPARGILTET PLFRPAEYTGRLVGAQTYHASSGSLGSGSIAYRRSPSTSSLRGDDIRALREAGIPVLV YTVNDSRPGGLGEHLAGLGVDALITDDPAAMAGRMAEIGRRGLH OJF2_RS12460 MKRAVTPFVLLVGLAILGLVPNLFGGEPLPAGDALNPGDTAWML TASGLVLLMTPGLSFFYGGMVGFKNVVSTMLQSVIALGVISLLWVLVGFSLAFGPDVG GYGLIGNPLTHFMLNGVGDGTVTMGVGDGAIKFTVPFLIFCMFQLKFAIITPALITGS FAERVRFSAYLLFMVLFSLVIYCPLAHWTWHPQGIFFKWGVKDFAGGTVVHMSAGFAA LAGAILLGRRKSHLANEAHEAHNVPYVLLGTGMLWFGWFGFNAGSALGANGSAALAFA TTNTASACAMLAWMFFDWAKGRKPSAMGACIGAVVGLVAITPAAGFVTVPQSIVIGLV ASVISNLACHYKSKSTLDDTLDVFPCHGLGGMVGMVATGIFAKDVGLWYGQTTTFMYH MLALVVVAVFAFVGSVILYKLTDVIIPLRVTDEQEHEGLDLSQHGETALAVGSFLNGT SNGHSPKADSIPVEALA OJF2_RS12465 MKRPLSRRLVLGLAMFGWFGLVTLWNGAPAAKGQAPDAPAATTP APAAPAAPDVTKPAASPDSTGNYTGAGTTGALTLADGKSSLDTVTRDVAMLKINGNML WALIAGFLVMFMQAGFALVETGLCRAKNVAHTMAMNIAIYGIGMLGYFICGFALQMGG FGPIGVMNGPDILHNMVKFELFGKPFEVLGYSGFFLAGDANDMSVLTLFLFQMVFMDT TATIPTGALAERWKFSAFVAYGFVLSMLIYPVYGCWVWGGGWLADLGVNFGLGNGHID FAGSSVVHMTGGVTALAGAIILGPRIGKFNKDGSANAIPGHNIAMVVLGTLILAFGWF GFNGGSTLALADGRIASVCVCTMLAGSAGFMTAQTYMWMVFGKPDPTMACNGLLAGLV AITAPCAFVNPITAVIIGGIAGVLVIWSVLFVERVLKLDDPVGAISVHGVCGAFGCLM IGLFADGKYGAGWNGVADKAPLGLFYGGGVGQLTAQAIGVAANVLWVFPVAYISFFVI EKTIGNRVPAKDEIEGLDIPEMGVLGYVNEDPIIVKNAGAEHISTHGPGVPSSLGKAE TKAPVHHA OJF2_RS12470 MKLIIAIIQPDKLESIQKALTDVEVFRLTVIDAQGFGRQLGYTE IYRGHEYRVNLLKKIQIEIAVNEHFVQPTIDAIIAGGRTEPDGKIGDGKIFVLPLEEC IRIRTGERGSDAI OJF2_RS12475 MRRARSPFPAGWLLSILLAVLATWPQPARGQSEAAGLTKEAAVA EEARGGGGVGPAADAGAHDDPIAPVLLGVIVIILAARLGGHVFEVLKQPPVLGELVVG VVLGNLSLLGYHGLDFLKVVEGAGPATIGVDDHLRIAGISIDHLARIGIILLLFQVGL EANLADFRRVGLSAFRVAVLGVVAPMVLGFGVGAVLLPDRGWPVHLFLGAALSATSVG ITARVLRDLGRATSPESQIVLGAAVIDDVLGLLVLSVVQGIVFSMGSASGGVREGFGL MSLVLIVAKACGFLVGALVLGQFVSRTVFKAASYLQGSGMLTVTALAICFFFSWIASR MGLAPIVGAFAAGLILEKVQYRELAERHERKELEELIRPLADLLVPIFFVMMGLQVDL RSLSDPSVLGLAAVLFVAAVVGKQVCAYGALEGGLDRLSIGVGMIPRGEVGLIFAAIG RQLQLNGKSVVDEGTYSALVLVVMATTLVTPPLLKFTLQRFAARSGAVPASG OJF2_RS12480 MDRAVRTVQAPAAESAPQPPVIEAQPSAPATQPPASTDAAVNAE PGTPGSNTTDYSLPAAERAFGGGEPTTSAAEGEEAKAEEEKKDTRGLLMKFLDAPQDS DWKVYGWIQNSYTGNTNGFGNGFNFGVNPNFKANSWMGNQYYLILEKPLKQEDNVNWG FRIDNLFGNDWQFNYMQGLFNGAFRPGQFSGYDMAQLYGEVHLPILTKGGLDIKGGRW YTIAGYEVVPAVGRPLLSVPYMFNYGQPFTHVGMLSTLHLTEKINLYNGTINGWDRWI NDRYIWGYIGGFSWTSKDDKTSMAFTCVWGPNQYPSFLPANQQIYPTGYVNIPSVAGL NNPGYKRNDRTLFTTVLTHKWNDKLTQVLETDQGWERDVPGLGSPIVNGVVQNAKAKQ ETWYSFGNWFLYSFSDKFMGVWRSEVFWDTNGARTGLLVGDTYYEQTLGCQIKPHDWL WIRPEARYDWSQFHPSYSNNTRFSQLTLAVDAIFIF OJF2_RS12485 MEHARARQAAIESVTNWPATGRRAPKTTTTIRQLFGSNVFSDDQ MRARLPENVYKAIRETLRKGVALDASVADVVAAAMKDWAIERGATHYTHWFQPMTGLT AEKHDSFLTPTEGGGAIAEFGGKELIRGEPDASSFPSGGIRATFEARGYTAWDPTSPA FILENPNGTTLCIPTAFCSWTGEALDKKTPLLRSVEVLSKQALRILRLFGSHASTVFT TAGPEQEYFLIDKNFYYNRPDLMNAGRTLFGAKPPKGQEMEDHYFGAIPERVLACMLE TETELYKLGVPVKTRHNEVSPAQYEIAPIFENANVATDHNMLVMETMKRVADRYGLQL LLHEKPFAGVNGSGKHLNWSMSDDLGNNLLKPGDTPHQNAQFLIFLVAVIKAVHKHAD LLRVAVAHAGNDHRLGANEAPPAIISIFLGDMLQDIVDQIEAGGAKSAKAGGEMKIGV TVLPTLPRDAGDRNRTSPFAFTGNKFEFRACGSAQSIAGPIVVLNTIVAEALDEFATT LEKAVAEKKDLNAEIQKLLQAAIKDSKAVLFNGDNYSEAWHQEAEKRGLPNRKSTIDS LPDLVSPKSIGLFTKYGVLSERELHSRYEIFLEGYHKTINIETQLTLQIAQRQILPAA LRYQAEVAGSIANLKNSGITVPKGQSAHLSELVAGIDELQSAVDKLSDAIEETSAGGS LEHAKHSRDVVIPAMNAVRAAGDKLETIVADDLWPLPTYQEMLFIK OJF2_RS40560 MSFEHLPERQARLAQDLYEELRAASDADIRAMAELLATKPDDEL FGEAEFQLRDMVHRVGAKALQAAAMQRKKGGM OJF2_RS12495 MKTRDGILGLAVALALGGCAGDEAADKNPPAASPVAPAKPVDSK PAAKPDVTPAKPADEKKPADAKPASAPEATPSKPAGDAGKGDLPPLEPPKSSAADSAH PALSDKEIANIKLLPEADQAAALKQAVCPVSDENLGSMDKPVKLTLEGRTVFLCCDGC EKKAKKDPAAIFAKLDQKK OJF2_RS12500 MAIGSVVIRLSDLVDAQEAVCYAALVKKHKGMTARNQPFIRCMF RDRRVQYESMLWHDHRFFEAAAGWTEGQAYRLEVRGKHDLRYGMQIEILGIRPATDDD EADGYDFFDLVESSRYEPKTLMQAIRNRIDRFITQPHLRELVLRILDEHEKLFTKIQA AQAMHHSYTAGLLEHVWSMSRVAESLIQHYSSYYSDLNPPLDKGVVMAAVILHDIGKL RELQYNPVEARYTKEGRLIGHILIGRDMVRDVARTIDGFPEETLLLLEHAILAHHGRH EYGAPVLPQTAEALLVNFIDELDAKMNIVARQRMQSNTLDEFTDKVYGLDNRRIYKGI PDEPGSVDAGPPPA OJF2_RS12505 MATTPGGNRATLDTLGAMEHPEPSRAFGAREWDAIIIGAGHNGL TCAAYLARSGQQVLVLESRKRVGGACTIDEPWPGYRVSPCAYLLGLLHPLVIDELRMA DYGFSWTPAEAGLFVPFEDGSSIQLWDDEDRCEAEIRRFSPADLDGWRDFSAAKARLR DALRPPGARDLWIGPAPSQEDLEERIGGDHEARHILFEWSMVECVENYFQDERLQMAY LGQGVIGTNASPHDRGTASIHFHHQSGRLGGMPGMWGYVKGGMGMVSFLLCDIARDLG VTVATGVPVARIVPGEGVELAGGGRVRARHVISNADPRATLRMLGGDADPAWKGRVEA VPQTGCTVKLNVALSRLPSFKSRPGASMPHHAGQINTPLTKQEWAEHFRTARSGELPR RLWTELYFQTVHDPSVAPAGVHTMSVFGQYVPHTFSGGDWDSRRDEVRDVALGSIARF CEGFPESVIQAQVLGPPDIEEAVGLTGGQIFQGECLPPYMWDRRLSPRTPMPGVFLCG ACTHPGGSVIGVNGRNAAMEVLGRNDGAGR OJF2_RS12510 MCPSFLGILVASALTQAPATGSYLCQPSYSRDWETRGPLEWYSP QPGDIFLATDQRLWFRWGHLIAGANGFHHSGLVFRRADGRLGLIEAGPFNKTNVEVMD PYQHMMNHVQAGDRVWIRRRKVPLTPEQSARLTDFATAQEGKPFALARWFGQLTPLRT RGPIKTWFVGEPIGDRPRWFCSELVTECCAYAGIMDRKTARPSATYPADFFYPTSFNL YLNKHLRLEDQGWAPPSRWLPAIPEGVELRRPAPSPQK OJF2_RS12515 MRIRALDLDGSLVAQRRLLGDRPDLHRFPAREWGPRIRLACTPR EYGRFAAWLERAVGGEPAAITLYGSGDFHHVTLALLRRIDGPFNLLILDKHPDWMRGI PFLHCGTWVRHALRLPNLRRVFHCGGELDFDNAYRVLAPWADIEARRIQVLPARRRFF GARWGRIDHGPLLDRGELDLDDLGRRLEAWRDVLARHALYVSIDKDVLRAEDAAVNWD SGFLRLDQATAVLRAFLDAAGGRLAGADLLGDWSPIALGTRLNRLCHRLDHPSPDHDP DEAARKNEAANRAFLDVLDEGIAAAPDPA OJF2_RS12520 MGKRSDAEAITSPSHILIIVLARPIRACPGRVGTCENVFMGRNS ESGGTITDLAGEARPAAGRRGGRPGTGIAGLAFRNLLSRPSRTLLAMVGLTVPIVGAI GMLGLSSGLRGLIDDTLGQVQGIIVLRQNAPVDLFSELPAAMGPAIAAVPGVRAVAPQ VWKLAPPIEGRRTAPRVRGGKAEKAWKNPLMDLLKAVVIEGQDIPAHGRLRHEVYRDH LLHGDAGGRFLGEQDRGKSNVVISATIAREYPGPDGRPRAVGEALRIGGEPFLIIGVY DTGSLILDGTIIMDIAVARRLSNTSAETVSCFLVEPAVPAAMPEVARSIRRAIRGVDA RTMADFRIGIDRLLGKLDRLLLILVGLALAVGSAGVLNTMLMSTTERLSEFGILRTNG WTRGNVLALVLGESLGLGLASGILGCVLAAGALAVARPSVEGSLRVETSAAQLASCLA LSVILAGCGGAYPAWHASRLAPMETIRIGSR OJF2_RS12525 MVRYLITWSLHNRMVVLLAVAALVALGLYSASNLDVEAYPDPTP PLVEVITQNPGASPEEMERLVGIPIETALNGMHGLKYMRSTSLAGLNDIKCVFEYGVD YWQARQEVINRLGFTSGLPQGVTPGLSPWSPTGEIVRYVLEGPAYNLNQLKAVQDWVL TRQLKQVPGVIDVTGFGGTVKEYQVLLDWRLMKQFGVSLSQVSQAIQGANANVGGNVL TIGSQAHNVRAIGLIGEGQDTLDPSHAPRALVLEAKKLEDINRVLIATQPDGTPIFVR NIASVVVGHRPRLGMVGRATPAAGDESGSDEDDVVEGIVLMRKYEKSRPTAEEVLRKL AQIDEEKLLPDGMKVRLFNNRTDLIHVTTHNVLHNLLFGMGLVIGVLFVFLGHLTSAA LVAMMIPLALLFSVSLLYFTGESANLLSLGAVDFGIIVDSSVIIVENIYRHIATEGAD RSRPFLDRIADASHEIERALFFSTTIIICAFIPLFSMTGPEGALFGPMARTYAFAICG ALLLAVTLAPVLCSFFFHDGMREKDTIVDRMMKQRYLRNLDRVLRHRAVTIAVMIGLI AWTASLLPRLGGEFMPQLEEGNLWIRAVMPRTTSLEQAARIAPRLRKIIAAVPEVRGV MSQIGRPDDGTDVTGFFNIEFNVPLRPMEEWRKDGWGRPIGREQIQDELARQFEAFPG LNFNFSQLIRDNVEEALSGVKGANSVKLFGSDLETLEDVGKKVLEVLRTVPGVENAGL FHIVGQPNLEIKIDREACARYGVNIADVETAVEVAIGGKAFSQMVEGEKLFDIVLRLP LEMRDDPEVISRLPVTVPGTSPDLPPVRIPLSQLARITPHRPGASYIYRENNRRYIPI KFSVRGRDLASTILDARRRVEDPVTGVKKPQGYKIDWSGEFAQMERANARLMVIVPIS VGLIMILLYGAFGNIKDALLVMVNVIQAGMGSVWALWITGTPFSISAAVGFVSIFGVA VQDGVLLISYFNQMRAAGRGVREAVLRGAELRVRPVVMTSLTAAVGLFPAAIATSIGS QAQKPLAIVVVGGMLVTLFLTRYLMPVLYSFFPAPRGEGQQGHLVEGSHYADEFLSTP SPLDGIG OJF2_RS12530 MRTVITGGAGFVGSHLCDRFLAEGHEVLCVDNLLTGSRRNFEHL SGNPRFRFVEHNISDPVEVDGPVDAVLHFASPASPADYLAHPIPTLKVGSLGTHNALG LAKAKGARFLLASTSEVYGDPDVHPQREDYWGHVNPIGPRGCYDEAKRFAEAITMAYH RYHGVQTRIVRIFNTYGPRMRLNDGRVLPNFMSQALKGEPITIYGKGEQTRSFCYVSD LVDGIYRLLQSDHPLPVNIGNPSEITVAQLAQEIIDLVEGTASRVVYVDLPEDDPKRR KPDITKAQTLLGWNPTVERADGLRRTLEYFRTVL OJF2_RS12535 METGSPRPTAGGHAERRDAEDARPGGEPGCPGRDAGGDGRGPGG EPRGRRILFLDDDPERTAAFRLRNPTAALVETAEDCIARLAEPWDEVHLDHDLAGEVY VDSCRADCGMEVVRTIIAKPAAPFRDTLFIVHTHNVRAAGLMLRALREHGLSCVYQPF GMDLEEWLSDLKVAEDGQPGKPDSWRARLAGALGRLARRAREVSSRARGGGDREA OJF2_RS12540 MARCDEGYRCEVCGRDVESIRESDLYLRYVLGEVEPESLHRLPE RHILCNPVLAQFIAAPGFPAVSVDGPFARDELDPEFVAREEARVTAGYLRLDEVVRLG LPIVEYPLAGPAGREAAECSPGPAGR OJF2_RS12545 MSATKRSLGLHGASGRMGIRLVQLISQDPEASLAFAADRPGHAQ LGEDAGSLAGLPPVGVRLGTLADEPPRLDAMIDFSQPAASLAAAEFCAGRGLPLVVGT TGFEPDQRARLEGFGARIPILISPNMSRAVNLLMKLVEEAARALGPDVDIEIVERHHR HKKDSPSGTALRLAEFAARGAGISRLVHGREGLVGERPRDEIGIHAVRAGDAPGDHTV IFGLMGESLELSHRALNRDGFVRGAIDAAKFLAGKPARVYTMAEVLA OJF2_RS12550 MTGATIVEAAAGAAKRNAAYQQCIHPGCAATFNVEEVHFSCPRC ANLLDVVYEWDRLPVPGRLADFQRKWADRLNPLNFSGVWRFRELLPFAPEPMVVTIGE GQTLLRRSDKVGRYVGMDEGGLLLQYEGMNPSGSFKDNGMTAAFTHARMVGARRVACA STGNTSAALAVYCSATDHGFEAIIFIGSGRVAYGKLAQALDHGALTLQIAGDFDDAMA RVQQVARELGIYLMNSVNPFRLEGQKAIMYRVLEAMGWEVPDWIVVPGGNLGNSSAFG KAFIELKHLGLIDRVPRLAVINAAGARTLEELHARQNVAWMSGLPDMGRVGAYYERLD RERIRASTIATAIEINRPVNLKKCLRALDFCDGVVRQVSDQEIMDAKAQVGAGGLGCE PASAASVAGARRLREDGIIAPSDRVVCILTGHQLKDPTATVAYHGTDPEAFEKVLGSR GVRRAGFANRPVAVGNDLDEILAAIARHSGPASGAGAGPADLA OJF2_RS12555 MPPTTTRSSRSSKAAAAASRSLAEIRRVAELLKQVSDPTRLQVL MLLSEKERNVSELCADLGTQSQPAVSHHLALLRHGRLIEPRRSGKHNFYALTEAGREL AQVVDTVVG OJF2_RS12560 MTQPSPTSPAAPAPAPLSLGVCSWSLQVSSIPELKDLLSVLGVN LVQIACGDPHHATWVEGDALPEAVRASGIAMSAAMLGFPGEDYTTPQSIKETGGFGKP SWRAERLERLGWALDRTRAMGLADLTLHAGFLPSPDDPGRSAFLDTLARAGDLAASKG VTLAFETGQETADLLRRTLDELASPNIKVNFDPANMLLYDMGDPIRAVEVLGPDIRSV HVKDARRPKAAGVWGEEVPLGEGEVNIPEFVKALKRVGYRGPLVVEREVGDQAGRVRD VAAGLAFLRECLDS OJF2_RS12565 MDLRGQTQIGIAPVTCDDFPAMPAAAEPLMQYLIVVRGGIPGTM YRLAKGCSTLGRALENTCQLSEGTISRRHASIAIDGQGAAWITDLGSSNGTFVEGRRL TPHAPLRVRDGNRIQVGSTTLLKFVTLDSCEEGFQREMYERAVRDQLTGLYNRGYFLN QVGALADRNAPSGLGLAVLLADIDRFKLINDTHGHDAGDRVLRKVAAILRDSTRSEDL VARYGGEEFVLALPIGSAAHALERAERIRASLAASPLAIDGGAIPVTVSLGLAFRPAG AVVELVELIKAADRALYEAKRTGRNRVVCAKASPSRPAAKTESVDGFFPVLAG OJF2_RS12570 MSWSLKIGRVAGIPIFVHWTFLILLGWIMLGQWGQSRDATVALA GGLFIITLFACVVLHELGHALMAKRFGVETSAITLLPIGGVASLQRIPEHPVQELLIA AAGPMVNVVIAAVLYLALGVRFPGTVDDAVHLEQGDFWARILKVNVFLVGFNLIPAFP MDGGRMLRALLAMRLPYARATRLAASIGQALAIGFAFLGYSSNPMLMLIALFVWIGAE AEARQVEDRTALRGLRVRDAMLTEFHALKPTDTLGHAADLLLAGTQHDFPVSSPGETR FRSILTRADLMAGLAASGREGTVAGHARTELPAVEVASDLAEAVASLQEGQLSCLQVT DRDRTVGLLSLENVGECLLVRSALRGSDSAPPGPGRRLIAAEA OJF2_RS12575 MTRGELLSRVAETDSTFNRRGPDWVGKCLICNGPLAFDARTGEG ATLEHIRARGRGGGDNLANLAVVHGSCNWEKGRRWDPKRRRSRADYDALVARLLEKRM ARWRDAPPPPSRADRP OJF2_RS12580 MRRVPARGGFTLIELLVVIAIIAVLIALLLPAVQSAREAARRAQ CVNNLKQLGLALANYEGANSCYPYGMARENTGPRSFSPNGYYVGSSLFVRLLPQLEQQ VLANAYNTSLTNWVAENSTVGGTGLAALWCPSDGDIAGLKVSYPGWGWDGSTQVLTYT SYAGCMGNFCKVPVNVSSAGQHMAVLNQADGLFAYLGWPSISPPVSPNPIAPASPGSI RPATVASVTDGLSNTMAFGEKAHGKFNRAPDVNYSIDYVYNGAWVSGNFGDTLFTTLF PMNPFSRAGRDPNPNGDFFYSYDNQESNASIAASSFHPGGCNFAFADGSVRFLKDSIG SWPFDPATGKPTNVGYDSSTCLFSVQPVPGVYQCLSTRSRGEIVSADQY OJF2_RS12585 MRRGTRMERVTRKARAGPAVAVALAGLALHGCGGPGPTQGELSE EARAAVMKKRVDVQDRAASKAGRRGSPGASKGASH OJF2_RS12590 MRKGARLGLLGGLVIMILGSAGYSDEGMWVFNNLPLETLKARYD FVPPPGWADHLRSSAVRFNSGGSGSFVSADGLIMTNHHVGADTLAKLSTKDKDYYRDG FFAKTYEEEAKAPDLELNVLVGIEDVTARVNAAIEPGHDDAAAEKARRKAMAEIEKES TDKTGLRSDVVTLYQGGQYHLYTFKKYTDVRLVFAPEFASAFFGGDPDNFEYPRYDLD VCFFRAYEDGKPARPAHYLKWSKDGAKDGELVFVAGHPGRTDRLNTHASLAYMKDQAM PVLLDYLHTKEAFLLEYGKKGAEAFRQSKEDLFSIQNSRKARVGGLGGLRDESFMARK AQAENELRSRIAADPEKKALYEKAWDRIAQAQATAATLLKPYSFLERGFAFDSELFRI ARILVRLADEKAKPNSDRLKEYRESGMASLELRLFSDAPIYPEFEEAKLAQSLAYWKK VMPDHPLVEQVLRGRTPEAAAHDFVSGSKLAHVPFRKKLAAEGKAGIAASDDPMIKLA LAVDADARAVRKEREDKVDGVEATNYALIARALFEDKGDKVYPDATFTLRLAFGTVKG YEADGKTVPPFTTMAGAFQHAEAHGNKDPYELPASWHKAKDSGALKLETPLNFVSTAD IIGGNSGSPVVNRDNEVVGLIFDGNIQSLVLDFGYDDKVARAVSVDSRGILEALRSIY KTDRLVKELAGE OJF2_RS12600 MNFADQLVEAVRRKRNPVVVGIDPRPEELPGGFLDRFPGDRAGV AAALKAFGCDVLDVVAPLVPAVKFQSAFYEAYGPEGLAALHATVEHAKSRGAIVLFDG KRNDIGSTAEAYARAYLGKVPIGGAFEPPWHADAITVNPYLGTDGITPFVRVAAREQK GVFVLVRTSNASAREFQDLVADGKPLYRHVAEKLLTWGAGHAGESGYNLVGAVVGATY PQELAELREAMPGILFLVPGYGVQGGTAKDIAPAFDEHGLGAIVNNSRGITFAYERPH LAAQFPGNWQGAVEQAVRDMIQDLAANTSAGRLRD OJF2_RS12605 MPRRLSWPALVLCAALTFVPAGPAWACPNCKEAISAQPEEVARM ASGYNWSILLMLGMPLCLICTGAFLVRRAVVNGTMPEF OJF2_RS12610 MESRLLLATFVVQRVADDLDAGSLRWAILQADAGGGGDTIRFDL PGDGVQRISPTSPLPSITRSVTIDGTTQPGYAGQPLIRIDGSAPGAQGDGLVLEAGGS IIRGLAIGGFSGAGIRVQRSDGNVIQGNYLGTDETGQSARPNRVGILLEDASANTIGG TAARDGNLISGNLGNGVTIAVVASTSSANVVQGNLVGTAAGGLGALGNGGSGIVLWGA AGNSVGGLVSSARNVVSGNLQDGIGLDSGAASNQLLGNFIGTTADGRAALGNRKDGIR LNGAGGNQIGGIEPGVGNVIGGNLGSGVETFGALGGNRIFGNAIGTDSSGLVPLGNGV NGVTLGSEGNSVGGSTAGSGNIIAFNGTGAVGAGVQLVGLVAGNAILFNSIHDNAGLG INLGNGPTPNHSPGMIMGPNDFQNYPVLSAAATDGATLSAAGTLDAARSSSYTIQLFG SPTPDPSGFGEGAVFLGSKSVTTDSMGRATFNFGLPSTIQPGMVLSATATDSGGNTSE FSPDLVIRAMADLSVSVAANPSPAYPGAALTYTITISNKSQATAHNVVLTNSLPLGLA GLSVTSATGVSTALAGRVLTATMAQLPGGASTTITVSATVAAAPGTSLVDTATVTLAE PDPDPADNAATATVPVLAVADVSLSGSPLPGGVRLGSTFPVTFVASNAGTTPATGVVL AVPIGPGFAFVSGGSTPGTASFAGGVVSVDLGTLDAGSSATIQILLRAVAVGESDIQG TLTTDDIDPTPDDHAASVPVDVVPSSWLNLGLSMPSGTSHQGDLFTYSVSVTNIGPSD ATGVTLALPLAPGLKPISATSTLGAAPVLEDDGSYRAAIGMIPYQGTVTITIQLRLDL PVDSVPANYTLSAAVAADDFDPGTGAGTISAPLVVEPADDLSVGLALASPAARVGDPI TLAGTVTNAGPSDATDARLRIPLPPNVSFVRADAGGRRFDYDGASGVLDLSLGTMSPG ESVTFSVTLLAQSPGPATWTASVSGTPYDLQTANNAAAASVDIQDAPGTLCLASTSLT VPETAGYAVFPVVRTLGTLEGASIHYWTGGGNATPGVDYTPISGILTFAAGQTVANVV VPVLANPHDRTDEYVTLTFDSPGVGVAWDGPTTATLHIVDTDPDNTPPAVADVRWYGP ANAIDRITVRFSEPLVAAMADNPGAYMLADLGTSGRPSPGDATLVGLAMPTYDPSTST VTLVPAQPLAAGHFYRILVAGTGGAAITDLAGNPLAGSSTGIPGTNYTALFGRGTTLK YYDGGGNLVTIKAQRGGFLDLLRSATGQGLSLRLQGGIPGRTVLTGSVGRGNRPQGGT TTLEDVEGLGQFGQVRVNMSARTFLVKQTPFLARNSKALAAPAATAPTPRHPARAKAK LAARVAPKRR OJF2_RS12615 MELSRFYRRGILIVLGTFLGSAAIVLAATGLAPPSRRAAEDLGE STESVGPFRLLERSGREVTDADLANRVGIVSFIFTRCPLQCPKISSIMKGIQDKLAGT DVLLVSLSVDPEYDTPAVLDEYAKRFDADPRRWWFLTGDRDRIYDLIQSRFKLSVMVD PNPVPTADGKVEMIAHSSRLALVDRGRIVGLFDSNDPTAVEAVVSQAKRRAAPAWLRA LPAVNASLNGLCALLLLTGWSLIRRRRLRDVAGTGRDSGPDSAPSPASPILHVPAVRG HVFCMVAAVVTSAIFLGCYLTYHYQAGSVAFRGAGAMRWLYLSILLSHTLLATFGVVP LVLVSLNRALRGLFAAHARAAAVTFPIWMYVSVTGVVIYVMLYHLPAPASSPLS OJF2_RS12620 MADPQSLTPEMAEELQVESHAPYMKVWAALAGLTLLEYFYAMLV KDHFALLLLGLLSMALVKAGLVGWFFMHLKFEKKWVYLMIIPACVLAVFLTLALGPDI GLRSSSEDPLDEEEAMSISAPRPGAGEAQPILLGANVPAETSRG OJF2_RS12625 MADATATPPATPSPAHGPAPAVAHHHDHQAPVTPGKVAMWLFLA TEVMFFTGLIGSYIVLRAGSPRTSYTNLYAPTTSFKEIDGTYGVVLRSRASDPEAAAQ LVRSANPEVNAEEAHEIVTEAAAGEPMVVNHLTKEKADSLAAGLANLGAAAAVEGVVT HDWPKPYDDLTNPLSINLTAFNTFVLICSSVTMVLSLSAIQQGKKGKSLAYLGLTIAF GSFFLGVQVYEYYELMIGRHYPPGISPTGHFRPWVSLFASCFFTMTGFHGLHVTGGVI TLILVFLRSLMGAYGPTNYHTIEYAGLYWHFVDLVWIILFTIVYLV OJF2_RS12630 MKPAATISQSDIAPPAHESALAAISGRARDYASLTKPRIVFMVL ITVVVGYVLGARGGPHPATLLSTLVGTGLVAASASCLNQWMERARDARMRRTANRALP RKRVSPREASILGAVLGCAGMALLLAGANVPAAAVAGTTLAMYVLVYTPLKPRTTLNT AIGAIPGALPPVIGWAASTGTIGMEAFALFLIVFLWQFPHFLAIAWLYREDYARGGMR MLPNVDPDGSITARQATLYALSLVPASLLPTMIGLAGHVYFTGALLLSLAYLAAAVRF WAGVSDAGARRLLRMSFLYLPLVLLLLVLNPPA OJF2_RS12635 MNREKPIDPRPIEAPAYRRSPHYLAALAALFTLPLLFVGGSVTT YRVGLAVPDWPTTFGMNMFLYDFFNAPFGVKLEHTHRLYGAAVGMATIGLMAWLFLFE RRGWVKGLGALALVLVVTQGILGGTRVTQVSTLLAAVHGCTGQAFFGLMVALAVVTGR DWLEGRPPVVDRWRLRPRSRAMLALVSAQIAAGAWLRHFGTIESLAVHGLIALAVLGH ATWIGVVAYRAKADLPELAWPARGLVLAVWMQVALGIAALIYLLPFGGVPRPVTFYEA LIRTGHQTNAALLLAATVVLALRATRHLEGAAVAPEARIPHPTTAGTKPAANLEALA OJF2_RS12640 MSTESIGSDPGGPAPQATDPGRGHAREHGHGHDHIHPAPSHFLT KYVFSQDHKIIGIQFLFSGLIFFVLGGLLAMAIRWQLAWPWKPLPILGSALWSSPSLG YQMPPEFYNKLFTMHATIMIFFVIIPLLTGAFGNFLIPLMIGARDMAFPKLNMFSYWF MWPAFVLITYSFFVEGGSSEAGWTGYPILSIAKWATPGSLNGQTFWLMALLFAGVSSL MGSINYITTIVMLRAPGMRMFRMPMTVWAMFITAILQAFALPVLTSALIMQLLDRTAG TNFFSPVGWQVANSPPVVGGGGPLLWQHLFWFYSHPAVYIMILPAMGMVSDVIATFSR KPLFGYKPMVIAIAGIAGLGFIVWGHHMFQSGMNPALGATFMLSTMMIALPSAIKVFN WLGTMWGGRIQYTSAMLNAMAFVAMFIIGGLSGIFMAATPVDILIHDTYFIVGHIHYV LFGGSTFGIFAAIYYWYPKMFGRMMSERLGLIHFFLTFVFFNGTFFLMHIIGMHGHPR RIADPLVYEYLNRAGVIGMNQFMTINAFLLGLSQLIFAYNFLASLFLGPKAPVNPWHA NTLEWGTSSPPPHYNFARIPTVYHPPYEYSVPGVEQDFLPQTEPLPPGIVLDPVMA OJF2_RS12645 MRYWSVVFALAAIFSVGAFVYAPLSGDWWLPNPSGDMHHSVSSF GREIDSLFVIILVITGVVFIGTQVVLVWEAYRFADRVDDAGRPVRQARYFHGSQRLEV IWTIIPAAILVFIALYQMGTWANIKFRSAAPKVQPTAEVTARQFQWIFRYPGPDRKLY TPDDLYTVNDFHFVKDRMTLINLRSSDVIHSFFLPHMRIKQDAVPGMIIPVWFDSDTA GHFELVCAELCGWGHYKMRGNVTVHASDEEFAKWQADLLAEQNRSQLSMATDNQGR OJF2_RS12650 MTVRSRLHRVIGLCFLVPAISGCSDSFLAGKLHYVENEALTKDV KGRSSLVGKPRLQEKVRKALADIFGEDPQRIRVPEAMGGLLNSGGAYLGNYQVQDGVV KHSANEDPVTKQFTRYAGGYGIYRRQCLHCHGVSGAGDGPTAPFLYPYPRDYRKGLFK FTSTPNGARPSKEDLKRTVRNGLNGTSMPAFHSLLSDFEIDQVVDYVIFLSIRGETEL ALIDEGAISDETDINALSPETAAEIADGVIRRWIADQSKVVNPTIARTESTRESILRG RELFLGKTPEKLECSGCHGLLARGDGKSFVPQDVFNQVVFGGNPSERQQRIDALSPEM KDLWGQKLDDWGNPLRPANLNRGKDTVYKGGRRPIDIYWRIAKGITGAQMPAHYPTID EKKVWDLVNFVLALPFEPELLGESPHPGGPEVPPGVSGTPPVAAR OJF2_RS12655 MPLHREYRNGKPLLVIESPEPPATSYWSATRRPLPSLILVAPLI LMYELGVVWQGGSGAAVRTGADAWMRRLLSSVGMTDQWLPPLALVLILLAWQVCSPRN WRFSPSTLVGMVLESGLLAIALVGISRLIDVGFTFLDQHPPLALAVEARPGLSPYAPL IGFLGAGVYEEALFRLILVPVFFHTLKILQMPQVLASALAVTGSALLFSLAHHAGTPG EAFTWYAFVFRWTAGVFFAWVFIVRGFGIAVGTHTAYDVLVGWVGWQL OJF2_RS12660 MPDTRPRLRIVHVSDIHFWRYAWNPLRLLGKRAVGMASLLRGRA ARFRLERIEDVVDRVLSLEPDHILITGDLTTTALPAEFRAARKALGPWLRDRGRVTVL PGNHDRYTVGAHRNRRFEEYFGEFMPKPTFPWLRHLDGRTAILGLDPTRAAVSARGLL PAHQFAKARELVQGMEPAKRRLIVACHYPLHAPEAHRRELSGKRMINAGEVSAWLAGV GPHVYCCGHVHAAWSFRPEAIPGQLCLNAGAPLLRDHSGHRPPGFLEITLEGERITVD HHAWRSGGWIVAKL OJF2_RS12665 MAEAVEVGVEKKRPVSGEDRRLQVVVVTPERTALEQEADFVALP LHDGELGVLPGRAPAIGRLGYGELRTKTGETTRRYFVDGGFVQIRDDVVTVLTSRAIA AEKIDAAAATQELQKAEHLRAVTPEELAAKTRGVARARAMLRVKAH OJF2_RS12670 MATATKTGRIAQVIGSTFDAEFDEGHLPHIYNALTVDAEQAGVP IHLTGEVQQHLGGNRVRCVALGSTDGLVRGMTVVDQGAPVSVPVGKGALGRVFNLLGE PIDGRGPVHSDERWPIHREPPDFDNLSPKTEQFETGIKVVDLLTPFVRGGKIGLFGGA GLGKTVILTELIARIASVHSGYSVFAGVGERTREGNDLWLEMQETQIGKTGKSVIDST VMCFGQMNEPPGARLRVALSALTMAEWFRDATGADTLLFVDNVFRFSQAGSEVSALLG RMPSNVGYQPTLATEMGALQERITSTKKGAITSVQAVYVPADDLTDPAPATTFGFLDA FIVLSRSISEKGIYPAIDPLGSSSRILDPQYVGQEHYDVARRVQAILQRYKELRDIIA ILGVDELSEDDKLIVHRARRIERFLSQPFIVAEVFINKPGRYTKLPDTIRSFKEICDG KWDHLPEQAFMYVGAIEEAEEQAKKMGAI OJF2_RS12675 MAKARAIVKRRQAVQNIRKITRTMELIATARFRKTLDRATEAEA YTRKIAELVADLGETSRDVKHPLLEKRDPVKRSLLLVLTSNRGLAGGYNGNVLRLAYR RYQELETDGIETRLEVSGKRGVNFMKYRKTRMDATYNQFEDRPQFDEVERLADRYIAM YIAGEIDQLDVVYTRFINAARQVATLTTLLPIVAGDLGAAGEPVMKSKAPRPDEAAAK SKERVPYEFLPDAGSILEEIVPVSFKVRLFKCFLDAAVSEQIARMVAMRGATQNADDL IKSLTRQYNRARQSQITGDLADIVGAAAALS OJF2_RS12680 MKFRADEISSVIQREIEQFAPEITRSEVGQVLEVGDGIARVYGL SGVMAGEMVAFKNGIKGIASNLEESSVGVIVLGDYTQIEEGDTVTATGGLLRVPVGDA LVGRVVNPLGEPMDQGGPILTEKTRALESPAPGIAGRQPVDEPLQTGVKAIDSMIPIG RGQRELIIGDRKTGKTAIAIDTILNQKGTGVICVYVAIAQKESTTAGLVDILRRHGAM DYTIVVAAGASDPAPLQYIAPYAGCAMAEYFMYEQGKPTLCIYDDLSKQAVAYREVSL LLRRPPGREAYPGDIFYAHSRLLERSAKLANRYVIVPASTPTDNVTDEMGVDKKVYVG VPGLEEAKHAMKEHYPEGHKVEKTTISGGSLTALPIIETLEGEVSAYIPTNVISITDG QIYLQPDLFFAGVRPAIDVGISVSRVGGKAQIPAMKKVAGGLRLDLAAFRELEAFAQL GTELDKATQAQLDRGYRMVELLKQPQYEPLSAIDQVMSIFAGTEGFLDDLPVKEVRAF EKGFLAFMRERKSDVRGALEREKKMTDQIAEGLRAALKEFKSTHFRAASATEPAMAAV S OJF2_RS12685 MSAQATAADAAHRAAATGEDAEVVRQYAEALIGAATADGSADRA VEELEAIDREVLAAHPAFARTLSSGRVPAAEKDRILRELFEGHVGAVVSRFLRVLNRH GRLGLLSPIAAEARRIWDKRHRRVPVFVRTAVPLDDGRREALARRLAEMTGATPIMNV TTDPSLIGGLVVQVGDQVVDASVRNRLEQLRQRLIEGKTHEIQSRRDQFSYPA OJF2_RS12690 MLGRRTLFLNLGLLALLAAATARPASGFAAAPQEPVHGNAPKAE AEPGHAPTPAASPAAHGAEAGHAAEAEEGSNNPLKPEPTLAIWTVLVFLGLLFILRRF AWAPLAEALHHREEHLEHTLLETEKARDESEKLLAEHRRLMAQADDRIRALFDKAQKD AQANADAIVRAAQAEAEAARDRAQREISTAKDQALSEIWNKTADAAVSVAGRVLSRSL NEDEHRRLLDEAIKELPALASSAANGRGGAHS OJF2_RS12695 MKFIKTAVLAVGMLLFAQGATYAQAPAAGPTVAPFSDLKAIGAG IVIVGAAMGIGMLAKAAVESMARQPEIATNVQTAMIIAAALIEGVTFFALIIIVLQVI LGK OJF2_RS12700 MAGHGANPLSHVQDSPHLEIPWFSNWPEMQVTWHLPQVLGIQIT KFMVMEVVAALLVVAIMVPMARMVARRPVTKGRFGNAFEAMLLFIRDEVARPSIGGHG ADEYLPFLWTVFFFVLFCNLLGMIPGLASATGNINVTFALAMMTLAMVILAGVKQAGF VGYWVGLVPHLDVPPWLKPFLWVLMFFIEIAGLLIRHVVLAVRLFANMFAGHMVLAVI LGFILMAWHVGAFYLVMPASVLGVIALSLLELFVAFLQAYIFTFLSALFIGTSVHPH OJF2_RS12705 MRENPDERSALSIGMEWGTRVTTIGMEFALPALLGFGVDRWLGT TPWVAMAGAIAGVAIGMTHVLRLPAELARGARGDGPARKAGRGGGPKAE OJF2_RS12710 MRKDQLIRALSSARPAGGPRSRKVEPAVVNATRPQAARSKPAAA RKQSASIAEPAVAGRRPQATASAIPSQPHTLDHACQKDRIIVLARDSYWLHAHWELSR TTLARAQAALGQEWHSAQPILRVMDVTSEDTTTATERHLRDVPIHGGVNNWYLDVLAP PRSFRVDIGYLSRRGKFYVLARSNIVTTPRAGVSDALEENWSDVQQQFDRVQNPSTIG SPRINTVLDLRELFEERLRRPLCSGSMQNLSIAGLPGLGRKFHFEIDAELIVYGTTEP NARVTLQGEPVHLRPDGSFTVRYSLPDSRQIIPAVASSPDGVEERTIVLAVERNTKEL EPTIHDNNEL OJF2_RS12715 MGQDISSAIAGWDFTPDEFQARVIRGEDGRDKIQMRIDLGLLQM EVDGRPDGDRPHGFESLLEYHEDRLGRAEREGEEYALDHAECAQLMREGLQYYHRYLS AFHLRRYELVTRDTDRNLRLFAFVVRHASRRRDKAEFDQYRPYVLMMRSRALALTAIG RSDYPKALQEIDEGIAQIRQFLADYQRDDEAECAELGFLTRWRREVEQERPTGPVERL EQQLELAISLEDYEEAARIRDQIRQLRGPTIEESRRS OJF2_RS12720 MDPDPFLRQQKRAVRRSVIASVLSMTPSERSAQEEVLAGLFPGL PGYAQASSVLLYVKAFPEELDTRPFLHAAIASGKRLVCPRVDRAERRLRLFVVRSLSE DLEPGTLGILEPRGHCEEVRPGEVDWVLVPGLAFDERARRLGRGAGHYDRLLPRLRPG TPRHALAFDCQIVADLPVEPHDVPIDGVHTPSRAFLGA OJF2_RS12725 MIRPRFALLVAVLCSPPGLVAAADEVHHLSLRGPRLGCEAPVVM SLGENVPPGNYMVQAEGSAVLGTAQVFDDDGRKWLAMVMDPGEVPTSIHIGAFPQDLK AGSPHISIEPRGRDLVVKVENDLLAEYHSDGGAKPYLFPVMGPRGVRYTRAYPMEDVP GEDRDHPHQRSFWFTHGKVNGIDFWSEAKGHGTIRETARKTVVSGHVLGRLRTTNEWL GPDGAKVLEDERVLTFYATRGLDAPRIIDFDITLRATAGPVTFGDTKEGSFGLRVAST MDVNKKLGGKIVNAEGLEDDAAWGKPSPWVDYTGPVDHRGLIATGVMSRQDVGIAILN HPDSFRFPTTWHVRTYGLFAANPFGWHDFGVGKSGDHTLPAGQEIRLRYRVILHRGDA SKVRLREAFEAYSKPPEIVRTSR OJF2_RS12730 MAKRLYVGNLKYTVTSEQLQEIFEQFGSVSSAQVLSDRDTGRSR GFGFVEMPNDDEAQAAIDTLDGQDHDGRRLTVNEARPRTSGGGGGGYGGGGGGYGGGG GGGYRGGGGGGGGGRGYDDY OJF2_RS12735 MPESSPSGTVAEGRSALLRRIEEVLEREVRPSLRDDGGDMTVVG IDEDNIVQVRLLGACQGCTSSVVTLTMLAERAVKAEVPEVRFLEAVP OJF2_RS12740 MPSPPAAHSQVASPHSPPWIWPPAAYIHVPFCAHKCGYCDFASL AGADDLADRYLDALGAEMAMALDAPQAVDTIFVGGGTPTRLEARQLGRLVEIIGRHFA LEPGGEWTIEANPGTLDAEKADILAAAGVNRISLGAQSFHPDLLRVLERNHGPEEVPR AVELVRPRFPRWSLDLIFGIPGSTVRQCERDLETALSLGPTHLSCYGLVYEKGTSLWK QWQAGHVVALEEDVERAMYELVIDRLAAASLEMYEISNYARPGDESRHNLVYWANDAY FGFGLGAARYVDGVRSVNTRDLPAYLRRLEAGEPPGGPSERLDAKGRAQETAMLNLRR TVLGIDRPDFLMRTGHSLDDLAGEVVARFVREGLLEDDGRRVRLTREGRFLADRVLCE FV OJF2_RS12745 MDIRNVALNDLILDQNLYLRDRLDDFTVERYADSWDRLPPITLY EVDGKLLIADGFHRHAAAVMLGKRTIPAEVRAGTFTEALDFAASVNLFHGLPLTRSER RRAVEVKLKLHHDWSDRRMAEELAVSRELVAKIRRQLIEGNQIPNNPGRVGADGKLYT SAGLPRPKLEESYPEPAQFQDDFDSRPERGRRGMSGAAVHQDEAPGKARGKASIAEEV HFQGGEDPRVVAAQPPVDVSAPTIDEMLELMANRIQEVLDWTKAEGFPESYREAGANA RGQFQAVAFNLYRRAEVLRKG OJF2_RS12750 MRILVTGASGQLGAYLLDETLTRGRAEVTGWCHRPAAGPRDRPL RAVDLTDELAVEAALREAAPEVVIHLAAISAADAVRRDPERGRAVNVRATERLARWCG DRDRRLIFASTDMVFDGERGGYREDDPACPVLEYGRTKAEAEAAVLAIPRAVVARISL LFGPSRAGRESFFDRAISALRRGEPQAFFEDEYRTPMHYATAARALMGLAGEGSSGII HVGGAERVSRFELMSRAARALGLDPRLVRRGRRADVPTPEPRPRDLSLDTALLAETLP GLERPSIEDSLRDSGE OJF2_RS12755 MPADTVPAERHVLPRVLGPVAAYCVVVGCVIGSGIFMVPATVAH EVPFLGGILIVWIVAGILSGLGALTLAELGAMMPHAGGPYVYLRAAYGRLPAFLFGWT EFTIERTGSMATLAAAFARYFIQLVPPPDGIDGKVWQGLVAVAAIAVVTTVNILGTRR GSALQVVGTVLKVGGVLALMSLPLLLRRGTPANLAPAWPQAWNTSVVMAMLAAMVHVL WAYDGWINVTPLAEEVREPGRNIPRALILGMGTLIAVYLCMTVAYHYVLPLADIAAAN DPQGKIEKAVAAVYCSELLGHPGVVAISMLVMCSTFISLNGNALTGPRAYFAMARDGL LPRALGRIHPRYQTPANAVLAQGLWASMLVVVGTAIVSGGAPDTVGESAGAMGWISAA WLKLHQTPLYDILLTYVIFGANLFYLLSISSVFVLRAKFPDKERPYRTWGYPATPILY VIVSVVFLGSMLVNEQSRVQALAGIGLILLGIPAFVLLKRSDEPTAAGSDSLA OJF2_RS12760 MRPTRLALIVVISALSPMASSQQIADRRDAGPAPAISADGSYHA ESSPIGFLEAGRASYREAEAKALAVPTPESARKWLRELTAEPHPAGSPADYRTAVFVR DRLREWGWDAEIRPLQVLLNYPEGKPALALTKPVMKALALDESPLPSDKDSASSEAFT AFHGYGVSGGAAGQVVYANYGRPEDFDSLEKLGISVKGKIVLARYGGNFRGLKVLNAQ KRGATGILIYSDPGDDGYAKGDTYPNGPFRPGSAIQRGSVQFLSLGPGDPSTPNGPSI EGAPRLPIDWRLGFPMDLAPGTPPALASDLGTAWERQTGLKRSDYFATIPSLPISYDT AREIFQVLAGPEAPGGWSGGLPLAYHVGPGPVEASFGIIMDYKIRPIWNVIATVKGAA EPDRWVMLGNHRDAWVFGAVDPSSGSAATLETCRAIGAAVKAGWKPRRTMVYASWDAE EYGLVGSTEWAEEHAAELDAKAAFMLNVDSAVSGRELDMAGGPSLRNLLLDAAQAVVD VRTGKTLREVWVEGRRGAWAAATPLSLHDPLWDTTSAAGHPGLSGFVPQLQPLGSGSD FTVFLDHLGIPCADVGLGGRYGVYHSIYDNFHWMEKFGDPEFLNHATAARLYTAIMMR AASAEVLPFRFTPYGEALRDYVDELRLIAARRARAKFGVPGQAGPQSLEAAESFDGLD RLVASVKAFRDRAAALDRALDRISGEAPAASLNESLVRFERSFLLPGGLAGRTWFKHA VYAPGVTTGYGCWPLPAIRQAVEENRWDALGGPVEETARAIDRASAELGRALGLANRP EGGQPR OJF2_RS12765 MHPAPALLMILATAALPPGLADPAAPAARGFLPAGTPAELRDVG LLARLRDPGVRPVGFSSYDRTGGNNDGFNGTYSKLRVEQGNSVLAELSGPGIIQRIWF THTVGERPGLLDRKREHIRIYLDGKAHPALDIPVEELFSGDHSLFPHPLVVRGSGGFV SYVPIPFRSGCKVVVDGQGVRFYQIGILQLPREGSVASFTDAPDTPLREELRRTAAVW SDPEKGMPDRATGLLDAKYEVEGLGGSTHRYVLPPGPATIRSLEVTPAPGTEEAWKAA RLRMAWEADDDSAPAVDVPLGAAFGIAYGSAPYRSILIGQKDGTWYDRYPMPYRRQAI LRIDTEKPLKGTIVARYVKQVAADDGYFRASWREATPARKGEDFPWLKQEGRGHFAGV FLMTEGTSKLPYWLEGDDRFRVDGTLAVHGTGSEDYFNCGWYALEGRLDRPGTYPVHG FSVYQNQGERWQVAAYRWHLPDPVPFSRSIEAGIEHGGQNDVAADYRAVVFWYSERPK P OJF2_RS12770 MMAQRSEPERGAWVREAVTRFEGPLTLYATRLLGDPEAARDVVQ DTFLRLCSQARESVEGHLAEWLFTVCRNRALDVLRKEHRMTQLSDEQVNRCLSPAPGP QEAAERHDLGAKVLSLLESLPVNQREVLRLKFQNGFSYQEISRISGHSVSNVGYLIHA GIKTLRGQLFDGQPVEARA OJF2_RS12775 MIFDTDDPRLTAFALGELDAAEAREVEALVAENEDARKFVEEIR QTSAWLAEGLKAEGEAVAVVSIAHHPLIEATLQAADGDAKGASRPWWRRNYGMLSMAA TLLLGGTVSLVTWRTLDERRQRDAALVAEAAHGRAYAPAPAGAARAPAPAPASPKRAL AEAPESAAAPKDSVPHVVRSKAAFAENEAMLATDAPAADKASKLVAAPEPRASLARSS PAPIAEADGFALAPGTRLGASAAGMRGAGGGMGGAGGMGGYGGGGRFNGPAEGIAASG RAGRRATSPPAPAPSGARAQFGGLVKQKESKAEAGQQIASYDQQNAQASPMNNAAQAS NSGLPAKGPFQQRQAGLGYFNERRDASQAPVPDQAAGSGNVPYGRAASPMVPSAPMVA QEPHAPAMAGKPDAQAPVVRSRGEIKEQREALGDVAVQDRKKDSEAAKAPSTSPAEAA RGEPADRKPDPEGRGAVTAFQEVDLAAVADPEAPPAPAGNDAFPPIVENPYVVAMTEP LSTFSIDVDTASYANVRRYLFQMNQLPPPDAVRLEEMVNYFTYQDPPPPPGSPDPFAI HAEVARCPWNADHRLARIGIAGKPILPAERPAANLVFLIDVSGSMADWNKLPLVKYGL QRLVEQLGERDRLAIVVYASASGVYLTSTACDPAHKREILAKIDELKADGSTNVGSGL QQSYDIAAARENFRPDGVNRVILATDGDFNVGITDRVQLQELIAAKAKSKVFLTVLGF GMGNLKDNNLEALADKGNGHYAYIDSTDEAARVLVRQMTSTLVTIAKDVKVQVDFNPA KVGSYRLIGYEDRAMPNADFRNDAKDAGEIGAGHHVTALYELVPPDQVKASEPGKADV ASRFVKERELRGNLPQSFDVSVRYKKPKDDTVVEIKQSVTDQGLDYSRASDDLKLSSA VAGFGLLLRHSPSKGNLTYDAVLELANPTLSFDPFGERKEFVEMVKKAKGLAAPPAFP AP OJF2_RS12780 MSGQVAERTSGGISGSDAGSLESLCRRMAGDAKAAARKMAVTSG ASRNRWLRNAARALRERSAEIVEANAKDIAAAPGYGLSAAAIDRLRLDAKRIDGIAGA LEEVAALPDPVGEVISGGRRPNGLEVSQVRVPLGVIFMIYESRPNVTVDAAALCIKSG NAAILRGGKEALHSNKALHRILADELAACGLPEHAIQLVPTTDREAVGILLGLPQFID LAIPRGGESLIRRVAAEAKMPVMKHYQGICTVYVDSAADAAMATRIIVNAKAQRPGVC NAAETLLVHRAIAPGFLPAAAKALAEAGVELRGDEAARAIVPSMVPAKVEDWDTEFLD KILAVGVVDSIDDAIEHIARHGSAHTESIVTNDVAAARRFVAEVDSSAVMVNASTRFN DGGELGLGAEIGISTDKYHARGPCGLRELTSSKWIVLGDGQVRD OJF2_RS12785 MIEWKARGRAIVGAGDATPRIMGIVNVTPDSFSDGGRVSADAAA RHALELVAEGASILDLGGESTRPGSEPVPADEELRRLLPVAESLATSAGVPLSIDTSK AEVARRLLGLGAAIVNDVTALRGDPAMAEVVAEAGAGVVLMHMKGDPRTMQLDPRYDD VVGEVLAFLEGRIEWCVARGIPRANIAVDPGIGFGKAFDHNLDLLRNLGRFASLGCAV VIGTSRKGFLGSITGRDVRGRAVASAVSSLAACEAGAAVARVHDVAAMSDAIKVWTAV RGWSEAR OJF2_RS12790 MRLGLIALALLPAATLGCGYSIRAPFDKSVKTVFVPVLKTRTFR RDLNLNLTEMIQKEIMHRTPYKVVGNPEGADTILEGTINYENKNIIVENPFNLPRQLN TTVTVAVKWTHNPPTEAEKSAGPTIVSETVNFVPEAGETSLTAFYAVNQRLATQVVDM MEQPWFNEADVKGSNGP OJF2_RS12795 MDLVPPRARGRRGRTDATPLRDGPSPRPRAVTPPAARLLRAARR LSLPAAALACGLFLPGCQGLDVPFAQWRAGRDKGLVRPLTDEEKAASASSKLEDPRTL LGRWLNPAGSNAPEESKATSTSPASRSGSSLILGSDGWRPMMRPKPNPEADKELQEAL ALFKQGKFPEAEAACKAVAKSRKGTHWGETAQFYLAESQYQQKKYVRANDSFERLIAD YPGTEFRDKLVSREYALAQIWLAQSDPNAKPEAKLAWYTHFTGEQPLLDTRGTGLKAL EHVRHHDPDGALADDAAFEIAEYHKKSGDYESAAIYYDQLVESHPKSPFVQKAQLEGI DVRLKGYLGPEYDGSGLVKARELVYQTTKTFPDRQASLDGLFHTLDLINDAEAEKCFN VGAYYKRAGYVPAAEFYFGKIPQRWPTSPWAVKAKTELAALAKMPRKPTVPSKIMSQP GANDPYFGGGAGGGAGGGMGGMGMGMPMPGGMG OJF2_RS12800 MPANRSLAVVVRAVDVFETSLVVTLFTRELGKVAALAKGGRRLK SPFQGGLDLLGVSDIVLLPKASESLDLLTEAAPVERFASLRRDLAALYAGYYVAELLT DLTDYHDPHPKLFDAARITLRHLGEPDLRARRVLRFELACLRELGFMPALDLCAHCGN EVDTSGDVAFGLATGGVLCTSCRPGQPHVATVSGRTLEAIRVLASPGQAWRELSFEPR TPGLGAVRQTVGAVMSHVLGHRPRLWPYLGV OJF2_RS12805 MAESDAEVEVRALFASLLDAWNRRDARAFAAHFRPDGEAIGFDG TAMKGPDQIVASIEPIFAHHLTARYVPIVRSVQSLGDHVAILRAVAGMVPPGQTDIHP AVNAVQSLVATSEGGGWRIALFQNTPAAYHGRPDLVAGLTEELRRALRLQEEGAAGEE SGRAAE OJF2_RS12810 MAEPPNTIRDEIRGVLAFVGTIWAVYLLSWIVPGIDRFGIIPRH AIGLVGIPAMPFLHGSLAHLVGNTIPLIVLLVLLAGSRAESWEVVAAIVFLSGLLLWV FGRSSYDGHAAVHIGASGLVSGLAVFLIVAGLLEQRIIPLLIAVLVAFLYGGTLIWGL LPRLGSSVSWDGHLCGAVAGGLVAYALIRDTDGRRATLAKARAADELS OJF2_RS12815 MKNRPARSRGMSRRVAALALALALALAAPVQGLASEPVPGVPWP ATDAAGRSLPVAGEPGVPGPRPGRTVAMFYFLWHNDPRGMSPPGSGPFDVSRILGADP DALRKPTSPPWGPFGAFHYWGEPLYGYYFSADAWVLRRHAALLSAAGVDALIFDATNA VTYRDVYRALCAVFADIRRAGGRTPGIAFMVNTHAGKTAQSLYEDLYWPGDHRDLWFL WEGKPLLLCDPKEASPEVRAFFTLRAAHWPFTQVDTPFAWHWEATYPQHYGYTTDPGR PEQVSVSVAQNLRVSDGQVTNMSSGDARGRSFHDGRMERSPGATDLGLNVQEQWKRAI ELDPPLVMVTGWNEWIAGRYGESGGPVTFVDQFSREYSRDIEPMKGGHGDNYYYQLVA NVRRYKGASPLPKASAPRTIEIGRDLAQWRSIEPEFLDGVGDAEPRDFDGAGGLRYRD GSGRNDIVACKVARDSKRVTFLARTRRALTPSTDPNWMWLLIDADHDPKTGWEGYDYL ANRNVGEDGMTSLERNLGGWRWERVAKVGFRATGDSLQLTIPREALGIPAGSAGFSLD FKWADNLAKPGEVMDFYTSGDVAPEGRFRFRYVAD OJF2_RS12820 MQVHVSTDNHIHGSERLIESITQEVETVLGRFDGQITRVDVHLN DVNGPKGPGPDKRCLIEARLAGLHPVVASDQAATLNEAVSGASDKLFRLLETTLAKHR GNRGPQVSASGQTTP OJF2_RS12825 MYSLLVASGLILAAQVGGEPGSPPKSKAGPPAAKAASEAGAAAS DLMKEYLALRVKTPPTAAGQWKLGLWCEQRGLAGAAAVHFAEVVRLDPSREAAHRKLG HRKVGGRWLSPEAIAAAEEQKAADRLWAGRLRRIHKDIHGRNGREKQAAAQAALDAIT DPKAVLPLYREFGSEPKDQVMLVQVLGQIDRPMSSKVLAMLAVYGKSPTARQRAAESL RPRSSADFMDLLVGLLVDPIAYEVRRVGGPGSPGAIFVAGERFDTARLYAPPAAPNIP FGPGDIITYDSSGMPVVQHRIGSFSATTSPKGVPGSKTLVAQTTVENSYYETYSVAQI QAEALRGAALAQAQLERDEESLKAVNANIRHFNDTVMNVAKDATGEDHGPAPKEWRKA VNGRRQSPEAPSTPAPKPVVTEVADLDYNPIFGPSGMAAQTVVSTSVYVDT OJF2_RS12830 MNPPSRLLMAACVLLAEMGTSSCPAQTPAPAGDGLLTNGGFEEG FSGWDPFWARDAGRGGATIDPSRKHEGRSSARIEHSGRGDWGLAAGRRIDVRPGELYR FRGWGRLEGDGAAEMSVVLRDAAGETIDWSYARRPIRAAAWGEVQSRFLIPPGARTMT FRIIGSGPTVVHADELSLERLSAAPEGFAAKVPESLELQHDSLAVRFDAARGTLLVRD KRGGRTWEQRPIGAAFPVLRAKTAGGRIEATLVDTRSAGLVALQVALVPGRAEISVEL SGRGEMAGEVGYPHPFASPAGAFLVMPVNEGMSYPVEDRTLPEMWYHLSGGHGLCMAW WGVTDGKAGMMAIVETPDDAAVRTPRLDGRLSLAPLWRPQKGEFGPARKIRYLFLDDG GYVAMAKRYREYAREIGLLKTLAQKKAENPNVDRLIGAVNVWCWDRDAVGIVREMQSA GIDRILWSNGVSPAQIKGLNDLGVLTSRYDIYQDVMDPVNFQAIGHRHPDWTTKAWPA DLVLDADGRWVHGWGVDAKDGSRYDCGVTCDRPAIGYAEERVAEDLRTHPYRCRFIDT TTASEWRECYAQAHPQTRSDSRRWRMELLRLMSERFRLVTGSETGHDAAVPYAHYFEG MLSLGPYRIDEAGRDMARIVELAPPQIERFQTGPFYRLPLWELVYHDCVVAQWYWGDY NNKLPAVWDRRDLFNALYGTPPMFMFTRALWEKERDRFVKSYKQTAPIARATGYSEML SHRWLTPDHAVQETRFADGTMVVVNLGTGKATLDDGTKLPPLSSRVTEGPATASSPR OJF2_RS12835 MLGRLVLPEIKELIAEGDERTLREVVNGWYPADVATVVGQLDAP DRLRVLRILEPGLAADTFAYLDRDTQVDVLGSVSRSEAAWILNTMPPDDRTAFLEDLP PEQSERWIGLLDPVERQVARSLLNYEEDSVGRLMTPDYIAVSKEWTIAQVLDFVRAHG RDSETLNVIYVVDAGHHLIDDLRIREILLAPVRSRVEDICDRKYVSLKATEERKRAVD VFRKYDRVALPVTDAHGRLVGIVTLDDVLDVAEEEATREIQQFGGLEALDEPYMSTPL PQMVRKRATWLVILFVGEMLTATAMGFFEAEISKAVVLALFVPLIISSGGNSGSQAAT LIIRALALGEVRLRDWFLVVRRELLSGLLLGLILGTIGFLRIALWSAFSTIYGEHWLL VGVTVACSLLGIVLWGTITGSMLPFLLKRLGLDPATSSAPFVATLVDVTGLVIYFSVA MVILRGTLL OJF2_RS12840 MKRRHGRGITLVEVLVMMGLLAVAALLMGPAMQAARAAARRASC ISNLRAMGLALHNYASANNVYPPSDIRGEGRGVGGGFLLRLTPYMEQAAVYNLYNFSL EPWDATNVTSVEARLEGFLCPDNPRADNVAASELNVPVADAKATFGPAHYAANWGGGR DRWGRDFATGQGTYLGVMMTLISPDGEVKAPDGKARARCVGMADILDGTANTLAVAEK EESLGWAVGGFAASEFDVNTKPKNDEDTTLARRVYTGSPHREGIHAAFCDGSVRRVGE GINGSTWYAMMTRARGEIIPDHAAGLSSPLAAGDQTAETLERPRLAARAAEDLVKRLI AKPAGDPGEVGANTLSVRVVDLQTGEAARIIAPLSKERNACRFPAWSADGRHIDFCAS GKDRMADVHVFDLSLRGGEVRLLDLGPGLMPSRSPSGGRILFQIAPGSRESPGIWMMR PDGGGRRRLGGEGRPRWSPDGHQFLIFPDEGGTFTLIDDRPDRWSGEVRVEGRSVTSV PSWAEPETIVAPLGDGAAGSGADSIALIEVSSAGKAAVRTILWKKGDGMDATPWSPVY HPGTGRCVFVGAGAGGGSGLYLLDRASPKPSRLEKAGQVPIGMTPSLSPDGRFVIFAN MDQP OJF2_RS12845 MLTSATPVTSGILPTVSMERPQLRPWIDQMAELCKPEEVVWCDG SQEEYDRICELLVQQGTFRRLNPERRPDSYLAWSDPTDVARVEDRTFICSKRQSDAGP TNNWVDPISMKQTLQQFFDGCMRGRTMYVVPFSMGPIGSPLSHIGVQVTDSPYVVASM RIMTRMGAAVLDALGDGFFVPCAHSVGVPLREGEADVPWPCNPKNKYIVHFPEERAIW SFGSGYGGNALLGKKCFALRIASCMARDEGWLAEHMLIMGVQSPEEEKTYVAAAFPSA CGKTNFAMMIPPERHFGWKVTTVGDDIAWIKPGRHGQLYAINPEYGFFGVAPGTSYRS NPNAMETIRKNTIFTNVALTPDGDVWWEGMTEEPPPHLTDWQGQPWTPDCGRKAAHPN ARFTAPLSQCPTLDPEWENPEGVPISGFIFGGRRSTVVPLIFQSFNWSHGVFLAASMG SETTAAAAGNVGTVRRDPMAMLPFCGYHMADYFSHWLEIGRQLPNPPRIFRVNWFRKG PDGRYLWPGFGDNLRVLKWIVERCHGHGYGVESPLGWMPRLEDFDLTGLEGFTREDFA RLMEVDRDLWLREAVAVEELEFNLYGKLPKEFLLHRELLISRLWHSPGSWKLYPDLPH OJF2_RS12850 MQNHGLLPLALLLSCIAPEVRSGPSADGGTSGQRAAMYLAGRID ARLAARWASAKVRPVAAADDGEFLRRACLDLIGKIPTAGEARDFLNDPDPNKRATLID RLLDSPAYAARAAFLWRQLLLPETDDQFGASPAGLEAWLRKKVDEEAGYDQIVREILS ARLAASSNDMAAVATVEPSPTAFYAARGGKPEVVAGDAARAFLGIRVQCAQCHDHPFA KWKREEFWSFAAFFAGVPQQSNDATTVRMNKEDAQRRELTIPGTSKVVKAVHLDHSAP AWRPRAGTREVLAEWVCSPDNPYFARAAVNRVWARFFGEGLIDPVDDLEAEADPALVA LLDEVARDFRDHGYNLKYLIRALMATRAYNLSSASGTGTTTATPLFSRMPVRGMSPDQ FVDSLAQATGCELGENRARLLELFTERDVPPTESQTSILQALTLMNGAFLSAATKPET GETIGAIAEAPYLDTAGRVEMVFLAALSRQPRSEERSLAIRYIDGRATEADRAKALSD VFWALLNGPEFRTNH OJF2_RS12855 MDYSSSPAGRVTRRQMLRLASWGALAGSASGWIENLAAKAATDP RRRKACILLWMSGGPSQIDTFDPKPGHENGGPFKPISTSVPGMQIGEHLPRLAREANE LAIIRGMSTKEGDHSRATYVLRTGYLPQGSVRYPALGSLISKELEDDSAELPGFVSVA PYRAISPGAFGSGFLGPRYAPLVVGERSYGAGKAGSRAFHVDDLELPPDVPRDRADDR LKLLQSFARDFRETRPGVSPESHNDAYTRAVRMMRSPAAKAFELDEEPAALRDAYGRN PFGQGCLLARRLVERGVPFVEVTLSSVDGRNSLGWDTHAQNFDAVKGLCGVLDAGWST LISDLRSRGRLDDTLIVWMGEFGRTPKINESAGRDHFPNAWSTVLSGGKIHGGRVIGD TGADGMEVRDRPVAVPDLLATIVKALGLDPMTQNTAEDGRPIRLVDPKAKPIAELLG OJF2_RS12860 MMASAGLLAMALALLAEDEPPATIPPASAFAALGDDAVQDVVIL GETRAILLRVRVMDGDRPFRAAWAEGIRAYHARLDGNGDGRLTTQEAAKNGLAALLTP AVPNAGTPARGQPEADVNPKDGVISVEELTEVLRGPFGPFRLQVDPASERRTDALFDQ LDRDKDGELTRPEMEAIVGSLRRLDRDADEMIDAGEVNLMTASVDAMAMIRPRPTRDL STPTVLELSPGESPVRLARLLVKKYDTGSSRGPGRRDSRLSPEEFAIPAEAFAASDRN RDGTLSAEELRTYLADAPRDAMLDVALSADASGRAAAAVRGADGGSPAGMTVRPLVPG AVEVEAGPVRLDVHVDDGARAAESARKGLRARFDAADANQDGYLEKDELNQDNAPISP LAGLFEALDHDGDGKVYPRELDEFVAREAVAARGHLTMTASDEGRALFGMLDTDRDRR LGAREVLETFARVSACDRNQDGRVNPDEIPQHVRLVLDRGDLSVLLATPANANVVVVS AGMVVAPSRPRPAAGPIWFRKMDRNHDGDVSPREFLGTRDQFDRLDRDHDGLLSPAEA QEASPGRPDPVKAPGG OJF2_RS12865 MTRTSHAHSPRVPTLGMFLVAGLCLAPTVRGAEDRPPLPVEVYE WSVWVGSPAQNSLNQPRVYRNAMPGPVGTVRPKVEGPELNRQFPVAPVSVVQAFGEPT QDVDFDLRVKKGSVLAHWPQATERSDGLRWFKANLLAAAPAGTAPGFIPEDHWFQKLR RSDKALTIKHETRVERFLSYDAEVAIPIPVKIRGGPDEYTLQNLTNAKLLDVAVIAPV DGGRYRFGWLDELPSGVPRSVADEEAAKEKEKKESEKNRDKPEAKAKAAEAALEKAEA ELKPGDKKAEPKPIPAEADADTRARVDQALNRPVTVNADKVPRRDVLALVAGQARVRY EVDDPTLAKDKIDLGQPMTLKNGRIAARDALAEVLGTIGLSYRVTEDASLFITTAARL AAETNKKAVIEGPPIKLTLSQPLSPSDPSFRQVTRDTYARRLAAQGMRAEVVQAYLDQ YAPTIFEPKGLIVLAHLSREALDEIVLLDVFPTPKKFVRTAAVIAHGVDPRLQDRARL LVKQLGDLGPRAREEAETQLFDLGPVAIPVLEDALREKDIEIVFRAERILLRLNRQVP OJF2_RS12870 MLGGLVVWIGLWAAGAGDYHRPLAEKAFDQAALDAEGFGDKKAL AREADGLRVTLKPGEAEAGWKTPQQLRIGGDCTITATLDIRKLPKPAREDGAAIGIAV ATQVIDQPEATLLRELEPDGRDIYRPIEKSAAGGAMMGSPMMGRRRVFNPFGGPDPTP AKPIRHTFPAKGQSIRLELRRQGQSLRFQVYDEMAKEPREIGKVDIGPMDIGGVKLFV ANRNGAEPVDVLFRDLIVHADRITGLGTAVRTIHGTVLHGEPTALEHGKLVIMDNTPA APPANPPAAGTADLPRQVFTPVRAAPTRLPSGGVVAELPRLVFVSNPGPQTNPAARVK ARLPLDQVESITFERSSMLAVKFVGQPNVDTTGPGGTPGKDDKKAAGDDLAAPPPGTV PPPKMPKVEPKPSGIRDIHLVMSGLRDAAIQQIMIQCPTDKGQAMWQLDTTGTPAWPV SLRRAGKETWADLFLEPPDGDLNNKQFMINLMYADGQNAQVQAQATGKTDPKLKFDPA APTPALDARVYLAEDEQLFGKLEALSADALTLTTTWGDKVDVPLARVLGVYMGMADHK ETPESFAKRLKAPGGEDLLLARAKDGEVVTIGGVVEAAKGEKLTFAYRGKSRTLALKQ VEGFVLAAKPAPAPPTDVRPTFTLSGGLTLSGRWVKVEGDKWEVETPWGQAVKLPAAE VRGVRFRGGEMAYLSDLQPSKVEETPYFARRTPYRRDVTLDGSPLKLDDRAVEKGLSV HSRTALTYDLDRRYTTFEATVGFDPSANKKGRVDCRVFADGKELYANPDLRADAPPVR LSLPVAGADQLRLVVDFGADEDTGDRVIWADARLYRPGAAPAPGAASVAATSTDRSPT TTTASRP OJF2_RS12875 MSRDRRIFGTAALLTGLLGASLATAEDVKPDDIASKMGGVVDSL GKKQTGDPVQGEQKAIVRDLDELIAQLEKQCQACKNGLKRNRPMMGMRDSTISRGTGG IGDLADPNDGGKGWGKLSDRERDRILQSMSEGFPPEYRTVLERYYRRLAEEKSAKTAG EGAKSKAAGEAAKP OJF2_RS12880 MRTSPRPHARPRAAGLAGLLATLCLALPGPWARAQDPTKGLFDD DKPATKEAEGKDGEKDKDAAKKPDKPAVPAGDAIGFTQENAAAQMTELEERMFRLSEA LRGLEPENASRLRLALKFSREELILEQMRDAHKMLKGAQLSKAETEIKELLAKLEHLR NVLLAEDLDFQLKLARLRQMRETLGQLERIVKDERRELGWSRFAIDQRRTGEKLAARR PDLESLARDQKKLLDDTRELAAKKDGDPKAARAALRDREAAIAKAATALAGDPVFSDY QPSQLRKAEVPLKEAAEELGRDGKDLAGAVAPEEKAEAILRDELKALDARSAASARDL AKGEFGKHEAGQQTTRKAAEALAAASARLGDAGMALQKDLIRAGGSMQDAEKNLARTA ADPAASEQSEALDILTKSGEDLAKAAEKLLVQLRTELHTRLIAELTEMHEAQAYIREN TEAQAPKLAKKSRPAAIAMAGLSQKEAELAGRTEQLLALVEETEFGIALPTALKVFGR GMRDVEGRLKETDASPRTVALEKRIEEDLLGLLQAVRRLPPTTPPPSNSPLPSDLSER ERELNRMIAELKTIRLLQARLNDDTVGVDKTRSNPAPAAPAPSATLTPSLRREIEALE ATQEDLRENLARIAERIEQQ OJF2_RS12885 MTTEPETLTVQPDSLREEQARLRAWLSRKRRALGLEMALEFALD AAAATVALAAALVALDYGLRLGLSSRQVLLGVTLAGLAVALAIRLVPRFRAATLDDLS LAMTLDRVRPGVGQQVADVLQLPGLLRQEKAAESPAMVRLAVRRALEALAAADRETRW NWARTAGRGLLFLGALAIPVAFALVAPSAARLSLARWLRGADERWPQGTYLSVTGVGD GKSLLAPRDEPFTVEVRADLPDLRPRDDRWDLPGRGEPFSIRSRPEAPVAPPSVRLRE RTPEGAVRDVLMTAVAPGVFRHELPPSSASSTFELTGGDDWLGPIRIERADRPTLQAT RLRVRDPGAAKGEFRAVEDTAQSLLFLPDTEVEMTLVGSEPIDRTRLDVHPGEPPALE RVDPKSFAARWTLREATTLEIQLTASGTGLASRPTFLSLGLLKDREPRVTLRAQGVGA HVTPVATIPLAMAATDDLGLAATRLQVERTSHAEGKAEPAVSKQTVPLPLPAGGKAVL DHQARHDLDLQPSPPPVGTILRIQAEAEDRCARGAQVGRSGVVHLQVVSADELFYEIL IRQRAERAKFLATVEAAEKQAPVLASTTSPEDYMNVLRALHTSSRQLDQVAGRIADTL QEMKLNEVGSPKSHRLLQDGIIDPIRELNAGQVAELRNVLQALGGGTRAQPDVEKARR LHGEVVAKMKTILEQMSQWESFVDVVNQVAEVIKIQQKVLKDTEDARETRTKEVFDED KP OJF2_RS12890 MNRIWQLLLGLGPRSPGDVPSGESTRLELTALPGGGLALLLLAA AVAALALLWWLPRREKRELSGPRRAWLVALRTLVLLAAGAMLVEPVLVTSHRETVRSQ LPIIVDDSESMRFSDPYTDETRAAATAAALKIQGEGGKSPVDRLRETPRLDLAKKAIS AHLDDLGKGRDVSFFDLESASKAVTAGPARAKPLEEIQPRWSISPLGDALRGVLAVHR GRPVAGIALVSDGRSNAGEDPLRVAESLARLNVPIFPIAAGGEEGPRNVRVVEVVASP VVFATDPMTLSVIVEARGLKEADADLVLEQRVNGADWQQVASQRIPLGDDGIQKRTSF RIVPKAIGQYEYRARIEDAGPELTRDDNVATAMVRVVRQQIRVLMIAGSPSPEMQFLR NTLQRDQHVSFAAWLQHADPGFRQPGDKPISRLPGDAEELARYDALILIDPDVQALGP AWPELIANFVGKDGGGLIYMPGELYSQQLFDAEAGGTSESGRWTRILPVVREPGLFRT EAEVRLATQSTYALELTPEGRGDPIFEFHPDPIRNRTILTSLPGMYWSFPVTRARPGA TVLARHGDPRMQNQYGRHVLLASQLYGPGRTVFIGFDSTYRWRYLSEDYFDGFWARLV DRVGRNKALGGRFPFLVSLGKTAYRVGDRVQIGVRFTEAAAVAEASGLAAEVEPDGQA PEPLAFERVPDDPASLAASFPAERAGGYTLRITPATGADAGAATRVSTTNFRVEPPRR ELDEPSLNRALLADLARLTGGRVLDVSEAGKLDESIAMREVTRTVEQRDELWDAPLFY ATIILGLTAEWISRKIFRMV OJF2_RS12895 MFSLLSPLLAWGTLLGSIPIIIHLLNRRRFRVVEWAPMRHLKLT IRRNRRRIQIEELLLLLLRVALPVLLFLFLARPILNPTGLEKWLVGGGRTSQIVLVDD SLSMGYAGGGGPPAFHRAREAAGAVLGAANPQDRCTLVAASTPRTPVFHEVEGTRKEE LSGDALAMPLSDTHAAWPTVLAGIDEVVQSCTYPMRNLTIITDLRKAGWDASVGEVAR RWDEQGVRVRVVDVGDDEAGNVALQALVPLDRAILAGAESHWEATVRNDSPRVLSRAK AILRIDDRPTEVPLPEIPPHQVMRVPITARFPSQGMHDISLQLPEDELAGDNQIWAAV PVKDSLLIRLVDGEPSSEPFGSEVDYLAAPLSIGVGDAEAWRIETVQEENFLNPRLEP ADVLVLANVASPTDEQARKLAQLVRGGMGLMVFTGGRLDTALYNQRLYRSGEPLLPVP LKAQADEAIRGLTVEDVRPSPIEKLLELRPSALERVAVRRIMNVEEPSGEPGAVRVLA RWNDPARSPAVVERVVGEGRVLLWTTTADRADTDWPIEPSFVLAIREAVRGTARPTSF AHTVTAGERPTRIVHSSHQLTSVRLTPPGGGEPRSLPSGSAGDKASGDTTPAWEITVP DTRKAGLYRVSWDEGPLGTQQDVFASNPDARESELDRIAAADLKGLLAPLNIEVAAAR GDGRDAFSATGREVWHEMAWVLLALLIFEPILASWVGRSR OJF2_RS12900 MATSRTYSDPDVIAQIADLTLRSRRLAEGAISGQHRSPFHGFNI EFAAYREYTPGDDLRRLDWRVFARSDRHYIKQYEEESNVRVTFVVDASASMNYKGSRA ALAKFDYASTLVVSLAMLLSRQQDPVGLVLFDEEAGQLLPPSATQAQVTVMSQILQKC TPARRTELGGLLRSLTDRIRRRGFLVVVSDLFTDLESVYDGLDRLRFLGHEVLVMQVL DRDELELPFDGPTVFKDIEGDEQVFAEPGAFRKAYQGAIREFLAEVRRECGARGYDHV ALCTDDHLGDSLARFLRSREDSAHNPGGRY OJF2_RS12905 MSTATAAEPDLAELEELRSVHGQIRQQMARQIIGQDEVVDQLLI AVFARGHCILEGVPGLAKTLMVHSLAQSLSLEFSRIQFTPDLMPSDITGTEVLYEDRV SGARELRFVKGPLFANLVLADEINRTPPKTQAALLEAMQERQVTAGGHKHRLPEPFFV LATQNPIEQEGTYPLPEAQLDRFLFKIFIQYPTADEERRIYRLTTGLDLEELTPLITG ERIAALQRVVRRVPVSDYCIDYAMDLVRATRGKEPNSPKYISDWISWGAGPRAGQSLI VAAKARAALAGRPSVGVEDIRAMARPVLRHRVVLNYNAQAAGQTSDTIVGRLLEDIPV RKGAADGDVANVFRS OJF2_RS12910 MIPKSSLLRRREFLRGLGLLAAGGALAPARPTSGQEKEVLPKHI TPETLRAVVKGLDYLAGAQAEDGSWITGGGQAYPVAMTGLAGTAFLAHGNSPTRGKYS KAVQGAVEYLVRCSTATGLITGPGQDSGQPMHGHGFALMFLACAYGSITKASLRNQVA DAIRKAVTLTSQGQSSAGGWTYVPGTGDEGSVTVTQVQALRAAHNAGFLVPKAVIDSA ADYLEKCRTPEGGIRYSLLSGGGPRLPISAAAVATLYNAGQFDSPIATDCLKYVWDQF RARDEWSKGGAHDYYAHLYASQGFYMAGDAYWDAYFPKTRDQLLAMQAGDGSWSGDGI GQVYGTSIAAIILQLPFKYLPVFQR OJF2_RS12915 MSRSDIAVVAVAAIALAASAAPARGQLADGAANAQANIDGFAVI GKGTAVARPNRLEIDLEVSASSELSADAIVKYRDAKKRLQDAFSTLKMKNVAVEERGL LVDQKGQAYNPYYMDTPPARKGKVEVQLRRKLVITVSDIRSMDEEALLQLVAKLLDVA QDAGGKVGGGDAMSYYSYRYNNEGSKLVRFILDDYESLQEKAYGEAIADARAKAGRLA KLSGVELGRIAGIREVLVSGEKSSAAAMALYYGIMPSANDEEVPRKRLESSRFQEIPV RVELHVRFDLAGPTKTAKRGAE OJF2_RS12920 MSNDRPDRPLQMPPASSETKEAFDDFDRFARRGAWERASKALYA IPEAQAARFVDGPDGFIISVARKRRSVLSALSSEGQAAYRLFYDSDAKKLLDQAEGAT EQATLERLFSSYFLTSVGDNAADRLGDLYYERGEFDRAADCWLALLRERPDSELPPAL TTVKAALALARAGRRSELEALRAEMGERYADEVVTIAGRKAKAGVHLGRIAAESGPAA DAGPASSAGGGPAPELAEAVAAAWQVKFAASVTAGMTPVELSQWEATSFSGAVPATAV EGQTLFANYLGHVFAVDLASGKLLWRSASFHNLEQATTQGQSQMIETNRFAILAASGR VWTLGRDVKDPNYQAEFRLACRRAENGDLVWQSSDLSDYAGIDFVGPPLLARDTLFIA GKSSTSTSSMYGGGGQDGQPRQYVLAIRPRDGKLLWKTEVGIFREGERYYWYYGPRET NPQPRLLYRAGSVYVDTHSGILARLDADSGAVDWGYGYETDPSQSQSRFIIIFDEMPQ AAPSTACSGPLLLGDLVLIKGLKSDRICAIDPDRMKLAWERPIAKSARLLGVDDATLY LGGPELAALDLKGRSLRWTAPLPGGCHDGRLLVRRDGLWQLTPRGIFEVDPQTGRVRR IFRGQDTGAEGGDLILTDRLLVAISNRTISAYPRRPPGAEKIAREGTGSPRMRGAE OJF2_RS12930 MGKGTNAHQEGAGLADAMGNAPRPSHFPLLRLLQLLVLLQTERY PNARRLAEICEVSRRTIYRDLAMLDEAGIPILYRPERQGYQLARRMFLTDPRIEEHEA LALLILSRNWAAGEDLGLSRAAGSAVQKVLQALPEPLRDNLLAAAEVVGDRPAEGAVS AERTEIHSLLLKSLSDRRHVRIWLTVAGSDAVECTKLAIYRLSRIDGQWCLVGRSSRH ADVCLIPMRSVSRAESTEEAYSIPPRFNLERFLEQARPAVEGRPHLNVG OJF2_RS12935 MESSLHRALKDLYGDAGGGRSEVALDGFRVDAIDATGRVIEVQS ASLGPLRAKLARLLPSHRIRVVKPVVVRKRIVLRAPRDGPETVSRRSSKRGTRLDVFD DLVGLARSLPDPNLSLEVVEVEIDEVRLPRRRRPGFAVADRRLVQVAGRMSVDEPSDL WTLLPGGWDWEMPFTTDDLARRLDRPVDFARRVAYCLRESGACVVVGKVGNRWVYSSR PLEAAAIAGPIAIA OJF2_RS12940 MADLTWDDAARSRLIDVLKRDALRLGSFTLASGRSSHYYIDGRK VTLSAEGARLIALGVIDRLAAHPELAAVGGLTMGADPIVGATLALAPWHGRGDLRGFL VRKQAKSHGMGNLVEGPLASGSAVAILDDVATTGGSSLQAIEAVEAMGCKVALVVVVL DRLEGAADAFRAKGIPFHPLLTIRDLGVEPLAPA OJF2_RS12945 MDASNENLKTRIVAQALGLSVSTVKRWVDTGVIDARRTVGNHRL IPRSEAIRMARELGRDPGLLQAQSPRPSATSAASIDQALCDRLYHLLRQGHDRAGQVR QLIRTVHETGGAVGLADGLVGPVMQRIGHGWQEGAVDVYQEHLATHVIASVLMSLIGA CPGTGPTPRPLAIVAAPGGDPYVLATLLAELVLRESGWDVCNLGVDLPLRSLANAIRP KRPGLVCLSVSTIREPDAFDEEFAAIREAAASVKASVIVGGRGFGPELRSRHADVASG HRMADLADFARRLNTSLGSSAAASTSREGFAGRPGRSRPDADRSDTRGQATGREWHID G OJF2_RS12950 MGSRQQSSGRDRSDHFLAQGKEITMLNADEERSLLERLGECKRK LAAALADVRSVDVPTAADDPHALARYIAIASAGLVDGVTGAQLGAVYRTYMGLRERLA MANVKLVAHVAKRFRDRGIPYGDLLQEGFCGLLEAIDRFDLKHETKLATYATWWIRQS IQQAVAAGAYPVRLTTRHLRQLAQNQHEIDSRSRGADGLPQGEPAETGGEVIRRIHAA TRPAVSLDAALERNQGFSLRQAIGSEASDEIDDMDVEETVGRIVHALRPREQEVLSLR FGLGGRPRLSLSQVGEALSVSKERVRQIQDRAIEKLRKIADEEHLARRLALEP OJF2_RS12955 MAKPRFRPDPDRDPVGPFLHFLMAECNVSPHTLAAYRSDLMRFL RWRKANAPGPLRSLDILSLSGYVEELGRQGLAASSIGRHLASLSTFFRYLVSEGKVAD NLAKLLVAPAVWDRLPTVLGPAAVERMLAAPRPDTPMGRRDRAALETLYATGCRASEV VGLRAADVDLQTGLARCVGKGDKERWVPLGAKAIAALRAYLADRPGLVSRHPETRTLF VARSGRPLSRVGLWRIVKKSAAAAGLKGDVSPHTLRHSFATHLLAGGADLRAVQEMLG HASIATTQIYTRVEMSRLREVHAQFHPRGRPPVDPDAAR OJF2_RS12960 MTDLIAHLWSALSEAGLPEVMLYLPDGTACRCHWKDTTLIGETR VALLADQDRKIVRIMPIADCKGIGIAPPKGADPMGYRPTVQAKLEGCFGAGGHPHGV OJF2_RS12965 MSSLLHPPGDGGDLGSIVSDVRRLEEQWQVRGDVPLEEFWRDCR RGPMSRYGDPLAHLGALIKADMRCRFERGRPAEVAQYLDRFPGLDAADSRVISLIYEE YCLREESGETPDVEGFCRRYPRWSESFVSQLRYHRLFSEAGVLENKEPNYPRPGDLFE EFRLDALIGKGGSSRVYLAQDLSLGGKRVVLKVSLDNGQEPKTQGVLDHPHIVPVNSV AYQTAEGLRGLSMPYRPGLPLDDILRKLRPGDRPARAAAVWEALLDGLHPALPTLDEG ERASLRRDGPSGEGWRGFPRSGTFAEAAAWLAMVLARALHYAHEMHTFHRDVKPGNIL LTVHHGPQLLDFNLAASPYVADRSEYAMLGGTLPYMAPEQIRAFLDPQSWDLVGAQSD IYSLGLVLREMLTGQAPALPNEKVPLPRAMQELLDARHTLDIDVRSLNPRVPYALQAI VSRCLQFEPGDRYPDAQALADDLDAFLHRRPLRVAVNPSRLERLRNWAIRNRGKIAIN AAYLTILGGLGVAAAGAALKPDPATLPEFKQAVRDIQEGRADAAAGPLRSLVREYPRS PLPRAYLGLAQALSTRIAENDAQLSLREFFGLPGAEELLLDWMRRDPTLAARLVDFVQ EQLEQLNRFKVRRYAGKSPQERAALADEDRPVERRYYEVMLRVARLALKVDPNSESLP IQMAIAEEALGEYEPAYGRLDRLIEALKPRVDRSRRDQMLHLISQRARVAMRWSGVLL QRGDGDSVAQSIKLLQDNLDGMESCQGEIFEAISTDEAPGTRVVIVYNFYWIMTECWL ALTDAQRSAGLAVEAAKSSQRTKASFDRLAAFVDARALNVKPDVEALGDRVRKARADA RRPR OJF2_RS12970 MTMLSGPTFGDELSRALLDAQRGDQVAWETVFRECYPKVRRVVR RRLDRSMRSLYDSTDFASDVMKSLAANINHLSFPSVESLIAFLAHVAEQKVIDEYRRQ HALKRDISRDRRLVPSDPDEAPVQLPSAEPTASQLAQANEVHERLLARRDETERAIIQ LRREGHTTADIAESTGWNIRKVQRFLKDLFDTIRD OJF2_RS12975 MRTRRRFQPSLDTLPLRLAPSDTNVLLIPTDPAAVPTSSGTYFV SPMDPGSSAIGVKATGPSTACGPGSFNTPPPLAPTPTAVA OJF2_RS12980 MTLFQAHENDRRIPLDPELYVASQPISPPFTDPWTVLAHPDAAR RYVARVRKIISRLRSELQKELRRAEALINAGHGLPIRTEMERSRLSPLGLYIAALRSG RVDLAIQLSDGVVNQHRGCPLYRFAALSMIPADSYPVGDETPPMVPFEVINATPGRCV LQN OJF2_RS12985 MNKSPSNSEWLEIKAGLARRVREIREDLYGEHGGPLMAEALQIP FRTWLNYENGCTIPAPSILRFIEHTQANPHWLLTGRGPKYQIAAATN OJF2_RS12990 MPIQREYLRTVREACAELRSRLRDGEAIRVEAILSAYPTLGENE EAVLELIHTEVTTRHDLGQKPTLDEWQERFPDLLGRMESLLSLREVLGSEMPTLSDGS GPAPAPAAQAERGADDRFPRIANYHIIEEIGRGGMGVVYKARQSNLSRVVALKMILAG ENAGLRERARLRNEAEAAAQLIHPNVVQIFEIGDHDGLPYLTMEYVVGGNLTRMIRGM PQAFRWSARLTEILARAIHVAHQRGIVHRDLNPSNILMTPDGVPKITDFGLAKFLMGE QGVSLNGVLLGTPSYMAPEQVSGGEGAIGPATDVYALGALLYEMVTGAAPFRGFTPME TLCQVVEAELVPPSRLRHGVPADLETICLKCLDREPSRRYASAADLADDLRRFGENQP IRAKRTTRLRRFLQWRRRQPLAAGLLAFSLLLSLLLLGGAVFHYLKVTESNRELEKRL SLAESEKSVVSYQRSRADGETRGVAKRSYDMLLGRVKQALDEGQPELAVQLLDGWVAD DRLDLAKGFEWEYIRSLIRRARPPQKGHSGAVICVAASGRPGELVSGDASGHIIRWDT RAGTHARFRDRHAGKVLRLAYDAPSNATEGTVASLGEAAEGLQVRFWDAKQGRAVGDF RIGAMEVSDFRLSHGGTRLHLCGKMAGGDGWRAWAWVRSDGGWRADPSGARGGVTRLA WSGGEDLLAEGSSDGTVQLFRADGSPARPLEQRPAGAIQSLTVSKTGRRVAAGTDGGA LLIWDAASGKLLAFSPGRYGPIRFLRFWRGDEAVVGCDGGSRLWTRLVDRPEELCILP VEGRSSFRWFALAPDERRLAAGDDRMVWTWGLADGVPGRPYRANSRLSAPIAFDADGS SLLLGCGDHSIRVWDHRDLGEEGTELGSHDGEAWSLCFDHGGAMLASGGDDHLVRIWD LKGRREIARLAGHDQTVTALSMAPRGEKPGDGPPALLASAGLDGKIFLWDLDPTRPEP AGSPARRTLLHDFGAADRLWAVSFSPHGTHLAAAGKRGVISMWDLRKPSAPPVELPAV GAAINALAYTPSGNILASSSTNGLVKFWDPDHFIPYKGRDRTGPVTWCLAFTPDGQTL VEGTADRTARFWSIQEWGVNQTILGHPMGVRGVATHPDQSLMATGCDDGKVRLWDLES SQLFYAMNGHTARVNAVAFSPDGSRLASCDHRGSVRIWSGDAPPR OJF2_RS12995 MQDLAGSERRRGWLRSLGLDRPELRAWALYDWANSAMVCTIITA VFPTYYSSVACAGLEPAVASRMLAVATTIGMVFIAALSPVLGAFADYTAQKKRLLGLF LTLGLAAVAGMFFIRTGDWVLASALFILANIGANGSFVFYDALLPHIASDEEIDRVST AGYALGYLGGGVLLALNLAWIVRPGLFGLPTDPGSTLGARLAFLSVAAWWGLFSIPLF RRVPEPRAVHPAEELHGESPVRATLERLSNTARDLKRYRNGFLMLLAFLIYNDGIGTI MRMAVVYGSEIGIKQEDLIRSILIVQFVGIPFSFLFGAIASRIGVKVSILWGLAVYTV ICVIGYFMTTAGHFLLLSILVGTVQGGTQALSRSMFASLIPRDRSGEYFGFFSVAEKF AGILGPALFAAINWGTGSSRGAILGVIGFFVVGGILLWMVDVEAGQREARLDEPAEAL ALTPLASAATSESP OJF2_RS13000 MPDMGGERGDAAGPTTQGLAAKAAPSSLRRFLGWCVHAYTASGL IAAAIMASLLLEGGPAAYRWCFLLMAIATIVDATDGTFARMVRIKEAVPSFDGRRLDD IVDFLNYTFLPILLIGRAGLVPPGTEAWLVVAMLASLYGFCQVDIKTPDGYFLGFPSL WNVVALYLYVLPVPPWAALAIVLALALFTFVPSKYLYPSQPGMLNVVSTVLGAIWVVP LGWLLWSLPSDVPPRDDPRVVRLALVSFLYPLYYIAASWVVTAIHWSRPRRAA OJF2_RS13005 MATNDHAEDLRDLAGFGYRQELHRSLGSFSSFAAGFSYISILTG VFQMFALGFAAAGPAFFWTWPVVLLGQLSVAAGFAELAAHYPLSGGVYQWSRRIGPAG LGWMAGWVYLASSVISLAAVALALQGTLPQLASAFQVVGDAADPADRARNAVLLGCIL IALTTAVNVAGVRLMAWINNLGVMSELAGVTLLIVLLLLRAKRGPGILLQIHGGDPAA GWTSGMIGPLLAAAIMPSYVLYGFDTAGTLAEETTAPRRRAPRAILRALLAAGVAGGL LIAAGLMAADDPTSSRLSAIDGGLPWLIKEALGPSLGRLFLGAVVFAIAVCALAVHAG TVRLIFAMARDDALPFARSLALVPRGTGTPVVPAVATGAAAAAILVANVNLPRIIETL CSVAIVWANLAYLLVSYPLLAARLRGWPDSEGPAPFRLGRLGLVVNVLAVAWGAFLVV NMSWPRASIYGDDPAGKYAAALATGALVAIGLVYYMVARRRGFAVLSEHSAAAEPELP GPALGPVGAD OJF2_RS13010 MPESSSAPASKPKFDNKGQYSRTSILRYEKIFGRDYISTGGHET TENLCRRLEGVLRPGFRVLDVGSGIGGAAFHLAKAYGAKVTGVDLAEEMVAIAVDRVE ELGMQGDVNFILGDVLETSFPEKFDVIWSRDALMHVPDKKTLFACLYGLMADGGKMVI TDYARGRTPASPEFEEYIVKTGYSVIEPGQYGQLLRDAGFVDVVVDDATATFVDILGR EKKRLVEHRSEFLSSFSEEDLNYLVERWAMKERFCAAGDMKWGIYLATRKG OJF2_RS13015 MAEGIGRGRRGGLARRGLGAVAGDKSPGRNRSRPRLEALELRRL LATHLVTNTGDSGPGTLRQAILDANAESSPADIWFQIPASNAALLDVPVSGFDPATRE WTIALSSALPTITNTVRIDGFTEGNGAGVPYRYPAGVSSSVQSLSVTGSPTGGTFTLT TSAPLPVGTITLPYNATAATVQAKLGGLVGTGNVAVTGGPGPDSSFTITFQGAYAHES IPDVVADGTGLAGGTSPGVFVETTTAGGDATSDPTYITSSPSTTVATAGNNAIYTVVV DGSGLTGATGFTLQASHCNLRGLVIEGFDVGVHVLAADASGEPITGDLVQGNAIGDHR YYLYDSESGEALASSRAYAASGGNLEQGVILDALNSTVGGMNPQESNVICGNGAQGIW VTSGAIGNQILNNQIGLYGPDESGYYVDDGNASEGILIQSPSNLIVGNVVSANGGAGI RLIGASTVRNVISGNYVGVAAGGGYAFGTGNPGNVGDGIRLEDAGSNQIGGTDSGAAN VIAANGGAGVYITGSSATGNVIENNMIGVTSDGGQVLGNAKEGVALYSGSNTVGPGNV ISQNLLGIGIYGPGASEILVQDNLIGTDSTGTKAGFGNANQGILIVDSSNNTITGTTQ GAQVISGNKVGVSISGVASTGNLLTGSFIGTDKAGKAPLPNATVGVLLSNAPGNTIGG STAAALNVISANHVGVQVDGPTATGNLIAGNNIGTDVTGTQGLTGNEVVGVLFTTNAS NNTVGGTATELGNKIAFNYVGVEVDSGTGNSILTNATWSNRHIGIDLVAPGDPASGIT PNVPGVRSGPNNLQNAPVLTAAVGGAPTGSIQGSLNSVPSASFLIQFFANTSKDPSGY GQGQTYVGSTTVTTDASGDASFIYSTSTGLTTSNWITATATRLDTGDSSEFSNDVQAL PVGIQLATAATTVASTSGLLTVHVLRTGNTAALVTVHYATANLTAVKGKDYTGVAGTM TFQPGEVDKTFTVPILNNVNQTASSVAFQIVLSSPTYGATIGAIGTETVTITNSLTHS LPNVFYVTTTADSGAGSLRQAILDANAASTQADIWFAIPASTAPGLDAPVDGFDPVTQ EWTITLATPLPVITNSVWIDGFTQGTGGGVPYKYPTATDLIFITSRPNDLAALSGNDA VYTVVIDGSELTGATGFEVDASHSNLRGLVIQNFDVGVRVDATDLSGDPVLGVLVQGN AIGDHYQYLVNASTGADLPAGQSPVFARTNGNTGAGVIVDARNTTIGGSNPQECNIIC GNGAQGILITAGATGNQVLGNQVGIAGPGGSGLYVQDGNGGDGVEVLSSGSAADPANI VYTSSIKIAGNVISANAGAGIRLVGAGAVRNLIEGNYVGVAPGGGYAFGTGNPGNKGD GIRLEDAGANQIGGPDPADSNAIASNGGAGVYIVGSSATGNVVEGNMIGVTSDGGQVL GNSREGVALYSGSNTIGPGNVISQNLLGIGIYGPGASETLVQDNLIGTDSTGTKFGFG NAKQGILIVDSSNNTISGTSQGSQVISGNNIGVSISGLASTQNLLAGNFIGTDKSGKL DLGNKAEGVFLSGAPRNSIGGGSSAALNLISANHVGIHLDGPFSTGNLIAGNFIGTDV TGNSRLGNEVTGVLFTGNAGGNTVGGTATGLGNRIAFHRQAGVEVDSGTGDSILSNAI WANNRIGIDLVAPGDPASGVTPARPAGTPGPNNLQNAPVLTTAVGGGTTSNVQGTLSS VPGTTFLIQFFTSLVPDPSGYGQGQTYIGSTTVTTDASGHAAFGYTPLNSLAPTDWVT ATATNLSTGDTSEFSNSTSAVPVSVQFATATMTVEATSGSLIVHVVRSGNANALVSVN YATANGTAIAGKDYAAASGTLTFQPGEMDKTFVVTILANPSQAASSVAFQVALGSPTG GATLGTIATSTVTIDNNMPAVLQFSSGNYTGSASATSATITVVRGGGNRGGTVQVSYA TAGGTGVAGVDYTPVSGTLTFLGNQTTATITVPLLHPASTATAVTVGLALGDASAGAQ VGSQATATLTILAGGGGGGGGGGGGPVGPPPQITGQQLVVGPGGVSALVYSFSKALDP ARASDLGNYGYYAITAGADGTFGTSDDGAIRLASASYDAASCSVTLVPTAPLPLGVFV RIVVDGMTDALLKRGITDTAGTLLSGAGNGVPGGPYVTTFGVGPRLAYADAAGVPVTM NLAKGGLIEVFRSAFGDVQSVTLLGAAPKRSILTLSAGVRRGRTTYMPPIAGAAGVRI RYRPSSAAFRHTPAPAARRPAR OJF2_RS13020 MRRRSMARRLARLGVLGFFVLISIAGAEQVRKQIGPNKPSPDRA DMRYGPHPRNVLDFWKATATDGHPGPRPVVVFFHGGGFIGGSKSSVPAWLVDRCLAAG ISVASANYRLSSHAPYPAPMLDGALAIQFLRSRAAELGIDPNRIAGCGNSAGGGIALW TGMHEDLADPGSPDPVRRQSSRLACLGLVGAQTSYDPRFIKTLVGGRAHEHVALRPLF GVTSDAQADSPEVHRLYEEASPINYASADDPPMILFYSEPNAPVAADARVGLGIHHPR FGAALKARLDPLGVECRLRHGDDYRDREHPEQAMYADLVEFFREHLRP OJF2_RS13025 MSPEPDKRAEGPDSDLTMAYQGTLPVGTGLGGHAEETVGHADVP AAVSEARFQVLRLHARGGLGEVYAALDPLLKRQVALKAIQPRYAHDPLSQARFVQEAE LTAGLEHPGIVPVYGMGREADGRPFYVMRFIEGETLKAAIARFHSSRTQRLPAREREL QFRRLLQSLIAACNAVAYAHGRGVVHRDIKPENIMLGPFGETLVVDWGIAKAHKETLG PAPMTQRVIPFEPPDEGLTLPGSAVGTPRYMSPEQAAGDQGQVGAASDLYGLGATLYC LLTGRGPFPDGELSDVLDRVRRGIFPSPRAVRRSVDPALEAICLNAMSLRPEDRHASP LELAGELEAWLADVRYRDDQARALGEVKASLVRLSIERAYNLFARSKHDEGMLWLCRA LENLPAESTALERVVRSSLGAWHARDKLLERSMSHGRAIGAIAFSPEGHRLATVARDG SMRIWDVATAQLLGQASGHDGPAVAVAFSPDGTRVATAGEDGTARLWDALKAEPLGPP MALPGPATGLRFGPDGTRIAACSRGGPPCLWECGDGRRLQLTGAGAGAGHALALAFST GPAEDDVLLATAHEDGGVHFWDGASAGYRDRTFEHPGAVESMAFRPGSGELLTGCRDG KARLWDAREARVVREFGLSAAVRLVGFDPSGRVAAVAAGDGSGRLWDPDRGVPIGEVL AHDDAILGMAFSPDGSILATCGDDRTARLWDTATGLPVGPPLEHPETVTSLGFSLDGR RLATSSADGLARIWRVAPHIPGAVERIGCWVRTLTDLDFDAGDAIGRIDPAVGWELRR RLHELGGPPIRKVERW OJF2_RS13030 MNPMPSRPFVASAFLMILGAGPALAEEPANTLVIHADQGRTTIN RNIYGHFSEHLGRCIYEGFYVGEDGAIPNTRGVRNDVVEALKKSKIPVLRWPGGCFAD EYHWMDGIGPKEKRPTMINTHWGGVTENNHFGTHEFLDLCEQLRCEPYICGNVGSGTV REMQQWVEYITSDNISPMTDLRKANGREKPWKLTYFGVGNENWGCGGSMTPEFYADQY RRYATYVRDFGESHIFKIACGADGPNYRWTEVLMQKAARNMAGLSLHYYCGTGIKSRS ATQFAEDDWFALMKKGLRIEEIIGRHEAIMDRFDPSKKVAMIVDEWGTWHDVEPGTNR GFLYQQNTLRDALVAGLSLNIFNHHADRIRMANIAQTVNVLQAMILTDKARMLLTPTY HVFEMYAVHQDATLLPTDLACKDYTFGDEKVPGLSVSASKDRAGKIHVSLCNLNPTAT AEVSCKLEGSDAKPASGRILTAPAINAFNTFDAPESVKPAEFRDYAPAEGGFKVTLPG KSVVVLTLE OJF2_RS13035 MNAPIDPIRLAVPSKGHLYEGVVELLKTAGYKVRRASDRQYEAT IAGQPRFHVVFMRPTDIVIQVQEGRCHLGVTGMDVYAEHAFEAEDAAVVIPDLGYGGC RLVVAVPESWIDVGHIMDLVDLTTEFKAAGKSFRVSTKYPALVRQYFRKWGIYYYQLI HSDGALELHPSLGISDIIVDLTSSGTTLKDNRLREIGGGIVLDSAACLVGHAPSLASL AREGEAGELALLLDAIDGVKRSEGLLHLEVVGGPIEPGSPTADAAAAVASYLDERGAK HLVRGEVWDERGRPGWRLTALLATRKLNACQRVLFSLGASRIVGLPAQFVFEKDAPST FNALRERLGVEP OJF2_RS13040 MSGGPAPSRPAEAGPDPGAAAVAERPVGRVRGTRDWLPDDFARL AEIERQLLDQFGRAGYRPVRTPILEFAELHERKSGAGIVAKLFEVGGGETTEVCLRPE LTASLVRAYAEAEEPPPLPFRVSMSGPAFRFQPTGPGRDREFTQVGVELIGAGGAAAD AEVIWLADWSLRSIGFGDATIRVGHVGLILELLGRSGLPPAAVSALVESLSAAAAEGQ NVRAIEAALERLSGWLGATGDGGAPGQAGGAAGPAAGVERLFRQLVPNVTGRRSGEEI IHRLTRKWTLGHTLADVLGRVREQVHALAALKGPAGEVLERLERDHARHAPDSVAALR DLMEALGHHGVDPGRVELDMGFGRGIGFYTQMIFELVVPTPGGPREVCGGGRYDGLAT VLGSPRDARGAGFAFGLERLLEVREARGESRPHRNGDARGYLVSAASGPASDARRAAS NLAAAIDLATFLRERINVPIVLSELSFPAAVAQARALGLGQVVTVGPAIEVWNLEQGD VRSVREGELIEQMRARLAVFRGDRP OJF2_RS13045 MIDPRVASGLRDLPPSVMIPRERMLATFRRTFGAFGFVPIETPH IERMEVLAGKGAGSDEVLRQIFEVTNKGGTPGELALRFDLTVPLARFVAKHVDELGIP FKRYAIGSVFRGERPAKGRFREFVQCDFDTIGTESVLSDAETAQVIHDALSAAGVPDF TITLNNRKILDGMLESLDLAGRSGQVLRSLDKLAKAGRDAVLAELQKPTGDGTPGLTG DQASRVLEFAEGGRGGVEVLREAENALGTRPLAGQGIANLRTVLDLLSAAGVPEDRVS IDLGLARGLDYYTGIVFETTIKGWERFGSVASGGRYDNLASLFTSRRLPGVGASIGLD RLLALMDEAGWLKGMAKGTPVLLANFPGTDPAIPFRMAAELRAAGIGAEVYPEPIQVG KQMGYGSNHGHKIAIIVGPDEAGRQVFNLRNLATRQEDKGLSWSVLVDSVHSVLQALE QERAQS OJF2_RS13050 MRMQADSTPGADAEIWREVREGSVAAFETLVRRHQSLICAVAYS GCGDLALSEDLAQETFWAAWRQRASLKSPERVTAWLCGIARNLARNAHRKEAHRRQAA PDADLLARVPGGDPEPAEEAVSREEESLIWKALERLPEDYREPLVLFYREGQSVAETA AAMGLSEDAVKQRLARGRRMLRASMSGLVEDGLRRSRPGRRFTATVLAGLAAHGAGAE AASAATATGAGVAAWKASAGAAGAGGAIGGLLGTLGGLLGGWLGTWLPAQAAASRRER DAILLAGRRMMAVSIALLVVLLGPIRAYAGTPAYLLAWGGWMATFAALVGAECLRLAR EVQRIRAEPMTDDAPNDTSLRAGLAAASGWVGGRSYRSDATLFGLPLIDVQLTAPKPP GPGEDFPGRDQGRRIARGWVAIGDDARGLLLAVGATARGLVALGGRAFGALSIGGLAV GLVSLGGVGIGVAGIGGLGAGVYAIGGGAVGWRAAGGIALGWDLACGGGAVARHAALG GAAFARDYAVGGQARAAHANDDAARAALLDDPFTRIALTAMGQQGVLDRIRSPRGGGA QLPGQAPPPETRGDFGLDNGLAVRIRPIEGADRAALVVLYKIGGDHDPPGRSGLAHLL EHLAVTSAAGDSPSRTAEGFYRSHPAGCNAQTGDRYTALATVFPPQDLDRELREAAAR MGRLRITPADLDRERPRLLDEVANMFGRIPSLGAINVARELVRPAPHGGRKGGLPEQV AAIPLEELRARWDRYYKPRNAILVLAGAIDQDAARRAVTDHFAGLAPGELIPPPSGPG PLRAGAVRELSVRPIGPKAGPVACVGYAAPDPRSDLYAPFLVLAARFWAASATQNGGE PGRPSVYFPVLDDPAMLAVSATARAGETAAQSFARLESFVADTVAPPLRDGEREAARM NFAMFLGTAEMPDAALARNPYGAAFALARREQLGIDPAAVGRAFDALTDRDLRRAADE AFAPGRKVGVRIDPGE OJF2_RS13055 MMTRKRLVRGLLLGPLILAGAIQSASAEPPAPADEARAPAPPMR LFGGMGKEVKISPGEEKVLFERAGAGTLTHMWFGGDWPAWGDTRIRIYVDGEERPGID MALFLGHGIGWGNDAAPWGTNRVGKTGRPSGVYNTYRIPFGKGVKVTATMAPSVRDPQ VFWWIVRGLTDYPVRLGGITLPPSARLKLHRLDKVRAEPLKDVTIADTPARGLLYAVT FEAISDNFNYLEACLRAYPNGAKEPVWMSSGTEDYFLGTYYFNAGLYHNPVAGLTHCD PDRPGSSYRFSAYRFHEDDPVPFQEGLRLVWRNGEELDGHRYGDPKPTTLTSYVWTYE W OJF2_RS13060 MSDTAATMTGVVLPGNSTVEFQEYAVPEPGHGQVLVRMKASSIC GSDIRAIYREHLGKGPEGYQNVIAGHEPCGQVVKAGPGCKRFRPGDRVVIYHISGCGV CDECQHGYMISCRDGSRAAYGWQRDGGHAPYLLAEENTCIRLPDSLSYIDGALCACGF GTAYEALRRMQVSGQDRLLITGMGPVGLAAAMLGRALGASTIIGTDLSDSRLTLATEL GLVDVALRADDSALDLVMKMTGGHGCEASIDCSGAAPARLLALQGTRDWGRCAFVGEG GTVSFDVSKYLIHKQITLFGSWVTSLKHMEELVERLDRWGIHPDRTCTVRLPLAEAAK AYELAAGGQTGKVCIVHEE OJF2_RS13065 MADQRAEPGAFAVAADGVDPASVPCRTLASGARIPAVGLGTFGS DKYGPEEVAAAVLGAASVGYRHFDCASVYANEAQVGRSLRAILDGGVRREELWITSKL WNDKHGEGDVAASCERSLRDLGLDYLDLYLVHWPFPNYHPPGCDVSSRSADAKPYIHE NYMKTWRQMEALVDRGLVRHIGTSNMTIPKLRLVLRDARIRPACNEMELHPHFQQPEL FDFVVENGILPVGYSPIGSPSRPERDRTPDDTVDAEDPVIVRIAERIGVHPAVVCIKW AVQRGQVPIPFSVKRPQYLATLGAVCGEPLTDRDMADIAAIDRGCRLIKGQVFLWKEG QSWEDLWDVTGEITPA OJF2_RS13070 MTPRPQPLAKPEIATRVSQGRYFYVHGPEADDPGLVVVCGGREA CAADYEVDRSDFPYYGLEWVERGRGELWLAGRRHRLGPGAVFCYGPGVRCRIRSDPGD PPVKCFLDLAGEGAAAAIEVARLRPGRIAGVASPADLSEVFDAMHRDATRPIAEAHPL AAAHLRVLLLKIRAGRVPLRAEGTARQETFLRCRQHLRDRFRDHRDIESAAAAMGVTP TYLCRLFREHGEASPYQFLLRLRMNEALDILLSSGGQVKQTSFACGFRDPSHFARLFK RVHGLTPRELVARTGRGRRE OJF2_RS13075 MVTRPRPAFTLIELLVVMAVIAVLVGLLLPAVQAAREAARRMDC QSHLHQIGLGIMQYFDDWNGQFFLHHPFDADSLSQVDNAESFAEIYWEDKIMPYVNPS AADEAIARGGVRASDETIFRCMSDTSAVKPFTDPDTGQIDGITNRTSYLLNSLLTHKS VRYGRWTFPRLQNEIGTSNFACMNERDGSVMVADVNDPVAATDPRQDDYDIWLGTTTL DKWIPWDRHGTSNVLYLDGHARSVTRADAYPGMYPGGALLRVPSWYP OJF2_RS13080 MHDLQSRNVIVGGGAMGAAAAYALAARGEPVLLIEQFALGHDRG SSHGAARIIRHSYADPAYAGLMPAAFRAWRELEAAAAVPLFTRTGGVSLCPPGVDYVA RVSACLGQLDVPHRRMAGADWNRANPAFGVPADHDVVFEPDAGMLAAARAVGLQLELA RAVGGDRTLVLERTPVRSIDLDGPRPVVLADGVRVTAERLIVAAGAWADRLVPGLGVE LAVTRQRVCYLRPEEPTPYRVGRLPAFIAMGAGPLEAFYGMPDFGGTTVKVARHGGLP TDPDLDDRAVTAADAAIVREFLRGCLPGLADAPLAAAETCLYTSTPDERFLVDFLPGR GDVLVASPCSGHGFKFSCLIGRILAEMAVDGASPIRPSAWDLPRAGG OJF2_RS13085 MRGAELYDRVINAPGLMHLVAAFGVGVGFIMLAATFLAFGRVRV LARFMGVLGIAISMLALFVVHEQTVEERAGQYVTVTRYRYPESTRFQVRVALLGLPAA AAVVTVWVLGTVKKRLRSSVPDHMREGRKLLLHGRVDEALVQYNKAIAIAPYLGEAHL QRGYAREAKGETDLALQDFNAALDNDPQLVLAHLHRGRILTEKGELDAAMQDFDKVMA LRPNDPECYLNRGVCQARRGQIDEAILDFQRVLKLTNHTDYAEPARFYLQQLGGVDPT VSPLMAAPLLGPNGAAGALPGRNQAAATDHGA OJF2_RS13090 MFRDEVELPIAAKEPGGGRAVTVVQGIPAGRHTLEVRARPRNVG SATAGSPVEAVRVYRPPVAAGP OJF2_RS13095 MLKKIPPILSPELLYTIAQMGHGDELVIADANFPAVTNAKRLVR ADGHSVPAILEAVLQLFPLDSYVDHPAAVMRRVDKPGEPAPIWDEYQRILDSAEGRHI AIEQVERFAFYERAKTTFGVVATGEGALYGNIIIKKGVIPPK OJF2_RS13100 MFSFQIPTDRVDYLQVAFAAIGPGLVVRWGTQFTPYTVSTWDAP GSPSGWKLTAPALCLSPDGEWASAYDKGSGEVRVTRVGEGKPSAVVGRGVGAGNVWTA VAPGGSAVAWKDDSYTVVHALPGGEPVARVKSGWGVDLRFSAGGRWLTERGERVFRVF ARESNHKVFARIPAARFILGEVTEGLTAVVTTEKDEVTVWDLGRKAATATLSGGGSVS ALALSADGRRVLTGTTDGELALWDADGVRLQRYDWEVGVPIAAAFSRDGTRAAIGGMI GRIVVWDIED OJF2_RS13105 MSSPLDTDDTIAAISSAPGPGARGLVRITGADAFRIAGSFFQPE LDAPADRPPRASLRRGWLALEGLRPRLAAALALWPAPRTYTGQDVAEVHLVGSPPLLD LVLSQCLARGARPAQAGEFTLRAFLNGRLDLTRAEAVLGVIDAQNPAQLEAALEQLAG GISAPIAALRDRLLDLTALIEANLDFVDEHDVDPVADARLAGELDAASADLAGLAARL SGRDRPSHLPRVVLLGPPNAGKSRLFNAMAGEDHAIVSPVAGTTRDYLVARCDCDGVA FELVDTAGEEAPGEPIQAQAQDHRGEQARRADLLLLCEPAPDEGSSPGETCADAPFLR VRTKCDLVTSDEPPARDDPIRTSAATGDGLAALRSAIAEHLRARPGDGDQPAGTAARC RDSLARAGDSLASAAEALRSALGQELVAFELRSAIDELGKVVGATFTDDILDRIFSRF CIGK OJF2_RS13110 MNDRKQIYVVLMVMILWMLLIQALGWGPRPQAKKPQTDPAKVAK DQGDAAPDAKAEAPGREEDRPKGAEEKKGPKAPEVAHVDPSELVLGSATDKAPDGYRL AVQLEQKGAGVESILSSRYDAEFEGRKNPHLPLQILRRDPIAPPSLSLTINEEGKAAE VAQPEVDDEASELAPPRITEDLLDSVLWEVVRDPEGHAVRVVRSQDPATKADIEGQEV VFRATAANGVGITKTYRLWQTMNGLEVELAFESPDRERAFSYNLFGPHGIPIEGEWYT GTFREVFFGTIRPSGNGEGSIKVETHTANDIVKAGDKPPKSTTLPLRFAGVENQYFAA FLAPYPAPTSDEGRIDKETKAVVLHRDAQAVQKSDVGIRMTSKTIRPGPNAPVVHTFR VYTGPKIDSALAPYNASILAAYRKSSFIPGASYVARYFITPTLSVTYDLTTAISRALG GSVGNWGVAIILMTLFVKLLMFPLGRRQALMAQRTQQLQPYLKAIQEKYKDDKEQQTR ETLALYKKHGVNPVSGCLPALIQLPIFVGLWQALNSSVSLRHSPFLWISDLAAPDMLF RFPVEIPLLGSFLGRWFNLLPILVVGLMLVQTKLFSPPATTPEAKTQQTTMQFMMVFM AVMFYKVPAGLGIYFITSSLWSIGERLLLPKITHAAENTPEADALGGDAGKGGPGKGG GGNGRGPAAPKKPPGAFGQFMERILEEARKDPTYRKLTDDREGKGKDRKTDRDDRRDR TRPRPKPGRKQSP OJF2_RS13115 MRRALISDIHGNFEALEVVLEDIHSQGIEEIFCLGDIIGYGPNP RECIDRVMETCKVTLLGNHDQGAMFDPDGFNIGAERAIFWTRSQLESATDRSANERRW EFLGELPRTHRMGPFLFVHGSPRNPLSEYIFPEDIYNHRKMERLFQLVEHYCFQGHTH VPGIFTENFQFFAPEEIDNEYTLGEGKLLVNVGSVGQPRDGDNRTCYVILDDGIDPKD GEGARPKTSPRITYRRLPYDFEKTIHKIYAVPDLEPFLGDRLRQGR OJF2_RS13120 MNLLAVDTSSDIAALALCTGAGRRLVPETRAEPARRHGRDLLPS IRALLQDGGIAPRDLDVVAVGLGPGSYTGLRIGLTAARMIAYAAGAAILGLDSLEAWA RSAPAQAGRIHVIADAQRGDVYAAAWERDVADAPLRKVMDSRLEPLAAWSARLVEGDH VRGPAVASPAIRRVIPPAAIVADAVPDDGRGRSEAILEMALKAWGAGRRDDLWALEPR YLRRSAAEDQRDARGAASMAAR OJF2_RS13125 MADVRLHVVLHEPEIAANTGAIGRTCVAVGARLWLVRPLGFHLD ERSLRRAGLDYWEHLDYRVVDRLQDAALAIGPDRLWSFSTKGQVAHTEAAFAPGDGLV FGPESRGLPPSWLGERPGRTLRIPMRPEARSLNLANAASIAVYECIRQIGFE OJF2_RS13130 MFDALIDYSLKNRGLVILALAALACSGVYSLLSLPIDAVPDITN VQVMALTSAPALGPEEVEQFITIPVENAMNGIPQIKEVRSFSQFGISGVTIIFEDGTD IYWARQQVGERLVQVRSQIPPEFGQPEMGPIATGLGEIYQFEIRNADDSPNPLPIMDL RTLLDWEVARRLKSVPGVVEVNALGGELKTYEVELDPNRLLARGISLNQVYDAIRRNN VNAGGGYIQHNGELRVIRGVGLIDRLKELEEVVLDTTPGGTPIYVRDVATVRFAPMIR QGAATRDGRGEAVTAIAYLLAGENGRVVVDRIKEKIEEIEKVLPEGVVIEAYYDRSTL IEKTIHTVAHNLAEGGILVVVVLLVMLGNLRAGLIVASAIPLSMLFAGNLMLGFGIAG SLMSLGALDFGLIVDSAVIVIENCVSKLAHADPKAKVLDVVRHATLDVRRPVVFGVAI ITMVHLPILALQGVEGKMFRPMALTVIFALTGSLLLSLTATPVLASFFLRTGASERDT LPVRWAKRAYAPVLSAALRHPWAVTLGAVLAFAASVPLAMGLGGEFIPQLDEGDLIIA QTRPPSSSLREALDDANRLEQALMREFPDEIRTVVSRIGRPEIGLEAAGVNLTDTWVM LREPEAWKRAATKPELIDQVDAICKTVIPGTFYSFSQPIELRFNELLSGVRSDLGIGI YGEDLDVLQKKADEIAGVLRSVPGSTGVKAQALGGLPFLRIQVDRDRIARYEIDAADV LDAVAALGGKVVGQVVEGQRRFALQVRFASRYRNDMEVIRTLKIADPRGRMIPLEDLA DVRMEDDTYEIWRKDRQRRIMVQSNVRGRDLAGFVAEVQKRVLGEVELPRGYTLEWGG TFENLQSATHRLTIVVPLALVLIFLLLYSTFHSFKLATLIFLSVPLGAIGGILSLWLR GLNFSISAGVGFIALSGVAVLDGLVLITAIRQLVEEGEPVPRAVRDASMSRLRPILMT GLVASLGFVPMALSSGAGSEVQRPLATVVIGGLITSTLLKLIVLPAMYSWFDPGLPPH EDDGEAAPAAA OJF2_RS13135 MSTPVSTGSPAGRTSWNSAFRTRIRGRAALYAAAGVAAAGLGAA GLWYSGAFGGSVQTPEPRAARPAAAASADAGPASTPGGAVRLGADQQRAIGLKVARIE AGDAYDMLTAPGRVAPDETKYAFITPRAAGVVRTVTAHIGQDVKAGDLLATIDSQVVG EARLQLYTLLQSLEIARTQADWQERIHESTMELLDRIRKHESPETISQAMADRAVGDT RQQLMSAYAQYRLALATMSRNRELYAQKLITTKQFETVTAEYEVAQSSYQSLMDQTGF QSQLANTRAQQALRQAEAAVRAAQERLRILGVKSDGTEPDVDRGRVVGVAPTTSPAAS VDKLPESKPEPEKILPTETPAVVPPAGMRGPRHADRHGEAPVSTYSIWAPFDGTVLDR EMIVPGVAVETIHRIFTLADLSSVWVEASVHESDFNMLARSRGGAVRFRSPAYPGRVF EGKVIYSGDLVEEKSRTVKLLAEAMNPDRLLKPGMFVEVEIRSPRDKPAARVPTSALL TQGSRTFVFVRTGPEEFAPREVVVETPEGELSPVRSGLEAGDEVVTAGGSKLKAMSVQ LADASAK OJF2_RS13140 MASPESSTEAFLQGQGIPVDLRDIESQLQQLWGPAAERVGGPET EHPHVTRVSLANVLIERLAADAEGLRPVVEQVISKYPCRAIVIRGSDDPEKRITAEVS ALCHLPDPGMPQVCSECIVLNAGPQAEDLIAGAVRPLLEADLPLVLWWTTDPRDHEAL FRDLGDECSRILLDLPDPGTPLESLELGLDPTICACTRDIEWFGLTRWRELVAQFFDP PCHHGTLNRIDSLQIEVETPEPSRPPRLAIWMAAWFAGQLGWKPQGKPSRDCSPDGCT FRADFLGPMGTLAAEIVTHPVTEGRPARPAIRGVTITASGPEGAERFRARRCSPGSDD VCIDARAPDYCKLPSTVRSPEIDEAIRLVAALDASRNDPPFDNARPIALWLLKHA OJF2_RS13145 MPDESAGAAASRSGRRRDLGDFQTPPALAAAVLRRLSAQGETFD RILEPTCGRGAFLREAMALARPPREMIGVEVHPPHADEAARLASEGPGPRVEILPASL FHLDLASDLRWRDGGPLLVVGNPPWVTAAELGRLGSGNSPARRNLKGASGLEARTGAS NFDLAEAVWIKLLEELAAERPTIAMLCKTAVARSVLDHARRRAIPIAEAALFEIDAPR WFGAAVGACLLRVAVGPPGSGVVDSIPVFADLDADAPRAVLGFRGGRIVADSGAMDRM AFGLGECPWTWRQGLKHDAADVMELVWSPDAGWRNGLGEVVEVEPDCLYPLAKGADLK RDTPAGRPRRAVIVTQRALGEDTGAIRGRWPLAWDYLERHAGRFDRRRSSIYRGRPRF AIFGIGPYSFAPWKVAVGGLLRPPAFRVVGPAGGRPTMLDDTCYLLPCQSAAEAAVLA TLGNGPVAQALIAALSFADAKRPVTKRLLQRLDLSAILLRADDVELASAARGLLDGLG PADGDGGESVPEVIGRWKQQFRERPPSPGGV OJF2_RS13150 MAFARINYFSRSLGKASSVTVVFPDDPAVPRPWSAFYLLHGLSD DDTIWARRTSVDRYVEGLPLIVVMPDGGRGWYSNAAVGFAYEDDLVKDVVGLIDRTFP VKAERAGRAIGGLSMGGYGAVKVGLKHHEMFGSINSHSGAVGICQADYHKDERLKGIG GELDRIFGPDPKGGKDDPFAIVEKLDHGRIPPMRLDCGKDDFLLGQNRAFHAHLESMR VPHEYEEFPGDHNWPYWDLHVQEALAFHARNLHIRK OJF2_RS13155 MLRELSVQNLALIEDVQVELDRGFCAWTGETGAGKSLLLNALGL VLGGKASAELVRAGKSEARAAAVFEVEQPALRAEIEAILGGPLDDEGLIITRRISSQG RSSSQVNGMPVTIGTLQRLGEQLVDIHGQNEGRALLDPDRQRSLLDGYGCLGEPLSVY RKARAEHDELRRRRQELLDASQAREREKALLEFERDELASADPREGEYDELVQESHRL ANAEALRTAAADGYDALYEADRSAQVILKRVARGLEPLARSVPELAEAAGTLERLADE VREVAYCLRDLGQGWDDDPARLEDVETRLATYRRLSTRFHCKPDELAARREETEAKLA AIERDDHDLEGLDGPLAAAFRRMKDAAEALTAARQRTARDFGKAIQARLKPLGLERAR LSVEVEPRELGDDPTAPSPPEHGADRVEIMFLANPGEVPRPLRKVASGGELSRLTLAA KSVLACSDRVSTLVLDEVDTGVGGRLGAALGRTLAELATHHQVVCVTHLPQVASYARR QWVIRKQVERGRTRTTITPLDEALRVEELAAMMRGASADDGTRQEAMAMLQEARERLG EDEAPPASPVAAAALAGSNGRAGARRR OJF2_RS13160 MWLCHLVAAFAFVARFGPDVPLWDDYAVIPQLCGERPVTLEWLW SQHSEHRIPLGRLILLASFRAAGGSPRPVMFLMAGLLGLLAALLIVAARRARGGSSYH DAMLPIVLLILGHHANLLWAIQIVYVLPVFLLGAMLALVAGSRTAPHLASMVVAAVYL TMLPLCNAGGLAFVPAMAAWFWATAAGLIVVDGRAARPRAAILALLPIPALVLTALYF RGYAAPPHHAAPGGPAAAARTTAQFLGMGLGDPGASLWPWSGILVVAMLAGSVATLAL AWLKQLGERARVEGLLCVLGAVASLAIGSGWGRSGEDVSAGLQSRYTTLAVPAILASY VAFSVYGSRVTRRLIPAIMGTIAAILIWPNVQEGLKAGRRAGEQSAAFDRDLAAGTPT FRLVRRYSPFLHPSQEDLRTSLESLKRAGIGKFRMLRPDPPLSEQPVALTPADVRLAR WDGRTIEATGPDPWVRFDLPAPVPACGVRIRYDHQNAAGAPARFRLAWRRPGQDDFPD DQQYGNWNLPSGRDLEVTVWIDDVVSQLRLQPDNRPCRFTIREMTLLTPPSNRGEAP OJF2_RS13165 MSEPRPKRVAPAGAGWLARPVGRAGRIGLALVILAAPAVLFFAP FKIPGTAHVARDPLSIYRLYSDDFAYVGASRTLARTASNLFVPHNTHVVPAWRVVTWA LVAWSGRLANLPETLAEAAFGILLAVMILMGRLVARETGRPAIGLAAMVAVGVTSVMA SPACWYSAGQTLWAAFGVLATLWYAQCWRRSAAAATLPAMALSAMFAGWCWTIGHMAG PVAAVYLWLDGRRRCRWAAAVPFGASVLAALISVALGASKVDSSVSFHGRTTKEAVKP LEGLLHTGQAIPENLVLGNLGMKAQTTAAQGLTLTALLVGTWGIGRRRRGGLAAFAPL EVAGLALVFGSYLVEWTVRGYMPFRYLRTVNLGMIVPWYDAVPQVGAVLFAAGWLAGP RGQGDAGLRVPRRPPRLSRLEALSVLGLLGILLTLNQPRVDRLWRNWVPPPLPVEKRM FPIQSMQSMRAHILLLDRAAWQRRFLGRLDLAQEAASRMGIGLASIRQAFGRIDAPEL PDVYDAALLLDLPQEGKELDPDQVRRALAPYVQAEPPPRPSWLPPGEAWPPPDMPHWS ETDVDAP OJF2_RS13170 MASLPASGYGGKKMGSGNGNIPFPKTSSDSLSDHLPPQNLEAER SVLGGILLDNEVLHDIVSFLNVEDFYRDSHQVVYKAIRDLYDLGKGIDALTLADELER RDQYKQIGGDELLLEVANSVPHAANTKYHAEIVRQKSISRQLIQGATEIIRDGYSNLY TAQQLLESAEKRIFTIAEDQIKGETHEIKTVLEKAMELISKRAEEKHPVTGVASGFFN LDDLTNGFHADQLIIMAARPSMGKTAMMLNVCDHAAINCGIPTLVVSLEMGSQEIGER LLCARSRVDGHKLRTGQGLDYRELNKLAKAYHEMEGAAIFIDDTPARNMLQIAAMARR LKLRQGLGLIVLDYIQLVDAEDGRDSRQEQIAKISRRLKQLARELHVPVIALSQLNRG VENREDRRPRMADLRESGAIEQDADMILLLHRPEYYDPNDQPGIAEVIVAKNRNGPTG TAKLTFLNKLTRFENLSTIAEPPPDEGSPF OJF2_RS13175 MAKSASSAGGKTKPKSKKASPKKGTGKHHDAPHAKAAVAEAPRQ PGVERRKNHPLRAKDGHISVLLTRSVTHVGQTGELVKVRPGFARNYLLPQGLATFATP HNLRIVEKHRERLRQLEEARRADLQNLGAQISQRTITIDANANEDGHLYGSVNADQIA AALKAEGFPVETENVRIEGPLKDLGFYTIRIVLGQDIESEAKLWVVPTHTEEHA OJF2_RS13180 MADLNKVFLMGRLTFDPELRRTPSGTAVAELRMATSRGWTDREG GRREETLFIDVTVWDRAAENCCQYLRKGSQIHVEGSLKMDTWEDKTTGQKREKIRVHA DRVQFLDSKRSDSGGGGDYGSGDDEAAPAPPPREPARRSSGPPNGAGATRGGYSQSSR PSRPPVEPEQEEDDIPF OJF2_RS13185 MAVQTYEGMFLLDSTKAAAAWDDTVKHVHDILTKHSSEIVASRQ WDERRLAYSIEGHKKGTYLLTYFKTDGSHLKDIVADCHLSDLILRELILKVPAKLEEH LVNQAMTSTPNVDSESGHEEDGDDRPRRRRRDD OJF2_RS13190 MATLKLVVGLGNPGNKYAATRHNIGFELIDRLAAAGLGASFSRK FEGQLAESEIDYRRVLLLKPETFMNLSGRSVGQALRFYKLEPADLLVVCDDLNLPVGK IRIRPGGSDGGQKGLRDINAHLGTEQYARIRIGIGDNGEQDAADYVLSRFRPAERAAI DDALILASQAVAVWVAQGTEAAMNRFNGISPGKP OJF2_RS13195 MAEALKIRVEPRDPAKNKGTGTRVVRRLRKEGRIPAVIYGHKQE VVPISLSKDDVWHMIKAASHLAELDLGGKSETVLVRDVQWDNLGREILHLDFARVSAD EQIETEVKIELRGHASGVDEGGLLEHLVHTLAVKCPANAIPDSIRVDVSGVGLDQGIH VRDLKLPPNVVADADGDLLILHVVTPRSAEESTGADGADAATQPEVIKPERKEKDKED OJF2_RS13200 MTKLGIVVIGRNEGDRLVCCLRSVADQGFPVVYVDSGSVDGSVE RARSMGVEVVELDASQPFSAARARNTGYERLRALAPWVSFVQFLDGDSEIVAGWLDAG ESCLDDHPEWGVVCGRVRERFPERSAYNRLADLEWNSPPGEIRACGGICMVRAAAFEA AGGFNPSIIAAEDDEFCLRLRRLGWKVYRDAAGMAVHDMDMTRFSQWWKRARRAGHAY AEGAALYGRSPERHFVRETRSIVFWGMLVPVLALGLAWPTRGLSLALLGGYAVLFLKM VRYFVNQRGWSSRDARLYAASCVLAKFPEALGLCRYWFGRLTGRRSPVVDFRGPVQPR PDSAKATPVPLEVH OJF2_RS13205 MKLYVVILCYRVPELTIDCLRSLAPEIGRVPGMKVGVCENGTGP EAAEMIRRAIEENGWGPWCELTAISPNRGFCGGNNILIREALEWADPPEYVYLLNADT IVQPRALEILVDFMDHHPRAGIAGGRFLSPEGEVQCSPFRFHSIASELDRGLRLGPVS RLLARSAVVPPPPSSDCQADWVSGAGMMLRRSMLDEIGLLDEGLYTYFDDADICMRAR RAGWEVWHVPESRIVHLEGASTGVVARVQKRRPAYWFQARRRYYLKHHGPFYAAAADA AFLTGFALWRLRRWASRRPDTDPPHYLRDSFRHSVFGAGFQVEEVQNPALVEQPLPAE TFA OJF2_RS13210 MLFAKILALLMAGMMEPDGYEDALTAAVHHFASEGDLGHIKAIL ERHPRLVDSRETFDGPHKPHGDEGYTPLARAADHGHADVATYLIGAGAKVNAADGAGW TPLHLAARAGHLEVVKLLVQHGADVSAKTDRVPETTSDALPGSPPRVPGEKAAPVVKY GPVPARTALEWAEALGHRDVAANLRSLRR OJF2_RS13215 MEFVDIYVPCPLCEGHGRLPERASVPRTRTCPECDGSGLRPTSE GRVILDLLKVTGIWDLMPGH OJF2_RS13220 MTDSSPADVDDLTPRLQRAYDLAARKVRGTIERHPDFFPIYTDG GKWHHRGELWTDWCGGFHAGTMWLLAGHTGDPWWRAQAEHYSRLLEHRQHDRDVHDLG FIFLSTYLPWYRLTGDESLRRVLITAGRTLAMRFNPEGKYLRSFVAPESLFIDIMMNV PIIFLAAAETNDRALHNLAVAHCRTTERYLVRPDGSTAHEGIFDPETGRFLRESTHQG LRPDSAWTRGLAWSLYGFTKVYAYTKDPADLAVARRNAEYYLARAPRSLVPPWDFDVP DGPDRIDDSSAAAIAASGLWDLAEATRPEDPDGADSYRAASLAILDSLCTDRYLSSND PGWEGILKHGVYHFHKKLGVDESVMWGEFFFLEAVDKALHATGPRGGA OJF2_RS13225 MPTTGRSRSVPSLAAGVLDDFRRALVPLLAFEVLFKCVVALFSL GAGAIVLAILVRTTGSSAVTNDDLVRFGLSPQGVLVGAILLVSTLLVLVVEHLGVMAI VARSRRGQGLRVRDVAEALAGIVIRSWRLKGMGLAFLALTAAPIALLAGLTYAALLSR HDINYYLHDRPPSFFAAVGIGGILGAVFLGIVAFAYVRTVFLFPIMLYEDREPRSAVR ESLARTRGVVGSLGAILLGWQGIGLVLSAAVVRGFGLIAAMLLHAVASHLWTLVPAVA LLMAVQGVLLAVLSFFLVTTHCLIIVSLYRRRNEELGVGGPIPPSPLSEQDARGLRSF LRYWRLGLAVSLGSFLLLCYSVLHSLTAPERVIVTAHKGFSAIAPENTLSSFRKAIEV GADYAELDVQATSDGAIVVNHDRDLMRVGNDPRRIRDMTLAEVKAVDVGRVYGPPYVG ERVPTLAEVIDLAKGRIRLQIELKYYVQDDALAAKVARLVEEKGFEDQCVIISLNYEG LKQVRRANPRLRTAAIVTVSVGDIDRLDVDALSVNSRHLSGSLIRAVKSRHKDLYAWT VDDPRRMLGLIERGVPNIVTNRPDLLIGIRDELAGMPDVERRLLAARHLLGLEPELAA RAGEGPGEEEESP OJF2_RS13230 MNFEELIKFAVEQGASDIHLQSGSPPQVRIAGLIRNVAGADVDA DALRQFAASLAPPAMAEDLDAALVRGARFSRNVEGLGRFRCSLYRQRGQPGLVLHQIP SRIPTFAELNLPPVLQEIAQARRGITIVTGPSNSGKSSTLAAIVDQISETSYAKVVTI EDPIEAIYPRKKALVTQQEIGADVGSISQGIEQAMAQDADVIVAGEVRDVATVRALLH AAETGHQVLATMSNPTAVLALERLISLMPVEEKRLVAAQLAEVVVAVLAQKLAATKDG KRRAAVEVLRGGQYAARCILESRWADLTSYLGSRQSGMQQLEQHLLELYQAGVISGTE AMKQATNPEVVAEGLRVMKRAAAG OJF2_RS13235 MRRETMRAAAAALAVWCGAAAVPAARAQTVVLTARSVGELADDL GDLVQLVAPGREQAAAINGMIEQLKKGELVRGLDIGRLVGMAASLPGNPGEPPSLLAA VPVTDFGAFLDSLKGVGLNVEDAGVPGFSRKVSMGEGQGQQTFFALEANRYAIFSLVP AGADKLKALDPASWRPKAVGDGDLALAVQLSKIPAAIKDQFLNTFEAQIAQQDGQKPG ESDSEYKGRMAANRLGKEAVRALVRDGDAIALGLNLDRTRREVSLELSSSAMPDTPTA KALRGFGSRRSRFAFLSGAGTAFSAWLSLPVPEDLKAPIRDSIEAEYKRHQDTLKTAE EKARDTRGYEVMKRLFDADEVDMGLAIGAAKGGGGAAGKLSIISGMRLKNADAEKTFR EVMADSPPAKGAKLEYDVAKAPDGTAIHRMTVPEGSMGPESARALGSSPFFLAFPDGG MLLVHAEDGLDATKAAIAAFKREGGGEGVPLAAELHLASLGEFADENADQLRRASEET FRGPDAGRDAVRLVLKGDDRSVRLRLGIDYPALSFVSKLGTLTGQPLKPPLGIRQVEP K OJF2_RS39970 MNNITIEVWDATGNKKQLVELPADAPINRVIAVLVDRMNLPRYS PDGQLMSYKFHHKASGRQLLDDQTLASADVHQGDILRLQPEITAGRGACSMGPGAMAG EGEGQKPCPYCGELVLAVARKCKYCKEYLDPELRRAELREQGLVGFVPVNTPASAIAA GYLGLLSLIPIFAPFALLFGIIALRTIRRNPGMGGRFRAYLGIVVGSLMSLLLAFIVV VLIVESIRKAQGRRPGF OJF2_RS13245 MSDILRISGGSDEDDRFSRFRLIGWWDQARLAAAKVLVIGAGAL GNEIVKNLALLGVGRVVVADLDRVENSNLSRSVLFRESDRGRAKAEVAAGRAADIYPG IRARPFVGNVVYDLGQGIYRWADVILGGLDNREARVAINHAAARAGKIWIDGAIERLD GVARVFDPAVGPCYECTMGVNDWKMLEARRSCALLSRGEMELGKVPTTPTTASIVAGI QVQEAVKLLHGLEVLSGQGYVFDGTHHQSYVVSYTRKEDCPSHEPYEAVEELAEGAGT VLLGDLLERARSDLGAGAVLELSRDLLKSLTCDRCGTTESRFGSLGRVTEAEGRCPGC GLARTPAFFHAIDGEDPALLGLTPAAIGVPPWDVVTGRAGWRQRHYELAADRGTVLGP LADAS OJF2_RS13250 MTQARTEDLPDVRILASQDLAEERFPGGRNDPFRVFLSPDVHAA IWKHATETTEVEICGVLVGTWLRDDAGPFVKVTESIRGEGAETKFAEVTFTHQTWSKI NAEMDSKFGHLQIVGWYHTHPDFGIFLSDRDLFIQQHFFSGPGQIALVVDPVRKIEGV FAWRDGKAALTGHYWVGDRLMVGADGRDARDDRARPAAMRDARQAAAGAEAAARPAEG SLFSGISGLLLAILLLLLGYLLGGLRSGWERQAIIEGTVAHYGIWNGLRPGLSTDLER LGQDMAAAVRPLGSLSPEKEPDRKALAEARERLVALVGRTAEMRVKYGLSADEEAALR RLVVDRIAEVSAGRAAPAEAPREATPPPAASSKTDASPSPGGDKAAEKDRALPGGARP OJF2_RS13255 MSSPSSPEPLRLSSAELFSPQVESYLEEQAVLSRAMPEVVPRPF LIRVLYSSYFYLSLASGLGALVGWMILEPFFDDKEAAREGFQVANLLLFPVVLGSIGL FLGAAEGIMCRNLQRAAISAAVGLGVGFAGGLVALIVASIMFIVVRVMIVNMFPKDVH EDGMPTGMALLMLMMGRASAWAVAAIPAGIGQGIALRERKVALNGLLGGVLGGLLGGI VFDPISVAFTGADGEAWLSRGIGFTIIGLMVGFFVGIVEQWTKTAWLLMKAGPLAGKQ FVIFRNPMVLGSSPKADVYLFKDEAIEPRHALIHDRGGRFEIEDMDSADGTYVNGIPV KKQILKAGDQIVLGKTVLEFALREA OJF2_RS13260 MSISFECQSCGKKLKAPDSAVGKSSKCPQCGAKVTCPEPIYDAE VADDAEGLGGVAFSGSNALADLDDGSPYALKDPDPYAPPAGDAAEGPRRPCPMCGEMI LTSAAKCRFCGEVFDPKLKKKSKKKYGADSADMSAGDWVVALLCPGIGCIAGIVWMIQ GKPKGTKMFGISFAVNAFWTVVQILLRSQMNPQGGP OJF2_RS13265 MRCPKCKAVIPASQPQSSAVGVGAGGEEAPGLSIRTVAAGTAEA GGSCPICQASIGPEDAVVVCPACAQVHHRECWSEVGGCGTYGCSEAPRLEKAAPAETP LTAWGDMKRCPACGEKIKAIALRCRYCGEDFPTVDPLSLQDLHGKVARDEATGQVKTW VVLVFILSLLGFLAPLMLLVASIMAWRMRDAIGRAGPLFVAVAYSSIAVSALYSILIG FFVLFSG OJF2_RS13270 MSSTSGRSLVACPREVGSRCPYCPDAVAVGDLIMVCQSCGTVHH RACWNGHGGCGAYSCTPARREVDSPRAGVLTISAADLDRAVPLPAARPHSYSVNGAAS GAGRGAAAPTWERADPRRLNRLAMASFVCGLAGIPLFGLVTGLVAIGLAAFALAAIRA TPQRGLWMAISGMILGMADVVGWLLLISAYFGGASGATELRFAENPPEVSTLADLDPP IRRALCANVLIETGGLLGKAIGSGVILQMRDGRALIVTNRHVVDHGFSGGDSPPPAPE SLGELTIRMIGHPPGHGRVVWLAPAPIDLALVQAEAAAPAEAALFRKGRPARVGQPVF AVGNPYRLGWSHTQGVISQMRSQLAGLRQVQVIQTQASINPGNSGGGLYDRDGYLLGI NTWTSDKRISEGIGFAIAFDSLLALDPPFLEKEAGKAEAGGAGDRARPESRSETPGGE AKRGEVRS OJF2_RS13275 MSTVRLRRLQADFEKVSDYVRRHPRLQLIQTDGTPPERYQVEFQ VKGLRQKGDELAVVASHMVEVLLPLSYPRMPPQCRMLTPVFHPNIAPHAICIGDHWSP GEPLWSILARIGEMIAYQSYNTRSPLNGEAAKWVEQHKDDLPLDPISLMPDEPPGPTA AAAAGPASAASAPATALVAATPPPPPAAAVPAPPATMAFACTSCRSMLKMPREMGGKR VRCPRCQAIIRAPGGPAEDSHG OJF2_RS13280 MDDGDEVADDLDEVAATRVAARRHREVLVVAAIALALAFALDVG DDDRVTPRGCPGIPLPPTCMSRSLFGVDCPGCGLTRSVIRASRGDWSGSWRTHHLGIL FLVVLLIQVPYRLAALRRPARPIVPPRWQDAMSYALIALLIGNWLIGLAARGPGPGLP OJF2_RS13285 MPAKANEPAADSNPLRQSLPRARVPEPCAVVLFGSTGDLAHRKL VPALYNLARGGNLPSECAIVGFARRDWSDDDLRAEYEKTLEKEGGPDFKEIWPQFSTR LVFSPGNFDDPESYRKLKEKLEELDRTHGTRGNRIFYLAVSPEFFETIVEQLGKAGLI YPRHQESPWSRVVIEKPFGHDLGSAKELSRDLSKVLDESQVYRIDHYLGKETVQNILA FRFGNSIFEPIWNRRHVGAVQITVAEEVGMSGSRGAYYDTAGAIRDMVQNHMMQLLCL VAMEPPTDLSADVVRSEKVKVLQALPRWKPEDVYRNVVRAQYTAGSIEGKEVPGYLQE KGVKPDSRTAPYVAMRLELNNWRWAGVPFFLRTGKRLPKRATEIAIQFRRPPTELFEP EVDGHTGMNQLVLRIQPNEGASLAFEAKIPGSRRRLQEVRMDFRYGTAFAVPPPEAYE RLLLDVMLGDPTLFTRTDEVDSAWRFITTILDAWELPDAPPPVNYVAGSWGPLEADKL LEADGSKWRRL OJF2_RS13290 MRSISWPRRGILAIACLLASSRILAQGPTIPEPLRTPGQGSQSL LGPALGGMGGMGDSGPPGAADEPLSGRIGTAVPRVPATVTSPNPRQVGVPERERITTP SALPLAEVPLFGPLSVPRTGEEVGPAGGLTLDQAIERLVHENLALKARALELPQADAD ILTASLRANPVLYADSQLVPYGSYTKDRPGGQTQYDVNITYPLDVTHKRKARTMAAAR AKNVLQAQYQDAVRIQIDNLYTAYSEFLGARETIRFADAARQGLDELLTRTRGLQEKG TRTIADVSRIEALLEASEVQLLDARETLQAARRNLGVLLNMPGGEAEALELRGTLRDA APPPPPIDELVRMALECRPDVVAFRMGVHRAEAEVKLARANRMSDVYVLYQPYTFQDN RPTGTHSATSWALGVTVPLPVYNRNQGNIQRSHVNLTQSHVELAEREQQAANEVRQAE RQYALTRAAVQRIEARLLPSATRVRDDAYKLFLRGEEDAIVYLNAQREFNEASRQYRD MLVRHRLAMLRLNTAVGQRLLP OJF2_RS13295 MCACFLPLLVAALAARDAGADRLEIVPNTPVMRLDEVGLYRLGY AYRGKSEKDFPVGWSGPFDEQTGVACQPMGIHDGKEALVLHCPWRGGTGVSFQEFRVR IPGRGKTMLLGATAMRPEAVGKSDGVTFRIKAGGRTCLDVHRSDAAWKEFAIDLSGAA GTVLTIRFEVDPGPKDDSSFDFAAWGDRRLTFEGIRADLRPHLPAPQVPLASLLPRRS GGAVPPAAEGVGAGTGISRDGEAFVLREEGTDGAFQYRWDRPATGSAGGFLGTVVLTA TRKGATPVRVPVADSAELRWAGAAKPLESRWDEANTAGPTLWRTFEVDGKVATVRIRG SLHGKALVFDVEADRPLAVRLAPGAWGPAMRRRTVPIPYMSGEVHYLSMEGLFVAARM DWTTSRATTMDGGAAIYSPLTDGRRNPLRERLIYAAAWNVDEVLPNIPNPPSPYLEDL GRRTVLDIWGGRYADIAARLESLASYGIEGGVAIIHDWQRSGYDNALPAHIPAASDKG GDEAMKALVATARRLGYRIALHENYVDYYPNYEGYREGDIALDSAGKLQKAWYNEGTK IQSFAVKPNAIPPLAATQSPEIHRRYGTNANYLDVHSAVPPWFHVDQRAGEAGAGLFS RVWDVHRELWAYERRTHGGPVLGEGNNHWYWSGCLDGVEAQFGQGWQGERGREVPLMV DFDLLKIHPLQLNHGMGYYERWWGKPTWPGAAPMVALDHYRMQEVAFGHEGFVGGASW TQVPAAWLEHNLLSPVTARHATARPAEIRYEAGGKWVDSSTAAASEKSGDAFQRVRIR YDNGLTITANQAKEPVHVGAHLLPQYGWLAEGAGVKAGTTDRDGVVSDYAETADSVFA NARPAREWNLLGGSRVRPEVDVFEVAGPRVIRVTYAWKVEAPLARDHVCFVHFFEQGG PRSAILFQQDHALAVPTSKWAAGRTIKDGPHEFPIPAGIPDGDYAWTIGLYSRGDGRA TLEGVQDGESRIRLGTLKVADGGRSLTFTREPGAGDGRNAIYLEHVNTGNRLLYFGPV RTDGGVVLRRAAGRWTAWVFPRDRGFNLLLDPGRFSRPARISCDGGRRSISPSPEGTF WRLPLNGSARYEWEAGGTP OJF2_RS13300 MRPDWDSDDEGEGGGSPPERPHASGHLLPIISPDDDEGEDPEAD DELDLEVDSEPRVVLITGASGNIGRKLRAAWEDVYDLVLIDSNPGPDEEDVIKADLSI LDDEWGTHFHGVDTVVHLAANPDPESSAADLVEPNLDAMANVFNVAAMLGVDRIIYAS SVHVMWGYREKGDGPITPDLPPRPESPYGLFKLAGERLGQSLADAFDLTFIAVRLGHV QAGRNRPEALSDDWHRKLWLSNHDLVQLFDAAVEAEIEDDPFVVVNGISRNHGTRWTL TNAAEVLGYLPEDDAFAPHPNVEAVDEVS OJF2_RS13305 MTKDEYCSFCRQPLGETGPIVEGAGTGGVRVFICRQCAELAIVL LDEEVRRRGEMPTKPSKAEVDMIHVCKVAIEHYEALSRQRQLTEVELERKRRVEADLE RLKSEGPRGGTDRP OJF2_RS13310 MRRLTGLLASLLPLLACPAFALADGVSVVDAPPASGVNAYYASN RPPLRPAPLIKLPVGAVRPKGWLRKQLELQADGFHGHLTEISDFLKKEGNSWLAKDGQ GRRGWEEVPYWLKGFGDSAYILGRDDQVREAKVWIEAAIASQAPDGFFGPRGKGAAST VGSTEGRYDLWPNMVMLNCLQSYYEFSGDRRVVDLMTRYFRWELAVPEADFLPPFWQQ QRAGDNLASVYWLYNRTGEPWLLDLATKIHRHTAPWSSGIANWHNVNMAEAFGGPTTY WQQSGDEMHRRASYRNYDEMRARYGQVPGGMFGGDENCRPGYSDPRQAVESCGMVEMM LSTERLYSITGDGTWADRCEDVAYNSLPAACTADMTALRYLTAPNMATADRRSHQPGI QNGGPMFHYDPHDHRCCQHNVGHGWPYFAENLVMATLDNGLAVVFPAASATKAKVGDG SEVTLAVDSHYPFAGELAITVDAAGPVAFPLYVRIPGWARGASLRINGTPQKVEPSPG QSIRIDRTWNAGDRVAVTLPMDVRVRTWEANHGAVSVDRGPLTFSLAIGQKVERDGGT DRWPAFKIIPTTPWNYALVLNPAQPASSFRVQPRDWPAADMPWTPEGTPIALAAEGRR VPEWRLDPFDLVAVLQQSPVKTSEPIEPISLIPMGAARIRISAFPVAAGGDEGHAWHV PPGLTYSPSASHCFESDTVFALSDGVLPASSQDREVPRHTFWPHEGTTEWVMYDFGKP IKVSKVSVYWFDDTKAGGGCALPASWRVLRRTGQGEKGDTWEPVPGAAASSATRDGLQ ALSFPAVDAAALRLEIQLQPGRSGGVLEWRVE OJF2_RS13315 MATHRKKRWPLLKRIGYVLAMLATGGAGAGGWAFKDRPILRAVY ARLVGRDAQSESPVGDIKDRLKQAVVGAIAPDDPRKPGVFRVKIDEIQLDPKLFKPGR TVDMQARVVRIDASGKESIVWDSKEYGENLAVVGKDDLVASFTVRPFEIQWGADDEVV VQVWDRKGGLFDRRELKMALPEPGKFPLGSGTHALEVVGRKVEALESPRNRIVFRSER LRGLDDPSVVRARRNSDDARVSRAAGPRDASDRPIIIK OJF2_RS13320 MRTLLSLDRFEGKGRSIAVLVIDDGEPIHVPRSLLPADAKAGDV LSLAIERDEAATRRLADDTREVQADLRATDPGGDIKL OJF2_RS13325 MNPFKPLRSLVLCGLALAAAAAGSSARAQDPAPPSTARLRPMVV DFLDVGQGDSILVRSPEGKVALIDAGPTRDEAARLLKAKGIESVDIAIVTHHHLDHYG GMEKVIREFKPRFFMATGSSHTTRSYLKLLEAVRDEGITSVEPTGKPRRIELGSVILT VFPQPAYSAKEENDNSIGIRLQYGGFSVVMTGDSEEGERAAWVSGSPDLLRESTVLKL AHHGSRNGTDQEWLDLIRPEIAVASVGEGNSYGHPHAEAVSLLRRNGIPLLRTDQRGT ISIISNGETWNLVKPDLASRRAGRARAGGRVAASGRQSRTAARPARTAGASTGWR OJF2_RS13330 MTLPIQPAAARPAGHAPGSGLLQDPGQFIDVFLGGFRRDLLSFY PGCRLDREPALLLAGGAVAAPRIAEHGELSLDFAIEEAGDGPADSLRVSFFGGHYRIT LPPHRSFWARDREFIRAMGRVIDLYFRALFRSSEISLLQLRRGMPEDHYVAASVDPAP YASPSPTPGRIAEAILTLRTMALSTYENRRVATGALILASGMPCPRRPTGGPSQEALR FGVEITALRSLHRLCDGRRTLYLVDDRGFLIDVVDIRRWAVEEALAGGDRPLRLAGGP AAGSPQEPAGDVEAPAHEPLLPVPCPRAYRYHALATRDTGHICLVLSPNQEIKVLAGG VQAFVFAHGRWRVQDPAGSFAGWSRAVDNPALARTLFQAALDLAEERQGGLLVVVHDP AQAVGRLIAVQDLLDDHPARGGDDLQDEAESPSRPFTLFGWTGEQDAEPADVQRPKRA GSPGPGGPLSKRSIHYLAQDANVVALDPAVLRALASIDGALVADASGRLLAFGAILRH DACGVEGEDGGDATPAVAEGARTTAAIVASRFGPVLKVSEDGIISCYLDGARVWDL OJF2_RS13335 MLDLTAAAKGNEMAETINYEDFAKLDLRVARVVEARPHPNADKL LLLQVDIGDEQKQIVAGIRQHYTPEQLVGKRIVIVNNLAPAMLRGEASNGMLLAATSG DRVVVLTPDDPECVPGAKVK OJF2_RS13340 MSEASAPAASRWDRLVAKYREDHRHPVNHVLHVGVGWPIMALAV VLVPFRPWWSLGLFCLSYGIMWTGHFAFERNLPTVFRHPTTPFVMAWAVICQMARGVR DALAGPPAR OJF2_RS13345 MMSPATSRRTCLGLFVVGIGLALPACSKPDAPGTVAAPQGPAAP PSAAQAGGGDNGSKSGRLLANWPRPDGLLVISGEMDGYLEPCGCTQGQVGGLIRRLDF VDRLRSQYGQVALIDLGTLIKDPAAARGGFEQAKIKYGIALKALSTLKYDAIALSAED LKIGVMEALGQLMNNLADPARIVVANVQPGAGFESKIEASRIIPAGSVKLGVTSVIDP ELLQKLNDPDKGELLASIKRPDDSLGPVLAEMEPKSDYQVLMVQGPPELAKRLATSYP GFDVVVSTSQFADPVDRDPLMLNGGKTMLVQVGRRGKSVGAVGFFSDGDQKMRFYLVS LNSRFDGPGTAVKKVIEDEYRSMLKAAGTVEAFPRHDYTGGSAGATFVGAETCKQCHP NTYARWATTKHAQAFASLEKDPKPNTTFDAECISCHTTGFEYNSGWRSEAATAYLKGN QCENCHGPGSRHIVKPDDLAARAPMKLTAEQADKGRMCIRCHDEDNSPKFNFATYYGQ IVHKGLDEYKDPKVHQGISPRVARKPADAGAEK OJF2_RS13350 MLRWVILSVAVVVLAAVGTLVSQFAGSSGADWDLPAVNRTKGPQ PKLVIEGPLTHEFGDMATQKVSTHKWVVKNEGEGDLDLFLSGSSCMCTVAKLKDQNTK ETVKPGASTEIEVEWKTKDQIGEFGKDVTLSTNDPSRPQFKLLIHGMVSAPVMVLPQP VEGVVSVGSIATDKPSEVSMAFFSPNRPDFKIGKITSSRPDLIEPKVIPLTEDEQKQL KTKGGYRLKMDIKPGIGQGDFREELIVETDHPDAPRMNLTLAGTATGPVSVVPTRLRM MSLDGKGDLRSQVQLLVRGGRTTTFTVAHKPEKVDVEIVPNDGPGAMGRYRMTVTAPP GLPPGIVDDAIILKTDLPGNTEVKVPVSIVVGAG OJF2_RS13355 MTVADQARTKSTYGLQWNRFRILRPDEDRATFRNRTGLTAGDLA GKAVLDAGCGMGRYVRMAAELGPRIVVGVDLSDAVRAARDLTGDLPGVAIVRGDLLRL PFAPGSFDHVYSIGVIDHTPDPRAAFLGLARLLKPGGRIAIWIYRKERPAVERIMDLH RSLSRRLPLGLLLAMAKASAPIGGWKRRLMASRSRLVQRAGVALHLATIGVSMHPDPE VRVCDTLDWYAPGFLSRHTADEVRGWFAAAGLVEIEDLSAGQAFYHEGQGHGINLAAR RPEGRGPA OJF2_RS13360 MSAPKAANPDHPIHDLLAARWSPYSFTDRPVPEGDLKSIFEAAR WAASSYNEQPWRYIVATKADAEGFDTLLSCLVEANQAWARNAPVLAIGCFSRILAYNG KPNAAAEHDLGAASAALTMEATARGLFVHQMIGIDPERARQLFSVPEDYQPLTGIAIG YLGDAPGLDPKYGERDRAPRQRKPLSEIVFQGRWGEPSGLIG OJF2_RS13365 MIAQSSLAVAENFLRVGDYPQAEHASRLVVKDNPWVPRAWFVLA VACQLQRKLDESMEHYRMALALHPYNAESWNNLGVTLLALRRSVEAETYLREALYLDP RYEEAHNNLGNALQMRGLFPEAEACYHRAIELNPRYVGAHDNLGLVLQGQNRIDEALA MFDRACELDPDNADVRMNRAYALLQKGDFVRGWEAYEARWNCNEHLRSNLPLPIWEGQ PLAGKTILIRAEQGIGDSIQFLRFIRLVAERDACVAFCCPPSLFELARSCPEISKVYP DDHPPDDPDIVCQAALMSLPYILGTASSTLADRLPYFTVDPARAARWAGAIPAHPGLK VGIAWQGNPGHKKDYQRSFPLARFEPLAAIPGVRLFSLQRHHGADQLETLGGRFPITD LGRDCHDVMDTAAAILNLDMVIACDTAVAHMAAALNKPTWILLPFAAEWRWLMHRPDS PWYPSARLFRQPRWGDWDAAFGDVTRELAALAAGPAGDRAAAPSR OJF2_RS13370 MEDRSSGAGVAARPGNARELSLRAAATSLQAGDRERARGILQRL LDAEPYCADAWFLLGVICQGRNDSPAAAEHYERAITLDPSLAEAHNNLGLLVEARRDF PAAERLFREAIRLDGQYAEAFNNLGNVLQDQGRFAEAVMAYRRSLAIRPRFVEALKNL GNAVRALGRLDEALEHVDAALRLDPGHIILHTSRGLLLIQKGDFPAGFAELEWRFRGE CLPAHRLGRPEWDGSPLGGRTLLISAEQGFGDTIHFIRYAAEAKRRGARVVVACPPAL ARILETAPGVDETVDIGGPYPDCDCFAPVMSLARILGTTFDAIPADVPYLAADPGRVA AWREEMAAVVGLKVGVVWQGNPTHTRDGERSFPLACLEHVARLPGVRLFALQKNHGLD QLRDVEGRFDITSLGGRLHDFVDTAAAMRSMDLVISADSSPAHLAGALGVPVWTVLPA VCDWRWMADRDDSPWYPTMRLFRQRKLGDWDELFRRLASALGREFGLSKAG OJF2_RS13375 MRVTRRIRWLLARPLQAGRVPSRAGKSLATLACLLLLLGVLLSL ALGHWRSTPGPFIQRMTMNGHWLRVPGPATHAAYFRRHVRLSGPVKHAWVVVAPREGF EICVNRNPCGRWYLWRPTRPFQTGLSEGGQLLNASPPTLALNFPREYQWSSHRNDWMP VVLDVTQHFRPGRNVVTLELESRSAPAMVKLDGEILLWSGERIRLDTDSEWLAEPVPP FDIRHDWTEPDYSDSSWRPAVDATLSGESPGDLHFQSFDRRLLTTPFEGSWVRSPEAR PSDAVWYEIDWDLRGAPDDAWIRVATNRTFDLFVNDRRVMLPLLGNPDLDSGDWLLGT PRAADLPAAPELLDPDEVGSLFVGDRFESPRHGDPSSSVYKDRWAKEKQWNKTRDKPW ATHRSDLPGTYDPIKEEGQDVMEHEPVPPQPEYHEPKALGRDRAIGGLLGYNIRSMVH PGRNRIAVRLVPPLSPDGYSWAPQLALDGGAIFRDGATASIASGPGSCVLCRTQGPSG EFSATVPAERSGPARVIGNRWPTTVYRGIAHDPSLILRQKLGWVVASLLGSALVAGVM LGLEWRRLRREGQEPWAALDQAARGLSRFLLPPLAILFAALLTEASWAERHEAILFRL PRVWPFILLGTAASPALLCAPIRAAGARLARLPGTRAWAPLLGTVLVLCGVLRIYKLD FQPLDDDEYASCQAVLGIAEVGAPKFVPEGVYYTRSPAYHYLVGASVWAFGGNIWAMR LPCAAFGVATALLVYRMAVRLLHRPWIGLGATLLFTLHPFAIFSAHLVRFYQQQQFFA LLAVYWFCEGFLWSPSQRYRYLTVVAFFLAVISQEITAIMAFQLLLGLLWFGRDAGWR CNIKLGIAGAIAVGFIVLDLLVFQTRCLTRVEGVSPNVEAAIKPHFWDPYNLVSLFLG YSRLHVGPSLVMVIALPLLVVRGGRVVWALLFFMLTGTLLTNLMISHVSLRYQYWMIT IWLLVSVCGLALLAERIAAWTRVRGEADPAGVAAVLASPIFVAFLLAWSPWRIVDSYD CKILNDSTGAFRFVRGQLRPGDAIAANEPHPHAAYLEAGRVDYDLTVPLLQDFVMLSK GRLIDRNGGAEVIASLDDLIEACRRHDRLWVVVNREKFRTRGKNIRWEYPAARIELFL RKNCQIAFRSYLWTVYVWDASRGQYENFREH OJF2_RS13380 MFGAPGWTVSLLAVVGALVLAAFAPQRALADGAEPASPGRPSLY ELADDQLLSIALYSSPHRMASRISNRGAVGVNADWEAGRAVGWYIEEQRYGADLIQAG LVRDDPALVSRGWEILDWGLARQAADGSFAGTGDPFHSTSLFAEAMARALVLTAQSGS RDAERRLATSLPAFERSVRWLAEPSVAARGARNNAPYTHRRWVLAAAMAEAAAAVRLG AGRGEEAEAFERIARDYAADGLRLQAADGMNPERGGADASYQAYGLLMAERYLSACTY PDMRIRVRASIVRGLDWLASRIDERGGVDVSGDTRTGVESSRSGRVKGIDYKSMLQAF SIGASQAGNPSYRDVAIRLAASRGWLPRIPPPTGMGAGPRGD OJF2_RS13385 MKIAIVGTGYVGLVTGTCLSDLGNHVVCIDKDRAKISMLEAGRI PIYEPGLAGLVAKNVREGRLAFSVDLPRSIDGADLIFIAVGTPQGKSGGADLSGVWAV GRQVAESLRAPATIVIKSTVPVGTNAELTRQMSAITPVPFDVASNPEFLKEGAAIDDF FKPDRVVVGARRPEVAERLLELYRPILTHERPFLAMAPESAEMTKYVANCLLASKISF INEMANLCTGYGAHIDDVRRGIGYDCRIGFQFLAPGAGYGGSCFPKDVRALIHMAKMV GIPCQMIEAVDSVNEYQKEVLPRMILNHFGGSIRGMRIAVWGLAFKPETDDIRESPAL VLIEELLQAGAFVRVTDPQAMGHVREIFGSRLVYCESPYSALESADALAIVTDWDMYR APNFQLMRDLMRRRIIFDGRNCLNEAAALQAGFQYQGIGRLTPGSSRPRTRANILEHV PPDPLTESFDSAWDISEPAVLAPAEWVPLHKES OJF2_RS13390 MDHRAELELPRKPHLNHAFTGGLASAQAAGESRETIGVHPAGGE RPVHLSFVIPVKDEEATLVELYERIADEVPEASEFEVIFIDDGSRDQSWQVIRSLAVR EPSRVRGLRFRHNVGKASALTAGFRAARGGVVFTMDADLQDDPKEIRRFLAKLEEGYD LVSGWKKVRHDPWHKVLPSRVFNLMLSRVSRVHLHDHNCGFKCYRAEVLRGMTLHGEL HRMVPALAAVEGFRSAEIVVQHHPRRHGVSKYGFERYIRGLMDMVTVGFLRKYRERPS HFIGAVSAACAAAAGLLLAAAAVLALARLPLAAGVSVLCGAVMLGTAIVGAFCGLLAE MSIRGGLANHWRLPIVEDTGRDLPPTKGQQPRVSPVPVSYRFRSTDIPANPVPTP OJF2_RS13395 MNIHSMRLDRPAAGKRHLSVLVIGSTYPRHEDDYAVPWLRESVA RLRGRGHAVTVLAPSYEGLRSHTIDGTPVHRFRYAPRSWERLTHEQGAPNRIRKRIYQ LLGVPYVAMGIRSAARLAGLLHFDVIHAHWPFPHGPIAAAARYAGGAPVVMNSHGAEF ALARRKGWVRPLLRNALAGADRLICNSSHTAAEVKRLSGRDSVVIPYGSTVEARPTPL PRNEVPRILFTGRLIQRKGVEYLLRAMPAILARRPAILQITGSGDQRESLEALATSLD LGGSVEFHGFVSNERLDALYAGCDVYVNPSIVDDRGDTEGLGVGPIEAFAHGRPVVAS DVGGIPDVVRHERTGLLVPEKDPEALAGAILRLLDDPDRAGFLARNASAYASEFFDWD RITDRIEDVYRAAIEGSDRGRQAPPRRHDRGVHEAHRHPSHSRD OJF2_RS13400 MKHLRSSPKLRRLAVPLAATAIAVGMARGLAGQGDSFFQAVTRV GIAGLGVALAASVVHRVVNAAGWVLVVRSLGQRMDASVGVRVWLASEACRWLPGSVWS YGSRTFLAARLGMNPGTAAASLVLELLVTVCGWVMVAALGWPYLGVSLGAIAARLPAW DDRRLVACEVGLGVSLVLLAAIAAGSGRVRARSARLMSQLVELRRRSASLPRLAVAAG YFAAMGVFSGLIFLAVLRATPDGAAVPAGAAIAANALAWLVGFFAIFAPGGLGVREAC LVAMLSPWMPAEEAFVLSLAWRLVQVAAEMLCFVAVAAWGLPGSIAAAPPPREPGTRR GPSRPARSGLRAS OJF2_RS13405 MHCLASRALAVLAALLLIVALVKPWMEVPVDIRERPGEKVECIA ARPRSAIPFRITCLAFSLVMGFGHVWHRRTSDRKAVLAAAFLSSQLFFPYVVMAWEPP LSARANWLHMQHENLTWLGGDLCTNLEYGRKSWKDSIYMVDTPRQINVVRLPSSGLGA FQFGRLMTWFEMLGYSNRFCQFVRMGWITAILGTTLLIMAECLPGGRLRRGRVVRAAA SGMATFVAGVLVAVAPVIAASLELDRCRDSVARGLYDEAEEHLMRAKRRLPAFGEDTF YVAQLGLLDFRRGRLDTAAGRLFEANLLERQGRYAQSMDMYQDVLAREPSGTAVHREA LRAVLRAGLHALNGQRNDLACRWLEQVLRSEPCNLKANYALQIAYLRAWRRGDLDRMV RRIVAIYGYFQMPTKEIVLAASHENALFAAYREHDLAAAQAHALKVRKP OJF2_RS13410 MSIHPSASPDVSRPDEGPGAARALAWWKRALGIPRRLVRVAVRN SWFSFVMIVAAVMGAAILVTIVLPGYTWSSSRLYTSKFGYSSLLRKLKRPFPVTAARI ARRTLDHKALGEGLVRSEPTVVSIVPMGTVVRVHVRQGDRVKKGQLVAEIDPTKINIK VESARAALQTARAELERVKIGSAYVLTYERPRLDQIREENARKQADLQKQLIEMNLPL LKKGYASKAEILLRQIDLAKSELAQEEAKFNLTMSSRGVKESITIAESAVKEAELALS HRLAELKDYKVYSIADGLVERCLVHEGEYNQDPGKPAFLIASDAWFEGNFDQGAYDRI RVGSEVKVRLEAYPDRFFPGKVTWINPFVNYDLGGPESTRPIRPMGTGAPEWPATFAA RVRIEPQACPIIPGMTGFCVVLSRSEVPCLPRESVASITAGKGIVYAIRGDRFEPREV TIGVVDGDWIEIRDGLGASDEVIRDGYQVLMPDDKIRVVSDPGGGR OJF2_RS13415 MPHGPDRLADAVVTRRQLLCRSGTGFGALALGSLLAEAGGLGRL ARAADGTGGAPPAAPVDGSSISPMAPKAPPLPARAKRVVHLFMNGGPSHVDTWDPKPL LAKHHGKPVPSNLPTERKTGAAFASPYRFRKYGESGIEASEIFARTAEHADDICVIRS MHADVPNHEPSLMLMNCGEARQARPSLGSWVLYGLGSENQNLPGFLVMCPDGFPIAES QNWQSAFLPGIYQGTHVDPRQTDVEQLIAHIRNHGVGPRAQRAQLDLLAELNRRHLDR HRHEAELEARIQSFELAYRMQSEAAEAFDVSREPEHIRAMYGPGVHARQLLATRRLLE RGVRFIQLWHGAGQPWDHHDDIEEGHRRLGRECDQAIGAFLTDLKQRGMLDDTLVVCG GEFGRTPTVELPTPGANAGKMNGRDHNHYGFTVWMAGGGVKGGHVHGATDELGFQAVE KKVHVHDLHATILHLLGFDHEEFTFRYAGRDYRLTDVHGNVVKEIVA OJF2_RS13420 MSQAIGRLSISLALLAGLSSPARAGDEARRAAGEFFEASIRPVL SESCINCHGPKKQSSGLRLDSREAILKGGDEGPALVPGKPGESLLIQALEHTHEELKM PPKGKLPAPTIAAFRRWVEMGAPWAEEPPAPVAARAADPKQHWAFAPLKPVPRPAVRD PGWVKTPVDAFILARLEKEGIRPSEPADRRTLIRRATIDLIGIPPTAEEIEAFEADRS PQAFEKVVDRLLASPLYGERWGRHWLDVARYADTKGYVFTEDRLYPYAYTYRDFVVDA FNEDRPFDRFVIEQLAADQLELGPDPRPLAAMGFLTVGRRFLNDQNEIIDDRIDLVGR GLLGLSLSCARCHDHKFDPIPSEDYYSLYGVFASSVEPGELPRLHRPGLGPDLEAEAH RPKVEMARKERDDFVASRRAEVERDFRVRFSAYFRVAYAVGLNMRSPKLAALAAERKL EPHRLRAAMVLLKRRTERAEAVKDPVVGPLAAFAKLPKDKFASGAAEICRSLATNAGK EGGPHPLVAALFKDGPPASMDEAAGRFAGLLATVEGGALERPFADPARDSLRALVHGA GGLASMANVEPKHAIHAQPDIEKYAELENALKAAEAKWTGRAGRAMVMHDSPHPVEPR VFLRGNPGRPGKPVPRQFLQVLSGPERKPFQKGSGRLELAKAIVDPTNPLTARVLANR IWGWHFGQALVTTPSDFGLRCDPPSHPDLLDDLAVALRDGGWSVKDLHRRIMLSSTYQ QRSDLRPDVHERDPQNRLVWRFNRQRLDFEAMRDSVLAAAGTLDRTMGGPSIMLGEPP LTTRRTIYGFVDRQNLDGLFRTFDFAIPDATSPKRFVTTVPQQALFLMNSPFVQEQAT RLAASLEREPSGRGPTSAPDPSRDRVRVLYRRVLGREPEASELELAARFVDPRRPKEA GESLPRLAQLAQVLLLTNEFTFVD OJF2_RS13425 MESLGSKKRKRCCTDEEVLERREQILLAATELFAEHGFSDAMTQ ALADRLGVGKGTIYRHFPSKRDLFLAAADRVMRKLQEQVLANIAGIEDGLEQVSRGLR AFLRFFADHPEYVEMLIQERAQFKDRKQPTYFEHRQVNVQRWRHLYTRLIAEGRVRPM PVETISDVIGNLIYGTMFTNYFAGQAKPVEQQADDILQVVLCGILTLPERERWLGSMT AAQAAS OJF2_RS13430 MARRANGWFLLLAAAAAAGCSQAKSSPAGMPTQAEPMVVYDSPI RETVTDFEDFPGRTDAITTVSVRARVSGYLKRVYFQDGQRVRKGDVLFQIDDRPFQAA LDRAKATLQQADAHSKRLNNEFRRAKVLYDQGRSISREEFDRYAFDAAEADATFATAK ANLDLAALDLEWTNVTADLPEGAVGRLSRRLVDPGNLIKADETMMTTIITEDPLYVYF DVHEQAMLRIRRLIAEGKVKARSEKEVTVKISLSDEKDAQGNPIYSHEGTVDFTDNHV DMSTGTLQFRARMANPNQFITPGLFVKVRLPIGDPHPALLVREQSLQSDQGLKKVFVL EAKDADGNPYVITDPLTGKPALDKGGKPIPGYKPVAVDVGQLGVLRGKFREVNTGVKE GDLVVAQGMQKIRLGTNPVTGKPFLVKARPWAPDDDASTPASARAGSQAAAGASAAET SPVAPASKAPAAGPAAPAGGRPTADAGGARPGGPSGPREPSSRRGSH OJF2_RS13435 MFTRFFIDRPIFASVLSIVITLAGGLALVSLPIAMFPQIAPPTV SVSCQYPGASAQVVAETVAAPIEQQVNGVENMMYMSSASTNDGNYSLTVTFKHGIDLN LAQVLVQNRVSLAVPMLPDVIKATGVTTKKRSPDILLSIGLYSPDGRYDQLYLSNYAF IRIRDELARLPGVSDVSMFGQRDYSMRIWLDPDKLAQRGLTAGDVVRAIREQNLPVAS GQVGQSPTIPGQQTQVTLTTLGRLVDVEQFEKVVVRSTPDGRFVRIRDVARVELAPKN QDMSAEIGSRDRYSDPEMDLYPVANMAVFQLPDANALETADVVKAKIEELKRDFPEGV DYMIRYDTTPFIRESIQEVFKSLLDSVVLVALVVLLFLQNWRSALIPLIAVPVGIVGT FAVMACFGFSLNNLTLFGLVLAIGIVVDDAIVVVESVEHHIEHGLSPRNATIQAMSEV SAPVIAVGLVLTAVFVPCAFITGITGQFFRQFALTIASATVLSTINSLTLSPALSAML LKPREKGAYQAMPRAAFVVLGLWQGHEWLGPLLEPLMPLAHGSPRPIATAAAFLGPAA MEKLTPELAGGLAGALVGGLLGWILSGPMNRLLGRFFDLFNRLFTATAHGYSRIVGGM LRVSALVLVVYVGLLVLTYGKFATTPRGFIPSQDMGYLLVNVQLPDSAAVERTRGVIR KISDVASATPGVSATVGITGQSLLLNAFGSNFGTMFVTLDPFAQRPSPSPLRHEVSNW FRRKLGLEELPPEPDLYFDSIMNRLRGQLAMAIPEATISIFGPPPVRGVGRAGGWMFM VEDRGDLGPGALQREVEGLVRKANDGIDLTGTPIEQGPAKAAAKGAAAAAAGAVQAVQ GLTSVFRANVPQVYLDVDRTACMIKGVPLRDVFTTLQAYLGSLYVNDFNRFGRTWQVI VQAMPTYRDQRDDIRRLQVRNREGTMVPLGALATIREINGPLVLTRYNMYPAASINGN AGRGVSSGSAIRAMEALADRELPQAMSYEWTEMAYLELQAGNTAVIVFGFSIVMVFLV LAAQFESWAMPLAVILSVPLCMLSALYGVTNAPLLGANNTGLDINIFTQIGLVVLVGL ASKNAILIVQFAKLIHGRGGSLRMATVDACRLRLRPIIMTSMAFILGVVPLLFAHGAG AEMRQSLGVAVFSGMLGVTFFGVLLTPVFFYMIEGTAECHLFANPKVRRAGRILLRIL LPVIILRDLARMAGRAIQAKAVRPAPRPEPASDRADEVEVVQSK OJF2_RS13440 MFSRFFIDRPIFASVLSIIITLAGAITLATLPVAQYPDITPPTV EVSAYYPGANAQVVADTVAAPIEQQVNGVENMMYMSSQCTNDGTYILTVTFKPGTDLN MAQVLVQNRESLAEPILPDLVKRRGVSVKKKSPSILMIINVFSPDGSKDNLYISNYAT IQLRDELARLDGVGDITYIGQRNYSMRVWLDPQKMTFRGLTSSDIVAAIEQQNIQVAA GQLGQPPVDTGQAFQFTITTKGRLSEAEDFSDMILKTDVNGGVVRLRDVGRIELGAQG YDQACTLDGKPTVALSVYQRPGSNALDTASLVREKMEELKQRFPDGVDYAIVYDTTPF ITESVAEVFKTLRDAVILVAIVVLLFLQNWRSALIPLIAVPVAIVGTFAVMACFGFSL NNLTLFGLVLAIGIVVDDAIVVVEAVEHHIEHGLAPREATIRAMEQVSAPVIAVGLVL TAVFLPCAFITGITGQFYRQFALTIATSTIISAFNSLTLSPALAALLLKPRQKGVYEA LPVFAFVAAGGWAGSLFAPQLAGWLGGMVHGPLPEYLTMDRLGLLAGIAIGALAGWIV SGPINRILGWLFFLFNRAFDAATGLYTGVVSGLLRVSLVVLLIYGGLLGLTYWGFTRT PTGFIPAQDKGYLLVNVQLPDSSSLERTQGVMKRVEQLAGAQPGVAHTLAIAGQSILM NANAPNFGAMYVMLDEFHHRAHSGLTGPAVASHLQAALEEEIKEGLVNVFEAPPVDGL GTAGGFKIVVEDRGDLGSGEIESVANRIVASGSADGLLQGLFTSFRADTPWLYLDIDR EKAKLLGVSIGELFNTLQVYLGSLYVNDFNRFGRTWQVNIQGDANFRKQIEDLSGLRV RSDRGGMVPLGSLAQIRDVSGPVMIIRYNLYPSATVNFNSSPGTSSGQALQGMQRVVD RELPQSMRSVWTELALLQLETGNTAMYAFTLAVILVFLVLAAQYESWSLPLAVILVVP MCLLCSTIGVNAAKMDINIFTQVGFIVLVGLACKNAILIVEFAKAQREAGATRLHATL DACELRLRPIMMTSFAFILGVVPLITSTGAGSEMRQTLGVAVFSGMLGVTLFGIFLTP VFFYVIQWVEDRRGGGDGKKPKAAAEASRNVDPNGDGHHAVGSNGDAHHPAPVLTAEV QRSPAN OJF2_RS13445 MHERRPTAASRPGFTLIELLVVIAIIAVLIALLLPAVQSAREAA RRSQCTNNLKQLGLALANYESANGSFPMAFFWQWCEAGGTCAGSIGNGYGPMVALLPY YEQGALWNSYNTSVEAFGDVNSTIDGTGVATIWCPSDGSIQGYRSTYAPSEIYNNLPH PMCYSNYRGNWGSWTGSPTGTWPGGTADAAHRAAALKQFNGVFVSNGYGAAGSALLPT YAGVVRAPVRIAAVTDGTSNTAAFSEIAHGLLSKNDYSPHGSFEDWQWWTSGNLGDTS YAHYWPVNPQKKTLNVATVDQGGAFVNGASSFHPGGINVAFVDGSVRFIKDSIDTWQL VPATGYPVGATRDQSVWVPGTGMKVGVWQAIGTVNGGEVVSADAY OJF2_RS13450 MNRRRCLGFVFGSAFVAAGLVGASGCSEDPAQPSRGTISPPRRD GGGKEDAAAPPAKDKSAKPAGPKDGGL OJF2_RS13455 METGSRSRVAIACQGGGSHTAFSSGVLQELLGDLPGDAEIVGIS GTSGGAICAAIAWDGLVRGEPEAAAVKLRRFWGEVAARDPLDKLLNEALLASIRLRDH MAFPEVSPYLLPTWGEERFLQLLRDHLDFAELRRLAGRPGAPALHIGAVEILTGHFEV FEGHELTAECLLASAAIPQLFRAVRIEGKGTYWDGLFSQNPPIHDLPHLNINELWVIQ INPSTCPRVPTQTHEIIDRQNELSGNLSMEQELRQIEMLNRLIARRAITDPRFHPIDV RRIALDRDLDYSTKLDRWPSFLEELSEYGRTKARRFLGERRDRSEAVAAPAAGGPSA OJF2_RS13460 MDAESVRGATGMALRQAQNRRSGTPTSGRSIGLCLPAALLGLSA LLPGVASAQPPVFPTTPPAVDSERITVEQLARRLQRVEEQNARLAEQNDRLARENRAL GERFREVSGKYDSLNRRLDRADLPSIASPGGTPSPAMPKASAPDQAGWLRGQVGNASS GEGWDPRFFAPSPPPAWTSTSGTSRFFVGDYDDERGQFVLVRPRDERSVPFELRVDVF TQARYFNFAKSRATWTDHRGATLPVRNFQSLEVNRNFIGFTGYALDPRLQFTAWIFSS TALNDTVYLGWINYRFSRALDLRVGNWMVPGTREWYESFRYTMGSDRLMATTFFRPNI SPGIWIQGEPVDGLHYVAMLANSLNRFSQGVERLGAAATFGGTLRWEPLGAFGPGPSD AEHHERFTPRLGTSLALAREQNQGFTALQLGNPEDTIIRLSDGVPLFRVGSLGPGVEL ASTAVQLWTVDAAFKYRGVGVSGEYFFRWLDGFRSAGASPPMRSIFDHGGLLQAGYFV VPRRLEGFLRTSFVSGPFGGGYEVGGGANWYVLGSREWRMTAEVLGIQRSPAQNILTG YRAGESGVLYQLQWFTDF OJF2_RS13465 MRQPRVRAHFEVAPTGPPPARLAAARSPRRLAGLAVALATVGTL AGLDARAQAPSTTAPAAAGADSAKSSAGDGGPLARYVPKDNLVFMASFDGLDAHAEAW QKTAAYKMLNTTPLGVMLEEVAAQLLDRALEGVPNRRLNGTEAVALLETILRKGWLLS FTAPGKGGPPPVAMLVFRGMAAKETRLQASRALGMLMGADKPRTERRKGRFVVLINAA GTAWWAEKDDIVIGPSKEGIDYITDVLDGKVPSAVEHPVVKELSQAEGTFRPVLTCFM AESGVTDRPLSGIETFVQTLHTSVGVTRLDYRWGFDDDALMSVLRLNAPSPRKPVLAL FDQPRLDTKQLIPIPDGVDSFVTVSASPAKLLESIAELDRSGQVRGKIDELLERIKGQ GKLNIEKDLLGNLGPRMTLYLAPGRSAAATDEAPKAGLDLGSLMTMIQPLFPKPTLVA EIRDPVAFNRALDATVIAINKELKAMAVEKAATLAEAGGEGRPGQAGAPPGQGNDRSS RRRSNRETPAPELRLAPGAETVKTYMLVVPPDSPIKLNIPGLRPTIRQEGKFVAIAST SEAARVAIDAVKKKDWKPSADIDQALGHTPPNSILLAVDDLRSTAPGILANLPGTIQM QVNTTIAVLQSQQGMQPGQVPGGYPGASAPGSFGPAPSTPPGGSPGGRPGLPRGAGGR DRGPNAEGFDPAGQPPSAYPGAGGQAPAGYPGSGGQRPPGYPGAGGQAPAGYPGSGGP QPGGAGNAGGAPAMMEIKVDPSKLPKAEDLKALMFPGTCAVSVDDKSIRIVIRESFPN PVTLMTFGGGVGGWYYTVSQAAQRAQQAAGGADGAGAAPAPGGPPQAGPGSPGGPASP RGRPGGPAGARGPGGRGMTRPND OJF2_RS13470 MMEIVHEVTARLENKPGRLAKICSALAQEKVDIRAIAVMESDGP SVLRFVTSDLEETRKTLTSLGVEHSIVEVLAVQIEGRPGALSKVLERLAEEHINIDYA YASSAGGGNKALGIFHTNNTKRALQVLAEAAPSADKSAGRRPLHSR OJF2_RS13475 MAKKKKVRVALKKNRQKRTRANDLTRTYEGGPAGPGPEAAPGPD EAATQERVRAKGDLSRYRTILTEEADDPGRAKAGGAAEGAASLRAVDESTSLRGRVVR VHGLVSIVEADGGGTFPCHVRRLLKSLAIDGRNVVAVGDRVWFRPAGRPGEDGLIEKV EPRGGVINRGYRNRRHVIAANVDTVLIVASMAEPPLKLGLVDRYLVAAETGGVRPVIV LNKADLVDLAPFQWIVGLYTQLGYETILTSALGRGIDRLRELMASGVTAVSGQSGVGK SSLLNAIQPGLNLKVREVSDWTSKGKHTTTAAELIRLEAGGYVMDTPGLRQFELWGAP PAELEGHFVEFRPYIPLCRFPDCTHTHEGRCAVKDAVHWGQIHLGRYESYRRLFEQRP LDVE OJF2_RS13485 MYRRVAEDAVTDDVLAPERSRVRSGKVRFSILSRELSALIVLAA VLGAGVIWGGQGGQKDVEASRGFPAHETLLESMIFTSDGQSLASCGWDKQVKIWDLRS KQPPWRRELFAIKQDWHVFDVTMTPDDSLLASGGAGGLSVWEADGEGGWTQVGEERGV SRRCVAASPDGRTLASGGGDGSVRLWDVETRKEVPLASKIPDEPRAIAFSSDNRMLAV ATFGGAFRMWDLKAEGGPRPVPLDLDSVQSFAFPPGGRLVALARSGDKARGIVVFDYV SGSEVLRLSDNSTGTSAMAVSADGKTLAAADRERTVRLWDLATGLLKQTFRNEGGWIQ TVCFSRDGRQLAFGGKSGYLYLRTVDAEGRFVETTPPSQPARDGMS OJF2_RS13490 MSLWADEEDLYIEVDTPGVEEKDVELTVHGDVLTIKAGRAEPEG RKYLFNGRAFGRFERTLRLPEAVDSEKVDATLSGGVLRLTLPRKAEARPRKITLKGS OJF2_RS13495 MKILAISDLHGELSQAREALGAVRPDVVLSCGDWGDPGEIDEAD FGPFLDRGPLLTTFGNHDPRDLLAALRNRDGSPVLIGQGEIREIGGLRVAAIGGIWAK SHSKPYYVTDEDVAGWSKEVAARGPIDVLLTHGCPIGMADRTPSGRRGGQRCFAEAFR TIAPRLHLCGHLHVAQGHTTRDGRMVINVGATPEGSIAILDFDPATGALAGRLARVGE EAGPG OJF2_RS13500 MKTEQFLRHHGIKGNPFSEEDAQTDTVFKRRCMDTIHHPAWNKF FGSPADPSTALVFGEKGSGKTALRLQAMSEIEAYNQANPGERVFVISYDDFNPYLDHY RQAVRAGDTGEVLKRWQLQDHMDAILSLGVTQLVDLLTSEKIDLATLGLDQRRDLLLL AALYDASTGEPIEKRWSRLRRRSGFRPLWSRRDLQIGFGTTLVVLLLLYLFPTLRETR ILPWLLVPILVGWLYWGWRLLRADWYARDIRKGLKVLNRDGSALRWELLWFKPGELGG QPLPTAARRAEERYELLRKFQGVLSTLGYAGIIVLIDRVDEPQQIEGDPRKMRALIWP LLDNKFLRHPGIGVKLLLPIELAYYLEKEDKEFYDRARPDKLNMIKPLRWTGPSLYDL ASDRLKACAVAPSANGEAGGHAPGIDPDDASSGPRLREMVEESVSDDSLKDALGNLRT PRHLFKFLHRLIEEHCHRHTEDQPKWAVDSDTFRTTFQAYMRDLDAFDRGYGHG OJF2_RS13505 MRPLRPGPPIYLLLGAALAGCSAPATDAGARDATPLRIAAASDL QRALPRLVERYQAESGASASMTLDASGRLAEQIKAGAPYDVFLSANEKFVRDLEEQSF VEPGTGRPYARGSLVLCVHPSAAAAVKGLRDLARPEVRWIAIANPEFAPYGLAARQAL EAIGLWGALGPKIVRAESVRQAFVYAQRGEADVALVSRSLIGADPGVSVIEVDPALYS PMVQFLGIVAGSKHRDEAEAFARLVLGPEGQAILRESGLAPPGPATPPPAPATKAETR P OJF2_RS13510 MIDLGPLRLSLMVATAATGLIVAVGLPVALLLARARFPFKELLA GVLVLPLVLPPTVLGYLLLLLLGRRGTLGGWLEQSIGVVLVFHRSGAVLASAVAAFPL FLLPARGAFEAVDPALEDVARLLGRGELSVLRSVTLPLAWRGLASGTILAFARALGDF GATMMVAGNIPGETQTAALAIYDAVQAGEPLRAAVLAACISTISIAALAAVQLASPRR RTSP OJF2_RS13515 MSDDAAGLDVRLVRRLRDGLTVDVAFRLGPEIAVLFGPSASGKT SILRLITGLLRPDGGHVRLGGEALFDAGRGIDLPLRRRRIGMIFQDDLLFPHLRVGDN IGFGLAGSGSPARRARIGEVAALCGVEHLLDRRPAMLSGGERQRVGLARALAPRPRLL LCDEPVSALDVAGRHVLLDRLRAVQRSEAIPVLYVTHSPAEAVGLGTHLILLRRGTIA AEGPPLEVLTGAAAALGPIQLDGVRNALAATIEGHEAPQGSTRLRLMGGPPLIVPHVS APVGAAVTVTVRSDDILLARGPVAGLSAQNLIPGTVERIAPHGAEAEVLVRTGAVAWI VSIVLPVVEQLGLSPGVDVHMIIKARSCRVDHPADAAGE OJF2_RS13520 MPHPDDQVEATSPGWVASEESRPDSFAARRRIAEATPEERRCES RDLLRGRLFAATLVMAVGLATLVIRDLFFPRFLRQSVVHAATLAWLTLLLLALWSRLR LDFGRLRGIEVLAFGSVVACLVASQSLGFHGHLSRQTLDAAELRVLFKASIIGVLILI FTYTIFIPNSARRAAAFIVPMAFSPLVAPLLLGLTVPAFRQVSEEARTLDKLVENSLY LLLGAATAIYGASVINACRGDADDARRLSQYRLKEKLGSGGMGEVYYAVHRLLKRPCA IKLIREDLVRRPNILARFELEVRATAKLSHWNTVEIYDYGRDVDGSLFYVMEYLPGLS LQELVQRHGPLPAARVIYLMRQACDALHEAHRAGLVHRDLKPPNIFAAYRGARYDVTK LLDFGLVKPIKGGDSPLITKEGMVTGSPLYMAPEQVSRSAPIDGRTDLYALGAVAYFC LTGQPPFVDSNSMAVMIAQVRDPAKPPSEIRQGIPADLEEVVLRCLEKEPDARYQDAD SLGRALEACRDARGWSPEDAEAWWLANQPLEDPERPSAPHAPSPGVPTEELTRAEASG VTLQAAAVEDRASPERRG OJF2_RS13525 MNAETEMKAGPEADWIDAPQAVVVHFAFALDIGDEIDLDAARNL LQGEPGVLPRRRRTPGSIGYRPAPIRVPVDPDGIRLPGAISPAREPSADLSLFDFGAI SLAVRFPLIITPRALRDLAGDLADPAELNDAARRRLAPWIDRLRPAVYDFAPSELSEE YIVFQLAEARSNWLSSRAGWIAGLVRLESEPLSLGEVAEATRLAISYTPDDLVLLDWA AGFIADADCEDTLRVIEFANVQLLEFRHIDDRLDDRLEAAYKLIRPERRRGRWLSAWK GHEQAVRHIRELEIEAASLFERVDNALKLVGDHYLSRVFELASSRFHLRGWQQSIRRK LETVGDVYDLLIQQAGGHRMEALEITVVILIALEILLALWRH OJF2_RS13530 MVTAQSLCPQYAIEVSLKTYLPVLVTFGFSVVGVVGDYLLKLAS EREEPLRSPWFYVGFAVYASTAFGWVYVMRHLKLATIGVVYSVSMILLLTGIGTLAFR EPLSAPEILGILMAVGSLVLLIRFA OJF2_RS13535 MHRSPAEVPSESLPRRTFLPTLGGAGFALAILFSMNLLNYIDRY SFFAVATQVKRDLKIDDSWYGYLGGSFMIVYTIISPIMGWLGDRYNRRVLLATGVGIW SVATVGTAFSTDVSHMFFWRALLGVGEASYGVIAPALIADLFPVSKRGRAMGIYYLAL PIGTALGFMVAGYVATHWGWRHVFYVVGLPGLVAAFAGLTILDPGRGASEGKSFSPKA DRPRMGDYLNLFKTKTFVYNTLGMAAATFATGAYAAWGSTFYQRVRGMSLADANLWIG ILLALAGLIGIALSTFVTDLLRKRTRRAYMLLPALTVLASVPLGILGILEPQRTPALA FLFFASILMAMVLGPSNTVTANVVPANQRAAGYALFIFLIHVLGDIGSPILLGWISDL FGSDAIMTSPLGTFLESIGAGPKPDENGLMTNLTVAMLAVGPVLILGGILFLIGSRYL PEDMDRIAAEGGPAPEAGGFGH OJF2_RS13540 MNRRKAMILTTLLGCLSPAALFGQESGGRKAAGRGKALQPADDE RADPNSPDEPPSGLLREPGYQMRTYDISSYTRLDQRQQNPQKAIVEWIFRRTGTADWH GDRIAVLSASRNQLRVYNSPEILKQVDEVVERFTGAADDILAVHVRMYVASDTRWRHD IFSQLAPVGSGPQGQQIWTLRMTDAVVLITQLQNLKMLRPLADERFEMINGQTLTFRQ AQPRTFAGGMQRENAAGQGYTPRADKLEESIVLKVSPLLTFDDGMVDASIDLAVNTVR GFHRTKVIAAREQGPPEMSVDVPEVSMTRLDQVVKNWQLGQVLLITGGIHPGVLDSKS GWLGTPIGAPTASEVLVFIDIETAGKANSKASRPKPEIEQASASDEDEAPRRGRPRAA VADESDADPPARSRKPRRATRGPDVDSEDDAAGNP OJF2_RS13545 MPRLPAFSPVFLYETIAASRRWQAYALRALLVSGLLASLAFAWD AVGVGDLRPRVDVRQTLAEVAEYFYCGAAGIQLGLALLVAPAASAGSICADRAGGWLT HAFVTELSDAEIVLGKLASRFLSAAGLVLTGVPVFLLAMLLGGIIPEALGMLAAVTML VLLGGSSFSMAVSARATRSHEVLMAVFGAWAAWLLAAPTWEALARVFQRNGPAAWFIK LNPFVLVYSPYASPGYAGWSDLGVFAAVCLLVTAACVAFAIAGLRREPAPLRGRSARL EAAGAWIRANLASWWPSPSLDGNPVLWREWRRSRPTRIARGVGALFAIVMTVGMGIGL YEMIWLGTGAQSRFVSGTNLIAAMLGLLLLSASAPTSLSEERVRGSLDILLSTTLTTR EVVLGKWLAVFRRSLPLLVFPSLAGLFVAATALEPTQAYRLGEPIPLETYEKVLAAIL PSAFLLGHAAAVTSLGLAFATWLRRTSRAVAASVSAFLLFSIGWVVVAEIGVRLLVWW WNGRVASDFRDASAALELPLGALSPFGGQVAPLELLDRSWIRDRHLLWGLSLACLLVV YLFAAVVLLLTLLAFDRCMGRAGESRRSGPDAASDGRVGSRAIGLEGNPVSC OJF2_RS13550 MPRLLAPGPVFLYESIAASRRWQAYALRALLVAGLLQSLWLAWF SAGADDTIHVGDLTRVLAEVGVAFYKGAAGILVALALLVAPAASAGSICVDRARGWLT HAFVTDLSDAEIVLGKLASRYLSAAGLVLTGLPVFLLVSLLGGIVPEALFVLAAVTLL VLLLGCSLSMAVSSRATKSHEVLLVVFGSWAVWIFGPTIWRPIAELIGYPLPPEWLDR LNPFFLVYAAYSPAWAPIGADLAIFAAACLGISAAAVLFTVRSLRGDAGRSPSLSRRI DAALDRARARLGSWWPAPSLDDNPVLWREWRINRPAWYARAIFALFALLLAVSMGVGL YEMAWLGNGWFEGTSNPFIEWVSVLAALLGLLLLGASAPTSLAEERLRGSLDLLMGTP LSTREIVMGKWRAAFARSFPFLLFTAAAGGFIAFTAPARPSMSYFYYGSAGETQVTIR DRCLAAVLPSTFLIAHAAAITSVGVLSATWIRRTGRAVAACVTTVVLFSAGWELFGQA ALPTALAWLLSVYSYDDGGALRILSRSAAALGPLGGQMAPYRVLTGNVFDRGIASYGY DAPSDPGREAYWLCMLGTLSVILLFAAALLGLTLLTFNRCMGRMNEQRPLSLPRPPRR ATRARASEP OJF2_RS13555 MCLAVASASGTAASAPAGDDAGEPIVVEAVHPEGLAAALIGLFD GARAPHPAAALSRWKRAGAEPGRLAKPLEAAIAVFNPEMAPEWKALDGATLAAGLDPS RGSLRWRIHIPHDDGSIASLLTAMRLSGGSDDEPLGEAKLPVERLGSGSAVACRGDAG LDVAASRADLERAIRGREAGRIPRAGGAGAPGVPGLAFRIEPAKLPEPEQANDATRRA VALARGLGCRSLDGTLAVRGDAIGLEIAGRLDPSSPLGRGPGGRAIEPAWLALAPDDA AAVLCLATGDGPGYWDALFRLADRVDRAPPARAGMAPLRTRLALLTAAAGAKVEADLW PHLRGLTLVLLAHPSTEPTIGLAFHMDSETSARVLIEQTLPRLATLWGGGRGKAGPAG PPGEGKHLGRVGSRPLEAASRGSTVVLGWGDGVLAALLDAAEKPANGWTARVEREASR QPGRALPDRVGFLQPGRVRIPIKRWAGPSPLEESLAEGAPLVWAGWTRGEDSFDRVEW ADLKSLIRRFLERIPLAPATR OJF2_RS13560 MRLLDLRCHWALQYATASTQYDPEAYAEIPARLGRLDGYLTTVG AAVLPCGRKRSDWEGQPDPWQALRAMLARYEAEFSGRLLIGPEDVARWLAEPDDFLCW GMLGIDDLDALIRDESDLPHLNPLFDRGVRVFRPVADDWPGPLRRRFLQELAGLAKGG GPRPVLDLAGMRAEAAAEALAWLESDAAAGRLLLVHSHGEPSFDLGRFRALGGLLGVG VGKAFAATADELAGRIEAIAAIPFRGRPGFEGLAIATNFLEAEEVVEGLGNAEQVLAW LAGRFGGREAAMLAWGNAEPLFREAAGAPSPAPPPEGAPA OJF2_RS13565 MRYGRLWAALILVIGGSFAVLGYYGKELYQQAPPIPERVVTEGG RTLFTAADIRDGQNVWQSIGGQEVGSVWGHGAYVAPDWTADFLHREASLLLEGWARKE DHAAPAELGDERLAALRARLKKEMRTNTYDPATGTIRVSEERARAIEAVAGHYDALFG AEPGLHDLREAYAIPADSIPDPARRRQIAAFFWWAAWACGTDRPGAAVSYTNNWPSEE LIDNRPSGQLIVWSVISFVALLAGIGALAWYFATQHGHEADHDAALPASDPLLALRAT PSMQATLKYFWVVTALILAQIGMGVLTAHYGVEGTGFYGIPLAKWLPYSVTRTWHTQL AIFWIATAWLATGLFIAPAVSGREPRFQRLGVNFLFLCLLIIVVGSLLGQWMATRQRM GLEANFWFGHQGYEYVDLGRFWQLFLAVGLFLWLGLMTRAIWPALRIDSANRNLLALF LLASAAIPLFYTPGLMWHRHTHLSIAEYWRWWVVHLWVEGFFEVFATVVIAFLFTRMG LLRPATATSAVLFSTTIFLSGGIIGTFHHLYFTGTPLAVTSLGATFSALEVVPLVLIG FEAYENLTLTRARPWVRAYRWPIYFFVAVAFWNLVGAGLFGFFINPPIALYYMQGLNT TPVHGHTALFGVYGMLGIGLMLFCLKGLTIHRAWKTGSLAFAFWAINAGLALMVLMSL LPVGLLQTWASVEHGTWYARSAEFLQTPLMNRLRWMRVFGDTLFAAGAFALGWFVLGL KTGWSLESGPDAVATLSAEGRLDATEPAPSAR OJF2_RS13570 MISQTAEYALRAVVLLGNSAGEPLTTQQIARASRVPGGYLSKVL QSLGRAGLVEARRGLHGGYVLTKGLDELSVYDVVNSVDPLQRIHRCPLRLASHAGKLC PLHQRLDDAVAMLEEYFKQTTIGSLLKHASPDSPPPLCEVATLVGEMAPAGPAPSGH OJF2_RS13575 MNLKPLGDRVVVEREEAKETTAGGIVLPDTAKDKPQKGKVVAVG DGRVTKDGKRRELQVKVGDTVLFTSYAGEEFKLGGEKKVLLMREEDILAVVG OJF2_RS13580 MAAKMIAFDQEARQAMQRGIAKLARAVKVTLGPRGRNVIIQKSF GSPTVTKDGVTVAKEIELDDKYEDMGAKMVKEVASKTSDVAGDGTTTATVMAEAIYNE GLKAVVAGVNPMLMKRGMDKAVADIVEQLHKLSTKVTNKTETEQVATVASNFDSEIGK MIAEATEKVGKDGVITVEEGKTLKTEVEWVEGMQFDRGYLSPYFVTSPGTMEAVLEDA YILIHEKKISVVKDLVPVLEKVAQTGKPLLIIAEDIEGEALATLVINKLRGTFRCAAV KAPGYGDRRKAMLEDIAVLTGGKPIFEALGIELENVGLRDLGQAKKVVIDKDNTTIIE GSGSHDAIKGRIEAIRREIKETKSDYDREKLEERLAKLAGGVAKINVGAATESEMKEK KARVEDALHATRAALEEGILPGGGVALLRASSTVKASGLSHDEEIGYQIIVRACSAPL YQISENAGQDGGVVVSKVGEGKGNFGYDALKDEYTDLVKAGIIDPTKVTRSALQHAAS VSTLLLTSDALIADLPKDDEKKPAGGHGGYDDMY OJF2_RS13585 MNGDKAQLDSLSNRAGTSIGLKRRILVVDDNKDIALSTSWLLKL QGFEVETSYDGLDALQVAARFRPDVALLDVGLPGIDGHELSDRLREQFGDELLVILVT AYSCNDQPIARKPAFDHYFVKPLDFSVLLQLLS OJF2_RS13590 MTRSPLLALSALLALLAPFAEAAEDDAARPSLEGRFARPPADAR MLKIIHSWPDPPAKQDEVMRSLEAQGFGGMVSNVSFEGYLESPPRWEAFARAVDEARR RGFAQWLYDERGYPSGNAGGIVLRDHPEWEARGLLVAQGVTAGKPVSLASPQGRVVLA AAFPMRDGAIDMAGKIDLAARVQSGRLEWEPPATGGPWRVLLITEDRLYEGTHADSNL SDKRPYINLLVPEATRYFLDVTHRRYADRLGKDLGGLFIASFTDEPSLMSVFMRPMPY AALPWSPRLAAEFRARRGYPLEPALSALVAEAGPVGPKARHDFWLTIAELVSENYFGA IRDWCRGHGLLSGGHLLMEESLAAHVPLYGDLFRCVRMLDAPGMDCLTSLPPEVPWYV ARMVAGAGELEGNTVVMSETSDHAQRHRPPGDRRPIRDVTEAEIRGTLNRLLVGGVNC ITSYYSFHGLPDDAVRRLNEGFGRCATMLRGTHPVADVAVVYPIESAWVRFTPSRLWT GDCPAAGAFDALFRDAMEGLFGARREFLVVDSRALAEAKPVDGTLFHKDQAWRVIVLP GADTMPIAAWENLAQFAREGGVVIALGKLPANSEAEFPSPRVREIAREVFGPAGPGPT ATANERGGGGIYLPPGSESLLPIALRGVLGPDLEVGGNPGPVRMTHRRGEDHDLYFVI NDSPRPWTGVLTLPADAGAGPVTRWDPADGRGYAIGPRPAASLTLGPYGATLLRSPPV RPVPRKPLVSGPLPGLAVSRLDPGPPTLGHGEFVRGELRTLAGAKDGRPARYESVARL TKAGVDVHAFVQFRFDRPRSLSPGDCVVVDVTVPPGQATSTELLVFLHEAGGGDFLAT AARSLAEPGRIRSIIPVGRFRRFEGAKDADGLLDPSRIGAISVGWGGYKGVEGEVIRF EVEPPLRGEVR OJF2_RS13595 MSHEEPPNEPPRLGELIELYCDGLIDDAGLRELEALLRGSDAAR LEFVRAFHAHTELAFAVRSRRATDEVLESVLAGRAQADPPPRPGGVAAGTGPARRWRR PAAIAGIAAGALLAAGACAWYLRGGRGVPAGHDPSATRGGGLPFGNVAWLLNAQDCVW AGSEAEMPGRDMRSGKILRLRSGLAEIEFEQGARVLLHGPAEFVLLGGSSARLVQGSL TARVPEPARGFTVFSPRGKVIDLGTEFGLSVDEDGATTVRVFEGLVEASPLESAEWPS NTLQLRSDQAARLDRQRAVVATEAPTAAQPFIRSIVPPSLIVPRSDALDFARLVQGSL LDRTGNGTGLTHRLPGTGTALPARDPNLRIDTAERALWLTTTRSDLNTQDGMPGGEYL GFRLADLGLARDEDFEVGATFSQIPGLRPVGQFGLYAGADSRASIRGGLISRQEQDRY NLFLVNNKSGIDQDLNEVGLMTRGQDLRLTLRRFGGRYSLLVENLSRGSSNTLTVKHP DYLDGAADLYVGVFGANPQTEVSRTLTIKGLKATVWTRPTEAAGGNATGDRR OJF2_RS13600 MSRGEKEAEFSERLIRLQVQLFGYIYSLVRDMDDADDLYQQTCL ALWKKIDEYDPARSFAAWAFGIARFEVSNFLRSRSRSKLYFSDELNLLLAEAHGEIDL GNVEDRLEAMNDCINKLRRRDQELLDACYRRSMRVAEVAQTWGRSSQSIHNSLKRLRQ AIQECVRRTLSRESMA OJF2_RS13605 MVRDRRHRGFTLIELLVVIAIIAVLIALLLPAVQSAREAARRAQ CVNNLKQIGLAIHNYHSATNAVPWGDGPWWNEWSAHTLLLPYIEQGPLYNAINFGDGF PSGSADWVAGAPYNTTVTYARVAAFLCPSDDDRLTNPFGHNNYMANSGSAPNSCYGGI AGTPAWSSPTAGPLIFSSDAYHLYGGTYGGSAISMASITDGLSNTAAFSERVKAIGSN TTPASAPFDGSKPTASLAVPAAVDTKLEGSPQAYYQVCIQTPPVPGSSNNDAAADGND DNISGSLWAMGIPAASRYVHVMTPNTWSCRSGLQIAHVASSRHPGIVNVLFCDGSVKA VKSTIDKSTWWGLGTRGGGEVISADAY OJF2_RS39195 MLKSRRKRHAPAIALALWGLLITGCGDGKPSTDTSLTEATVSGV VTVKGTPAAGGEIHFNPSNYGRIVPPRTAPIGPDGKYTIKTYTGDNVVTFGGEVASKN RGVGLIKEGTIVQSGENEINFDLMGAGAKNVTYDLSKAAKKKGR OJF2_RS13610 MLFVVGGIVLVACAGAAIWALARRGEDPDSLWSRAERAFLSGDH ATARRGLHRLRRLRDRSPRDLMLEAQLALAEGRPEAAREALARIADGQPLAAQARLLE GRMSRQAKRLRDAEASFLRALRVDPRQVEARKELVYIYGIQLRRVEVDAQFRALAALT PLSHRDLFAWALTHYTTWRPEIAVELQGYIDADPSDRASRLALCEYLVDQPGREAQVE AVIAEMPPDDPDALAVRIALALNKGDLERARALLAAAPEDHPRIARMRGQLAMRRRDV DAAIRCFTAALTAEPYDRISPMELAQAFRLKGDEAAAAKHLDRVRRLNEVFNLVMKIR SPEQASRPSDLLAVARACESAGLLDEARGWYTLVIAASPLDAEAQQALARLPRPAAMP AATAVTKG OJF2_RS13615 MGNGESPCSGPGCCGSRREFLQAVGLGGLAALGSGRSGEARAMA GPFEADDFAKLVPPDKKLAPDWVKSLTARGEPQVYRGDDLATIGMPVGGLCAGQLYLG GDGRLWHWDIFNRHQATGPEHYEHPMPARSPVDQGFAVKVKSQAGAEVRTLDRQGFAD VRFRGEYPIGLVAYRDAGAPVEVSLRAFSPLIPLNTDDSSLPATILEYTVKNASPAGV EVELGGWLENAVGLDTGAAPDGRRRNRAVREGDLLALVCEAEPAVESPNRGPRPAIVL GDFEGGTYGTWKPSGVAMGETPSGGAPTPEQRLSGFIGKGLVNTWVKDDGPRGRLVSA PFTVERDYVNFLIGGGNHPGETCINLKVGGKVVRTATGRDTDRMAWATWSVRDLDGKA AVLEIVDEHSGGWGHIDIDQVEMSDEPRVPKVPLARRPDFGTMCLVLLDAAPTDRAMP AITTGPASDAIFAGAPPETAPIGHKLVGALSRSWKLAPGEEARVRFAITWHFPNLSLP GTRLPADLGRHYATRFPSALDVARFLQKEQARLVAETTLWHDTWYDSTLPYWFLDRTF ANTSILATSTCHRFRNGRFYGWEGVGCCAGTCTHVWHYAQAVARLFPELERILRERVD YAEGVGFEPRTGMISHRAEEPVGPAVDGQAGNILRAYREHQMSADASFLKRLWPGVKR SLEYLILQDVNSDGLLEGAQHNTLDAQWFGLVPWLSSLYLAALAAGEAMAREVDDEGF AARCRAIREKGSRNLITATWNDEFGYFVQRADPSHAKAVGSYDGCEIDQVFGQHWAFQ VGLGRILDADRVRRALKSLWTYNFAPDVGPYRAANKPGRWYAMPGEAGLLMVTFPNGN RPGIDDPTGGWSTMYFNECMNGFEYQVAGHMIWEGLVEEGLAITRAIHDRYDASRRNP WNEVECGDHYARSMASYGVYLAACGFEYHGPRGYLAFAPRLSPGDFRAAFTAAEGWGT FSQQRRGDGFTATVRVRWGRLSLKELKLVPGGKQPPAKVSVQIDGQPLEGVVIRWEPE GSAVRVVLPKRLTIEADRTLGVTLA OJF2_RS13620 MKRSNAHADGGASRRHFFRQASTGLAGAAVASAVPLVHAGSGED ARATEIKIGLIGCGGRGTGAVLNALGAATKVIYPSAGYHTEDAAEGAKVSQSNIKVVA LADLFDDRLEKARGELAKVGVEVNRDRCFTGFEAYKKLLEVDEVNYVIQATPPHFRPA HLMAAIQAGKHAFIEKPAAVDVPGVHLLIEAGELAARKNLGIAAGTQRRHQKSYIETI RRIRDGAIGDVVYAKAYWNGGQIWVVDKESSMSEMEWQLRNWNYFTWLSGDHIVEQHV HNLDVMNWVLGSHPVKAVSALGGRQARHGERQGHIYDHFAVEFEYPGGITVFSQCRQI SNCDNLVGESVVGTKGTSNCADRIDPKGGSGRWRYRGPSPNPYDQEHQDLIASILAGR PINEARAIAESTLTGILGREAAYSGRAITWEEALRSRTRLGPAEYRLGPAPFPPVAIP GQYRFV OJF2_RS13625 MDKDEIVQAAAGESGHGCGSGPGSRPDAGGHPGGDTGPSRGYDA GVGRSVGSVAATEGETPGGGPRADRWWEPDRRLRIEHSELRSAYLALSREERALQAEA RSMRERLASLEPGYAALVEQVGAFERGLGGLLLRKARGARRRIFREGRLSGRCWRLAS RFAATLIASGPRVAVGKAAKKVRAKIRGPQPALDPEVRVAEAVPVEAIRVEAIRVEET PPAIREGFLDLPWRYLGAGAPGARGPGGHFKVLIVSHNGSRTGAPLCLLELAERLGRS PDFECWVVLRQGGELADDFARAAPTLDAEAMAGEGRDASEMPGLIARRFREFASRGVA ICNTAEVSAFHAAFAEQRVPVLAWVHELSVCIAHIGGQATIDRIFAASRRVIVPADAV RDSLIARYSVDPDRLRTLYYGLEPRTLDLAQFRPMMRQQVRRELGIPEDAPIVLGCGT ADFRKGTDLFAQLCRLVLLRSEAGEPSSDAWFVWLGSFGTAYSPMWLAHDGQHGPGMS RLIMAGPRDSTAAYFLAADLFALTSREDPCPFVNLEAMESGLAVVAFEDAGGAPEVLK EAGSCVPYLDVAAMADAVRALLNDPARRTAMGRRGQATIREHFTWDRFMEEFTEILRT DYDYHPSAPLKVSVIVPNYRHARYLERRLRGIFNQTLRPHEIIFLDNASPDDSVEVAR RLAGESPVPMRIVVNEENNGSTFIQWMKGLSLATGDLVWIAEADDDCHPQLLERLVPG FYDPEVTLAYCQSAIIGPDDEVLEGDFLKYTDGLSPDRWRSPFCVPGNEEAELALSQI NTIPNASAVVFRRPEGRPEFADELETMRFAGDWLFYAMQLRGGKITYVPQVLNLFRRH PQTNTHQVVRGDTYVEETLHVRARIMETYPLSIHSIASALARSAVEYDHLTREHQLDR PVFTANPRAQGPLRRIRDHLRRRHEASGRTGPGVLLVVGGLGPSGEAHAAIDLANSLA PDHRVYLCNARPWDYDPDVAARVADDVVRLEGTLGVGITTLAGDPPGAGTLSGQSARC KLLRELMRSHAIDVVHSFSRAADRLVLDIMDDLDLPWFTHLGDVTRDADFPILQHAAR SAAGIFHEARADDGVTRQLSQPSGPRLIELPDPADPISLASVCSGAYARACEAGPGRP RESTSRTSRVA OJF2_RS13630 MLTSTIHDEFPDESLATRPGAGLHRSARGGVDATGPLRVCIASH CLLGPVREGAIAGHYMALAQALLDAGHDVTFLYTGGPLGEASSIGEWIGRYAEAGLRV VPLPGPRLPINNHYESCISYLTYEWLREHDEFDVVHFHEWRGIGYYSLLAKHQGLAFR RATLCVGVHGPSLWVKQSNHELLDRVLDLEVDYLERQSVALADVVTSTSRYMLEWLTQ QRWALPSACDVRSILRPALPRTGRVREAAPPTAGEGRVEELVFFGRLEGGKGLALFCD ALDRLAAGPSRPFHVTFLGHDGIVEGQHGLGYIRHRSQRWPFPWRAMAELDDAGAIDY LSRPGRLAVLPSLMENSAIAVHQCLAAGIPFLASDVGGIPELVRAEDRPAVLVQPRPS PLAERMRAALDRPARPARPAFDEQADRRAWLEWHADAARLAAAAPTTGGRHDGEARPR VSVCIAHFNRPEYLRLALESVEAQDYPDFEVIVVDDGSTLPAAVAYLDSLEPKFAARG WQILRQGNLYPGAARNNAARHATGQYLMFMDDDNVAKPHELSRFVQVAEQTGADILTC FMEVVESDDPIDLDRAPSSMTLFLGDCLSVGALYNCLGDTNSLVRRDSFLAVGGLTED WGHNHEDMEFYARAIFKGLRLLVVPEALFLYRSSTSSLIKTSSEYLNSMRGLRPYSEN LPVPISQIVSYAVAQAKKELSPPPPPPPPVVEPPAPVELPLRYRLADRINLLARRAGP VHGAARASLVLGLGIARRMRRFARGLGEMARARGAGRDSSPTPRLVAPRGPHKRVRDG APRR OJF2_RS13635 MPNRTDLDTSVVITAHREGWFSHRTLRSVRRAVAFAAERGVNAE VVAVLDRPDALTRSFFRDQADVRCHEVDLGDRGAARNFGVRQSTGRYINPLDGEDLLG RTWLWKAFCGAERAGHPAAWTPEISVIFEDQIVVWRHPSSDDPDFRPERLVDDRQWLP SLLVPREIALRIPFAECPLDSGFGSEDWHWHCELLAAGVAIRAVEGTTFFRRRCPTSR SEIHSRGDAIHPPTRLFDPQGMVLPEIRLARTAAEEAHEVERVMPIEEQPPTEPAPAR PRSSLKVAYRSLVKPYVPASFDSALRRLYLSTFPMGGKQWARGKARAIRSGAGALARR AAGRSGEAEGGIVPSWPQELPQSHPAPASDQAPANPTFPHPADHLPGWLVEDWKDIHS VEPHLFPSREQLGGARWGLEAAESPVGAAYADACRRLGEPWPSHVFLVPWLTTGGSDL TALNYVHALHDQRQAARIAMLATEDRDSTWADRLPPGVDFLPIGQLYRDLSFPERKAL LVRLLLQMKPRMIHNLNSWVGNEAFISHGKALSQNSHLVGHVFCYDMTREGQRVGYPV NHAPRYFDVASAVISDNQTLLDEMQEIYGLDPARLLAHYQPVVLKERRPPRVASSTGP LKILWAGRLDYQKRVDVLNAIADACAGEDFEIHAYGSHVLDRDTPFPQGPNLTYHGPY NGFESLPAHEFDVFLYTSQWDGLPNVLQEAMSRGLVVVASSAGGVKELIRPGETGYLI DPFDDVQAYRAALREVDRDRAGAARMVWEGYRRLLDQHSPERFARDVAATPGYRSGPL RAEITAA OJF2_RS13650 MATIEELEARIAATERQLAAWRRRAIAAAVAGAALLACGWQAGQ RDLKVRSIVVGDEDGPMARLGANSSGGFGISVFKRGEELAGTFGVTEKGSPFLGLYDR KDDKPNVRPYMLLGDNGPTMSLLDSNGETVFRAPQSSGREQPAVRPGRRR OJF2_RS13655 MTLYELTEALAARGIELSLRLVVDAPRGAVTDELRAALAIHKPH LLACLGREAQWEALSTQRWGPALRPPTVEDRYEAEERVAIQTEDQT OJF2_RS13660 MRGNSARDTALELLRRLNLWPVAIKPGEKAPIGKSWGAERPTER TIGETYKRFPRAGVGLLLGPEGGIVDIECDGPRGEDSLAELLGGEVIDTLGWSSARGP HRVFRYDDRLAKYGKGIVKLPSLPDLEIRIGGDGKQLQSNCPPTTGTDGRPREWNGNW VVADLPQAAFDLLDRVLLAPPGGKPAAAGTWTTTAPSVGNGYASSALDSECRAVGQAR DGARNATLNAAAFSLGQLVGAGLLDRPTVEQRLLAAAAGYVRDDGEAAALKTIRSGLD AGQNQPRDPSRIAAGRNGWHGPRPGPEQAVPTAWPPLRSSALPSVESFPVDLLPPPVA RLVRDGADAIGCPPDFLAVPALVAAAGAIGRSASLLLKHGYFASTTLFAACVGPPSDG KTPALKAATRAVRRIDEALAAEHAAAMERWEQEASQRGTDGKKAVPPPKPKPRRIDID DATMEAIPLLLADNPRGLLMVRDELTAFVLGMNQYKAGGKGSDRAVALKLWAGDAIKK DRVNHEDRVPVRCPHPCLSLVGGIQPDMLREMADAKGRSDGFLDRFLFAYPDSLPVPE WSERGIADDVLDDWCVLVARLWSRPMAVKEGQSVPHVVRFSAVGKARWREHYDLHAAE MGDPGLPASLRGAWGKFRELAGRLALVLVLMEHAADPTADPAVVPEAGERVVDAAWRL VGYFKSHARRTHAAIARGPANADVPALLGWIVRRGQEWFRLADLTSDLRRFRDDQGAL AAAIDELRRQGAIRPRPEPPDPSKPGRKPSPAYEIHPDLLDAPGNTAITANPQPDAAP DPNPGNSGILRRAEDDRDQSLGREAFEL OJF2_RS13665 MAINPDGCPAGLPARYPGGPIEPPVATGLYLTIMRAELAHLAFH ADQWLTVAHEFNRPQLMAWAVRETTTGLSRYFEAWNFSLDDISHRRWPTLSQVRIRLE RLVRRIDFNGKGELTDPEFADLVSTPETISECNAILNIMPDLRFYASRPATRDRDTRF HSDSPIPAPSDAPKRERRLKPGEAAMKIIAALDSLAQAGEWNVAEAAIIHRAGVKRST YYKCLNRDDAVQKAMERYHDRRLGSGPVRSRDI OJF2_RS13670 MRNTTSWKPGQSGNPRGRPKALNGRSALRAVLLEPADPAAESPV SRLEQWARGIVEAAVTFEDRLAVLRFLEGNQPPPAFRVGDWQHDEETQRPRIAIPGAD VRPRLSHEADETRDDEADDSDRIIVPMADERYLSGDEGDQDEGTEAEDAGDA OJF2_RS13675 MKRLVGTVSSADVTARLYDDGTWEVDTPGCPCDRGAAVTFGYLF RAAGERGHDGRGRERQLRLAAEALDGFVVMADPSDSDLRIAARRD OJF2_RS13680 MYCAGTMTAPDPGRTYCRDMSRHEILSAEQERRLAEAAAAGDRD ARARLIGANLRLVAKIAARFRNRGMDYDDLICEGNLGLTRAADRFDPGRGCRFATYAK HWILEAIRSALRDTTATIRLPAHVYVLARKWRRAEQRLSRGLGRMPTSDEVAAHLGLS ESQLAMVEKAQWAGRLRLEGALSDGGEGWSLDEAVDGSEAPGCDLERADERAEVLRRM GRLEDCERAVVTLRYGLGGEAPRTLAEVGRRLGVTSEWARQIEQRAVRKLVLGAAAPA PRAARRFA OJF2_RS13685 MRRIIALGVRIADDLAATSAAEAAAPGWPGFREEDWGSLSRVGI ERWSADAIFVEALARHAFEVIVSRERAGGAGRVAPGRATV OJF2_RS13690 MERIRAKFCALDAVLDERSRRQWAAAEAREYGYGGVTALSLATG LARNTIAAGMRELEYRELHPDEPVSTRLRHSGAGRKRRTEADPDLAAALEALLEPLTR GDPMSPLRWTCKSTRRLAAELSGQGHRVGYRTVAWLLHEAGYSLQANRKTREGNQHPD RNAQFEFINAQAARFQKRRQPVISVDTKKKELIGDFKNGGREWRPEGRPEPVRVHDFR DKELGKAIPYGVYDVTNNQGWVSVGIDHDTAYFAAASIGRWWREMGAPRFPRATELFI TADGGGSNGYRTRLWKVALQGLADQIGLKLTVSHFPPGTSKWNKVEHRLFSFITQNWR GKPLVSVQVIVNLIAATRTKKGLVVRAALDEGKYETGIIVTDEQMAGLQLKPASFHGE WNYTIKPRSRT OJF2_RS13695 MEILIVDDQRFTRMTLASSLAGMGHLPHSVDSAEEAWRFLGERD VRAVITDWVMPGMSGPDLCRLIRSRVDRPYVYTILMTSLQGRENRLEGLASGADDFLS KPVDLAELGIRLSIAGRLLAVQSDLEAKNSLLRAIAGTDPLTGLANRRGLDMAVERLA TRAIPTSPRSLVMLDVDHFKSFNDAYGHPAGDEALRAVAAFIRGSVRPDDLAARVGGE EFLVYLPETGGSTAGRVAERIRSAVASHRWPSRQITVSMGVATTHSASGQEDVMDLMG RADRALYASKHGGRNRVTQASDISHSACA OJF2_RS13700 MLRDVVRSRSPRKPAPRRHARPRVEGLEDRLVLYSTLGAKWPYA SRITYSFVPDGANIGGYSNSLFATLNAKYPTATWQLQFQKAASVWQAVAGINLCQVGD DGSALGVDGNQQGDPRFGDIRISAVPQGSGTLAVCLTPPPVNGGTDAGDIILNSTSNW GINTSYDLETVAIHELGHALGLGHSAIQAACLYAYYNGGKQSLNTDDVSGIQSIWGPA KSDIFNSNGQSNGLNTTAANLNAYIGSSGQAAIPNLTIGKTGQSEWFSVTVPSNTTGT FVATVQSTGLSVLSPKLQVLTPSLTLLGSVASANFGDTVGVTLTGVSAGTTYLIRVAG NTAGSAFGGYGLLLNFGSATQPLISPPYTAVSEQADLGGGSSSMEAETATMGDYTARG DALEVSELRGRLRVTTRSELAPWITDRSTTSTAVIDSRFRMPSDPPRLSRARPILLAG STTARVSGHRWDLRTSPAANYAAIASWRAHGLLEPSES OJF2_RS13705 MRDAKAVKLVAAALSFVGRPAAASEVVDAFEGIDGLRGAQIIDA LSSLVLDGRARMIPRGELIYYDPSPTRELR OJF2_RS39200 MRVAIGRQFVYDMTTYNATTYNIAEAGDAPDEGARWILIATVER TMHLRPIIRESQ OJF2_RS13710 MNMPIDRFPTVPVDLQESVCSRLALLARLDLDELTTWAAAAREG LEAARALRDSGWPDPAVEECLLILSFAGGFPGGPEACLESLRLAAGKLGLPSHDSPSL QVEEGLRLESPSAA OJF2_RS13715 MYCAGTMTAPDPGRTYCRDMSRHEILSAEQERRLAEAAAAGDRD ARARLIGANLRLVAKIAARFRNRGMDYDDLICEGNLGLTRAADRFDPGRGCRFATYAK HWILEAIRSALRDTTPTIRVPNHVYRLLWKWRRAEQYLSRGLGRMPTSDEVAAHLGLS ESQLAMVEKAQWAGRLRLEGALSDGGEGWSLDEAVDGSEAPGCDLERADERAEVLRRM GRLEDCERAVVTLRYGLGGEAPRTLAEVGRRLGVTSEWARQIEQRAVRKLVLGAAAPA PRAARRFA OJF2_RS13720 MPANPQLEIVLSEALWKSLDRRARELGVPLELLAASLVRDAIQP PEGSPPRECRARTESHNPVWAVRH OJF2_RS13725 MPIEMEASIRQAIVDHNLLRVVSRWREHVVEPYLLYRGEAGFAL LAYEIERPSHPSAPPGWVEMRLSCIQVVRPLPEHFEPNRPGYEPGHRRYWGRIICQC OJF2_RS13730 MNRLRQAFRELATDLRAMLAGIVAIAVWIWAVDYIRHLYAAEPP SSGNLHRLVRWLASWIGC OJF2_RS13735 MKRQLAFESLEGKLLQSVTISGAAPLAAAYARIDRPAPDDDPTP RPESDPGPLPTGEPPIIIPPLPPSGPAGPGCVAPGAPR OJF2_RS13740 MRRSPTPRREAAEGRKLAPAAPAEETDEMPPWAFHDERARRAAR ARVANTRRRAIDPTTCDRDYSAAEVEFMRAMQEYKERSGRMFPTWSEVLEVLQDLGYE KAQLTATDIVGGEPAQGHHPR OJF2_RS13745 MMSYPLRLDAIFKASATPPRGASPLIFADGVLCRLRTWTEGEWL ALPASDRPMRYVHAPGLGYVGAVRVEPME OJF2_RS39205 MALGAGQTPTRRLAHPPVAGRQPGILAGDRLGRVANQAACEQGY RHAQLPGPAV OJF2_RS13750 MRPSTIAPSAAAEGRGSAPAPSAVEVPSWAYSSRRAHEQAGRAA QARNASGRRRFVDPTTCERDYSAAETEFMQAMQEYKQRSGRMFPTWSEVLEVLQGLGY QKVAPPA OJF2_RS13755 MKPLALALLACLVAQARADTLYFLGGPEPSGSLAYGGYRTVATG TGFAVTSVIYMGDDGSTAWTQPLDASFSFTTGPSLRGGSNSLVSFADGGSLTLMGSGD AGTPAAELFSGDLFSPDIQMVGGGYAFGAGGTGRYTQAMLDLFPSLSGGLVLADRTYQ FSVFVAFDAATVAGGFASTGTYRQVAVGASSGQAPEPSSVALLGLGLAGAIGWGARRE CNRAGLGLGSVGPEDEG OJF2_RS13760 MLTLSGHVQRITGGGPKTLTITAFQTDFTLPSGSVAGLTSVTSS IFSDIPGGSTQSFQSWYNNTSPATPPAPFGIPAPLLVLPLAGTDALGGKATLGGLPGS SSFSLTSQIVLTIGGATGATCPDVVFGGKTQLNLQAVPEPSGVLLLAIGAPTALLVVR RVRHARGRAVA OJF2_RS13770 MRGLAYDAMEEASPDDRPRRRWPRALAAVVVLAALSPLMLEGMS LCLGGWREVFGVSTSVSTPILDSVRDEVDDLRVQFRVQVEPWFQRVPWDPKMVLPAAA VVMAGSMLLLRR OJF2_RS13775 MTISLQALLPLLVFCSISMAVWAVMTIVLGDRNRGAEDRLRRIM SPGTDRKGAEHSLAQKQEKFQEQVARAANKLGQSLRPTDEQELGKVRVELLNAGFRSE NAVAVFFGVKMILMSLFAAIVFPVVALKEGVTLNAFTYTVAAGGLGFYIPGLVVGSRK KKRSEDIFLGLPDALDLMVVCVEAGLGLDAAMRRVTAELATSCKTLCEEFAIANFQLQ MGRPRKDVLRDLGVRTGVDDMRSLAAVIIQAEKFGSSIGAALRVQSDALRLRRRQFAE ERAAKTAVKIMIPLILFIFPGVFVVLVGPAGIQIANTIMAK OJF2_RS13780 MLQDPIVLSILVGVGVIVLVAGLGLVMTGSFDKLAEERLEGLTG AGTKRGREALSSGILLRPAAINLGGGSVWARLIPNPDNLNLLYEQADVNLTFNSFMAM VLGLAALGAVVGVFLQVPVMAIPAASAFLAALPFLWLLKRKQKRIRKFVEAMPEAVEL ISRALRAGHGLASGLHLVAEEMRGPLADEFNRVFEEQNFGVPIEISLRNMADRIPVMD VRFFVIAIIIQRATGGDLAEVLDKIGRLIRQRFELLGHVKALTAEGRLSGIVLLALPP ALLAFLSFSNYPYISPLFTTAIGTKMLVVTAVFQVVGAWMINKIVAIKV OJF2_RS13785 MLRGLSRSPFGPRSPFGPGAAPQPSEAGPPAEAAAPAAPAAPTP PPRRAEPAKETDPAVIQYETLKRHIHMQLVDRLDMNRVGEMDPKTLRDEIRQIVEHLC DTENPLLNRNERQRLVNEILDETFGFGPLELLLKDDKIGDIMINGPRKIFIEKEGRIQ KSEVVFRDSEHLLQIIDRIVSRVGRRVDETSPMVDARLPNGSRFNAIIPPLALDGPVV TIRMFGSRPLSKDDLLRFKAFTPEMLALMEGAMKARLNIVISGGTGSGKTTLLNTLSS FIQPDHRVITIEDAAELQLQQDHVVRLETRPANIEGRGAITATDLVKNALRMRPDRII IGECRGAETLDMVQAMNTGHEGSMTTVHANTPRDAMCRLETMISMAGLELPIRALRAQ FASAIDLIIQASRLQGGPRKVTSISEVVGMEGDTIIMQEIFGFRQLGVDQNGRAHGEF VASGIRPTFMERLESAGFHLSPDIFRQRVLLKD OJF2_RS13795 MRDVIRVVLVDPNEESRRALQRLLGSLAVLWLAEAFDSYKAAAS RVAEIAPDLCLVALDADPAQAVELIAGLSHASPGTVLLPASATCDSGLILKAIRAGAR EFLTLPTEAAEVSDIVSRLFRGRGEAGGPGAKGPQLVTITGASGGVGCTSTAVNLATT LASGGHGETILLDFDLMFGSVDACLDIIPDNTLSNVIQNLDRLDLTLLKRSMTRHASG LYVLPHPVALEDSARIDPEALRRLLGLLKAAFPNLVIDTSKGLQSSDFVAFEMADVIL VVISLDLTCLRNTARLLNLFHQFEGMADRVKLVVNRAGSAESEIGLKKAEETLKMPIS FQVPIASKAFQAARVRGVPLADVAAGSRAHQAILEIARSLRGDEAAGAEKPRKGLFAA FF OJF2_RS13800 MNGRSLMVLGLAVVLGLGAMVVTMKYMGRPPEAVEETQEVLVAA RDFKEEELLKADMVKVERMSKKSIPPGAFAAFKDVEDRWVRTAMLEGDLIVDKKLGPK GTPPGLVANIPKGMRAFAVEVNEQSGVSGFVLPGHRVDVIRYEAPQSGKPSHAEMILQ NVLVLASGQVFTRPEEKALQNHTVTLAVTPEQASVLVAAKANGPLSLSLRGVNDQEVV ARPKEAPEVKSLRDDLEKERQARARLEKDNEEIRQALAKTRSGPTPEELAAVRDSLEK ERQKGLEREKDLRSMKLAWQKYVAENPPEERLARYAYVYRPLQENNARSDQGPSRVPL NRHAARALAKTKDREAETRLPDVLAGRPQGFGAGPAPEGPGAPAGDQAYP OJF2_RS13805 MTVVPAVAWFVSAVLVVAAIIDGRQLRVPNWLTFPLLFAGLGFA AGTGGAWGLGWSLAGAVVGLLCLLPLNLIGGMGEGDVKLMMGMGAWVGAYHTLMAFAG TVLAGGVLGVAMIAASGEWIRHLAMMQVIGHEILAIRNPDVLSERAARRKPSMRLLPY GIPIALGSITYFACWGLLGSW OJF2_RS13810 MSALMKRVERFLVSEDGPTAVEYAVMLALILVACIGIVKSLGTS ISGTFTTVNSTMGSSS OJF2_RS13815 MSALMKRVERFLVSEDGPTAVEYAVMLALILVACIGIVKSLGTS ISGTFTTVDSTMGSSS OJF2_RS13820 MSADWTAEATSILEGRRDGTGAWAYRPGLGPAAEATALAGLGVL AAGGPDSGSAGARPAAGWLRRHQRPDGAVAVSDGTPGACWATPHALLLWHALGFDPAP RRAAVEWLLRSRGEPLAPPPEERVEIVGHDTSLVGWPWIDGTHSWLEPTAMAVLALCR EGQRGHPRVVEGRRLIVDRALHHGGWNYGNRSVFGRELRPQPGPTGQALLALAATDPG GRLHCVDLAVSYLSRVLPEILAPISLAWGVLGLHAWGACPGVAESWLARSYEAHRADR DATVGLGLLLVARAGKLPTLSGAST OJF2_RS13825 MKRRSFLTAAGAVAAGGMGLRLARSHDECGMRAPVFVGRAGSYS EDLERIIRDGLAELGLGRPAVEGRTVLLKPNLVEPIRTEPHVNTHPAVVRAAAQVFRR WGARDVLVAEGQGHCRDSRLVLDESGLGPVLAESGLQFLDLNHDDVVRVPNASRYTKL PELMLPATLGRADLIVSMPKMKTHHWAGVTLSMKNLFGVMPGVCYGWPKNVLHHSGIA ESILDINAAVRPHLAIVDGIIGMEGDGPIMGTPKAAGLLVMGTNLPAVDATCARLMGI DPLRVAYLAGSSGFLGPIQSRHIAQRGEAVAPLVQPFRLLDHPSLAYLRDVPGPVGT OJF2_RS13830 MQLEADWDGKPKPTIQMREVLIVPKSGGTMLAYALGAIAGGFLL FVAAVASHSPIWILPAVILGIGAIIVLSGLFTVGPNDAKVLQLFGDYSGTVREPGLRW VNPLYTKKRVSLRVRNFESERLKVNDLSGNPIEIAAVVVWRVVDTAEALFHVDDFTDF VHVQSEAAIRNLALSYPYDPHNEGEIALRSHTAEVAEHLKTEIQERLHQAGVDVIEAR ISHLAYAPEIAHAMLQRQQASAVIAARQKIVEGAVGMVEMALDRLSHQKIVELDNDKK AAMIGNLLVVLCSDRGTQPVVNASKG OJF2_RS13835 MAVASLALAGYLGVAAWNAQRLEGELRLAAADMEAGRLSTARQR LVSLSERWPGRDEVWLQLGLCEAARGNAAATLSTLSRIPTVSRLYARAAGPMSQAAIG LGRIGEAERILATVEDLPGPDGDALCRTRVILLGQLGRTDEAARLLEARWRLAAGPPP AAEAVRLGILRDHIGLDLEPFPLQMNLSILKAGGRAPDGADEVLLTLARAHLATRSGA FDEARSALEPLLARPQGDLDAWKAWLRWAVAAARPDEARRAAAELPASSFGAAELASL QAWFAAGRRDHAAERRALERLIELDPGREEALLRLSVLAREQGDRAAADRYRARKDEL DRARDRYLALYREQALADHLDEMAELAGRLGRRFEARAFREISASRQPGGAPAPSASS PDVPANRPPGVTLAEALGMTEREAGAGTSPWIPDDRTWRGLPAFVERSRDANLGGFVF DNGTTPMHQLPEMASGGVALLDHDGDGRLDIYLVQGGAFPPGPGRGRSADRLYRNRGD GTFEDATARSRLAEMPGGYGHAAAVGDYDNDGHPDLFVTRWRSYALYHNRGDGTFEDA TGQAGLDGDRDWPTSAAFADLDNDGDLDLYVCHYGVWDPEHPKVCKDPSGAIVMACNP REIDAMPDHVFRNDAGRFVDVTREAGMEEREGRGLGVVAADLDGDGLIDLFVANDTTA NYFWRNMGGFRFEERAHLAGLAANAAGGFQAGMGVACGDADGDGRPDVAVTNFYDEST TLYGNLGDGFFADRGPAAGLAAPTRYRLGFGISFLDANNDGRLDLLIANGHVSDIRPL FPFAMPIQLFLGDSLGRFAEIPAESDSPFRVPHVGRGLAVGDLDDDGLVDALIVAQNE PPVLLKNESRLPDAHYLSIRLRGVRSNRDGVGALVTVEAGGRRWSATRPGGGSYASAS DGRLHFGLGAAATAEKVTVRWPSGREDRFEGLKADAAYELVEAATAARRISPPRP OJF2_RS13840 MVNRKPISSRRILAAACLAAMGIAGVLVARGEPWAFLAARAARA AFAAGRSEDAAGPLQRWLDLRPNSAEANFLMARSRFAAGRVAEARRSLDRAEDLGYPA RPVYRLKALLLTRAGMFAEAEPILLNLLNESDEPDPELDEALTRVYLETYRLELAAKV IRRWMLDAPKDAKPYLWLTEVDSRLEVDNPGVEERHYRDALALDPGLDKARLGLARSL AKLHRDEEAIAEYEAYLAANPSDPAGLLGLGEIRLNRGELDQARSLVGRALEIEPANP VAAKQLAALEVRRGDLPAALEHLTAAIKGDPLDVDALYQRMLTYSRLGRRSEAEDDRR RLDALRADQVAVVKLRDHLLADPSNVELRLEMASWMLQHGRDDQAIRWLRNILASQPR HPKASRLMADYHERRGELGLANHYRLLGETAQAGP OJF2_RS13845 MPKTSRACLASLGLLAFLAFPGCGGSSIEEGVPKDTGFVPVMEQ PGTMSMKSKPILNAKPKAPSDNPAPAK OJF2_RS13850 MRRYHSRFRRGFTLIELLVVIAIIAVLIALLLPAVQSAREAARR AQCTNQLKQLGLAVHNYHSTYNSLPAYVIFLGPAGGAKCCPADNGGNGWGWDASWAVA LLPNLEQGPLYNSFNFSISADGPQNTTVTYNALPGFLCPSDGIKARPSSPWAPISYRG NYGTPGSIRLWTGTIVPNYTRTPQEWWGSDSNLAYFGLEGITDGSSNTALFSEKLIGV SGQTIFVNSGAGMNRRTAFPHNGDWNTQNSGNTTYAQQLLTQCQSLPGTTQGIDNGWG NGFSWALANPWNFLVNGYNHYNTPNKLSCLSTSDQGGSWGGVTGLITATSNHPGGVNM GMADGSVKFVKDSVSVQTWWAIGTRNNGEVVSADAY OJF2_RS13855 MRRRRMGWAVAVALLAAVAVGVSAAGLARQRRAWRAELEAARLA IAEGRHSTARQKLAGLADRWSNEGEVYLLLGECELARGRRDEALSAWSRVPRESPHHA RAALLRATQLINTGRYGPAEQVLLEAAGTPGEARTDGLDRALDRLYRFEGRFEDVRAL LRRGWWRSGDPAAALRELWLLDTSPMPVESWGLALDRADASDDRVWLGRARNATMTGR YEEAGRWLGRCRQARPDDPAVLQAELDLAVAADDPARFWAAAERIQADRPGPADVVLM RAWLARTRRDRDAERRELEALARDFPGNIPALERLATLSLEAGQHAEAERLHRRKAEA DKARDRFRKLLLDESRLLDHAGELATLSASLHRDFDAAAWSLLSRATASASDPAPVRF GAGATVPADVVAAAREISARFGPPAVVPGPGPASLAARLADVRPDSGQGVAGGAGPGL DGRASLPESPPPSFRDDAEAAGLRFVFDNGKTPQFLLPETMSGGVGLVDFDGDGWLDV YFVQGGPLASGPGAKGSPVEPTDQLFRNKGDGTFEDVTDATGLRGLLRGRGYGLGVAI GDYDNDGRPDLFLTRLATYVLLRNRDGHAFEDVTDRAGLAGRRDNPTSAAFADLDNDG DLDLYVCHYMIWDPADPRLCKNEKGEYFYCDPSKVEPAADHAFRNDDGRFADVTAEAG LAEAGGRGLGVVAADVNGDGRADLFVANDGTANYLFLNRGGFRFEESALVAGVAGNAA GGYQAGMGVACGDLDGDGRPDLMVTNFYGEGTSLYRNLGQELFADESATTGLGVATRY LLGFGIGLLDSGNRGRLDVLITNGHVNDNRPYYPYAMPARLYENRPTPGSFRLVDVSD RAGPPFQVKRVGRGLAPGDLDNDGRVDAILLPQNEPVAYLRNTTPSAGHFVTFRLEGT KSNRDGVGAIVTVTAGPSRRAAQRMGGGSYQSAGDPRLHFGLGDRPRVGAVEVRWPSG RSDRYEDLPADAGYLLKEGGTKAGRLPGYRDVRRAGGEGAGTKATGRR OJF2_RS13860 MLKPSVQLHEQDGILIAEFWDCWRLDPAPIQELRARYDAHLRKK GRPEVVIDLSGVGFAGSAALGNFVTLHRDARKNGGRLVFCNVEPTVVEVFRASKLEPL FDFVEGRQAALDLIAKGPSAEKSAAEPGPTPSARPPLGSRPPSSPLRRRRPEAESQ OJF2_RS13865 MATTARIQVQDHDGIKVVRFTDNQLFDERTVREVAEQIAVSLPA DGSPIRLVLDFSEVSMLSSSALSKFILLQRRVDATKGKLRLCELSPVLHQVFRTSNLD RLFTIDRDLRSSLEALR OJF2_RS13870 MSGEVVPSIGEPSDAGPEDGPEGRGALGIPGRSIAGQVLWLAGP VFVEQSLLYLIGLSDTVVAGRYLGADDLAGVTVANYLLWALGTLFTIASVGGTALVAR SVGAGRWDEAVRYCGQAFAVGLGLGAAALALIQAFARPLVSTMNLSGPSAAAAVLFLR IVAAVSPLLACTAVGNACLRGAGDTRTGMKVMVLMNAVNVGLTWLLAVGWGPVPALGL AGIAIGTAFGEGIGGLVMLMLLARGRSGLRLGAANLRPERAAVARLLRISLPAAGDSI TNVGCQLWFLGLINRLGPIATAAHGVAIRCEAIAFLTISAFAVAASTLAGQYLGARRP RRAARAAATAWVMGMAFLSLLGVALYTRGEAMFQVFLAGRQPEVLVEGVDVLRIVALA MPALATINVLNGTLNGSGDTRWPWAITLAGYLLVRIPMTYLLTLPAAGGGFEMGLRGA WIAMFADLHVRALLVGGRFLGGGWLKARV OJF2_RS13875 MAPRPVAEATETLEAGASLPAELCRDVVRAILGGEVPEPLTERF LAALHRKGETAEELHGAVVAVRERMIPFDAIAPSEPCLDTCGTGGDGAHTVNISTATA AVVASCGVRVAKHGNRAASGSSGSSDVLGHLGVAIDAELPVLRRCFDEIGLVFLFAPR FHPGLKGVAEVRRRLPHRTVFNLVGPLCNPASPTHQLVGVPSEGHGATMAGALARSST IRRAVVVHGSDGLDEVTLDGTTRALVVEGGSVRATSWTAADFGLPRTPAAALRVSGPQ ESAERLRRLFAGEPGPVRDVVLANAAAALWTIEPGPLAGYVARAAAAIDDGTVARRVG RWAELTGGGR OJF2_RS13880 MSRSSSIALAGLSLAAGLVLGLSLGRGGRPVLAQVAGEPVGPKL GRPVAAERPAAGEAAGRRNDEAVYAELAREYDKFDHVNRIFALVSRAISPSVVHIVAT KTTRHEEGQRTRRIEETGSGVIVRSDHGSGLYVLTNNHVVEGGKAAKIKIFLHDGRTI APERVWSDPEADIAVLKLDRDDLPAARMGNSDTATVGTWVLAMGSPFGLMHSVSQGII SARSRHMDELEDVKNQDFLQTDAAINPGNSGGPLVNMKGEVVGINNSIASNGGGNEGV GFSIPINLARWIMNELIDHGRVTRGALGVELQPNFNQEDATNLGLDRPVGAWVDRVNP DSPAARAGLRDGDVVLKFGGVPIHDLNHLINTVSMTPVGRPADVVVWRDRREVSMQIM VGDRDRTITGPPTMPTERRPEPPDLVHGGRGPEIPTTATALGLDLTTLNRQMALRYRL PETLQGAVVVGIQPDSPLSMVCQLNDVVSKVNDHAVRSAEEAVSTIRERADHEILILT VDRRGREGMERYTIKVP OJF2_RS13885 MRLRLLAEVTRVLGDASAVAAGERRTLAGKVERWRYQLLNHNAG HLDEHQAELAGRLDLLARELEGVAPRPRRSFRQRLASTRDRDREERDLESCLDAARPI EDVERQAARATDLNFGSRASVARRILLYAPLYLSNHCINHCLYCGFRYSNPMERDHLD LPRALAESEILGRRGFRHILLVAGEHPGMVSVDYLAGMIAPLAGRGFRIGIEIAPQST SGYRRLAEAGATSVTLYQETYDRARYAAYHPKGTKAWYDWRVEGPERAADAGLRRIGL GILLGLGGPVEELHALIAHGRYLLDRYPGLRLSLSLPRIHEAPQGFRPPHVVDNDTFI RFYCALRAAFPTANLVLSTREPAPLRDRLARACITQLSAGSSTSPGGYRGRAGDGTSR QQFPVFDPRTVDEVASHLESDGFRPIWEPDEA OJF2_RS13890 MDLLGRARSFLKGVATPPVSRVQYYSVVCPLGHRVRGQRTEGYQ ALRCPACGEGVFVLPASPLPEPIPPARSSTRRPVRQRERMPVDEGPVQLEDPGEATVD FDEPRPPGDEVEIVWDDEPQAPPAAPSRPSPAPAAEIPAPESPPARTAAAPGPSPRKP AHPAPAADRARRGDRPERPRAPGRVAPADEALVAAELRTGRPTRARDRRPALIAAAVI LLIAGAFVIRSWRARRGELPQIARLGVDEGIPALKSGKFDMANQILGAAKEAVDSLGG AVDQAEDIRKAAGEAAIFADLSTDPLEAILDEAARSTPQAWAARFDQVYKGRAVVIDA TISAAPEASPDGRYEIDYVVVPVGEGSSGRDRYARFDLGDFELLKGSGHRVGDHVLFG ARLESFAYDVASNCWMVRFEPRSGVTITIVEALEALGWSGTFDLDRLQKEDEAQ OJF2_RS13895 MMRAPASWAMASLLMVVAASHPARGQEAAIPVEPAELARRADLV GKLVSVDDRVVYYRLDPSGPFDEIRLKRTTVPVRLRGALRPRNPPRPMPVIAQGRLAR EEGQLVFEVSSLAVQADDVERLEKGVAALPPGDFENRKAWAAWAERRGKELKDGPLER KGRALLAEAVQIEADSRRGTVDAPREWLALAEDARRKGVAEPGPSALAHRAFRAQLRA ASDVAALESLKKAVEGFFPSVATDRAAGSTNLARWEEPYRNDPAGAYREAPADQRKGL DRRLWGDVLEKLLEAKAAADPVSAIELSGIVERELPERADQLGRRLLARGLDAARQNL GSLRKDEVRSMAEVYREKLKDPQAAQDLLRSWLKIRRDRLSDTDAEGPVDLAGLYDEM LQDRAGAKELLDRAWKIAPGSQAIAEAFRTRGYQLEKDRWVDLPSATTAPTQAEPAPA PVLGGGLRGKTTDEVSRQIGSRPDRKARCATKGQIVEQWIFHLPGQEKDRYVNFLRSA GDLQPRVISDYTLPRGPGGIRKR OJF2_RS13900 MARRDALRKAINGDLDSFRKFSQVSGVGDNVDAAVDSANDEISS QLVEIESRELGHIEHALRRIAAGVYGRCEFCGGKISEARLNALPYTNSCIDCQRENER LGQHRGMDGEPQRWAKVFEKPIDDSEGDAQINLSDFEMDFSETGR OJF2_RS13905 MGKKLYVGNLTYKVTSSELEQLFSQFGTVQSAQVITDRDTGRSK GFGFVEMDTEAEAQEAIRALHDQDHGGRRLTVNEARPREDRPGGGGGRGGYGGGGGGG YGGGGGGYGGGSGGYGGGGGGRGRY OJF2_RS13910 MMIALLAAACLGQLSPGGASPPPPVAYVVQPGLADRMEVLSPSQ IGVGGWLGARIALNQKQRLLNVDTEPLLAGYRRKPGSHPWIGEHVGKWLHAATLAWAN TGDLGLKAKLDRVAADLIACQEPDGYLGTYVPEKRFGLFEGADWDVWSHKYNLIGLLT YYQHTGNAPALSCCRKMADLLVATFPARKSIREAGTHVGMAATSVLEPIVLLYRFTGD PRYLDFARYIVKSWDEPGGAGIIASIRAGKGLNETANGKAYEMLSNLVGLCELARATG DDELVHGVLIRAWHDVVANRLYVTGSTSSREHFQPNRVLPDRPSANVGETCVTTTWIQ FNLQLLRLTGDVRFANELDRSIYNHLAAAQHPGGDDWCYYTSLEGKKPYDAGITCCHS SGPRGMALAVQAAYFRAVRDGGDALVVNTLEDSRATATLGGVETTVTQSSGFPEEGVS VLTIHAARPARFGIRVRVPTWLGGISATAGGQAVEATPSQGWVELPAREWKDGDRVTL SFPFSPKLGYQGRASTPGGAFLSYGPFVLAYDEANNPGLPPASTLGLVEPTPKLTRAL GPDLAFLGPVADRRGTIHRAVFVPFADAGATGGRYRVWLRMPGEPAKAANSLLGDGEE ARSRPGNQDGSIVDGDPNSFVVTFDRTPSAEDWYSVALPSPARITRVVFRHGHSFHDG GWFDASKGRPKVQVRRSTEGPWETVGELATYPATTAADHAGLKDGQAFECKLVAPVAA SAVRVIGTPAKGDDPGQAFSSCGELEAYGE OJF2_RS13915 MTIDPAAPGLIVRDARPADRPVIVEFNRRLALETEHKRLDAEVL DRGVARALAEPDRLRYWVAEAAMPEGPRIVGQTAITREWSDWRDGWIWWLQSVYVDAD HRGRGVFKALFRHLRAEALAAPDVIGVRLYVENGNAQAQRTYEALGMRPGGYSVLEEL WIHGARPSR OJF2_RS13920 MGIYDREYYRGEGGGSGWFGGVSPWCNTLIVINCAVFLLTQSLN QESDFVRHWLMATPEGIFHQGKVWELWTATFLHGNIWHLVFNMLFLWFVGREMESLLG GRDFLAFYLASAGVSTLAWAAIHELGPLGGRSVPMLGASGAVTAVVTLFTLYYPRREI LLFFILPMPMWVALAIYLFFPLFQPSRDVAYESHLAGAAFAFAFKQFDLRWSRLVGGR FRRPRLRIVKPVPVEQPRSRPSGVTRSSGEGHMPRPSSAAVITEEQLDARLDEVLAKI AREGRSGLTEEENRILQEASRRARSKRSDRL OJF2_RS13925 MSTRKPNPEDAFTIERHGDITVIVATPALERLKFGLEEQAAELI LRPLEREEDPLIIFDLGRVDFFGSMFLALLIRCWKLALSRGGSMALCGVTPRTRELLR ITSLDIVWPLYATRQEAIEAFELD OJF2_RS13930 MATARLRLFPYDEETTEDINGPSISVRLSDLYPLLAQAYRDNFL WLRDFENDELMVSSDLYEVIRAFSNCRPSA OJF2_RS13935 MADSHRDPARRLGGVSLLVLVGLHLLCGASFRTTNFVVEAPTAA VARKVAEHAETCRLQIARAWLGKELPDWSAPCPIRVKLTGGEAGGITSFGFNRGRVTD QNMSVEGRLDRILASSLPHEITHTIFAAYFGAPMPRWADEGASLLSEDDRELRRHDQI AADLLARRREFSLGRLFTIEEYPRDLMSFYGQGYSISRFLVDMGGRPRFLRFVHDGLE KGWDAATRDHYGLANVRELDRAWRAWHQVSLTAAADPADDDDTPGLALRDEDDASQPI VRR OJF2_RS13940 MPCPFPGMDPYIEALGLWEGFHAPMITRCADLLNLHLPGDYVAQ IETRVAVVSLDEPPGIRVPDLLIGKRRGVSQPAVLPTDGGGSVATLEPVTVPLARGSV EIRQRWIEIKQLPDLELVTVIEILSPTNKAGDGRSEYVRKRDALLDRPINLVEVDLLL YGAPPPMGKTLPRGLYHAIVARAEGRPNAQVYSWGVRQPLPSIPIPLRSPTPDVNLGL AEAFELTYRLGRFDMIVRHDGSLPEWLPLDPADREWAEAIGR OJF2_RS13945 MPEFAYQDPFPLESDSTRYRHLGSELVSTASFEGEEILKVKPEA LTLLAREALRDVSFLYRASHLEEVAAILDDPEASPNDRGVAIALLRNAAVASGFQLPM CQDTGTAIVIGKKGQKVWTGVKDEEWISRGIYETYQKENLRYSQTIPVSMYEEINSGT NLPAQVDLFATPGDSYSFLFVAKGGGSANKSYLFQETKALLNPASLEKFLKEKLRSLG TAACPPYHLAVVIGGTSAEATMKTVKLASAHYLDGLPTSGNRLGRAFRDPEMEAKVME IARKSGIGAQFGGKYFALDARVIRLPRHGASCPVGLGVSCSADRNIKARIDRDGIWLE ELERDPARFIPPQYRSGLGQEHGVKVDLNRPMPEILGELTKYPISTPLKLSGTIIVAR DIAHAKIKERLDRGEGMPQYLKDHPVYYAGPAKTPEGLPSGSFGPTTAGRMDSYVDLF QDNGGSMVMIAKGNRSKAVTDACMKHGGFYLGSIGGPAAILAKENIRKVELLEYPELG MEAVYKIEVVDFPAFILVDDKGNDFFQQLPVLA OJF2_RS13950 MSKALLPQAFWFRLAASAPFVEAMPRPGGQGPLLDLPAACTLPD LGALEGRSSWASVRVAWNQHGLGVAVLAEGRSKQPDRPEGFADIHLWVDTRDTRNVSR ATRFCHRFSARLVLRPDRKTLDVDIGQRAIARALADAPICDPSDLSNRATVAKGGWGF EVFLPAKVLHGFDPENNSRLGFAYQVSDHEREDQFLGVGRDFPLGENPGLWATLELKP OJF2_RS13955 MKPVAARRGEIIGGIWLVGIGLLLYAGRFWPGIMFLIAVTSCIE GYFYNGLWKGLQAGYWAAFIGAWALAGFSFVFLFVGLGLSTILGALLKPGPVEKPAPF VDASLE OJF2_RS13960 MAILEGDFSPPEGRFAVVAARFNKLVTDALWAGCRDAFVRHGVA EDRLDLVWVPGSFEIPLVARRLAASGRYAAVVCLGCVIRGETGHYDHVAGQASAGVLQ AGLATDVPVIFGILTTETVDQALDRAGLKAGNKGADAALAAIEMVNLLGRLP OJF2_RS40570 MTMPQLIRTAKTEGIAEYMGLKKQDLIFKILKERVKQNGLMYGE GTLEVLPDGFGFLRSPDYNYLPCPDDIYVSPSQIRRFGLKTGAIVSGQIRPPKENERY FALLRVEAINFEDPDKLSEKVGFDDLTPLHPQGRIRLETASEEINMRVVDLVTPIGFG QRGVIVAPPRTGKTILLQKIANSVLTNHPDAYVMVLLIDERPEEVTDMERSVKGPTAE VISSTFDEPASRHIQVAEMVIEKAKRMVEFGKDVVILLDSITRLARAYNTEAPHSGKI LTGGIDASALQKPKRFFGAARKIEEAGSLTILATALVDTGSRMDDVIFEEFKGTGNME LHLDRRLVDKRVWPAIDVNKSGTRREELLMDAEELRRVWILRRVLNDMNPVEAMELLT GRMKKSKTNAEFLMAMNLT OJF2_RS13970 MIGLIGAIGGGKSAVASLLRDRGAAVIDADAVGHRVLELPEVRG ALASRFGPVAFGGDGRVDRRALGAIVFADAAARRDLEAIVHPEMRRRFERAVAAEQAA GDSPVIVLDAAILLEAGWDDLCDEVIYVDAPPEARLGRVARGRGWTAETLRAREAAQW PAEEKLARAARVVVNDKGLGELEHAVGVLLRDLLAAPGAAPREEASPAVATR OJF2_RS13975 MPIHDWTRVDAGLFHAFHQSWISVLCRALNHGILPPGYFAIPEQ RIAGPIPDVLTLETAAPPGRGGTGLAVATAPPRIRHVEQAEEIIYARKANRIAVRHPG GEVVAVIEIVSPGNKAAANPMRSFLEKAADLIDQGIHMLVIDLFPPGRRDPQGIHGAI WDAIAGTDFTQPPDRPLTLASYDAGPPVVAYVEPMAVGQPLPDMPVFLRPGFYVSVTL EATYTMTWDEFFPSPMKRLLEDPA OJF2_RS13980 MANRPTLYILDAYSLIFQVFHAIPDMTGPSGQPTKAVFGIFRDM LNILRDRKPDYLAAAFDGGGRVFRSDIFEDYKANRSAMPEDLSAQIPVIRRVVEGFGV PVLIEPGMEADDVIATLARRGEEKGLDVLICTADKDARQLLTEHIRILNLRKNSIMDI PALEKEWGIRPDQVIDFLSLTGDSVDNVPGVPGIGPVTATALLKQFGTLDDLLANIDQ VKGAKKQQSLREHGDTARRARQLVALREDLPLPLDWDALKTKTPDLAALKALCIECGF HGFLNELRAAAPEAAKEAAPPWEATYHAVDTPEKLEAFLAELGRQPKYALDTETTDTD PLRASLVGLSFAWKAAEAYYIPVRGPIQDRVLDPALVLDRLRPFLADPKSEKVGQNLK YDMLVLGRAGAPVEGPLTDTMVLSYLLESGERNHGLDQLADRLLGHKMIPISDLIGKG KKQLRMDQVDVARVVEYAGEDADATWRIEEILAAKVREEGLWKLYEEVERPLVSILTR MEDVGVKVDAARLKQLSGEFGARLASLEEDIYKHAGGPFNINSGPQLREVLFEKLKLP TVSKTPGGEQSTAQDVLEELAPKHPLPALLLQHRQLSKLKSTYLDALPELIHPEDGRI HASFNQSVAATGRLSSSDPNLQNIPVRTEEGKQIRQAFVAREGCRLLTADYSQIELRI LAHYCGDPALAKAFELDHDIHTAVAAKIYEVPESAVDSSMRRVAKTVNFGVIYGLSAF GLAGRLGIPQTQAAQFIEAYFRDFAGVDRFITETLEKARDDGRVETILGRRRPINGIK NTTGRARNLAERLAVNTVIQGSAADLIKVAMIRIDDRLRREGLGSRMILQIHDELVFE APEAEVPALADLVRREMTTALELKVPLKVDLAAGMNWLDVEEIEAG OJF2_RS13985 MAAANESQGLKIAVAASITLMVIMAVTSYFLYSAYARSEALLEG EREKVAAAKKAESLAASQSNELMQLAGAKANEFDPAKAEIGAAFKKATERVANLVNST NAAIQRAQQAGAEGKDLADLQGRVQLVVNSFQSEPNKNFVSTMDRLLELLENVTLLNT EMSTNYVSLRKGLESSTSVAKTSIDAHSKAAADSKKDLEDEHNKHDQSRSELLTKVDQ LQTDNNNKATQIANQETQLRQLKEENDRRHELDMALIKEQRDLLGLKENVLDKPDGYI TYVDLERGEVHVNLTRRQGAKPQMKMTIFAAGSPGVPTEQPKGNIMLTQVGDQYSVAQ ITRVNSSIEPFRVGDIVYSAAWSPEEPTRFALIGKIDVNRDGVDDRQDLKRMIEESGG FVEYDLPPPETSQKESGKLSSRIDWYVIDDRKPLREVFASRSPAAVAAGSEFEKKYGK VIKECRDDGIRPMPIGRLLAYLGYDMGTPVIGRVEAINSNALQRLTEPRRQAEQPKGA PAAERKAEEPRADEPKADEPK OJF2_RS13990 MNGVAQDGYYPGLEGVISSQTAISNIVERDGAGVLEYRGYRIED LASRVGYEEAAFLLLHGDLPTRLQLGDFNERLRAARDLPGPLIRVLGELPGSASPMDV LRTSVSLLAHDDPDRDAAATDHAANVRKAERLIARMATAIAYRERLASGLAPIPPDDR LGHAANFLRMVTGEVPSEAMRRAFDGSLVLYAEHELNASTFAARVTVSTLSDLHSGIV AAVGTLKGTLHGGANEEAWKLLEAVGSPGRAEAWVAGALARHERLMGFGHRVYKKSDP RAVILKEYCREIAAEKGDDRWERIAEPIEVAVGRKGLPPNVDWPSARLYHYLGIPLRL YTPIFAMARVAGWAAHAIEQIDHNRLLRPRGRYIGPTHRGVSEIDRR OJF2_RS13995 MNDFIDLPNSPSDPSDPQSAVVRPFVEHWGMMARAWGINPTMGE LFALLYITGGDWTAEDLRDRLRISRGNVSMNLRELMAWGVVRRLHRQGERRELYRAEG DVWTLFRRILKERKRRELEPTLNVLDEICTRAEGQPQLADLKARVESLRRFFGLIDAL ALRLLALESAETEELANLLAGDPPGPGEDSGDES OJF2_RS14000 MPETVVHFQIRMPPVLHEQLASWAKEDKASLNALIVGLLEKAIE QHEKLAHARD OJF2_RS14010 MTGRRLRIALALTLAATASGAALAADSYTLDPAHTALTFKVSHL GLSWTHGRFKDVTGAFAIDASDPSACRFEFEAKTESLDTDNAKRDEHLHGPDFFNAKQ FPAIRFKSTSVKVVQGGFEVTGELTLHGVTKPVATTLMGGNTAEFPKGVHRTGYSAEF QIKRSEFGMDKMVGPIGDDVFVSLSFEGTR OJF2_RS14015 MPDPYLLAVAALVAAALAAVASWSLGPSRIPGGAAGVGLAVLAG AWILRLGPRIPPLEALDRLLIVLIPAAVASEAAVRKWPAAGWVPRLAASAMAAPVLVH GSSYVADLSGPGSREWGLGHTSAIYAGLAGILFLALEASGRLSARAPRTMAAALAVSA AGAGLTLMLSGYATGGQLGVPLAAAAAVFAVRGDERDCAAVRVAVIVLFSLLVIGGLF AGLSAANAALLLAAPLLCWAGELPRFRGLGPGAKSAVRLAPASLAVTLAVLLALRKFE ADSSRGSDRSWEADAGISLHLGVGRGVNPRRRG OJF2_RS41180 MPEAHTAMRPAFRTEYSYGDRILTVGRGNPLPLGASLMPNGVNF VLICRHGTAVWLVLSEPCGDEVLAEIPLDERYNRTGDHWHVRVSGLPEEFCYGYRIDG PVGDGHRFDPAKVLLDPNARALSCGRPWGRDGNPPRRSLLNEAMMERGGVLNPRVPLE DTIIYELHVRGYTIDGTSGVRHPGTYAGLAEKIDYLEWLGVTAVELLPVDEFDENDCP FVNPLTGERLKNYWGYNPISFGAPKAAYALSPERSEPWDEFCGMVDAFHGRGIEVILD IVFNHTAEGGDSGPTYNFRGLDNALYYMLDARGRYLNYSGCGNTFNSDHPVVRDYIVA CLRSWVAEAGVDGFRFDLASVFGRDRRGNVVVNPPAVNRISEDSLLYGTKLIAEPWDA AGLYQVGTFPGGERWADWNGRYRDDVRRFWRGEAGLTSALATRICGSDDLFAGRGPLH SINFICCHDGFTLNDLVSYDVKHNEANGEGNRDGSDSNWSWNCGFEGPTDDPAILALR ARQVRNLMATLLVSQGVPMIVGGDEFLRTQGGNNNAWCQDNRTSWIDWSLRERNSDFL RFLRGMIAFRKAHPSLRRRAFFGGGAGGNTPGILWHGVEPAQPDFGPASRALAFALDG RRCDRPGILDQDIYVAMNGGDEPITFQIPASPSGRRWRRAVDTSLPCPHDIEELGQGP PVAVRHSYEVPARAMIILVSED OJF2_RS14025 MNTKRIILAALIVAGILAGTRAVRRAGADEPGRVEGRRSGITAD GIDASVRAQDDFFRHVNGAWIRRTEIPPDRPMYGSFVQLLEKSEAELRSIIEEARKSD APAGSELRKIGDLYESFLDEERADRLGIEPLRADLAAVDAVTDRPSLLRRVAALQREG VGGFFGISVRTDDKKSDRYILNLSQGGISLPDEAYYREGKFRPIREKFLAHVEKMLSL AGVPSPAEAARGVMALETELAAHHWDRVKSRDRTLSYNKKDRRELASLAPGIDWPDWL KAAGAPDDLVTEVVVRQPDFLTAASSLIREAPLDRWKAWLKWQVIHDSAPFLSRPFVE ENFAFSGRVLTGAPENRPRWKRGVALVGGALGEAVGKLYVARNFPPAAKERMKELVAN LIEAYREDISKLEWMGPETRAKALDKLAKFRPKIGYPDRWRDYSSLEIRRDDLVGNVR RAAAFENARNLAKLGKPVDRDEWMMTPQTVNAYYSSGMNEIVFPAAILQPPFFSMDAD DAVNYGGIGAVIGHEIGHGFDDQGSKSDGDGNMVSWWTDADREQFEGRTKKLIEQYGE FEPAQLPGQKVNGALTIGENIGDLGGLSIAHQAYRKSLKGEEAPVIDGLTGDQRFFTG WAQIWRAKFRDAELARRLATDPHSPAEFRCNGVLRNLPEFYAAFGVKEGDKLWLAPER RVKIW OJF2_RS14030 MLKPLLVKLMYNRWFGIPAAVASYAAGAVPTSRKISVLCNFVPP RVRVDVPKRIADGRSFTMYGAGALDQVSRVMWWYGWDGYEKPMPDLFAALSLDSRCVL DIGSYSGFFSLIAATCSPAAHVYAFEPFPPPRAWLERNVAVNGLGGRIHIIPDAVSDQ SGEATFYVPKSKTGLMETASSLNARHSPEHVDSIKVRVVTLDDFLDDQHCGPIDLMKI DVETFEDRVLRGGARSIRASRPFIFLEILTSADTGPLEAVRDDLGYVSGQLLPGGIEW QDRISASPHHYDHIFCPAEKVGRIEEVAASLGYRSTPARVGKSA OJF2_RS14035 MARRLYCQIVPMALGLTFLLGGCEGPTQAPPSKEVQAGAGAQSQ PPPPPSNPKIKEIMARVGGPGPQSLQNSLVAAVKAEQPAWEEIQGGAKEYARLAAEMG GLEPIKGSSASWKELTKAFADSTADLDKAALAKEKDKVGETLAKLGGSCMGCHRQHRI MAPPGGRAASPGG OJF2_RS14040 MTVPSAAGPVEAGEASGRGLSVGLLTPGWPIGSYANGIITYVDL LARHLKAMGHRPTIVASSTSGDPGPDVYSIPREREAQLRNPLRKVAFGVGWRLAPERT LERGFRRALSGVLRRASRERELDLFEMEESFGWADWLSDELSIPICIRLHGPWFLNGR ALGVKEDEKFWRRVELEGRAIRKAAAITAPSLDTLEQTRAFYKAPLPNAEVIPSAAPV TTARWRLEDADPKQVLFIGRFDRHKGGDVIIDAFARVLAKMPEARLRFIGPDKGLLDD SGRTRHIEEYVRERLPGALESGRVEWLGFRPFDALPAYRQQALVTVVSSRYETMGLVV LEPMAMGCPVVGARVGGIAEIIEDGVSGLLHRPEDPDDLGEKILTILHDPAAAAAMGR QAAVSVRQRFAPERVAAQTVDFYKRTLGAR OJF2_RS14045 MKYDSEFFSGHLAESLRSARAVVPYVVSLLSPQSVIDLGCGVGT WLRAFEEQGVTSFLGLDGDYVDLDALEIPRDRFLPRDLTRPTGVTDRFDLAMSLEVAE HLPPEWAPHFVDELVGLSDAVLFSAAIPSQGGTNHINEQWQSYWRDLFVERGYRPVDC LRPRFWSDPNVTPWYRQNAFLYLKKSLLERRPDLQAEAERLSVIPFDCVHPELFESVL ELRERSRPRPNLRTVVGDLPRAIKDSVEWRLGKLADRKKR OJF2_RS14050 MDRTFGSLVQAAVGVILLAWASGMVACWAWAIGRLWSGRPLLGG RPNAFPRPAPWGFLSVVAVCLLYVLVGNSIRYLHEQGVGSPRAPVPVPAPGPGGAEEG PPAAGAEAPGPVPLNGPEAPAGEALAGMGLLVQLAVISILMVLLVPPLLRLTSGATWS DLGLVLEDPARQAGTGVVAALLATPVVLAIQALAVHIWLNHKHPVEEVLMAGLTPASA AVAVLSTMALAPLVEELLFRGVLQRWLIGVASVEGPVPEDEATIHPQVDRTGPPCPDP SAADRQGGPEAGPVARGDEGPCPPPALTIASPARPTPSASGSWQHVGLGIVLTSIAFA SLHLPQWPAPIAIFVLSMALGVVYQRTGSLLTVVAMHGTFNGFSTFVMLYQSLVSRSP OJF2_RS14055 MQDLESQWQALSRGVEQIVPEEEFRKKLARSIREDRPLRVKYGI DPTGIDVHLGHTVPLRKLRQFQDLGHTAVIIIGNYTALVGDPSGRDETRASLTEAQVE ANAKDYLRQVGRIIDLDRAEVHHNGDWFAKWSFLDVLNLTRHMTLGQISAREDFAKRI AAEKPVYLHECLYPLMQGWDSVEIRADVELGGTEQLFSLLVARDLQQSRGQEPQVAMT MPILVGTDGVRRMGKSLGNYIGVAESAENQFGKVMSIPDEPMRQYFTLLTDLPMDEVQ GLLSSGQNPREVKDVLGRAIVAQYHGQEAADRAAGEFRRRAAGEDPEEIPDAPLDAGK LDAEGKIPAPILLKELGLEESTSNARRVIAQGGFNVGPRREVINDPRAQVLVSDGLVV RVGKRKIVRIRLA OJF2_RS14060 MAEHGFGIVGCGMIAEFHTRAINDLPNARVVAAYSRSRANAGKI AGMAGGDCKTFDDMDAMLATPGLDVVCICTPSGAHLEPAVQAARAGKHVVVEKPLEIT LPRCDAIIDACDAAGVRLCTIFPSRFSPANRRLKEAIELGRFGRLTLGDTHVKWWRTQ EYYDSGGWRGTWSLDGGGALMNQAIHNVDLLFWLMGDVATITAQAATLAHERIEVEDT AVAAVQFRSGALGVIQAATSAYPGLLKRTEIHGDRGSARVEQDDITLWEFRDKVPGDD EVLAAIAGASGDKAGASDPRGINHAGHRAQLADFLDAIDRGRAPLVDGRDGRKSVEII RAIYRSAREGRAVRLPLSED OJF2_RS14065 MARHKKRRPWLDYLVYLAVRSVVAGARRLPTGVCYRLASLLAWV MYKVDKRHRQVGLENLRLAFGDELDEAGRDRIVRGVYRHFCMMIMEILHTYGRIDLTN WRRHVKLVGHPPVLDRLITGGPLILLTGHYGNWEIAGYLFGLFGFPTASVARTLDNPY LEAYLKSFRECTGQTLIPKSGGYDQILDVLQSGRTLSMLADQDAGQRGMFVEFFGRPA STHKAIALLAIEHRAPVVVGVARRVGPGFKYELRCADIIEPEEFQGTTDDARLLTQRY TTALEGLIRQDPTQYLWLHRRWKHQPQPRKRATAGVTA OJF2_RS14070 MTRIPHLATIAGLSAAFVAGGPAREARPSGPADAQVESVSMPSP SSPLVAFRFVVRVGSQDDPEGKEGLAALTAAMVAEGGSRSLSYEQLLERLYPMAASLD GACRKEVTVFQGTIHRDNLNAYIPMAAELLAEPRFDPEDFERLHNEALDQVSKSLRGN NDEELGKWTLQCELYAGHPYGHPDVGTVAGLKSITLDDVKAFHRAHYRRDELLVGIAG GAEPTTLGQLVARLGAMAAGTGKPQSPALPAPKPPHGLEVTIVSKPAEATAISIGFPI DVTRSDDDFYALAVANSYLGEHRTFNGKLMQDLRGKRGLNYGDYSYIEDFIQEGGSTF PVPNNPRRQQAFSIWVRPVPKDKAVFALRAALWEHDRLVEHGMSQAEFEATRAFLLNY SKLWVQTLSRRLGYAIDGGFYGRKDLVTELAERLPKLTVDQVNAAVRKHLKPGGMRVA IVAGNAEELRELLTSGKPTPLAYDTQGTPEAILEEDKAIAAFPLKDVTVKIIPVEQMF EN OJF2_RS14075 MPRNFACLAALLIPAIGAAALADGPARAPAEPAGGRPIFPFPIR TTSLENGLGVVSVPFDSPGIIAYYTVVRTGSRNEVEKGLSGFAHFFEHMMFRGTEKYP AEKYNDVLKSLGADSNAFTTDDWTCYHMTIPASALATAVEIEADRFRNLKYDEPSFQK EARAVLGEYNKSASSPFLKLEEAMQDAAFTKHTYKHTTIGFLVDVKDMPNQYAYSKVF FDRWYRPENCTIVVAGDVKHDELLSLVKANYGTWERGKATVEIPAEPAQAEPRSAKLT WPLPTLPILFLGYHMPAADPSNTDVAALGALEQAVFGETSPLYRDLVLKEQKVVTLMA DAQPKRDPGLFSILARVRSSDDLPAVRSRIAGALKAAAETPVDASRLDAVRSHLRYAY AGSLKSPDAVARAVGESIALTGRPDSMNELFAAYERLTPADIQRVAARYFAPANETAI TLETEKKP OJF2_RS14080 MRRLLVLGWLAGIGLAPPARAEDSPRGLDLYFIDVMGGAATLVV TPERETILIDSGWPGNDDRDPKRIVRVLKQAGCDRIDHLVTTHWHMDHFGGVAGLSKL VEIGHFWDRGLPEDRDPALDFPDGPRDRDPLAVAYRAASKGKRTALKPGDKLPLRGDV HAAVLAAGGKLIDAPSAAPANPLCAEAPAAQPVDNSDNARSVVLRFRYGKFDFLDCGD LTWNAEQALVCPVDRIGAIDLYQVTHHGMDISNNPALLKTIAPTVAIMNNGPRKGGSA ATVERLRAVPSIRAAYQLHRNAGTKAEENTQPDLIANRDREGGEFIRVSVPPGGGRFT VRIGAAGAPREFESR OJF2_RS14085 MRDLTILAVSDDAAWLSLFWSVVRGFTGARLVIARSLEEAGELV DCTLPDMIAIDWSSGDRRWEEMDQLLWSNSILPRQATVLVTDEAYRDDTALELFRAGV DEYLSLLDHPRDLPRILGQWLGRPVAPAPTRAAADPGPVIRLPRVPSAIDEVAVATSA OJF2_RS14090 MKTLHGRGESPRASRHRSALSGLPIRLAAAATACAMVLAVAVLG RAAADDDPAGGREGFPTAYAIKDAKIVAAVGKVFDPGTIVVRRGLIEAVGPSKDTAVP DDAEVIEGKGMVVYPGFLDLYTTAAQKAGVDRSASGKGRPVDLAESPLAATPGDNRRG LTPEFEVAPAVELADAAALPYRRIGFTDILAAPSGSIATGQSAVVSLSGLPRREVVVK TPVALHVHMSVPTDAPAGGQPATPFGPQGQRRRPGGEQGGTDNPYPRSLMGTVAHFRQ AMLDAERHQRLLDSDGGGVGAPFDPALVALGQAASRKLPVWWQAQTRDEIHRALDLAA EFGTTAVIVGGNEAYKVVDRLKAERVPVVLTLDFPEEPKVPTEEEYRKKSAAERDEPL RLLAHRRDLWKRRVGTAAALAKAGIPFAFSSEGLDRLDRFGAQLRTLKKEGLTEDQVL AALTKQAAALAGLDGRLGTLEPGKLGHLVAFSAPFLDENAKVKLTLIDGEKLEIKESE TPAGDRQGGPGGAGRRGGPGGRGAGPGGPPREGGPDRKEAAKKAEEPKPKEAAKKADE PKAKDAAKKAEEPKTKDARKDEGKPAEKPAPFVDVAIETEADRRPKTKTGGSVLIKDA TILTVTKGTVAKGSILVEKGKIAAIGPDLPAKPGVTVIDAAGLVAMPGMIDTHSHMAI QGGVNEMSLSVVPEVRVKDVVIGDDATIYRALAGGTTLARLLHGSANTIGGQDAVIKL RYGQPGRDLILRDAPQGVKFALGENVTRSRGRFPNTRMGVESVIERAFEEAKAYRAEQ ARYEADRKAGRKAGPPPRQDLRLEALARILEGSIKIHSHCYRADEILMLLRTAERYGV RVQSLQHVLEGYKVAAEIAAHGASSSTFSDWWAYKIEAYDAIPQNAALLAEAGADVCI KSDSEELIRHLNLEAAKSVRYGGMKEDQALAMVTINAARQLGLDGRLGSLEVGKDADI ALFNGHPFDAFSRCELTLVDGEVYFERAEPDGKRGVRPGDHRAMPQPADPARVPEIAL VEQAKGLVALRHARIHPVSGPEIPDGNLIIANGKIAAVGPASGTPIPPEAQIVEVRGM DVWPGMVDSGSALGLSEIGSLTETQDAADAGRFDPELLASTALRADSEHIPVTRVAGV LSSFVQPAGGLVAGQGCMINLRGWVPRELVVKDPAALCVNIPTYVPPPAEGTRRRPGM GQPPGEGGEGQQDPQARRKEQLESIRNWFRRAARYADAVAAAKAKGGTPPPYDIRLAA LAPYAKGQGLVLFAADQRMEILDALAIAKDLKLKAAISGANEGWKVAKAIKEAGLPVL VGGVLNELRHDHEPYDSVYANPARLHAAGVKLAIGCRIAGSLAGAGPRNLPFEAATAA AFGLPEDAGVRAVTLSAAEVLGVADQLGSLEPGKRANVVITAGHLLQPTTRVLALFID GHFEPIESRHTKLYARYQGRLREIQAGRAPLGLDRSTNPSSGPGRSPAARATPPAAR OJF2_RS14095 MGAQGLPEFGSEWLGRRVIVDPNTTLMVRPDGAGTGPVAVTAGR LDGDSFRIYFVAGAKKAWTFLAVEETNVKGWVPTDRLILYDRALEILSDRVRHHPNDP VNYLWRGHVRSADGLFDEAIADFTEAIRLGHGDARAYNARGEAWAEKGDQDRALADFT EAIRLDQNHADAFINRANIWDLKGDRENEIADLSEVIRLDPSDAYSYLSRGIEWLRKD DYDKAIADLSKAICLAPESMWPRYYRGVAWRNKEQYDGAIADFTEAIRLSPEFSSAHY ERGLLRSNVKRQYDGAIADFTAAILHRPGDARAFKARGIARRARREYASAIDDFNEAI QLDPDDPEPYVDRGLAWSGGGQNEHALDDLGEAIRLDSGNSRAYSSRGPIWLSKNEAG KAIDDLNEALDLGDINATNYANRGLAWMALGYPDKAIADLDEAIRINPSCASAFYNRG FTRARKGDTVGAIKDYDEAIRLDPEYKNALIARADAHRRSKEYDDAIDDCDEAIRLDP RNATAYYNRGVSLRASGQFAEAIDDFNEAIRITPESSSAYNSLAWLHATCLDPRFRDG RKAVESARKACELTRYEDGSCLDTLAASYAELGDFENAIGFEIRALGFFAGTDAEARA GARLKLYRDRKPYREE OJF2_RS14100 MPDRILPFFCSDSPPGCMIDRGDFAGPTGSIAMRLERSPDVLRQ VRTLFGAGAAAGVSDAELLARFLRRRKESGEALAVAESAFEALVARHGPMVLGVCRRA LASPEDVEDAFQATFLVLVRRAASVRVEGSLGRWLYGVARKVAARAPVRAERQKGRGR PLEIEPEAHATAGDRDELLGALDEEIARLRCPVGTVSGRLTRARGLLRERLVRRGIAM TAGTLSSYLDNGQARAAVPRALALTTSRAATKLAPPAGAASGAAASLMNDVARVATAA RLGMAAAIVLASGLAGYSAAEVRDHLPGSLSVARETVQMAREIAGTRPAVRPSSPAID AVARPGHRPAGEIVSEIEATRKAQRDAQYPSEEWKRLSGEVVRLVGELRTTHPDEPRL AMYLLLRWSLLAATDRRSQARDEAAAAAQTATDPAVRISALFYGADCRMKGEIGSLDA AAVAEEFARQATGDMRAACLLSLASESLERGKLARFGLFVASLLAADVCAVNWRFLGL VARLGKLALFAMLAVVLGIQMVEDGGLSAFASNAYVTARDSGRVERFTAFARSVEAEA RTCLRDLPRCAEAVLLPTLAAAIAAGAIVVLDRWRSIGADTGRRPRFRRGALTFFLAM AVVCLADSGWLAYRQHALRGRMDRDFPESRGGRIIRDLRPVTTSRPPAVPIRQSGGSR LVARCFRTCLSRSVPCFPWSVPRSGCHASDLGTEALLV OJF2_RS14105 MPTSISIEERLAAVEAAVQDIRRRIRDDSTSLHDEARVETTAHV EKTPAAAHWIDRFTGAFEDEPAFAQVVAYGRAFRESDRPGEDDPE OJF2_RS14110 MRFLLDTDHISILQQKAGNDFETLTSHIRSHARTEIGLSIISFH EQVMGCHTFLTRASYPRNWNGATACSDAC OJF2_RS14115 MFGRLLSDYAASEVVPFDGAAATIHETLKGQRVRIGSMDLRIAS IALARGLILVTRNVRDFQRIPGLSTENWTTGGQSNGPSSS OJF2_RS14120 MRRSAWGMVLALAGLAAWGLEARGQSVADFAQTAAYLAAQQNAD GGFAPAPGQASTLGATSTTIRTLGFVGGSAPDVAGCIKFVKSCRVPGKGFSQTPGGEP EVVVTAIGLMAAKALDIVDEAMVEDSVALFGSQAKSFEDVRMAIAGLEAVEADSPDFP KWGQLIEEMREPDGSFGEGPSKAFATGGAAASILRMHLPLEKKEAVVAAIKAGQRPEG AWSKDDGPPDLASSYRVMRAMYMMKEKPDVEKLFAFIARCRNADGSYSGTPGGAPGLG TTYNAAIILYWLRLLADKPPALESSTFAPLFNGSDTAGWEGNTALWSAHDGILVGKSP GLDHNEFLAHRERQGDFILSLWFRLVEGKGNTGVQFRSVRVPGTEMSGYQADIGEGYW GSLYDESRRNKTLVNPTPEALKPLKKEGWNHYVVYAMGDRITLYLNGSPTAVYREPES EIAREGLIAVQLHAGAPMEVHFKDLLVRPLP OJF2_RS14125 MTRPKSSRTNSHPSLAAGLILLGLLAAAPARPIAAQEPERARPD GRPGRVAPPEMTTVPSDIRDGAGMFSEEAVKKARQELERIEKRTGSPVLIETIDSLEG EGIDRVAARLARRAGTQGIFVLMARKESKLEVLPSKRYAEAMNRPARDKVRAAFIAGF RERRFDEGLAKGVAAIEAELTAARTLGKVPPAEQPEPDAGRAKDSFPPQAETSTSMPA SAPATGRDDPHRPDAPAATPPPSSALVIRNQTRLTLEGARLIIAAAKGKAIEKNLKVN VAVVDDGGHLLSFDRMDGARTVSAYTAITKATSAATLRRPTGPVASGPQGPDPLLNLS LEQAAAASGGTMTTLLGGIPITVDDQVIGAVGVGGNSGEQDAEVARAAAQSFQDAVRK PQPAAKP OJF2_RS14130 MSNELWRPSLDLALHRNRQSPVVRFVQLATVREDGRPAVRTVVW RGFLDDGARLVFATDARSRKWAEVEGSPSPRGEACWYFHVTREQFRLAGTLAAVDSTT TDPELREVLLATWRGMSDASRLTYTWPEPGRPRAPLTPFPTEPPDPLVPLPHFGLLVL DVREVDHLELVGNPQHRWSYEEDEYGRWSAVEINP OJF2_RS14135 MTGSVPGRLNILATLGVAGALTDGELLRFCLGGDEAGARAAFTV LVERHGPMVRKVCRQALGDSHDADDAFQATFLVLVRKADSVRKADSVASWLHGVALHV CRRAREVAIRRRDHERKAAEMRALAYDHETDRPASWAELHAEIARLPPRYREPVVLCY LEGLSTAAAAQRLRCPQGTIQSRLSRARDRLREKLNLRGLAPESVLISEEPPRSAGTT AMPGALLGATVELAVPHFVSSAVATAVPASVSSLTQGMLGTMYWPDLRTRGLGGLAIA AVAVTTGVAVAYQDEIETGVNASQVATGGAAKSAPPAVLFGPLPPREELHRLLRQAAS EAIAIARAEPQTSSRCLTTIAKVQARADDRDRARSTFAEALKEAEGGYGGAVDPWNIW RVGHYQAEAGFKEQALATLQRAVKAMPGVSGDYDEGSRTIRTLAAAVQEQSSMEAREE ARKTADVLIHFSEKFFESSPIADSRDWSAPEVASALAGVGDFDAAFRWSQGVESGGHV LGAIALAASKSLDREAARPFVREAAERLGKLRWADETNIGLSDLAEAEARIGELDAAR RSVDAIGEGPSRVKDDLTDGRPYAFLRIAAVQHHAGDMAGAKATLRTAFRFVMDHPRM RRRDDCYLQIAGAQLRYGDIAFATRSIAAMHNRRCEILASLALAQAAGGDDATARVTF ANALAAAGLSAKQPPSPAPDVVGDAEGNPNLSAAERGELARIQAMAGDCSGALSTVRT IDDRLYKAFALEQVVSARAAAGDVAAAMRLGLDEAKTPDERRSALEGLAAGLDVRLSL PSPRARGE OJF2_RS14140 MRVIRAEVLGMCFGVRDALKVVERIGDLHGVLIHGELVHNEVVL ADLDRRGFAMRPEAERAGGDVPEARRVLITAHGISDRERRRLEAAGNTLIDTTCPLVL RVHNAARMLHGEGYHVLLVGRKGHVEVNGVVEDLERVDVIETPADVRDFGVPRLGLVC QTTAPESLVRELRTLVAERNPDAEVRFVDTVCQPTKEHQRALEGLLGVVDAVVVVGGR SSNNTRQLVDLCRRRGRPARQVTSAEELDRDWLLGFATVGLTAGTSTLDRTIDEVHRA LVAIGVGRDGETPGEHPALNWGGLRADALA OJF2_RS14145 MPRKTASPTPAPAQPADEQNGRFAYEGLERIFHEKARLGIMTSL VTNPRGVIFSDLKELCHLTDGNLSRHLGVLHEAGFVEIWKGFHKNRPQTLCRVTDEGR RRFLEYISVLESVVHDALHAAARPAPGSGLAEGWSPV OJF2_RS14150 MELHEALTQITEIRQQMARTEVFRGYRAVPAAFSGLVAIGAGWI QSAFLTDPTQQLPAYLALWIGAAVVSGASAAFEMLVRARNSGSPLTRELTHLAIEQFC PCLVAGSLLTVVIARSAPEAAWILPGIWQVLYSLGIFASCRLLPRPTAIVAAFYMLCG LAVLGLARGEHALSPWAMGFPFGAGQLLAAAVLYRTLERAHAS OJF2_RS14155 MDYSHFLIIAGLILINAYFVAAEFALVKVRTSQIEQLVEEGNWA ARMTSRALDKLDVYLSASQIGITVASLALGRAIEGWIEPMVKTIFHRLGALPAVGAAM GWLGRPFHVLLGWMGIDLERLAPGLSAGIVPVAAISLVTFLHMALGEQAPKTLAIRVP LALALITAPPLVMLASLFWPVIWLLNTASNLTLRILGLGRASSHELTHTEEELRHILL ESTEGGHLSRRERVMIENVLNLEEKTARRIMVPRPDIVYLSLSRPPEENLRLARQAGH TRLPLCEDDLTTVIGIIHVKDVFRAGASHNGRLDLRQLARKVPFLPVTLRLDQLLVEF QKNRVHLAMLLDEYGSVVGMVTMENVLEELVGPIQDEFDRESPPIMEAGDGAFEVEAS CPLDVLAEQVGMTPPETDVETAGGLVLDILGRLARTGDSVDVGSHRLTVIQADPTRIR KLRVEPIAVQGQEEAGREPHPVES OJF2_RS14160 MRVSLFIACFNDTLFPGTGRAVVNVLERLGHEVDFPMAQTCCGQ MHYNTGYQREAVPMVRHFVETFGDAEAVVSPSPSCVGMVHELYPKAAALAEDERLARD VAALIPRVHEFTTFLVHVLGVEDLGAYFPHRVTFHPTCHSLRTLRIGDAPQRLLRKVR GLDLVELPDKEECCGFGGTFSVKNADTSIAMLSDKVRCVLGTEAEYCVAADNSCLMHI GGALHRERTGVRPLHIAEILECRDGDPSPAPGFVAAAEVIRDIDGSERP OJF2_RS14165 MKTRNPRTTAELMSRHMDPLPTQPGVPFQEAARLSLEDVQLRRN MGKATTTIRRKREKVVGEMPDWEQLREAGRAIKERTLRHLDKYLLQLEESVTRAGGVV HWARDAAEANAIIGGLVAKHDAREVVKIKSLTTDEIGLNEALEAAGVEATETDLAELI IQLAGERSSHILVPAIHKNRSEIRALFRSKLDGTEHLTDEPKDLAAAARAHLRRKFLR AKVAVSGVNFGVAETGTICIVESEGNGRMCLTLPKVLISVMGIEKTIPTWRDLEVFLQ LLPRSSTAERMNPYTSFWTGVSPGDGPEEFHLVLLDNGRTKVLADPAGRQTLYCIRCS ACLNICPVYERTGGHAYSSVYPGPIGAILTPQLVGVENAASLPYASSLCGACYEVCPV KINIPEVLVHLRGEVVRHKQDSRFLPSGEDIAMKSLARVFARPGSFERAQRLGRFGQR FVLKGGVITSLPGQLGGWTAVRDIFPVAGQTFREWWRARKKPEAKVPTTADGAKGGQS OJF2_RS14170 MSEARERMLERIRSALRDVPAGETPEDVPIDRSYRTADDMPAAG RLELFIERVRDYKAVVRRVNRADLPAAIAEACSSRGIRRLVVPADLPDGWAPPGVTLI RDPGLTNDDLEQGDGVLTASAVGIAQTGTIALDSGPGEGRRAISLIPDYHLCVIREDQ VVGLVPEAVARLHESASRPGRPITFISGPSATSDIELHRVEGVHGPRTLEVLVVGA OJF2_RS14175 MSTPTKDARSEIPLKTGVLRLPGEEVELSIVTGTEDEQAIDISS LRDETGFITLDPGYSNTGACESKITFIDGEKGILRYRGYDIEDLAAKSTFVETALLLM YGELPSGERVARFREQLTDQQLLHENMRNHFEGFPPHGHPMAMLSAMINACGCYHPEL LDPEMDDERLFHAAAILMSKVCTIAAFAYKMTSGQRVEYPDPSLSYCRNFLHMMFSRP HRRYEPTPQVVKALSRFLILHADHEQNCSTSTVRMVGSSGANVFASVSAGVCALWGPL HGGANMAVIEMLERIHQGGESPRTIVEKVKQKKFRLMGFGHRVYKNFDPRARILERTA RDLLATKAEPDPLLDIAHELAEIALTDDYFLERRLYPNVDFYSGIILRAIGIPLNMFT VMFSIGRIPGWIAHWYELYHDPQKRICRPRQIYTGPAQRAYVAVDERADAAPKGAC OJF2_RS14180 MQRILLLSFCLGSMVLGAPRAGAGTIFSDDFNGGASPLWGNQVG NWSATGGTYSAASPGNFPNAHSTLNFQLTDFSVDVDINHLQDGGIWLRSTEKAGTSVG IAGILLVTGGNGGTGTGLYWHVVTDGSSYGAGYNGVSGLFTPGQSDAHIKIVVSGNTY SAYVNGSTTAATTLTTSAFSSGAVGLYDFSRQSFDNFVLSVPNAVPEPSSIVLAGLGS LLSALWLFRRPRAFHGGSGPGLA OJF2_RS14185 MIHDSTATVRASDGPNAVEALEGYRPYLLMIAASDLDPALKAKC GASDVVQETLLEAHRGWPAFRGRSPAEVKGWLRGILANNLRDIGRRFRQEKRRAEREV PIGLSHAAAIADDEMTPSTRASRNEEVLALVAAMGRLPEADRRVIELRNHEGLPFADV GRILGKSPDAARMQWFRAVERLSRDMARIDGD OJF2_RS14190 MATDDRRDESGTKDEELAAMLAAYDSRPRHPEEQTALQPPASLD EADLGRWARAAGCVDLLHRIWPDRGDGERSSRTFGKFEILRELGRGGHGVVFLARDTT LRREVALKVPRRELLEDGRLRERFLREARAAGMLDHPGIVPVHELGEVGPVCYIASPV SRGETLSAWLAGLEGRPPSPRWAAGLLLMLAEAVAHAHSRGVVHRDLKPRNILLEPAP DAAPVVEGPSLRPRITDFGLAKLIEAGAEITQPGAVLGTPRYMAPEQATGDHGRVGPA TDVYALGMILGELLRPAGGFPGAGEPPGSGPARSDPPRGLAAILRKSTRPRPEDRYPD AAAMAEDLRRFLAGEVTRASGPLRLPRPRAVAACVALAGIAWAIAVRPRLATGPGRLP AAPPAAVADSATPKVRIDRYVSDLNVADQLLPGDLSNSPNTELASRLVDEQRPSPGEP DIRSLDWYHLHRRLHDERAVLAGHRGPVFKVAFSPDGSAIASAGEDGVRLWDATTGEM RAAVGEHGDDVNWVAFSPDGSSIATASDDRTVSLWRCSDGARLLGPLAHPGKVVAVVF GDGGRTLVSGDRDGWVISRERSGGKELARRHLATGTVEGMAAIAGEHAVAVATSGCVQ FLDVATGRIVRSLGAEPGHPFRNVSGSRDGRLLTTSGGSSRSARVWRSDAMDAPIYAS THGVESMAIAPGGELVASAGVTAVIGLHDLRSGAPKGVLLGHAGRVWDVAFSPDGRTL ASAGEDGTVKLWDIPPRPAYSVFAEGVDEAVSMALSFDGRWIFTLGRDGTLHRWDRDG RATEDARAVIPAGELTVQASIAPGARRIVRVGRDGTVTVVRQDGTPRRVLVGVADATG PRLRFSGDGLRLAFAADGGRAAWTDLDRADAPVNRLEPSVPAIGQLAMAPDGRRLAAV AGEELLVWDVERGTLLQRSPTGHVGPVRALALAPGSGPMITGGEDGRVLLHAGPGLEP AILRTHDCTLDALAITADGRSAVWATGGGNLEIWNLATRRQSVSLPWSRAHFAAGPDG RARRRMMCIAPDDSCVAAFAVDSSSGRSWGTIWLAPRGSSPGRE OJF2_RS14195 MTNLTPEQRILGRENADRALGMTRRDFLSAAGGAAALGGFYFGY KGMGDKPPVKAAIIGTGDEGCQAMIRYHNRDYLNFIGFCDIRPSQQKRAEKEFTDHKQ YSPDDVKKLKRYPSKKEMLEDPEVEVVVIALPLWLHAPVAIEAMKAGKHVFTEKLMAH SVAECKEMCRVARETNKLLAVGHQRHYSVLYDNANFLLQNGVLGDVRHIRALWHRNNA LPTLAKDKDNNTIYDPKTGLAEFVKDDKGNIVYRDSWKKPIPDDDRNIDYAKFGYKSL EELIRWRLYNRTGAGLMAELGSHQLDACSIFLGKKHPLSVTGFGGTIFYKDGREVDDH VFTVFEFPYGQDDKDRVIVTYSSINTNSFDGYGEMVMGSRGTMIVSQEKEILLYKEAG NAQLSRQTSISVETVGKKPALETSPSLAGPSAASAIGALATADPSRGYREELEHFAYC VRHGNQSNYHDDKEHQPRCRGEVALADAVIALATNIAMRQNRRIEFDPAWFDYKSDKT PEAAPAIAAKTS OJF2_RS14200 MPTLAVPPWLISHRHYPGFLAAFFLVVLRTAIGWHFLVEGLDKV ESVELAKKPFSAEVYLRNAAGPLATEYRRMLPDADALAMLDPAALKESWTDRVSRLEA HYGLDAGQQAKAKELLEKAYEWVDVWFNAPDNREAREKYLHGLKQVEETERNPDALSY DLERAWESRRSLEADRKTITAPIVARGDDLAAAVTALATPEQAKAAGTYAAPWTFLDV ANRMTMYGLCAMGACLILGFLTPFAALCAAGFLAMIYLSMPPLANSPPNPKAEGHYWI VNKNLVEMFACLVVATTASGHWFGLDALFFGWLRRRRWARHERRLAEKYGLVLEDSAA OJF2_RS14205 MNGPRPGVNRRNLFRLRPPAQPEAPAGRADAPAEESPGAGDLLR VNRPAMGSYFEVRLGAGVPGSVELATRALDLVDELEAQLTVYRDDSEVSRLNATAHLG PVEVEPGLFGLLERALELSRLTGGAYDVTAGALSDAWGFTRGPKRVPAPEALDAAIAC SGWRHLRLDASARAVAFDRPGIKVNLGSIGKGYAIDRAVAVIRDHWFPTSAMVHGGQS SLFALGSPPGRFGGRWEVALRNPRSPERPLGVIRLRNRGLGTSGGAFQSFVADGEVYG HILDPRTGRPARGPASVTVLAPTAAEADALSTAFYLLGPESAREYVSSRPDLAAIFVL EDAGDERPRLLTAGLRPEDFLIDPAAVDLVTTS OJF2_RS14210 MVRARRFLPGPAEAVFGLVLLVILSRGRAALFNDPGTLWHYRLG RDILASGCVPRSDTLTYTRLGSPWVDQSWAFDAGLALVVARWGWPAAVAIAALLLAGV YAALTRFLIRDGTSPVIAAYVSIGAMTIGCIHFLIRPHLLTLALVLAAVRICQVQHER GGWVVAWVAPLTAILANIHGGFLALPGIVATAAAGHAVAGPWDAQRAGEVAKFALTFV ACLLAALINPYGWGLYRHVGTLLVSSGVTSLIQEYAPVQFGQPNVQAMELAVVALIAL PMLVNRRVDRYQLVHLLVWLHLSLTSVRNAPLFAIVAAFPLAALLDALPARFADTWRP GPEGRIWIPAMILGVALLVAAGVPLGTIDNGKWPVAALPSLDARPLAARLFHELEWGG LIESEATPRRLAYIDDRFELHGKPAVVEYAEALSGGPAWDSIRDREHIATVWIQPDRG LATRLGRDPGWRELYRDEKSVLYALRPGGAMVEATAWSGRLP OJF2_RS14215 MSTPAARPRPTWIDYPDTDGKPMAENTIQYEWIVKIKGSLGSAF ADRPDVFVAGDLFWYPVEGDNKTRMAPDVMVAVGRPKGDRYSYMQWVEEGIPPQVVFE ILSPGNRSREMQRKLEFYERFGVEEYYIYHPERDRLTVRIREGGRLVERPVTGEWVSP LLGIRFDTTVHPMVIYKPDGGPFETFDEVVSGRGKAVRERDAIAEQRDAIAEQRDAIA EQRDAALRDRDRLLARLKELGIEP OJF2_RS14220 MTKRRLMSTGAAALFLAAAAGAWAGEPRWKQHAINGRSEFEAAG VFDVDNDGKLDIVCGDTWYQGPSWAPHHVRDVVRQGTYYNDFATFPLDVNGDGQADYL TVSYFGKDVGWVENPGKSGGPWAYHQVDVPGPSETAAMVDLTGDGVPDLLPNTVNVVA WYEVVPTPGSKAVTLKKHDFGAAAAGHGVGSGDVNGDGRVDLLTPKGWFEAPAEPSRQ PWAWHADWNLGATGIQILARDVDGDGLADLVYGNGHDYGLFWMKQGRSAGGEATWTKG TIDAAVASVHTLLWAELDGDGQANELISGKRVYAHEIEPGATDGSLLAWYAFDRGSKS WVKHVIYQGDPAKDAPAKADQRLALRDFPAGTAGTGLQVTAVDIDGDGDLDLVCPGKS GLYLFENLGSKP OJF2_RS14225 MRGWTRREMMAASALGAATMASRRGLAGTAADEEAKPGEGKAAP SDSIVLGFIGVGGMGSGLLNIFKGFPDVRVGAVCDVYEPNARRAQSAADGKPEVYHDF RKLLERKDLDAVVVATPDHWHAIPTILACQAGKDVYCEKPLTHTVAEGRAVVKAAAKH RRVTQMGNLIHAGENYHRVVEIVRSGVLGQVSKVRVWMAADRSGLGRPADGDPPAGCD YDLWLGPAPKRPFNPNRFLFNWRWFWDYGGGLLTDFCCHIVDPVHWAMGVDAPRTISA SGGRFALNDNGETPDTLEVAYEYEKDGRNFLLVWSHTDANTHGIEGMGQGIMFQGTEA TLVTNYNTHKIIPAKGKDVPEVPRTLPRSVGHHREWLDAIKTRATCSCNFDYGHRLSS VGALGNISLRVGEKLKWDAAAERFTNHPEANRMLSKTYRAPWALPEV OJF2_RS14230 MSRLILLDAGPLGLVTNPKGDEVSRRCRAWLSGVIAAGNRVMIP EGADYEVRRELFRSGRRGGLDRLDRLAHQFGYLPVTTSVWRRAAEMWADARNAGHANA DDSALDFDIILAAQADLAAREGSDAVVATTNVGHLGRFVDAREWESITA OJF2_RS14235 MIHPIFGYGRHEMSVAPSSRSTTERPLVPSLSAEELARRNQEAL RLLESWDVDGDEEEQRETLAVLREALGAKRVGSTRSLFP OJF2_RS14240 MSPASDPHPSPEIPELAPREILRRLHEGEPMTLLDVREPMERSF CAIPVPPTAGDLHIPMRQVPSHLEALAAAADRGPLVVYCHHGVRSMAVAEWLVARGVR GVQNLRGGIDAWSLEADPQVPRYG OJF2_RS14245 MKRMKPSLVLASAAVVGLAVVASGYVEQTGTRMATAAGRFVGAL GKEQAAKAVFPYDSPERLNWHFIPRDRKGIPIKELTPAQRALAFGLIATGTGGGGYLK ATTIMSLEQVLLELEKGRGPVRDPERYFLTIFGTPSDTGKWGWRVEGHHLSLNFAIED GKVVGATPTFFGSNPAEVREGPRQGVRTLADREDTALRLVQALDGNQQKTAIVAPEAP RDIRAANTPQPPADAAPGIAYDALNADQKGYLRALIDAYSSDMPDEVAKSWLDEIRRA NPEGIRFAWYGPADRTRPHAYRVQGPTFLIEFNNTQNNANHIHSVWRNMLGDFAIPVA AK OJF2_RS14250 MGDPRPATAAIDDYIASFPSEIRAILERVRSTIRDAAPGAEEAI RYRMPTFRWKGNLVHFAAFKHHIGLYPPVKGDAALRSDLERYAGEKGNLKFPLDEPIP YELIARVVRRRVQEQEEAAGAKGRRQR OJF2_RS14255 MNPTRHERPRTMRRTIACLIFLATAVAARGAEPPRLRRSESFLG IHFDFHAGPDCKEVGRNTTAAMVDRIIDLVRPDYLQVDCKGHPGYTSYPTKVGNPAPG FVGDPLKVWREATARRGVALYMHYSGIYDEHAVRTHPDWAATRADGAKDDRATTPFGP YANALLIPQLRELAGVYGVDGVWIDGDCWATVPDYGDAAAAAFRSATGFQAPPKGPGD PAWRPFLDFHRDAYRDYLRRVVAGVKATNPTFQVCSNWAFTDHMPEPVSAPVDFLSGD FSPQDSVNSARLSGRYLARQGIPWDLMAWSFTTVASKTTAPGRNTKSAVQLEREAAGI LALGGGFQAYFGQGRDGSVNLDRMPVMAEVAAFCRARQALCHHSRAVPQVALLFSTPA HYRGVDQLFGRDNERLAGVLASLLESRHSVEVLGEHHLAGRLDDYPLIIVPEWEYLAP GFIEDLKGYARRGGSLLLIGPESAGLFADVLDVKFDPAAGRDVHLAHDGAVTTLPGKA PGVALGPRAKPVGTLLATKSDRSSGRPAAAVTGLGEGKVAATFFPMGRAYSHEPDATA RAFLDALARSLFPDPIAVVAGSPSADVDVHVATKGGRLLVNLVNASGPHRTEPILDAI PPVGPLDVTIRVPARPARVTLQPAGIDLPFAHENSRIRLTVPGVAVHEVVVVEPSK OJF2_RS14260 MPRSRTSNRTPMRLLGTALALLTAAFCAPGRAEAGCSHDVAAVP LAHDRLALLEEACALATIAGPDDDAEAGPPRKGRPGGCTGPSCSGRSGLPTVPPSVAS PRSSEWAAIEPRTAYAGQAREAVLDEARIAWSNPPADRIFHPPRPPHLAR OJF2_RS14265 MNRRFSRPGFTLIELLVVIAIIAVLIALLLPAVQSAREAARRAQ CTNNLKQIGLAVHNYLSTHGRFPIARGTRPARPYDITSRYNYSGFAQILPFMEQKPVY DAINFNLTVNVQPGNSTAMVTVINSFLCPSESQQVPSGTAGTNYRFNEGANTLYSYGE TDTGSVNTDQPAPNGPFFPERSILLSQVTDGTSGTAMASEKLFGDFNQGIATVRRDVY VGSTWPPTMEQAYQDCEATDNSSVPSNGESNAGTPWLDGFLHTSIYKSNATPNKKSCY FYPARLVMTVSSLHPGGVNVTMCDGSVRFVKETIDRNTWRAIGSSNGGEVVSADQY OJF2_RS14270 MSTQKEKPMIAIPRRRAAARFALLPLVAALAGCGGTPAPAVAPQ EQARQTLDQALAAWAEGKTVDAVKAGSPSILVEDPQWKKGVALKKFEVRGEGKPSGAE RVFTVKLTLSDSGKEKTQEVDYKVGTSPIFTVFRSMF OJF2_RS14275 MIRSRMLFSLAATLGLAGPAIAAEGAGGPPTPLTDEEAWKALPE ATAGRGQPLPAWARSLAREMPRTAAALLRLDYVQRARSPLDPKLRAAMRWTAAHANRC AYAEAYALADARRAGLSEEDLAALRSGDASRRPAAERAALEFARKMTVASSTVTDDEF AALVKAFGEKDVVAMVLLMAYSNFQDRLLTCLGTAVEPGGPRPPLEVQFAPGSLQGQM LSFPKGNPALAGPTGKDVVPDDPRWAAVTYDALQEKLERQRSRTTRVRVPSWDEVVRG LPADFHAPQKPVRIVWTLVCLGHQPELAAAWETLMRTAGAESRGKMDRVFSQGLFWVV TKTVDCPYCMGHCEMNWEVAGLDKSQIAERSRLLAGDDWSAFPPEEQRAFAFARKLTG DPGSVTREDVGRVIQDFGVERGINVLVYASRCNYMVRVSNGFQLSLERDNVFFDYYSQ EKPPAPAGVPAASRADQETWKRLPATVSGGGQALPSWATAIATRLPRTAAAMLELDFA QRTKSPLDPALRAKMRWAIAHANHCAYSEATALADLKRAGADEAAIRVLTGDPSGWPE ADREPLEFARLLTVAAPTIGDDLFARLRERFGAKQVASMVLLAAYGNFQDRIVLGLNL PIEAGGPLPPVDVKFAPGAIQMTPLPLVRHDKPTLRESGETVVDRDPEWSELSYDELQ SRLERQRARSPRLPIPVWDDVKKTLPPALADRPIKIVWTLVCMGYVPELAIPWNNATR TLWAESPSDRVFEESLFWVETRAIRCNYCMGHCEMLMEVGGLDKSEIDERTRRLAGDD WSAFPPAEQRAYAYARKLAKTPWQLTSADYATLENDLGSERAMAVFWWLCRGLYMTRV SDGFQLPLERENVFRNFFPPNTGQPPAGSTTSK OJF2_RS14280 MGESLGRVPVTAEVKIENLADAWAAEKGRLLSGRARSATVTDAP VDAAAIFLCIPTAMIKRLGLEPVGTRRERTVSGVDEVRPYDAVRLTIQGRTCTMDVRE IADGNPVLIGQLVLGHLDFVVDLRSRSLVGNPAHGGEHIYEV OJF2_RS14285 MLEGHRRGFLAGVGAACFAAEAPGRLLRAAEIAAARQGDDMAAA EDYWAEIRRAFDADRTLINFNHGGVAPAPLGVLEAMFRDLRFSNVAPAHQMWDVLEPR IESVRRELAREFGCDAEEMAITRNASESLGILINGIDLKRGDEVIVSNQNYPRMTNMW AQRARRDGVVVKTISLGGPGPSPEAVVARFREAMTPRTKVVEVTHVVNLTGNILPVRE VVAAARERGILTYVDGAHAFAHFPFRRDDLGCDFYATSLHKWLLAPVGTGFLYVRKEM IPRIWPLMAAPESLANDIRKFEEIGTHPAAIHNAIAGALAFHRSIGADRKIARLRYLR SRYASQIADASPRARLLPPQETERASGIGLLHVDGLDPYKLREWLWEKRRIVTIAIKH DEFDGLRVTPNVDASVEEVDMFAASVLKAIKELG OJF2_RS14290 MPHSPGPVRPWIRVATSTAILLLCSARMPAAEVHIRANQVGYDR NGPKVALAFSDATLPASFVVLREGSDERAFEGRVAVLPGETWGRFANQAELDFSPLTA PGRYVLLVGGARSLPFAIGDGPYRELPSVLLESMRQQRCGYNPWLRAECHQLDGRTAY GPRPAGTPIDARGGWHDAADLLKYLMTSGNATAQMLLAYLEGTRRGEAEPRAPRFADV VDARGDPGGNGVPDLLDEARWGLEWMLKLHPSPDELYHQVADDRDHAGFRLPQHEIAD YGWGKGGARVVYFADGRPQGLGKHRSQSTGVANLAGRYAAAMGLAYQVWKDDPLQRAF AERCLKAGREVYELGRAREGSQQGNSFSAPYRYEESTWADDMEWGAAELLRATGEARF RDEAESYSRLAASEGWMGRERAPHYGFYPFMNLGHYRLSDQVDARLRVVLAGYYRQGI ERCVAAGLGNPYRVGVPFIWCSNNLLVALVTQCELYERMTGDGSFRGFAARNRDWLLG RNPWGFAMLTGVGSVHPRNVHLQTTRLTGRSVRGALVDGPVTERIFRTQRGVSVTEPD PLARFQDARAVYHDDIKDYVTDEPTMDGTASAILLWAIHGPSR OJF2_RS14295 MRIPITTSHPTHDRAAAARYVLFATGVTAIGGFLFGYDTAVING ANSYLKAHMGLSPAQEGLAGAGAILGCIPGAMFAGFLSDRFGRRKMLFACAFLYAASG VLSAIPRSFEPFLAARLVSGLGIGASSMICPVYIAEIAPEKQRGRLGTLFQLGIVAGI FLTLFVNKLIQGLGDDAWNAAYGWRWMIGMEAIPAVAFIGLLVAVPESPRWLAQKGRE REALHVLERAGGAEAAAREMAAIRAAGTQEEGRFRELLGGPFLRPLVLAMALMAFSQF CGINAVMYYSTKIFATAGGGTDAAFTSTVWLGLVNLLFTFVAIGFVDRAGRRPLLLVG TAVQAVALGLVGWMFRTHQQGPVLLACIVAFVAAFAMSMGPIGWLFASEVFPNKVRGR AMSLATLTVWVSCYVVAQTFPMMNDSPAVGPARTFWVYAAVSLASFLFVLVWIPETKG RTLEEIERMWERRGVGEARP OJF2_RS14300 MTVLACDIGATRIKFGLVREGRVLDRGSIPSRSERGLAERLPDL AAALRDLCASRGTAIGECAGMSVSIATLVDVASGRLLAEYGRFRDMPSLDLRGWARSE FDLPLALENDARMAAIGEWRLGAGRDAESLAMITFGTGIGTGVVIEGRVLRGPHAQAG CLGGHLTVRPGGRACGCGNLGCAEAEASTAALPAVAAETPGFSQSTLREATRIDYDLV FREAAAGDACAAAIRDHSLLVWSSLAVSLIHAYDPEVLVLGGGIMASADAILPAIREF VGRHAHTPWGEVRVVASELGDDAALVAGEWLLREQFPDLTP OJF2_RS14305 MRKSTYDRSPFVAVPGGEDACVTGWDAVAARLEGAVAGRRTSRP VLAVECYPGVHEDAILGELRTRMEPALVVRAGDAMLPPEAVDALVAPFLGGDDRVFGF LCGLTLPQFFDADRLRRAREAIDRVEKGPVLIVGCGATLLHEADVLVYADLARWEAQM RFRRDEIGNLGVENRALSAGLQYKRAFFVDWRVADRWKRPLIARWDFALDTNDPRTPK LAEGEAVRRGLRHAVTRPFRVVPFFDPAPWGGQWMKEAFDLDRSAANYGWCFDCVPEE NSLLLGFGDVRVEIPSIDLVFDRPRALLGEAVHARFGDEFPIRFDFLDTMGGGNLSFQ VHPLTESIQHNFGMHYTQDESYYMLDAGPGASVYLGLRDGVDREAMARDLREAQAGGP PFPADRYANRWPARKHDHFLIPAGTVHCSGAESMVLEISATPYIFTFKMWDWGRLGLD GRPRPVHLEHGLANIQWDRTTDWTRDNLVNRVEPLGEGDGWREERTGLHEREFIETRR HWFAKRVPHDTRGGVNVLNLVEGEEAVVESPSGAFEPFLVRYAETFIVPAAVGRYTIS PHGRSVGRECATMKAYVRT OJF2_RS14310 MNKAGQGAGSPSLARELEAIFRGAATAGTSEGQLIDRFVDRGDE AAFAAILTRHGPMVLGVCRRILGPGGDAEDAFQATFLVLLRRARTLRRSEPLGPWLHG VAWRVATRSRADGRRRDQDAARAVRDAISHESPAIAAERLELKALVDEELGRLPEKYR LPIVLCDLEGMTKESAAARLRWRPGVLRGRLERARLKLRDRLARRGLAPAAAVAIVEA WNAAEAAVPGDLIAAARAAAFRDLAVSEIAGVVAPSAAARLAGGFLGAQARTRTLLAT ATAVALAVVTFSALGLASAPRSAGRRAEGPRPRAAAPAPPPDAPTGQPRDFELSVVGP GGKPIPDANVEIHAVPGLKAEDVRRGRFVKSGPRLSLARADGGGKLAVHFPAGCKELD LFIEAPGHGFYHAGWSPRGRWPSPVEWPTREQSVIPDRFTAELEPGWAVGGVVVDSQG RPVEGAEVRCKVWTARPGDWIAPYEGNQPATDREGRWRFDNVPNSVKALYVIVSHPAF RPFFGPIEEAQYGLARGGGPREKIVLQRGDFLFGKVTDERGRPIHGARVEVRLERDTR DATSDEHGMYRLNGLDGRIYYIRASAPGKGLDEKGPFERIEGPIAFTLKPGRKIRIRV LDAGGNPLPDTAIFPMVWRDKFSFPEINHGNRYTDKDGVWEWNEAPEDEFQFEICPPG GMVFPRQRIKAREEEYVFRSIPPLVIAGRIIDETTKQPIPSARINPGVEFAAEPGSVA WNDKLALPLQADGRFEYRPRRAEAGHGLRIEADGYEPQTTRWFKSTEGKVEIEVRMKK ARAARPGP OJF2_RS14315 MHASSIRSYLGRKPAAEVAPGFLAYLANLDTVAAVAPDVARAVV QELADQRRNIKLIASENYSSLATQCAMGNLLTDKYAEGIPHHRFYAGCDNVDSVEDMA NARARELFGAAHAYSQPHSGADANLVAFWAILRARVELPAMAKVLGAEDPEKLAPADW QKMPIAQWNEVRHALGNQRLLGMDLASGGHLTHGYRLNASGKMFEAHGYTVDRESLLL DYDAIEKQALEVKPLILLAGFSAYPRNINYRRMREIADKVGAVLMVDMAHFSGLVAGK VLKGEENPIEYADVVTTTTHKTLRGPRGGLVLCTEAFKEHVDRGCPLVLGGPLPHVMA AKAVAFTEALRPEFAAYAAKIVDNSRALAEAFLKQGLKVISGGTDNHLVLVDVGSALG ITGRQAEEAVRRCGITLNRNPIPFDPNGPWYTSGLRFGTPAVTTLGMGPAEMAEIASV VADVLRAITPGTNKSGGRDKAKYTLDEAVEKKAHARIKALLDSFPVYPELDLPFLLEH FGAK OJF2_RS14320 MELAFAPGKTRIGWIGTGVMGSSMCGHLIAAGYGATVYNRTAEK TRGLAEKGAAVASSVAEVAEASDVVFTIVGYPRDVREVILGPQGVVASARPGTAIVDM TTSEPALAVEIARAAAAKGLHAVDAPVSGGDIGAREARLSIMIGGEEAVARALRPLFE CMGKTIVYQGPAGSGQHTKMVNQILIASGMIAVCEALLYGHKAGLDLETVMQSVSSGA AGSWSLTNLGARMIAGNFEPGFFVEHFLKDMGIALAESRRMKLALPGLALAEQLYRAV EAQGYGRKGTQALLLALADLSRSEWPVRTQ OJF2_RS14325 MQQYADHRPSVELLESTHLFPGVYQMKAIGRTAGDFERRVVEAV VSQLAAPSDLDYSVRSTPGGRHVAVTLDITVQNAEQVRSIYAEVRELEGLTLLF OJF2_RS14330 MTEASATRAAQWIDLANCDDPRDAVHQAVACLAQGGVVAVASEA AYLLAASTLNEGAVSRLRAICGAPEGEPLPLLVRGCEEALDWAPALSSAGRRLAQRAW PGPLVLRVPSAAGEGLCGRLPRGVRDLVSPGGELALSCSAEPFLRGVADLMPGHLATG RPAEGQDVALGAESLRGLAGVDMVVDSGPARPGVESTHVRLEGGGWSILRRGAIDERA LARMSSVILLFVCTGNTCRSPMAEAICKGLLARKLGCRAEELEQKGIVVLSAGVATSG GSPATSQAVEVLRALGGSLESHRSRRVTAELVRQADHLFAMTIDHLEALLSVVPEAEP YAMLLDPHGRDVPDPFGADQEVYRQTAGAIEAMLRERLREIGL OJF2_RS14335 MKLRHLAAIVGIAALVAPAVARGGEGSFYLKDGDRVVFYGDSIT DQLLYTTYTETFVVTRFPRLNLTFTHSGWGGDRVGGGAGGSIDRRLARDVVAYRPTVV TIMLGMNDASYRPFQQDVFDRYASGYRHIVDELKSDLPGVRLTLIRPSPYDDVTREPK FEGGYNAVLLRYADFVSELAKSSHCAVADLNAPLVEATKKAAAIDKEKATAFNPDRVH PSPAGQLIMAQSLLRAWNAPSLVSAVSLDAKGVRIISADNAEVTNLARSGDALTWTQL DKALPFPISHKSFFENLDFKDPTVALAVKTSDFFSALDRQPLKVDGLDAAAEYTLSID GTPVGTFSTYDLGSGVNLAEYNTPMTEQALAVHHKTIDHTQMHKYRWREIQMRFQDAP AEYVRSAVEGLDGLERTLVAEQHALAQPRPHKFELKPKAK OJF2_RS14340 MAVAEIGPEKGQAPSVVSPVQRLGEHVFDAVVEVGDVAQFSTKT LAWIFRRRPRWSVLVPIFYAIGVQSVSVVAITGTFIGMVLAIQAFHSFSMMGLASRLG SVINISVVRELGPVLAATMLAGRVGSSMAAELGTMRVTEQIDALTALGTNPIYYLVVP RFLACLLLIPLLTLMADFMGVIGGAVISTQVLGVDSFAYWQHSRRFVESLDIFAGVFK SFFFGAAIALISCHRGFNSTAGAEGVGKAATEAFVFSFIAILFLDFVIGLGWNTVYQS LWPQAQGLL OJF2_RS14345 MTDGASPPRPGPARPDEDQGGGPPGPAAPLIEVRGVSMRFRAQS VLRDINLGIERGQTVCIIGESGCGKTVMLKLLIGLLQPTSGSVLFDGGDIASLRGKDL IKLRLRFGFLFQMAALFDSLTVYDNVAFGLREHHVCDEAEVKRIVSTRLQEVGLPAGL EWKKPAELSGGQRKRVGLARALALKPEVMLYDEPTTGLDPIMTDVINELILQTKESEK ATGIVVTHEMKTVAKVADRVVMFYPLARLGPDDPQVIYDGPPEGLEDAADPRVRQFVR GEAGERLREMAQQRTASP OJF2_RS14350 MNERVMQFRIGMFVIVAGLVLTMLIVWFGESPALLRDQAYLRAR YAEAPGVLEGVAVRKSGIRVGEVVAIAFDERPGQPDGVLVTLAIERKYSIRKGSVPRL SRSLIGDVSIDLLPGTGEGAMELGRKPADAPVVEGEVSVDPGKALAAATQAFDQAGDT LRSINEAATGLAKITRSADKVDEFLKTWTDTGRDVSSAGKGISTFIKDNEGDFRAAVA DIRKVGDKLNETLGPETQDAVKTGVAKFSSASARLDGVLAEFSPVSKDLGAPASHAPT TDVGQAVRRINRVAADLELLSGALRNRQGTLNTDGTIQKLLTQAEIYENYNAMAINAT QALNQFKTILATLRAFAERVSRDPSALSRGMLQR OJF2_RS14355 MRPLDRRSFLHNTAALAGAIAAMPPSGARAERPEDAPDGGKPAG PNEVLRVAVVGVRGRGNDHIQGFTHLKDEARVTAICDIDENVAASRKKYLEEQNGSAP KYYQDIRKLLEDKDIDIISVATTNHWHALATIWAVQAGKDVYVEKPVSHNVTEGRRMV EAARKYKKIVQTGTQCRSSKGLRDAVEFIRSGKLGQVYMAKGLCYKPRGSIGHKPDGP VPAGVDYNVWLGPAPERPFNANRFHYNWHWFWDYGNGDLGNQGIHQMDLARWGLGKQE FPKSVLASGGRFGYKDDGETPNTLSVSFEFDDCELQFEVRGLPTNDELKVKVGDIFYG TEGILAMTSYESWQVYFGDKLEKGPSGRGGGDHYLNFLKAVRARDHKILNADIEQGHL SSAYCHLGNIAYRLGRKLHINPSTESFVSDPEADAMLTREYRKPFVVPEKV OJF2_RS14360 MSRIARIAAALAVVLAEPCLPADEPGPFTAARFREHVAFLASDA LEGRKAGSEGAAKAADYIAERFRAAGLSPAGDAGTYFQAFTARRDLRCRNVVGVLPGD GGLAGENVIVAAHYDHLGRVQLGAGDAGDATFNGADDNASGVAGMLLMLEDIARDRAA RPDAARRAVVFLATDAEEQGLQGAAHYADHPALPLDRAAAMLNLDMIGRLSGGRLFAL DAETSPGLASAIRERARSSRVRVETRIGGNERSDHVLFLRRRIPSVHFYTGLHADYHE VGDELSTIDCEGGARIAALGAGFVRFAAGHPGRFEYRRVEPGMDIRNALALAGRLGVV PAGGSQGGRHPRIVLVVPGSLAARKGVKVGDEIASINGVAMDRIEDAILAISQLRLDQ PQRVTILRGGEPIDVRVAAGELTDLTGPRREAAPGGRIAVTFQFTPPAGTEQVSVGGD FGASHAHEERPMEGPGPGGRYTLRLILDPGDYAYNFQCRGPGADGSYPDPTNTEQDEF GNSVLRVGAAPR OJF2_RS14365 MTPTLVRRLTYAGAEARSFKRAAIVMKQVAGQPVSAKTIERVVR DVGLELARRRDADPRTDDSLARRPEGPPALAVVECDGGRIRTREPGHGPGVHRTSEGW RETKNACLIRARPTTSEEDPEPEPPACFADPEHVAKIAETEALSVASMASPPESPSRA GEPPEGMEMVPPADWRPKRSVRTVLSSMADSKEFGKQMAREAKRRRFPEASAKAFLGD GLAWNWSIRKRHFGEFTPILDFIHVLSYLFLVAKAVHEGPEDAWDRYLAWMRGAWRGE VGQVIEELQAWRAKLGEPPATAPDQDPRKVLAVTITYLSNNEGRMRYPEYRRSGLPVT TAWMESLVKEVNYRVKGTEMFWNDPEGAEAILQVRAAALSDDERLEAHLETRPGCPFT RRPRAPRLTRKKIRS OJF2_RS39975 MTELQARLDQLLKEAARVAVALDRADGTVVGIPHYSVIEARAHE LGRRLSRTVQARHMGELASHATRSVKCPECGTRCEVVPRSRSVTSIDGPLDFDEPMGH CPRCRRGFFPPPGGAGP OJF2_RS14370 MSQLTMAPETADQTQLSRMSHSIRGKLQFMDYLVRAAVADVERF QDEPDPGTRIFIRQLVEMHAASLRLESQNMRSIGELCDTLEAMVSGAPATLGTPNSPG EMA OJF2_RS14375 MTPPENGTLNGQAAEPAGPHAEFEEHAPAPAAPPAAPSPELRQF LAEIKGQAQFLLYLADQIEESLNQLGGESDRCHGAFLCKVLTMYSGQLETKHQGLGEK IAEACQEVYITVREHGHDFDMDRGDRD OJF2_RS14380 MSVPNDENAPAGPKLLVSLATYNEAGNLEPLVASIREFAPGCSI LVVDDNSPDGTGQIADDLAARLPRIHVIHRAGKLGLGTAMLEAMAYAVKNQYDYLLNL DADFSHPPRFIPALVDGMRDHDVMIGSRYVPGGGVEGGFNLKRKVMSSGINWYARLLL GLTSKDNSGAFRCYRVSKLAQIDPSKVRSRGYSFQEEILYWCKKVGCRIGETPILFEN RRSGVSKINMKEARSALWILFRLGVSRVIG OJF2_RS14385 MPSRRRALDALTAHVLAEGPGPALLTGEPGVGKSWLCRKMREEL PPAWRTLSVAASGALDPAGLLELIADGLDPDDEGTPRGLAANRLRIARSLREEAAEGR RWLLVLEEAQDASAGVWSELAALVHAMESDQVAAGFAGMLLVGTTGLTRLLMGRRRDP LAGRLGLHIHLPPLDVEECRVLVESEAPRPLDPSSLEDLHRRNGGNPRRILRAIRARS DRSAPEPAPGPSPSTPGGSRPVRLPEAGRILAPTPASVARASRTPERPYHAAPGVAGL VETPTTAALIPSRPPLRVEEGLIEVGWGGSLEADAAGQDDADLEDAGVEGRVESLAGP RPIPAGDDTPAPPPRFSMDASILGDPLPSPPQAAAVSLPQADEAAELPSEEMVEDHYA ALQAWAEWARNRGRAVDAEAEGPDPTAEARPSARPRAYAAAAPEAAGPEAPPSVVRVE AEHEHAPYGQLFSRLRQGRP OJF2_RS14390 MATESNTLSEDRIGGYRIIRVIHPGATSIVMEVVQDGTEKRFAL KQLLASRGEDSDERKAFGHEAMIGMELRHPNLVRVHEYNRDPVQPWFTMDFFAGYHLK LPIARPSVYPMPKAQLHRIIEQAAAGLAYMHDKGWTHRDVKPENIIASKSGEVKVIDY ALAMKPIGGLRKLFGGKVPRQGTPSYISPEQIRCESPAPSADIYSFGITCYELACGRP PFRANSLQELLNKHLQERPLPLTTHNKLVTQEYNDLVLKMIMKKPADRLASLHEFLTR FRSVRIFQDDPAPTAVAGGPY OJF2_RS14395 MPSPTEYRLPFEASIYDMEARLGEMENQYARDRGAGGASKIGDQ IRRLRRELASLKREIYSQLDPWQVVQVSRHPQRPQTRDYLELVFEEFLELHGDRAVGD DKAVVTGLANLDDMKVMFVGHQKGKNLAERTACHFGCAHPEGYRKALLKMRFAAKFGL PVVSFIDTPGAYPGISAEERGQAAIIAESLMEMSQIRTPIVCVVIGEGGSGGALGIGI GDRLGMLEHTYYSVISPEGCASILWKGSEFAPKAAAALKFTSRDLHRFGIIDEVIPEP LGGAHRDHREAAANLKGFLVRQLREIKATPLDRLMDLRYEKYRKIGVFTEQANEDPAT PAPASLNGKQEAARTA OJF2_RS14400 MDSPTFVATVTLDIESARRLNALREAYFPPHRNVLDAHATLFHK LSAEAVATLEQAVAEASPQPFEVALPGPFSLGRGVAIRVESGQLVSLRNRLATRLHAE LSAQDRQAYRPHVTIQNKVTAEEASRTLIDVRREWLPCVARVEGIDLWEYLGGPWQHH ARLAFGA OJF2_RS14405 MARKKTDLPTKVCPSCGRPFTWRSRWRRNWESVVYCSERCRRSK PKTT OJF2_RS14410 MSNRPRRLAAWATGLALMTSRVVLGQGVPPPPAPNIGPQADLNG LTHRMAERVRRLGEDIASDLGRTPQGRHLLQDLRELAVSVDEFHESLHNTRDPYQLRQ AYTGLDQSWHHLRWQLMQPGVTTPAVGRAAGGVDELDAAIHQALGLRAYAPAYGPPPA GTPAVPAEFAEAQRLAVALEQRAQALAAAVRANMAGVPGADRLARDAARLSQACDAFS DSIRDGQPMDVLATAFGSAATVADRFEADLRAYRLPPPVDASWRSFAAAEVLLRQRLG LATPPPAVNVMLQPAGNAPSPILALADQLNAQADAFLAAFTPTVRVVPEGEWILADAQ RLKQAAATFREECGRGVDPYRLSQQFSAVDQLWGRLARRINRIARGRTGPNIETAMQM GETCRQIHDLLGMPGYSPTLEAPVPR OJF2_RS14415 METTTIGQPAAVQAPASPRRIRLADYEAWIEDGSIEEGAPIELF EGRIVRKRAKVRRHSRASVHARRAIERVLPAGWHLGAELPVRMPASEGLPEPDLSVTR GTVDDYKVRDPGPADVALVVEIADTSLAEDRRRAAVYLAEGYPAYWIIHVRDRRLEVF RRSRRPGPSPRRTRPSSFWTGSSSTESPSPTCSRATDGAGTFVVGAAPRRQPLSPARG TGPRGGHGPLAP OJF2_RS14420 MNAAEYSRRTFLRGVGVTMALPWLESRNVWGTEAPAAPPASAPP VRVGVLFAGNGFHGKEWWAKGSGKDMQLGQVLAPLADFREKLTFIRGLYNEEALKGNI HSSQTGNLLSGAPLASGGEIRSGTSFDQLLAQKHAGATKVPSLVLGCEQPNPAVHKNY SMLYSSHISWSSPTSPTPLELFPALAFDRLFKDESAKGDKSVLDSVLADAQDFRREVS ASDRRKLDEYLDSVREVEQRIARAGDRGELQGWKPTLEKPNVPRPADGIPQDITEHMK LMCDILVLGFQTDATRVSTLKLNNDHSSLRFPQLGVDYMIHHLLSHTDTPDWLKVNQL FTGQLAYIARKLAAIQEGDRTALDNTMLLMCSSMLSGGTHDATQLPVILLGGGGGKLK GGRVLDYLKSPNRKMCSLFLSLLDKAGLHVDAFGDSKEPLAEV OJF2_RS14425 MTLPLPPRRSPSPLPAFALALLAAFAPAPALVAAEGPESFDALD RSYGGQVRPLMARFCLGCHSTKEKAGDLDLEAFAKLDEVRKAPGTWRKVAEQLASGEM PPKDEDQPAAAERDALKGWVARYLKAEAYANAGDPGPVVLRRLNNAQYAYTLVDLTGH DYRPTRDLPADSAAGEGFTNTGDALVMSPALLGKYLDAAKRVAAHAVLLPDGFRFANG DTRRDWTEEILGSIRAIYARHADAEGKVPLGKYLAAAFEARTRDAGARRELAARRGLN PRYLESLSRILDGGPPSPILDPIRARWKSAGPADLPPLIAEIEGWRNALTRFQTVGHM KPWMVPVDPVVDREPIRLKLPESPKGREVVVHLAAGGAGPGRPGLVVWENLRLARPGR PEIALRDVRAIAQGMAARHAKVVDSVVPCLAAAAEALAMKGGVDRDALSKKHKVDPAI LGAWLDTLGIGTGRSPGLDLFTGRLTKAGGQDAAAGWGSNETPLVVANSSDETLHIPG ELKGRGVVVHPSPTLRAGAAWTCPKAGDYRVEARVQHAHPACGNGTTWRLELRRGAVQ ISLAEGATAGPGVVPAGPFGPFPMGAGDLIALTIGPRDGNHACDLTAVDLVVKADGDG GRAWDMAREVSGDALAANPHADASGNPGVWRFFREPDGEPSGLSIPASSTLARWLDAP GEAGKEYLATKLRRLLADGPAGASLADAALYRKLTTPGGPMIPATPSGRPVLDESPWG LPPKAFGPGAVADGIAPADMAVRGPHSIAVRIPAELAAGAELVGSVVLRPVEGGAEVA QARASIGAPPAADGLRPDAPALASGPAARERLKRAFDEFRAWFPAAVCYAKIVPVDEV VTLTLFHREDEPLRRLMLDPDEAARLDRLWTELHFVSRDALTQVEAFTHLMEYATQDG DPRLFEPYRKPIHEHAEAFRKELLAAEPRHLEALVAFAPLAYRRPLAGREARELRELY GRLRSEELPHEEAFALTLARVLASPAFLYRLEASPPGVKSAPATDWEMASRLSYFLTS SAPDAELREAAAAGKLRDPDELAAQARRLLKSPKARRLAEEFACQWVHIYKFDAIDEK SERHFPAFKGLKGAMYEEAILFFADLFQSDAPVRALYDADFTFLNQALAEHYGIPGVA GPGWRRVDGVRKYGRGGLLGLSATLAKQAGASRTSPILRGNWVTEVLLGERVPKPPKN VPLLPEDEASGGGLSVRQLVERHSRDVKCSGCHAKMDPYGFSLEAYDAIGRRREKDAA GLPIDARARLADGTEFDGLDGLRNLLLGSRRPDVERQFVKKLLGYALGRGLMLTDEPL LDDIRRRLDAEGGKISTAVDAIVRSRAFREVRGASPAMADVH OJF2_RS14430 MMLDTVERPKLRDVVAGRLKSYIVDANLKPGDRLPTEADLAKQF GVSRLSLREATKSLEFLGILESRPGRGLSVGRVDMDRVTEYLGFHPALQELSPRVLID TRIVVEAGVLPHVARRMAADPALHERLDAINARLGRSRGLAEGIELDREFHHQLIAAS GLTPFQAFGDLLATFFRRYGRHLDASAAVRGHRVIIDALKAGDVAAADREIRTHIEFY LTLPEAPE OJF2_RS14435 MRDDLFRLHRRAFLGRTAGVLGPLALAHLLDQDRPAVASVPARP SGLPAGKAKAVICLFQHGGPSQMDLFDPKPALTKWHGKPHPGQLEVHFDKQAGNVLGS PFAFAPRGGSGIELSELLPRTSEIADQITLVRSMTTESVDHESALRLIHTGKFQAGRP TLGSWVIYGLGTENRNLPAYVVLSDPGGLPVDGVRNWSSGWLPAVYQGTPFRPGGSPV LNLETPSRIPPAAREGQLRFLGELNRAHLRDHPGQSELEARIANYETAARMQTAVPEA LSFAAEGPSTRRLYGLDDPATREYGSRCLLSRRLVERGVRFVQVFMSGQPWDTHSKNA ETLKGLCRRTDGPSAALVQDLKQRGLLDSTIVLWTGEFGRLPISQGTDGRDHNRHGFS LWLAGGGFRAGYAHGKTDDFGYKSVEDVVSVHDLQATLLHVLGLDHRRLTYPHDGRPD SLTDVDVTGARVVRELLA OJF2_RS14440 MKRSLSRKGPVGRVAATCSVLGLMLAAARAEEVPSLGRQVMPLL TSRCVKCHGPAVQKNGLNLATPRGLARGGKDGSPVVAGRPDESPLWEAVSTDAMPPKE PLSPDEKAVLRRWIEAGAPGLPKVSPGDAPGSDHWAFAPASRPEPPAVRDARRVRNPV DRFLEAALEARGLALAPEADRATLVRRVALDLTGLPPSPGEISAYIRDPAPDAYERMV GRYLASPRYAARWGKLWLDAAGYADSNGYFNADTDRPLAYRYRDYVIRCWAEDRPLDR FIREQIAGDELVGYHPGGPATRPMVESLIATHFLRNAPDGTGESDGNPDELTADRYAV LEGATQVIGSSMLGLSLQCARCHDHKFEPVSQRDYYALYAILAPAYNVRDWVKPADRS IAGEPEPHELAAWEESTRAIDAEIIDLKTRAAFRDPFVTPDARRKKALDEAVKDAEGR RLPRPGRISFIMEPAGTAPAVHVLKRGQYGDHGPEVAPAPPSVLADPDNPYDPARTPG GTSGRRLAFARWLTRPGSRPAALLARVIANRIWQGHFGAGLVATPENLGYSGSAPSHP ELLEFLASELARSGWSAKALHRLILNSAAYRQSSRPDERTAEVDPDNRLLGRFPLRRL DAETIRDAMLAVSGELDEPAGGPYVPTSPNDQGEVLVDEKAEGAHRRSVFLQQRRTQV LSFLDVFDAPSIVTNCTRRSSTTMPLQSLSLLNSDFVAARARAFAGRLRREAGEGPEA RVQLAFLLVAGRPPTGEERAAASRFLADQPARYAGRPDAAESAWADFAQMLLGSNAFL YED OJF2_RS14445 MAIESHPAMPGPSEGRARRSPSILLALLMAFAFAGHFNRVSMAT AADARIMEQYRIDPRQMGSVYSAFLLAYTLCMIPAGWFIDRFGAAAALAVVGFGSAAF GAMTGAIGLAAGGASPLLAFWVVRALMGAVSAPLHPACARMVSDTVAAGSRSRANGLI TGAALTGIAVTHPAFGAMVDRLDWPMAFLASGAATAALAALWVACTRGTPSGAGPNRP APEAPGEGSLRSIRARSLLALTLSYAAVGYFQYLFFYWMDYYFLEVRGLDAPTSRLYA SIPPMTMAFTMPLGGWISDGLERSGRGASRRAVVPVAGLALGAVFLALGIASVEPTWV VLWFALALGSVGAGEGPFWVTATELGGHRGGTSAALFNTGGNIGGMLAPIVTPWVGEA LGWPWAIGLGGVICLLGAGCWLGVDAQGSTGGQD OJF2_RS14450 MRPTLALLLTSAIAACVPASAEDSPRVFPPYARPTLIAHRGDSG RAPEHTIPAYRLALEAGADFVEPDLQITKDGVLVCLHDTTLERTTDVESVFPGRSRTI KGREAWPVADFTLEEIRRLDAGSWKGPQFKGLRVPTFQEMIDLVRGHAGIIPETKAPE AYEGLGLDMAGLLMDALRKNGLDVPGADPKTPVVVQSFSIGSIKALRERHGCKLPILA LLDEPGQVTGEAIAGLKGLVDGIAPSKNLVLKRPGLVDDAHRLGLSVTVWTFRAGAAG SSQQVREEMARFLGDLKVDAVFTDNPDQFPRR OJF2_RS14455 MLRTIRFAPSCFLALLMTQPGLAADSPTVTMLKGKGLAKSGLYF VIEDEKPAIAKWKAARPALSDYEAAVAKKDRADRAATDAALLAGRRAELEEILSQLDE QINAQGAMQGGNQAMGMAGGMGMGMGMGNGPGMAPGGPGRGGFNQAMGMSPLAAQRNM LRAQLARVTSMQRTSGTSDAASAKKATETQAEKAQQEARKALADVRSAVDAAQKRYAD LGADQSVRAAFRAMEKEKMAGMKLGPSTEFKSMVKSLEKAERVILGRAATTSSRKRAR TRG OJF2_RS14460 MRQRIVGARLGTIAFGAALLCGAAIAQEASKPPATYKELPSEVP ADFKPTHHGFDHDRRDVMIPMRDGVQLHAVILVPKGARNAPILLTRTPYDANRLTGHA ESAHLGPVLNGYDNATDVIVEGGYIRVVEDVRGKYGSEGDYVVNRPLRGPRNPTPVDH ATDTYDTIDWLVKNIPETNGKVGILGISYDGFLPLMALVDPHPALKVSVPMNPMVDGW MGDDWFHHGAFRQLNVGWIYDQVATHKGTEKWWISHHDNYDMYMQAGSAGGLARLRGL DRIGFWRKLEEHPSYDAFWQQQAVDKLLAERPLKVPVMLVHSLWDQEDIYGAPAVYKA IEPKDTGNDKVFLAIGPWYHGQVILDGSALGAVKFHADTAWQFRREVLAPFLAQYLKD GAPKADVAPVTAFETGTNTWRRFQAWPPRPGEASKPLYLRAGLKLSFDAPASGDAESD EYASDPSKPVPFRRRPIQPMGYGSGNTWAEWLVDDQREASGRPDVLAYVSDVLTSPVK VAGEPIANLVASTTGTDSDWVVKLIDVYPDEVAGDEDMGGYQLAVAMDIFRGRYRESL ETARPIPSGRPLPYRFALPNVNHVFLPGHRIMVQVQSSWFPLYDRNPQAFVPNIFQAR PGDYVKATQRVYHAPGHASLVELPIVP OJF2_RS14465 MRRLLTRSIVLLITSVGGPVTQAQVGDATRVHDPCIIKEGEWYY VFSTGPGIPIRRSRDLKTWARDGRALDGPPGWAKAAVPAGRDLWAPDISLFGGKFHLY YSISTFGKNRSCIGLATNRTLDRSSPDYRWVDEGLVIASEPGRDDFNAIDPDVVLDEE GTRWLSFGSFWGGVQLVRLDRASGKPAGAVSRIAARPEHAIEAPYLYRRGGFYYLFAS FDRCCQGVQSTYKIMVGRSRKVDGPYVDDVGKPMLEGGGTLVLEEEGRYRGPGHNAIL RDGAREYLVHHAYDAEAFGRPTLQIRPLTWTDAGWPKPGAPIAEPENPRHPASPAR OJF2_RS14470 MNLRLCIAASLWACLHPGAANAQHLWWDRGGQEDATCLYGEVTV LATSPHIYYCGANWHPGEPAGGYCGIQHNSRRERRTIFSIWDTSPTLHPRVTEADPAT VHNRFGGEGEGGHTHMIWPWKEGETFRFFVRKEAGPEPGTIAARYYVFDPNRDGWRHS ATIVSPTGGKASVGTIGGGLNSFLENFAGRDKEIPKLAIYRLWLGPDPAHLKCLTKAR GDGTWGRLRDGFFLAEGAPARLDELYRSLEPRYGKAVVAAKGKGKDVGPISDRPLPAG LVRELDHLPRAAAVGGQPAPAR OJF2_RS14475 MLMTGLSGNEIYCLAQLGYGPGNIVVGNSVHSLGLIRGITSGLK TLAGGEIASVTQLIVDGRHAAINRLEQEAQEDKARGLTGVTSDLRQIGNLMEFIALGS SVDGGSPGGPFFSTACTGQDLFCQIDAGYEPRHFVMGNVAYALGIGRGISGGLKMIAR RGEIKEFSNMYNHTRHLALERLEAEAAERGCNAVVDIITKILPFGVGVREMLMVGTGS YNPALGQPKIPVTSELTGEELWNLTQLGYAPLRLVLGTSVYALGLAGGIGAFFRSFAR GEINSVTRLVYDARENCLDHIRLEAEELRADGVIGVKLFIYELGGGLVEVMAIGTAIR KHASVRTRSDQLIPQAIIRDRDTFFDEPPAVPGAPKARSMNRTT OJF2_RS14480 MTRRAMIAVAISIAAALTALLAVTIRDAREEGVRRACEMNLKQI GLAIGEYHVVHDRFPLAAAPSTALAPGERLSWQFAITPSLFCYHCYGMEDYRHDRLSA SWRDAPQRDLAAAAIATLLCPDAPYRPSRGALLALSRPDPSRTSPVPATYIGIAGLGK DAPSLKKGDPRCGIFGYDRVTTSGDIADGASATMMVAETSTLAAPWTSGGEATVRGLD PSRVPYLGAGRQFGGNHPGKTQVLFADGSVRTIRDAIDPKVFEALSTIAGGEVLPGGW ER OJF2_RS14485 MRRGARDGLLRVGRRAARVVRRWPKTGLIAGAMLVFLAPLGWDL LRAIPWEIQKGFGWVVFGCGMMIALARLLEVRDADDLPPIDGPPAAEGFARCLPYLLA ATALAMAWPMLGHPENLGFGDWDYYLGKHEAIRRTILEYGEFPWWDPWTRGGFPLAAS PQCGLVSVHMPFVLLLGTTAGMGIGTAICMALACEGARRLARLWIVDPWASAAAGLIY GLNGAILVSAVAGYHVVMAYPALPWMLYHLARLGRRPIDAVGLGFWTAFGILNGIQYF ETYSVLIAGVVWLRALRARDGRSRFLGQTVLALGVFLLLTGWRLGTAGLVYRDFPRVL RSSFLSPLDSVPDRLLARFPAEVLRVMENPHAWEFARYVGPVVPLLALASLLRGWRWW HTLTLLTGWLSLGSWEWYHPSYWLGHWPVFSTMHVVTRWQYMTALGVAMAAAATIARI RTSPNRVVRGLAIAAIAAIAADYVGYGFEVLPVAFGVRPDESAYPGPALPRGEIIQVA EIGGYPAASRGYGVVFGYEPLLGYDRRSPTARLWRGHPGYAGEFSTAAGPVRPESWSP NRIELRVRPGEAVTINQNPGSWWLINGRRAFPDDRCVEKERPFVALADGDGRIDLRIS PRGLAAGLWLHAAGAAIVASFLAASLARRRLPTPVAATSEPG OJF2_RS14490 MARKKPDESQPGPKRPPRKKPTPRAGSGPEAPLVLPDRRLIERG MRDILTGQFGAAAETAFDRARELVYDALEEPDPTKRAGLAREALAVSPDVADAYVLLA EQAPTRKEALALYEQAVAAGERALGPEAFRDHAGHFWGILETRPYMRAREGLAMVLWA LGRQDEAVSHLRDMLRLNPGDNQGVRYNLAAWLLLLDRDDELERLLDRYDGDGSAAWA YNRALLAFRRQGDTPESRKQLKAAQKSNRHVATYLLQDEPLPAEGPPYYSRGGEDEAM LYAQQGLSAWRSTPGALAWMKDVFGGKGRGKKAVKKTAAAGPTAAGLARLKALRREPD EWQVDARPMPNLVESGGELVQPWLILAVSRQHKGIMALEMDLQPPSPARIWDVLAQAM SRPKLGKPHRPGELQVLAGPAWEELAPHLEELGVECLQGEELGAIDFVFKDLIGHLMG DAPPGLLETPGVTPELVAGFYEAAAGFYRRAPWKSLGYEEAIRVECDRFRGGPWYAVV MGRSGMTLGLTLYTDLDLLRRMWSGRLADERSARLTVALTVHFDPPPHIPPADLLAGR EHGWEVAGPDAYPSIFKKEKGMTMRPPLPWELELMEACLRAIPDFMAAHRPGDTTPDR RTVRAGSGEATLVLAWLDET OJF2_RS14495 MSRTLNRRDLLMAGGALFLGAGALNRAFAGSQGSTKKVLFFTKS SGFQHGPIARKGDKLGLAERILTEAGKEHGFDVVCSKDGRLFEPDKIGEWDAFAFYTT GDLTSPGQDKNPPISADGEKALYDALRGGKGFISMHSATDTFGHHAPRNKGGEDPYIQ MIGGEFIIHGAQQPSQIDVVDPHFPGLEKGFGESGQFTLTDEWYALKNFPDDLHVILV QNTKGMKGHMYERPNFPCTWARSYGKGRVFYTSMGHREDVWENPKYQGLLIGALSWAT GKVDANIEPNIKQVTPEANVLPS OJF2_RS14500 MARFDPARPDFSPYGFSCVRWTPTAMPRGDRHNEVELNLLEEGR LVYLMGGRKVAIPAGRLAAFWAGISHQVVDFDLVSEYFVMTIPLAWLLQWRLPDRFTN AVLQGGTVVEPDEGRLATDRASFERWGEDLRIGTEERRRVCLLEVEARLRRLAMSVAA DGTRRPRRGSPAVLEARHLSRAERMAAFIAQNYTEPLDAEAIGEHAGLHPNYAMALFQ RTFGTTLTAHITQQRLSHAQRLLVTTKDSIASIAFGSGFGSLSRFNEAFRRSFGCTPR EYRKFHQPI OJF2_RS14505 MNSRRIWASCRPVVWCLAMLVILAGHGRSADVERWGTWEASLNG PREGNPYVDVELSCAFRHEGESVTVRGFYDGDGVYKVRFSPPTAGTWSYETRSNRPEL DGKSGSFTAGPPSANNHGPIQVFRTFYLRYADGSPYHQFGTTCYAWVHQPRELQEQTL RTLAASPFNKLRFCVFPKSYYVANRNEPELFAFRKRADGRFDFDRPDPEFWRMFERRI LSLQELGIEADIILWHPYDRWGFSEMSDAEDDRYLRYCIARFSSYRNVWWSLANEYDF MTDRRPGGHGGNKQWEDWDRFFSILQQEDPHGRLRSIHNGTKVYDHTKPWVTHASLQT SDMNGGRAFRERYRKPVIYDECRYEGDLKDSWGNLTAREMVQRFWLGTLSGCYVGHGE TYKHPKDILWWSKGGELHGQSPERIRWLKEFMAKAPAFHELRPMGDGKGRFLLTKPGE YYLLSSTDSGEQTLTLAGDRPYRVDAIDPWEMTVTPAGTAKAGEFAVSSPRPGLVYRF TPDGPGEEARPGANDAGLGSSPSAAADPKPRAAVARPRVVVLTDFPPLDVIPVGAGQG PPEKRSDPDDVQSMVRFLLYGNDLEIEGLVASSATLANVARKRNVLDILDLYDQVDEG LRRRDPRYPTAEALRSVTWEGRSGTYGKPAGELVGEGRDSEASEAIIKLVDRPDPRPL WFCVWGGPSDLAQAIWKVRATRSPAELDRFLGKLRVYAIGKQDGSTQWLLDSFPNLFV IVSERNYMGMFWDMHGSDRKLADLAWVDEHIRNGHGPLGAIYPRSGANPRTPGVIEGD SPSFLHLAGAVHGRNDPERPDQAGWGGRFIRPDPSRNHWFDDPAGAETVSRWRAEAQQ DFARRADWMRPPDADGLDERR OJF2_RS14510 MSKLANKPASELSREPYLDPVAQLLGRLAWLMDRAVGIPGTRVR FGLDALLGLLPLGGDVMTGLVQAGLVLVALGRYRVPPAVAARMVGNVLLDTAVGAIPL LGDLFDVAFKANTRNLRLLEPYLHRHGAEGLPPGTAVPIPIGLAPIRIPWGWIIAVAV VLLGVLLLLLIGFITVVRWLFRAG OJF2_RS14515 MACSVMTAPARTATLLALLASWTAATTAVASEPTPIRADYVLRG GTLIDGTGAPARRGDVAVRGDRIVALGTFPVAAGTKVVDVSSLVVAPGFIDLHTHSDD GITAKETRLNLNFLTQGVTTVVTGNCGSGPIDVAKYLAAVDARGAGTNVAHLIPQGSL RYAVMQTADRPSNRGELDRMRHLAARGMDAGAWGMASGLIYVPSRYARTAELVDVARV VGAAGGLYASHIRSEEEGLFDAVDEAILIGKQAGLPVHISHLKANGRANWGRAADLVA RVEAARKAGQKVTADQYPYIASSTKLGAMVVPHWAIRGSGDDFAAMAADPSRGPLLRA EIQKELDRRDGGAAVRIARYQPRPAWNGKDLVQIARAEGVTPLDVVMEIQRHGGAQAI GFGMSEEDVREIMRHDFVATASDASTHVPGKGDRPHPRAYGTFPRKIRYALDDRMMSL EQAVRSCSGLPAEILGLPERGTLRVGNYADIVAFDPATFRDAATFDDPTVYAPGVRFL LVNGVALIADGRPTVKPLSSAKLPGRALRRQSDGPADLILVAGRIWTGDDANPRAEAI ALRAGLVAAIGTRAEVDRFRGPKTVVVENPSAFAMPGLVDAHGHVESLGRTLEEVDLR DVRSPEEVARRVKARASVATGNGWIGGRNWDQSLWPGGAFPAAAALDAAVPVRPVWLR RVDGHAGWANSEAMRRAGVGKDTKDPAGGKVHRDAEGRPTGVFIDEGMSLIDKVVPPA SEADIRRRILAAQRLILAAGLTGVHDAGISTTEEKVYRELDRSGELVLRVYAMASAPD RGILEKLAHRPITPTPGSRFEMRGVKLFVDGAMGSRGALLFEPYADDPKNRGLMLLDP KSIEQVATLALANGWQVATHAIGDRGNALVLDAYAAARKAVPQAKDPRPRIEHAQVVR RGDVKRFAALGVIASMQPSHASDDLRWADARLGTERSKGAYAWRWFLDERVPLAFGSD FPVEVVNPFWGIYAAITRRDAEGRPEGGWHPEQTLSLEETLRAFTAGAAFAGFAEGRA GILRPGMQADLTVVDRDLFRVSPEELLASKVVMTIVGGKVAFGGGPGGK OJF2_RS14520 MSTTVMLAISLAALGGDPPADDLKALQGTWEVVAMEREKETVPA EDFKGWTARYEGNKVTLMDGDRVHRRGIVTLDPSRTPRSINTWDRDGPYEDQTSPGIY EIQGDTLKLAFAKPGQERPTAFTTKTGPAVLVVTYKRAKK OJF2_RS14525 MPAEADPWSELREFDLCEPSPLAFRAIVALLDTWTGGDRAGAIE YAGRLLSRWPDAVRLAPWSWCKAATKGAVPPTWRLARALQLESGHLIKGTIDLARLAR RADLDHITELEIPRYSESPDLSLLHHRPQTFPALKVLRATDRRGDGEVRALAGSPLWR TLESFEVRDLDDSLVHRADASRIVPKLDDPGPLRHLTLRSPDLIAVWERTDLPHLRSA AVIVRSIDESRTLARRPELAGLTSLSLAFRCGFSGSSPFEPFLGNVIEADEAAAEAFF RHAGLEQLEELTILGYTMGYWGREGLGRLGLETLIASKLLRRLKRLCLKRLPLGDAGI AALAPALGDRLETLELVDVYCKGDGAAALCDSPCMASLRHLDLSANRIDGQGAARLAG VDMPHLRTLDLSGPEINPYYWNIGQQPIRDEGAAAWAESRNARQLTRLRLRNGHLTDD ALIAIFGSPQLRTLEELDLSDNAFTAAAIARGSVGSPLWRTLRQLGLSHCRLDDAAIE SLARVDRAPALRSLQLGYNSIGPRGAAALAGWPVLARVWELGLRDNAIGDRGLIALAG SPHLGRLVELDLEQDCWNSRAFTFNDEAARALASSPSLARLDALFSGCVDEYHGAAYS PGFSKQGIDALRGAEGMRPAFRASCGDFSGISDYIESPGFDEATPLNDHDFRQGPYEL NEREAEPGRRGMQQVRLPTSAAMRVDEEEPPAILPTLPEPDEDEEDIVEGIEFRDATP PPDISLDLSLSLVDEQRPLPGQVGKVLSDTLGRLLNACGMGSFDVTGSMSCGDVETED SFAIGIRGEPEPAIRLIREALWWLGAPADTDLDGFPLDLAREPADPAARFVQLVTPTV ARWRFRDTAGHRIDLVPFIPAQREGIRRVLREAGAVEDAGGWAGVATGDGGRAAFSTR YLDGGPEFDALNVLIDALTPGICGPIHMMMQRCGLMAWPMAIAASPEAARAIDCDWPK VEVVSSSSALHRLLARGPFRRWHRASGTIS OJF2_RS14530 MIGFLLRVRYLAAAATAVLLVLLVLFGKKVSYEQSIGSFFAEDD PYMSVYQEAAGVFGDDNFVFLVYDDPDLVTPAGLDRVAELAAAVSPGKVPGVSRVESL DAMPLVWAIDDALLALEKLPAFARNAAMNAARRTVKNVDLKTNAMAVAGAVRSADAAG LAALKERLRHHPMFRGTLISEDGNSTAVVARLRKTHEHNVIQTVAALRAEADAFAARH GLGRPAVVGPPVLLADGFAAIEVDGRRLAAVGMVLIALVTLVAVHSVWWAIVPMAAGW TIWLATETLLNLFHIRLSLSGGPLVAQIIVLTMPAASHLAIHFRENLRQRNDPAAAGR ATLRAVAVPILWTAITGAIGYGALVTSDVMPIQQFGAILGACTLCSAILVMALSPIAM LPPFPLEVPVREGSRSSVAGGMNRLSFWVSRHPMAVVATVAAVVVPLSLGMFNLRYET NYINLFRRDTRVVNDYRVVESKLGGIGLVEVVVPLESPVTPAALGRLTELDRKIAATP VADPRAIAQVLSLATVLDPDGRLKALPEEAEARVLASKLELIGLSPQAGLLRSFWNAE AGRARVLVRLLEQQPAPTKARIFREAVAAAREAFGPSSYLTGLSYLMTRTTEGVISTQ WTTFFWSALGILLMLTLAFRSPALAVLAILPTLLSVALVLGLMGWLSIKLDMATALVA SVALGLSVDDTFHCLIQFHRERKTRGFRKSLFDSYRVSGPGVLLSSLAVAVGFTALRT SEFAPFVNFGTMVAVATAGSTLGNLVLLPACLTLGERWSKSRVRPVPATAGNATGVTL HERDAREA OJF2_RS14535 MTDATPQPQDLYALPADAIREPPHSLASAIRQVGPGLILAASIV GTGELINTTGLGAKAGFTLLWLILLSCVIKVFVQVELGRYAIAHGKTTLAAFDTLPGP RAGASWICWCWLIMMLTTQAQIAAMEGTVGQAAHMAFPRASEAMAGAAGSLVPGWGAF LATRQEYIWAGLTTVAAIVLLLSGGYRRLELITTILVAAVTLFTVASVAILQWTSFRI TAADLGRGFTFSFPAAAIGLAFSAFGITGVGASELVAYPYWCIEKGYARSTGPRDAGD AWAARARGWIRVMQLDAWFSMLVFTVATVAFYLLGAAVLNPQGLDPKGADMIPTLSRM YLQPLEGTPLEVLRPLTRVGFLLGAWAVLFKTLYVATAANSRLTVDFLSLAGFYRPAG PAQRDRMVKVFCVIYPALALGLYYAFREPQALIKAGGIAQALMLPLIAGAALYLRARD NDRRVGPGRLSDLCTWAATVAITAVAMYSLYGLLGELWAWRSGS OJF2_RS14540 MPSPTPAAPWADARRAAPPLRVAIIESDGGPRSSGEVLWPSDLT RLTGFSAGTRVLGSDQEPEGPDPIELFRTGCPSHPRAGMRLGRYRLLRHLGRGAQGDV WKALSLEGDASTPVALKVLNPAAARLASRRSQFRHEAERGARLAGPDLLRVLEFGEAQ GVPFLVMPYVGGSSLAALIRARKARSDEESAGHERPLVAAEGADYLAGALRVVAAASR ALGRIHAQRVVHRDVKPANILIEDRGLGVYLCDLGLGRDLDVATPEQMRDGAGTPMYM APERLLRAPADERLCDIYGMGVTLYEAVTMERPFSPPAGLTHSLLSRFFLDNEPIPPR RALPELPPAVEAVILRAMARRPGDRYGSADELAAEVEGLIGHVGQGLPAPHIRVGGGR PPAR OJF2_RS14545 MSHALGRVRGVSIGLTALALSIGWGIRGNFGHEYGAMLPGALAA MAAVLTSGRRDWLPAVPFFAMFGALGWSFGGSISYMQVIGYTHSGHSLSVLYGFANLF VIGFLWAAPGGAGTAFTAEASPRRVAELFTPMGAVFLAWWLQGVAIEPALRGLGVDLN WYDTDWVAATLALAAAGLVAAARRRVDRSTSLVIHMAAGWWAGFALLVLVLGLRMTPP RGDNWAGCLGMVMGILAYCLRHGLPEVARATLVTGFLGGIGFAAASMLKLVEVTSGYE TNWHSVLEQTTGLFNGIAVALAMRGLARRVGPLPEAAEMPPVRRCRMLAVAFVLLMIP YLNLRKNVADWTRRSVPEVMYGIPAWAWFDAAFAAVAAGLAVLLVRHARRPLAVVPST PLGAGQALFLLLLAIMVVGNFERALVGFADQRLITEGVIHVNAVLCAVWLLVSDSRPD VSPALGESGPPRRWGRLVAAGLAAAAVSVLADWAIVRGIYGDRFAGHANLHIRFGPGA TTGSSRGGPAPADANVRGR OJF2_RS39210 MWVLFVKLLSPAPWFLEPAPGEEPRSVALANRRPRQPSLRGPAP ARAVSIRSKDR OJF2_RS14550 MNVYMLLRDEKAPLFYHNGSSPDEPPQPDAAPAPGFCGRLLLRW RRFQEDFRRADSGTLGWCRHAWDWLHSRTQPDEPMLFRFRSAGRIDLHHPRSRDREDV AAAWHAYLADRRRRHAIRLAGNLLVAPVAGLLLFPLPGPNLIGYWFAYRTIHHALVLG GLRRAIRGRIPTSYHAEAWLDLPVEGNPWRHGRPAA OJF2_RS14555 MRLVSYNIHKGIGGRDRRYRLERVIGVLEALNPDLICLQEVDRD VRRTRHDDQPRRFVEAFRSAAHLYQLNVRLKAGGYGNLLLSRWPLNAHHQVSLRLADR KPRGAQIAVVDSPEGPFHLVHWHLGLAEKERHWQARHLLDHHLFRESAHLPTLIVGDF NDWRNTLATGPFASHGFRQLTHPRSRFRSFPAYLPLTALDKAFARGPLTFRQVRIAHS RLARDASDHLPLVIDFHVDHRAQPPAQARPHHARHGTPPHKGLNP OJF2_RS14560 MAMIAAMPDELLDLVAGKFQMLADTTRLAILRSLMGGEKSVGQV VTETGRGQANVSKHLKLLAESGLVGRRKEGLQVFYRLQDPLVEKLCELVCSTIREDME RSISRRSELLRKLGPSR OJF2_RS14565 MADSTRPAAAGDEIATGPLEPGPEKATPLRAWGICGLMFFATVL NYMDRQTLAQQASEIREALSLSNGDYGLLELGFGIAFALGSVAIGLLVDRVSLRWLFP AVLLGWSAVGFLTGRVTSYAGLFLCRIALGAFEAGQWPCALAASQRLLTPRQRAMGNS ILQSGASLGAIVTPQVILLLNSGGPGGWRLPFQVVGASGLLWIVAWFLMIRPGELELP ASPGSGASGPPDRGPDPGEAPPGVFAARLSALLVVVVVINLCWQYFRAWMPMMLEKHY GYGRVEVQHFSSWYYLVAGVGCIASGYVVKSLAARGFAVHDARMAAFACGVALTSLSV SAAFLPASTLLLGCFLAVGFGSLGQFPPYYAFTQDLSVRRMGKVTGILSFATWIATAI AQWATGRWIDRTGSYDAATICLGLAPIAGLLALVLLWNRGDDRGARASKLP OJF2_RS14570 MQWHEQSWPALGAASRDMPIVVPIAAVEQHGHHLPVFTDSYLLG EVVRRAAAELGDAVAWTPLLWLGNSHHHMDFPGTLSAEPRTYLDVLCGLCENLLSHGF RRVVLLNGHGGNIVPSSQAVFEVRQRHRDRRDLLLLSATYWLLGSKPAEADPSFVQDR MEHACEWETSMMLRLSPRLVGEIGAIEPVAPGKAFAPASRGWITRERSEPGHIGDPRA ATAEKGETLFRLFATDLAAFLNRVVAWDGRSWDG OJF2_RS14575 MSRLLVIEDQKKLLQSLERGLSEEGYQVIPAMTGEEGYQQARSV PVDAVVLDLMLPERDGLDVLRTLRSDGFNKPILILTARDTIEDRVQGLDSGADDYLAK PFAFAELLARIRALLRRDVVNRELTLKADDLEMNLVGRSVTRGGVEIDLTRREFELLE FLLRNKNSAVTRDMIAREVWKEGSGTLTNTIDVYITLLRKKIERPEKRTLIHTVRGVG YALRDAP OJF2_RS14580 MTRLSLRWRLTLWYGTVLASMVAALGGVVYLLMKRELLNRTDWT LAAHAGMVQEQLAGVRSRDELAGRLSPQLLRHPAFDLRVIDAAGAELGRSDPFQDRGL PTPSPWPEPGQDVYESVRTPGRRRARVLSRVLDSGAGPALLQVAVPLDRSDRQLAELM LLLLLGGPLAVGSALGCGYLLARQALAPVDRMVATADEITATRLDRRIEVANPDDELG RLARTLNGMIGRLERSFEEVRRFTADAAHELRTPLAILRNEAEVALRVPRDSEQYRDC LENMLEEIDHLARLSEALLLLFRGDAGLGANRREPLEIHSVVEEIAEHIRVVATDHQQ DLAVEACSPCRVTGNPEQLRRLVFNLLDNAVKFTPAGGRIEVRVGGAGGRVQIVVSDT GIGIAPEHLPRVFDRFYRVDSARSRRTGGNGLGLSICRSIVEAHQGTIELASEPGRGT VVTVNLPCSPGAHSQAPRHEPAGATA OJF2_RS40580 MEREVTIAVEGGRRAVRGGLTVPEGAGAAVLFAHGSGSGRFSPR NQKVAAGLQRAGLGTLLIDLLEEDEADDRSRVFDIRLLAERLMAAADWMRDRAGLRPL RLGYFGASTGAAAALVAAARSPEGIDAVVSRGGRPDLAHAALAAVRAPTLLIVGGEDR EVLELNREAYNHLACPKRLEIVPDATHLFSEPGALERVASLAEDWFHRHLVPSPAGRP OJF2_RS40585 MTRPFADRYEAGRILAGELGAYAGLAGVVVLALPRGGVPVAYEV ARALGAPLDVFLVRKLGVPGHEELAMGAVASGDVVVINDDVVRSLRIPRDAVEEAIGR ERREMARRERVYRAGRRPPEVEGKTVILVDDGLATGSTMRAAVEALRRLEPARIVVAV PTASPSTCGEFRALADDCVCSITPEPFRAVGIWYDDFGQTSDEEVCRLLATSADGPPA AG OJF2_RS14595 MQEENLDPEFEPRRAEPRASVASIVIAASVALALGVLLRQPSMM GANDISRWCTVWSLLERGTYVIDDCPWLSETQDKVRRAPAGAAKDDEAAKHYYSSKPA LLSTLIAGMLYPARKLSGVPLDRVVLQEREERWVQKPDPAAPGGVKGVLEKPAEPAKW PAYVFYFKPVMILLNIVPFAFFLVLYARFLDRYAPGDWAWFFSLVAAAFGTYLLPFTQ TLNNHTIAAFSAFFAIYHLLRIWDEAMLSGWRFAGVGFFAAFAAVNEIPALAFLALAG GLLLLRFPKRTLLYFLPAAVVPLAAGAAAQYAAIGQLSPVYTEFGTEAYLYEGSLWKT PLELDALNDPWFNPEQAARRGLVAESYAKYLFHMTLGHHGFWSLTPIFFFSLAGLVRL LRGGGRGLAVTASLIFLLSAGVGIWVAYDPSAWKAGGRLHEYVPGLLAIPGLLAILAL ASWWVLLRRGGEPMAVGAWITAVLTLVILAFYTQAPQARNYGGSTQGLRWLFWIIPFW LIVLPAGVAGGQGRRWVRGLSLLALLVSVLSVGYAVRNPWTHPWALDLLEHLDLYTLP R OJF2_RS14600 MTLGFIVGKFYPPHRGHKHLIDTARRQVDHLVVMVAHHPSQAIP GELRKAWLEEIHPDCDVRLVPDELEDDSRQWAQFTVRYLGRAPDVVFTSEDYGWEYAR LMGTRHVLVDRPRAAVPISGTKVRESPLDHLEWLEPCVRAHFVRRVVLVGAESTGKTT LAQRLAVHYHTAWVPEYGREHWEKKVAGLPMDGPTPAWTREEFVHIATEQRAREEALA RVADRVLICDTNAFATGTWFERYEHHRDPEVDAIGARDRADLYLLAAPDVPFVQDGFR DGERIRGWMHDRFLEQLMAGGVPCRVLEGPFEGREAGAIAAIDGLLREPRPVGGTPGG RR OJF2_RS14605 MSGGRRLVAMRGAALIGLVASVALGMAAKGAASPLEAASFVTGA ACVWLTVKENPWNFPIGLVNVATFLVVFARAGLLADAGLQAVYFVLGCRGWYLWVRGG EDHAGLRVAGAGVAERLGVALAVVAMTAALWPVLARSGGSAPFWDALTTSISLGAQWL LNRKRPESWLAWILVDAIYVPLYASKSLHLTAVLYAIFLGMAVMGLLEWRGREATAKG QPEGEVAG OJF2_RS14610 MISVVIPMYNEEGSLGELHRQLAAVFDGGRLGPAEFVFVDDGSA DGSWRAVSEIARSDPRVRAVRFRRNFGKAAALTAGFQAARGDTVFTMDADLQDDPAEI PRFLAKLGEGFDVVSGWKKVRHDPWHKVFPSRVFNAMVSRMTGCHLHDHNCGFKAYRA EVLREVGIYGELHRFVPVLAHARGFRVSEIVVEHHARRHGRSKYGFSRFLKGFLDLLT VRFLTGFRQRPLHVLGGTGLALAIAGVLVLLYLAALWAAGERPIGNRPLLFYALGFLI VGVQLLGVGIVAEMVTSYNIRPGDTYSVAERLDPPGEEDAAG OJF2_RS14615 MPGARWKRPLIRAIKAAVTLLVLWALGRQVLRTWAELRAHEVAF QLRPARLALAGAFYLAGLACCGRFYEQVLRASPTPVGTGPALRAYLISHLGKYVPGKA MVVVMRAGLSVPYGARAATAAIATFYETLVMMASGCLVAAAGFAAAGPSPALRVRPPG LGAISVETYRLAAAASLGLGLAFLLVVTPPAFRRLSLLFSMPFPKVGAEALPRFDAGL LLRGLGWTAATWILFGASQVEVVLALVPLGPGRWPALMPVVAAAVAFATVAGFVVALM PGGLGVREGVLMLALAPAVGSEDVAVVAALTLRLVWAAVEVLAAAVLLPLGARAKPAA QPPADAGPSLP OJF2_RS14620 MSVPIPTAPAAPSKAGFAGEVIFRPSFAARPGISHVLFDFDGTL SLIRQGWPEVMVPMFTEALPPLPGESEEDRRRMAYDDIMRLNGKQTIYQMIQLADRIR ERGGEPREPLWYKHEYLRRLDVRIADRVEGLRGGAVRPDDLLVFGARALLEDLRRRGL AIYLASGTDEVFVKQEAELLGLGEFFGPRIYGAQDDYKAFSKKMVIERILRENAIPGE GLLSFGDGYVEIQNTKEAGGLAVAVCSDEANNGSGRMDEWKRERLAGVGADILIPDYR DAATLLERILGR OJF2_RS14625 MTARPLDLSRLKTLPLESRDSLTRVEDVVIDPVVPAPPLTPPLS AQVAAAAARIRAAREKGAGVILIYGAHLLRNGAVRLLAELMDAGFLTHLATNGAGTIH DWEYSWLGRSTESVRANVATGTFGTWKETGRNIHLALISGGLRGEGYGASLGRFIAED GTTLPQQAELERLLREAPLHPLAPAWADTLLAMHAHDLPAGHVNVHHRWKEASILAHA FRHGVPVTVHPGIGYDIIANHPMFNGAVIGRAAAMDFRLMAGSVDTLDGGVVLSVGSA IMGPQVFEKALSCANNLRLQDGRPIVSGHSIFVVDLQDGGGWDWTQGEPPKTNPAYYL RFCKSYARMGGEMHYVQCDNAAFIHNLHHQLLRAPA OJF2_RS14630 MNHDRFRVLTSAYSGLTVAVLGDFCLDRYLEIDTARRETSIETG LPVHNVASVRAQPGGAGTILNNLVALGVGRILAIGFCGDDGEGYELRRALAATPGVDL GHFLTTPERRTFTYCKPLLIEPGRPPVELSRLDSKNWTPTPDSLTRRFRDALRVLGPE LDALIVLEQVDRAETGVITRGLLDEVATLAASRPGLPILADSRRGLAGWPGLSFKMNA AELATLLGEPADEGRDLASVRSIAATLAARNRRPVFVTLAERGIVAAGPDGETEHVPA LPVRGPIDVVGAGDSVTANLAAALAAGATPREAIALAAVASSVVIHQLGTTGTATVAD LESLLGRVPAAPAGHEQGHRP OJF2_RS14635 MSTPDHPARLSPDSLASECDFRATRRSGPGGQNRNKVETAVILT HRPTGLSAEASERRTQGENRAAALFRLRLRLALEVRRPAAAGGPEPYAPTDLWSRRCR GGRISINPAHDDFPALLSEALDILAENAWDPRRAAQVLGSTASQLVKLLKDEPRALAL ANDRRRELGLHALQ OJF2_RS14640 MTSGEPLLQTTDVGLYCSAGDFHVDPWRPVPRAIVTHAHADHAC WGCGRYLTSFEGRTVLRARVGEAAEIQTLPYGESQDINGVRVSLHPAGHILGSSQVRV EYRGEVWVVSGDYKTEPDLTCAPFEPVRGHTFISESTFGLPIYRWQPQSEVFDEILGW WRSNQEAGKASLVMAYALGKSQRVLAGLAAKGELPGPIYTHGAVEVITRAYREAGVDL PPTTYAGQAEKKTDWSRALIIAPPSVQGTPWLRKFGPISTGFASGWMRIRGPRRRKAV DRGFVLSDHVDWPSLLAAIDATGAERVLLTHGYTSIVARWLQERGRDAGVIATRYTGE RDDASEPEAPAPPASADGPTLAFQDEPEAQVSTDEATA OJF2_RS14645 MKAFADLYSALDETTKTSEKVRALVDYFGKVSPADAAWAVYFLI GRKPRQVVPSPKLRAWAMEESGVPEWLFQESYDAVGDIAETIALLLPPPTESSDLALT HWVEDRLLPLRAMAEEAQHAAIVAAWRSLDQPQRFVWNKLISGAFRVGVSQQLVTRAI GAFGKVDPAVVAHRLMGDWEPSPAFFERLIAEDAGDADLSRPYPFFLAYALEDPLESL GEVSDWQAEWKWDGIRSQLIRRGGQTFLWSRGEELVTERYPELAAVGDCLPEGTAIDG EILPFKDGHVLPFAMLQKRIGRKSVTKSILSEVPVILMAYDLVEDGGADIRGEPLSGR RSRLAMVVAGVERDQPVLAHRIRLSPTVPAASWPELAMAQATSREQEAEGLMIKRRGS AYGVGRRRGDWWKWKVQPHTIDAVLILAARGTGKRASLYTDYTFGVWDPATGNLVPIA KAYSGLTDEEIRKVDAFVRRNMIEKFGPVRTVKPELVFELAFEGLNRSTRHKSGIAVR FPRILRWRTDKTAAEADTLDTVKALLPPVATA OJF2_RS14650 MKIKTKSRVSRRRPVGLSATDADEDGASNGAPRGNGVLPTATPV QGGLPAAAITADQPASDLIRSAIQGAISRIRAADPMARRGEPEGIHRMRTGSRRLRSE LHALRDLVEPHWREAAEGELKWLAGLLGDVRDLDILEHRLHDKEKPGGDGSPSPGRAE PFFDRLHERHDRNSRALRDALDGERYRGLIAALESAIATTPLADEAHQPCRVALPPIA RDAWRRLRKKARALKEHTPDEAFHDVRKSAKRARYTAELIAPALGPEIQDEAGRFIRL TTQVQTVLGDHQDAVVAAAELERFLDDSPEGDPAADDARKLLKAQRKACDKSRDKFFK VWEKLDRKKSTRWIKDAEKGGS OJF2_RS14660 MSRTATCPGDAVLELLLDGSLPETDGQALRGHLESCGACQERIE SLAYDRWGNPRSIPCPAPAVIRRYLDGSLEDHASEVIGHHADACRPCAAVLDALTNGE PVPGPAACPPEADLRRLVAGTLPEGRQAALAGHLDGCEGCRARLDALAGGDELPGRME ALRRPAVADAPGLERIIGALKDSYQTGPGAATGTFAASGVVPPTELLGLLDPPGEAGD LGKVGPYRVLEVLGSGGMGIVLRGFDPALNRPVAIKVLAPQLATSGTARQRFAREARA AAAIRNEHVVAIHSVDEWRGLPYLVMEFIPGRSLQARIEDAPLDLTSILRIGMQAAAG LAAAHAQGLVHRDIKPSNILLENCVERVKITDFGLARAADDASLTHSGFVAGTPLFMA PEQARGDAIDHRADLFSLGVVLYSMCTGRSPFRASTTLAVLKRVCDDAHRPIRETNPD IPESLCRIIDRLLAKEPGDRYSSAEDVARVLADRLAARQRGEPDEPEPVANEPIPSVI RSSFAASPVLDDVGPEKPPTAWAQWPRRLLIAGAIVFSLVFLLLALRMLTGPQQGSSV TVVTPAPVKKQGQVIVRAANRSYQIRLRGTILEPLPRTATYRFPQDSGWFELEVDRDS MMLDRKTFYLDEKATLEIEVSPEGKLRALTNDEHDTLNEDRVRRIFRGDPEVVGLANR IEPIENKRRLLEEVLGNGDDPAVRAVKLQLEKLKKRYEDLWEVKSRQIRRRLLTPAGR OJF2_RS14665 MTQPDATRPSLLVRIRDPGDRRAWEQFVEIYAPLVYQTARRRGL QDCDAADLTQEVLRSVASSVGRLEYDPRKGTFRGWLYTVTRNALNSFLEARRRSPRAT GDPDVQALLESQPSREDPSEEWDREYQLRILAYAAEQVRPSFEDATWQAFWRTAVDGR PSKEVASELGMSMGALYIARSRVLGRIRDHVRLLLEE OJF2_RS14670 MWSFRSLALALAASTLGIAMTPPDATAEPPPALSRDQASAFARL VLKGLDREYPNKLDHVMANPDDVKSPKALHPAFFGAYDWHSCVHGHWTLCRLLRRFPD LPESAEVRSLLNDHLTPANLKAEADYFARKESKSFERTYGWAWLLKLAEELRGWDDPD ARRWSGAISPLADVIVARYLDFLPKQTYPIRTGVHPNTAFGLAFAHDYARATGKDDLR KLVEERARAYYAGDRDAPARWEPSGADFFSPVLMEADLMRRVLPPGEFPAWFARFLPD AAHGEPRSLFDPAIVTDRTDPQLVHLDGLNLSRAWCLRGIAAGLPADDPTRAALSASA ARHAAAALGHVASGDYAGEHWLASFAVYLLTTGPAD OJF2_RS14675 MAPGGEFSRVPVVDVAGLVGDRAAREAVARQLDAACRESGFFYV VGHGVDEALQNRLRERSREFFSLETEEKMRVRMALGGRAWRGYFRVGDELTSGKPDQK EGLYFGQELPPDDPRVVAGTPLHGPNLFPERPEGLREAVLDYMAALTGLGHRLMAGLA LGLGLDEAYFEDHGTREPLTLFRIFNYPPPADPSLWGVGEHTDYGLLTILLQDDAGGL EVKSRSSWIPAPPLPGSYVCNIGDMLDRMTGGVYRSTPHRVRNPAPRDRMSFPFFFDP NFSAPVRPIDLPGRDRPADDAAERWDRASVHMFDGTYGDYLLTKVGKVFPELRSTVL OJF2_RS14680 MRTHPFGDAGRETAVIGQGTWEIDRGDRDAAIASIRRGLDLGMT HIDTAEMYGEAEPLVAEAIAGRRDEVFLVSKVLPSNASEDGTIAACERSLARLKTDRL DCYLLHWRGSVPLGETIAAFEALRGAGKILSWGVSNFDEDDLEEALAIAGEGRIACNQ VLYHLQERAIEHAVLPLCEAHGVAVVGYSPFGHGKFPRPGTPGGRVLQEVADSRGATP RQVALAFLTRRSSLLTIPKASRGDHAAENAGAGSLVLTDAEAALLDAAFPVGPKPRSL PML OJF2_RS14685 MSLSDYLFGRPLATDEEGEQRVGVWAGIPMLGLDALGSAAYGPE AALTLLIPLGAAGIGYIGPISTLIIALLFVVYISYRQTISAYPHGGGSYTVARENLGT TAGLLAAAALMLDYVLVVAVGISAGVGALVSAAPTLQPYMLPLCLVILTMITAVNLRG VRESGLAFLVPTYLFIVSMLAVLGVGVGKAVLSGGRPVPLEPPAPQGSAEAAAGLWVL MRAFASGCTAMTGVEAVSNGVSAFHEPTVKYARGTLTAIIGLLAVMLAGIAFLCRAYG VGATEPGGPGYQSVLSQLVAAVVGRGAFYYVTIGSVLGVLSLSANTGFADFPRLCRVV AQDGYLPNGFAHRGRRLVYSWGIFVLAALSASLLIAFGGITDHLIPLFAVGAFLAFTL SQAGMVVHWRREGGPNARRSAAINAVGAVCTALTLVIVLVSKFAEGAWIMVLLVPALL ACFAFVNAHYRTVGREVATDLPLNATDLRPPLALLPVRGWSAITRKALRFALKVSDEV YALHIADDENAMLALEEGWERNVREPCRSAGVNPPKLIVVYSPYRRLYSPLRQVVSEL QKTHPRRDVAVIVPQLVRTRWYHFLLHNQTASVIKVYLLLSGFRRVVVIDVPWYLSDS LPPAAPADAASSPAQPGRIRDEGPTGP OJF2_RS14690 MKTPKCPKCTQPMDEGFILDQAPGSQSSAKWVEGPPQWSFWTGV KLGGRVKRQVVTYCCPKCGFLESYAKIEE OJF2_RS14695 MPDSDYYEVLGVARDATPDAIKKAYRAMARKHHPDVNPGDKAAE KKFKEVQQAYDVLSDPEKKALYDRYGSAAFEGMAAAGPRTNASEWTSQFGGHGYENVD FSDFFSQFNQGQGAGEEGGAGIFEDLLGRMRGGRASSRPRAGRPVEAHLSIPFLTAVR GGETSINVQRSDGSTESLVVKIPPGVDNGAKLRLKGQGEAATKGGPRGDMTIEISVEP HPYFKRDGRDLVVEVPIGVAEAILGAKVDVPSLDGNKSLTIPAGASSGQKLRLKGQGV PAAGGKPAGDLFVQLKVVVPRNVDDASRKLIEEFAERNKQAPRAGLW OJF2_RS14700 MTRPLVPRDLVARQLSVSTQALVKYERLGLIHPSQEGQVEGYDP SEVRRIWTILSFQRDLGINLAGVEVILRLCDRMSRLHSHLGGLAGELAEILDRAETIV DIETDERPPIPMPGPRRDRHG OJF2_RS14705 MAEPRPPAEPRRSRLGRILEEHPEARPRIRDAVFSLLATALATI AIVGLLLIWHLRRRARLIRERLAPPRRVSLPDLAAKDDVDHGPDQ OJF2_RS14710 MDPTSDAPTTAATTEADREGDPASRARFRPHERIKDPADFRRAF DRRRSVSDAVLVVYGVENGLGHARLGISVSRKKVRKASARNRLKRLIREAFRLGKGEI PPGMDLVVIPRPGQPDFAAVRGSMARLGRDLARRLRLQAPSTGSPPPRPAPGPRPDGE PST OJF2_RS14715 MSWPSKLAVAVLLGLIRVYQLTLSPLLGNVCRFEPSCSRYMAES LRKYGLFRGVFRGLKRLSKCHPWHPGGHDPP OJF2_RS14720 MKRGRPRSSVLAFPCLLAPFLVLAAAAAADEYRVSALDLSGVTQ GWGSPGKDKSVDGRTLTIAGRHFEHGVGTHADSRLAVDLKGSASRLHAWVGVDDEVTG EGSVEFRVVADGKLRWSSGVMRTHQAAKEVDVDLSNARKLILQVADAGDGINYDHADW ADATITFEGAKPELLVFHEATYILTPKPSPAPRINGAKVVGVRPGSPFLFTVAATGRK PIAFAAEGLPEGLSLDPATGFITGRTEAKGEHRVRVSATNALGKATRELRIVVGDRLA LTPPLGWNSWNCFAGAVTEKNVRDATDAFVNAGLRDHGWTYINIDDFWMTKNDDRDRT LHGPERDASGRINSNPRFPDMKALSDYIHSRGLKAGLYSSPGPTTCGGCLASYKHEKE DAERFAEWGFDYLKYDWCSYGNVEHGTGRAYYAKPYDLMGRMLRAQPRDIVFSLCQYG MDNVWEWGDQVGGNCWRTTGDITDSWGSMSGIGFKQDGHEKYAGPGHWNDPDMLVVGW VGWGPALHPTHLTPSEQYTHITLWSLLSSPLLIGCDLTRLDDFTLNLLTNDEVLDVNQ DPLGRPARRVVKREDETEVWARPLEDGSIAVGLFNLGEEEATATVTWAEIEAKAPKAV RDLWRQRDVEVDSNGYTVKLPRHGAALIKVTPGA OJF2_RS14725 MDMSQWMACNEPWEMLVFLEPRASDRKLQLFAAACCRRAWSIGP DRRHEELVEAAERFANGWLSEAEFERCREAVIAMREDNPDEEPWSPSWCLTSATLHAR GGGAARYAARFVANGLAVLAGEQESEAWHAALHDEMRHQCEILRDVIGDPFRPFRFDP SWLSHEGRPAQRMAEEMEESGRYDELGRLADVLEQNGCKDLAVLEHCRRASGHVPGCW VIDALLGRESSVAIGLTTEAGWRDCDDPASLLHFLREKGTARRWRLFEVACCRRIEQL ITDDRCRGALQAAARHAVGKAGDEELERARIAAQAAEDEASKREWVTEAEEQSCSTPR YCDAGRDMFVASAVRSAVCRDVRCADAAPGTPDAEQWSPSHRLAPNAIYWHVCGTALR VEAATRENAICDPSLSSEDGQEMDCPYQPEAKESLIGSAARRAELKVQCDILRDLFGE FFGPLGTEGMWMPRRSDAPGSEWWCLLPTPKRAVVRPEWLEWSGGVVPRLAEEILSGS GFDRLPLLAEALERAGCEDPVILGHLRSRGTHYPGCWVLELLLGQSRPESDSP OJF2_RS14730 MSIGKTFALGARTRAAAVLAALATLALAATARAGDGPSAPGSPR KLTVYIVPHSHTDIGYTEVQTQIERKQVDNLLQGIALAKRTAGYPEGSRFVWNVEVLW AADLFLHRLGEAQKAEFLDAVRRGHVALHGMYLNELTGLCRTEELLRLFREATKLADL TGVPIDAAMISDVPGYTWGTVTAMSQAGIRYFAAAPNYIDRIGGTLQKWENRPFYWAS PSGKEKVLVWIPTKGYALSHLIHELSPKFVAEYTADLEKAAYPYDIAYLRWSGHGDNA TPDPGICESVKKWNAEHEWPKFRISSTSEAFRAFEARYGDKLPVVRGDWTPYWEDGAA SSALETSLNRWAADRLTQAETLWSLIDPAGYPARAFDAAWKDVLLYSEHTWGAHCSIT EPSIPLTQDQWRMKSSYAAGADIRSREFVDQVFARRARPGDDEAALSSDVDVYNTSSW TRTDLVVVPKILSTVSNRMDRVLDDRGRPVPSQRLSGGDLVFVATDVPPLSGRRYTIS PGPAAPMPAGGAVASAKDGVLDNGLLQARIDPHSGGVSEVHARGIGGNLVDPSSGHQA NEYLYFNGDDLKGVKTAGAPTIKVVDDGPIVAAIVVDSAAPGCHSLRREVRVIAGLDR VEMANTVDKKRLEAASYMAKEGKESVNFAFPLHVPGGKMLLDLPVGAIRPEVDQIPGA CKNDIPIGRWADVSNPAAGVTWATLDAPLVQLGELSGRLLNSIQKPELWRQTIEPTQH LYSWVMNNHWHTNYRAYQEGPVIFRYALRPHGSPDPAAASRFATGLSQPLVATLARGP RPTGMPLFTVSGEGVNVVALKPSDDGKAWIVRLYGASGEDRTVDLKWTDPAPRKTWLS NTTEKPLSEQDGPIAVPGWGIVTLRVERAG OJF2_RS14735 MLKLFQPDPFRIEPRGHAPTRDRAPAEVAAGTPGWLRDDLAAIV GKDRVLSRAIDLVMFASDASPYRMLPKAVVLPRDADEVARIFEYARRKAIPVTIRAAG SSLSGQSQGDGILIEARRHWAGWTVEDGGKRLRVRPGTVMFRANLALAPYGYRLGPDP ASGAVATVGGVIANNSSGMCCGTAQNAYRTLRSLSFVLANGRRIDTAAPDAEAAFAAA APELAKGLMEIKREIEADAELTARMRKKYRIKNTTGYHMEAFLDGDTPLEIFRRVLVG SEGTLAFLSEAVFDTVPDDKYRLTSMLIFPDMYAAAAAVGPFVERGAAAVEMSDRASI RSVQGRPGVPERWRSLPDGATALLVEFREPSPEARAEAEGAAGRILSGLNLLEPAEFT KDPAVAALMWTVRNGLLPSVGGARPSGTSVILEDVCFPKDRLADATLDLQATIARHGY EGVVFGHASAGNLHFLITPYLNEQAEVARFDGFMRDIVELVAGKYDGSLKAEHGTGRN VAPFVGREWGPKLTALMWKLKRLADPDGILGPGVLLSDDPQSHLNHLHTIPTVEHEVD RCIECGYCEPVCPSRNLSTTPRQRIVLRREMMRQPAGSEVTAAILRDYEYQAIETCAG DGTCALACPVGINTGDLMKRFRHEEHTRAQERAAEGTARHWAAIEKAGRAALTLNHAA QSWIGGLPAKGLTSAARAIFSEDLVPGWLPNIPGASTARLPATAREGAAAVYFSACVN RMFGNSDGSSSLPGLAEVMVAVSARAGLPLWIPEDIAGTCCATVWHSKGYEDGNTYMA NHVVERMWAWSDSGRLPVICDASSCSFGISHEVIPYLTPENRDRHRKLTLLDSIVWAR DHLLPRLKVRRRVGSAALHPVCSTHHLGIVKALQELAKALADEVVTPIHATCCGFAGD RGFLHPELTRAATAEQATELSGRAFDRYLSSNRTCEIGMNLATGGDYRSVIFLLEELT REP OJF2_RS14740 MNPIVFAMRRPVTTMMFVVALISSGLLAYSRMRVDIFPALNTPK IYVFLDYVGMSPDQMEGFIVNQLELFFQYVDGVQDINTRNIQQVSLCELSFYPGTDMG QAMAQVVAMSSRAMSWMPKGTLPPMIMRMDAGSVPVGYLVFESEKTSLGLMGDLAQNV VRPLVQKYVPGTVAISPFGPNMRSVVINVDPQKLLAYNLQPQQLVDAVAKGNTVAPAG NIYIKDAMPIVSNNATVSDVQRLGDIPLRIGQNVYLRDVATIADDTDITYGYALVNGK KSVYLPIIKKDTGSTLTVVADVHKALPVFRDAVPKDVSVNFEFDESPTVVAAVESVAT EGLIGAGLTGLMILLFLGDLRSVIVVVANIPLALLGSLFGLWVTGNTINIMSLGGMAL AIGILVDESTVTIEATHVQMTRTDNMASAVLHGNLITAVPRLLALLCILSVFIPAFIM GDPLRSLFMPLTLGVGFAMIASYFLSSTFVPIMCVALLKHGHHGGHDEDKGLFNRVLK VYRRLVEGFVAWRFTVVMAYLGACAAVMAVLGLQVGNELFPQIDSGQFVLRFRPPPGS SFDLTREMAVQSLKVIEEEAKAENIEISMGFVGQVAPNFGIDNMVLFMRGPDDGQLRV ALKEESGIKLAEFRERLRQALPERVGGWLAKRLKDGGMPEGEAKRQSKLAVFGFEPGD IVTNVMSFGSPMPIAVRVVGTDLKDVRKFAEKIAGEMRGIKTLRDVQFQQQLDYPSVE VTVDREKAGLSGAKIEDVVHALVMATASTRFANLNYWIDAKTGFDYLVQLQIPPKRLD KPEDVETLPLESVNPLVNLMIRDVATVSRGVRPGEIDRDMSQRYLTLVANVEGEDMGR AARRVSEAIAKAGEPPRGVRVELMGQLPAMTEMFKALGIGLGVAVFVIFVLLTAYFQS ASMALISIGAVPGVLAGIATILYFWNTSLNIESFMGSIMCLGVSVSNSVMLVTYMDEY WKGGSPGYEAAVKGAADRLRPILMTACAMSVGMVPMALALEKGSQMQAPLGRAVIGGL VMSTFATLLVVPSIFALVMGKRKAISPSIYPDDPESRYYDPEVFVDAAHKSHGHGEHG EGEGEGHPTVHADVPTRHHDAVIHHDEDAIAFLRRILDEARARRHDMVTHYTVDDLRS ALGFTRSEPYVPDPDTGRVEGGPGSPKHDDLHGGS OJF2_RS14745 MHRASRPRKAGRPLGLATALAAASLAAAGCGHKEESRYTSVSRP QAVQVVQPTRRTIVRVVGQPSFIESYERTSIYPKLTGYIDNWKVDIGDKVKKGELLAK LFVPELEEDLETKKATVGLDKRRVELAEKLVKVAEADVKSAEATLSEAQAIYGKYEAE VERWDTEIKRLKREVDRGVVDPQILLESTNQWKSSVASRQAAKATIEKAQADLLSQQA TLAKAQVDVSVAQADLSVAESEAKRIEAWVGYITLSAPFDGIIVTRNANTGDFVQPST GDPTANMRSPNLAPGGMAAPIYTVDRTDIVRIFVDVPEQDANYVQVGTKATVLVKAYR DEPIPGTVTRTSWALNIKSRTLRAEIDLPNKGSQLLPGMYAYAKVIIERPGALALPAS AFVQSGEKTFCWTYKDGKARRAEVRTGVSDGDWYEVTNLMYEGSGSKTEDPWKPVTGS ERVILGDLSILADGAEVQIASPEGENVAGPARTKGDGPAATSVAEKDPEGSTPVR OJF2_RS14750 MDRTARTWMSVAAFGLLACETPGPAAAQAPTSPRPPAASASPPA ASPGPAINPPAAASPAFGAPAADLPVPLPVAAPAGNPLARNLDLKAAPFEANDRRFPI NLAAALRLSDARPLIVAAAQAGTWVAEAELLRAKLLWVPTLNIGFNYVRHDGGGPDFN KGIMTAPSVNFFYGGAGMTYTLFTADAVFQPLVARQTLNAAHWNIQTAKNDALLQTSD AYFRVHQQRGIYTGTLYSVERGRELVQRVSDLSVELVPKVEVDRARNMLADLEQQAVM ARQEWRVASADLTQVLRLDPRAVLEPLEHDHAQITIIDPGRTLDDLMPIALTNRPELA AHQALVQAMMNEIRNQKWRPLIPNLWLNGFQTPYEMLQAGIFGLGPNSSMNQWKGRFD LSLQPLWQLDSLGLGNLAMIKSARGMQSQAIIQFLMNQDAVAADVTRAQARVQSAAAR VLQADRALRTAIITFNGNYEGLRQTTRLGNVLVLVNRPQEAVFALQLLQVAFNEYFTT VADYNRSQFELFHALGYPAREIAQLRPAGDAVPVDIQRPGYLPPVGNGPPPATR OJF2_RS14755 MSERPSARFRPCLENLEAKQLPSTVASLGAAARRVAAETKVQPE AVQPKPTHGYLVFRITNPSRYNNTVLPPFQQVLVQRDQPVRGQTYNILSVVVRNGTAR TFTASDNLRVRLSQQNYSTPILTDDQQWRPGQWIVFYVLTKKYYPLPSQVKSGFNFNL GGARSLAIPGPSGIFQRITYNPATFPRTLDAITSFGVGAQGGAGYKYGLPVTNVAEFV SAKTNRNDFGGYF OJF2_RS14760 MRHRCARILFAGAALLTWPGTSASAAAAGMMDAADGASPPASAG VDGARIDDMPSTVSPAIARFLRENGDRPIPAVPAARPPSAVAPGSGRPPIGPSPGPPS PLAVRPDIPQPPSGGGASPGLPPQPSPPQDNRPFANSPFVTPAPPGGDALAAAGLELK AAPFEPTDLRFPINLAAALRLSDARPLIVAAAQAGVWTAEADLMRAKVLWVPSALFGV DYTRHDGGGPDFNKGVMTAASVNYFMGGGGLGLYVNVTDAVFEPLVARQALNAAHWDV QASKNDALFQAADAYFRVHQYRGMYAGALYTVEYGRLLIDKIQALSRELVPLDEVDRA RNMVADLEQRAVLARQEWRVASADLTQVLRLDPRAVLEPQEHDHAQITIIDPGRTLDD LMPIALANRPELSSRRASLLAAEARIRREKMRPLLPLLTVNGFQHPGFTMQGGVFGLG PNSSLNQFVGRNDVTLGVFWQLEGLGIGNLARIKQQRGLESDSIIRLRRQQDLVAADV TRALARVQSAAARVLQADRALRTGIVTFIGHLEGLGQTRRLENVLILTFRPQEAVYSL DMLNVAFNEYFTTVAEYNRAQFDLFHALGYPARELSQLRPVGTPLPVDTERPVYLPGV GVGPPPATR OJF2_RS14765 MHQKATTGAGTGLVALAFAIALSGATPQAHGDDGRPCAEGCKLQ HAHHYRQAMPAAGTLGYGPPGIHPGFQGFGLGWHRGYGYGGRALGPGAEGGYPFYGGP GYPHPWPTLRRIGGINPFPHFIGPGGPTPTCPNFYGEPGQLRPDSPVVQIVGAPPEMG YGQFHGMLPYPDSTFAPFTAAASGEATGVGATPPAPEANSPNGLPTAPSPANTPPPSR P OJF2_RS14770 MATEDRGNPGMLDILDTALIGAIKGAVWLPLVALPRLIQRTLPS VVKLLRVAVLAAVWLVLVFGPLPFLDGVDDPILGFALAGWTVVACLGSAIGLLRLRRI ALRRPGPKPRDLREAFA OJF2_RS14780 MSRRADPQRGEPTDYEAEQVRRIAAWKSQPPNPLAELWTTLTLP AARAVEKLIPDRLAGWTVERILDTADLLAGQEDIKRSAGVRDLAELRGRPMEECDRMA RQVGLGAMAVATAEGAATGAGGAWTTLLDVPLLFGLALRTIYKIGHCYGYPLDGDGGR ALALATLVTALSGSLEVRRQRLRRLREFEEMVFDEAEEEVLTQEVLSFLFQIEAFEEI PGVGALSGAALNLALIRRVDVAARRVFQERWLRGGGKIEGYIEPAEAHPRALAPGISG ALGRLAYSGCYSVVFGVAVPFYASAAVLRPAGDAVARGVGRAASRAQVATASLIPGRR PAPALSA OJF2_RS14785 MKRTGLMVVVLGLVLGGIASADDRKGPRDRTLEGTNGVKVIVRA QGPYDADVPLQVVCYFRHKQGGDRTLGAAVTLDERLGGVIRSLRDRGEFVGDDGETLL LTPRPGTIKAGRLLLVGLGDEGSLSLGTMERVGRTAGREAARLGVERVAFAPLLRDQG NAALAVGDVEAAVLRGVLLANDTQKRLDKEGLATEHALHEWVVEAGPAYFDETVAGVQ RGIDEARKSAGERPAGPYAGPGH OJF2_RS14790 MGDTIQADLILSNGRFATLDPANPAASAVAVRDGKFLVVGSERD VAPHRGPRTTAIDLRGHAAVPGLTDTHMHLIRGGLSYNMELRWDGVPSLADALRMLRD QARRTPPPQWVRVVGGWSELQFAERRMPTLDEINAAAPDTPVFVLHLYDRALLNRAAL RAVGYTRDTPEPPGGMIERDRSGNPTGLLVARPNAMILYKTLALGPKLDPADQENSTR HFMRELNRLGITGVIDAGGGFQNYPDDYRVMEALHEKGEMTVRVAYNLFTQKPGGELD DFRRWVAMTGPGRGSDFYRMNGAGEMLTFSAADFEDFLEPRPDLPPSLEADLPGVVRL LAESRWPFRLHATYDESIGRFLDIFEAVNREVPFDGLHWFFDHAETITPRNLERTKAL GGGIAVQHRMAFQGEYFVDRYGAEKAEATPPVADMLRMGLPVSAGTDATRVASYNPWA CLHWLVTGRTVGGLTLYPEANRLGREQALRLYTQAGGWFSNEEGVRGTLKAGQLADLA VLSDDYFSVPEDRIKGIESVLTVVGGKVVHASGPFGPFAPPPLPVSPDWSPVGVYGGY HRASAAKAAAPGAASRAHRHNLLDRLLGACRGGGEPLWGGGCGCWAY OJF2_RS14795 MPRTLQGLVSVVGRVLLCTIFLMSAVGNKIPNFRAVAGAMAKEG VPAPQVMLAGAIVFLIAGSVSVVLGYGARIGAALLLAFLVLATYYFHDFWTVADPQAK QEQVIQFMKNLGLMGAMLLVIANGTGPMSLDGCRPLAAGRASTPLEAAAAHGG OJF2_RS14800 MIRVRKASERGHFDHGWLDTSHTFSFSRYYDPEHMGFRSLRVMN EDRVQPSRGFGTHGHDNMEIVSYVLSGALAHRDSLGTGSTLKPGEFQRMTAGTGITHS EFNPSEDEPAHFYQIWLLPEREGLEPSYEQKAFPEEERLNQLRLVASPDGEDGSLTIR QNARLYLSTLDGGREVSHAIAPGRHAWLQVLRGGVDLNGRALSAGDGAAVSEESALAI RAEQPSEVLLFDLA OJF2_RS14805 MSNSRLQRELRKRNPFESAEQEASLSIARTADRFGICFARLFRE YGLTPSQYNVLRILRGEGNPLPILEVADRMLAAVPGITGLIDRLEGMGLVARDRSAED RRVVFVAIRPKGLDLLRQLDEPVSALHGRLLGHMSPEELRQLIGLLEKARQPLDDSAG A OJF2_RS14810 MLLTITTTHRPAGDLGFLLHKHPGRFQSYDLSFGRAHAFYPEAS DDRCTACLLLDVDPVGVVRGRGAGEGLLDQYVNDRPYAASSFLSVAISQVFGSALQAR CNDRPELAGTPIPLEARLDVLPVRGGEPFLRAVFEPLGYRVEATRHPLDERFPEWGES PYYSVTIAGEVTLSALLTHLYVLVPVFDNQKHYFVGDDEIEKLLAKGAGWLAGHPERD AITRRYLRSHPSLYRQALARLVEEEPPSEAVTDGEEPRHGDRAEGAIEKPLSLHEQRL GAVLAALRSGGARRVLDLGCGEGKLLRELLKDPQFEEIVGMDVSIRSLEVARDRLKLD RLPERQAARIRLIHGSLIYRDRRLEGFDAAAVVEVVEHLDPPRLAAFERALFEFARPG TVVLTTPNREYNVTWENVGPDRLRHPDHRFEWTREEFRSWAEGIAGRHRYTVRFLPVG PVDEVLGPPTQMGVFRRD OJF2_RS14815 MTDVIPTTVEWTPPDDPRTIDELMMTALCETDEDLAWDAISTLH RRGTREVVDRAVALCRSACAVERRVGADILGKLGLPDRTCLEERFRTLRDMAETEQDH RVLQAIVAALSHLKRPEVVAIACRYKSHEDPQVRYAVVHALIGQTDREAIATLVELSR DPEVHVRDWATFGLGSMIELDTPEIREALAARLADEDSDTGYEAMVGLARLGDRRILP ALISERESGSVCVYAVEAAALIADPGLHPLLIELREWWDVAPDQLEEAIRACSPGPTE AT OJF2_RS14820 MATGPHLRIEEVEQKVCDIASEQLGIPRQKVSPGSRIVQDLQCD SLDLVELFMSVEDAFEVTLPDGSPDPVFKAVFTRPGFRLADLAELVYLAQGTGKPERD GWRRARVATAATATIPFTQLDGRWEPGSTERPGLFEPLKAPGPIRPYRRRSDGMRCLR IPSAAVELGSDAPEAPDDERPRRVAEIDSFLIDAEPVSTTAYCRFLNSADEADPAVLA DWFVLDPEDDRIEHMLITKCGPDWRPRPGTERWPMILVSWYGANAYSLWANGRDWRSY RGEGELGDGQESFLPSEAQWEYAARGDRSRTYPWGDEPCTPDRLVFGQHRRGATYRAE TLPMADVNAELGMSPFGLHHMAGNIWQWCRDWYDGAFPARPEASLPNPLNRTPGTARS ERGGSWVGPASLCRSSFRRGRPPHARGRCLGFRCIGLASDAR OJF2_RS14825 MGSSRDTFVKYPRTPHLFGSRGTDDDRHMGEAESLRFLADESLI VEEKLDGTNVGIHFSGGGELVLQCRGHLIAEGMHPQYDLFKQWAAAKRPVLEAMLEDR FILFGEWLYARHSVHYRGLPHYFFEFDVYDKEAEAFLDLEQRLILLEGTGVRTVPVVH RGAIGRDELSARIVPSRYDSVFDNPMTGRADDLMEGLYLRTESNGAVTGRAKLVRPEF VERVKRSEHWQHQAMVPNRLAEGADIWS OJF2_RS14830 MSWDDLNNAEPDEVLAWAEAQPWAVAMASCQQDASWHAEGDVWT HTKMACAQLTGLDEWPDLTGRDRTVLLFTALFHDFGKPLTSHVNPTTGRITSPKHALK GEHLARNILRELGCDLETREQIARLVRFHGRPAFLLEKPEPDVEVVSLSWLVRNRLLY LFALADTRGRITAEMGRPEESLHVWRLVAEENGCLDRPYPFDSDHARFVFYRQERPNL HYAPHEAFRGAVTMLSGLPGAGKDAWIAANAPGMPVVSLDELRRDLEIEPDDDQGEVI QAGRERCREHLRAGRPFVFNATNLLRQTRRRWIDLFDDYGARIEIVYIEPPLSVILKQ NTRRVRPVPERVIRRLAEKCEPPTLTEAHGLVMVTGREGSA OJF2_RS14835 MTDGEQEATTEGVWAIVANIKKEHPYGPGGVETRIGTRQFRGGT KVYIAGCFPGTCDAVVAIGLHRKSRRFITCAVDVRYVENFRVKLVYHPSVIERIKQDE SCWIRTKEEAEKWAAAFPEWQRLWEEKASE OJF2_RS14840 MTIKIPKLSLVVLIGPSGSGKSTFARRHFKPTEILSSDSFRGMV SDDENDQAATKDAFEVLRFVAGKRLALGKLTVVDATNVQPEARKPLVELARQYHCLPV AIVLDLPERICQDRNAGRADRAFGPHVIRNQASQLRRSFRALRKEGFRHVFRLESPED VEAAVVERVPLWNDRSSERGPFDIIGDVHGCCDELEALLGRLGYTATTGGTPHYAHPE GRRAIFLGDLVDRGPRILDTMRLVQNMVSAGSALCVPGNHDMKLLKKLQGRNVQVTHG LADTLSEIDALPAELRGPLCEELARFLDGLVSHYVLDGGRLVVAHAGMKREMQGRGSA KVRDFALYGETTGETDEFGLPVRYDWAREYRGEAMVVYGHTPVPEPEWLNRTINIDTG CVFGGQLTALRYPEKELVSVPAARTYCEPARPFLPSEDQAPGLSAQQAHDEVLDAEDV IGKRIISTRLRGNVTIREENAAAALEVMSRFAADPRWLIYLPPTMSPCETSRAEGLLE HPAEAFAYYRAEGVPRVICEEKHMGSRAVVVACKDEAAAAERFGVATGELGIVTTRTG RRFFQDPDLERRFLGRVREALSAADIWGRLETSWALLDCELMPWSAKAQELLKTQYAA VGAAGSASTPRAVAALERAAERLEGEERDKLLSAELAYRRREQDVGRFVAAYRQYCWK VESLADLKLAPFHLLATEGHVHANRDHAWHIETLAEVCRADPGLLLATRCLVVDVTDP ASQDAAVAWWLEHTGRGGEGMVVKPLDFVHRGRRGLSQPAVKCRGREYLRIIYGPEYT EQENLSRLRTRGLGRKRSLALGEFALGIEGLERFVRREPLRRVHECVFGVLALESEPV DPRL OJF2_RS14845 MRRRRRRLAIAAGLLALAWVAFTRLDARRSGEQLDLARREIGRG RFDAARRRLATLSARAGSLDGAADYWLGICESLDGHPDAAARAFDRVPPGFAFDAVGA YHEAKANLARGRLHPAERRLEEALEQDGPAREKIRDLLRRVYELEARFGDVKALVRGR LAAADDPLSDLRDLSNLDLSRLPYEGLKGALETAGAAAPDDARVWLGKARLALEAGRW DEADGWLRKCRHAGADAPVWRAQLEWARGSSRPADAIEAAGHLGAGALEPAARLELRA WLARRGGDAEAEAAATEAWLALEPGAIRAMERLVELAHRAGRPGRVAELRRRQGDVER AMAAYRLLLWREEPPRTPAERAALARLAEEAGLRHEARALFAWALKASPGAPAAREGL ARLDRDDSARRWALELAAHALEPEATHAPGRPSGPGRATDGRPAFADEAESAGLRFVY DNAGTPLRQLPEPFGGGLAVLDVDGDGWLDVYCVQGGPYAADPGATPPSDAGDRLFRN RGDGSFEDITGRSGIGPSPRGHGHGVAVGDVDNDGLPDLLVTRWRSYALYRNLGGCRF QDATDRWGLGGRRDWPTSAAFADLDNDGDLDLYVCHYAAWDLDHPRICRDAKTGAYLN CNPLDAESLPDRLFRNDGGKFVDVSAESGIIDRDGRGLGVVAADLDGDGLVDLFVAND SSANFLFRNLGGMRFEEVGHLAGVASNASGIYQAGMGAAAGDIDADGLIDLAVTNFYG ESTSFFRNLGGGLFTDATASIGLDVASRRLLGFGLGLFDANNDGRMDMATANGHVNDL RPNYPYLMPAQLLLNGDDGRLLDASGHAGAVWQVPRMGRGLAVGDLDNDGRQDVLILS HDQPLAYFHNRTAGGHFLVLRLEGSKSNRDAVGAKVTLIRPGGNRVAWRTGGGSYQSA SDPRIHFGLGADARIEAVEVAWPSGRVDRRTGIEPDSGYLIREGHEVPERLDAIRGGP PRP OJF2_RS14850 MRVESRRGFTLIELLVVIAIIAVLIALLLPAVQSAREAARRAQC INNLKQIGIGLHNYHTAHNSFPLGGTRNWSSYGYMASWGTWSAPALLLGYMEGQPLYN SINFNWVCCWSAGWNINSTVSNAIVNTYICPSDGLSPVPTQNDQWTGMTNNYHASVGA STDFYNPSGLFAEAEKCYGIQSCTDGSSNTIAFGEALIGSGDRPQVKYRSGPVLSAGS ALCTGSWCGVTYVGTNYNAVLTDLQTCEQGWVDQTSSTGNGKGFRWCENLGGFTLINT VVPPSPSNYRFGWCGLRGTTPNSNASDGQYQNANSNHPGGANFLFGDGSARFLKSSIS IQTYWALGTRANGEVISADSY OJF2_RS14855 MSALRPSRAPVIAAFLGLFFSVVGCSSEGPINSSPPDKETAKKI AAETKASMKEAMKARGRAGR OJF2_RS14860 MQNAETPSPSPASRHPLANGEAGGGADATPAAPAARPSGRAWLL VVAAGLLAGPAGFGCGEYAARVFAPSLELPPGIRGDRDKAPAEHARRLLESEFRTAIA SNGTFGALLGLALGGAGGLARRSARAALWAGLIGLVLGGAAGAMGASLLVPLYNALHA PPSPDNATEEFFLAMATHGGMWAAVGGAAGLALGLGLGGRRAAPSLIGGLLGALVAAT LYELCGPVPFPQERTLQPLGPRPELRLFACLATALLSSAGALWASEHLSLRRPTRPIL S OJF2_RS14865 MESGAGTSKAADAGRIAEAVRADPRAGGMSPGATLLVAACIGLA AGWIDLGLMLLNSRVIQRDFDRLGGDFPWLIPSGVAALLLSVGAALAGLYLVRRRRPS PRWVVGVLAFIASLDACARLPLELWASLLLSAGLAVQSGRWAGRRPDVPLAWARRAVP LLAAALLAAVMVTRGGRAWAEHRAVSSLPPASANAPNVLLIVWDTVRAASTSLHGYGR PTTPNLQRLAARGTRFDLAFSTSSWTLPAHASLFTGRWPHELGVDWKSPLRRDVPTVA GHLRDRGYDTAGFVANLDYASVETGLSRGFAHYEDYPVDLLDTLDRYVGLGHRMELST WGSVVSSLLEKASGRPMGRIGRSREHAKRATDVDRGFLDWLSWQRGRARPFFAFLNYN DAHSPYEVPDPSAPGFGLRPTTLRDYLTLQRWNQVPKASLRPEDVQMATAVYDECIAH LDRRLGLLLDELDRRGVLGNTIVIVTADHGEHLGDHLLFFHGCSLYRQLVHVPLVIVD PRGAATGRVVAGPVGLRDLPATIAELVGEVDGAPFPGRSLARSLRDAAGPPGDAPGPL LMETTPPLVMMNEGREPVAKGPMRSLVAGGLHYIESGDGTQELFSLEGDPEERFNLAN APTAGDVVRRFRSSLESMASGRKP OJF2_RS14870 MSTLQRLTDRGLVRPPRWLPGNVQYETIMGSVAYGVSSDTSDVD VYGWAIPPKDDAFPHLRGEVAGFGTPRPRFEQFQEHHIRDDDALGGRGRTYDVTIYGI VKFFSLAMENNPNILDSLFTPANCVLHSTQVGNLVRENRRIFLHRGAWPKFKGYAYSQ LHKISIKTPRGKRAGLVGRHGYDVKFAYHVVRLLGEVEMILTEGDIDLQRNNEPLKAI RRGEWTEERLRAWCAEKESHLERAYAESTLPASPDEPRIRRLLLDCLEQHYGSLEGCV VDPDRAVAALRAIQAELERVRDLL OJF2_RS14875 MTDIVNAEAMRRLWEVADGHPYPLLFATISGAHLYGFPSPDSDY DLRGCHLLPLREVVGLDAGRETIEVERKEEGFELDLVSHDALKFFRLLLRKNGYVLEQ VLSPLVVLSTPEHEELKAIARGCVTRHHSHHYFGFAETQWRLFEKERPRRVKPLLYAY RVLLTGIHLMRTGEVEADLVRLADEARLGHVADLIAQKLSGPERATLDASDVDFHRRE YERLRGELESAHQASRLPEGPTSRAALNDLLVRLRLKPAGDEHG OJF2_RS14880 MGDLAEVLIEWIPGRRGHLAVYDVHVDGRPVGRVNQERLVAVPV WEGRHQVFLQIGRLRSDVVELDLAGGEVVHLTCGLKPLIQNPFFRFFEAKMLFLAMPV AVAAYFVPPVMHFIERHLAVEFLGILFLWMLGFLVSLPRIVSRRPGAMIYLVVRPDAA DRLESPVP OJF2_RS14885 MRAQPREGSVGRYVARKTGKGWTVAFGKLDGQGKAFLIAYEATQ GAKPDEFTVEERLPATRDAGYYRDASRAIDAALAELAAHFDPPKRAYNVAVLPADGGK WWVYVVPAPTRAGAWPLGGDFRFRVSADGTKIEATRQLHKSIIEVEPPKDGGNERVGG IHTHVLDSIPEDTDVFHVLTRKPNVPELVVTPKFVYSVERDGSITFAGRAEEFSKRKE E OJF2_RS14890 MADPAAALILVVDDDEAKRYSITKILQKAGYRIRQAVTGGEALR MVAELPDLVVLDVKLPDVSGFEVCRRIKADPVTSEIPVLHMSTTFVDLEDKLQGLEGG ADGYLTDVLEPLELIATVKALLRARRAEEAAQISNSQWQATFDAINDGVVLLDREGLV IQVNQAMERIFEAPWDELHGRDFHDLFGLPAGADASPFTRMASSQAREEAEVTRGGRW LHVTVDPLRSARGTPRGALGIVSDVTARRLMEEELRRRAEELATADRRKDEFLAMLAH ELRNPLAPIMNCLGLIRREAPPGQVLGLSLEIVERQVKHMSRLLDDLLDVSRFSQGKI QLRKADVDFSAVVAHAVETATPLIQGKQHRLTVRLPEGPLPLHGDPTRLEQVVANLLN NAAKYTNPGGDIRLSVDREGGELALRVADTGIGMSGEMMARVFDLFAQADLSLDRSQG GLGIGLTLARNLVELHGGRIEVRSEGPGRGSEFTVHFPLAEPAPAAVAPPAPAATAAT RLRILVVDDHSDSATSLAQLLVSWGHDAGVAPDGPAALRRFSERPCDAVVLDIGLPGM DGFEVAARLRACPDGDRARLIALTGYGQQQDIRRSAESGIDHHLVKPVEQDVLRGLLD DVAASRGLPPDPPPPPG OJF2_RS14895 MRILSIEIRQEADVVLARQRARQVSELLGFPLLDQTRIGTATSE VARSAFQFSRGGRAEFFVEQGKPPSLVVRIVARAPGPADSRAGLGGEASELEGQAPGV RRLMDRFQVEASPGGGATVAMAKALPGRRGPLSPQELERIAAELSGRSPRSMLDELQY QNQELIQALQELRDRQAEIMALHRDELEETNRGVVALYAELDENAKVLRRISDLKSRF LSNMSHEFRSPVNTILTLTSFLLEGSDGALNEEQSRQVTYIRKAADGLHALVNDLLDL AKVEAGKAVVRPRSFEVGPLFESLRGVIRPLLSRPDVALVFEAPFGVGPLQTDEGKLA QILQNFLSNAAKFTERGEIRVAARAGPGDTVLFSVADTGVGIAPADRERIFEEFGQVE NPLQGRVKGTGLGLPLSRKLAELLGGNISVKSEPGVGSTFFAVIPRVYREPGEEPARP DGRWEPDPGLLPLLVVEDDPVDMLLYEKVLKGSEFQVLPARSLDEARKAMRRVRPVAI ILDILLEAESGWTLLTELKSQPATRDVPVLVLTHVDGQERALTLGAADFALKPVDQAW LLERLGALGAKRDVRTVLIIDDSEADRRRLRECLAACGPYRTLEADGGERGLAAAVAG RPDVIFLDLVMPDMTGLEVMDRLGQDPATSGIPIIINTAKYLDEGERKRLGGVASAIL EKTAETGPAASGSIRAALARAGIGQHASTAPSEA OJF2_RS14900 MIVPGQSAVVSVDEATRVGEARRLAASMAERLGFGGGTRSDLAI VVTEAATNLLKHATGGRLVLRPIDELGAAGVEVLALDRGPGMADVGRCLADGFSTAGS PGNGLGAIRRLSTGFDIHSSPSGTAMLARLVEGPPAARGTHPRSGLGVVNQALDGEEV SGDAWAVEEREGRTLIAVADGLGHGLPASEAAREAVRTFRDRAADGPGAVLAAAHDAL RKTRGAAMAVAEIDHLRGRLCYAGVGNISGSIVAGPECRGTGLVSHNGTVGHAVRKIQ EFAHPWPAGALLVMHSDGLGTQWRLGAYAGLASRSPSLIAGVLYRDFRRERDDVTVLA AREGEAPA OJF2_RS14905 MMIVSKSEVLPIRSSDDVVLVRQAVRRWAIELGFSLVDQTKMIT AASELARNALDYGGGGSVRLESLLDGARKGLRLTFEDSGPGIPDIELALTDGYTSGKG MGMGLGGSRRLVNEFAIESRVGEGTRVTISRWR OJF2_RS14910 MERIPILRMGEFLVVTIQVDMHDRLVTTLQEELTSKIADLGARG VLIDISSLDMVDSFIGRMIGNIAAMARILDADTVVVGMQPAVAITLVELGLSLEGVRT ALDVEKGMDLLRAGRGGGAEGGDDDRLEE OJF2_RS14915 MSMTGSSRLADVLAGHESELLAEWLGEQAPLYRDRNTGIKEQEL RSQGGEFLSLLRDAVQDGRSDDFRSPRWDGVKGLLGELSRSRSLLGFTPSQTASFVFS LKKPLFAAIRREAGDDARTLADETWAVNELLDRLGLYTTEVHQKAREEVIARQQQEML ELSTPVVKLWDGILALPMIGTLDSARTQVVMETLLQKIVETGSGIAIIDITGVPTVDT LTAQHLLKTVTAARLMGAECIISGIRPQIAQTIVHLGISLSGITTKASLADAFAVALE RTGRCVRAVAGPRAS OJF2_RS14920 MRDSTRNEGIPEGVPPAASGRPAAAPECPPGPDAPPAGDEPIGI LLVDDEPRNLTVLETVLDHPDYRLVRAVSAEQALLALVADEFAVLIVDIQMPGMSGLE LAHMVKQRKKTACIPIIFLTAHYGEDRHVLDGYGAGGVDYLHKPIEPSILKSKVAVFA DLHRKSREVARANEALREEVSARRHAEEQLRQLNEELERRVADRTADLVRANEALARR EAELRSLAENSPDILARFDAALRPIFVNAAAEKVLGRPREAILGLAAGEAEVPGPLRL QWQQALRAVFASRRARAIEFDFPLRGKTRHYATRLIPEASPTGEVATVLAVTQDVTEL KRTEEALQHADRRKDEFLATLAHELRNPLAPIRTGLHLLGLSQDPDLATRTREMMNRQ LSHMVRLIDDLLDISRITSGKVTLRKEQVSLRAVAEAAVEASRPAIEAARHALSLDLP AERVTLPADPTRLAQVITNLLTNAAKYTPEGGRIELSAAGEGREAVIRVRDTGMGIPP AMLEEVFEMFTQVNRTLDRAQGGLGIGLALVKRLVELHGGAIAAASDGLGLGSTFTVR IPIPEPAGAGESPAAPPGAPASTSRAARRVLVVDDNEDMAEVLAGVLRLAGHEVRTAL DGPGALEEARSFRADVVFLDIGLPGMSGYEVARRLRRDPASGDALIVALTGGGLDEDK RQAKEAGIDLHLTKPVEFPQIEEAFSRLPRREPPAAKAAGRAAAPRGKTRR OJF2_RS14925 MKEHSETRPRRKVEAAAAASQGDGMARGAALAEPADGDAAEARS RLILKALLAFRDGNFAARLPEDWPGIDGRIAEAFNQTILREVVISREVKRLSVVVGKE GRLRQRMSLPGATGDWANKAEAINTLLDDLVRPTVDVARTIGAVAKGDLSQSIELEVD GTALKGEFLRSARLVNTMIQQLSVFTSEVTRVAREVGTEGKLGGQAQVPGVSGVWREL TESVNRMAGNLTAQVRNIAEVTIAVANGDLSKKITVDVRGEILQLKEAINTMVEQLRS FASEVTRVAREVGAEGRLGGQAVVPGVGGTWKDLTDSVNEMASNLTAQVRNIAAVTTA VARGDLSRKITMDVKGEILELKETINTMVDQLNGFSSEVTRVAREVGTEGKLGGQAEV PGMAGTWKDLTDSVNSMASNLTAQVRNIAEVTTAVARGDLSRKITVDVKGEILELKDT INTMVDQLNGFASEVTRVAREVGTEGKLGGQAEVRGVAGTWKDLTDNVNSMASNLTGQ VRNIAEVTTAVANGNLSKKITVDVKGEILELKDTINTMVDQLNGFASEVTRVAREVGT EGKLGGQAQVRGVAGTWKDLTDSVNSMASNLTAQVRNIAEVTTAVARGDLSRKITVDV KGEILELKDTINTMVDQLNGFASEVTRVAREVGTEGKLGGQAEVRGVAGTWKDLTDNV NSMASNLTGQVRNIADVATAVANGDLSRKITVDVKGEILELKNTLNTMVDQLNGFASE VTRVAREVGTEGKLGGQAEVRGVAGTWKDLTDSVNAMASNLTEQVRNIAEVTTAVANG DLSKKITVPVRGEILELKDTINTMVDQLNGFASEVSRVAREVGTEGKLGGQAEVQGVA GTWKDLTDNVNSMAYNLTGQVRNIAEVTTAVARGDLSRKITVDVKGEILELKDTINTM VDQLNGFASEVSRVAREVGTEGKLGGQAEVQGVAGTWKDLTDNVNSMASNLTSQVRNI AEVTIAVANGDLSKKITVPARGEILELKETINTMVDQLRSFASEVTRVAREVGTEGKL GGQAAVPGVAGTWKDLTDNVNSMAYNLTGQVRNIADVATAIARGDLSRKITVDVRGEI LQLKETMNTMVEQLGAFASEVTRVAREVGTEGKLGGQAAVQGVAGTWKDLTDNVNSMA SNLTSQVRNIAEVTIAVANGDLSKKITVDVRGEILQLKEAINTMVEQLRSFASEVTRV AREVGTEGRLGVQAVVPGVAGTWKDLTDSVNTMGSNLTAQVRNIAEVTTAVARGDLSR KITVDVKGEILELKNTINTMVDQLNGFASEVTRVAREVGTEGKLGGQAEVPGVAGTWK DLTDSVNFMASNLTGQVRGIVKVVTAVADGNLRQKLTVQAKGEVAALAETINSMTDTL ATFAEQVISVAREVGVEGRLGGQASVPGAAGSWRDLTDNVNLLAGNLTTQVRAIAEVA TAVTKGDLTRSIQVDARGEVAELKDNINTMIANLRETTERNNEQDWLKTNLARFTSML QGQRDLFALARALLADLVPLVHAQQGAIYQLAAEVGGDGDGEGPWLRLLAGYARPAGP PPRIRPGEGIVGQCAVARQRILLGDVPPGYARIASSLGDAPPTSIVVLPVLFEGHVKA VIELASLRPFSPTDLAFLDQLTTSLGVVLNTIEATMRTEGLLEQSQQLTAELQSRQIE LQQTNQELATKARLLAAQNEEVELKNREVEQARRALEEKAAELAMTSKFKSEFLANMS HELRTPLNSILILSQQLADNASNNLVPRQVDFARNIHSSGTDLLTLINDILDLSKIES GTVTVEAEDVPFASLRDAIERSFRHVAESKSLPFSVRLDDRLPRALWTDPKRLQQILK NLLSNAVKFTAQGHVEVRIGPAASGWSVDHRALGEAQQVVAFAVEDTGIGIPPEKQRL IFEAFQQADAGTARKYGGTGLGLAISRELAVLLGGEIRLASVHGEGSTFTLYLPIRYK APEAPPPPSPRAVAGLARFQPGPAAEDREVEIPDDRDGLAEGDPLLLIIEDDPNQAAA LLGVARDVGFKAIVATRGATGLALAKRYMPGAISLDMYLPDIPGWTVLNNLKFDPITR HIPVQILSVDDERRGGMPRGAFSYLVKSSTSEGVRSAFDRLKDFLAPRPRRLLVVEDD DVERGAILELLGGEDVELAAAATGGEAIEALRGGPFDCVVLDLRLPDMTGFDLLERLR EEPGLRDVPVVVFTGRDLTAEDRGRLDRMARGTVLKDVQSPERLLDETSLFLHRRVAG MPADKRAMLERLHGSGEILRGRKVLVVDDDARNIFALTSMLERYEMDVVAATNGRQAI DLIRATPGLSIVLMDIMMPEMDGYQTIAEIRKDERFRTLPILALTAKAMKGDREKCLD AGATDYIAKPVQTEQLLSLMRVWLFR OJF2_RS14930 MGRPWTFEQEFVVPAADIDRQGHVNNVVYLRYAQDAAVAHWEAA VPPEDRQGLAWVVRRHEIDYLRPAHEGDALVARTWIGEVGAASMDRLVEIRRAAGGEV LARVRTVWVAVDAGTMRPRRIAPGIRDRFLEPDEAPGGADPA OJF2_RS14935 MMLPFHRPLATIASAAIMTSLLAGSYPLGAQEGSSKSQTKKADA KAEPKTEKAQGRVAPPDPTHRVPPGYSKLSLSDEQKNAIYKIQAKYYPQIQKLEKQAD ELREKRDAECEAVLKPAQKKHLQELEQQRKDAAAAKKAAAARESADKAKS OJF2_RS14940 MAMDTKPPETSTNPPAKHRDEGIKIFSWPKMIYIFPSMFIALIC SVGMFAIREKSYDPSKPGQAVVTTDPSGEVVTTTQPGLTRLERFRTTQNLLGVLFLAV LAFNLLVMALDFPRFELLGWILFVLFVVFFVLWLGAYFELDLVRPIRALFESIYVFAN AGFYLAYAITIFFLMVIVYVTRWLDYWEVLPNEILHHHGPLSDLERFSTMNLKFDKEI PDVLEYALLGAGRLVLHVPNMERAVVMDNVLFINTKEEALKRLMSRLEVRVTSDKEVD DATG OJF2_RS14945 MLEPKGRYLSLTGPRRFIGDLVHFAHKVPSAPVSRTIDVSALMG PRARHPRRPSWACLFMKAYALVGAANPPLRRCLLEFPWPRLYEHPWMNCALAIERLYQ GEEGVFVGIFRAPERQSLVQIMDALLWYKNESLEKIGFYRQALRFSRVPTPVRRAFWW ATLNASGYKRCKRFGTFGLSTYGNLGAENMHPISPLTTTLTFGPIDRATGKVVVKLIY DHRVLDGAYIARRLGDIEAALGGPILEEVERDRDGIEAASPLGPPPNVGPLLKPHLSL PATDLVSRHEGDDAGRLA OJF2_RS14950 MAGTIATSGCNLRDSSYVAERRGERSVRSRGFLSSFFSSDQGRK RRHVTAPVVEACEDRISLSGFHGHHIVGNAALRSNSLAVTGKVQKNAARHHKQVPATS ALNGTMVAFGGAPVIQTAAAPVKQVPATSQLNGTIIAYGASPNVQPAVATAPASDPGA SSAPVKQVPATSQLNGTIIAYSNTPNGQSVAPPTTDPIQAPATQPVQQTPPPTVLNGT IIAY OJF2_RS14955 MEDACAGLPRARGDQPGFRRHRDRGRDPLRRSADLPPRAEADLP PGRGNDINAGKIPMLVAGDFADFVRVIRSRLPKTEIVFIGSSPAPVRWGQADKNRELN RLVREMALSMPRVTFVDAFDVPLGPDGQARPELFVEDRLHFSPEGYRLLADRVRPFLA D OJF2_RS14960 MTSVIVMMAALLPAQSGDAPKAGGSDKVFVGYLFGPVRDIDYKL YTHLCHAFATADGDGKLRPSRNVPSRELTTQAHAAGVKVLVSAGGWGWDKQFAAIVAS KEAEHRYVGDLLAMVRDYDYDGIDLDWEYPDADAEVPGFERLTRRLRAGVDAIGREKG RRMFITMAMSSNPGTIRWLSTEFLVETMDWINVMTYDYTGDWTEYAGHQSPLFASSKQ PGRPRSTAQTVEYMLNDRKVPADRIALGIPLYGRGFPVAEPYASTKGAPKVRLPGGSY RNLAELAAKGWTRVWDDETKNPWLLAPDRKAVIGYDDAESVELKAKWAREKGLRGVFF WQVHGDRMPDGTNPLQKAARKGLFGG OJF2_RS14965 MEFLVRVSVLSLGGILGVNARYWLGVLISRWAASHWATMAINVS GSFAIGFLSMALARWLPHPHARLAILTGFLGGYTTFSTFAFESVTLWERGEPATAMAN VAGSVVLGVLAAFLGIVLARDVLIAAAGSPTAGMSPAKVTIPTEELRLAMQTEGSPDL ELPPDILANGMGVDAAEEAEGRRA OJF2_RS14970 MIPSDAARLSLHVNASRRWRGKPTYRAVVEAARSLELAGASVFL VDLSFGAERTLRDALSEYASLDIPVVVEVVDGPGRIDALLRELDSMAPGGLAVVEPVR VVAYSHHANAGGPRRAAAPPATQRGIPSMSLDGKARRVTVYIGNADTWNGRNLAAAIV LRCRELGLAGATASLGVMGFGRHSIIHRASFLGLSSDVPEKVEIVDRPERIAEVLPVL EEMVDGGLIVVQDVRVVKHAHHPAPG OJF2_RS14975 MVFVHSLPGLIPRGALRGGIAVVIDVLRASTMIVHALAAGCREV IPCGEIEEARAAAAAMPPGTAILAGERHGVPIPGFDLGNSPGQCTAEACEGRAMIITT TNGTRAILASLEAEPVVVGSFVNFAATAQRLLHEEKPIHVVCAGTEGSISYEDTLLAG AFARHFKDLGHPMGNDEAEIAAGLWARVEESIWAGGETDRSRPRHGGEEPLVRYLTRG QGGRRVVELGLAADIADAARLNRPGFQVVAELRRDPLRIVARA OJF2_RS14980 MDKLVSLCKRRGIIFPSSEIYGGINGFWDYGPLGVELKRNIKEA WWRDNVQMRDDMVGLDCSIIMNPRVWEASGHVGGFSDPMVDCRVTKERYRADQLYVFA YLFPAVNQKGEPTEAWLAGLGSSPEEAGEGPEKKAAKLAKRFGKPADPPTVIPFMQVA EADRAKVIGPSTDEAGTLTEPRSFNLMFKTFVGALESDSNVAYLRPETAQGIFANFKN VVDTGRVKLPFGIAQVGKSFRNEINPRNFTFRSREFEQMEIEFFCRPDEAKEWYAYWR KARFQWYVDLGLRSDRLRLRDHDAEELAFYSTATADIEYSFPFGVSELEGIAHRGDYD LTQHQKFSGKDLSYFDEERKERFLPHVIEPSAGADRATLAFLCEAYTEDQVGGEARTV LKFHPRIAPVKAAVFPLVKRDGMPEKARAIHDDLRRQFAVFYDEKGAIGRRYRRQDEA GTPYCITVDSQSLADDTVTLRDRDSCRQWRVPSAGITSAIRDLLDGKAIPAGDAATAP AGEADGQQAG OJF2_RS14985 MKPCISLATTLSTPLEDDLPAIARCGWRSVELWLTKVESFLESR TPADLRARLDGEGLRAEAAAGQGGLLLSRGEERRAHWDHFARRLTILKELEVPLLIVA ADFVREVDAEDYGRAAASLAEAAALAGAAGIRLALEFQKSSGFCASLDTALAIVGEAG APNVGVCLDLFHYYTGPSKFEDLGYLSAENLAWVQACDVGGTPRELAGDGDRIFPGEG DFQIGPIIDHLGAIGYGGHVSLELLNPQLWQIPADRVADFGYQALTRVLGRWNDEPAP WGGA OJF2_RS14990 MSTVATMRPAATVFHEEQYFDWRVYAFIALVELAAGVGMFWLTR QWGPVASLLSRKGSVEFVVGLIPTVAMPFLLVFGLLRMTTVVTPTELRVWFGWVPVYR RAVQVSGIVRHQVVEYRPIADYGGWGVRAGRDGERVLNARGNRGVRLELADGTRLLIG SQRPEELAETIEAARRPDVV OJF2_RS14995 MTTRREILAAVGGAGLATAWGEASAAPARPAPEGEGDRDLYELR RYLIDGDEQKHGLDAYLRDAAIPALNRLGVSPVGVFYPEKDPKVVYVLSRHKSADSLL ACGQKLQADPEYLKAGAAFLDAPAEKPAYRRVESSVLHAFKGMPTIEPPPKSAGRILQ LRIYESPSVKTNLKKIEMFNDAGEIALFRKVGLHPVCFGQAIFGDKLPNLTYMLAFES HAELDANWKTFITSPEWQALKGRAEYADRAILSGITNIILTPAEYSQV OJF2_RS15000 MRSRAHFRSHPIHPILIAFPVAFTTGALVFDLFGWLLGSAGAWS TGAYMSVAAVITGLVAGVPGFVDYVSVVPPDSSAKKRATWHMAVNLTALAIFAASWIF RDRGSLEPGAGTILLEAVGCGLIMWGGWMGGTLVYRNQIGVDHRYAGAGKWSEVWVQG APGTAVEVANADELKPGQMKLVHAGDRRIVLVKTEDGYAACDDRCSHKGGSLAGGVAA CGHVVCPWHGSTFDVRTGAVKAGPAKEPIAVHRVEEKGGKVLLTLA OJF2_RS15005 MLVIPTGTDAPIYHWPYATVGMIVLNVALLFLVPPPSQSILELD LDDEPAAEKVEEIPTFNRYALELGTRRLVPVQWVTHNFLHYGFLHLAGNMLFLWAFGI VVEGKLGLIKFVLAYLAIGTLHGAAVQTLLMRSGAEGHAAGASAIIYGLLAVCMIWAP RNELNCIAIFMIGFRTLVFHWDLYYTTVALIYIGEQVLNLVLRGVVSGDAIVSEIGHL SGAFWGTVVGIVLLKTGLVDCEDWDVFSIWRRYQKLGRDWKKRGEMLDRRDESPAHMR QRSGGKRKPWTEAASRHDAPAGASSGSSQAPEERAAVALRKVRRLIDEADYEAAVAAY AKAASGLRGWPPQPELYAFIKAMHARQAEVESVPLMRDHCRRYPAESAKMRLKLAQVL MRQCQRPAAAIRILDEAPAGTIPADLEPVLAKLRRQAEALREEGVLELEGDD OJF2_RS15010 MASTIAVPTADRRVQSWPILLIAVILATTTAVVALERGRVAAIA ARGAEPPEDASVTLLQHMAFTTMVALFAWHQRRVLRFLLGTMARNWLVGAEIVLLVAI VYGAFSSFGVPELFWDDPRLTVAISGFGASAFLALAWYAIYLLDVSRPSRSRRRRLDW DQLEPVMIASGIPSLLRMSTADMSPRRQLGCCLAAAMMPGLFLLALPAFLPAIRPGGE LRVVEWPWLVGLAVGAFLPYVLLATRPVRFVALQLRRLWDPSAPRPDVGEVILRPGVL LWALGLVYVASLVVDRLQPRLIVPAALICLMLSMIAVSAAFFFSIRSRQTRALVLLLV PAALALNGVQTYDTCYRGLEDYYPRDPLCMALGLPSSFSTGEGSPVNLVEFQEKHGGR ELREQAVESRTRILDAWKGRLATGRPNADGGGDARGTTDKPILVAVATCGGALRAGLW TAAVLDAISRAIPEFPEHVRFVTGASGGMVGAAAFVTALHERRLAAGTPAAADAGVPI MECFAGDYLSPIARQLILRDVPMFIFPWRQRYDRGHALEDALTGDGRLACLGRTFGEL LGAEQEGSIPSIVFSPMLVEDGRRLLISNLDLADVAVLDGGNALYEDPREIVEIIEAK TSSELARRDRLEFRRISAISAVELFRLFPRARDRFRVVTAVRMNATFPFVTPAGVLPT VSPRQVVDAGYYDNYGVDLATALLFSHRDWIARNTSGVLLVQIRAFRNEKQLKVLDQP ILQEGLVTTATNVMNVLWRGLRWVTSPVAGLAEARSAVMRFRNDGQIHVLGRYFQDKV PDDPEFFKTVIFTCDTAIGSTDEQQLETLNWHLPAEEVGQIKSNMTTRDQNRLRLDLV GKWWRQRSGESRKAAAR OJF2_RS15015 MQSHELDYERPEAGEVLRELHAAKSNPERLRRAVIDAESLDFSP DEARELIGLLRHFISTYRHSTEPIDITVVGSAIRTLIAIIPIDQLDCIVAELLDDAAQ PSLRVDITVAKMIVRKLVANPTACPDPYDILKRFLWGLEAKYLDDRTIETRGHGAVAM DTVLALALVGTPDFSVILDRLRSFDAAWFRQLVAREATRLATDFSRSSYHASCSRIIR SLNELAVAAVPTAAV OJF2_RS15020 MPIRELDVIGIDSEEATNFVRHLGLADEAGELPEDSASLRIIHM GPPLERAITRAPIRCVGTLPVSRVEILLIREFVARLEDEIEAANLRRPVDQYCIVPHV ERDQIVGGRTVRFRRFNCGGFVLEAYRSASIQLLDTDEEALPQVSLALLKKQYPDLAT ALDRPAIRKRMGLVGQGPWPVVLCGYVLNALDRTEAEIRARPYKAEEGDELFPPRRTP STSY OJF2_RS15025 MSWVIYAGLSALFAGLTAVLAKIGVDQVPSNVATLVRTVVILAF AAGIVAATGQMPALRSISGRSWLALVLSGVATGCSWLFYFAALKAGPISGVAPIDKLS FVIAMALGVLVLGEAVKPLTLAGAGLILCGVLLTLPAVQEALGRVF OJF2_RS15030 MRRLVDRLRYLGPAAGFLSLLRLTAAAWAGDAPKGEVMKHRFET SRIFPGTVRDYWVYVPSQYDPARPACLYVNQDGIQYDAPAVFDRLIAEKAMPVTIGVF VMPGRVEAPSPGALDRFNRSYEYDGLGDGYARFLLEELLPVVETLRTADGRPIRLSKD GNDRAIGGSSSGAACAFTAAWERPDSFRRVFSAIGTYVGLRGANAYPTLVRKVEPKPL RIFLQDGSNDLNIFGGDWWMANQEMERALAFAGYEVHHAWGAGGHDHRHADVVFPDAM RWLWKDWPAPVKAGAGSPQLQAILIPGEDWQLVGDGYRFTEGPAVTAAGEVFFNDPGA GKSYRIGPDGEPAVFLEDSSGADGQAFGPDGRLVSALAKTGQVVARDVSGSQPPRILA EGFPPNDLVVRHDGGVYVTSPAADPREPGKVWYIGPSGGKRVVDSGLRFPNGVTLSPD QSLLYVADTRSHWVYSYQVQPDGGLAHKQKYFHLHAPDTADDAGADGLRADREGRVYV ATRMGIQVCDQAGRVNAIIPTPNGKVSNLTFGGPAFDVLHATCGDRVYRRRVRARGAN AYDAPIKPAPPRL OJF2_RS15035 MTAPMLVSIQVGRPRELDADLPRGPGQGPWTSGFLKSPAAGPVL ARATNLDGDGQADLENHGGPDKAVCVYSADHLDYWIGRLGLPAMPFGAFGENFSLRGL AEPQVCIGDVWEVGSAVFQVSQPRQPCWKLARRWRVKTLAAQVQQTGFIGWYFRLLAE GVVEAGQPMRLADRPCPEWTVERANRVMHIDRDDHRLAAELAALPPLAASWRRQLGDR AATAAAGPA OJF2_RS15040 MQLRPFLSSLALALVFRAAAADGQEPSKARAEPVLAVKGEVARP LQLRTADLAGMPRKAVRAKDHDGREAVFEGVLLGDVLRKAGVAGGVALRGEMLSYYLL VQADDGYRAVFALPELDPEFTDEVVLLADRRDGKALDDREGPFRIVAPGEKRQARWVR RVASLEVRHSQADLRRKADAPPTSR OJF2_RS15045 MRSKGVIGGPLVHDGNPRWGGGEHFRGLLGGAGSASPCLEGAAP AADRDSAQEELRQARERIAGLMKAQDEKERTIIELTRLASTDELTGLCNRRHFCTEIE LAFEAAVLGRRPLSTVMLDVDHFKSYNDVFGHAAGDLVLRVVGEILVKATRPGDMVAR YGGEEFAVLLPGTDAPAARTFAERVRASLESYPWTLRPVSASLGVATLDADTRDADEL VDESDRALYRAKRDGRNRVIHYHDLEAAEGDDAAGPAAGPGPSRPDGGAVPPGSAPAD QSQAAVELPVEPGTCRETAWDVVDRLLHELREDRVAEEPVDVALGAICDGTGADVAFV YASDSGEVTQILSGLEVSPLWCRDVARRLLADYPGGGIARRSDVVWRRRRMEGPIPDT IAVAPVDPRRNRWVFALNYNVARPLQPADLKVISVIWRLQHEHARHVSVHDHLKQTLF GVIRCLTTAIDAKDPYTCGHSERVARISVRIGEEMGLSRGELSDLYLAGLLHDVGKIG IRDSVLLKSGPLLREEYLHIKEHPVTGDRIISNIKRLSYLRPGVRNHHERYDGQGYPD GLAGEAIPLMARIITAADSFDAMMSLRRYRPALSPQRIEAEFRAGAGLQWDPAIVEHL LSCRPSLHEVCQRGLGQSVYVAVERAARPDLELGRDGHSLCG OJF2_RS15050 MAEKTPRRRWSIHPRVGSPGGYASAPDDDLSQAFEVDPPAPAAQ LTPPVVTSPDECLLEASLHADFRVSSGSGADSSPGGRPAAPSRRMPGLPGYELLSELG RGGMGVVYKAREVRLNRLVAVKMILAGHYSGADSVARLLAEAETVARLDHPNVVQIYA IGDHEGRPFVALEYVGGGSLGSILGGTPWPAGRSAELTETLARAIQAAHDRAIVHRDL KPANILMTPEGIPKISDFGLAKTLDGPSDLTAAESIVGSPSYMAPEQADGRAREAGPA ADVYSLGAILYELLTGRPPFRGASVLETLEMVKGSDPVPPSRLVPGLPRDVETICLKC LQKEPSRRYATAGELADDLGRYRRDEPIRARPAGAWERAWKWTRRKPAMAALALVSVV AVAAAAAGGLAYREEIRGRAEAARHRAQRLRGRAESHLALGRAARDRGDWPAARAQLS SALALVQSEPSLAELLPPAQRLFDEAGERIAEAGDREAAQARGRALRRLHDEAVFYQS QYTGLDPDANLESVRRAARQALAQLWPQGPPYLPSALDSWRVGDDERARLLAEAYEVL LLLAEALGQPASGEGPAEQARLGLAALDQAARLRTPTRAYHALRAASLERLGLRDAAR VEHRLARDSGAPASAQDEFLLGEQSYRRGDFLPAVDHFKKVLSMDPGHFWAGYLMALC FLKTHRPAEAQAALIACQARRPDFVWIYLLKGFAEGEMREFELAEADFRRAQEIRGGD DARYVLLVNRGVMRLRKKEAAAAIEDLQAAIALKPGGYQAYLDLAQAQARIGRADQAL ATLDRAIRATPDGAVLYRVRGQIEGQLGRDDDAMADFDRAIRHTPPDDPQLAEPLLER GRVAHRRRRPEAALADFDRALAIRPDLATAQRLRGAVLMELRRYDEAVRSFDACLARG GPTASLYEARGLAQTWRGRYDEALADFSLAARAGGRTASLCVNRGWAHLFSGEPRLAE ADFDEAIRLDAGHADARCGRGLARAQLRRGGEAIADARAVLDSGPADPRLIYNAVRIY CLVAADIQSTPARISDREFRMMKRCRDEALAWMLKAMGLIPAGERGRFWRDVVSRDPT LDAIRSRPAFRELQGRYAPRDGEAPPAGGGGPPP OJF2_RS15055 MALSWGPWAPGAPDPLPTDPTVQVAGSLDRARDAQAYLFTVSQD GLLTADVHAEGMDTQLSLLDRDRNPIIQSQAASPSNRDDRISQHLPAGTYYLDVRTTD GGAGNYTLSTRFIATTSSLTSLPAGSGPVAIESRDLDGDGYPDLAVADNYGNAVLVYL NAGDGSFRPAASLPVGFGPGAIASADLNRDGIPDLVTANQFSDDLSVLLGRGDGTFQD AREVPAGSFPTAIAAADFNGDGIPDLAVANMADDDASIFLGTGDGGFRPGPTLATGRA PDALAAVDFDRDGRMDLVVANHDGGYLSIFLGRGDGTFAPGRSWAAPGAISSLAAGDF NRDGIPDLAAACDGSDGVAVLLGRGDGTFRASDRQSTGSIPYDVVPADLDRDGILDLC TANCGDGTVSVFRGRGDGTFVAMGNLRVGNGAQGLAAADLSGDGRVDLATADLISRTA TVLAGNGDGTFQAGGHPPRPVNPSAVVRADFNGDGVPDLALADGSRDAVEVMLGRGDG SFRAPISVDCGRGPFDLAAGDLDGDGIPDLAVATYLSNQVAILRGRGDGTFSPIGRMA AGDWPCYLAIADLDGDGRPDVIAANWASNDLSVFLGRGDGTFRDQVVYATGATPDGVL VADVNGDGRPDVVTPNTGSDDVSVLLGRGDGSLAGQVRWAAGPGPWSVAAGDFNEDGR VDLAVSDYTSAAPSRVSVLLGRGDGGFLPPSAVPAGSSPYPIAVGDFNRDGHQDLLVG NDGSNDLSLLLGRGDGTFRPGARLAAGDGPDAMATGDFNGDGLLDAVVANYRSGDVAF LAGNGDGTFRSPTVQGVGPERMLMASADFNGDGLLDVAVLDSGAGTVTIRLNQGEGVF RDLPATPAGDEPSAILVADLNRDGRPDLVVADAGSGNLSILLGLGDGTFASERRVAVG PRPTSLVTGDFDGAGRVEIAVAHAGTDRITLLGSNGDGTFFPWRELVVGAEPVALVAA DLNGDGVLDLATANRSSADLSVLLGDGRGGFVQTRIGLPGAGPTGLVAFGQGPGRPPL LVVQDDPGRRAWTVQLAFQGGGVVGDPHWYPTPGEPGAYAQADFNGDGLPDLIGGTRG SSLLQRYIARPDGQTVTEEPFDAGQSVDGLLAGDFNNDGILDLAVGSLADGTVRVWLG VGDAQFVAPVSASPTQGRKLWLGDLNGDGAVDALELDGTGHAAMRLGRAGSPGQFGAP QPITPIYPGAVRDFTVLASSRGVRTAILPVQGPGLVVVTWNMDGTSSYDLVPLDPALM ASRVIAGDLDGDGREDLVVLARATGQALVLFQGADGHFTRVQWTIDVGASPSDAVIVR APGAAFPEIVVADEGSGDVTVVRCLALRAFAPPVRLSAGLSPAGLSWDGGRYQRSSPD QPTTLVAGDFNGDGIPGLVVLDRGSNRICILPGLDGGRFADPTLEQSYQAGFDPIKLV AGAFDADGDSDLAILNRGSRDLLILLNDGSGRFVTGQRIPVGNRPNDVVSRDINGDGK PDLLVSNDSGDLLILLGRGDGTFAPYQRADQVVKLAVGDIDGNGRPTFVLTNEARDEL VVTTPQAGQTFLQGRGEGLLAPSDVKIADLNGDGLSDLLVANSGGNELLIYLGIGGGA FASPLRVFAGTNPVEIQVGGVDAHGRRDLFVTNSGSNDVSVFITYVDEAGFQVEQGPR LAAGLSPVSTTVAEVEGDDRPDLLVVNQGGDAVSLLLGEGGGFFTDKAARVYPSGEGP IRAYVGQFVGGPGNDLVIVNSVSSTLTVYSDFLSPGAEPITVPTGGLDPIAAVAGDYN GDGFLDLVVANNGDSRISLLNGGASGIALADSFVLGASGRPTGVVVGGKGGDFRFYVS TEGSNSVLDVTYLLELHAPAPSQSAWAAVQAPGGERPVASIESAPAVWSIGSGANPAS PSTSQSLAAIILAGTPGPSWAAGSFLAGLIGTLQVLPPMAGNSLASTVTGLLAVNWEE TSSVLPLQDQSMPAVAVLLSSAAEPEAPAVAEPGRHVGPAAEPPAGGAGAESGADRVG EGPSPETILNRYVSGVDDSLDRAGRRLNEEALTAPDVVGPERGEQPAPAPAGDESGWL PPGCPTLPMPARLLPAEPSDDPGEAGRLSDAGRREPRPATPLLGAAAAVLAGIGAFRV RAPAAWARRTNRRGRRPVA OJF2_RS15060 MGGRPRRRPRAPGVCVGIDLAGVAHRETGVAVLRAGRLELLTSA GTDEEILDLARLAGRWGTIAVNAPLTRPLGRCCLDDDCRCRTDPGTRSRQLERELARM GVPALATALIKVLARRGATIAAALREMGHEPLEVYPFATLRLLGLPWRGKKTAAGRRK IYRALRPLVPGLRHPRASEHQLDAVVCALTAQLWRQCRTRTVGIPEEGLMTIPLVLDP AGSALPRRGRRP OJF2_RS15065 MERWLKRGMGQAESAEADAKVRETVERILEDVSRRGDDAVRELS IRFDGWDRRDYRLSDAEIEACLGRLGRREVEDIAFAQEQVRNFARHQREAMRDVEVET LPGVVLGHRNIPVGSAGCYVPGGKYPLLASAHMSVITARVAGVPRVITCAPPYRGEPA AAIVAAQHMAGADEIYCLGGVQAVAAMALGTQSIAPVDMLVGPGNAYVAEAKRQLFGR VGIDLLAGPTETLVIADESADAELCATDLLGQAEHGPDSPAVLLTTSEALARGTIAEV ERLLGILPTAPIARQAWERHGAVCVAGDDAEMARIADGIASEHVQVMTRDPDYFLRHM RNYGALFLGPRTNVAYGDKVIGTNHTLPTRRAARYTGGLWVGKFLKTCTYQRVLTDEA SALVGGYCSRLCALEGFVGHAEQANLRVRRYGGRDVPYGGVVGAGGGIPD OJF2_RS15070 MAEPGIRPETLQFKPNGRVPNSRFPVLLYRGGVSQAPGAGGDLA DAIEATFRRNDWLNNWRELGVYDYYHYHSTTHEALGMARGRITLRLGGEGGTVVELTA GDVLVLPAGTSHNRLACSSDSWMVGGYPEGRDWDLIRDDQVTEAEFRQAIKLIGSLPI PARDPVTGGPMTPWRDAPRTYGIPF OJF2_RS15075 MTTISADTADSAVAPPTPLELPAARVASVDVLRGLTIFLMVFVN DLGDGSPAWMRHIHPPDADGMTLADVVFPMFLFIVGVSIPLAFERAREAGIPLPARLW HIATRTASLLLMGVMTEEYQQETTLGPRTWGLLGFTALLLAWCSLPREPGTRRKVFAW LKGIGIAGLVVLLAIYRQKPSSTSLAFYGRVENWTWMRAGWWGILGLIGWSYLAASLI VLLVGRRREWLMGAMALCMTMHLAMNHGGLFSHVDSKAWLAPARGAIDLVANVVNAVG DYASLGDSFGSLAAVVMAGVLLGSILRRDSDVATPRDRVSWAATFAAGLFLAGLLTDN FEGINKIAATPTWCFWCTAIACATWILLYVIIDVARFRAWAHLFAFAGANPLVAYFLH PILTFLLGIVGLGVVHSYTGSSDPNVAVAGSLAMAATVCALTGLLSRLGLRVRL OJF2_RS15080 MQSDGEIVRAVLRGQREAFAALVIRHERAVWATAWRVLRDGHAA SDAGQEAFLMAFRRLRDLRDPDQFGAWLLRIAGREAARLARRRAREPGRSQSLDDCGI EAPAGLSEGSEELLEALARLPDHERVVVSLRYLDGLPVAEVAAALGRPVGTVTKQLSR AIERLKAHVNRGVTR OJF2_RS15085 MTNDELEAGFDRLREEWPAGSMVGEVMARIDADPLRRRRPRMGL VAGAAAMGLAASLLLAALIILSQPKDLLAAVQGDLKNAQAAHLVTTVWDDREQEAGRF EIWYRRGEGLRAELPGQVIVEDGSTQWSWQTGPPEGGRVVLRQRSPGFFTTMLPGMLS LSEVREGKPVRAQELDRPVDGHACQASIVEIHAVGPPARGIVLAEPGGRIREIKLERR RGDGAWHREREIRIEYDVPIPAEKLASRLPEGARVIDRDGAFDSLYPFDRALHRVELG GLILAVHDIQPLVDREGFYVVSSVRGTPEFLRQYPPRRRPVNWEVVAIDVAYQPMGNG MMGGKYDRIVLGTAARNGVEFCWWLLIPRKFFMVKDGKREYQPQDDVSAMPGEPGRLD DLPGKARVRLGAIYWDETHRDARGVQQEVSTWAEVPLPPDRPPATIEHVAARARRDML AMGAGGAGSLLGIAADSKPDGNTLRPTSHFAPESVTDGDFAAAVRRGLDDLRNQDEVR PIGSDDILPPAGGDRPRQSD OJF2_RS15090 MPTVATEPPCRAALEAPGRNVANGPRRLAAWLLLLVAGLPGKAK AAGGPEDYLTWVKPLLQSRCYACHGSLKQKGGLRLDTVELMLRGGDSGAAVVRGKAEE SPILERVSAGDPSERMPPEHEGEPLTPDQVATLRSWIRAGAPAPAAEKAEADPRDHWA FRPIVRPSVPRVRRAGWADNPIDAFVARRHEELGLEANPEASRAELLRRLSIDLIGLP PTPEEIAAFQGDRSPGWYERAADRLLGDPRHGERWARHWMDIWRYSDWWGLGDQLRNS QKHIWHWRDWIVESLNADRSYAEMVRLMLAADELRPDDLGALRATGYLARNYFLFNRN QWMDETVEHVGKGFLGLTLNCAKCHDHKYDPIAQVDYYRMRAIFEPYHVRVDVVPGEP DLGRDGIPRAYDGLLDAPTYRFLRGEENRPDKSAPVGPAIPAMLAFAPLKPVPIDLPA TAWQPERRGWVADAHRGAALAKIAGAERGLAAARAKLAAAGQGPARVEIEREMRAADS LLAAARAERDGVDRRAEAMRADSAEARRRAALAEREQAAARARADLAEAELRLHPAAA GEKDAALKAVASAKEALGKAEKAARDPGEAYTRLVGAQWVPTRFSDSNKDDPTVAFPA RSTGRRTALAGWITDRRNPLTARVAANHIWARHFGTPLVATVFDFGRKGSPPSHPELL DWLAAELVESGWSMKHLHRLIVGSATYRLSSSASSASAEDAAVAKDPDNAQLWRRTPT RLESQVVRDSILALAGTLDPAMGGPPVPTADQAASTRRSLYFFHSNNERNPFLTTFDE ALVKECYRREQSIVPQQALALTNSRLVLDASRPIASRLGARLAAIGGPTDDRAFVRLA FVTLLGAEPTEAEEAAMVRSLGEWARLPDAGQGGDAGAGARADLVWVLLNHNDFVTLR OJF2_RS15095 MSDHDETSACCAGPPTRRAFLSGFATLALGAMLHRDGFGADGDW APPDGRPHFTPRAKSVIWLFMNGGVSHMESFDPKPMLTRYAGKTIAETPFADVQNPKR LAVERLVVPDANGNQRNVLYPLQVGFRKHGEAGIEVSDWFPHLARQVDRLAIVRSMWT TDSNHGAQTQFHSGRHQNDGDFPTLGAWVHYGLGSLNDDLPQFISFGTREYWNKKDGH YLGPAHDAVPLRVDPENPLDFGQPERPVSARAKSVGVGLLGELNRLRAAQSPRDAALA ARIASYELAFRMQRSVPEAVDFRQESEETKALYGLHLPHCRDFGMQMLAARRLVERGV RFIQVQHGGGGAGAWDAHSGLRGNHAQNALAVDRPVAGLLQDLARRGLLEETLVVFAT EFGRTPGSQGSDGRDHHIFGFSVWMAGGGIKGGVVHGATDEIGFHAVESRHYVTDIHA TILKQLGLDSRRLEIPGRKRLDIDHGRPIDAILA OJF2_RS15100 MSDAGRLETLYSAGTSTGLSDGELLRLFLDRRERADRASRAAEA AFEVLVRRHGPMVLGVCRRYLDDPSDVDDAFQAIFLVLFRRAGAIRTGDSLGPWLHGV SRRIAARARAVAHRRNAREASCPVEPATDPAAEDRRREARETIDEELDRLPARYRNPI ILCHLEGLTYQEAARRLGCPVGTVGVRLSRGREMLRARLSRRGAFLAAGPWAVGGDPA SGVCPVSPSLVSATVRTVTRGDGGSTAVSTSITFLSEGFLRTMFTRRLGIAALALFPV GLLLRGGGLVLGQASARRGQRSATSPPGPATQSPAPRETDGGRTQRIRELLYTFRTYR VFSRDEEWARTVRELAGIGKDAVPELVAELDRTDRDGTLRALGFTLRAIEDPRSVPAL IRAIPRTLRPPGSDCGVRILDPELLTFMKTHQDYKSDKADYVSCGRPVNEILTALERI TGHAEFLDSTGKDVRDIFLDGTPEQQASQRTSFDQCRQRWEAWWMAHRGEFVTPEELR SVELPGRKEDLVEIAGLHRYGPLFPTGPDVRLGPVRMLRLSPVEYADAKSQIDFDTGR TFAVYEGMKAANRDDPKAFILRSIAEDRRHGIDARCQGLNIEGFDLHPWQVDNGRWGT IEEEIRRGGPLPLGREARSTLTASGGTATFLFTTREGGRGIVQVFSKDQDADQWRIRY RMWMTSDADPAARAVDGPAHAAPSRTPFGSIVTTTLEPPGSGRECSLNFRAGRKAAPP ASLQPSELANVFSLTQAERFRRWCRDESIDLFCYELPGQPGALAGPAEEEQAPALRTR QPRAELLGMEMAARRIRPRSFEELTVEETRELLGRVPKEEPRIAWISAAPDLTEHPDT FAFQTREGSVGLLQMQADAKAPGKLTIRYRLQAHAERSDRDVTGRAPAEGLP OJF2_RS15105 MPTINQLVRKPRRPVAYKTKAPVLEACPFKRGVCLQVKTMTPKK PNSALRKVARVRLSNSKEITAYIGGEGHNLQEHSIVLVRGGRVRDLPGVRYHIVRGVL DCQGVADRKQARSKYGAQKKKAAAPAKKK OJF2_RS15110 MARKFTASKTHLRPDPRYGSKLAGKFINCVMHNGKKSVAQRIFY DAMELIQKRLPNEQPIDVFTRAVENVKPVIEVRSKRVGGATYQVPMQVNKTRQQTLAI RWILMAAREKKGRPMSIKLADELVAAFNREGAAITRRENVHRMADANKAFAHFAW OJF2_RS15115 MDQEPLHVLWIEPSFPGRLGAMADWLVRRRGYRGWFFCHTAEPR EDWPASAGQGLEVQTFGVGGVAREASVTWSRTLERSLCYSYGCWEVLESRRPRPVDLI VGRSAMLGSSLFAPVYAPSPPRVGFFDYYVHARANDLADEDAAGAPAAYSRWRRSVNA IDLLDLESADLPWTPTRWQRHLYPREYRDPMWVQHDGVATPRDPSAVLDARAGQPRRT LAGRTLPEGTRVVSFAARTLDRLRGFDRFWTLANAILRARRDVVCAIVGGPVVERGLD VHHHGKDYAAGLRAEAPAVDPERTWFLGRCSRATTAEVLSASDLHIAPGRPYPVARSL LEAMGRGCVVLASDTAPHREVITPGRDGLLADPGDPDSMLAQALAVLDDPDGHRPLGE AAAETVRSRYSREACLPRIAERFAELAASRRRG OJF2_RS15120 MHVLFLHDAFPAQFGRLGLELTRRHGWRCSYLVQQLSSCPTPSR EMLESLEIHQLPLSADHRSSEGIPWPQIFGAYLEQCATVAEALRARPGLRPDLVVAHG GRGAPTLFLRDHVDAPLVVYCEYYFATSHRDISYRIDLPPAEPAPFFPRCINAPTLAA LVDCDAGYSATRWQRDSFPDRFRPKIEPLFDGIDTELYRPGPPPQTLPIGGKDVAIPS ETKVVTFVSRGLESIRGFDLFMEVASRILRERSDVLFVVVGGEEIHYGWDRLHTGSPS FKQWVLGRGDYDLSRFLFTGRILPEHLAEILRRSDLHIYLTAPFVVSWSLFNAMATGL PVLASDVAPVREVVESGVNGLLEPLFDVDRLAATALEVLARPADFEGIRRQARRTIEE RYSLDACIPPIKGFLERVASGRGAG OJF2_RS15125 MPKLPTRCTGRRPTGPASSGSAVFAATCIVLLWATSLRAAGPGP PTKASIQFNRDVRPILSENCYSCHGPDRNRRKAKLRLDERASALASKAIVPGKPGESA AVERIFSDDAELVMPPPSSHKALTASQKEALKAWIASGAEYQAHWAYVPPERPPVPSV RDRSRVRNPIDAFIQASLESKGIAPSPEADRRTLIRRLSLDLIGLPPTPEEVRDFERD TDPRAYEKVVDRLLRSPHYGERMAVPWLDMARFADTVGYHGDQGQRVFPYRDYVIDSF NRNKPFNVFTLEQLAGDLLPDQTPEQLVATCFNRLNMMTREGGAQPGEYLAKYAADRV RTVSITWLGSTMGCAECHDHKYDPFTQRDFYSLAAFFADLKQWGVYQDYDYTPNPELK GWSNDHPFPPEVVVESPYLRGRIARLRESIRKACADAMPRQEAEFERWLRAAAPFVEE HPTGWLTPRASVEKGTARVLDDGSLLLAAAAPAKEPLTIAMKPGPGRVARIRVEALPL ASHKGMITRDGSESEKVNIRATVRGRSGEHRVEFFLAEAEAYEPRYFNGDEVPGVLDG WQTSKALKDRPQAAIWCLETPADLDADDELRVSLSTDHAGCVRLSISPFGFDAGGRNE LDQGQRRAIADSAQGSARGPEVAELFGMSQGSRGPAWAALKALATGVLECDAGKAHCM VAQAAPPRTVRVLPRGNWQDESGPVVEPAVPHFLPGARAPAASPGGPRLSRLDLARWI TSPENPLTARVFVNRVWKQLFGTGISAQVEDVGAQGEWPVHPELLDWLAVEFREGGWD VKRLVKLVVMSDAYRRESRARADLRDADPNNRLLACQSPRRLEAEFVRDNALAIAGLL NPDLGGPSVFPYQPAGYYANLQFPDREYVASPDDRQYRRGVYMHWQRTFLQPMLANFD APSREECTATRNVANTPQQALTVLNDPTFVEAARAFAQGIIGGRPGEGSMSDADRINR IYSRALARPPRDRERESLLAFLAAQRAYFGSRPASAEELLGVGLAPRPKAIAPAELAS WTAVCRVVLGLHETITRY OJF2_RS15130 MNTPSDLREWRAALRRRAFLKNSVYGLGGMALATLLDAGLISGP GAARAADAAKPADRWRGVVNPPHRPVKARRVIHLCMAGGPSQFESFDHKPRLAALDGK PFPESFTKGQQLAQLQNMTLTARGPTCGFRRHGRSGQEISDLFPHIAGIADRMCIIRS MTTEQINHDPAHAFMNSGSILKNRPSMGSWLLYGLGAETDELPGFVVFTSAGATGQQP VSARQWSAGLLPSKFQGIQFQSRGDAVHYIASPPGIDREHQRESVAEINRLNAMLAGE TSDPEIHTRIAQFELAFRMQASIPELTDFGGEPKGILDMYGIKHPGDGSFASNCLMAR RLAERGVRMIQLYHRAWDHHGDIAHAMPTAAREVDQACAALVKDLEQRGMLDDTLVLW GGEFGRTPMGQGSGRDHHILGFSLWMAGGGIKGGVTYGATDELGYRAVEDVVHVRDLH ATILDLCGIDHARLSYKFQGLDVRLTGVEPARVIRDILA OJF2_RS15135 MRIAVILHERHDTWAQQLRTRLADRPVRWYQTRSAADLEAAVAG LSCAVVLIDLGRNLTEGLADLARLRDLGTSAKVLVLDPDAVPGVPLLARELGATHVLS GFAAPPVVSDLLDTWVAAASRQADREGWSRRLEPGTPTDAESWIDAVIHDLAPGADGL LA OJF2_RS15140 MFGKTKPTLSERDVLDALKGVKDPDLGRDLVDLGMIKDVRIGDG TVALTVNLTTPACPLKGQIERDVRNALQARLPGEWNLTVNMTAEVRGKGSAESGDIPG IKNVIAVGSGKGGVGKSTMAASIAFGLKSYGASVGLMDADVYGPSIPHLVGANARPQA IGERIQPIEAAGLKLMSMGFLLEPERAVIMRGPMLHGIINQFLRQVDWGSLDYLVIDL PPGTGDVPLTLAQTLPLTGAVVVCTPQEVALLDATRAISMFRQLRVPVLGMIENMAFF DVLAYLKDRGGPEARKLAEGRNFFDLPGDERAYLFGRGGARQRAREMDVPFLGEVPLN LYLRETGDAGKMDSALQPGSPSRPYLLGVVEQLAAQISIQNERKAQEAAKARLPKLEI LN OJF2_RS15145 MSIKQGLFALVVAVPLFAAAPRSEAQVVTGGYIGIGQPFGGVVT PPLLTPAPLVTPVVPYAAPYNTVVVRRPVYATPYVGPGWGYGPRYYGGYGPRHYGYGP YRRGFGRGWW OJF2_RS15150 MAKAKEGGEVVREDRWAAAVRHLRRVDPRFREVVKRVGPCRLEP RPDRFGTLVRAIVAQQISTKAAESINARLHLLGGDPHLPDRLLALGEEQLRGVGLSAS KARYVLNLAEAVATGAVPVDAFDDSWDDASIVASLTSIKGIGVWTAEMFLIFSLNRPD VLPVHDLGVRAGLRDHHGLEDLPKPGDCHALAEAWRPYRTVASWYLWRSRDTRRGPGS PRPAKRADAPPS OJF2_RS15155 MIRFSELAGQLTAETAFTVLAMARALKARGKDVVELEIGDSPFP TTPHASAAGIRAIEENQTGYCPSMGLPQFREAAARSVTDEFGYPASAENVVVASGAKP FEQYFAEAFLDPGDGVLVFSPQFPTYVPNIARRGARPLLVPLRVEDAFRPRAEDVRRF LETDPRPRAVFLNSPHNPTGGVATAEDLAAIADVVRGTDLMVFSDEPYCHMVWSGRHT SILSQPGMFEHAVAAYTFSKSYSMSGWRIGFAVAHPEVAEMIGKLINTTASCSPPLAQ WAARAALERDRETRDEYMGRFRAKVERLCQGLKRVEGLGVSMPAGTFYVFPDVRRICN RLGITSHGLALYLLEGADDAFGVACLGGECFGDAGAGFLRFSCAEPDDRIDRAVAFLP EALTRTDRVRRYLGENPKFALREPYPVG OJF2_RS15160 MNPYSNDADDFYVNVHLNTEMELPTNRDTVLHFFEQLKKGFPDL RNFHTRENGDLVLEGDKEKASYRWVSIEPRRLCSGHTNPESMEEAYRQHELLLDLAPP LMTISLLDCEALDVMYGFDFTYEGNHDEVVTEALGVGSSLEGLLELPRSRVINFEPSI TLALDESCQLQCRLSIETRTNAFQVRSNDFADDQLSVYFTVRQYWGSGPDRSFVESFH RQKELGEEILRRSVIPRVVRPLAQVIASR OJF2_RS15165 MVPDYYARLGVDPAATESELEAALRKRQPAWSMGTRNPKTRHTN QLYLDEVPALRRALLSGPGARAAYDADLAAARLAEREEKLDQLQRRIRLKSAKGGLSA DDRQLLREEARRLGLDDAVVDPLTRSIPDLARPFRAEDALEEDEAAADVLDPSTRRQI RAALEHLGRRDLYDALELPREAPSSILAARADEERQRWMRKAQVTAEKTAWLEVISHA QSHLTSPKARARYDRTLILESEERFDEVVAFALNGLPRLDGGTRAALVAEAAALCLGP DRAERLLSRACRKLGVARDDGAVSPLVASPGPPATASEADRYPQVRCRSCSGLTELSP TARRTGTARCRHCGASLRWDCPACRRQHWLDRARCDCGFPLALREPLVRRLAAAQQAF RDRDLATARENLEQVRRYAPQHPGARNGLEKIREREATIEQVRLACELAMTGRRLVAA RRAADSWRRIDDPARPEIRAAWEEIASGLRKAESLAARGRALERVDPPAARAFYRRSL EAAADLPAALTGLERCPPEGPTNLRAQTVGDRVRLSWTPPAPDGGGPLTFAILRKRGG IPEHPGDGTRIAEVSTCEYDDRHVRPGESVGYAVLGKRGEAESLAAVAVGPVVYLPDV EDVRVEPRESEVELSWIPPAGVFEVRVVRKAGSPPEDPRDGERVPAALDHAIDGRPPG GQVVHYGIYAIYRAAEGRRYPSPGVLATAGVVQAVPPAEAPRLSRGPGPSIRIDWAAP ARGSVRILRTSRPLPFPPGARIRVEEAEPLGSWVPAVGPASAEDREPPPAPVCYYTAV VALGAMLTIGGTAAASQVPDPSDLRATRTGTTAYNGGQGVRVALRWRWPPGATATRLV ARWGSPPHGPDDPQPTATTVRREDYERHGFWSLTLPAAGPRDEDSGNGLARPHGGNGI ADGDGHAAGPEAGASPALPDRWYITAFTAVDQGGETVFSPGIEPSATTAVPGPHPEIT VSYALKRSWLPGRPWSLTLDTDPPGEEVPPMVLVANLRAIPLSSEDGEVIAHLPAGRG GSRHPVHTTFPLARSGVRMFIDPRADPAFIPPIRLRHPEDGTARA OJF2_RS15170 MLSFHDEAEIAALVARLSVRLGARAAGCWALDAGACRLVQVAFV SAPSLDREVHRAFQEATRSVPLDRADLGIVAAASGRRPAVSRAAELPPDAGSGYWLRA FGAELSMAIPILAPGGDVLGVVSIAISPGSGEAEALALERLLAACGPMASLLAGG OJF2_RS15175 MTSNVKITVGERNGRRCASSGSAWEAAIGYSRAVRAGDHIAVTG TVGVEADGRFSPSIKGQTRRALDIVVAAIEALGGRTADVVRTRIFVTDISLWKDVGEV HGEVFAQVRPALTMVEVSRLIDDAAMIEIEADAIVRAEDREHV OJF2_RS15185 MIILSTLNSVRPSARRPRRARVGIEALESRDVPATLTGITLPYG AAVTSPPVAAGSRVFFAAKDATHGTQLWESDGTQAGTAMVTAANGNKGINPTYITAVG NSVYFAASSGLSGVQLWKSDGTAAGTTMLTTANPVIGIAPSGMADVNGTVYFAAYKPG AGRQLWKSDGTAAGTTVVTNINPTGGGINPAYITGVGSTAYFRGNDGVSGSQLWSSTG AGATRLTSGGVAGVGTAPGNLTAAGNTLYFVGMDSTGSQLWKSNGTPGGTSRVTSVAA VGGLNPAALTAIGSTLYFTARSGSNGPQLWKSDGTAAGTAALTSTNVGGKGLSPSQLT AVGTTLYFTANDGTSGTQVWKSNGTSAGTAKVTTINGASGSYATGLVAAAGALNFTAY TSKTGYQIWQTGGTAGTSMLTSMSTGRTSSPSTLLALATRRVFLAPGASLWSLA OJF2_RS15190 MLMLPMRNSSRLADRRSRRARVGIEALESRDVPATLTGITLPYG AAVTSPPVAAGSRVFFAAKDATHGTQLWESDGTQAGTAMVTAANGNKGINPTYITAVG NSVYFAASSGLSGVQLWKSDGTAAGTTMLTTANPVIGIAPSGMADVNGTVYFAAYKPG AGRQLWKSDGTAAGTTVVTNINPTGGGINPAYITGVGSTAYFRGNDGVHGSQLWSTTG SGSSMLTNVNVSGGGLAPGNLTAFNGTLVFAGIDSTGVQLWKSDGTAAGTAKFASSSN PGGLSPSCLRVAGNTLYFAASGGAGLGGQLWKSDGTTAGTVMLTSISAGGKGLSPSQL TVVGSTVYFAANDGTCGMQLWKSDGTTAGTQLVTAINGNAGCNASTLTNVSGVLMFTA YTTTYGYQVWKSDGTSAGTTMLTGMSTGKTSAPVSLVSFGADLYFTAPGASFWVLA OJF2_RS15195 MGRVFAFSRRSFVAAMACGVALLASGWREAAAGPITFTITTSSV TDLDISAFVTVSPDLTQATVDTTGLNGLLGTLGSAYQFTNLGGNSNYTGTAVAGTLNM SGLVTSLGTGDTTLIITETQDGFGAPTGPSGELNTTVTGNFNLAGAGNSQSVNSMYGG GTSVTAGPADFSSTKTTPDSFNQAIGATIASFTTPYSLTNTISFTIPTAGATDTFTVN TQVTTVAVPEPVGVVSLSVGLPLALLAAAWKRRRGQAEAHAA OJF2_RS15200 MPGDQETSRPAPPAVLDPAAGRWVFLGMLLLGLAGFASYKMLRA PAAPPPPEVAADPLLLEGRTVYEARCLTCHGPTGRGDGPIAGNLIGPPVGNLSNGKWK HGDRPEDVLKVIAKGVPGTRMSGWDQVLDPGPLRAVAAYAYYLAGQPVPAQLRDDGPL H OJF2_RS15205 MPRSCRSPWITLLGFLAVSLWQGAADVRGQARSGAARRAAPAAK AGTWAFVPERDTFRRDALFDLRGLNEKTAGEGGFLRLSEDGNSIVLGDGKPIRIWAAN TNVQEKASPEELARHARFLAKRGVNMVRIHGSIVPKEKGSRLTDADPKYLEAAWKLVA AMKKEGIYVTLSAYWANPVKVQASWGIDGLPEGTSANGVLFFNPALQEGYRAWLKALL ATPNPHTGIPLAKDPALGIIQLQNEDSLLFWTAQNLKGRQLELLGELFGRWLAKKYGS LEAASRAWGNDGMDGDDFPRGVVGIHLIWELTQPRQGGRKRRLDDQLQFLAETMYRFN QETARYLREDLGCRQLINPGNWRTADTTLLNDAERWSYTANEVLALNSYYSPPHIGPD SGWRIDKGDRFVDESMLFNPLALPLDVKQVAGHPMLLTESHWVPPLGYQSEGPFLVAA YQSLTGLDGYYWFAAGDPEWSAADRSEWDAASRKKWDFATPMELGQFPAAALIYRKGM LKQGEPVVVEHRSLDQIWRREAPLISEEARFDPNRDEGETARRSEAGGKVDPFAFLAG PVQVVYDSNVGKTRVADLKRLIDHRKKVVRAETGEVTWDYGRGICAINAPMAQGATGF LSKVSSVPLKDVTIVSGNDYATVLAVSLDDRPLRESRRILVQVGTQARPTGWIDRPAD FKSRDGKQAFHGKEIVSTGKMPWAIRDADVTLKVANAGLKSAARLDANGNRVRGLKAE ASGGSFSIKLPKDSLYAVLEGE OJF2_RS15210 MHRLRSTLAALILSGAIAPPGSTPASGQTVTPAAPPLPAPAGAA SAIAPAQAVPAPTPPVAGGAHPAARPASNQAESSSTGAKASPELPDAVFAMTAQEKSQ ADNEAQQRKQQRMQKIQQAAFDRRPSAILKAWATPPEVMLDEGSRPGDNAQPGAVPQP VRVLRRRVVQAMPGMPPQPAVATVPEPQAPKGDPFDRGLRAFQLDVTLGNWPAVKGFL AGMHKDEGKALYAQLLQSLASGQAGMPGMMQPQQVMMNGQMVMMQPQQIAMEKNVFSS RDILGLAAAAPGGLEKGQLDQLGQVLRQSLDQGNAIEEFLARVRDELKRLAAGAALSE RQAAKLLFAANAPVEAGTFLPPPEKAVKDDDREALNLLARHYLALHERDKKAAQLEQA WRVTQEALATGKVDRDQKDEAVRRAVELAPKIQEDLGRAWIEQSFTGRPDRGMEILAA IGAATSQGLQGHAFDTDFRTKSLELQKLAVEALLRVAPERAKAWKRTLGLLAEGWLRE AEFSQKFDFSTSLGPRMQYDSFGNMYYSNYDMTPEQMMARQGNMPRALLTGDVIKNRP GDAWLAAVDEGMRPRFSTTLAQLYIKVNEEDRAFPYIEALAATHPRQAKDLAQDFLKT WTRNHDPNSGQMQRSRFFYVYGFESRAEGIPLTRSKQERNLVELASWVKKLKALPIGE IDEKLLTGAFTACHSPAEVYRLDAINTVFGSFDALKPRTLAELIQQMRGNLIGVWRRP DEQEKQKTKRREKDIRGEILRGYEVARAVVDQGLKKYPDHWALVLARASILHDENNYR AGLEKSTDFAPRRQQAFAEFRRAADLYAAAAPGLPQDEQTTEPYEMWFSASLGACDLQ HITDETLPDDRQPALIRKALESLKGEDRERHLGRFANALFTHLNVVKPSAKVRYLKGG FEIVGDHPQAHDARKVYDYYKDLVTEIKLEAKIDGPDAVGHGKPFGVFVNIRHTKEIE RESGGFGRYLQNQNSGNYSYYNFGRPLENYRDKFAEMAKQALQEQFEIVSVTFQDEKV NSRSSADYGWRYTPYAYLLLKARGPKVDRIAPLRLDFDFMDTSGYVILPVESPAIPVD AGGDGAGTRPHEKLAVTQILDERQAKDGTLILEVRATARGLVPDLDEIVDLKPEGFEL EKVDDQGLSVSKFDPDAEANVIDSERTWLVHFRGAPVGDEPAKTFRFAAAREDGAEMI HQRYVDADLAKVGTEVVLEAKYGEPARAWLPWLVGGLTLGALAIGAIVALARSRPRHV AASRFRVPEEITPFSVLGLLREIEHGNGLPAPQLQELNGSIRALERHYFAGANGREAE PDLRTIAETWVRRAS OJF2_RS15215 MRTFAVLAWLMVPVLAGAYHYGPGQEKLRLDDAGLALAAADQLA AAGQWSKASAAYEKALAMLPAGRLDEARRIKLQRAKVQMLDRQLPEAHAALEELADQV ESDPSVDAKLREDVESAFASSQYYMTWLMRLEGLPEADWEPEIEGARQTYRLLAEQAE ARGDGKAARQHREDLEGAIRLARMEPGELQARSIPKQCSGCKCNGACKNPGKSKGKNP GKGEEKKDARKAGAGPPPDGSGS OJF2_RS15220 MCDASRASRRIVTLSMAVAVISLASARGAGAQESAPASKTIAAA VRPYVERHALAGAVTLVADKDRVLSVDAVGYADISGGKPMKADALFWIASQSKPITAT AFMMLVDEGKVKLDDPVAKYLPEFRQLWVAAEKDDSHILLTRPAHPITIREILSHTSG LPFASAAEQPTLDLLPLRVGALTYAMTPLQSQPGTRYSYSNAGINTAGRIIEVVSGMP YEEFLAKRLFEPLGMRDTTFRPSGPQLDRLAKPYKPDAAKTGLEETTVTQLRYPLDDP KRQPMPAGGLFSTAHDLSRFCRMVLNGGELDGRRYLSRGSVAAMTTKQTPEPLKEGYG LGWSTDGKTFGHGGAYATNMTIGTRTGLITIWLVQHAGFPGDGEKSQGAFQQAAEKEF GTRP OJF2_RS15225 MVAPTYVELATAAAALVASMAEVLHARRTRRLARLAFGPDLRPA PWARLSPALRVASIAAACWGLATLYSLPPKAHVAESVPDGQRRHVLVVLDVSPSMRLK DAGPNADQPRAKRASDVMESFFRRIPIDLYPMSVVACYNGSKPVVVDTKDMEVVRNIF GDLPLQFAFPAGKTDLFSGLTEAAKIARPWQPKSTLLLMITDGDTVPATGMPKLPASI ADVLLVGVGDPHAGTFIDGKMSRQDANTLRQIAARLNGTYHDGNTKHVPTELLSRLTV IPRKSAFEQLTRREYALIAIGVGSTTLAFLPVLLTLFGTRWRPGVHARAEPRGGPLRP ATTPAPDRALVR OJF2_RS15230 MSFAYPWVLGLLAVPVLLLGWTWRRTGGRIALPFDHGGQPSGRA WSIVLKLAESLPALLLGVAIVILAGPQHLSAPKSRRVLTNIEFCVDVSGSMTSPLGEG TRYDASMKAIDEFLKIRQGDAFGLTFFGNNVLHWVPLTSDPSAIRCAPPFMKPENAPV WMGGTMIGKALLACREVLTSREEGDRMIILVSDGDSFDLSGGNDESVAQTLKKDGIVV YSIHISSGDPPGEIVNITGLTGGEVFPVDDPQALRAVFRRIDTMKATRMEKTAPELLD DFAPFCMAGLTLLGATGLTLLGLRYTPW OJF2_RS40590 MTSPRSTTTVGMPATLEQVVLPGAELEVKPQEDKRAPLVVRIVA SYPHGTAFRYDLSYYALEPGSYDLASSLRRKDGSPATGLPSLPVRVDPVLPPGQVEPH ALAIERSPWLGGYRLLIGLFGSLWCAGLAAILLLGRRKGGAAAEAAARPATLAERIRP LASAALDGTLSEGQHAELERLLIGYWRRRLGLETASPAATIAAIRRDPEAGPLLRGLE EWLHRPDGEARAEDLAALLRPYRDLQEPAEEADLGEAAVPAAEGRR OJF2_RS15235 MEGRIESHDILDSRQFYLAVKRLADSLSYGTDRSPFLGAGVEYV QSRPYQFGDPIKLIDWRVTARTRRFHVKEYEAPKRMPVYLLIDTSASMTISSHHRTKY ETAVFLAGGLALACLERISPVGVLGVGGQNLHVRPSLSKDQVMQWLYKLRSYRYDEPT SLGSRLAELIPSLAQRVLVIVLSDLHDAKAMPALKRLAAQHDAAVLQLRDPAEERLHG IGFVRAREAETGAPFLARSRTRWLDQERLADDLKKTGIDHLVIDTDRPYTSRVRQFFR MRHLLGRGAR OJF2_RS15240 MTSPQGAADMPPGSAAISPETMEFIRAVRGRVGTVVVGQDVVVE RLLIALFTGGHLLLQGVPGLAKTLLVSTLSRTIDLQFARIQFTVDLLPSDILGSEILD QKSGDFRVHKGPVFTNLLLADEINRAAPKVQSALLEAMQERRVTIGNETFKLPAPFLV IATQNPIEQSGTFELPEAQLDRFMLCHRLVYPTPEEEKEVLKRNAALGVRREDRGAVA RTEFDVLEGGPVATTEQLVRAMEAVHDVYVSDTFTDHVVEVVKRTRTHPALELGASPR AGIALVKGSRARALIHGRNYVVPEDLFALAEDVILHRIRLSYEALAEGRTGLDVLQEI LGPYGNSAAYQGLGAVAVNGRRNTD OJF2_RS40595 MNGILEVGRASARPAARLLLAAVAVCLIPAATASAQATSSGGRY FPQKDLIAYFDFEGLDAHGDAWKQTSAQKLLNDTKLGALLEDLAGQVVGLAQKDTPED KRMPADTYLALVKRAARDGFAVAAFGEAPRNVRAGLVLRKANRPDVTGLIETATKPAP GGDQPAPKTETQKGRAVHQIQELVWWAEGDDLVVSNKDGFEAITDVADGKAPSAAGNP LVAPLAKGEDGVVPVAYGFFDLTALPPMSPDAVKLGFDGLKRVEVQWGFQEDALMTSV RFVAPSPRRGVLAMFDQPALTLKSLPPIPAGRSAFAAFSVDPLKTYEQFVAISKEQNP ASAEGFDAMEGAIRGQLGFDLRTDLLKNLGPGLALYSQGPAVAMENLDVQAAMLAAYG GLTVSLQARDEDALAKHLETLVNVANQAISRPGGNSPQLRKREGKETIYTLEFPPGTM PPQFASLSPSVGLGKDQLVISGTVEGVEKALALSAPGAARWAPEGAFARPAERLPKEF FALYVSDPSETLPQLIPNLPQLLDSIKAQIPPGVAANPLNEIHIDADKLPKADELKAL LFPATTAITTDAQGMRLVQREPLPSLTSPATAGVLAGLMLPAVQSAREAARRAQCVNN EKQIMLAMHNYHSANNAFPRDITDKDGKPLLSWRVAILPFIEQNDLYMKFKLDEPWDS PNNKPLLAEMPKAFLCPSLAKADPTMTTYRGFEGPGAMFEAGQDIGMAAVTDGTSNTI MIVEAKEAAPWTKPGGLAFDQGADADPAHYGAGSSHPGGFNAGFDDGSVRFIKDTVAV QVFKALVTRAGGEVIAADQF OJF2_RS15250 MTSQIRSSTRVVPITREEFHGRFGAPDLGGALCGYTREADTAAV LTLLCHARPARILEVGTALGHMTANLTRWSSEDAKVFTIDLVRGMPRAAAGAAEQLDE VPTLAERGRFADHFGTVQKAFFITADSLGYDFGRLAPLDFAFVDGGHDFAHALADSRK SYDALAPCGWLVWHDFGSPVPWVEVRQAVEAIGFAEPVIHIEGTEVAFLQKQAPAGAT GSAAVHDGPVRVAWDGDVRGLHSLGLVNRAIASELLARGLDLALADDGPPPAGDEGTD TPLDPGLAERLGREPSGGPAQVHVMHRWPPGSNFEPRARRVLMQPWEYGSLPRAWLPL LAAADEVWSYSRSVRDAYLRAGMPHGRVHVIPLGVDPEAFRPGVEPLPLPPGPRFRFL FVGGTIFRKGIDVLLDAFPRAFGPDDGVGLVIKDMGVGTFYRGQTAGDQVAALRERGY PVEYLTRDLAGPELAGLYAACDCLVHPFRGEGFALPVAEAMACGLPVIATAASPVTDY ADDATAYLIPARPCEFSENRVGEFETIARPWLHEPDRDALVDLLRRVAADPQAARRKG AAASERIRGRFTWSHTAEAVERRLRALASLEPRTGRRFEPRMNTDKHRWGEGFRDGGT GGGWHVGRAGGPGAAPGGRQAGGVSGSPDPVLVSYPCESVSIRGSKSPGPIRGAAKAQ VSLTMIVRDEEENLPRCLGSVAGLFDEVVVVDTGSRDRTAEIARGVGARVFDFVWVDD FAAARNAALARARGDYAFWLDADDVVEPEERAKLERLLVSLPADESEQAAYVVRCACD PEPDGRGGNTVVDHIRLFPAREGVRWTYAVHEQILPSLRRAGVPVRWSDVTVRHTGYS DPALRGRKLERDARILEAELAERPGDPFVLFNLGSIAVERQAWPRALELLQRSLSGSA PSDSITRKLFALIARCRQMLGDLPRAIAACDEGLSFFPDDSELLFRKAVAHRGSGDPA AAEASWRRILGLRRPEEFASVDQGIFGHLTRRNLAALAEERGDLAGAMEHWRAVLAEC PGDAEAMGRVGGLVEAAGP OJF2_RS15255 MPNRMISILGRLRQDVAAAISAETIEAACKEVGYRWRRRKLGPV ETIYLFLVQVLLEDTSCRHVVRIGGREFTDTAYCKARSRLPLAVFLELVRRVAAAVRG ASEDSRWHGHRVWVVDGSSVSMPDAPELQGHFGQPGGQRPGCGFPVAKLLMLFHVGTG MLLRVTAAPLRSHDMSGAGAISGGLEPGDVLLGDRGFRSYAHMAMLLGRGISAVFRMH QQVNVDFAPGRPTARRKGPYPRPQGLPSSRWVLAHGPQDQVVAWPKPKGRPGWMTEEE YAALPEEILVRELRYEVATPGYRVRRVTLATTLLDAAAYPAVELAELYYRRWRVEHNL RHMKITMKMDVLKCTTVEGVLKELAMYAIAYNLVRSAMLESARLQRVDPDRISLIDGL RWLTAPAGECESPVLVVNPSRRGRYEPRVKKRRPKQYLRMTKPRREYHKDLLQQWVAA OJF2_RS15260 MGTLRNLIATPIDAAPEALRRQSIVLKLTLFVGLLVALTAGALI SIGYVYTGRIFREQLRSRLSAVADDRRALLLTEIAHLEERIRTLASRYRLREALEHYL PGHGPPAGGASRGSPWSLDEVLDDTEGLLAFWIEDPDGRRLASSGPGELIGPFTGRRA PRGDAPDAADGPTLVGLPALVAGKSAALFRMAATTRSGEVVGSYMLVMDLAPVVAHIA APRHLGETGEVLIGANAGGGDDIRYLFPPRLEPREVEFPRDRVPAMSRAVAGESGFMQ TSDHGGRDVLASFEPVGYRGWGVVAKVNADEAYAPVRRLRRLLLGVGGLILALGLAAS YAIARQHTRPIRKLAATADAIAGGDMQAASAIDVPPGDEVGTLAQAFRRMSQQISRSH ADLERRIAARTRDLEAARDLLGALFEISTSRLDPRNIDKTFDSVLRSCRQLGYDLAMI SLVDREAGVVRGVRGEGTMTGLVDLTVRPLGGSDILAEVVRSGRTVVIPDSCADPRCD QAAIALARFHGQIVLPLAGDEVMGTLQVATPEVLDPGRVDLRPLESLASHAARTLDAL RHVEEIGRLNRDLEGQAAELKKSEAALREQTEILRSVLDCMREGVVVADRQGRPLVIN PAAERRLGRGDHVGEGGRWRPLYDVYHPDRATPFATEDLPLYRAIRGEVIDLAELYIA HPSRRDGSWMLINARPLRGEGGEIRGGLVVFNDITRRKTGERRLAVQYAATRVLAESE SLNEVSPAILEILGRDLDWDFGGFWRVDAGADRIRCSSTWRAPGADLSAFDGPTRAAA FARGEGLPGRVWESQRAAWIEDIAAEPAFPRASVAAAVGLRSAFAVPVSGRGDCLGVL EFFSRTPRARDDDLMEMATNLGRQIGQFIERQQIHSRMVQSEKLASLGMLSAGVAHEI NNPLAYVANNLAVLERDMGSVLKLLALYDDAGEALAAHAPAVLEGVRRLDEECDFAYI RAHLEKILVSTRQGVKRVAEIVNNLRGFTRLDRAAVDQLDVHDALAAALEMIRGRLER RRIEVEEHLGDLPHIAASPVQINQVFLNLLVNAMQAVESARAEGGRIVLRTAALDGGD EVVIEIADNGCGIPPESLPHIFDPFFTTKKVGEGTGLGLSITHGIVQDHGGRIEVQST PGEGTAFRIILPVARKPVARPAPSPS OJF2_RS15265 MRRTPALLSIAILLPSLAAPAPAQTPKAAGAEGRTGTLPETAFR PLPLGAVRPAGWLREQLKIQAAGLGGHLDEFWPDIKDSAWIGGKSEGWERVPYWLDGI VPLAYLLDDPALRAKAKRFVDYILEHQHPDGWLGPIGDTAGHQPYDAWPLFPLLKALA QYQEATGDPRVVPAMRKCARRIEKAMDDRPLESWAKMRVADLAVPMIWLSARSGEAWP LDVARKAYAQGYDWRAHFEDFRFTGQAGVKYDLDNHGVNNGMGLKYAGVRYLLTGDPG DRSAIGTMLEKLDRYHGQPTGMFTCDEHLAGRNPSHGTELCTVVEALYSLELLAAITG DARLGDRLEKIAFNALPATFKKDMTAHQYDQQANQVLCTRDGDHIYTTNGPDSNLYGL EPHFGCCAANFHQGWPKLASSLWMRSADGGLAAIAYAPCVIETEVKGTPVRVEVRTDY PFRDDVEIRVTTTGAVNFPLRLRIPGWAKGAKVTSDVLAVGPGSAEVSPDRREIAAEP GRYLELNRTWAKTMKVSLRLPAGPRLYEGDNDSVAVERGPLVYSLSVGAEWRKVKDNP QFADWEVRPTSAWNYALEIDREHPGRSITFEERPIQASPFATEAPAVVARVKGRRLPG WTIEKGAAARPPASPARSEAPEEELTLVPYGCTDLRVTEFPTLGGPAR OJF2_RS15270 MLVTVSPAMAIAAMLSILAYLGLAAAGWGGVPAFLGHPARAAVA AMTVLLTVLALFTGGNLSPGQREDRGNRWVLAAIGVIGLMLAYLPAYCDRRDLWTIDG DAARWSGAVLYGAGGLLRLWPVYVLGRRFSGLVAIQPGHQLVTTGIYRVIRHPSYLGL LIGTLGWALAFRSSVGVGLAALLVVPLVARIRAEETLLRSQFGDEYDVYRARTWRLIP GIY OJF2_RS39220 MEVLAYGKDVPTAGGPVLLLNRSIRTMTREEFKAAPRPAGAREA GPERAGKK OJF2_RS15275 MPPLPVPVPSPARRRVATSLLIPALVLLSAAASPAAAPAGGPAR RPNIVFLFSDDHAYQAIGAYGDPRRLVETPQIDRLAKKGMRFDRCLVTNSICGPSRAC VLTGKYSHANGFYNNTNSRFDGSQVTFPKLLQRAGYQTAIFGKWHLVSEPTGFDAWEV LPGQGVYYNPPMIKDGRRVKHQGYVTDIITDLSLDWLARRDRSRPFLLMCQHKAPHRE WEPAPRHLGHDGDRAYPPPQTLFDDYAGRGPAEHRQDMTIARTMTARDLKLTPPPELD ADQRKAWDAYYEPRNEAFRRAAPQGEDLVRWKYNRYLHDYLGCIKGVDEGVGRLLDYL DREGLADNTIVVYASDQGFYLGEHGWFDKRWIFEESLRTPLLVRWPGVTRPGAVNADI VSNIDFAPTLLEAAGVPVPGEIQGRSLAPLLRGDTPADWRKSFYYHYYEFPAVHDVRR HYGVVTDRYKLVHFYGSDVDSWELFDRREDPLELRDVFKDARYAQARKELEAELTRLR SELHVTPEDPPETMLPAAGR OJF2_RS15280 MIDWTAGVRRGRAISTDVRDDVHEVREYRNFLVHERDDQATPAA VTIEEARKRLNTLLHCLPDQW OJF2_RS15285 MATGITQVVFDDPEAVKVEWLSRLDKLVGEVEGWARASGWRTRR IQKTINERQLGEYKAPVLLMEKDAIEVVLNPVARRVPGADGAVDLYLAPAYDDIASLY FEGDRWVVYRGKHPGPGETHGALEIEPRPYDERAMAEILEGMSTGG OJF2_RS15290 MPIDVECPACTSKFNLGEEHAGRRVKCPKCRGSIPVPSTPEADS IQAGIAFEKFTLDAMALTQPLPLPAEYPPAPKDLAEAKAEVIDARRDMQVLRGENLSA LLRWNTVHPRAEKAEAAALLLRAGLKIKAEEFELDTPTLAAAEAAVARWRESERRVIS SLEPFAEALARRLAATVGLLERDDVAERGAGGPARRVEARAIYPTAAFLATQVAGQTP GVMSSIRVLAHLVLRIRDEKKPKEALLEAAYAVAQRLLGRIDDLRRSLGRTTASFIQD EDGPVPLARLFIPEMPAAEDVINLMEAADQVTDRIFTMYRHVLGRLAVSAEEVERLLG LPPLKAEED OJF2_RS15295 MTRILSVLATMTMVLILAARPMSPAWAQEPPAKPPQKAEEKPKE KDAAKEKEAPKKDAGKGSTDEEPVVTHHSVRVDGKELKYTATAGLIPIRDAKGETEAR IFFMAYHLDEPKATSPRPVLFSFNGGPGSASVWLHIGALGPKIVPAPEGPTIPAPPFR VVDNPATWLDRSDLVFIDPVGTGYSRAAKPELNAKFHSLKGDIESVGEFVRMYLSRFD RWDSPLYVIGESYGTTRAAGLAGHLIEKGIAFNGVILVSCALDYQGFVFTAGNDLPFL NYLPSYAATAWYHKKLPAELQAAGLPAVLREAEAFVDKEYAGILARGDRLSDAEKHDV AAKLARLTGLDAATIADHQLRVELDVFRKELLKAKHRSIGRFDSRYEGIENLSPSPSA EPTTDPSYSAVRAPYTSAFNRYIRTELGYKTDVPYYILGEGVGRWEFDVRMGYPSTTA ALSEALTKNPHMKVLVASGYYDLATPYRAVDHALARLGLDPAIRGNIATVTYEAGHMM YLHGPSLHKMKADGAAFIEGSHAP OJF2_RS15300 MSRRRRGLRLVLALAVGGGGGMSGCVSVTTKITGSARAGAEQLL LTGTSQRAVDSIDFRPLAGRKAFLAVAQEEKTDASWLVFSLRREMARQGVILVDDKKE AEVLVEGAVAAYGTDEVDSRISLPSITALGTLPLPASSGSSTGGLIQKNRQDAVVKLA LVALDAKSRQLVWETDDVLQTGYLYRRFMGSTNITRSTSVPELECYPPRKAH OJF2_RS15305 MGRRSPRARRPGLEPVERRDLLSLPTVMMAAHYNGMVNSPRVRA MLADAGTTAASRASSTSTASNASPAMAASSGAGGRGRFAPSSTSIAVPENQGYQLNPG YNLVLQPTGTATPAEVKRQIFKAAFRGTYVITPGSYSSQQSQVFIRGAGTSTSMLHTD IQMRIAVAADPTLQTTGAAGIFDRNLNSNTVLGLNLAGPRTSVDSRGRPNQFTTVTLD VNASAGTYDEGFSQGVVDVTYYPSRKRGPGIIEQGTAVVRIQAQIYSALVGFILRNAS INP OJF2_RS15310 MADHSPRNALAVALTVALLCAGRPARAQGLGSDASDFPKVGGGG SLLGNSPGAGGGALSGASGNSPQSGQILGTRPGVSTPKGIPTSISSPATLGPTILQRP ISAPDLQPISPSSAPLYGTLEIPGRGADDDGPPDGVTLEQAIGVTLQRSLDLRSKFYE IPQARADVLQAGLRANPVFYADAQLVPYGQFNRSVPGGPTQYDINVTHPVDVSRKRRA RVEVATRAERVLEAQYQEALRQRIDDVYDAFVLGTLASRQTVRYARQSTQGLARLATK TEELYRGGSVSLGDFNRVKIQLRTARLGLVDAEAAYRKAKLELGSLMNLSPEEIAALE IKGSIEDTSPPPPPAEELKRIALEARPDVVSYRLGVRRAEADVALARANRLSDIFVLY QPYTYQNNTPFGLKSATSWALGVTAPLPVYNRNQGAIRRAELNVDQTRIELSDLERQV GIDVEKAVAEYEVTRREVQELRAEVIPEATQIRDEMFRLYTSGEKSAGDFIGAQLEFN QVAKQYLDTAIRHRRSMLNLNTVTGSRIMP OJF2_RS15315 MKIRWKPLLGVALVAGVSAWLAVDHRARGRAAELLGELAGRPAH AGEDRPDKAWTEAPPSRPEETARGILTLTDEQVKGIDLKTVPVKAQTEPVILRLPGVT DYDPATLTIVRPQFDSRVDRVLVDLGTVVKQGDPLLEIFSTELAEAKSNYELARSQWI RDKRVLDYKTPLAQNETLPRKELIEIENDEAKSRLQMKLAKDKLLVYGLTEKEVEDAQ KEDGVQKARMTMRSRADGIVVKRSVVQGNYYDSKDELMQVAPLDHLWVRGSVSELDAD KVEIGQKIRVIFPYSDKFIEDHVEYIDKAIDPETRSARFRASITNPEKRFKAGMFVKV RLEIPPKPDQTVIPRGSMVSVDRLDFVFIRKEAPPGKARFERRHILVASESSDWVVVP PPSRDHLGLKPGEEVVTNGSLILEQLYEDRLTTEGETPRERPIDDETFGKPEKPVVIS AQPSVARSR OJF2_RS15320 MVRALITWSLHNRLIVILGTIGLIGFGVHAARNLNVEAYPDPTP PLVEVITQNPGASPEEMERLVGIPIETALNGMPGLKYLRSISLAGLTDVKCQFEYGTD YWSARQEVINRLGTIGNLPAGVTPQLSPWSPTGEIVRYVLEGPGYTVSQLKAVQDWVL ERRLREVPGVIDVTGFGGTVKQYQVLLDTQLMRRYDVTLQQVTDAITQSNANVGGDIL PLGQQSHNVRAIGYLGEGVDPLDPANAENAYPVEIEKLEDLQNVIVTTHANMPVFIRQ IARVVIGYQPRLGVVGREGENDVVEGIVLMRKYEKSIPTSKKVQEKIDELNSGSQLPK GMRIVPFNRRTDLVNVTTHNVLHNMVVGMLLVIVILFVFLGDLKSAGIVALIIPLAIL FSVSVLYVQGKSANLLSIGAVDFGIIVDSSVIIVENIYRHLSSRDADRSRPLIDRIAD ASAEIERALFFSTLIIVCAFIPLFSMTGPEGALFGPMANTYAFAIFGALALAVTLTPV LCSFLFHNNMEEHDTFVDRMMKVRYLRMLDRVLRYRYVVLLMMGGLLGFTIYLVPTLG GEFMPPLEEGNLWIRALLPRTVTLQEAARMAPRLRSVIASVPEIRAVMSHVGRPDDGT DVTSYYNLEFNAPLIPMERWRRVPVTVLGRKVWDRAITREEIQRELSRKFEAFPAVNF NFSQLIRDNVEEALSGVKGANSIKLFGNDLHVLEEAGQRVVNVLGTIPGIENAGLFHI IGQPNLEIRIDRDECARYGLNVSDVEAVVQVAIGGRAFTQMVEGEKRFDIVLRLPRDQ RDDPEVIGRIPVDTPGQDGKPGARIPLRQLVKIDPHKPGAAYIYRENNRRYIPIKFSV EGRDLASTIADARRKVDDPRYGAKLPKGYEVVWAGEFDQMQQANARLMWIVPLSIGLI MVLLYVAFSSLKDALLVMANVVAATMGGVWALKLTDTAFSISAAVGFISIFGVAVQDG VLLISYFNQMRGAGLSVRESVMRGAELRVRPVVMTSLTAALGLLPAALANSIGSQAQK PLAIVVVGGMLVTLFLTRYLMPVLYSFFPAPEGHGTCGEDLLEGSHYTDRYFEPRTHH ATEAGGGNAGGSEEARP OJF2_RS15325 MSPRASRGRAARFPRVGGWELLPEGGVVHRAEEVAVIADVHLGY EWARGSAGDCVPAHSLAETVAKLAAMRKRLRFRRLVIAGDVVESPRPCARTAADLSRL CGWLLDREVELVLVQGNHDRSLPAMAAREGFPARGVRLEASLVVDGWTIEHGHRAATA GPVISGHHHPVLRAAGQSASCFLAGPDRIILPAFSPNAAGLDVLAARLPEACRGDSLR CLVSTGAEVLDFGPLATLAARLGRADGPAPPGS OJF2_RS15330 MQTIELEDEAGDAPGLLSEPVRAWFRGVFPGGPSPAQRLAWPPI AAGENVLLVSPTGTGKTLAGFLAILDGLFREHAAGTLTPGIRCVYVSPLRSLGYDIER NLAIPLAGIRQALGLEECPIRVGVRTGDTSPHERRKLRERPPHLLITTPESLSLMLSQ SAWADHWAGVDHLVVDEAHALVPTKRGADLAATVERLAAKARRDPQRIGLSATCRPPD PVARFLVGPSRACRVLEAPLPPGSPPMEIEVEALLDPGECAHRGLTYMRLVRRLRQVM DAARTTVVFANTRPLTERLTHDLRQPARRQTDANGDRVVPAGEPDETVAAHHSALDAR RRREVEDLLKNGRLRAVVTSTSLELGVDIGTADLSVQVGLPGGVARCVQRVGRSGHRL GEASRGLILAATPAEVAGGVVTARAAREGRIEPLRMIEAPLDVVCQQLVAIACAGETA AEDAFAMLRKAGPMESLRREDFDACLAYLAGDLAAPAGAYEAEPGAAPKWSSPRLWRR NGWFGIRSRRVLRWFWSNVGTIVSEESARVMVDGVAVGTLDGAYAERLALGDRFVLDG RSLELVRREGNLVHARGSGGEGGVPVWQSDRQSLSSELAGELAAFRVEGGRRLAAEGP MALRAWLMESLDIPPKEAGVLACLLEAQERHSEVPAADEVLVEESPDPEHPGLSYAFH VPLNRSACEALGRASAARLGRRFGRDMTLQVADLGWAIRLPEGARLEAADVPAILGLD RLEEDVLEGLDRGELPAKRFRHVAETGLMVLGNPEPGRRVKVGGMGWVSTRLYPLVKA ASPDHPLLRETRREILRDILDVPAVARWLETGPAIRFRRLPVLSPFAGAWICPAAAEA MQFESPSEALHRLHARLTMAREPGGPP OJF2_RS15335 MTTHLAEEPACAIHDPGAGVSDFGLDREGLRACYDRVRQTTEAL CSPLEVEDYVIQSMPDASPAKWHLAHTSWFFETFVLSSWEGGLAPVDSRYGYLFNSYY NAVGERIARDRRGLLSRPTVAEVYRYRSAVDDRVREFLDRSADDELDRVRAAFILGLN HEQQHQELIVTDVKHGLAANPLKPSYRGEGGERAAAQPSDLASGPPRWVEFPGGVRPI GHDGGRFAFDNEGPRHEQLVPPFALADRPVTNREFLAFLEDGGYDRPQFWLSDGWYAR SRNGWTGPLYWEREEGAWRSFTLDGLRPLGPDEPVCHVSFYEADAYARWAGARLATEA EWETAAVLSGAVVDGNFLESARFHPAAVPATTSPGSSKAPSQLFGDVWEWTQSPYTPY RGFKPAAGALGEYNGKFMCNQIILRGGSCASPRSHIRPTYRNFFPPESRWQFTGIRLA KDV OJF2_RS15340 MSPRNMAFATLIATAASFPSAVRADGGATIAVAAATYLKAEAAN FAAQAANGWFVSYGPVVKDNGDFEMTFKNEIRYGPGGIGKETWWIDIKGNMAAGDYSV KGRGSNIVSWLDWYRKGMERRADNWQSEYKKLSRKQPSQPKRWVAEKAKTVVVSFKND APYGVDFRLNGSGPLSTVIHVDPRGSKRFNFDLAAGFKPYVRLRQPDGSWFDYRLLAE SGDYRFFIDAKNDLGFVRVN OJF2_RS15345 MGGQHPGRGHRYRAGRCLGDPSGRVAALALAFALVAGVAAQTPA PPSPDPDGAAQGQPDATGGQVLTRGPVHEAFAAPVVHDPAPGPIVPKQPPQAIEEMPP AEKPAGQNVQWIPGYWSWDQERDDYLWISGVWREPPPGRQWVPGYWHQVSGGYQWVRG AWVPVSGGAPAAGAAPAAGGVAYLPAPPASLENGPSSPSPGANVFWSPGSWFWQGNRY VWRPGFWAAVQPQWVWVPAHYVWTPGGFLFVEGFWDLPLVERGVLFAPVYYPQPVYVQ PGFVFTPSITIATPGLVANLFVQPSYGQYCFGDFYAQSYLNVGIFPWFQFGFATGGPP VRPVFYDPVFTFYASVNVVRNPGWAAQVRREYIVRRDHIDMRPPRTFVEQTRIVQRNV TINRNVTVIGRPIREVAAHPGGGMRMERVGAEARQQYANRAAELRQFRAERSREERQA VAMRGQGRGGAEAGPRAMALRPSPVAAPAHRPAAAAAAAGGPMAGARRHADSPSLAQG PGSRIRHEPQGGGGAGMAPHADQPGRPAAHRDLDRSPAAGRPAATPGFGGPGHAGAPR SEAPRLHNPGSPAPRMPPPSPSPYQRQGGVQRPRAEHRGRS OJF2_RS15350 MGARPHPGRRARGAILAAALSAAWSLASPAPAQTLAPSQPIQAG PPAGDAEALSVRYLFQEKYTEDPTKAGVLLNLYRVAVRETIQVKRDNPEGAPTVGETS LRSIYTERVTRTTKDKVADEVLRNYDSATFKTTDATLSPKVPPLAGMRIVYRLRGPDL PVILCLTSRRRMTHMEFRQISDQIYLPTLTLMLPRQPARAGDTWPMSIKAAWALLGSI PNDQEYDLNVELAEVKKGQGSSMVAVFAVRGTCTVTQGPSAINARVEFTFEPSKPAGN PATAPLPVAGGASAREGVFEARGYISRISLAQNLTFEVPESEGRLMSHTHREVVLERR KDGQQLEPQVPSPEADVDNSWLVFDDPGGRYHLLFPQELDILHVNAQGVDFASRQMDR QDMVRLRLIEKSGDPTRDRLAADPMREKQRLEAQWSQRGEKILPGSAGWLPEADWATF KRKVWRYEAALIPDDQAGGPQADRIYLDDYIVQFPRNETLQLDAMTTRQPHTKFRQDV EDMIRNFELGPPGNALPAAPRQPAPRP OJF2_RS15355 MRWVRQAASAINGDIRPTAGSLRKELSLLVAERPAGADSVTVVS PAGPVTRHELELVQGLADPLILADMLPGKAVSRGASWKLGRAAALSLSDYDQIKESSL AGTLEAADEGSARIRIAGKVEGTARGAAGAITCEGVATFDRRAGLVTSLKLNRSEKRE PGPVEAGLDVKSVLTVVRRPSSMAPELADKALEGLPLDTSPERQLLQLISPETRYNLL HDRRWHTFWDDPRLVVLKRLEKGRVIAQCNLAKGPDAGKGKHQDLSQFRDDLKRSLGD RFVQFLGMGEVDGDPEGGFRYKVGVKGRQGDLGVLWDYYLIAGPDGDQLLATFTLAEK DAAEFGDQDLQLVGSLQWYPPPAAARTP OJF2_RS15360 MSRVYCHPAVKQLKDQQARYAPRERRLEQIERAEQLLAEIEPAR NYPYEYLCFRITGYRPEGWSALVLEGGDVRHDLRLLIEDLSSTIRQGVEQAAEPVLTV EEVGKRFNVSTRTVTRWRRQGLVARRFVMEGRTKIGFLESSLERFVASHRDQVDRGSR FRQLTDEERDEIVRRARRMALYRPGQVGLVEVARRIARKMSRSTETVRLTLKAYDREH PDRAIFAPSAAPLDDEAKGQIYLRHRMGVSVDVLASQYGRTRSSIYRLINEVRAHRLL EMKLEFINHESFDDPASEAAIMAPLPEPASGKAPRRPKAPKGLPPYLASLYEVPLLDR EQEMHLFRQMNYLKSKAHKLREVLDPAKAKTAELDRIEELQEEALAVKNQIIRANLRL VVSIAKRHVGPSNNFFELVSDGNMSLIRAVEKFDFSRGNKFSTYASWAIMKNFARTIP EENYRRDRFVTGHEEMFEAAADNRTDEHEYESALKRMQEAIKGMLDRLDDRERLIITS RFGLGGSAERTLEQLGRELGITKERVRQIESRGVDKLRKIAGEQKLELPML OJF2_RS15365 MEGERQGEALTEGSISKVVGRDAGQSGWNMLLRWPVFAAFAGIY APIVCLAVQAVLIPDERFWLPGLGFINAFWIFSYGLMGLEMLLLLAWLAFGHRLGLWN GPASGALFAGALFSGVLGLVLLPVTVVGLPLLIGVLGFIPFITAGVYFANAAAAYHEA KAVMQGPRLMGSVLLGALLVFGVPGAAQTTVSLTVRAAIRGVAEGDPAAMAKLRDWYG FAHRDRLVWAYTAEQDITRKKRLADAYRSLTGHDIESRLARLND OJF2_RS15370 MAFPRVRFTIRRVMVFVALAAVVSGLASRIQRVSEANVREACCN NLRNIALAVTVFSEAKGSYPAGTVANDRFPTERRLSWLVAIAAYLDQWRWLLDLDRPW DADENRVTRGQGTVGPPTAVGRLHIMTCPAASGGREEHMPGWTWYVGIAGLGPDAPEL PVGHPRAGVFGYDRRTKPADITDGLATTLLIAETDVDNGPWTAGGPTTVRGLDPSRKP YIGPGRPFGGFHPGSVVVAFADGSVRPLRDTIDPKVFEALSTAAGGEALPAAWDR OJF2_RS15375 MQDSSYRQVNYRLDRMRDGRFSVRRRRGFRDMLLGHPLCIIGPG EPDIPEHPLTRQELYAVLSDLASRGYSDNLLP OJF2_RS15380 MLHIDMDAFYASIEQRDNPDLRGKPVAVGGARERGVVAAASYEA RRFGVRSAMPSVSARRKCPELIFVKPRFDVYRAVSHQIRSIFTEYTPVVEPLSLDEAY LDVTESLRAMASATAMAEEIRARIRAETGLTASAGVSYNKFLAKMASDERKPDGQFVI TPRTGPAFVAALPIGKFHGIGPATCARMEELGIRDGEGLRARSLAFLQEHFGKAGVHY YSLARGIDHRPVLADRVRKSIGAETTFAADLFTPDEAREALRPLVEKVWNYREGSTIQ ARTLTLKVKYSDFRTVTRSRTDHAPFASTAAIEAALHELLGPLFPVERGIRLLGVTLS SFDTEGGRERQLRLPL OJF2_RS15385 MTRDFNDHRTTRRTFLGGAAALAGVAALPRRGLGVEDARPNSVF GGVRVGCITYSYRGEAESAQDTLKALIDDGLSETELMGGPIQSFAGLGRGHSDADRQA QLARCAELRKMFNDAGVNIHIHKLAFGRDDADIDFHFEVAKVLGCKAITTERNEPMVK RLAPFAEKHKVWVAFHNHTDNTPTIEDIDPLMQVGDYVGFNFDIGHYVAGTKGKSPVP VIEKYHEKIVSLHLKDRTVAGGNVPWGQGQTPIKEVLQLLKKEKWPIYADIEVEYPIP EGSSAVKEVAKCVAYCKEALA OJF2_RS15390 MRRKIVGYHLDDEGHWVADLECGHGQHVRHDPPWMERPWVTTPE GRRGRLGMELDCRRCDEEGTGHGTP OJF2_RS15395 MTPDHTRREFLQRTGATLLAAPMAARPPREPKPQPVAPVGIARC GPYDVKAVLRELELLADRIGGVRDLVGGKTVAVKVNLVGDVHQATLGKPANRTYQVHP SVVLATAALLDRAGARRIRFVESTHQTTPFREYLRDAGWDLNALTAFRTPVEFEDTRN LGLGKRYHAVKVPWGGSLFPGYEINHAYLNCDAYVSLAKLKNHATAGVTLGMKNNFGV TPIALYGQHEPKEDSTHNRAMFHDGQDRPADGLPQELDPASPRRPTYRVPRHIVDAVG IRPIDLVIIDGVETVSGGEGPWVPGLKVQEPRLLLMGRNPVCTDAVAVAAMGYDPMAA GGTGPFPGDNHLAMAASLGLGSNDPKRIEVVGLPLDQARHPFGWQPSRRWT OJF2_RS15400 MKPTHADLAARLRLVRRDLYGDDGASAMADALSLPARTWLNYEA GVVLPAGVLLVFIRCTGADARWLLSGEGHPYAKDPREGC OJF2_RS15405 MDTPGPILDRIGGTPMVPLRRLSEGLPVPVLGKCEFLNPGGSIK DRIARAILDDAEARGRIAPGATLVEATAGNTGMGLALVAAARGYCLVCVMPEKMSADK RAAMAAAGAEVLIVPNAPPSSPDNFQNVARRMAAERGWFLTDQFANPANPAIHEATTG PEILRQCGGRVGAFVCGVGTGGTITGVGRFLKAHCPAARIVLADPVGSRLAHMIDPSH PDHDAGYQVEGIGGSVMPAVCDPSVIDAAERVGDEESFATARRLIREEGLLVGGSSGT AVAAALRVAAGGCDGPVVTILADSWDRYISRAWLR OJF2_RS15410 MHAMVREVGRCVSRFILLYWICFTFPFPLDLVGLPFAFAGEEDQ PAWMKAAGDGFTAAYSWIGKQKDDACVWAGRRALGVEVIIQPTGSGDTMRAYVGVALA AAIAAVAAPLWTVAVRLVRGKWPESDPDARLHVIVRVLVRFFLCEMFLGYGFAKVFPV QFAGPSPMRLAQPLGQMSPMGLLWTFMGFSPPYQMFTGAVEVVAGILLTTRRTTLLGS LVGLAAMTQIFLLNMCFDVPVKLYSFHYLMMTATLLAPDLPRLARVFLFGEAVPAMAL PRLFRRTGLDRASRAFRTLLVIAMILGQALGSYEQWGRVNAGDAAPVAGLWEPVSMEV DGKEPDESDPMRWRSLDFSSRRILRLAGPKPPNLGYSVTWEPAARTFTLTRFNRPEWS ATFHYSSPEADRLELEGSMDGKAIRATLRRAAEKEHELTSRGFHWIQEMPYNR OJF2_RS15415 MPRFTIKRLMLAVAGIGVALGLVQAYPFVAIAAFYAVLLAGASW LPARARPRIARWGFLAAATWLNASMLVFFAYQPVLHNSIYLFASSLIFLPIVPGLGMA WAAGGQGRVRWTRAAIVACACGLAFSMIATHWPLRLAFGLSSPALNRLADRVEAGGAI APGERAGLYRVFGTTALTGDTAIIIDPDPGGISAFVRRPGPARGSSRDWLDDGPGGRW WFLDQD OJF2_RS41185 MLARAAAGESVSYIEVVADGMDMPPGLAYAHGHLDAMYGNG OJF2_RS15420 MSGRVGPGPMAATEWRMASRRWQGYALRSVTVMLLFGAMAPAWL EAPEGPGQEPTIRQQARAGNAAYQRTAILLLGLVGLAAPAATAGAVCQDKASGNLALL MATELRDAEIVLGKLAARLVPVLGVILCAAPVLVMETLLGGVDPIGIVGGLLVVLACA VFGCSLALTLSVWGGKAHEVLMATYAFGIVYLLAAPIWWCVRATLPASWLGPWLPSFW EVMRYNPIFLVLASVDGPSLPTAPVTIGTQAAFFGLGLGASALLIGLATWRIRPVAIR HLGRGDRAAGRRAWASRRSWGSIPGASRLERLTRRAWPGPSLDRNPVLWRECRRRRPS RWDLAVRGIYALLCGGFSAYAIAEMARGMPPVLRLGPVVNGLQVAAGLLLLCISAATS LAEERFRGSLDVLMASPLPTRAIVWGKWWGAFRSVPPLLILPAAVGLASSYGTGRSWA VVPLAALILAYGASITSLGLALATWLPRVGQASALTVGVYVFLSIAWIAFALSAFGEG PGDAGLGVAAGSPLVGVFFYSGLLDGKGLPYEFASQTIWTLFWAVAHGGIALALLLAT LATFNRCLGRIDAPAILGEERGDGG OJF2_RS15425 MRKSREAAPESRGRIVKAAAREFREKGVVATGLADLMRAAGMTH GGFYKHFDSKDQLVAEAFADASEEMIGRMESQPTAGAAVAAYLSAPHRDNPASGCPLS AIGSELSRTDAKTRDAATAGFERLVDLLAARSPGKDARRRALVAASTMIGALTMSRVV SDPELSSEILDEVTKGLTGA OJF2_RS15430 MSAGKVLQWSGKGAGRANTFLFQNLREVAIDHQDGIFAGTNDLV EKLGGSPPTSLEAFIQKHRAAFE OJF2_RS15435 MSGSLSTSLLIGTTATVAALLPLGLARAARKGGLPRSLAPAVAA GMILWLTVAALLAESGALSAWAAVPPRWPLLPLTALATLLTLGLRPASRRLIAGVPPW QPVALQAFRVGVELAFWRLHAEGVAPIQVTFEGRNLDALVGLTAPVMAAGIASGRIGP RLTIAWNLFGLALLANAIATVATSAPGPIRLDWPGSPFVAIATWPVVWIPAFLAPVGI FLHVLSIRQSIARPGRSRISPETI OJF2_RS15440 MGRRWSFLAVVLLAGCSRPAAPVPQAEPPKEVSTDFKRLKSVLE GLRKPEAIAVYPGLPSEFWEPQLREVEASRNRTVRLQGYLFYDDRLELKGEVADRLAS VLTAERSFQRRRAAKKCGGYDPDYCIEWRSDGATTYILVCLECGEVKLFGPRAELYCD LSAESGQDLERWLKPFRKYQPPGSG OJF2_RS15445 MSMRRRPGFTLIELLVVIAVIGVLVALLLPAVQSAREAARRAQC ANHLKQIGLAIHNFENANQTLPSSRLGPQHASWVVQILPYLEQNNLYNSWNLVNTYYL QGTTTQVTQLETFYCPSRRSPMLSTQYEVSSTGLPDTLEHPGSLGDYAANGGQYSGPI VDDPLCSGAICQADSKQADTGQIAETKSRTRLRDMTDGTSNTFLAGEKHVPRSKYGQS GFSWGDGAIFNGDFPRNYNRLAGFPRFSLGQGPDDLSGPWHCRFGSDHPGICMFTFSD GHVAVLKSSTPMEVLNKLSVRNDGQIVGEY OJF2_RS15450 MNDRAPTSGDHRRDEGRHPDPTRRRFLGEAGSVALAAIGAGVAR GAEDVPARPVAGRSRTMLVKNATVLATMDAGRREIRGGGMFIRDGRIEQVGPTAELPA TADEVLDLGGHVVLPGLVNTHHHLYQTLFRVVPAAEDGNVFNWLTTLYTMWARMTPRA LRVATQAGLAELVLTGCTTVFDHSYVFPNGCRVDDQIPVAREMGVRFHVSRGSMSLGK SRGGLPPDSCVEDEAAILKDCRRVLEAYHDSSPGAMTRIVLAPCSPFSVTPALMKESA RMARAYKVHLHTHLAESLDEERYTTKNFGVRPVGLMESFGWVGDDVWFAHAVHISDDE ISTFARTGCGVAHCPSSNMRLASGMAPVWKYRKAGVRTGLGVDGSASNDGSHMLAEAR MAMLLARTRLSLTPGGPPADKSRWMSARDVLEMATRGGAAVLGRDDIGALEPGKRADF FAIKLDRIEYAGGLHDPVAATVFCSPVRADYTVIDGRVVVDRGRITTLDLPPVIEEQN RIARELVDG OJF2_RS15455 MNLVTGTGKLGEVLGVNRNGIRVGGINISDVNGILSGGLGPGKW TGDSLTLADLSIDTEEFGGWEGGLFGTQFLYFTSGGPGYDIAGIEQGKNDPNALAGTV MGFNSLVATQPLSRAELYQLWFRQELFDRKFVFRIGKSVPTFDFNNVLRAVPLQDNAE TIQAISSVLYTPVFVNPTMLGVMPGYYNSATGLVASLVPNNKVYAQYGLFDGNLARGV QTGLTGPHFNGYALHLGEVGFNWRIGADEKPGKFGIGGWGQTGKLRGLGGEVVDGAGG VYLFASQRLYWEAPGRNHNGLTSFFQFGATNSDIVFTHRYFGMGLTYFGPIPGRDDDS VGFGLAYGVMNDEPKAGSVFFTLPPGVSLRSTALGKDETILTWYYQMKMADGLLFQPN LTYVPSPARHEGIPGAFALTLRMILLF OJF2_RS15460 MEKVVKEGGDFTAEFRLVGISAIAVAIGAVCALVALGLLRMIDV FTNLFYYQSLGFHAHPPAENTLGWWAVLVPVAGGLIIGLMARYGSERIRGHGIPEAME AILIGKSRMSAKVAVLKPVASAISIGSGGPFGAEGPIIMTGGSIGSLIAQGFHLTAAE RKTLLVAGAAGGMSATFNTPMAAVLLAVELLLFEWKPRSLIPVALASAVAALLRPYLL GSGPMFPVAAHGTLPTAALAGAVLVGLLAGLLALLLTVAVYAAEDAFHRLPFHWMWWP SIGGLAVGIGGLIQPRALGVGYDIIEDLLSGRYDTRLLAGLIVVKAVIWAVALGSGTS GGVLAPLLIMGGSLGALEAGFLPGADRALWPLVSMAAALGGTMRSPLTSVIFALELTH DVNTLPALLIASTIAHGFTVLVMKRSILTEKVARRGYHISREYAVDPLERLSVGEVMT ADVVAVPASTPVRELMARYFLDGGPGRHPGYPVVGPAGKLLGVITRSNFLDHWTGAYL GGQGDAIGVGPVIAYDLIDRPAVTISPGDSCRVAAERMARSAVKRLPVVSPDDPGRML GIVSLGDLLRARQRFLDEESTREQFYALGRRVPGTSG OJF2_RS15465 MNDVDDRLAGDPVWELFPAQSARPRATRLVRGSVVAGLVVLTYF LSPPLSVGIACLAVMHEDLRDGWRLSRTMPDKAGGAICALFAYSWGAFKLGVAGFASM MVIAMIAGHRADESRLMAGLATAALLWLGGFTASSVLAAAGLVKALRSGMRVWIGEGV NQARSLLLGMLLTGFTYFVLGPLTFLLARIPPGEGNQVVFIPLFMLGFVACVFVAPVG ILLVLDWACRKVLAERPAKFGPKVPAVGKWDRT OJF2_RS15470 MQRREFLAASTAAAVGVMGTRTDLVADEPRGRQFYELRVYRFPS RAKQSLYESFLSESAVPAFNRAGINPVGVFRLNAADNPGLKLEGDSTDLYVLLTHESM ESVLALEARLAADEAFQGSGRAILRAPKSDPAFSRYESTLLHAMEGFPRLRVPEKGPS RVFELRTYESPNNERAKNKLDMFNAGEFPIFARAGMPGVFFGGAIVGSQLPQLTYMIM HPRREDATKNWDSFRQDPEWKALSSNPGYAENVSRVTALFLRPVAGSQI OJF2_RS40605 MANPDRSTDPGEDPPTRPGSPGSGPAETEVRVPPPEATRMEEPS TRRADETAGASEPGGGDGVEEWPGGVRVRYIGDYELQGVLGRGGMGVVYRARQVSLNR PVALKMIRDGELAGPDDLRRFRNEAEAVAALDHPRIVPIYEVGEHDGRNYFSMKLVDG LSLEARLGDLGPDPAAAARLVAAAAEAVDHAHRRGILHRDLKPANILIDRDGTPHVTD FGLARRIEDGPGLTASGAILGTPSYMAPEQSYGVRAAVTTASDVYGLGAVLYALLTGR APFGGDSVYDTLRMVREAPPEPPRRLNPRVPRDLEIIALKCLEKEPRRRYASAQALAD DLGRWLRGEPIEARPVGVAVRLAMWCRRRPAIAGLSAALAVVAAAGLVFGTSQYRAAL DNAEAASRNESAARGRSAELAVVNRSLVASRDELRRNLYVADMTVANIDRENRQFGRM SDLLDRQVPRARVGRPPGLRVALPAPPGPRRAALVPGGGGGAGLDRLQPGRKARRHRL GVGADRAARRRVGPGHRVVAGAPVPGLGPGVQPRWRMARLVPPARLRRLRPGGCRDDL GEPGRVARPHARRAARRLFGVRDRPRLPPRRPAPGDLRQPVANLGCGDRPAGSGLARD OJF2_RS15485 MAFSPDGRAVATTQVDFQGKVPAPVYVVERDLAGGAAPRRYLLP RERALGRVAYTPDGRRLLGTGYGPNLYVWDRGRPEPAHVLSSPAGSTLDGIAASPEGR RAAVAVRDLRHVQVWDLETGRSLGIRAAHGGDAKDVAFRPDGRLVASVGRDGVIRYWD PSADPESRVLRGYSRGVLGLAIGVDGTLISSEWQEPGEPGRIVGRAQAWEPPTGWRRW RLERELGGTFSPIAVGRDGRIALGGGESPITWLDAATGVTAGDLGPRGRVTIAAMALS PDGALAATAEFAGQVVTLWDLPAGREARRLVGHSGSIHTLAFHPDGRRLASGDESGEL RFWDLDGGRAPRLLESRGIWGAVVDLRFTRDGRLVAADARPAVTVRDPDSSAEPLVLR GVAPGVSCLAIDPSETRVATGGLDGRIQIWDLGTGQELVSLRAHRGPITCLAFLPDGL GLASGSLDGTIQVRDARPVVRPGDQLPPLGPIPPDPHDEGFPADPFAP OJF2_RS15490 MTSPRRWEASLGALPDGDGARFRVWAPEASSVEVVPGGQEPSFP LEKGDEGHFTGRSNRIRTGDRYRYRVDGRGPFPDPASRFQPEGVHGPSEVIAPGRFSW SHPDWPGVSPEDLVIYELHLGTFTPEGTYAAAAARLRHVADLGATAIELMPVADFPGR RGWGYDGVDLFAPARCYGRPDDLRRLVDEAHGLGLAVLLDVVYNHLGPDGNYLAQFSP SYFSQTHGSPWGPAINLDGPNSAPVRDFFVENALHWIHEYRMDGLRLDATHHLHDDSP RHLLAELSARVRGSIGRPIHLIAEDPRNLAHLIQPEGAGGWGMDGVWSDDFHHELRRY LVGDSDGVFRDFRGSLADLARTLERGWLFTGAYSIHRGYHRGTDPAGLPPRRFVFNIQ NHDRIGNRARGERLNHQVDLATYRAATALLLTSAATPLLFMGQEWAAGTPFLFFTDHD EELGRKVREGRRREFLTYAEFADEANYALLPDIQADSTFRACKLDWSEPEAEPHASTL RLYRALLRLRREEPALRSNRCGGLHAEPIGEEAMLLRHDPGEGRSLALVARLKGTGAV PVPALAGEDQPRWTVLLTTEDPPFAPDPMPPRIDVGGPGPTIQFDRPGAVLLAAES OJF2_RS40610 MPTMEAPERSLEKDQVRARLERDLPDFLRKQRWFAGKARELDSV RLVDATGAGDLPGSNRLIVVEVRYREGNPDLYFLPVAWAAGDEAARLEREYPVRVISR LDAGPGAGILYDSLADPAACTALLDCIGDSCSHATRGGVIRGEPTSFFDAARGPTDRP LPIIRGSAEQSNSAVLYGDRLLMKVFRRLEPGVNPDLEIGRFLAERKHFPGVPKPAGS LWYERPGAQPILLCLLQELVRNQGTGWDHALHEARLYFEQVDRRPGTPPPAPVEEGSL LDLARTDPPAEVRKFIGPYLAAAATLGRRTAEMHVALAGGPEDAAFAPEPLTRQDLRK IAEGVRDQVRMALGSLKDKLDGLPAPVAEPAGRVLAGAPALLDFLDRLPGMNLSASKI RVHGDYHLGQVLRTGEDFVILDFEGEPAKPLAARLEKQTPVKDVVGLLRSFDYAAFAS LFAFARDRPDSFARLVPWAHAWQVWVGAAFLKDYLATASGASFLPADPGQLALLLRTF TLDKALYELLYELNNRPDWVGIPLQGIVALIEQRERARPTAEPDRERVPAGRYPVLRS AISDFDVHLLAEGTHYRSYEKLGAHPAEQDGAAGIAFAVWAPNARAVSIVGDFNGWDP SATPMQPRGRAGLWERFVPGLAPGSLYRYAVTGSGGATVEKADPYGFATEIRPGTASM VCDLSRFSWTDQDWMATRRGRNDLGAPISIYEVHLGSWMRVPEEGGRWLTYREMAPRL ADYVFEMGFTHVELMPISEHPFDGSWGYQPTGYFAPTSRFGTPDDFAALVDTLHRRGI GVILDWVPAHFPRDPHGLGEFDGTHLYEPADPLRMVHPDWDTYTFDYGRREVSNFLIS NALFWLDRYHIDGLRVDAVASMLYLDYSRRPGQWTPNEFGGRENLDAVQFLRRFNERV HAEYPGVLTIAEESTAWPMVSRPTNVGGLGFDLKWDMGWMHDTLDYMATDPINRKYRH DRLTFRGLYAFSENFVLPLSHDEVVHGKGTLLGKMPGDEWQKFANLRLLFGYQFTQPG KKLLFMGQEFGQAREWNHDISLDWHLQEYPFHKGLQRWVRDLDTTYRGEPALHELDCH PSGFSWVDCSDSEKSIVSLMRRARSSDDLILVVCNFTPVPRHNYRLGVPRAGHWEEIL NSDAGLYGGSNQGNIGGAGTVPVYSHGQEQSLTLTLPPLAVIALRWRNPERAR OJF2_RS15500 MAPEESKKPKSPPRPAPSRVIVEGVTPEIDAGRFPVKRTVGEEV VVSAFAFTDGHDNLAGVVKYRVVGAADWSEASLRPLGQDLWTGVFVVRQLGWYEYTVE AWIDWFATWLKEITKKAEAGQDVGSELLEGARLVREAAGRATGAEADWLRARADVLGA PSDQGPRVAAAIDPALAAVMVRYPDRSRTEPYDRVLRVMVERERARYGAWYEMFPRSC SPEPGRHGTFRDAEARLPYVASMGFDVLYLPPIHPIGRSFRKGPNNSLTAGPDDPGSP WAIGGPEGGHKAVHPELGTLEDFDRLVASANALGLEIALDIAFQCSPDHPYVREHPQW FRHRPDGTIKYAENPPKKYQDIYPIDFENDDWEALWAELRDVFLFWIGHGVKIFRVDN PHTKPFRFWDWVIRQVWDKHPETIFLAEAFTRPKVMHRLAKGGYPQSYSYFTWRNTKW ALTQYFTELTQTDAVEYMRPNLFANTPDILHEFLQYGGRPAFQIRLVLAATLGATYGI YGPPFEQCVGAAWKPGSEEYLDSEKYQVRHWDLDRPGNLRAFIARVNAIRRENPALHH DRNLRFFQTDNDQILAYGKATPDLSNIVVVVVNLDPYHVQSGWVHLPLGELGLGAGPE SAYQVHDLISESRFLWHGESNFVLIDPQASPAHIFRVRRKVKTERDFDYYM OJF2_RS15505 MARTKMATLWLVGLGLATIVHNARGEDFYYAIVFGSQSRPKLLQ YTHTWATFIRAVGDGADANNYTVYQHTISWLPDTLDVRTWSLLPERGVNLDLYQTLEA VGRDRERVTMWGPFRIQQAVYERSLRVKEILDSGHAEYRAISTPRNLLVSDCIHAVAA VDPVFGRNHYPLIRVGNPASRYIARQVMTRSAFDQWQSDNSWLIPRLGLDRYPIQVIP PQQIPKRSCFLCKLAD OJF2_RS15510 MSSRVRRMGWFSGWAVVASLALAAGWSASASGPEAGSDPALERT RAQAKMLDDLFKVAVVDITNRYNGQPAVKVAKSLFAAAEQKQYFKAKLMDATGNPLDE ANVPKDDFEKRAAEAMRSGKTYLEQVTGQGESRRLRVATIVPAVTEKCARCHGVQKGD LLGFLSYDLPVK OJF2_RS15515 MSPETQVAATIEDLARVEGKAELIGGRIVRFIPTGYRPGRIAAR IFRSLDDHAEATGRGVAIPDNVGFAIAEIASGRQSFSPDAAYTMGPLPSNPMRFLEGA PTFAVEVRSENDYGPAAEAELAAKRADYFEAGTAVVWDVDPVRRLVRKYLPNAPGDHT LFAPGQHADAEPAVPGWRVAVDWIFT OJF2_RS15520 MATFRFEDDDAEQATIPAQAIGQVPDNLGRYGAFGGRFVPETLM DSLNRLAEAYEDAKRDEAFQAQLADLLKHYVGRPSPLYHAMRLSEFAGGAAIYLKRED LNHTGAHKINNAIGQVMLAKRMGKTRVIAETGAGQHGVATATACALFGLECTVYMGEE DIRRQKLNVFNMRTMGANVVPVSAGSKTLRDATNEAFRDWMGSSNETHYTIGSVVGPH PFPMIVRDFQSVIGREARAQFLERTGGKLPDAVVACVGGGSNAAGMFYPFIEDTDVEL IGAEAGGRGARAGDHASSLTQGRPGVLHGSFSYVLQDDDGQTMDVHSISAGLDYPGVG PEHSYWKDKGRVRYENITDAEALEAYGTTARHEGILPALESSHALAQAFKEARRLGPG KTVVVCLSGRGDKDAFEVARLRGEPITL OJF2_RS15525 MNRIDVLFGRLREQGRHALMPFVTAGDPDLATTGLLIREMVRRG ADMIELGIPYSDPVADGPVIAASYQRALERGVKVAHILDAVRAIRAEGREELDATPIV SMSSFAIIHRVGVERYLDDAAAAGLDGLIVPDLPVEESAALMEKATARALKLIQLITP TTPKARAEEIARMTTGFIYFVSVAGITGERKELPPDLVANVEWLRARTELPICVGFGI STPDHVRRLAPVADGLIVGSALVRRLADAADRPRPEVVRDIGDFVGELAGAMKPGEAG OJF2_RS15530 MVDPWFDLTTQDRLEPLGEPTRALDQESPRRERDPEPPPRPAGL PRQVGRYRVVKLVGQGGFGLVCEAYDEQLKRRVALKLPHPHLVASQGDAELYLAEARM VAGLDHPGIVPVYDVGSTAEHPCYVVSKLIDGQNLAARMRRTHVTPSQAAELVARVAE ALHHAHGKGLVHRDVKPGNILIDGQGRPYVVDFGLALRDQDVGKGSRYAGTPAYMSPE QAWGEGHRVDGRSDIFSLAVVFYELLTRRRPFRGEGREALLEQVTRHDPRPPRQVDES IPRELDRICLKALSKRASERYSTAMDMAEDLRLFLEKEARRIEEGEAAPPPAPSPSPP PPPPLATPMPPSRDSLPLSLLPVNIVPRGLRSFESRDSDFFLALLPGPRDREGLPESL RFWKTWVEAEADRSCPVGLIYGPSGCGKSSLVKAGLLPSLSRDVLKVYIEATPGETEA RLLNSLRKQVPALGEALGLRDSLAAIRQGRLLPAGRKVLIVLDQFEQWLHARREDEGP ALVQAMRQCDGERVCCIAMVRDDFWMAATRFMKAIEVRIVEGRNSMPVDLFDLGHAER VLAAYGRAYGRISGGPARRQREQAWFLREAVAGLAQDGKVVCVRLALLAEMMKDKPWT RSALAARGGTRGVGFAFLEENFGDAAARPEHRYHRKAARAVLAALLPGPGADIKGHMR SLADLREASGYAARPQDFEDLIRIFDLELRLISPTDPEGTGAEGPEPAASRGGPGGRY YQLTHDYLVHSLRDWLTHKKRETATGRAELCLEDRARLWESRPEGRSLPSFLEWLRIH AYTRAAARTAPQRAMLRRADIRYAAIGALCLAAAGAATWGGYEAAGRFRAGVLVGSLP ASATSQHPSLKARFAAVRRWAGPQLRQILGAADPASQEYLHASLLLVDEYPSRVGYLG DRLLAASPGEVAVLREALAPHVPEVIARLRSVLETARPEDERLLPAAAALAGYRPDDP AWDAGAAKVARALLVTSPASIGVWIEEFRPIAARLSVPLQALFHARDLSESERVLAST VLASYAADPPRQLAGLLMDADPRGFAILLAAARRQEARITPLLEEELARRPSPGDRPA ERTRLAARQARAATALYLFGRPDEVLSRLRHSPDPQLRSDLIVGLRPMGADPRTLLDA ANELGARARAAPAMEEASILDPTTSTRRALILALGHYDPAELGEGGPGFIERLVATYR EDPDAGIHGAADWALRRWGLGVRLDAIDGELGKAKAPPGRGWSVNSQGQTFALVRGPV EFVMGSPPEECRQGTLDIRHRRLIPRGYAIGLKEVSLAEFQRFLAENPGVRPNLDRAY APELDGPMSGVSWFDAAAYCNWLSRKEGLREYYEPNSQGWYGPGMRVRADAESRDGYR LPTEAEWEFAGRAGAATARYYGSADDLLTHYAWGNITSDGHAWPRGRLLPNDLGLFDT LGNVYEWCEDRRSISHEGPQEPLTVDRLDPGEVVERDQDCMIRGGGFVDVARDLRMPQ RAWNRPINQLGSYGFRIARTLVSPPAPIAGR OJF2_RS15535 MPAPAPLDRRTVLKGLAGVTLSLPYLEAMGGEAARRPPRRFCAL YTANGMSLPNARHGIPEWSWFPAKEGKDFELGKSTEPLRPFRDRISFLGGLQHPNGSK ADSHICSDMWLTGAPLHDPKPGAYNTVSLDQVIAQHTKKDCRQPSLVLSIDAGVGFLS RTGTISYNGEGKPIPAENNPRRVFNRLFRGDASAKGVQRERLQGRLRLVDAVLESSRT LRRKLGKSDGERMDQYLTSLDEIEGRLVASERWLDVPIKAQDYGHLNLDATSEGAPGD YYRNMLDLIALAFDADITRSVAFMLSREDGMGVSDTFPIKLGLGATHHNLSHAEDKDG QTAFARYDRFLAEQIAHFLGRLAEYRDAGASLLDNTIVLFGSGASTTHNPTNLPTLLA GGAGMGLKHGTHWRQPGTPMSNLYLSILHSMGIEEPSFSDSTGVLSEAVFTRV OJF2_RS15540 MAICWILPADARGGGDESPPAVTERGVGGTFRDVVKPFLDRHCA RCHDAGMMKGGIRVDRLSEDPDDSQLRVWTRARKQLVEESMPPADEPQPAEADRAKVA EWAGRTIDAALARQAERNGSVRRLTVPQYRNALRALLGLDEDLTEGLPPDALSKDGFS NNAGAMVLSPLQAEAYFDIAAKAIDLCLVDDTARPAIQNFRVDLGRKVNLRPCPDTLV LGAFSALLDNEDFAVTELTPGKPFAFTPFRMRTKYEFLEGYVGNDTIRKWRKFDGLAH AVFACVRGTTGYPKGEPFEAVPEGLLLRPAIPGPVVNGIANTYGPMANFKIAVRELPD GGNFRVTVRAARYDDGLLLEAGTPPAAGRAAAEVTPDRSGAAAVAIAEPGIYRIDAAG AAGAGKGKLVLRIDDREFSGDLPEAKPDAEAARGFLVVRLEAGVHRIKARHGEKGTLR LALRRVDEGSELGRRFAAFERRSPWLGVHLGLRRDCGSTLRRVGEAQAVPAGPARDFV FSGPINDFPSPDVEPDNVNYLAGIREIAVRSEFTDGRDMPRLLVRSVEFEGPYYSEWP PKTHRDILIDSPRRNEPEAYAGEVLRSFATRAYRRPVRDEELVVLMDVWRRAYAERKE FRASLKDALAVVLTSPQFLFVIEESSGPEAEDLTEYELASKLSFFLGNGPPDALTLDL AAAGQLRRSLDGEVERMVADPRFGLAMQEFVSQWLGLDRFDVVAIDGGRYPRLTREMK AELRNEPVRFVEHLIRHNRPIRELVDSEFLIANDAVASYYGLADRGEGGLAFAPIRHG DPHLGGILCQAAILSGLSDGREANPVKRGAWFARKVIAEPPDDPPPNVPKLPEDDGSR LTLRQKLERHRSQPGCVKCHSGIDPWGLPFEPYDAAGRFRDAPIDAGSTLPDGTGVRG LEGLKAHLLADRMDRIVFSFLKHLAGFAVGRSLTYAELESFRAEARKGVPGDRRMRDL LEFVVKSDAFLKK OJF2_RS15545 MSRRSPRPGDPRESGVESFERFVEALAPGSQFHVALNHLADTRV VVKDRRGRFLWVSDNVPARHGYASGREMLGLTDVDINPKELAAVYGQDDTSVLRTGRP ILGKTEIAFDEAGLPAWFLVNKLPLRDRRRRVVGLIATIQDIPEGRTLPSPGGELRTV IDAIRSQLDRPLRIGDLASLIHVSARQLERRFLQATGLTPSRYIARCRIAEACRRLRD TDEPVGRIAVAVGFYDQSAFTKVFRRQLGLTPLQFRRSRSRSSGA OJF2_RS15550 MPLRDHFRPPLTRKRSWDGLHGMWPAVMVTDLNRRLPARYAAAP SVHLGGTFEVDVGSHEEVSAGGRPRTAPDEGGVATAVWAPPRATIEVATELADQDEYE VRIYDTEEDRRLVAAVEIIAPSNKDRPDSRRLLVAKCAALLREQVSVAIVDVVTTRQF DLYEELLDLVAHANPSPATEAPAIYAAACRWRRIGGAARLQTWACPLILGQALPTLPL WLADELAVPLDLEATYEDACRNLRIA OJF2_RS15555 MAKNNRLSRDQKRKAKLKKRAERSRSHESLAYAGNKYKTAALTP WIFRTEVGIYESYVMSGGEMTDDEVEEAIEHMILRMRQGTLSPLPEPEPEPGAVAALD RDEEDLVARNIRSNWRRFAEQNGLPAKEDLIGVLRTILSSLSIWRSKNMHPQGYYRFL KEFMKDLGVNVQRVTVAPESLPGPEEGPPGADQGASSAEVAGRTETGE OJF2_RS15560 MQQRRVGRTGLKVSSICLGTMTFAGQCDEETSFRILEKACEGGV TFLDTADCYPLPVSPETAGRTEEVIGRWLADAPGRRDELVVATKCRLRVGHGPNDEGL SRRHIVAACEKSLKRLRTDRIDLYQSHFPDPETPIEETLRAFDDLVRAGKVLYVGCSN YPAWQLALALGVSERDGLARYDCVQPRYNALYREIEPELLPLCRDRGVGVIVYNPLAG GMLTGKHRGDAPPEPGTRFTMGAAGELYRERYWHAAQFEAVAALKAACESRGLDVATA SVAWVLAQPGITSAIVGASRPEQLDATLPAADLTLDDETKAAFDAAWWSLPRRPIGR OJF2_RS15565 MPATLTPSQPRTEDLTWDEVHHRLIPLLRADNVTNVYYILGEYL GLAATLTACGWLYGAWSAGHVATAAFVPLAVLGMILVAAFQHRLSGLGHEGSHYALFR NRLANELASDLLCMFPIMGMTQRFRVTHLAHHQFLNDPAKDPDVPRLHFDEDRYPFPM SKATFWYRYVLGAFWIPSLWKYLAGQAKNANVTAGFKEPKGVYRFRVGRCLRGSFWLP VLTTVHLTGSWPIFFLFWVSPLVTFYAMFMQLREIAHHSNAPEDDGEFRHSRNFFCSP IVRWAIFPYGQDYHLTHHVFGLMPHYNLKKAHEILQKYPPYREQAVACHGYFFRRWGK PGPTILDVLSGRYDPAPAGRAA OJF2_RS15570 MASVLEGQMVEIEDMPQEFIDEGGGRSSVAHLDLHRWRATMIGE LNASPVRPRLPLALAGLGCIHLLAFLLCQACYFPDGRADLRHPLLWFLELVGVLAFFT GVLGPGWMRSTLAMNLVVKFWTTFLILSFSAVTLNSFTGFELAWYKPIWGTLSTFLLA SMAWLFTPWFFVPAVQMWLTGLLIVNLPDYAFLIYGVSWWIALVGIAIRMRQSDLRRG IPGPD OJF2_RS15575 MSSTPDPHSPGALQADEGDDGEILLEPTVQLAASTWGGPLAPAS PPSQSFHMGLARESLPSITTETGELLRSRLKATAIFLALGYGVFFLLGLFEPSSVQHS AVLTLGLRLILCTSVLLLLSGGAELGYRQLRLVEYGFFGGMVLLMMFSQYSVGSTLID EGDLPRLVAVEKNGIINLLVLTMLYSVFIPNDPRTTARVVMTMALGPFLVLAALQWKS AEAPAMVDRLARAGSPIGNMLFVILSAALAIYTSHILNHLRRDLHKAKKLGQYRLGEK LGEGGMGEVYLAEHQLLKRPCALKLIKPDVNSNPIALARFEREVQTAATLSHPNTIEI FDYGHADDGTFYYVMEYLPGLSVSDLVHQFGPLPPGRAVFLMRQVCGALSEAHRMGVI HRDLKPANVLVAILGGKCDVAKVLDFGLVKLTAQSDSVRLTADYTVSGTPQYMSPEQA MAADLDGRSDIYALGAILYFMLTGRPPFEGATPTELMIAHARDPVTPPSKLRPEIPPD LEAVILKCLEKKPDDRYPDARALSAALAGCTCAGDWDDPHAEQWWTDQAAALAASEAD LQPA OJF2_RS15580 MMIHFACPACGAAFDLDERLAGRTGRCKACGERMKVPSKGAAGP KAPAGPKHPSLAAIAASVGGIGAGPRLTPIAPDGLAPRPGMSLSGGRPLNWLEAVNSQ VALAPISADNFRGLRSRPSPMDEPSIPGPYKLRSMPSLPAYQAAAAGSKPAGAVTRGY RRGMGSVQKAFRWLNEGAYFLSIPFVMCAILGLVLRNHALLVFGAVVVILLNLTRVVT GVINLAVVPFRQGPLQGVLFLIPPFTFVYVAKNWHKVHKPVMRILGPIATIGLVALAL LAEPWLPGGKKPEGSVQDEATRSLGAMTGKVQEELKDLPALKKQGMDALRDAASALKP KSP OJF2_RS15585 MLSFFDVYYVAIKAFFFYGLLTAIVKFENLQKSWLLIALIYAGG AAFLSWVWLVAAGRVPFPEWQRWALMNAGISLVYFRLITWFDEGVLFWTLLLLGMAVV WF OJF2_RS15590 MPRVTPRRGITDLSLTCVVATHLWHHTFLGGGLCDLPAWTPARV RPSDVPTVDVLSSPVDAGCAAPA OJF2_RS15595 MRRYKVVLHRTDEGISVSVPSLPGCWSEGDTEEEALANIQDAIE DYLIALDEQLRDAEVREVEVAV OJF2_RS15600 MAKLAGVNHLDAVRVLEKAGFWIIRQGKHIVMTDGTRLLTIPRH NPIKAFTMGGIVRDAGLTVEQFRGLL OJF2_RS15605 MCGIAGAIDLTGKREFPAARLLAMTGAIEHRGPDDEQFHIEPGV ALAATRLSIVDLAGGRQPLCNEDGSVWVAQNGEIFEYPELQKELLTRGHTLSTRCDTE LWVHLYEDMGRRMFEKTRGQFAVSLWDRKNRSLILGRDRVGICPLHYAEVDGWLIWGS EIKSILASGMIPARADRKGIDLFFNTFCAGTSRTFFEGIKMIPPGHFLEIRDGRVELK QYWDLDFPDAGEERRLDDPTPLIDELEHLMRTAVERRLRGDVPVVSYISGGLDSTVVL GLSSRERGYAVPSFTIGLDRAGPDERSHATESAAALGSRLTTVTLTRRDIIDAYPELI RAAEGPVMDSACACLMRLAGAVHGQGYKVTLTGEGADEALAGYAWFKTQKIRDRLRKK FGNGVPSAIRASVLALMGGDRSRLPDRFPLQGVRTAQQDLQDLLALGRSALYSGDMWR QLGGHNAYEDMGINHPRFTRWAPLNQSLYVGYKVMLAGLLLNAKGDRVAMNSSVEGRY PLLDDDVINFCTTISPDYKLHGYTDKWILRQVAARTLPRQIANRPKTMFRASRSEAFL DRTRPAWVDQLLSREALEATGYFDPAGVARERAWQTRMPRITPRRGIMDLSLTCVVAT QLWHHTFLGGGLCDLPAWTPARVRPSDVPTVDVLSSPVDAGCAAPA OJF2_RS15610 MVLPLGDLVPTRIVPVVTYAIITANVLMFLVQENLGERFTYAYA CTPWEVTNGEDIDQPVEVGPPVPMRVRDPDDPTGRRILVVRQRQVIEHAPSAIPPWMT LFTCMFLHGGWMHLLGNMLYLWIVGDNVEEVLGPFLYFVVYIACGLAGSLAQIAAAPD SIIPTLGASGAIAGIMGAYVVWFPQNQMRVLLFRIITVLPAVIVIGGWIVLQIVLGAG AFGQMGKSGGVAYLAHVGGAVTGIAVALLFYNRAQYMKARDEAASGWSQYGPPDPYA OJF2_RS15615 MDTSCSIAETHPLHRLFRGLTESTFMSDLGIGDPSLVGYVSQLL ARFVSSQAIWAVRDKQGRRVGQVAEMLAEAESAPDDDRRRDCLRHVGDFTLFWTGVYP EALPKLQAAPLADSLISFQEQGKRSYYRASTLSPAELGGVFRRLSEEFELCAFGLSRV RREWEKLEASHGQPGRMWA OJF2_RS15620 MSTGGPFRTPAGMMRRLVASRKPWHLPASGAALSVLLALPALGA GWILDDYYHRTILLGRSRLRDELGPPAEMFRFFRGDPARTRRLVDIGLFPWWTDPSLK AEFLQALSVLTHRLDYALWPDSPPLMHAQSLLWLGAAAAAAAAYYRRMFGARGVAAVA AFLFAADDARGATVGFLANRNVLVAATFGISALIAHDRDRRGGSRVGRLLAPLLLLAA LSSKEEGIGTCAYLASYALFVDPRGIRRGVLALGPCFAAVVAWASLRAYWGYGVRDMG LYIDPLADPSRFLSALPGRMLVLLLGQWSPVPADLGTLLQPARFAALACLAAAFLAVL LVAMAPLLRRDRLSRFWAAGMLLATIPVSATLPMDRLLTFVGLGASGLLARFWQSAFA ADGPPPGPRRGRLVEGVAWFLVAVHAILAPLILPARAANPLGPAWIERRLYVPTSMAL GPEDRAVVIVNAPSPVHAGYLALRRELDGVDSSAPVRVLAPAIPSVRIRRLDDRTLAV RPRGGYLRWPLDRAFRSERRAFRAGDEVKLTGMTVAIRSVTPDGRPEEASFRFDVPLE SRSLLWLCFREGRFEPFTPPAVGQGVEIDFRWNRSLLSPRRGAGYDEGLPPGPAARKA R OJF2_RS15625 MTRKCDCAGACGPQWPEVSRREFIELTGIGAAGAILAGSAGTRT FAAEPAALEAWKATLLDPKARRVYKSGTHDGARMHLGGIGTGNFEIGADGQLTTWQLF NTLRDGEVPFAFVARAGKAARLLQTRGGPDWPRIRKISMTGEYPLATLRFEDPELPVR IELTAFSPFAPLDTKLSSTPAAVFAFRVHNPGGAAQAVSLGALMLNPVGYDAIGPIED HAHPNFGGNVNEAFDGPAAKGVAFRAVPAAEATIDGEVWIATLSNFKDLTKPHRDWPS GLRVEAHEKPPQGGLTGKEPSRSLIWMEDAPADLSPTWLERAKAAVEAGATLVFSGRE MPLLKALGTAPGGGDASRREARPDVVFEDFEDGYKNWKVEGKAFGDVPPAGTLPGQQP VSGFEGKRLVNSFAGGDDTTGRLISRDFAIERPFIRFLIGGGFRPTTQIRLVVEGKVV RTATGRGDERLAPGFWNVGDLAGKTAHLEIVDQQAGPWGHINVDRIVFADRAGDPAVV RLLGELLPTRFRDVVATGPSAVELVGREDRDGAQEGTAGGLKVVSRRVGKGKVAIVLG PILERHHAEIGAARHRAFATLCELIGVAYKAPAAVTPKAPGFGTLALATTGPDATVHP SFDDWGQAWRQFSEHGAFPAAGSSAPATPTAPGKSASGAVASTLTVGPGETAEVAFLL TWHYPNKYNDHGVWMGCHYAAQWQDAAAVARDTAANLAAYREKTERFRRIFYDSTLPY WLLDCLTSQAAILRHVGIVFRIASGDVYGWEGSNGCCDPTCTHVWGYEQSLSRLFPDL ERDMRRIDFRHQQLPNGGINNRTYFPSPPRPTGERPFADGHASCILKAYREALNHPDD SWLKDYWPAVKKAVEYLIARDAAGSGGEPDGILRDDQWNTYDEALHGVTAFISGYYLA ALRAGEAWARRVGDEAAATRFAAIREKGAENLQTLCWNGEYFEQKLDSYKTMHGEVGP GCMSDQLIGQWWAHQLGLGHLLPKEKVVSALKAVFKHNWKTDLTGWKHMPRAFAGDGD KGLIICTWPKGGRPDHVMLYSDEVWTGIEYQVAAHLIYEGLVEEGLLIAKAARDRYDG INRPPIPRNPWNEIECGGHYARAMSSWSLLLALSGYEYDGPARVLTFAPRLTPENFKA FFCAPEGWGSLVQTRGAEGQRNTISVAEGRFPIAELVLQAAQPPKGVAVTLGGNPVPA ASTADGDRVRISLTASRVVTAAEALTVVLS OJF2_RS15630 MRLQLARPQPPAGPFQRGAMMRPPIVLREMAQAGTSLTLLVEDP DRTIEAIEPGDCRVTLFRDDRDTDLLKGEAAGQANAAAGSPEQQPSEGPWTAEVDPGG HWATVTVHSPHLPSGSATRIQLEGTLVVRYARGERTVEQKNVDLNMDKITATPIPMIV CRQQDFPAMGRMRGVQGGTQVILFYQGSLTGIKKIAFVDADGTEIEAMNSGSGSNGTL NQSYYRLGKKVDRCSVRITIPDNIETATLKISLTTGIGLPPGVRRSLVEPGNRTTR OJF2_RS15635 MMEALLAIIVIVAFVAAVGHLIWIGVAALIRWLAGLSSDPRDRP LAVELCPRCGEVVVRGLDGKACILCGWSAAGLSGRVRTDTGRVLEQLCRRVSRYEEVG LIPPGLGDRLIKAIRAEAPPKTAHSVAMPVAPAPEPVEITAWEAEPEPIPTPRSEHPA PTEPETARDVREGVAARAREFAASMARRQEVTPAEAMRPAPPKPPAQPLSKLLSAFLE EKNIRWGEVVGGMLIVGCSLALVISFWASIAERPWLKFGLFNGVTAAIFAIGFHAERH WRLPTTARGLLVIAGLLTPLNMLAVASFSRASAESPAVWVGEAVAVALFAVLCLGAGR YLAGKAAWALPVAVVVPSAAMFLIRRLAGPESATGALLAIGAVPLAAQAAAMGGLLHA VRRGSEADEPSALDLLRALGLGAFAALLALGLLVARSGPAVDVVRRLSPLLPLAAAPG LATGLWLWRRATATDLAAYRTAGTSIAAGALLVSLAAVAVPWPDPAGMTLGAGLNAAI LLAIAVVLEIPAAHAAAGACLSLTYLLGWLMLTGRLAGTASSAEALAAILSRSSGLIL MPLALAALGVVATASRRGRAIEAQAYALVAALVAAVSVGLVAWHGFGLEGDPAGAAWV FAAYAAAAMGCAAWFACWPLFEASGGIAEVRAMTGLGSGLAFAAIVQGLVFGLRTRWG LTAPWLDALLAHATSSLIAVSMMERLAAAEPAEAHPVAGRSRLPRRLCTAAESAREIL VMPLIPAAVLASLGWLWLLAGTPMSSMAGHAAWLAIVWLGLGWRKESPAMFAAFQASL AAAVACGTGVFLEGREWFRGGPSWLDPRSLQALGIALAALGLGWIAVRRWADRGQDGD RLRRLLNPSWPPFDRIALGVPAAMIACLAIYAAAPGVAQELSPRSAAGRVAPPAALFE IPGILHSPAMGAGSWLLLGLVLATILAGCRAQIRRADMAAAVAVGWLAVPLLAGLWEA DVAVASALRWWSALYGLAASAKIWGRDGLVRLAGRLGLPIAVEPGAGPAGAWLVIGLS LAAPLLIFGYVTTAMMAGNLVLGPEPGTLLAGLGSLRSYLPPVVLLALAMIGCAVREH SGRFAFASALALEAAATLGCLMVGTERGVGFEDGLWVYLAQVDAAVAAGFAIPWLGVL GGWSRRSESARPAAIPGSPVVLVGLGAALNIVVLAAGAVLLWLDPVPRPDVQAMAGPL GWISFGLAVTAVGLHARVAGRALGSGGLGMGLVAGAAMLAIGRAAWDIGDWRAYHEMI ASQSIAGLLLLLAGRIRAGRSEEVPPPSARWPVAAWATLSMGIVALYAVRGYDATSPQ YPWWTLGGLAAAIILAIGLSAWTTRAGYLWLAAVLINLAMTLAWCASPWWTAWPPSEW QPVDFVIVNVLGLALPVSLWIWLGRAIRRERWAMLGLPTAAATRPIALPRVASWIALI GLGLVVPAALSMGTGADTSLHVALGWLAVAATAAAFVAGFWDHSVANSLRGLYLLGLG AAGWALVPLRLSGEMLVWLGSIVLAAYGILTSYLWSRRGVLRGLASRLGIDVPAPVDE DEPGLLIAANMGIVVAVISLSFGTILTNPDVVRRSCAADAVLASTLAVGLLARGRRRS ILQAAALGVGVVGAIAWGWAWLDPASSTEILDRVVVSFAALVAGAILYGLGLAKFLPR FEQWTRAARRLVPGLLALALAAIVVVLGAEAAAAANGEAAAISAWAAIVVATTFAVAA AAAIVAAVVPGRDPLGLSERGRTAYVYGAEALLAVLVLHLKLSMPWLFGGFLARYMSL ILLGVAFLGVGLSELFRRQGRRVLAEPLERTGVFLPFVPLAKGLWSAPDPAQAIVFFV LAGALYATLSALRSSMVFAAMAALAFNGAVWTLLGQVEGLGLLHHPQLWIIPPALCAV VGAYWNRDRLSEAQLAAVRYASAVAIYVSSLGDIALTGVAQAPWLPGVLALLGIAGIF AGIVLRVRGFLYLGLGAVCLAVFTITWYAAVDLQQSWLWWACGIAAGILILILFGLFE KKREDILKVVDELKAWNP OJF2_RS15640 MSTMTPRPSPVPIPPLKDGEVLTRDEFERRYDAMPDLKKAELIE GVVYVASSVSLIEHGSPHTDLSCWLGSYRASTTGVIAGDNSSVRLDLGNEPQPDLLMM IHPDRGGQARLVKGGFADGAPELVAEIAASSAGLERGKKRLVYERHGVREYLIWRVRD AAFDWFILRDGRFEDLAPNADGTLRNEVFPGVWMDPEALLRGDLAGVLAVLQRGIASP EHAAFLERLGRA OJF2_RS15645 MSSHGPSNWDGARQRPSGVTNAATFEGYVEQVLVPELKPGDVVA RDNLKPHQSEAAVAALEVARARDVPLPPWSPDMTPIEEMISKVKGAMRSMVAWTAEAV DAVFASGLQDLTPQDIFG OJF2_RS15650 MVYFGSLQKLRAVAALCVVCFHTQCYLHLICGKSGTVFRVFDPR FRYGAWFFFALSGFLMAFLIDAGSGRFLVRRLARIYPSFWLAVASTIVLKVLLFGSVS YPKLLVGMSLLPMGRAAPDQKYVLLVEWTLIYEVFFYAACSAFALGRLRRAFPYFLAA WAAVLVAANYRATGTLDLLMLPSWRRVATSPYNLLFVIGALSFHLSRHLGGWPRLAQA GSAAVAAGAFTATCYVRSDGLHAAFMGVGFSAIIVLATLRDRGRRGPAPRPGLLERLG DHSYGLYLVHGSVITIVMAKASQDYGLEGSHFLGAFALAAALAVGWYFGEVDLMIHRA LKRHFGKPRQEGAGARFGPAFAPLGQRGRIPTRRSLAASAGTPRLGGGRVDAGEPMHD APRRS OJF2_RS15655 MIRRGRTAEGLAAFFEDLAARKANPASLRGGLDFRAVAGRPAAR EQADAAAYGPDVRSERSRRGPRRSPRRAGREPDRPPRMMSIGSQGIGALRSP OJF2_RS15660 MRITFHGAARQVTGSAHLLEIGPYRLLLDCGLFDSDRIDPDSPN RKLPFDPRSLDAVIVSHAHNDHIGRLPFLVKQGYDGPFYCTSATADVTGVMLRDSARI QREDVRNAATSHPHLEHVDPLFDLLHVEWVVERMRRLPYGEPTEIVPGVALTLHEAGH ILGSAIVQLDYREGGRDRRFVFTGDLGRRNTSLLPDPAVVKDIDILVSESTYGNRELD PYERLMKQLHAIIARATRLRGKVVIPAFSLGRTQRMVYCLQELFAVHKARPIPIYVDS PLANRLTDIHRDHPDAYTPHAREIMDKDPLYFGSRYVEYCQSFEDSRRLNYLNGPMVI ISSSGMCEAGRIRHHLRHVVADPENAVVIVSYQAEGTLGRQISEGVERIQILDRWYDL NAAVYVLDGFSGHADRNDLAAWYEQTGGGIEHGFLVHGEPESMEALAPLLQPHVKTPV RIPEVHESFEV OJF2_RS15665 MAGRFEGKVALVTGAGSGIGLASSLAFAREGARVVASDVLRDEG EAAARRIAEAGGQARFVPADVTRAAEVESLVRRAVEAFGRLDFAFNNAGMEGPGVPTH EHSEDDWDRVLAANLKGVWLCMSAEIPVMLARGGGAIVNAASALGLVAVPNAAAYCAA KHAVVGLTRAAALDYARSNLRVNAVCPGYIRTPMIDRVIARDPEAEARMHLAEPVGRL GTPEEVAEAVLWLCSDAASFVTGHALAIDGGLVAR OJF2_RS15670 MKRIVLCFGGTWNTPADESAGVDDGVETNVRRCFRSVEGRGADG ISQEARCNEGVGTEAPNELAGGAFGAGLDSHILEGYRHLVETYEEGDKDYDIAQWSPL ETPRQVLEQRGFCGAHADVGGGHADRSLSDLSLRWMQDRAAEAGLGLSPVPLGPYNFR GTLTDSFAVFLNGLYQGVRPAYFRPVVRTEFGNEVLDPTIELRRRDATRAYRPENEGL PTLSA OJF2_RS15675 MALIARRDLRSDRGWSRILPGPSPSRHPGLLSPRTIETGPAQER ERSAPPGRGPRDLRLCGAREGVEPGWDDGRVRRWVLVFLSLGVAMRLLRYALNFPLWN DEGYLALNILERDFAGLCRPLDYGQVCPLLFLWAVKAASLALGFSEWSLRLVPALASI ASLFAFRHVAGRLLRGSSLALAVAVLAIGYTPVRYAGEVKPYATDLLAALGLIALAVE WLRRPERAGPLWGLAALGPVAIGISNPSIFVAASVGLVLAVPVLKTRSRHNLAALAVF GLASCATFLGLLAWINGPQGDHVKGWMGLYWANAFPPRSPGALLGWLVAAHTSHMFAY PAGGDHGSSTLTTCLVLAAVVAYLRRGPGRVLALLLAPFALGLAAAAMGRYPYGGSAR TMQYVAPSIILMAGLGAAALLARLPRPSWRERSPRWALLAMLIGGLGQMAWDLAYPCK DVFFLESRALARRFWAEESEGAEVACARTDLRLPLDPLRWEADRAVMYLCHQAIYSPR HAAGIRPRLDRVGAKHPLRVVVFNETPTEAAAVPRWLRAAGGRFQLRARREHRLDLEF RRGRRVSGDRYVVYDLVPSEAGPRPAPGPGSPEVGTVATTDVSRGGETADRPIRGAGG DVEDD OJF2_RS15680 MVKKVITGGQTGAEQAGWAAARRAGIETGGYMPRGFLTESGPAP RLGSLYGAIEFPFEDPLRVRGNLRRADALFWFGDPESPEAREAFAACRELGKPSLPID PAFTPTRDAASWLEAFEVETLVVSGDRASASPGLASRVESFLGRVIETLRRRA OJF2_RS15685 MQRDSARPATERADRRPRRGNRLRLQVDPLEPRVVLSAAGAINP FALVQGYAGGSGKKIQAAQIRVGRLDFSIPNRPVLLRFDMGAGSPPEAVARLQGVKPL VGAHPGPLVTSQSAIGRQGSRLARLQPGVFDLGLVGKGSSSSAMYQVNISLAGDVDGT YSVTRQDLAQIRALSGTPSSSTNYLPAADVNDDGVINGADLKLAAQNLGASTTLRPVT LQIGQDLVRQSGSDDLYRRITGTTNGAAGVTVSLASTGAAGSPVAVTPDALGRFASLA TALGGPDAPFTVQATATDAFGQRAVATQYTYDPVLVPIPGSAAPAYGGAANVGTISPS TPISAEIITRYKPGSGGEAAIQQIAGAPLAQRQYLTQDQFADRFGTSDADLAALTSFA RDYGFQVTGANRATRTFDFTTTVGQFEQAIGANIISYDDEAQVTQQGYTGPLYVPRRV ADVVDSLFGVETQGIPKPPRPPAGDDTSGHFSSQVAAAYDYPSAPAGQLAGQGVSIGI LELGGTFGASQQATVAAYLAAQGIGVTPNIHVVGSSSYQGRSKDDPETEVMLDIEVLA SILPAADFTMYFQDNNNGSFVDLVKDASFDPVNHPSILSLSWGGPDIDASRMYIRAMD QAILDASAIGVTLFVAAGDDGSSDKVSTGYTFTDYPASSPYSVAVGGTTLGIKGGAWD GEVAWNETSVTGGFFSYYNSISGGSGGGGVSGMNPTPSYQTNAGITPTSVNPSGVFDV FSGTGRGVPDVSADADPITGYRVWVPSSSGTTNETYLVGGTSAATPLWAALAGLITQN TGKKLGWFTPVIYQVGAGNATNHAFHDITTGDNITSNQQISPYPGTDSGVLLPTYLGY TTTTGFDLVTGWGSPQGSNFLAAIEAMLADQGVQGTSST OJF2_RS15690 MDLLESEVGPSIGAPGPAGSLPSLAGWFLRLWLTFAAACLLPSL GIAIASGEPAPVFALAVVLPAMLAFVFVVVTVLSQAAFAAFGWLIEAGLRRLVLRAYH RGKSRHPPKGVDLEQWADADSDCPRGVAELMIDAAAGMLAGLAFGLFLALLFGATAWL VGATRDGGPGPILLFGGVVGAVFGAGGAVLGLSHGTTAQRLRAAARLGTLLKAASPRD DLE OJF2_RS15695 MLDDEPQRAGYRVAIARVMKHIERVFDTRDAKTLVVQQGGTPGN GTLRYSEKTGEPLPYPEDWKEAPSNRPMRGLLRVDLGGIGPDAGGTGRTSRYHGDGSG DDRA OJF2_RS15700 MRSPEPILVAHLFRELDGHLLDLLRSLSADDWQRPTVCSAWSVK DIASHLLDTALRRLSMQRDGYAPPDSPREFHSHEELVAYLHRLNAQWTSATSRLSPRV LIAWTQQAAGELAELFESADPFAPALFPVAWAGELDSRMWFDAAREFTERWHHQRQIA DALDRPTPIDERRLQHPVLDTFLRALPHTYRDVNAPEGTIVCIRILGDAGGEWFVRRE GSTWKLYHDVRDRTDSVVTIDQSIAWKFLTKRTDRAKALARFPDIRIEGEPAFGEPAL EMVSIMA OJF2_RS15705 MTRRGRRASRGFTLIELLVVIAIIAVLIALLLPAVQSAREAARR AQCINNLKQLALGFHNYHDQNNMFITSESWSGDSTGNSSLPRKAWGWRITLLPFIEQQ TLYAALNTSMCVFNPENTTVYDVSIGAYQCPSDARAAERVDEGVNNAPLYNGNVYMHF SSYAGNAGTWFNENSPYLANQPAVQQGAANSNGVVFQGSRIGINAITDGTSNTILLGE WPFGKLQYYWNQWHWWVGYNPGDATFTTAYIMNPKQDCMGAVGINSSYVEDGCAGSFH PGGANFAFCDGSVKFIKESINTSPYNNTNCTITNVQGTANAWSFVPNTTVGIWQAIST RSGGEVVSADSF OJF2_RS15710 MTRITTGRVAILLSAALGVSALQGCSGGTTAPDAAESATQRKAK ADAIKGMGVEKPSRPSKGAAGKAKGGSMPGDDI OJF2_RS15715 MVPRLTPSLPFRIAALTVFLAATARGQAPPAELDEYVKAPDPAY AWKQLDTKSTPQGTVHLLELTSQVWHDITWKHDLTIFEPADLVHRDAALLFITGGSTG SHPGDKDFAQGFAMAKATGGRVAILRQVPNQPLLGDRKEDDLISETFLRYLATKDKTW PLLFPMAKSAVRAMDAVQAWAEKQGKDRMERFVVTGASKRGWTTWLTGATDRRVVAIA PMVIVMLNLGLQGPNQLDVWGKYSEQIEDYVSKGLMEKAQTPEGRDLWKMVDPYTFRD RLTMPKMLINGANDRYWTLNALDLYWDGLSGPKYLVELPNAGHGLDQNRNWAIDGLGA FFRHAVTARPMPVVTWKMDESGDAARLSIESSPAPKSVRLWGATSPNRDFRESRWTPT PLTPSTTITAPLPKPSTGSAAYFADLEFEVDDLSYHLTTSFLEPGAPRKSPASAPR OJF2_RS15720 MRRLEHFGRFVDFFLRTLAAAPRAVARSWLEVVLQFERVAVMSL PIVMGAGFSVGLVTWLQTHRLLVANGAESALPSFLSVAVVVEIGPVLAGVLVASRMGA GLAAELGTMTLNEEIEARVALGTDPVAGLVAPRAIACALAVPLLTVVIDASALVGALT GELTGGKLTPLRFWRDSLTFLRMADVIEATLKTAVFGLLVGVIGCWIGLNSGRSAESV GRAATRGVVLSTLAVFAANVVLVPCIQLANAALGWGGP OJF2_RS15725 MSRDIGRWRALANAGFVVLVLALGGFGLYQVAGRRWHVQPVFHV RATFATVAGLEAGHRVRLQGMDAGVVEQLNPPGSPGEPVGLVLRLDERLHGLVREDAV ARILSEGMVGARVVEITPGKPDAAVVAEGGSILSEAPIELSDLLRQAGDSLKRLDEVA KAARTGLDEVNAIAATVRQGKGSLGKLVRDEEAYQSLMTVTHRGERTFAAMEDNLDAL KRTWPLSRYFEGRSFYEREKILYQPGARRESRTLAADDLFEPGRAILTQDGRLRLNEL GAWCKASNRARSDVVIAAFTGPDQDPDLAEVLTQEQADAVRKYLVEKHGIDSAGWFKS RKVTAVGFGTQVPRGQEPTSESLPARRVDVILFTPQA OJF2_RS15730 MRLLVAMLCCLAAPPVVQPNTVRDAAIGAIGRLGGRVEQDGKVV DLSSTRVTDAELKGLAALEDLTSLDLSDTRVTGEGIRDLAVLRHLTNLRLRGPGTYIS DPDAARKPLLNGVGVEGLAALKNLTVLDLAWTNLTPVALKPVAALGNLRSLNLTCSDV TDAGLKELANLRNLATLNLIQTRVTDAGLKELATLRNLTKLDLSGTTITGSGLKDLAA LTYLATLNLSDTKVTGPGLKDLAALENLKALYLEGPGPYIAVIPETTLKPSLTDAGMR ELATLGSLTSLDLSLTNVTDAGLKQLAALRGLEALNLSGNNVTDASLKEVAALKALNS LNLSMSNVTDAGLKHLAGLENLATLDLSGTRVTGTGLKDLAVLKGLTTLSLGGPRSHM AASSDAARGPSLTEAGAKEIAALGSLRDLDLSGNNVTAGILRELAASRNLTALNLTGT WLTDAETKELAALRNLRILYLFSSTKLTDDGIRQLATMRNLTILDLKVTRVSDAGLME LAAIPGLAHLDVAGTNASDAGEQAFRRKKPTCELSVPLWRDAIKRR OJF2_RS15735 MKRKHRFVPMAAGLEERVVLSTYRGSSVVVSGLHPRQNVLTRSQ SAISAEVTQAFTSFQNDYGQARSTYFSSIADPTAVTGDTTNAFTLYTKQRVSLLAQQL ISSFLQYKPSTARQKGKPSTLQVLINHRIIGINGQATAGSLASSLLSTIPPAGTSAPT ATLYSLSQDNAISAAQVAVLNGAGILRNGDFGNTPATFQTRHRS OJF2_RS15740 MAFLRSPSSASESARPTLAARRRAMRPRGDVLESRSLLTLTPIA AAVNLVAGPPATVRIGSFLDSNSAVAGDFQAVIDWGDGHSSAGVVQATPTAGRFDVMG SNSYASAKTYPVKIQVTKYPGEATEIDSEAFVAAPAVALSPVATVANFTAGMLPADPV SIGSFTSTNATAKPTDFTATIAWGDGNSSAANVSGTSTPGLFLVRGANLYSKAGNYPV SVTVQDASGNRTTILSTAVVGPPTSLHASGMVAQFTAGVSPSATVPALVGGFTSSDLT AQASAFAASIDWGDGTSSAGTVAASPDTPGLFLVSGTNAYATAGPYPIKIAVSGPGGV SLVINSQATVTAAPAPVFSGGLANLIVNGPFAPSGYTNTNRPTFAGDATPFAIVNVYA RNLKYDAQIPLGQAVADSGGRWTLVSTPLARGAYSVTATSTIPKGTTSTPSVLSNADG SQVVHVAMQPAAAKGHRPARTHPARSRAARARPTLPARPGMRRA OJF2_RS15745 MASLFVIQGADQGKRFEFQTTTVALGRDNSNAIRLHDTEVSRRH AELRQDEDSYRLIDLGSANGTYVNGQLVSQAPLHSGDRLQLGSTILLYHEGATRPARD LTARVDLLARSSPEDRSAILKSIPSGEGSRVLRQPEAAAGWLKERLASLSVMYRATQA ISHVLEIDALLPQILELVFESINADRGAILLKDEAGQLEPKAVRLTNPDGPDERMTIS KTIVDYVLEQGQGVITTDAPADKRFSPAQSIVDYHIREAICVPIQGRHTTLGVLYADI QSGAMVLANGGKDGPRGKFSQDHLMLMVAIGHQAGLAIENTAFYNAKIQAERLAAVGQ TIATLSHHIKNILQGIRGGSYLIDMGLNDKDEAIVRRGWTIVEKNQTKIYNLVMDMLS FSKDREPALEPADLNEVVTDVAELMHSRAEELGVKLVTRPCAGMPRVMIDPEGIHRAL LNIVTNAIDASEGQPEATVTISTEWVAEMTTARIRVADNGVGIDEADVASIFQIFASS KGSRGTGLGLPVSRKIVAEHGGNITVQSQPGQGATFVIDLPMARKAGPSEMTDGLTMM G OJF2_RS15750 MSAIVGTSDPSPAGGQERAGMGVIQGRLDGEFERLFREGTLAGL GEDQLLDRFARDRDGVAFATIVERHGPMVLGVCRQLLRDPNDVDDAFQATFLVLVRKA SSLRRKDLLGNWLYGVAYRVALRARSLRHRGQVRGTASRGGDVGSLDEQAPDRSEPVA EAVLRSEERPLIHDEIRRLPRKYRDPVVLCYIEGLTHEEAAAKLGWPVGSVKGRLSRA RDLLRSRLVRRGVAVSSAAMAAELVAPDLRASVPASLTQSTLKAALPFASGGPAGLSA AGPAATPSVTALTEGVIHAMRFSLVRSIAVPALVAGALATGGTVAAYQLGGGFGGPQP AEPAAAKAAARTAAKETSSPGESSARMVREILADMERNAGKMPVSPEEYDSWSERLVG IERAGANNQQDRIAAARRHLDRIHRMLDLLVKMDKDAAGQLKKAWFETIAKAEQTLKA VESPPAGAAAQAAAGPQPPAAGGPAPAPVPASPAGGQPPAAGEFGGGGGMEGGGFGGI DEEALQQSRIMIARLSAYIAVKDKNPRNVALLKKLDEPVTLHFPSETPLEEVLKRIKQ ATTSSDGKPMPIYVDPLGLQEADKTVASPVTIDLQDVPLKFSLRLALKQLGLAYCIRD GVLVISSVNGILNELRELQAEQAGADPSNPFGGMGGPGGGGMGGFGGMGGGMGGGMRG GGGMM OJF2_RS15755 MPEPRPQDAETITHRLVLPRDANHHGTLYAGTLLSLALEAGYST AYHAAGLSANLVLKRVLDLRCYAPVPVGHVVQIRGRQIHRGSAQIVVALWGTPLGRSR MPWMDGLMQFVHVGEDGRPEPLDEAPDETPPHLDAPWSMLQDRTRKLLRIRQ OJF2_RS15765 MHSSIPMFLKHLERERQASAHTLRSYQDDLGLFHRYLEESGLGE QDPAGLDPGRLRRYSAWLNSQGYAATTIARRLASLRSYFRFLRRRGDVAGDPTAGLRN PKQPKRLPRLLRVEEVIGLLESIPVDTAAGVRDRTMLEVLYGGGLRVSELVGIDRDDL EPEAQLVRVRGKGRRERLCPTGPMAFFWLERYLPLRCPRRPDEPAVFLNRYGTRLTTR SVGRLLEEHLLRAGLAGAASPHTLRHSFATHLLDRGADLRSVQELLGHRKLTTTQMYT HVTRERLLDIYHGAHPRA OJF2_RS15770 MRKFRILIVCPDPQGLALLTSMLKSLGHLIEEASTDRGAVRLME RSPMDLVLASVDPGESEALELLSYTRRKYSEVPVILMFPRAHPDRAKEALRLGAMAVL KYPVPAAELRAAVLQALEQCQPRAADGRAATPHAGLSSRPQAYAATAAAPASSQGVAP ANLFAMPMSFASPARLPSYGTPGLQSMDPVDGVLRPVDTPAAFPTSPARPAPREAELV TTDPGLRQVIELAATLAGTSAPVLIVGEPGTGKSLLAQILHDGGGPPDRPFVVLRAGD LEEPEADGEGEEPEPAARRGAAASALTEWARKLDSARGGTLFIEEVGALPAEIQLQLQ REFQYRDIEVAAGRARHNSQPGVRFILSTSENLPAAVEQGRFRPELYHRISALSLMVP PLRLRGTDVELLAEQFRARFAHEFHKDVVGFTRDALEALQKHEWPGNVRELQGVIQRA VSRCSSPRITSSHLTPILNLQRQARAAAGTSGPHVPMGIRPLKEALEEPEKRIIIQAL QAFNWNRQETARVLDINRTTLYKKMKKYGLLVDEPIWAG OJF2_RS15775 MSTGSPYPTLTAEEAAALIPHGAMVGVSGFTPAGSPKAVPTALA ARASSLHKAGQPFQIKLLSGASTGKACDDELALAEALSWRAPYMTSAPIRKLANTGRI DFVDMHLSHVPQMIMEGFLGEIDYAIIEATDITPDGRVYLTTGIGNSPAILKKAHKVI IELNAYHSPRLREMADIIVLPPPPNRIAIQIHDPLDRVGRRYAEVDPARVVGVVHTNQ SDGGRAFSQPDNTSGRIAANVSKFLLGEMAAGRLPSSFLPLQSGVGNVCNAVLGGIAA IDEFPQFKVYTEVLQDSMIDLIAAGRVIGASTCSLSLTDEKLKFLYDEFDEFADRIIL RPQEISNNPGIARRLGVIATNTAIEVDIYGHANSTHFFGTQIMNGLGGSGDFERNAYL SILMCPSTAKGGKISTIVPMCSHVDHNEHSVQVIVTEQGLADLRGLSPIARARTIIDN CAHPAYRDYLHHYLESSPMGHLRHDLRRCFELYLNFQEQGAMLPDLDLSQFEGD OJF2_RS15780 MKARPTTGADVLVESLVRHGVEVIFAYPGGASIPMHQALTRYRD KIRTILPRHEQGGIFAAEGYARVTGKPGVVMATSGPGALNLVTGLADAKLDSIPLIAI TGQVPTHVIGTDAFQETPMIEVCRAITKHHYLVQSAKDVARIVKEAFHIANSGRPGPV LIDIPKDIQNTLVPRPDYDPPMDLPGYRLPAPPSPEKIRDVLALIKGSQKPVIYCGGG VLASNAYESLRAFAEKTGIPVAMTVHGLGAMPTDHYLSLGMLGMHGTVYANYAVNEAD LLLAIGVRFDDRVTGKLAEFAKHGKIVHVDIDASEINKNKTAHVALNTDAKAFLDAIT PHATPGDWRAWHEQVDEWKASEPMTYDQRDDMILPQYVIQKFSELTGGDFLMATGVGQ HQMWAAQWTRFKQPRTWITSGGLGSMGYGLPAAMGAQAAFPKALVVDIDGDGSFLMNI QELATVYCEKLPVKVIVLNNQHLGMVVQWEDRFHEGNRAHTYLGPVDLPEAIGQGEGE LPEYIYPDFVEIARGFGIASRQVRDKAEVVDALKEMIAHPGPYVLDVLIPYQEHVLPM IPAGGTVRDIIKS OJF2_RS15785 MAHHFTDLFSGHADRYEAHRPTYPDELFAYLAGLAPSRELAWDC ATGNGQAAIGLAAHFDRVVATDASARQLAEANDHPKVEYVQAPAERAPLADASVDLVA VALALHWFDVDRFYGEVRRVVRPGGVLACWTYSLQSVSPSVDAALHELYAGVLGPYWA PQIRHIESGYRSLPFPFEEIEPRPFRIVRRWDMAQYAAFVDTWSASQAYRRANGHDPM DQVRDAMAAAWGDPGEAREVTWDLRLRIGRVV OJF2_RS15790 MGVDPVAKKMSEASADIGISRPKKGDEFRCSACGMEIKVTADCE CKEGEHVHFHCCGKELEKV OJF2_RS15795 MIAETAERRAEATTFAVLVSLSVSHLLNDTIQSLIAAVYPVLKE SYALSFTQIGLITLAFQCTASLLQPIVGQFTDRRPQPFSLVLGMGVTLVGLLLLSVAG RFSTILLAAALVGVGSAVFHPEASRMARMASGGRHGLAQSLFQVGGNGGSALGPLLAA FIVDPWGQRSLAWFSVAAVAAMLILGRVGAWYRDHLAERAARKAVTAASPVSPRRVAF AVGILLCLIFSKYFYLASLTSYYTLYLIETFGIRVADAQLRLFVFLGSVAAGTFLGGP IGDRIGFKAVIWGSILGVLPFTLALPHVGLTWTTVLTIPIGLILASAFSAIMVYAQEL MPSRVGMVAGLFFGFAFGMGGAGAAILGWLADQTSIGFVYRVCAFLPMIGLLTAFLPN LRRPS OJF2_RS15800 MGRRPLNRMELRAAAEAAEALGLNKDDDKGRRRSSRSADDPSQM RSKPAASPRMRVVWAVCDMGGRTVATFDYADKADAEAKAADLKARGKGNHFVRSVKEP MEGAGPRY OJF2_RS15805 MIRYRPFRNGDPPDLARLWNATVPGRGAVRPIRAHELDDRAFNL PVFDRAGLIVAELDGRAVGFVHAGFGPELPVEASRPLDPSPEMGTIAMLAVEPGIPGE LDAARALILEAERYLRSRGAKVLYAGGQFPLNPFYWGIYAGPECSGFLSSHPIVAQAL AAMGYEPISTAVCFEYDLGVPDRRDPRAVLIRRQAELEIVEDVLASNWWEELAIGEFH LSRATLRARADGELLARAATWDMSLFGRGDGRSRLGLIDVHVPERQRRKGYGRHLVSE VLRWAREFGVQAVEVQTPTTNEPALELYQSLGFVPVDQSVVYRLPAPLLGRSALP OJF2_RS15810 MSKLVKMATLEELPPGGAKEVEFEGRIYALFNVDGQVHVIDGIC PHQGGPLADGPLKGTKVACPWHGWQFDVCTGASPLGPKIKVPVFPVSVEGQDVYVEVP OJF2_RS15815 MIYDLVVIGGGIGGLATAALAQGRGLRTALLEAHTRLGGCAGYF DRGPFTFDAGATAIMGLGVGEPVGDLLAAVGLEFDAAETPSYRVHLPDRSIDIVPDAT EFLARDAEAFGPEHARRRRAFWSLQAAVGGRLFRAAGRVPRLPARSAADLWHDLKALG LPGLAAASTAAMTVLQVLRILGLDRDLPFRSFVAMLLQDTAQAGPETVPFANAAACLQ AYRLGMRRPRGGMKALAEGLGRRFAGQGGDIRTATIVDRVEARTGEDDDADGPPGGFV VTTRRRDRLSARQVAFNLPLDLAARLLGRSLEGRLARDERKSRAAWSAFTGYLAICRS AIDDDAPLFHQVLRDYDAPSHDGNNVLISLSPPGDEAYGPPGVRVATMSTHTRPADWA GLDRETYGLKKADFRDRLLDALARALPDVPAALVHDEFASPRSFRRYTRRAEGAVGGA PVSRWNSNALAVGSDVLGPGLWVVGDSVFPGQGTMAVVLSAIRVVERVTGRPWTPRTA THRREPVTGRANLQPQP OJF2_RS15820 MEILVLALIVLFIYAFIRLMVSFGSLWQGARFRAYRQLAARYQG RYESRGLSDPPTVSFNHQGTLVRVGLAPTIPGQTSLPRTRVVARFPKGIPFRMELAPV SRPAPAQAPKGTRLVRSGSAGFDHDYLVQANDADMARDFLNPDVREAVGNLSRLVHQG GMLVSINPERMLVQVDRNLGQSVEALARAVREALVIHDGLQQGVRRRMSEGIAIVDKP GEADPDEGPPTCKVCGEPIGEDAEAVACTKCQTPHHRDCWEYVGACSIYGCGCKFARP VTGSRR OJF2_RS15825 MAAEDASHSPVFHEWRPGDPVGQLGAGELRVFVVSLGDDSDRDV AFEPATFGDWAILDDVERARALRFVRGRDRRRFAVCRAALRRILGALLEVPPGAVEFA AGTGGKPVLAAGIDRMPERPLHFNVSHSGELALIAACRGRELGVDIEQERPVREAKRI VESYFTPAELDRFAALDDLAQELAFLRGWTRKEAILKAIGVGLAGLATGYETMFGDDP LTPAFRPAGPRFRVHGWQLWEGRPRAGYIAALAVHDPDRY OJF2_RS15830 MSTFTPRTPHPGIVYPDSDGQPMAENTLQFQWIVTIKENLDAAF RDRPDVFVAGDLFWYPVEGDNKTRTAPDAMVAIGRPKGYRGSYMQWLEGDVPPQVVFE VLSPGNSGPEMVRKRGFYERFGVREYYVYDPDDDTLEGWIRHGDTLEKIPDMAGWVSP LLGIRFDTSTTPLTIYKPDGSRFRTFDEIDRDNQALARDNQALARERDAAVRQAAESQ ERARQLEARLRELGLEP OJF2_RS15835 MPPVLHLADRRSPAFEPARLAVDVACAGDSITGWNNFGPARDWP CRTYPEFLATLCEPQGLIVGDGGIAGEVSLNGVDQVRDYLRLFPNARYFVVGYGTNDL GLWPDVEETSPRVIENLGRMAGLIREAGRRPILLNVPPANGSMFPRAIAEQLRCHRAY HNGRLGCFCRDADIPLVDLNPVLRDEHFADELHPNDDGAKIIAGEVYRVLRDIAGIAG OJF2_RS15840 MLTRNLLLRIAGPSLFVSLLFLASCLAAAAYLHRQQSASLRDLD ENLWSRRTAADLLRVLEDLPGDRPAAEEALERRLRDLVDQARRYADKPEERRLVERLE LASTRYVQRRRAGSPGPEGGDLTALVEAELIPACRELEQFNSAEVDRSEGGLHRSLAW TAWGLAGIGLAGAVAGLILSYVVVRGLGRAVLRAGTLAEVGQVAAGMAHELRNPLTAI KMLVQANREDAESQGLPAEDLRIIEQEIRRMEDRLNVFIDFARPPRPDRRLVDLNEVV HQTLALVGGRARKQRVELKFEPPGMAARVEADGEQLRQLLVNLALNALDVMPRGGVLE MEVRPGADGQYEVAVLDTGPGIPARHLPRLYEPFFTSKETGLGLGLVVSQRIARDHGG ALHASNRPQGGARFVLRLPAREGPAGGAIGR OJF2_RS15845 MAKILVIDDESSILHAFRRAFDDPDDQLLTAADAEQGLALVSGA EPDVVVLDLNLPDAHGLDVYRRVRAIDARIPVIFITGHGTTETAIEAMKQGAFDYLLK PLELAHVRDLVERAAEISRLGRVPAVVPDEPVPAGTSADMLVGRSAPMQEVYKAIGRV APQDLAVLVLGESGTGKELVARAIYQHSRRAAGPFLAVNCAAIPETLLESELFGHEKG AFTGADRRRIGKFEQCSRGTLFLDEIGDMTPLTQAKLLRVLQDGRFERVGGNETVQAD VRVIAATNRDLAQMAAAGEFREDLYYRLGVVTITLPPLRERAGDLPLLVDHFLRRFSP EMGKEVVQVAPEAIELLGRYAWPGNLRELQSVLRQALLRAQGPVLLADFLPPAVRGEA PPEAGPRAASIDWEEFLDERLRAGSRDLYAESLALMERSLVSRVLRHTGGNQLQAAKI LGITRGSLRTKIRTLGITIGRSVASGDDPPGR OJF2_RS15850 MHIGCNGCGAMAWALLALLAWPMETEAAERVQPVPLGGTLNDQA GDRSYGVYVPTRQGGTLTVKSTGGTVRALTGPDGKPRTSGQDVGGPAAHGWYTFRVSG TEAGRPYSVETTFVQSARSARKPWNYYYWPTKGDSVHEPWAGGNGRVDTPAPAGDDVM IVPYGAAIAPGQDIILPGANGLLETRPAAGDTLTWFPNLYDDMYALGAEVGLYQTPSP LLKYDQIFGLTARSWEAAYSQTLGVQRWPGHCLGGAVASILLNEPTPAKGSGLTRDEL KALWAELGENHLNHRIGDYAVNIPAGPPRPGYDPCDQFVARFHAVLERNLRARRIALL GNLRSFPPNGGPDEVWNHGIGAYDARFHAVAGRGERRARIELDLVANTGSNLNDRDPK PRTIRYEYELVYTPEGDVDEAAAHSCDWIGVGGDALYAPLNLLEIAESRWQGHNPMVT EANVRTLDAANGGRGLAGDAPAFRPVAVHEAGRAPLVASPAIGASVQPATGGPPNSPR RALLRIFGRD OJF2_RS15855 MSISVDAKRRAHRKASHGDVVTSVAFSPDGGILASGSWDGTVKL WDAVDGRPRLKRTLRGAWDEVEAVAFTPSGEVAGVGTGFDDAPFGEVVLWKPGSPRGR SLVREAGKIDAIAFSPDGATLATGSGDRSSVSLRDVASGEERWALPDHRGPIRSVAYS PDGRRLAVASGAVPAVADRLQGGGLGEISLWDLDGRPPRRFARLAGHAYGSLAVAFSP DGARLASGGFDRVTKLWDAETGREGATLTGHKGWVAAVAFAPDGEVLATGSHDETIKL WDAGTGRELATLAGHTGNVYSVSFSPDGRLLASGSLDGTVRLWDIPPALGRAAGRQRT GG OJF2_RS15860 MFAVFGTLSVIPWILFAQASWNPAPAPAVDVGSSGQAVDVEVRD RGELFGPGAGRRAREALQGIDRVHPARVLIEAIPSLDGAWIADVALRRAKDAAPDRLY VLVAGEEREVGVVAGRRGPASRLTDRQREQIRQAFLGPLRAGHPDEAFDRGILEIRAT LDRAAAGTGAGAPAVLLFATTVLAVLLASWAREKLGGGERTREGGGACREHARDAPRR GYRGAGSPRKVGV OJF2_RS15865 MKTKKKPISITRPDRERLEGLLAGHRGPDLDAYLSALRAELERA RVIDADEVPPDVVTMNSTVRLVDVAASEEEEYTLVFPGDADADLGRISVMAPLGTAML GYRVGDVFEWDVPMGRRRWRVAGVVYQPEAAGHHHL OJF2_RS15870 MRFLARLRASRRAFTLIELLVVIAIIAVLIALLLPAVQAAREAA RRIQCVNNLKQMGLAMHNYLSVHNTFPKGGTGGAVTTATVNTPAANKTRIQSWGTAIL AYMEESPVYNAVNQSKWYIEPENSTAAQTRIRAYLCPSDPNLDQDRPDGDMPASTVLF ARNDYAGNWGERSLRCHPATNCPNNYSSGGEGRGVIMMLNEPNIPLTQVTDGLTYTVV LGEGPMAIHGIWMGHKNLFDQSAPLNARYSSSPTGTYPSCLVVKGDPRDGQLGCDFGQ EFDSYHPGGANFGMADGSVRFLKQTMDVRTFAAYLSRRGGEIISADAE OJF2_RS15875 MRKRQVAWWFACGMLAVSPAIAAEARWFPEQAKAAGIVRTVLEG RFPEPGRAHSMLVQSVAGLAAQAVNEGRGDELVWVSTTNRDLEDWYARILRRPGAPTS RGAELEPWALVDRFRKAGIVRGYVVYRADRSKGEINEHRPGLDNSVNVATSLAGVLGA VLVEDTLEPEARRRGLERLADARDMTPSRCLETYRGRFNRRLLTCQDPRKPNVRDLAI AQRSFTSYGPDPSVEAALRWLEPLSPVLGWNGGDELETTRLSTVHGQFQTATDWCMNL PVLMAASSGTATEVKPLDPGSINWDDGRGAVSFVMTDGDNVQWYEGGFFGDANYWSSP ARGKIPFGWSCPFAHLAQLGPVLIDRAREQQGPNDTFVEWGGGYYYPDLFARDRPDAR GLLAEHARRTADLMRRTGARIIGFNVLDVDSPEAVRSYRAIAGASRGLAAILVFQYNP YEGGAGKVWWVKDADGVELPVISARYSIWEHYPASRSGGTPAKVARLIRESDAAAAKA GEPPRLDWAIVHAWSYFRRAPGVDEAAEEIPQDEGPSRGVRGYVPATWCAERLPDSIR VVSPEELAWRIRMRHDPEATRKAIRGLRP OJF2_RS15880 MSEERKLSDILLEMAKILMRNPDREPSEGAAAAALLIAHVAWNR SVGLGMGNDGYRTILETFEEEEPELWERLKSRDVPALIDELMRYKREHFPDDGRRILT CGIPNGKVRVEWLPAPEPGVDANDQLHLLSLIRIGDIDGAIRHVQKASNLPRDMAATV VAQYARGMATPSVYSPGGRAQPATKSAAGKAGRPATKSDFLRRALARNPDLDLKELNR RWARAGHPGEISSSLASQVRRELGISAACGWHPADDHPEKGTAPALPKAEKKAAGPAQ VYQLKVPLKGTKPPVWRRIQTADTDLSDLHDQIQAAMGWHNAHLYEFTLDGRRYSVSR ELEDTEDASAARLGRLLKRKGQRFSYVYDFGDSWDHEVVFEGRVAAEPGASYPRCIGG ARACPPEDCGGVWGYRELLEATGPRREEFLEWQGGEFDPAAFSAADATRRMRVGRP OJF2_RS15885 MRPTTRGRAFVLAAGILWSLGGLLGKSVAMDPLGIAFYRSLFAG LALLPLVSPRRWAFRPSMVPLGIAFGSMIGFYLAAIKLTTAANAIYLQYTATFWVIPL GMLFLGEHPSRRALWGVALAMVGIAVIVARGYDGRPDEWRGVALGLASGASFAVIATG MRGLREHDPTWLSVVYNLLGAATLAAWMTVPGRGIPTPTAGQAAFLAVFGVVQMAIPY VLFARGLRDVSAAEAALIALVEPILGPIWVALVLGELPAPATVVGGMFLLLGILCRYA PSGRVAGAGPPTAGPPASAGSRPRR OJF2_RS15890 MTRSLRNHVAARRPAFTLIELLVVIAIIAVLIALLLPAVQAARE AARRAQCVNNLKQLGLATANYMEVNGTTPLHMHRRSNEWDVPANGASGNHSWYCVILP FVEQTPAYNAINFDYSSGWDEIATQKGPNITTNLMAISSFLCPSDGERNTYLGVNWGN FNYVASCGVPRNYLMPGQASTNAASPPPSNGMISESRMNVAGPWSDKWRQNTNRSFSP AAFTDGFSNTAAFSESLISNGTQQNADARRNLRSLDSDYMDNYDAYIDIVVRDGLATA YNWGPWTEERGMTWQFSDSWQKHVYAHAFPPNVSPVVCYYSDTFRCHECDGAMNPTSN HPGGINVAFMDGSVRFIKNNISLPTWWALGTRAGGEVVSADAY OJF2_RS15895 MRLDRLARRCLVPLLAAPLLILSGCGGDDMSHLKALSTYTPDNV GSELLIRYKAAKQRATPRGAEKKSKGQGIVVDGDKKPGPDAKPGVSTAHDASAATKGQ SIQDLIENIAKKAKLVEGTPQPEVYSRISSAIDADKELSPADKEDLKASLKQAMGV OJF2_RS40615 MRGSFRPGAAWGAIVGGVLATAVAGVAAAREPGKPNIVIILADD MGFADVGFQGSRDIPTPHVDSLAAGGVRCTNGYVSGPYCSPTRAGLLTGRYQQRFGHE FNPGPQAEEGSAAFGLPLSETTIANRLKAAGYDTGLVGKWHLGNAPEYRPNRRGFDEF FGFLGGAHTYIPGQGAPIYRGDEVVREKEYLTDAFTREAVSYIERHKDRPFFLYLAYN AVHTPMDATDARLKRFAGIADERRRTYAAMLSALDEGVGKVLGALRAAKLEEDTLIFF FSDNGGPTMKGTTINGSVNAPLRGSKRTTLEGGIHVPFAVQWKGKLEAGKVYEKPVIQ LDVLPTALAAAGVPASEDAHLDGVNLLPFLKGEASGTPHEALYWRLGQQWAIRRGDWK LVRYDSAVDEGTVSAPARTKVTPPRLYNLASDIGEAHDLAAERPETVRDLQSAWESWS AKLSKPLWGPGNAQPAADRAERRPAAAVSPAKRPNIVYILADDLGWADVGWHGEEIRP PRLDRLAASGARLEQFYVEPLCTQTRAALLTGRYPIRHGLQVGVVRPWAAYGLPMEER TLAQALKGAGYETAIVGKWHLGHALPEFLPTRRGFDHQYGLYNGAIDYFTHMRDGGLD WHRDDRASRDEGYSTHLLGDEAARRIAEHDASRPLFLYLAFNAVHAPHQVPDRYKGPY ASLPEPRRTYAGMVAAMDEAVGKVVDALRAKGIADDTLILFSSDNGGPNPGKVTSNGP LRGAKATPYEGGVRVPAFAAWPGHIKAGTVVDAPLHAVDWYPTLVKLAGGSLDQSQPL DGRDAWPAIAEGAASPHEEILLVSTPHGGAIRAGDWKLVVNGAGRAGIPDESDAPRRA RGPAAGKAELFNLAADPYEKTDLAAENPSKLAELEGRLGRYSAAAVAPRSGPKPAGFR SPKVWGEPDATTASASR OJF2_RS15905 MSLESTGDRIALHRARRGASRPGFTLIELLVVIAIIAVLIALLL PAVQSAREAARRVQCTNNLKQIGLALHNYHSAYDKFPMGSSKNMQNLGEYHAQHGLSA HAQMLGFLGETPLYNAINFNWGMNASATCGPINSTAYLTRVAAFVCPSDANAGVTNLN SYNDSIGTTTIDAVQQTATGSTGLFTFWRSYGITNVTDGTSNTIAFSEALVGDNSTSW TKSAGIVQLGTLPATAEILDASSNLPAIQAGLQACNAAWTNRSGKLNTARGNYWFHGT EAQTMFNTVVPPNSQSYPWAYCSDGQIGGAAFSSANSHHAGGVNVLLADGSVKFVKDS ISQQVWMALGTRADGEVLSSDSY OJF2_RS15910 METRAFADGRDRRASSPSRGVEADLEPVRRALSGLRFGEVRVIV QDGVVVQIERIEKERLR OJF2_RS15915 MASGSDSRISRARRLAGLIAAMVLAAGGCGTRTAGTGTPSVAVP EAPPAAGEQAAKAGPATKAAEASFAGSASCVDCHQRFHELWATSRHGLAMQPYTAEFA RKELTAQAQPVVIGGKPYRAEIGHGKGVVREGDGPGAKDLPIAHVLGGKNAYYFLTPM DRGRLQVLPVAYDVNKRSWYDTAASGVRHFPDRTDAALHWTDRMFTFNTTCFNCHVSQ LATNYDLGTDTYRTTWAEAGISCESCHGPAAEHVRVMTHEKPAGRTSKDLKIIRTKEF SPSQMNDMCATCHAKMVPLSTSFAPGDAFFDHYDLVTLENPDYYPDGRDLGENYTYTS WLSSPCAKAGKLDCNHCHTGSGRPRFSMAESDKSCLPCHQKLVDDPAPHGHHAKGSEG NRCVSCHMPTTRFAAMGRTDHSMRPPTPATTLAFKSPNACNLCHKDRDAAWADKVVRE WYSRDYQAPVLASARLLDDARHDRWGGLPAMLAAAVDPAADSVWKASMLSALHGAPGD PSARAGTFLKALDDPSPLVRSRAASGLTNLLSQETATALLKASRDPSRLVRIRAAQSL AAVPDGAIADRSDRAAREAAVGEFLASMGARPDDWASHANVGNFEMERGAFDRAAREF EVAARIEPRAVGPLVNASLAYSNLQQPDRAEAALRRALEAEPGNASALFNLGLLQAET GRPADAEKSLRAAIGRDPHLAAAAYNLGVLAASRDKAEAVRWCRKALDIEPTSTKYAH ALAFYQQDAGDLPAAAKTLGDWLSSHPADADAIAALGSLHERRGDRAAAARLYREASA NPAIPAEVRSSMAAELRRLQP OJF2_RS15920 MERSRTVGFEGSNLPMPSEEKPETIYRVVRNHEEQYSIWFTDRE LPPGWDEAGRSGTKDECLAYIEEVWTDMRPLSLRKRMDEAS OJF2_RS15925 MTSRPKTAEPFESLTELLGSRAAEGPDRPLFSFLADGDGDVAGS DLPALSRGELMLRSRALAARLQAKGLAGSRALLLFPPGLEFLVGFFGCLHAGVVAVPA YPPRLNRPMTRLRSIAADAEPAVVLTCVSQRGLIGRWREGVPELTAREFLITDEGPGA GEVADLATGWEDPRAGRDTLAFLQYTSGSTADPKGVMITHGNLLANSALIRGLFAATQ DDRGVFWLPLFHDMGLIGGVLQTLYCGGSSTLMSPVSFLQRPLRWLEAISRTGAAISG GPNFAYDLCVEKTTPGQRASLDLSRWRIAFNGAEPVRAETLDRFAEAFAPAGFRREAF LPCYGLAESTLMVAGRTLGPGWSPVLVDAGQLDRGLAAAPAGAAPSRLLVGHGGPLED AEVVIADRETGLRCDEGRVGEILVSGPSVARGYWNRPGETASTFAATVPGLEGRAFLR TGDLGFLRDGELVVTGRIKDLIILRGRNLYPQDIERTVEGCHPSLRPGGCAAFSAEVE GGERLVVVQEVERPRRGEPSDEALAAIRAAVAEQHDVEVHSIRLIKPLGLPRTSSGKV QRHACRTAFLDGGLEVVAAWDRAAAGHAATGTPAEPVAEAPPAARSRREIARWLAERI ARTLGLGPDEVDPTRPLASFGLGSVEAVGLAGDLGSLLGCPVSPLLVYDHPTIDAIAA FLGDEPATSPSARVEPPPVDPSREPIAVIGIGCRFPGAEGPSAFWSLLAEGREAIGHV PASRRSESAAEARGIPSRGGFLDDVAGFDADFFGIAPREAALIDPQHRLLLEVAWEAM EDAGLVPSKLAGSRVGVFVGVSTNDYAQIQSRRRSRADAYRVTGGAGSIAANRLSYAF DFRGPSLAVDTACSSSLVAVHLACKSLREGESTLALAGGVNLILSPEVAENFARLGFL SPDGRCKAFDARADGYVRGEGAGVVVLKPLSRALADGDPILGLIRGGAVNQDGRTNGI TAPSGAAQEAVLRDAYRDAGVSPGDVAYVEAHGTGTLLGDPIEAAALGAVLAEGRPAG LPCAIGSVKTNVGHLEAAAGVAGLIKAVLSLRHGLLPPSLNFDEPNPYIPFDALGLRV PTAPEPLPAADGDGPAIVGVSSFGFGGTNAHLVLERFAAAPGEPPAADPGPFLVPISA RSEESLRSLAKAVGGRLAAPDAPAIADVAATLANRREHHEHRAAIVAADCATLLDGID AFLAGKSRPGVASGRRPAHGRPQVAFVFSGQGGLWPGVGRALAESEPAFREALEACDH ALSLHGDRSLHAAFFGHDEPPATGDPGYDQPLQFAIQVAIAALWKSWGIEPDVVLGHS VGEVAAAHLAGILSLDDAARIITHRGRSMRRAAGRGRMLAAAIDAAEARERLAGRGET LSLAAINGPRSVSISGEPDAIAALAAELRAGSRAARDVDVSIAFHGPQMDGPRAELEA ALSGLSPSPGAVRFVSTVTGGELRGDELDARYWGRNVREPVLFEPALRVLAAEGFRVA LEVGAHPIHAAGIAASLGEGGTGPRPVVVGSLRRGEDRRSTLLDAAAALYAAGCDLDW ERLAPRGRLVPLPTYPWSRAEYWLEDEPEPPAAAPSSNGHATNGHGSNGHATNGHGRL ADIAAANGHAAGGDGPTLLHRLLWEPAPDRGAASPDLAGHWRILGRSAGELGRRIEEA GGTWEAGELPRRTRPDLGLRGVIDARPLDLAPASDLASIEEGHRVILGGLLEVVREIA AIDGPARPRLWIVTRGARRVVDGDPAPDLVQAPLWGFGRSLALEYPEAWGGLIDLDPA AGADVADAIAAAIREPGGDDAIAARGGRHHAARLEPIDASPTGAEGLTIRPSGTYLIT GGLGDLGLAVARDLVARGARRLVLLGRTPLPPRASWQALEAGDPSAPRVAAIRELEDR GATVLTAAADAADRPSMERLLDDLARAFPPIRGVIHAAGVVRPRPATEIDEAALAETL RPKVAGGWNLHELTGGLDLDFFVLFSSVASLWGSPKQVDYAAANGFLDALAEARRTAG LPALSVNWGPWGEVGMASREGRNDAMALLGLRPLAAGPALEALDLLAGGPHAQAAVVD ADWARLKSLHARDGRNRLLERMGGSPGGDGGAGRGRRADGEPLLQVVRRQLAGVLRTT PEKVDPEKTLTMLGLDSLTALEVKAGLEAELGIVLPLSLLLQGSTVGALTAAVEPLLD GDAAGTDDEPRAPGEAHAAIAATATPTLSFGQQMVWYAHQFAEGARAYNIGGAGRVRG PVDLAALRRSLGRMVSRHEAMRTAFDDSRGAPEFRLLDAREVLADEPSWFLVEDARGL DDPGIRTRIDELASRPFDLARGPLFRVHVLELGGEGHAILITFHHIVSDFWSLAVFVD ELGRLYAGEREGRGDEAESALAPISHGYADFVRWQHAWLDGAPGRRSWAYWSRQLGGE LPVLDLPTDRPRPAVRSQRGATLHLNLDPARTAALVELGRSRGCSLYVTILAALQVLL SRYSGQDDVIVGSPVSGRTRPDWERLIGYFVNLLPMRGDLSGNPTFEEYMGRLRRVVA EGLEHQDFPFSQLAARLQPRPDLSRSPLFQVMYIHQRAHRLDEAGLTPFSLGADGLDM VVHGLPVESLATDRHAALFDLTLTTAMRGDRLALSLEYATDLFEAGTAGRMAEGLLSL LADVAADPTRRIGDLDIVGDTQRTRMVAATAGAELPASSHETIHERFEARAALTPDAP AIVSGGDVLTYRELDRRADLLARRLAARGVGPEVVVGLLVASWPTRVVGLLGVLKAGG AYLPLDPDLPAERRAAMVEDAGASLVLTDLPPGRRDGLAGRDAMGLDGEATGGAEPAA ARPARRPAGGNLAYVIFTSGSTGRAKGVMVSHASLLAAGDAWAAAYRLRQEPLRHLQA AAFSFDVFTGDWVRALTTGGTLVACPRDVLLDPPALAGLIRRERIDCLELVPAVAEAL ARQLQAEGRGLDGIRLLAVGSDMLRGRLYRTLVELIGPEGRVVNSYGLTEATIDSTYF EGSRFEDEEDGRVPIGRPYPGTRAYVLDAQMAPVPPGVVGELYLGGPGVARGYAGSPG ATAARFVPDPFGEVPGARLYRTGDLVRWRADGNLDYLARADRQLKINGVRIEPAEIEE ALLSHPAAREAVVEARDAGRGRRRLVAYATLDGPPGGRPDADELRGWLRRRLPEAMVP AALVLLDEFPLSPNGKIDRRALPDPAPEAADSEGYVAPRTPAEATLARIAEGLLEVDR VGIRDNVFAMGIDSILGIQLISRARGEGLSLSPADLFRYPTIAELAAAPGILPDASAA RAQRPLESTEPFALVPDPALRERLAAGSPAEDLFPLTPVQEGILYHATASPGGGLYVE QLVCRIEGGLDEAAFREAWRRVVAHHPALRSSIAAAPDGRHYQVVRADDGSLDLPFAA EDLRGMDPSGREGRIAAFLEADQARGFDPSRPPLMRLALFRLEDAAYQLAWTIHHVVA DGWSLGILLRDAIAAYGAIARGEEPAFAANRPFRDYIARLDGRAPDGAEAFWRAALRG VSGPTPLGLDGPAGDRPAHHVAGPMEEIGRALPPSVARGLNEVARAGQVTLNTVLQGA WALLLSRYAGRDDVVFGVTVAGRPAELPGMESMVGVFINTLPLRVRADDAEWLVPWLQ GVQRRAAGLRPYEALPLSAIHEWSEVPPGRPLFESLLIVQNLPLAGLLGREAGRLGIR SAHYRERTHHPITITVVPGNEIDVKVGYDAGRFDREAIGSLLGQFSHLLESIAADPER RLGAFALAGELSGHAGLAGWDPGEGDGAGLAGPDDLDIDIEGLSEQELDALISELGPT SGNES OJF2_RS15930 MSRMPGVAGLTVEQKRALAARLLREKAAARPASPPLLPALFEER AAGTPQALAVSDGSEALTYAELDRRAGRLAARLRAMGAGPETLVGVHLGRSCSTLAAL IAVHKAGAAYLPLDPAFPEARLRHMVEDSGTRILLTERATRGGIPGPGLERLCLDDGD TAGGAGNDERRPHAPIDARNLAYVLYTSGSTGRPKGVQVTHGALANFLTSMRSIVGMN ARDAILAVTTLSFDIAALELFLPLICGARVDIADRETAADGARLARRLDDPAITFLQA TPATWRALLDAGWRGKRGLTMLCGGEALPRSLADRLLDKGEALWNVYGPTETTIWSSA WRVEPGEVPIVIGHPLANTQLLVLDHRLRPAPVGVTGELYIGGDGLARGYRSRPGLTA ERFLPDPTGSSPGARIYRTGDLARRRADGAIECLGRADHQVKVRGYRIELGEVEAALA KHEGLRDAAVIARPDASGEASLAAFVVPRGEAPAPSALRDRLLEQLPEYMIPSTFTAL DALPLTPNGKVDRKALAESGPASAPAGTPQRPPRGAMEESLADLWADLFGVPRVGVLD NFFELGGHSLLVIQLLGRLRQTFGVEVPLRAFVDDPTVAGLSRLVESALAGGTVADAS PIERLPRDGSPLPASFAQQRLWYLDQLQPGDASYNIPMAVRLEGALDVPALSKALAEI VRRHETLRTTFAAIEGVPHQQIAEAAELPLEVEPLDGADEAALRERLRELGTRPFDLA GGPVLRARLLRLGEGDHVLSLVVHHIAADGWSMGVLIGEATALYEAFRRGGPSPLPEL AIQYADFAAWQRRALSGDALDSHLAFWRERLAGLRPAGLPADHPEPAGPANRAGEARG KVAGDVLGGLKRLAREGGATLYMALLAALDALLARYTGSDDVAVGTPVAGRSRPEAEG LVGFFVNTLVVRSDLSGSPGFRRLLARVRRDALDAYAHQDLPFERLVGELRETPFRVM FVLQNAPLPPLEAEGLRLSAIDVPSSVAKFDATLFAMEHDGGLELTLEYRAERYEAAT MERLLAGYRRLLEEIVAGPDRPIAELPIVSHDERMRMLGEWNRPAGDDLGIGS OJF2_RS15935 MNAPVQAETRGLDREPAARPLEPLHRAFERQAARTPEAVALRAA TGEVSYRELNDRANQLARRLKAMGVGPDVLVGVCLPRSAEMVVGLLAALKAGGAYVPL DPSYPADRLAYMLEDSAAAVLLTEDRLAGSLADFAGPTLFLDRDAPGLAEEIDADLPG DTRPGDLAYVIYTSGSTGRPKGVMITHGGLTNYLGWCVRAYAMAGGRGAPVHSSISFD LTVTALWGPLLAGGRVDLLDESLGLEQLRDAFREPRDDGVVKITPAHLKWLGDQLKPE EAAGRTRVFVIGGEQLTAAHVAFWHEHAPGTALVNEYGPTETVVGCCVYRIPAGPVES LPPVIPIGRPAAGARLYVLDAGMEPVPPGLAGELYIGGPGVARGYLKRPGLTAEKFVP DPFSAEPGARLYRTGDLARYRPDGQFEYLGRVDRQVKVRGYRIEPGEIESALALHELI REAAVVPREDAGGTTVLAAYVVPRGDMAGLPPAAELRAWLAGRLPEYMVPATFTAIEA LPLTPNGKLDPGALPEPGEAAPAPGLASRPASGPVEEGVAALAAELLGTGPLGASDNF FDRGGHSLLAAQLLGRLRQTFGVEVPLRAFVDDATVAGLARRIEAALAGGARVDEPPI ERLPRDGSPLPASFAQQRLWYLDQLSPGDVSYNIHLAVRLEGELDADALSRAIAEIVR RHETLRTTFVAIEGVPHQRIAEAGTSPAIEFESLDGAGEAALRERLRELGRQPFDLAN GPLFHARLLRLGEGDHALSLVLHHVVSDGWSTGVLIREATALYEAFRRGEPSPLPELP VQYADFASWQRRALSGDALNSHLAFWRDRLAGCVPPEIPADRPESAQAQGLAGEARAR VEPAALGTVKRLAREGGATLYMALLAALDALLARYTGSDDVAVGTPVAGRSRPEAEGL VGFFVNTLVVRSDLSGSPGFRRLLARVRRDALDAYAHQDLPFERLVGELHASGGGEFP FRVMLVLQNAPLPPLEAAGLRLSPIELPADVAKFDATLYAQEQAGGLELILEYRAERY EAATMERLLACYVTLLEGAAADPDRPIDAIPLLTPQERETMAGRWRGPDDDEDGGIFD LDALDEAELDALLAELDGEDAP OJF2_RS15940 MIDLVRFLLGCSRRTVLASTAAGAAGGVAGVALIALVRRQLDGG APAPAAAMAFLGLCVLAVAMRVASQVAMVRLGQGAVRELTLRVVRRTLRLPLREFESI DTAGLLATLTQDVVVLANALSSVPQFAINVPIVAASVAYVGWLSPLLFLCGVSFAALA VAAYMTLARRAIRELRAARRVQDRLVAAFQAMGSGSRELRQHDGRRRALLHDSLEPAS AELRDRSVRAMATFAIADAWSQLAFFGFIGFVLFAAPRIQPIPTGTLAAAVLVVLYLM TPLDVIINGLPAMGRARVALGRIRALVPAMDGDEPEAAASTAEARPAFRESLRLDGVT FRYREGADDREFRLGPVDLELRAGEVVFLAGGNGSGKTTLAKLISGLYEPEAGAVLVD GQPVGDDDQAAYRSLFSVVFADGHLFGDYRGLPGAPDELARRAGAGLRRLELAGRVEV DGESRSFSTLDLSQGQRRRLALLGALLEDRPILVVDEWAANQDPTFKSVFYHRILHEL RAAGKTLLVVSHDETYFEVADRVLRLSEGRVVEETPAAPDAIWPGTLGRGLLR OJF2_RS15945 MKKLLLGIAGLWAAGALGFWYWADARTSRVTYRTIAVRRGDLRT TINATGTIEPEEVVDVGAQVAGMIESFGADPADPGKPVSYGTRVEQGTVLARLDSSLF KARVEQAKGRVARDEADILQAKAKLAQAERDYERSRKLHARGNGVIAPQEYDAAVSTY EVAKAALVVAEGALLVSRADLQEATVNLGYTTIKSPVKGVILDRRINIGQTVVASLNA PSLFLIAKDLSRMQIWSSVNETDIGSIREGQAVHFTVGAFPHDRFEGKVTQIRLNASM SQNVVTYTVVVSFDNVGGKLMPYLTARLQFEVESRKDVPVVPNAALRWQPRAENVVPE ERPNLASYTRRNRARPAGKDAEPREDSAAEADRKPVLWARDGDYVRPVPVELGLTDGT STEIRGGNVKDGMEIVTGATRAESATDAISILPHTWTEKK OJF2_RS15950 MEIIKLERIFKEYARGAVPVPVLKGVSLSIREGEMVALMGASGS GKTTLINLLGFLDRPTRGTYCFEGADVSGLDDVRRAYLRSRRIGFVFQNFNLLPRMSA LENVMLPMLYGAHGLSASECRARAVRLLERVGLAGRMDHEPSRLSGGEQQRVAIARAL MNQGKLLIADEPTGNLDSKTGEEILALFQELNREEGLTILLVTHDAGVASHADRVIRV RDGRVSEDGVAEPEGQESAALVQAEATEPTGAVAAGMAPPLRPAPFLRNAASAEAQSE DAPAAQEPPPRPGLADEARFLARTVTTSFRSLRRNAMRSALTTLGIIIGVGSLLAIAE IGQGAWTAIRALLTKTGVDNIVVQAGAASRNGVSLGSGSIKTLTPEDAELIERECPSV DSLAPLVFTRRQVVNGGANWVPATFVGTTPSYLRVREWQDLEEGLPFTDEDVASSGMV CLLGHTIARELFGEDSPVGREVHVADVPLRVVGVLSRKGADIIGEDQDDILIAPWTTV KYRISAAASSGSPVKDALHLNPADELSAMARRYPRGQADPFPTQSAIQMLNTPTLQRL SNVDSILVRSQTTEEIPAAMEQIENVLRESHRIKQGEPNDFSVRDFTEVVSAVKGTVG LVAGLLLCVALISLLVGGIGIMNIMLVSVTERYREIGLRMAVGARSHDILRQFLVEAV VLCILGGAVGIALGRSASSLVRLLALWPTEPSTLAVIISVSVSVTIGMIFGYYPAWKA SRLDPIEALRFE OJF2_RS15955 MMRSRTRPEASRPAPKRLATSIDIDIDSATIRVVDPRVFRDGRR DWCRALAEIAAARPGVCSAGIDLSDGSCAIGFAAGTTAAAMADAFAASMKAAGAGTED RPAHGPGWPFRRASHPWTLVVALADQPERPPATWAARMKDPEVLLIDLPKPVGRGTTA ELARDGDRPLQALATFARTGGHARRASGPTPARAGGSPDAPPTMIVHGPTRLLYLALG WASFGMTFVGLIIPGVPTVPFLMLTGYYFARSSTRLHDWLLQTRVFGRVIREWETGGG LSWTSKANLVLLTLTAVAASVVVAGASLMVLPIIAVFSVAGIFGILRLPGLDHEPEAE PYEASGLALPAPAV OJF2_RS15960 MTSTMETAPGSEVSKGGPRFGLATATFVVVSSMIGTGVLTTSGF TTFFVGSNQVMLALWVIGGILAVCGALTLCELTTALPRSGGDYVFLTEAYGPLAGFLS GWVSFLIGFGGPIAATAFAAAKYLLAPWRLDEASSAIAQPAVATLAILGLGVIHCLGR GSTIRAQGGMTALKLGILAILAVAGLAAGWGRWENLSDRPPITADLLVTAASSLVYIS YAYTGWNAASYLTGEVDRPQERMPRAILLGTGLVLALYLALNTAYALALTPADLSAMV KSPENRQDVGVLAPIAQIAAERLYGPRVADPMSIAIGLTLLASLSAYILTGPRVARAM AIAGQFPSVAGRLSSRGTPTMATILQVGWSLVLLWTASFEKILVYSGVGLAIFSMLTV ASVYVLRRRRPDLPRPFRTLGYPVVPAAFLAGTGLLTAAVCYERPWVSMISVMSILAG IPVYFLQGAIARRPA OJF2_RS15965 MNRTAFAIAAMIGAAILAPTAQEQAGQPGRGGPGNPLLQAFDSD RDGVLSPAEIDAAAAKLREHDANKDGRLTADELPRGPGRGMRGGPGGPGEMTSANDPG KPMLPKDDGERRILAALEQARGGERYANVSTSDGRLLRELAESIGAKRVVELGTSTGE SGLWFAMALRKTGGRLYTHDIDPGRIAVARENFRRGGVDDIVTIVEGDAHETATRNKE PIDLLFIDADKEGYDAYLRDLLPYVRPGGLILAHNMRRPAPNPRYIEAITTSPDLDTT FVLMDGAGISITLKKH OJF2_RS15970 MKRAARLGTTLLSVGLMLPPIATAQPPSGRLNVPDSIRVEKEIA YAGTSDPRQRLDLYLPKEPKGTARLPVVVNVHGGAWLGGDKSMGVGELLGLVASGQYA VASITYRLSGQATWPAQIHDCKAAIRWVRAHAATYRLDPDRIGVIGASAGGHLVAMLG TAGTAAGLEGELGPHKGGSTAVRCVVDEFGPSDIPAMGGSHDAAGSPESKLIGATVSE DRSRARAASPIAYVTKEAPPFLILHGTKDPTVPFDQSVRLAAALKKEGASVLFIPVVG AGHGGFRNPEVRTRIRQFFDKHLRGQEVGPISEEPISNDRPASAR OJF2_RS15975 MRRLIPAILLLLTAGPAWGHPMPSSAVVLRLQGGRIDAELTLPI CELATGWDRPLPADAVRTVAEHGDDLKPYILSHVRATAPDGRPWAVAVRDLTPVVEKE PDVLVGLTLTPPPGAPADRLTLHYDAIFDRLVTHTAVVTLASDWGRGVIGDEPVLLGT MRDTEPSLEIDRSGGNWLRGFAAMVRLGARHIAEGTDHLLFLLALILPAPLVAEGRRW GGYAGGAAALRRIVKVVTAFTIGHSITLAIGAMGWARLPEPLVESAIALSILVSAVHA LVPVFRGREAYIAGGFGLVHGLAFAATLTGFGFDPWTLASGLLGFNLGIEAIQLLVIF VAMPWLVLLARTRAYAAFRVAGGTLTGIAAAAWLAERAVGWPNPIGPAVEGLAGHASW LLAGLALLTVAATAAESAGHAGGRKLTGEPEIIP OJF2_RS15980 MNRSLLLGLLAAAGTLPIGLAAAHLGPAPNRSVDHGQAAAPTPA LLESPNVAAVVDAAKAFLGTLTDEQRHTAQIDLSRRLAARWSNFPGGSNLRNGVFFRD LKAPQVYAAMKVARLALGEEGFARFQEIRAADDVLGNLEGKRGPGRRGPGGGPPGGDG PGGPPPGGGPFEDADANKDGRLSKDEAPDFLRDQFAEIDADKDGFISREEDRAFMRRR APGGPGGPFGGPGGPGGGPGGPFGGPGGGYGAANYMIAILGQPSTTTPWLLQFGGHHL AFNIYYRGTAAAATPYFLAVEPTTWTDESGKAHGPLAPMKDAMAGLLRSLTPEQVSKA RLNRRFNDVYVGPGRDGKFPAREGVPVSELSDASKAFVKRAIAAWTADNAQAESYRRL YEADLDGTTVSFSGTTTLEARGDYVRIDGPRVWIEFASQGGVVVRDQVHYHTIWRDRL TDYGAEFSF OJF2_RS15985 MSSPVRSLPVMQNWDCQSCGDCCRTLEGVITEEEKRRIEGLIPA GDPEFPPGPWFAPKGRGSGKWSLTHRPSGGCVFLTADNRCGIQKRFGAEAKPFACRLF PFLLIPAGDHWRVGMRFSCPSVAANIGRPVAEAKEDLAGFSRLLEKHVGRSADSAQAP AAEGGQQLSWPDVCRVVQVLAEIVEDRGDRLERRLRKCLAVVRIGRQTQWNNLSGHKV TKFVQAVRGAMEAEVPREPADLPPPDRLLGGIPFRALLAIYARKDRDLYGASRLLRRP GRVLAGWRFIRGRGRVPRVNPFLPDVRFDEVERAEGMPSELDETLERYYLVKLSSLQF CGPPNFDMSLWAGLESLIATLPMILWLRRAFRDQPPAQAIERAIQTVDNHFGGNPMLG LPHVRYLVRLLAERGELEKLIAWYSR OJF2_RS15990 MCRGSSTSVPGSCGGLGPEASPWRAGSWWWRTTRASPDPWSRGF RTRASRSRTRPTATRRWRPSGGGGWDLIVLDWWLPGRDGLEVLGEYRRSGGNTPVLFL TARDAVGDRVRGLDGGADDYLCKPFAFEEMLARARALVRRGRPVEVTTLSYRDVRVEV MEQKAERAGRPLDLTAKELALLVFFLRHPGEVLSRSRIYGHVWGEDYDFISNTLDVHI KELRRALESSGPRLIQTVRGRGYLLGAPPAAAEDGP OJF2_RS15995 MTLVTRVSVAFLVALALALSGFSACLYVLAGLRLRLDLDQELEA TLDRFPERRESASGRVAWAVYDDAGRRIEGSTGAGSQAVLDGRDLGPIAVDVAKTIAD RDGLRWRVLARRIGGRGRHGPPPDDRGGPRRPPPPEKEARKGGGPGRDRPGQVLAAWA SLEPVEAELRWLALALPLISIGLWGLTAAIGHHFGRRALAPLTLMAESARNMPFDDGR LPSPGTRDELDEFARSFNGLLDRLHVALERQRQFTGQASHQLRTPLAALVAAIDVARR RPRTAEEHERILDRLRDDAGRLWRVVEALLFLARADADAELPDAERLDLAAWAAGHLR LWSDHGRAADLHFEASGGARPWTRAHRPLLAQLLDNLLENACKYSPPGTPVVVRVAEE PGAASLAVEDRGSGIPAADLPRIFEPFHRAESARRQGPAGVGLGLAVARRIAEAHGGT IVAESEPGRGSRFVVRLPLVKADTREVVREPGLTRSPDGAGPHGG OJF2_RS16000 MSDANRDKDGPPALEPADRFDGGDMDCGSGLLLQIRRRIDPLGH GQLLELRSTEPSVSEDLPSWCRMTGNELVSAGRDPARAGWIFLISKGPFTPGSKAPPA REPAGVPGAPREAAPPERRGPRPAPPAIPPLAVMGIGSWPRPAWLLIALREWLEGRME ERAFHALADRAVAEVIVAQIGAGVDVFTDGEQRRDGYASFVGGRLANCQLIPIVDLLP YVEHPDEFARELAALDVPAQSVRHPAVFGRIARDPARPLARHELDYPRTMGDHPLKVA LPGPYLLTRTMWLECVSDRVYREREELAADIVRVLREEVADLLDAGAALVQLDEPVLT EVVHGRRASGNRSFMCGALGEKRTPREELELATRLLGEVFAGFPRDRLALHVCRGNWT RDESAALAGDYRPLVGLFSSIPVGTLFLELCTPRAGEIDVLRELPDSLRIGVGVVDQK TDRIETPEEIIPRAEKAIALFGPDRVLLNPDCGFATFADSPISKFHDAQHKLQSLVVA SRRLRRRHFGNRAW OJF2_RS16005 MAGKFCVSLTRSTDDTDRATVAFVVANAAVASGQETMVFLSVEG VRLAVAGVADEVHEPGFQPLKELMTSFVQAGGTILVCSPCFKKRDLDATKLVPGATIV GGARLVEFLSQGAACVSY OJF2_RS16010 MDGDPADEAWDAGDLACGPMLLALRARLERLAPGRVFRLTTRDE GAPVDVPAWCRLTGHRLVLAAHPIYHLERRKD OJF2_RS16015 MNSRFVRLLRDTSAVAAAILLGAVVCPGCGDPSVGSPATRLAGR ITYNGRAVKNHVLIFTPEGENKEDWAYAITDGEGKFLVFASARAGDMMPGVYRIALRK DGLVAPDNGAMKDRRAAWRGGELPGRFYDADHSGIWTKLERSACWIRIDLRDESESGS AERETDLSRAGRGLPPRDTPGGVT OJF2_RS16020 MRGGHSRSLLVAAFLVAGAAIAAIQVGPRWSQGTPQFSGQVTYN GRPLKDQMIVFVARDLKSDNWGFACTDGDGRFSVSATLGERRLAPGTYRIFFRKPGQS RVIRYRGGSERVDMTATAALPDRFYDADQSGLWAKMGPTARWIRIDLRDGPHA OJF2_RS16025 MRCRSLRGSVGAMLLGLLALPGCGSSQADSGPQQLTGRVTYNGR ALTNQSIVFAPREDLDTNWGFAVTDDGGNFSVSPVARLNKLTAGIYNIYFDRPASTPR FDDGQRRKQQPAAPAVELPDRFYHANQSGLWARVERGSRWIQIDLRDGPKA OJF2_RS16030 MFRSLRMVSLAAAAAAALLGGPAPPAWATYGGGACHRCAPSSVV ATQLTVVPLAPQVETVYQTVYETVYETVPTTVMETRYRTGYQTENYTVQRPVYETSFV ERKYTVQRPVYQTENRERRYTVMKPVYQTERRERRYTVQRPVYKTVNTERRYTVMRPV VKTQQMERRYTVQRPVYQTENRERRYTVMKPVYQTENRERRYTVQRPVYQTESRERRY TVMKPVTETSMVEQPYTVCRPVTTVRQETVECGYYERQYTTIPGPVVERQVRVPVEEC DACGGEPRRGLFGCLKCKRKVTATVAVQCPPRVVSQRVFVSRPVTRDVTETTYVRETM VRQVPVTRCRYVAEERVEPYQVTTCRYVAEERVEPYQVQTCQMVAEERSEPYQVTTCN YVAEERVEPYQVRTCEMVAEEKVETIPVTTCSYVAEERVEPYEVQTCQMVAEERSEPY QVTTCNYVAEERVEKVPVRTCRMVSETASRQVPVCVAEQVPVTVNRVVARQVARTVAV QQCTPVPVVVPTCLSCQ OJF2_RS16035 MHDPHALDAADHDANLNGPGRIAGKPPELPDLPPVEAPSAGFVV QLFVIPAIVVAVVIFVWLLFGKLAGGERDPAEYVRRLRAGTGDWRSAFELASLIQNDA RLAADPRLLGELTDLLDGELRGPTPATELTVYLIKTLGIFQTTEGTLDGGRKVDPIGT LVEATGSGRDQAIRMEAAASLARQAARLEGKLDDPRVPAALSAAATDGEPELRQVAVY ALGFVGGDPAIESLRDRLRGDEDRFVRYNAAVALGRRGDPAAEGTLKEMLSPADLDRV VDAPNADEKLSRVESIELEALGAVRASISAGKLDLARALRPLVEGLTRSGLVSVRTTA QDVLQKLQGPAA OJF2_RS16040 MPAEFGLPMATFLVIGSMVGVGVLTTSGYTMALVGSTRYMLLLW AAGGIVAICGALTLAELTAALPHTGGDYVYLHHAYGPLVAFLSGWVAFLIGFSGPCAA AAFASAKYVLAPFAIQGDRAVLAQRTLATAAVIGFATIHVSGRRRTARVQGLVTGLKV SLLGLLVTWGVAAGWPHRSNLNDPRPVDLTTAEAMLFSLVYIYYAYTGWNGASYLAGE IREPRRNLPRAILGGTGGVMILYLGLNAVYGLAVTPAELRAMADDPGNPEGPDVVAHV AEIAARRLFGPAWSAPLSVAIGLMLLSTLSAYLLAGPRVVYAMAKAGHFPAIAAGLSP SAGTPVAATALQVAAALVLLWTGSFEWIVVYASVGLSLFSMLAMSSIFVLRWKAPGLH RPFRTPGYPLTPLVYLVMTAALAAAAFRQRPAVSAEALASILAGVPVYYMMKRARGRR GPEAGGPTAP OJF2_RS16045 MSDLRSLKSARGGSRARGVQGRLSISFGEDDPGDSRRAEPARSA RSARPRRPARPAPPRPVKRDEPPRPAPIFHPLAYRSVLAGWPIEHRERWGLRANELEE TGLSWRDAETQAFVEAWNGIREREARAATNAEVVTG OJF2_RS16050 MESLPMRPMARLYALRLMVLVPLSLLPAGGALAAPGEFDVRDHG AKGDGKTIDTDAINRTVAACGEAGGGVVRLPAGRYLSGTVRLRSGATLRLDAGAAIVG TPDLTAYEGFVPPAGAIEARWRNWHRALVLGVDVHDVAIVGEGMIDGNNVRDRHGEEG VRGPHAVLLGHSRGIAIRGVTVRDAANYAVMLEECSDVQVVGASFVGGWDGVHFRGWS EDEPCRDVSIVDCRFATGDDAIAGRYWRDVLIRGCEVNSSCNGIRLIGPAHGLIVHDC LFFGPGRRPHITSGRTKMLSGINLQPGAWDPTRGRLDDVLISDVTMRNVQTPVYLSVR DGNTCGTVTVERLSATGVYEAAISAEGWADRPIDRLVLRDVSAEFAGGGTKEQADRRV ERPGIEARPLPAWGLFARNVGELALDGVRLRLATPDARPAIRAEHVGRMRVEDLRHDP RAGGGEAILVEDVGERVGGPSRP OJF2_RS40620 MRSLVGMEVGPTGAQFGSDPSDVGYAARFDGAEVVRRCADAGSE YVVIWARDGEYAYYDSKVVSKCPGLGGRDVLREAVEEGAHRGIPIIAYCVVQQGGHFL ERHPEFAMRGADGAIIPGRFCLNSGYLETLKALATEMIAYGIAGFHIDMLDQGFGRPY GCWCEACRRLFEAEYHAKMPSGATWDEGWDHMLAFRYATSRRFERALHDHIKSVKPSV TVDFNYHGNPPFSVEVGQRPVAHGENGDFLTGETGVWGFSALGVGLNAEFYRAATPGK RFQVAMQRGVRMYHDQTTRPLNDIRWELSTLLAHGAFVTMVDKTGYDGSLDPVAYRRI GEAFRDARSGRGDLAGVPVQDVAIYFSSRTRDWFAREEPARMFRSFQGAHRAMVLEHV PWGVALDENAAEATLGAFPVVLLPNAAILSDEEVARLTRYVGAGGSLIVTGWSGTHGW RGEPRKGSSLEALIGARLVRRLDSEDNHVRLPATAPSALLAGIEPAWSFLVEGPACVY EPAAAKPIGELMAPHRTVRQEQGKEGTGWPMSAGEPVGPAVLLHELGKGRVLTFAASP DAATAGEHPIVEARKLLANAVRLVHPSPRVRVEAPAFVESVVADEPATRTLRVHLIAY ASTPATTPATNRPAVIPGLIEDAPMYRVRVTLPSSPRSARAGRAGQPVAIEGSSVVAT LGDVHEVIVIQY OJF2_RS16070 MGIYLLVLTAGLARLPAAADRILNLGDPAPPIAVSKWIKGEEIA SFDPGRIYVVEFWATWCAPCRESIPHLTELAHAYRGRGVRFVGVDVWEQDTSKVKPFV DAMGERMDYGVALDRVPDGRDVLGGAMARAWMEAAAEYGIPAAFVVRDGKIAWIGDPM ALDGPLAKIVAGDWDPKPLAAERLAARAAEKKKMDVQRRVRDPLRAKDYAGCLGAIRE VTAADPTLDGTFDVFKFMCLNRLGRSDEALALGRKMAEASRDDAGTLSFLALTMLDPE LDHAPDARLVRFGVEAARRANDLSRGDDIGSLDAYACALYRTGDAPGAIAAVEKAVGL CNARFPSKEHPFHRQLEGHLATFRKAAGKAGTP OJF2_RS16075 MSTAFTPKEGLQRPKARKVVYPDSDGRPMSDNTRQFRWIVLIKE GLEILFRGRPDVFVAGDLLWYAEEGKPKVRMDPDAMVVFGRPKGDRGSYMQWVEEGIA PQVVFEVHSPGNRRATIDRKFRFYEDHGVQEYYYYNPDKGTLAGWRRVRGKLRPIARM DGYTSPLLGVRFELGTGPDSLRMIRPDGRAFRTPVELSENLDDAERHADAAEGRAAEA VQRAERLAARLRELGEEVD OJF2_RS16080 MASTRRTFLSMGVAGVAGLRIGPRAALGQGGSAPDVLAMVGRAV AFLRSRQAKDGSWSGDRQEPGITALVVTALLRTKRVPPADPAVEKGLAYLEKYVGAKG GLSEAPHSIYTTSVALMAFHEANVGGKYDRVIKGCQDFLKESQFDEGEKKGPKDPQYG GLGYGGDRSRPDLSNTSFMMEALHDSGLPPDDPALQKALLFVSRCQNLKSEFNDQPYA DKVNDGGFLYTAGGPASPAGRGGRGGEAGKAKAQDGPARSTAGMTYAGLKSMVYAGLR PDDVRVKAALGYIAKNYTLDENPGGGQRGLYYYYLMFGRAMGALGKKSFTDAEGKEHD WKAELAAALARRQDPSGAWANKDDRFMEGDPNIVTSYALMALAAGQ OJF2_RS16085 MLWRLSGIGTAMAAMAAAAGLLAGAAWAAQDAAPGGEKPPAKSQ DAHGKKVDHRINAQFEKADIRDYIKRFESNDREVYARRMEIVESLGLRPGMAVADVGA GTGLFTRLMADAVGPTGKVYAVDVSKNFLGYIAARAKQDGQEQVVAVEGTQTSTNLKP GSVDLVFLCDVYHHLEDHEKVLASIRGALRQGGRLVLIEFDRVEGRSSKFVLDHIRAD QATFRREIEAAGFGPVPDYKPPQMKENFVAVFAKR OJF2_RS16090 MNAAMGEEPADPAEPGAAAAGTAAPPGHGRYDVFRDAGFRSYIL AGMAVTIGTQMQGVAVGWEIYERTGSKLALGMVGLAQVLPVLLLAIPSGHTADRYSRK WQMVAALCVLVGSSLGLAWLSISRGPVGWIYVFLVLNGIGQSFNRPARWAILREIVDR DRLVPAITWNTSTWQVAAVAGPALGGLLVAQTGGATASYLGNAACCALGASLIMTLRP RPIAREVQPISLETLLAGIRFVFRTDLLLATMTLDLFAVLLGGATALLPVFASDILGT GPVGLGWLRAAPSIGSFLMALAMAHLPPLRRAGVTLLGAVVGFGLATIVFGLSTNPYL SFAMLLITGMCDNVSVVVRQTLAQLLTPEGMRGRVSAVNTIFITSSNELGEFESGVAA SWLGTVPAVVVGGVGTILVVLSVAARWPRLATLGRLGELQPPPDAVAESVEEEREAKA APP OJF2_RS16095 MSGSCRTVPWGLIVATAMAAAALAPPAVPGGAVGDDKASAAGRR ALFDGKSLDGWKKADFFGAGVVEVRDGTIVLGTGQSMTGITTTRGDLPKVDYELSYEA MKLSGQDFFAAATFPVGDAFITFVNGGWGGNVTGLSSLDGQDASENETTRSFRYAEKT WYKFRVRVTGTTIRAWIDDKEMAAVRYKDRRVSTRIETRRNQPLGFASYETAGAIRNI EVRPLTPAEVAGTEKPEDE OJF2_RS16100 MFGFLRRRRRDRIRSRPFPDEWLRVIRRNVPMFGRLPEADRREL LGHVQVFVVEKNYEGCGGLELTEEIRVTIAAAACILLLHRRTDYFPGLITILVYPDAY VAPATTHIGGGILMEGDQIRLGEAWKGGVVVVSWRDLMETAAGRDDGRNLVLHEFAHL LDMEDGAVDGTPVLEGRGRYARWSSVMEHAFERLRRDRALGRYSVLDKYGATDPAEFF AVATEAFFEKAAPLRRRHPELYEELAAFYRQDPARWDRPASLVLVDPEGDEDEVPAVE GPS OJF2_RS16105 MLPVGEGERALALRAARRAIAEYLERGTTLEVATRAPSLLEPRG SFVTLRRREGGALRGCRGEARPARPLIASIIREAILTATDDPRFPPVKPEELPGLTIK ISALTPPVPISPGEVVVGKHGLIVMRGKRSGLLLPEVPAHFGLRTPEEFLAALYQKAG LSADDPSRDEDRLFAFETEAWGEPEVG OJF2_RS16110 MTESPAPDDCRSDHPSSGGGEAPARREAAPKPTRRRILVVDDNP DMARSLSLLLEVLGHDVTTALGGEQAIGLAKGSPPEFILMDIGLPIMNGYQVAARLRE EVPGLSAVFVAISGYSQEEDRRRSREAGFAHHLVKPVDYDEILRILAAGTGPVAR OJF2_RS16115 MGGGGPSRRTSSGGFGCWRCNHSSANINILCAGLPPGTLCLTYD DGPGRGEGPRDGPGPRTADLGAYLHSEGIRAAFFAVGRAAERHPDILAGLRSLGHLVA NHTYDHASRHAFVERGGDAHEQLARTDAAIRPHVDGPVTFFHAPYGDWWLCGRAESNV AAPLNRSPLAPRYPGPIGRDVDGLDVGFWRDDRPAEACAGACLEAIGRVGRGIVLMHD STADIEEIRRRNRALGLARALIPELRRRGYRFVRLDEIPRVASMCRGAGTHVGLPRPA SGMPGGP OJF2_RS40625 MDDELGRITELPAPGGQVDGQQLLLAADEEAVSNPPASRYAERR TTEAPARKPSRKGPGIPGPRGRGLRSRTEQMASSLSSGPTRTRAVTTASRGDASIRSA ALRRHPGSHQVSSSQNAT OJF2_RS16125 MLHSPPGRMAILRALKLGDMLCAVPALRAIRSAFPAAEVVLVGL PWASEFVARYPAYLDGFREFPGYPGLPEREPDLARLPGFLGEMRAERFDLAIQMHGSG RISNAVVAEFGARITAGFYEPGIMCPDPATFLPFPERGLELRRLLQLTAHLGIPPDGE ALEFPLSEAERSGAAALAHSIGIGSRDFVCIHGGASVPERRWPVDRFAEVADAMAGRG LEVVLTGSGAEVGITGAIARAMRAPAIDLAGRTPLGTLAAILERARLLVCNDTGVSHL ADALGLPSVVISTGDNPDRWAPADRIRHRVLCRNSGVPAAEVLREALDLLSEPRRPAS SPGRPLASEVRDVGRYAGSLL OJF2_RS16130 MTARPLRVLTWHVHGNYLLYLSQAEVEFYLPVAPGRPGYGGRGD SFPFLARVRDVPAEAVRDLELDCILFQNWRNYLEDQYEILSEEQRALPRIYLEHDPPQ EHPTNTRHPVDDPNTLLVHVTPFNELMWDSGRTPTRVIEHGVFVPEGVRHTGEVSRGI VVVNHLKRRGRRLGADVFERARGEVPLDLVGMDAESLGGLGEVRPLELAAFEARYRFF FNPIRYTSLGLAVIEAMMVGMPIVGLATTEMATAVRDGVSGFVSTDVGRLIEGMKHLV ADPAEARRLGEGARLAASERFAIRRFAGEWEGTFSDVAGRPTRERSTVAPGFGATP OJF2_RS16135 MKRRLLWISEHASPLATLGGADSGGQNVYVAQVARHLAAAGHEV DILTRRDAADLPSAVLSADGVRVVHVPAGPPEPVRKEDLLGYMGEFAEFAIRHARRRG GKYDLVHANFFMSALVACEIKRATGVPFVVTFHALGKVRRVHQGGADSFPQERLAIEE RAVAEADRVIAECPQDEQDLLEHYRADPRKVETIPCGFDHSEFGPIDRAEARRRLGLP ADGPIVLQLGRMVPRKGVDNVIRGLARLRSSRGVAARLLVVGGESREPDPAATPEIGR LLEVAREEGVADAVTFVGSRGRRELRDYYAAADVFASTPWYEPFGITPLEAMACGTPV VGAAVGGIKATVVDGETGYLVPPHDPEALAARLADLLGDPAGAREFGRRAIRHVNARY TWRSVAGSISDLYEVVLGTHRGTRAGAGRLARSTAARVLAHPG OJF2_RS16140 MAELNGKVALVTGGGRGLGEAICRNLAGAGAVVLAGDVRDDLVE QVVGSIRGNGGRAESLRLDVTDEEGASDAIERIVGRHGRLDVLVNNAGIDLTVSVDQL SVADWDRILAVNLRGPFLMSKLALAKMRGQGGGQIVNIVSTAAKRAWANASAYHASKW GLLGLSHALHVEARPLKIKVTAVVAGGMRTPFLLDRFPDLDPGVLQDPANVAETVRFV LSQPDETVIPEVLVLPMRESSWP OJF2_RS16145 METHRAAFLDKDGTLVVDVPYNVDPDQIRLAPGAAEGLVLLRDA GFRLIVISNQSGVARGLFAEAAIAAVRGRLGELLGEIGVALDGFYYCPHHPQGVVPEY AVACECRKPQPGMILAAARDHAIDLGRSWFLGDILDDVEAGRRAGCRTALIANGNETE WERSPLRWPDVVARDLAEAARLITAAPDAGPRPDANIHPTGE OJF2_RS16150 MSTSLPRIVDAFAGLHVLVIGESMLDCYLQGSTSRLCPEAPVPI VDFGARSEQPGGAANSAFNALCLGAEVSFLSVVGDDPEGESVVRQLARRGIDPADVLS QRGRRTLAKQRVLAGSQLLLRLDSGDTGPISPEVEDRLVDRLVGLWRRCDAAVVSDYG YGVLSPRVIGKLGELQSDLPRILVVDSKRLALYRDASPTAVKPNCREALRLLDVPGPS SASERVDWMAARGARILDISGARIASVTLDSEGALVFERDRPAYRTFAKADRDSRVAG AGDTFAATIALALAAGAETPAAADLASAASAVVIGKEGTATCSAAELRDRVSPAGKSL ADRAELADCVAGHRRLGRRIVFTNGCFDILHRGHISYLSRAKALGDVLIVGVNSDEGI RRLKGPTRPINALEDRLQVLSALSCVDHVIPFDEPTPHELIRVVRPDTFVKGGDYTRA TLPEASLVEEFGGTVEILSFLADRSTTDIIARIRRAYGEGAGGADGHPPLGAAADTWA DHAPALGGGNGV OJF2_RS16155 MSTSDAWCRARHILCVRLDAMGDLLMTVPAIRALREAVPGRRVT LLTSPSGAEAAALIPCVDDVIIYDAPWMKAAAPRADPGPDLAIVERLARAGLEAAAIF AVYSQNPLPSAMLCYLAGIPLRLAHCRENPYQLLTDWVPEREPQQLIRHEVRRQLDLV AAVGCRAADERMQIRIPEVARGRVDSWLGDQGLGTGTPWAVVHPGSTAASRRYPPQSY AEAATRLVRDHGVRLVFTGGASERETIQSIRLAMGGVASLSLAGELSLAELAALIGRA PLLISNNTGPVHVAASVGTPVVDLYALTNPQHTPWGVPSRVLNHDVPCKYCYKSVCPE GHHDCLRLVTPGQVVAAAIELLGLAGPMARAETTGSVA OJF2_RS16160 MYTLGINAAYHDSSACLVRDGVVVAASEDERFTHIKHAKRPVPF STWELPFHAIDDCLKHAGITLAEVDHVAYSYDPHLLLGRHARDATITLPLEPSAHPRP AEWEAAWDPLFLSSIVNAPRQLADGAPHHLRDRFRGVRPDGPFRWHFVAHHLAHAASA FHVSPFEEAAVMTLDGRGEKATTGYAVGRGSDLEWLGQVHMPHSLGLLYEDVTDYLGF LRSSDEYKVMALASYGKPRYASEFRKMIRLGDGGDYAIEPTRLEERFGPARRRGGPLE ARHFDIAHSLQAVLEETVVELSRWLHAASGCGDLCMAGGVALNCVMNARVRDRGPFRR VWIQPAAGDAGTSLGAAVWVDAKERGDGTRPYTMDHAYLGPSYGDDEIEAFLKWSKLP YRRLHDVAGQTAEILARDEVIGWFQGRMEFGPRALGSRSILASPIHPEMQARLNEIKD REDFRPVAPVVLEEAASEWFDGAGVSPFMLFIHDVRPDKADRIPAVRHTDGTARIQTV NRAQHPLYYDLIKAFAARTGVPVLVNTSFNTRGEPIVCTPRDAVESFWTSPLDALVIG SFLLEKRRTEA OJF2_RS16165 MTLRATIVVPTYRRPDLLDRCLQALTALDFDPAEGEIVVADDAG SEETRTQVEGWARRSAIPIRYATPASAHGPAAARNAGWRAGQGGIVAFTDDDCIPDPR WLAEGVAAIEAGADAASGRVVVPLPERPTDYERDAAGLARAEFVTASCFVRRDVLESV GGFDERYAQAWREDSDLQFALLERGCRIDRASRAVVVHPVRPAPWGISLRQQRKSLFN ALLYKKFPDRYRRQIRASPPWDYYATIGAMATAAAAAYSGSPRIALAAAAIWAALTVR FCARRLRHAATDPRHVLEMAVTSALIPPLSVFWRLYGAFKFRVLFL OJF2_RS16170 MDLPRFLQVEPVGQCNLRCQMCSIQFRPDGPPHGPPAFMDFDVF TRLLDQFPGLEELQLQGLGEPMMHPRFFDMIELAAGRGIRVSTNTNATYLNESRAERC VTSGLAEIHISIDGATAETYEGIRVRAHFDRVIANVEELVAARRRLRSATPRIRMVVV AMRKNLREFPDLVRLAHRLGIDTVFVQHLCHDFGESSLPAYYRSMRDFVDAETLTRLT QDEVGPHFDEARRVAGELSVDLRLPRTRPRAHPPGTPGRRRCDWPWRGAYVSYQGLAM PCCMVSTPDRINFGSMAERGVEPIWNGVEYEAFREQLSTETPPEVCRSCAIYSGTF OJF2_RS16175 MTFRETLDRHLRAIRDRDLPGLLETVAPDELTLITSDGRLVRST GEFAEMHRGWFAEKTWTLDAEVVSVFESPELASVILRLDYRDDPAGRPPIREASYLSL VFALREGRWLMVQDQNTPIRSRPEAG OJF2_RS16180 MPQDPREKGSKPPHPSQQQAPPGLESEMDPPPDYGEKSYKGTGK LAGKSAIITGGDSGIGRAVALAFAREGADVLISYLNEESDARETARMVEASGRKCIAV AGDIQQEGHCRALVERAVEEFGKVDILVNNAAYQMTRESIEEISTEEFDRTLKTNLYA MFWLSKAAVPHMPEGGAIINTTSIQADNPSPQLLPYAMTKAGIQNFTGGLAQMLGKKG IRVNCVAPGPIWTPLIPATMPEEKVKNFGKDVALGRPGQPAELAPVYVLLASDDSSYM AGSTIAVTGGKPLI OJF2_RS16185 MKAVVFHGLGDIRLDDVPEPKIEQPTDAIVRLTASAICGTDLHM IRGTMPGMVPGTILGHEGVGVVEEVGTSVLDIKPGDRVVVPSTICCGHCSYCTSGYHS QCDNANPNGKTAGTAFFGGPRTTGPIDGLQAEYARIPLAGPSLVKLPGGLTDDQAILI SDIFPTGYFGADVAEIKPGDTVCVFGCGPVGLFAVVSARMLGAGRVFAVDTLPDRLAK ARELGAETIDFNAEHPVEKMKELTGGVGVLRAIDAVGVDAYRPEDGPAYAESRAMRSR FKEEQNETEIAGSGATWGGQWVPGNAPSQVTTWAVQALAKAGTLSIIGGYPETMTRFP IGNAMMKNLTVQMGNCPHRKYIPRLIEAVASGKVDPLKVLTEVEPMTDAIEAYKAFDR RKSGWVKVELKPAATAAR OJF2_RS16190 MATHARAIEAEPTHEGGWPRDVTRDVAYLRTAIVNVVFFGAERA RDWVLIDAGIPGSAWTILSAADRRYGPGARPSAIILTHGHFDHVGALHTLATRWDVPV YAHPLELAYLTGRSPYPPPDPSVGGGLMAAVSWAYPRGPIDLSGRVRPLPEDGLVPGM PGWRWVATPGHTPGHVSLFRDGDRTLIAGDAFVTVKQESALAVMSQAPEIHGPPAYYT QDWPAARHSVRVLDGLAPERAVTGHGVPLGGRQLREGLHALAEHFDELAIPPRGRYVG SPARADARGTVSVPPDPTSPWPRVIGGFAIGAVVGTILSSPRLRSRG OJF2_RS16195 MSFAITRRAFLTASSVAVSGVAIPHRVAQADARPLPAGPVYGRV GERGIRPGREGRPSLGEAELLDGRRLLVRHEAAHAIGAGKSVLLAPSADGVFSILYAE V OJF2_RS16200 MYHHIKKLMYTVRVGTPDPRFGRMLLEQFGGANGELAAAMQYSI QGINCDDPARKDLLMDIGTEELSHLEVIGTLARAHLRPTGSAREAAEADPLIAICGGG GVNLFNSQGNPWTADYLKITGELDVDLRSNIAAEARAKIVYERLINFTDDAGTKEALQ FLMTREITHMKAFMAALDSMGKPPLEVGKIPPSPGVVDQFFNDSTGQGDAGSEFRGPW NQGGSWQLVESPAFKELRDKSGARQ OJF2_RS16205 MITEGRRDPAARAGLSGGGRTVAELMRTDFRSCNASTPIPEVAA ALLQSRCPVLAVTRAQVPIGIVTGRGLASALADRGGDLSGLTAADVMAEDAPTIPMNA SAEEAAGRLAGADGGLLAVDEDGLLKGVVTPEEVRPAPGPRAGDPAAEIKASKSQAQP HPWDSPAGAHPEPVPLVTPADMVNPMLAVADVMMASPRTCSPESTVLEAVLIFRDAGC GAVPVTEGGKPVGILTDRDVALASARGGGPVGATVGDLMTRDVASIAPDATLADAIVA FRARGVRRLLVVHGEGVLAGILSWADLIGHVSERGLGHVVAGIESRRGA OJF2_RS16210 MDPSYLGGFGPLGFPLWVRVTHWFNFLFLTLLARSGLAILAAHP KLYWNIHSRPGSEWIRFTRKALPADRMWCSTDEEVEAPSWLALPGGKGLGLGRYWHFF NAMAWPLCGLVYVTLMFATPQWRRLVPTSWEVLPDAWRTLVAYLQFQQPGPHPPYSYD PRLPFNSLQQLTYFGLIFGLVPFEIATGLAQSPSILGRLPWVERAFGRGGRQAARSIH FLGLAAFAGFLVVHVFMVAWHGFAAEMDKMVLGREEATGSWLGAWLGLGIVAAVVAIH AAANHASARHRRATHRALAAVVDPVRRNTLHRLVSVQDYRESEVSPYFRLNGYPPIAA YPQAQGGDQTYERLLGGGFADYRLEVGGLVKCPLSLSLDDLRAMARQDQTTLHHCIQG WTSIGRWSGVPIGEVLDRCRPSPEARYLVFRSFGMHEYTGKPYYKCVAMEIGRHPQAI LAYELNGERLPLQHGAPLRARFETKLGFKMVKFLRSIEVVDDYRRVGDGLGGSREDEQ QFDMGAEI OJF2_RS16215 MAEAAENPGPAAKRAVTLPDPIWAPLAVGGLLLAVGLIGLAAGQ PWLFPSLGPTAFLQAEEPGKPGSRFYNTVAGHLIGFAAGALAVWVLGASGAPSVLADK ELTGIRVGAAAISGVLTMLGLTLLRASHPPAAATMLLVALGGFEPSWRSAAIVGAGVV ILAVLGEGVRYVRREIVVPPAR OJF2_RS16220 MRRRAFIPDPPGRLEGRALLSGAAGAAHGPVALSGIGLGVTLSR VRADFEEFSASGDLSRLKTQLQQLAGGIPFGKVDGLGERINAILARMQQDIVVGKPHP VARAHQGVASAIKADVKARIADGSVHVKD OJF2_RS16225 MARPSAGMPLARAVADGEARRTLLAEDVFEEAPADAPMPAWPAA PRVVTGPLDTAFESIFGPADKEGWKPLSLATLFSEGWDEAFHDSPEGTNGAPKQNWIG APAGVFGRFATFDFFYTNHINNVPGLFLTPNAPFMPVHTFTTGNQYAGYTTLLLPLSS RLQIVLGTVYIDSRKTSPGGHYVGNWGDTGVQARVHLVDQRNFSMVAFVGERIPTGKS VNGSGINYITPGLEFWWNFASKWVVRGGTSINILTGRKSATSVYVNQLALGRYLTSKE AALFKELEVHVTATALSDVADGAGFVDDIYLFPGMRFSLDKKDKLAVLTGVQVPVSGP QAYVWQPQFSLTWKW OJF2_RS16230 MQETRDSIADIWGERTPYEGTWPVRQDVRIEAEPERWVPSACVL CSNGCGLEIGVRDGKIVRVRGSAGDRVNRGRLGPKGLHGWVANHSPDRLTRPLIRGAG GLKEASWDDAMGLIVERSRELLDRFTGGSIGFYTSGQLFLEEYYTLGIIGKAGLGTPH MDGNTRLCTATAATALKETFGADGQPGSYTDLDTTEAIFHVGHNIASQQTVLWARILD RRRGPNPPKLVVVDPRATATAKEADVHLAPRVGTNVPLLNGLIHLIIEAGHIDRDYIA AHTVGFDHLADIARRWPPGRVAEVTGVPEDRLRAAAAILGGARSLVSTVLQGVYQSMQ ATAAACQVNNLHLIRGMLGRPGCGLYQMNGQPTAQNTRECGADGDLPAFRNWDNPEHI AELARIWNVEPGVIPHWTPPTHAMQIFRYAETGSIKLLWISATNPAVSLPELHRVREI LRRPGLFVVVQDAFLTETAALADVVLPAAIWGEKTGCFTNVDRTVHLSRQAVEPPGEA RSDLDIFLDYARRMGFRDKDGAPLVKWADPEGAFEGWKECTRGRPCDYTGMTYARLGR GPLQWPCNEEHPDGLERLYADGVFPTDAGSCETFGHDLVTGGMVDPEHYKANDPRGKA ILKPADYQEPHERPDDDYPLWLTTGRIVYHFHTRTKTGRSRALNDAAPDAFAQIAEED AARLGIAEGDMVEVASRRGRIRVVARIGDIAPGHVFVPFHYGTWDDPDHPRAANELTI TEWDAVSKQPHFKYAAVSVAKISLATRAGDVASAVASGIGTVVSGIGSAIKSEETQVT GKAHVANYLGLAERSERHLAESLRDVAEHHRREPDVYQMTRLLASWSDENLGRLAPLV ARYHEAKEDESAALHNALFHGPRSGGLGLVRDLHDLRLLVHELQIAYELLIMAGQALR DEEMESILSDASSTNRRQADWLRTRSDHAAAQALTVPS OJF2_RS16235 MRLNWLLIFIPAALALSWHGANSIVIFAASALAIVPLAALMGEA TDALAEFVGPAWGGLLSASLGNAPEIIIGVFALRQGLVSVVKSSIVGSILGNLLFGLG MAMIAGGIRNGTQQFDMLVSNMNAGLLMLAASGLIIPAVFYHTSARVTHAISLEIAAV LCLVYMGSLLFTLLTSRPALGKEKVEAEVPGATEPPGAEPRWGKGKAIAILAVVAVAL AVMSEILTDAVEPASRHLGLTPVFAGVFLLALVGNVAELFNAVRFARMDKMDLTLGVT VGASLQVALFVAPVLVFVAVLMRQPMDLVFTRFEIVAVVLSVVLARQLIGNGKSNWLE GLMLVGVYVMLGIGFFYLPLDPPPLP OJF2_RS16245 MRRDLDDVIQGWPYDPEPGEVLAREVRARDGRSVLQIRVELGVL QLEIAGRPDGTRPHGFATYLDYLRYCAASRGQAPGGKAPPWTMDQEHCSDADREFLQY YHRRMAWLSLRRYDKALLDADHTLALMDFVKRHGNDDEYVASHEQFRGLVQFHRSQAQ ALIAIERRHPEEAIDALREGMEKIATHQRTWWEARENESAESPNPPLIDQLRLFEQEI RKNYAVEKTLREQLDEAVAREDYEQAARIRDLIRAQQTRARR OJF2_RS16250 MTATPESEPHRHEHPASGPSHDARDPAVAPASAAVWSASVLENV PIARDTYRLRLAAPGLAKFIRPGQFAMVRPGPEGASDPLLGRPFALYDVVLDGAGEPA AVDLVYLVVGRGTGALAGRRPGERLSVWGPLGNGFGAPPRGDVVFVAGGLGQTPFLAL GRWWMGRMAYGGERIARPISAAPLMLYGVRSEPLLAGLDDFRSAGIAVEVATDDGSAG HHGYVTDLLAARLERGPLPARVIGCGPAPMLAALSRLTSKYEVPCEVSLENHMACGFG ACFSCVAPIRQPNGSADLRRVCVEGPIFPADAVDWSGMHGQ OJF2_RS16255 MAQHPSSRGGGRGPSKRGPGPGGKPGGGPPKRGPGPGGKPGGGA RRKFEGKRPGPPGRPGGPGAPGGPRKPGPGGPASRPHPARRDDGAAAQHQAHGPDSGK PERLQKVLAHAGLGSRRECEEYIVQGRVTIDGQVAKELGTKVDLRTQVVAVDGERIKR ERMVYFAVNKPKGYVSTNSDPAGRPRVIDLLPEVLERVYSVGRLDEDSTGLMILTNDG ELANRLAHPKYGVEKTYRALIAGTPGRELLDRLTEGIWLSDGKVRAKRARLVGTQGEA TLLELVLAEGKNREVRRMLAKLGHKVMRLNRVAIGPVVLKGLPLGEHRALSRTEIDLL RKVAAGIEVAPPRFSDAGGGHRPGRGGKRLEGPRPRREGAAPPRPPAARPGRPGPGPA APGGKPMPGKPAGAGPRPSKPGMPGAKPMPGKPAGAGPRPSKPGMPGAKPMPGLGPMP GATGPRRPPAAAEGGPEGPRRPRKPGPPGGAPRPPAPRAAVPGPMPKAPKPAPGKAGD AGGEGPPARRIIGLDPKVAAEAGMGLSGKPPRKRPNVKRKPPRRASGIRRPGEGEG OJF2_RS16265 MKCALLLPALASIAAPANGQTKAPLPPLPPPQSIPKPAADTGGP YAPQAILPGGVVVPLFPPGSPHLKADKVHLPEVYRMSGSVPGRISSIVSIHNPSIEVH TVEQGINTGTAIIVIAGGGHRTLNVGGEAADFVPFFANYGINTVILRNRLRADGYVAE VDAVHDAQQAVRMVRAYAKEFRIDPKRIGVMGFSAGAELAAPAALLYEEWDKANDDPA DPFAGTSSRPDFAGIIYPGPSPFARGRTAPAIPKDVPPAFLVCGGAGDQVHAVWALDY YQAMLLAGVPNVEIHLYGNGRHPGDPLPDGSRMSGGLTDRNGIPFGTWQYRFIDWARD LGFLQKPGIETKAARDVAAFVASPPRPFGQGRDGAGRRTPPPAAPGGSPGPR OJF2_RS16270 MEPRILEVRKNVLHKNDELARELRARFLSEGTLTVNLVSSPGAG KTTLLRRTLAELRSRGVAVAALVGDLATDNDARRLAESGAPARQINTDGCCHLDAAMV ARHLAEWSPGRIDILFIENVGNLVCTASYDLGESLRAVLLSTTEGEDKPLKYPKLFNS ADVAVITKMDLAAACEFDRAAARRNILSVRPGMRILETSARTGEGLEEWLRFLEEGRA GLAGGPGTTGG OJF2_RS16275 MHELSIAEALVSTAVEAIRSRADAAPGELAGLEVAEVHLRLGAL AGVEREALLFCYDIATADTPLAGSRLAIEELAVVISCDSCGGEVALPGIQDFRCPRCG RPSLDIRQGRELELASIHFVDRPPAPPSE OJF2_RS16280 MGLGAVILCGGESRRMGRPKAWLDFGPERLLQRLVRQVGDVAED VAVVASPGQDLPPLPASVIVARDAVPGRGPLQGLAAGLAALPDRVDLAYATSTDVPFL QAGWIRRLAELIGGHDLAIPRCEGYHHPLAALYRRATALPAIEALLREDRRRLLDLMD AIPTRVVSEDELRPVDPRLGTIRNLNTPEDYLAALAAAGFDAAGPPPTAAVDPGTTGG PAD OJF2_RS16285 MCLAVPGRVREIYERHGVRTGRVDFGGVVKEVCLAFVPEVEVGD YAIVHVGVAIGKVDEETARETLELLAAAGMLEDEMGADPARGRVATGGEASHPAAASS DEGAG OJF2_RS16290 MKYLTEFRDGEVARRIARDIRRVASGRWRIMEVCGGQTHSILRN GIDQLLPEGIELIHGPGCPVCVTPVEKIDRALAIASLPGVIFCSFGDMLRVPGSREDL LAVESRGADVRVVYSPLDAVELARRNPGRQVVFFAVGFETTAPADAMAVHLASREGLA NFSMLVSHVLVPPALAAIMDSPASRVHAFLAAGHVCSVMGYWQYAPLAERYRVPIVVT GFEPLDVLDGIRRAVRQLEEGRAFVENAYARVVTREGNRHAQALLERVFEPTDRAWRG IGVIPASGWRLSPAYRDFDAEARFDVGAIEARESGVCRAGDVLRGIIKPSQCEAFGGA CTPRTPLGATMVSSEGACAAYYHYGRLAGARSSGEDG OJF2_RS16295 MDSPIRPLRLAESVLRGEASAAGGGRPASRAMLGLLVLGFGMSY GGVMGTYGGLAGDRPWQLAYSAIKVPFLIATTFALSLPSFYVVNTLLGLRDDFPGVLR ALLTTQAGLTVILTSLAPLTAFWYASGSAYQAAILFNGLMFAVASLGAQWLLRREYAP LIRKDAKHRWLLRAWIVIYVFVGVQMGWVLRPFIGDPRAPVQFFREDSWSNAYEVVLR MAWDVLAGRGR OJF2_RS16300 MVLGEDGVKGERGLRAWFRDLDRILGGDLTGLTTLRERGLGISA RRLSACVVILSMVYGACMGTFAAFGSKGPNLMQVVASMIKVPLLFYLTLLVTLPSLYV FNALVGSRLSLAAVLRLLVASLGVNVAVLASLGPIVAFFSVCTTSYPFMVLFNVAIFA AAGAFGLRFLLQTLHRLNLAASPRPAPPSPPPPPGAAEAWVEVEAEPAGPLEAVPDRA IANHVRLVFRIWVVVFGLVGAQMGWVLRPFIGNPNIPFTWFRARESNFFLAVLQAFSH LFG OJF2_RS16305 MTPTIVFCRDPLEPSRPDRAFEAEVAAVETLGLPTLLVDHDALV RGEGADRFARRIEAAATPILAIYRGWMVTLDQYRLLYEALSARAIRLINDPGQYRHAH LLPENEPVLRGYTPRTVWLAGDLGMDRVLEALLPFGDAPVIVKDFVKSRKHEWAEACF IPSASDRAAVERVVGRFLELQGDDLNVGLVFREFVELEPVGTHPGSGMPLAEEYRAFW LDGRLLSWSAYWPEGRYDRPDPPWERFAGVAGAVRSRFFTMDVARRRDGRWTILELGD GQVSGLPTDADAGPFYQALLERRPVDLLPTPSKS OJF2_RS16310 MSGHRQVARRGAVAAMGVGLMAVAFATISRADDAPPLLKDRTLV AWATLGDRQQRGGGVFGLTDPSERFDSITFGEITPGKWMPGSDFFRRTPQDQSGWPEE TAGPDERLQLAIVHQGHQSRLYRNGALLASYDFKDEQTYGEDVAVTIGLRILSSAADG EGAGFFHGTVTEARLYDRPLDAATIARLEPGGDRDGGPPPLGRWVFRDGRAVETTGRF PYVKLHGGARVEGDRLVLNGEDASLVGRRRRPPTPVASPIHFRPEVGRLADTIPFYHD GKYHVFYLRALSKVPWEHIVSTDLVHWTVLPTALKSDGPADGPDGLHMFTGSVVQGGG KFHIFYTGWNDRNPAGQEFLRHATSTDLIRWTKDPKFVFGPDGVLYPNGRRRDFRDPY VWWNDEDKAFWMVFCSTGKTGVATSPDLAAWTLRPPLVSDYKDMGTPECPDLFRIGDR YYLIMSPTGTASTHARSSGALRGPYLDPVSPALDTRILYAAKRMFDGRRHVLVGWLRD LAGGRDDGAEQWGGTMCVPRELSAGPEGQLHSRPVPEALERYGKTALSLLDRPPGAGE GWSYSGPALVADPAAGRHATIDSEAPDHYLLRATVQVDPHAELALTFRAQPQESGGYH LVLRPASQEAEIRGPGFRYARRIRLDASRPIAVTAFVQGTIIECFIDDAYSFSCRAYD YPRGRLRIGASGGPAKVLDLAVKTAGN OJF2_RS16315 MPSDLFIHPKDGQDGGIALTILAAEPDFYPDNLWDQAPEDGSKS GGSRWWCLHTKPRQEKAVARDLRSAKVGFYLPLMVSESRTPRGRKLQSVIPVFTGYVF LKGDENARLEALRGNRLANVLEVFDQDTLENDLRRLRKLLTSGLPLVSEPSVQPGMIV RIKSGPLTGLQGTVIRRGNCDHFVATVEFLSQGASVHLQDWQVEPVIGQMGLADRAG OJF2_RS16325 MIRLRRTARLLVVASIAALSTALVPGRAAAQEAPWAFASWKPLG DGPVFAGTGGGTWDSRIRERGFILVGDDGVFHLWYTGYDGPKPATMSLGHATSRDGLS WTRDPANPVFSGSWTEDVCVVRHDGGYQMFAEGKGDVAHRLSSPDGIKWTDHGPLDIR KVDGTPIPPGPYGTPTGWFEDGTWFLLYERGDAGVWLATSADLKTWTNRKDDPVLACG PEPYDRGAVAVNQVVKRDGYYYAFYHAADRRPWKDWTSNVARSRDLIHWEKSPANPIV EDNCSSPVLVSTPRGDRLYTMHPAVRAFEPAGDR OJF2_RS16330 MSDGDAPDPETPPTGPAPVLPPPPPRAPAEPPAEKIPAPAQREA VGVFDQYFAAVRGALQAIESTQLPAIREAAGRFAAAIRRDRLVHVFGTGHSRMAVEET FPRYGSFPGFHPIVELSMTFHNPVVGANGQRQAMFLENVQGFGPVLWRNFAVTADDCL LAISSSGCNAVTIDVALEARAKGMYVVALTSLAGAEASSSKHASGKKLHEVADLVLDH KAPAGDSAVRVPDLETPVSPLSTITGCTIINLIKAEVARLLTAAGSPPKVLTAACHLG PDRARTLFEETYDDYRRRVGVLYK OJF2_RS16335 MSDRRPPRMEGRSCLIVGGTSGIGLATARRFLAEGARVVVCGRS AKTLGEARATLAAASGRGHAVACDAADSSQVERLFDEALDLLGGRLDVLFHVAGISGR RFGDGPLHECTDDGWAAVLEANARSVFLTNRAAVRRMLGQPPDDRGVRGAVLNMGSVL GSSPAPDFFGTYAYAASKGAIRSMTLNAAARYARDRIRVNVIEPGLIETPMAGRAVGD PAIRAYLETKQPMAGGPGSAEDCAEAALFLCEPSSRFVTGVALAVDGGWCVSEGQVPP TTQDRS OJF2_RS16340 MGAEARVAELKLELPPAPKPVATYVTALRIGDLVYVSGHGPLKP DGTLIKGRLGQDMDLAAGAAAARQVGLAILSTLRATLGSLDTVTHLVKSLGLVNCTPE FADQPKVINGYSDLMKDVFGDAGVGTRSAVGTNSLPGGIAVEIEAIFQVKDA OJF2_RS41190 MAIERSFWMAASDRKNWSIGMCGAGRGGRAERVRWPSTIVIPAP GGIT OJF2_RS41195 MTFAQDEESAKYQGLPRAASMDGNVDHVLRPRDIAIQLRRLAAH PYAQQPEAPAPPVVPEPDGDPVAEIIALLRQRTAVDFAHYKQTTIRRRVFRRMALRNL QDLREYAALLRADDAEAHLLYQDLLIRVTQFFRDPEAFEALKDKVFPAIVDDRPPSRA VRLWVAGCATGEEVYSLAISLMEYLEGRRENLAVKILATDLNETALERARAGVYLDNI EVDVTPTRLRRFFVRSEGHYQISKMIREMCIFSRHNMSSDPPFSRVDLVSCRNVLIYM DAALQKRVFPLLHYALNPGGFLFLGSSENVSTYSDLFEPVDARHRIFARRQTAAALPM DFNAPFAAGTLVRLPERNELGQIWNALDVQREADRVLLSRYAPVGVVVDEAMTVIQFR GRTAPYLEPAPGIASLDLFRMLREGLLADVRAATAQAKAENSVVAREGLRITEGGADR NVRVEVVPFKVPPAGVRFFLVLFQENDAGARPAPPPAAAQATDEQVARLQQEIAALRE YLQSVIEEQESTNEELKSANEEILSANEELQSTNEELQTAKEEAQSANEELATVNEEL RSRNVELARVNADVLNLLSGVNIPIVMVGRDLRLRRFTPMAEKLFNLIPFDVGRPMSD IRPNLLGVDLPARVAGVIDSLVPYEGEVQGKDGRWYSLRVRPYVTLDSKIDGASIVLV DIDSIRRSPGTPNPPATPAGPAAEGEGTPIGGQAEADDRAGP OJF2_RS16355 MARRDIIVVGASAGGVEALKELVAGLPAGFPASLFVVCHFPAGA RSVLPRILSRSGSLLATHAADGEEFNPGQVYVAPPNFHMLLAPGSRIRLSRGARENHH RPAIDPLFRSAARHYGARVIALVLSGALHDGTAGLMAVRAAGGVSLVQDPADAVIASM PENAIRLARVDHVIKLAELAPRLVELVQRNDAPDQVAKAMDPIERMNEIAARDMLRQA NDGRRGEVSVLTCPECGGTLWQVDEAGILRFRCHVGHAYNGELLLSEQSEALEAALWT AVRTFKEKWVLASQFANHQRAGGDFEVAARYDEQAKQAAEFGDLIERHLLVGSPTGGV PPDGSPGTRAKG OJF2_RS16360 MDAEPGDRLDESPGDAGEGPHGVRRRRLVEFASEGHLRTDGQGI VLEANQVACMILDCPKEFLLGKPLGLFVAQSHRSRFYQGLAGLHRNADWDEFEVLLGK GPRLAIVRAMSLPPEEGEPGDFQWVLKDVTERRQAEAIRGDLMRRLVRAQEDERRRIA RELHDSLGQLLTALLLEVRAVRDAGPLTDGAAERLDRVRGLAEEINRAAHELAVRLRP TALDDLGLQAASRAHLEEWSARTGVPAQFQAFGMEGCRFPPDVETALYRVLQEALTNV AKYAEARRVAVVIEHQGGRVILAVEDDGAGFDTDEASARGRLGLLGMRERMALLGGTL ELESRPGAGTTVIARVSTNPVVAAAQA OJF2_RS16365 MLVVDDDRDTAETTAWLLRLRGFEAHIALSGHDAIESARGRAFD YVLLDLAMPGMDGYQVASQLREMPSCRRASILAVSGYAPPEDPRHERAMGIAAHLLKP IDWDQLVSILSTPGGHADGPAAPAPRGLGMTHEALPSNRFPSAMSTLGDGQRPPMPAD GLPN OJF2_RS16370 MGGRSGRFRVALVQMRCSTDADENLDRACGMLREAAKEGAQVAC LPELFRTQYFCQSEDAATFDLAEPIPGPSSEALAAVAKEAGMAVVGSLFERRAPGVYH NTAVVLDADGTLVGRYRKMHIPDDPLYYEKYYFTPGDLGFKTFPTKFGRVGTLVCWDQ WYPEAARLTAMQGAEVLFYPTAIGWHPAEKAEYGEAQASAWETIQRSHAIANGVYVGA VNRIGHEGAKDGGLEFWGGSFLADPFGRILAKAGRDAEEVLVAEVDPRLQEETRRNWP FLRDRRIDAYAPITRRFLDPES OJF2_RS16375 MTDSSPPDPPAPTPAALGFRMPAEWEPHEATWIAWPHNREDWPG KFAPVPWVYAEIVRHLARVEMVHLVVAGGHMEKKAADHLDRAGVDLSRVRFFRARTDR VWLRDSGPTFVVRDGEPPAGGEAGRGESIGLVHWKFNAWAKYDNHKRDAKLPRRIADE LGLRRWVPRAEVDGGSRRVVLEGGAIDVNGRGTLLTTEECLLSEVQCRNPRMDRAGVE RVLADYLGARNVVWLGRGIAGDDTHGHVDDIARFVDDRTVVAVVEPNADDPNHEPLAD NVRRLEAARDQDGQPLRVVTLPMPAPLYFEGLRLPASYANFYIANGLVLVPTFNDPAD RLALNTLAEVFPSRQIVGIHAVDLVLGLGTLHCLSQQQPKAPGNA OJF2_RS16380 MTRLPGDLSLARRIAACLLLPLAAATALAGEPALERLRYDRPGL VVDLGVGLWAWPLPMDYDGDGDLDLVVSCPDVPSRGIYVFENPGGDRRLPVFKAAVRI AEGVTNIRPSYVGGEVRVLAPAKEFAGFRANRLDRPRAIYPEANLVGPGSRLRANQWQ YADYDGDGALDLLVGVEDWSDYGWDNAFDATGRWTRGPLHGLVFLIRNRGSTGAPAYD PPRRLEAGGRPIDVFGMPSPCLADYDGDGDLDLICGEFLDGFTYFENVGTRRAPSFAA GRRLADESGEAVRMHLQMIVPVAIDWDGDGDTDLICGDEDGRVALIEHTGRVLGGTPR FRAPVYFQQQADDLKFGALVTPSAADWDGDGDTDLVCGDSAGNLGWFENLGGEPGHLP RWAAPRLLEAGGSPIRIMAGPNGSIQGPCEAKWGYTQPCVADWDGDGDLDVVVNSIWG KVAWYRNAGSRTAPRLEPARSVEVDWPGPPPKPAWTWWTPGPRELATEWRTTPAAIDW NRDGLLDLVMLDHEGYLAFYERRRDAAGSPALLKPGRRIFRSEPASAFDSRHTPRGLL AGPLRLNVGEAGASGRRTLTLADWDGDGALDLIVDSRNATLMRNVDADAATCVATFRD GPPLSSRLLAGHSTCPAVVDWDRDGVPDLVIGAEDGRLYYLKHAE OJF2_RS16385 MPEREQHDDGSPSGAAGRPRAGAMLSGSHSGLDGQAPALVELVE ETTRRLLEGEEVDLDRLAAEHPDWADLLRGLMPAMQGLADLRDGDGAVLPGDGPATDE DATFAGFRIVREVGRGGVGVVYEAEQVALRRRVALKILPQSSALDPRALRRFQLEAQV AGWLQHPRIVPVHDVGLVRGVPYYAMPLIEGGSLADLLAELRELEAMPGQPDGEPSAG RGPGGLLAGLLASRSSAEGGAGAAPSPGAPASPLRSPAYFRAVAELGMQAAEALGYAH DRGVIHRDIKPANLLIDRRGELWIADFGMADVQGEAGVTITGDLPGTLRYMSPEQALG KRALIDRRTDIYSLGVTLFEMLTLRPAVSGEDRQEILRRVAEEETPSPRRLNPAVPPD LVTIVARATAKDPSNRYETAWRLAEDLDRFLGGRPILARPVGPIARAWRWCRRKPALA GLAGSLAASVVVVLVAVTWSWREALRQRDLVVAAELEARGQAAKAAAINRFLIEGLLE RAEPASNPAASRVTLSEALDRAARTVGTSFLDQPDVEAAIQLAIGRAYHGLGEYFKSE AHYRAAHNLLQGIYGPGPAERLEAASGLGHVLSHLGRWEEAERLLRPTLDDARRLLGR PHGTSLAAAENLAEVLRATGRLDEAEALYRDSLDDARLAPGLDPEIRFSARFNLGDVY LRQRRFAEAEALYRDLLEEQSREKGPEHPDTLTTRNNLGTTLEKLDRLEEAERIFRDC LGVDRRVLGDHHPDTATAMYNLGHVLRREGRLEEAEPLMRRSVAERRQSYGAEHPATL YITSGLAELLMARGEADEAVALLRPCLDAQARVLGRGHRDTMLTAGRLEALTQIRARL APRDADHSGGMADR OJF2_RS16390 MTETASIVQGLVERALRGDPAARQQLLEHYRGSLTRMVASRLDR RLASRVDPSDVVQDTLADASTRMDDYLRERPVPFLAWLRRLAGERVIDVHRRHIASQR RSIMREDRDADRLGEEWGRLANRFLANDTSPSNRLAREERRQQVTAALAALPPRDREV LVMRYLEQLSAAEMAEALDVTEGAVKARLLRALIRMRGLLEDRP OJF2_RS16395 MIDPGPLHPQGEARLAELTEEITGRLLAGESFDAESYLARHPSC AGPILDLLPTIHDLADLGRTLASGRRRPAPRPAQPPRREGPLP OJF2_RS16400 MKSPSAWPLIPLALPLGAALLLLPASGCGDGTVNGAGSIDVPKP APFEAPTRSSKGGKAAGTAKPQPSR OJF2_RS16405 MSTPCPRTAGRRRPGFTLIELLVVIAIIAVLIALLLPAVQSARE AARRAQCTNNLKQIGLAAANYEAATSSYPPAMLFTFPSIGFQSMVHLCPYLEQGAIYN ATNFSLAYFFPANYTIAGTGFSTLFCPSDPSAFATNPLQYGPPTYMQFHSHYSGVVGP WNAWGAVAGPTGLPITDPALPTYAKGTIIAGGNIRVSSVTDGTSNTMMYTENGHGVFT QSSQGYLHQWNVGQPTDWCLETRFPPNWGRHYSDPANDPSNTALAQWAAYDAMSFHPG GVNAAFCDGSVRFLKDTIDSWTIPAPQINGLPTGTSRASAPGGEDYGLTVATGAKVGV YQALSTRAGGEVLSADQY OJF2_RS16410 MHHALITLALAALLPADAPDQAKAPAPPSAGFAALEKEYQAAEA AFLKVSRERYAKATAEKKRFYIPFSETPPARLAARYLEFAEKNPADPSAFDALARAIS GSYENHDVRHRTLERLRASYLADPRMKRLVGPLVISQDDETERFVYELIARCPDRDVR VLAYKVLIKKAENAIEIAARLRADSAARADVEANEGKAYLEAALARGDRSKADLENFR KIAREEYGDRIPDLSVGKPAPDLIGRTLDGRDAKISDYRGKVVVLDIWATWCGPCRQM IPHEREMVARLKDRPFALISISVDEEKETLTEFLTREPMPWTHWWNGSEGKIIDALDI DHYPTIFVLDGNGIIRAKEIRGEELEAKVNGLLGESTTDAGQAERPAKGD OJF2_RS16415 MGLSRAARGVILQNLTLSLGVIAPLCLATATGLMGIGPAVLVHE GSTLVVLANALRLLGYRTRPVGGSGSRHGARA OJF2_RS16420 MTLHSRLGLCGLVLAAASGLCGRPARGQGAATLADDIIAISAGE RAKQRARDSTSLGPVHGAVERPFARVAGADEPRLGGRVSLPGTVDVLSAASRPDRAGT VTDRRPGILPPALPGRSAGAIPLYGPLELPAVEDEGPEGGLTLDQAMASVIRSNPQLM VKFQELPKAEADVLTAGLWGNPLVFASADSVPYGQYSPRRPGSNSYGVTFVQPFDVNG KIRARIHLAQTARNVLQAQYQDAVRLELERLHVAWLDALSARTTMQYLGTSLNGYAAL LASIREQVTRQIVPASDLDTAEIQQETAAVAHEEAVTRYRQAKKRLAVLLNIPPARAD AIELRGAVRDLAPPPPPAEELVRIALCQRPDLVANRLGVRSALANVDVQRRERFPDVF ALYTPYGYNANNDTPGASGATSWGAGVFATVPLFNRNQGNIRRAERNVHQTQLEVSGL ESQVVAEVEGAALEYASSRAAVDRFERAILTRARRVRDDKHRLYASGEEGLVTYLNAQ RDYNESIRAYRDVLIRHRRSMLALNTAVGTRILP OJF2_RS16425 MLNALIDSSLKNRFVVLLLAGILVALGVRAARRLPLDAFPDTTP VQVQVNTNAPELSPEEAERLISFPVEYAMGGLKGLEEVRSVSKFGLSQVVLIFSDDTD IYFARQQISERLGEVELPAGIARPTMGPVATGLGEVYHYLLTSENPEYGLTELRTLQD WVIRPRLRKVAGVAEINPWGGLAKQFEVRADPVRLAKYGLTLDELAMALRENNKNVGG GYLVRAGESSLVQGVGRTTTLDEIAAVVVKAVDGVPIRVKDLGEVAVGHVIRRGGVTA DGKGEAVLGLAFMRMGENSRDVTRALDRAMDDVKRALPPGVAIDVVYKRTDLVGHVLH TVERNLLEGALLVIAVLFAFLGNLRAGLIVASVIPLSMLFAVTMMERVGIAGSLMSLG AIDFGLVVDSSVVMVENCVRHLAHDRSDRPKLDVIRDAAVEVRKPTMFGELIIMIVYL PILTLQGIEGKLFRPMALTVVFALLGSMVMSLTLMPVLASLGLSRRVRDRETIVDRIA HRLFQPILHRGLMYPWATLILVGAITVGATILGLGLGSEFVPRLSEGSIVINTVRLAS VSLEESLEYGSRIEAILKDAFPDEIESIWSRTGTAEVATDPMGFEVSDVYVMLRPREG TYPIGRPRLLSPPASWWRYLTQEPVGGWTRAKSQDELVEEMAEVTRTLPGMRAVYSQP IELRINEMVAGIRADLGIKIFGPDLEVLKEKAAEVERIVEEIPGAADVSAEQVTGLPV VRVVVDRQALSRYGVSARQVLDAVSEAGGMRVGEVIEPDRRFPLAIRLPDAYRDDPRA LEKILFTTATGQRLPLTRLAKLEEVTGPSTIQREWGERRIVVQANVRGGRDIGSFVEE AQVKIADGVKLDGDCRIEWGGQFENMIRAERRLLIVVPLALALILSLLYLTFHSMRDA LMIFSGVLFARVGGVLGLWLRGLPFTISAGVGFVALAGASMLEGLVLVSYIRDRMAHG MPKLEAIEAARLARLRPVLMTGTVAALGFVPMMLSHGVGAEVQRPLATVVFFGMVCDT FLTMLALPVLYLLFGKGPQVEAGPRDDDRREPGPRRGRGPGPDGTGRQLAAGAATDLE PVGS OJF2_RS16430 MKRFLAIGAAVVAAALIIGAAVAIARPDWLPARIRTGLAPGPAP AESAGEDAGLFCQEHGVPEKFCTLCHEELKEKLQACREHGGLPEDICTLCHPEVKDKY RLRVCKEHGLPESYCSRCGKAPSASLDPPDDGWCVAHKKPEDLCVACKVDPTAHGPAD ESKACRKPLPLVRLASAKLAGQIGIQVARATEESHAHKLTANAEAAYDANRYADITPR VGGFLREVRADLGKVVEQGEVLAVVDSAEVSTAKAQYITARAAEELARATYDRTRPLA REGVLARKGEIETLTALNQAKASLLDASQRLKNFGFDDRRLGRILKDNDTSSFLDVIS PLDGTVVVRHAVRGEPVQATAQVFSVTDTSVMWLWVDVYESDIAAVKVGQQVSFVVSG NDETSFRGTVNWIGTEVNPQTRTTRIRAELANPEGRLRANQFGQAEIRVGEEHKAVVV PKAAVQRKDDVDVVFLPEPEGDVYRPQRVVTKPTDRGDVLEVAWGLRPGQKIVTKGAF LLKTEIMKGAIGAGCCE OJF2_RS16435 MRRLVTTLLTGLLLACPLLCGGDEIGHGAQHESAPGDAGGKHAP CQCPDGDDNCICRGAVEANAARTNALLDSADARPLFVPASQPWLAPPAHHLTPEGSTT GLASLGESLTIRAYLQNFRF OJF2_RS16440 MIGFLLPPMASFAGGGGAIGASEPVASKRPNIVYILADDLGYGD VRCCEPGNRIATPNVDRLAGEGMRFTDAHSGSSVCTPTRYGVLTGRYAWRTRLASGVL DGYSPPLIAPGRLTVPGLLRQAGYHTAAVGKWHLGLDWAGKDGAEGPARAGWEIDYAR PFASGPTSRGFDAYFGVVASLDMPPYVFLEGDRAAAAPTVEKEWIRKGPAAADFEAID VLPALADRAVKIIGERAAAARAGRPFFLYLALTSPHTPIVPAAAWKGRSGLTPYGDFV MQTDDVVGRVLDALARARIDGETLVVFTSDNGCSPAAGFDDLVRRGHRPSGPYRGYKA DIYEGGHRIPFVVRWPGVVSPGTSSDQTVCLTDLLATVADIIGRPVPRDAGEDSVSLL PILRGEARGPVREATVHHSINGSFAIRQGPWKLALCPDSGGWSDPRPDRKDARDLPAV QLFNLADDPAEARNRQAEHPEIVARLTALLQKYVGDGRSTPGPVRPNDRRVSIRRQVK APAGS OJF2_RS16445 MHHVKPHGDRGARARMLPALLGAWLLAGSAPTTGQEPRHAVAAT GATVTPGGEGSVAASKAARPGRSPGEIARWNDRSTPRKMLETFFFAIYCYDLAPELIV NAIDCLDLKGLGQDVGEADAALMAHELSSIVSRQDVALYGVPDTRESSIATWTLVEKP GYHLALGRQADGRWRFDRETVLRIPSMRSEVARQQREVQAARMKMADGRTDPEATMRK FLVEVTLRDFSEAARCLDLRDVPIKLRATRGPEMARKLAFVIQRCGFFFPQEVVSDPD GWRYIWHSNHRGRIMLDRIRQPDGRDAWLFNRGTLHNLDALVEGFRDAPPDPRYAFLG VVVDAASLKAGERDAVPAPGGVPAHLASPRAALRTFLEGMDELDFDDARTGRILSCMA LGEVPEADRASVGLRVAGKLDAVVQHLNPDLLSVSDSWDAEPQAFGKGSDFQVVISRQ ADGRWQFEPDTIARVPELFDRLSPQEKRRKDRESRFGSARQTLRTFLRAVARGDDETA AAALDLGAVPVRARSAIGPVLARKLKFVLDRTGPLHVQESPNEAEGPRYVYYRGPLGQ ISLEAAGEARKGDWLFTAETVSQVEPMFLAAISRKAAPARDPVTRASLGILARGAVPG WLQAPVLGLGLYQWIGLALVVPAAGAAGWLALRAFEACLRGAIRRSGFRLGDEFLRAK LRPLGWQLGLFLAAVLLEPLDLPVAAWGRALPVLKFAWIGLMAWTAIRLVDLGMALYA NSDQLQHRRNLSDMVVPTGARFLKLAVLVVAASCEVYLVGNGEWVTRLLAGLGLVGLA ASLAAQDTLKNFFGTLLLIGEHPFKIGDSIVVGGMEGTVESVGFRSTWIRTPDDSLIT IPNSIIANASIDNRGARTTRRYKAVIGVDYDTPAHRLNALREALRAYAAAQPSILKDR VDIYVHALGGTAVELLVNVYFAVRSHAEEVEARDAFNREVLDQARRLDIRLAPERRTA LVAHEPASPAAIPAPMGGLTRRVSDPEAAAEGARRRFDP OJF2_RS16450 MNSRCGILALVLVAAAGIPAAARDPLPADGPQGEPEDGLLFTYF VGNGEDGLHLATSEDGYTWRPLGGGRSYLKPQVGKSKLMRDPCLLRGPDGTFQMVWTD SWDSRTIGHASSKDLVHWSEQQAIGVMEHEPQALNCWAPEVTFDERAQRYLIFWSTTI PGRFTETERTGDESYNHRIYGTTTRDFRAFSPTRLLFDGGFNVIDATLLRAEGKFYLI VKDETLKPVKKHLRLAVADTPDGPYTDVSAPFTPSWVEGPSAIRVGEDYLVYFDRYRD HRYGAVRSRDLKHWEDITPRVSFPEGTKHGTVLRVPRRILEGL OJF2_RS16455 MATFQMPTVQGLAGGHNLAATFNRLVGSLQAQIEFQAPKDTAPA TLTQVVDEVVGQYETASTGSFGGAPRTANLLVQQGEALRLGIDSLKMQYDLKLVNLAT FNSDAYQEIQQLTLSRQVWPAGTPLQTFLVMATETSNDLTAVSALVQTTSTITDAQAA AVMKSEVLAFQGEALLGATQQPRVAAPVIQATTTFIAQVDAAIGQPDFASRIATATAG FANALVNAGGAFGPGGSLGRHIQQPPAVPTPLSIADAATFANLQYRQVVTTSTLVLSR NFSSAATRFGRFMTTAVFSSPAQAIRKLSLDQSWYGTNQASFVEDVTLPAGTLVYIGR VAPIFQGIYRREASPSLYPGRAPQYLVANTRAPGIVWGNYRATGT OJF2_RS16460 MERRAIEVRGTVQGVGFRPFVHGLATRHGLSGSVRNAPGVVLIE VEGEAAELDRFLDAIRDEPPPLAKVDGVSWEGRPLRHEAGFRIEESQIGRDPEGGNGS GGPPILVAPDAATCPDCLAELFDPADRRAGYPFLNCTNCGPRLTIVTGAPYDRARTTM ASFPMCPACRAEYEDPADRRFHAQPTACPECGPRLSLLDAVGGPITGDDPLGHFAAAI LEGRIGALKGLGGYHLACDARNDAAVAELRRRKHRDEKPFAVMANDIEAAAELAEVSP AEEELLASPARPIVLLRRRQGGADTGAIAEAVAPGNPSLGVMLPYTPLHHLLMRAVGG IPLVMTSGNRSDEPIAYENDAPEQLRGIADLFLAHDRGIHVRCDDSVARVVDGAAVPL RRSRGYAPRPIVLPMDCPVPVLAVGGQLKATFALGRGNQAFLSHHLGDLDHFEAYKAF ERDVLLYENLFEVTPECLVHDLHPDYATTRYAEDRARRAGIGRLAVQHHHAHMASAMA EHGLAGSVIGVTFDGTGYGTDGAVWGGEFLVGGYESFRRAAHLRYVPMPGADRAIREP WRMAASHLIDAGVGTGVLEARVPAASLRLVATMLGRRFQSPPTSSAGRLFDAVASSCG IRDVVTYEGQAAVELEWLASRADADGAYPFALDRPADSTGPLVADTRPLIRAVAEDVR RGVPPEKVSRRFHTAMVEMIADVCGRLRALHGPETVVLSGGVFLNALLASEAAATLRH RGFRVHAHRLVPPGDGGLSLGQLAVAAARLRAS OJF2_RS16465 MSTPNEESKDDGPGIDAMGSCPVPQSRYDRVVLGHGSGGQLTNE LIRLLFLPALDGSGVLSRLEDQATLPFGDADGALGGEGRVGARLAFTTDAFVVQPLFF PGGDIGRLAVHGTVNDLAVGGARPLYLSAAFILEAELPMGDLERVVASMRSACDEAGV ALVTGDTKVVGRGRGDGIYIATSGVGLVPAGRSLSIGAARAGDAILVSGTLGDHGIAV MAVREGLEFETDLRSDSAALNGLTERLLEACPGTRCMRDPTRGGLSGSLNELAEASGV GVALEESAIPIRDEVRAACEMLGLDPLYVANEGKLMAVVPAEDADRALEAMRSHPLGR DAAIVGRVTDEDPGLVILRSRIGGRRVVPLLAGEQLPRIC OJF2_RS16470 MALYGLDAGQSLFTVQAFAAGVLSFAAHSPRFAARDVLGRIDFD PHRLDDVEMELAVPAGRFELIDRVAPWQRAEIEGRMRGEVLHVERFPEIAYRSRSARG TDIAPGRYAIEIDGELSFHGVTRPQPIRAEIQTLETAARLVGRFHVRPSDHGITPVTA LAGAIRLKDELTVSFDLISLQETP OJF2_RS16475 MLRRFVGSSRSLGLIIAASWACLAGAAGSSPASARDGDDAPPAA KVDVLEGKGLRLVGTTYVLDDEEAVKKKAADLRRLNRELTALRYKQAATETPEAHQAY LQNLNDQLGQLKGEVQMARHQANQIPRFRGRAYGYYSQAQHAEINAYINQLNQEINGT TQALNQAKSHPPDPKLKKKVDSDLLASQTEHDQALEELSRLVHATKDRYAELAKDKAV TKAIKAAEAKVKPSPRLGPSHEFQEIAKQLDKLEKDHARGAARGRSRGRPSRGSGLDL Q OJF2_RS16480 MTPEEFRAVGHRLIDWIADYREGLADRPVMARTEPGEIKAMLPA SPPEQPEGFDAILADVDRILVPGLTLWQHPSFFGYFPSNVSLPSVLGDFLSTGLGVLG LSWQSSPALTELEEVATDWMRQLLGLSEAWSGVIQDTASTSTLVSLLCAREKAAGFAM TRGGLQGEPTPLVVYASSHAHSSVAKAALLAGFGRDNVRAVPHDEAFAMRPDALDAAI RRDLAEGRRPCAIVAGVGTTATTAFDPIAPIAELAREHGLWLHADAAMAGSAMILPEC RHLWEGIEGADTIVLNPHKWLGVAFDCSLYYVRDPEHLVRVMSTNPSYLQSSADGRVK NYRDWGIPLGRRFRALKLWFLLRAEGAEALRARLRRDLANAAWLADQVLATPHWRVLA PVPLQTLCIRHEPPGLDGEALDRHTLEWVEAINRSGSAYLTPAVLDGRWMVRVSIGAE LTERSHVEILWSKIRRAAGAA OJF2_RS16485 MSLASLLGIERPIIQAPMAGVQGSGLAIAVSEAGGLGSLPCAML GPDAIRREIEAIRSSTKRPYNVNFFCHTPPTPDDAREAAWREALAPYFEELGLDRATI APGAGRAPFSHEAADAIEPFRPPVVSFHFGLPAPDLLARVKGWGSKVLSSATTVEEAR WLEARGVDAIIAQGAEAGGHRGMFLTGDVATQVGTLALVPQVVAAVEVPVVAAGGVAD ARGVRAAMALGAAGVQVGTAYLLCPEATTSAMHRAALRSEAARATAITNVFTGRPARG IVNRLMRDLRFMSELAPEFPLAATAVAPLRAAAEGRGSGDFSPLWAGQNASGCREVPA AEITRELAAGL OJF2_RS16490 MHPPTERSPAACVASTDEIRAEFPALGRRHEGFPVAYFDGPGGT QVPRLVVDRMAEYLYHHNANTHWAFPASEETDALLAASRRTFAAFLNADPDEIVFGPN MTSLTLRVSRALGRQLSPGDAIVVTELDHHANVDPWRILERDRGVVIRRAKMVPETGQ LDWDDLDRALSQHRTKLLAIGAASNALGTVNDVARAVAMAHEAGALAFVDAVHYAPHL LTDVRDCDCDFLACSAYKFHGPHVGVLYGKRERLRSLAFSRLEPAPDSIPERAESGTQ NHEGIVGAAAAVEFLAGLCKDGAGDHGEASEHAAMRRRLAAVFGALHERGGDLVRRLW DGLEAIPAVRLYGPPPSACRTPTVSLVVAGVPSGEVAARLARRGVFASHGNFYAQTVV ERLGQSRNGLLRLGCACYTTEEEVDRTIAGIGEIARGEGR OJF2_RS16495 MTMTRSTFLRAAALAVGLAWTNSPVAAAAGDDDPAEAGRRFREV AAGYWTELLRTHPIEATIFVGDQRFRDRLDDPSPEAFQAWLDRLDAARETLAEIDPEG LSAAERIDREVLLEVIEHRLQAARFGDHLVPFAPIVRYASDLHFADLHMLFAQLGEFQ PASTGGDIKDFLDRLEDFPTLADRLIATLRQGMAEGRMAPRVVMPKVVAQLRSLAGPK AEESPLWAIVGRLPADWDNADRQGAAALIKAAIEKSVIPGYAKLADFVEETYLPACPD RSGLKATPDGAAHYAFLVRDYTTTDLTPDEIHAIGLAEMAKARAGMEEVRKQVGFAGD LPAFLASVRTDPRLKNASEATILDGHRAIVATMEKNLPRLFGRLPSIPLEVRAFDPVR AKSAPTGEYYPAAADGSRPGVFFVNTSDPTSRPTYTMQTLAYHEAVPGHHLQGAIAME ATGRAAFRRYFYFPAFDEGWALYCESLPAEIGLYTDPYAVFGRLNYDALRCARLVVDT GLHHLGWPRDRAIAYMEQNTSLPRGEIENEVDRYIAWPGQALAYKVGELKIREIRLRA QQKAGASFDLRAFHDKLLSFGSVPLRKLEQLMAE OJF2_RS16500 MPKRRKDDAGPAAEASPGKEAFDIDEAFRRLRAAVRDRAKAAMF DLRDRGFGSPFEQLVGSLISARTRDETTLEVCLRLFAEASTPAAMIALGESRLAELLH PASFPEPKARDIIEFSRRIVDEHGGVVPDTMEGLTAFRGVGPKIAALTLAVGFGKPFI AVDVHVHRVANRWGYVETSTPEQTMLALEAKLPKEYWIEINERLVPFGKWVCTAASPK CSTCPLLSMCRQVGVTRTR OJF2_RS16505 MDHPAHPGMTRRQALRQAGTGLGLLGLVGVLGDSGLLGAPAAMA AGPDAAGASPALAAKDALAAHATHFPARAKHVIHIYLNGGPSQVDTFDPKPLLRRYEG RMLPQGNLSTERKTGTALPSPFRFRKYGQSGLEVSEIFARTAAHADDLCVIRSMHANT PNHEQSMRLMNCGDERLSRPSMGAWLTYGMGTDNANLPGFIAMCPGLPVADVSNWRSA FLPGVYQGTYIDTRKDKTDELIENIRNPAVSKADQRRQLDLLATLNRRHQERRAEDDN LEARIASFELAYRMQMSATDAFDVGQEPESIREMYGPGVQARQLLIARRLVERGVRFV QLFHGDVQPWDSHDNIAGAHRQLGLECDRAIAALLTDLKQRGLFEETLVLCGGEFGRT PSVELVNGKPGMGRDHNHWGFSVWLAGAGVKGGHVHGATDEFGYKAVESPVHVHDLHA TMLHLLGFDHTRLTYRYAGRDFRLTDVSGEIVRDILA OJF2_RS16510 MRTRRLSSGPSPVHAASGFWALAAVMALGGVGMAVADDGTDYFE AKVRPVLVEHCYGCHSSRAKAVKGGLRLDSAEGVRKGGSAGPAVVAGKPEESPIVQAV RYDDEATKMPPRGKLPAPAIEAIERWVASGAAMPAPAGGGEAGAVKAAAAGASPKSRY DFAKARTQWAYRPIRRQAPPAVKDASWCRSPIDAFVLARLEAAGLSPSPEADRRTLLR RVTYDLIGLPPTEAELEAFEADRAEDAYAKVVDRLLASPRYGERWGRHWMDVARYADT KDGVLMFGDDRVRPYAYTYRDYVIRALNEDTPFDQFVREQIAGDVVAPADQPWRRAAM GFLTLGRMFDNNVHDQIDDKIDTVSRGLLGLTVSCARCHDHKYDAIPTADYYSLYGVF ASSEAPMELPLTAPLEGLPGCAEFEKQAAAKRAEINKFLDEQYALLSETARKRVGDYL ARCALTEPDPLETAIFFFSLAPDELRPAMVGRWRRYLKQRGIPDDPVFGPWGDLLKLS DAEFAAGAGAVIAKWLVRPLGTGPKQINPLVAGALRQSSIRARADVPKVYGDLIRTAY EASKARPPESWSGDDDASRAFRQVVEVVTSRESPAYFARSQTYQQMSRGEKDAFGGKL VELDRMVVKARDKAAPRAMVLNDAEALCDPRVFVRGNASSPGEPVPRRFLRVIAGDDP RPFGHGSGRLDLANAIVDPSNPLTARVLVNRVWMHHFGEPLVSTPSDFGTRSSPPTHP ELLDDLAARFMQGGWSLKALHRTIVLSAAYRQSSVDRPEARKVDPENRLLWRANRRRL DLEAMRDTLLALSGRLDVTMQGAPVDVVDDPKSTRRTVYGLVDRQSVPAVFRAFDFAS PDSSAERRPRTTVPQQALFSMNAPLVIEQARALAARPEVAAARSAEGKVEALYRRVLA RKPDPGEREAATRFLAEAGTAARSQLDPVEQLAQVLLMTNELIFVD OJF2_RS39225 MATDRANDLHAFREFIDEQLTGDTVPTVDELLARWEYENQDEAA REETLEAIRDGLADIQAGRVKPAREAIAELRRKHGLPGLP OJF2_RS39230 MSNRVELTARALADLDRLMTRLEERSSKAFADRLSARFHEALER LESRPLTCGIAFEDRFFAVEIRHLLFEVWKRKPHRALFVIEEDVVRVLCIRAPGEKPV KPRDLES OJF2_RS16520 MNHDSSPAGPSARRIVLMAVGSYGDVHPYIAIALGLKARGHNAV VATCACYRKKVESLGLGYRTVRPDCDRVDDPSFMTRYMDPRRGTFRVLRELFLPALRD SYEDAMAAAEGADLLVSHTIFYATRLVAATTGIPWVSTAITPSALYSAYDPPLFPGRP AISRLLRPLGPRFWKGAWSLAGRATRHWAEPIRRLEAELGVTPVKHHPLVDAHSPGLV LALFSGVLAAKQPDWPPQVAVTGFPFYDRRDGEDPGLPAELARFLDDGPPPIVFTLGM SSANVAGRFFEDSLAAAGSIGMRAVLLGKRHGHVEPADPAKAIWCEYAPFSLLFPRAA MVVHGGGIGTTGLSMRAGRPMLVVPFSHDQPDNADRLRRLGVARVLPGPRYDARRAAA ALRMLRDDPSYARRAAEVGERVGREDGVAAACDAIEGLLRPGRDGNGAGPPRRGCP OJF2_RS16525 MSMSSDEVPAGDPLPPTEIGPLIDLRDLVRRPWGEGEPLRKEGP AAGPPGDRAGGSPPPGGAHARAPAQGLEGREAVPPDPLDEPTDPGPDPGPWPAREALG STVPAPELGDDETEWMGGLGPGGAGAGGGAGPAGRRYSLIRQHAVGGFGEIWLARDHA LARFVALKRLQPARSENHAVRARFLREAWITGQLQHPGIVPVFELAVDPADGRAYYTM RFIGGRTLTDAAHEYHRRREQKQASRIELRELLGAFVAVCQVMAYAHSRGVIHRDLKG RNVVLGDFGEVMVLDWGTAKVLRGRGAADGGRPGAEARESPGEAAAADPPVEDIPGDA TGDGSVIGTPSYMSPEQARGRIDLVDERSDVYGLGAILHEVLTGEPPFRGPAVEVLRR VADETPAPPRQRCGDVPQALDAVCVKCLARDPADRYASAAEVAAEIRRYLSDEPVEAY PEPWTARSRRWVGRHRTLASVALATLLVATACLSVATAMLKVAGDRAEANLGLALHAV NRFFSKVGDAPQLKARGLERYRQELLAEARSFYEQLPPEDGAGPAVLAERGWSDLRLA KLTDELGDYPGAIRLATRARSTFERLARLDAGDARHRVGIARALDSLGGHLHNDQRPG EARAVLEEAVARWGALVREQPRSLELRRGHVACMNKLGRLLCLAVHDPPAERAVLDAC LDACASLVHDAGAAVEDLDQQAEADLLLGYSWAATDLARAEAHFAATLAIRERLAEEH ADRLELLSNLVDSCVLIATTYSNARAAGLVVPELYGRVRRIGARLAAEHPDVPLFAEN LCLIEIIESHRLARAGEAEGAAAAAEAALARAPRSALASLYAACCLSVASEAVRRSPG KSAEERDRAAERYQARAVALLRSASEAGLFLQPHKRAGLKSDDPDLAPLRGRDDFKRL VAEVEAAAPPG OJF2_RS16530 MSSKILNFLASLATDPDLMSEYLEDPRRAMTRGGLDAKEIELMQ GGDARAIAAAACAPGDDPPRAAAAAPAAEAVAPAEMPAGAPAPATMIVYGPVYYFSYP AAAQAPSAGIQPGASRAASLITPPMKTFTHHPVLEPFTPWVPVFLPITPFTPVERGGE AAPARPPRPPEPRTEGPTR OJF2_RS16535 MTLSAGRGGGALVAVGTGIRIVGQLTTEAIAWMRRADRLLYVVN DAVAEATIRGLNPAAESLFGLYAEGSPRHRTYLAMVGRILDSVRAGGVTCAVTYGHPG VFAWPIHEAIRLARAEGYPATMLPAVSAEDCLFADLGVDPARHGCQSYEATDFLLHRR SVDVASDVILWQVGAVGDPNYHAGDYDLSLLPLLSERLARIYPEGHLGYVYEAAVHPG ADPYISPVPLGLLHQARLSSSSTLYIPAARAPEVDAVVRDRLEALASGPFREPPPAAG PREPSDDAPSATPGGRAPDRSSRQL OJF2_RS16540 MSVLGYPRIHFRGRCSVNAATGDNDDVRIGINPDAVALEPALAA MSDRAAMSWMMEGVRAIQPECNEVRWYLKGGWNYFGDLTFKLLDARVNAAVGPDGVAS SADPIVGEDVAILGSPSEDGHIGPTAKVCDADPTGSWLTQLFLGHLSVGGEHLGISAT HDARAFARWVGWRNAVRYKGEQNFTGGGATWQFALPRECLRFRGTDRSPALEALRDAA EGARGIVVQFSLLLPQPEITDLELIALFQAGDYVPNPVLSLLVGTIGVWQDGELATAP DGRLLLPPIKYTGPATARVQPYRPVVSLNLACTFFEDGYDLPPKKADYGPVWLGYVPE GGGDPVRISEPIAYDYPTYEATGGILDVPYDPRVVSRDQLDRGSLVLLSMLGAQGDRP VPLLAEVPDGLVVDTDDRGLYLDVDGRGHIHVLVRERGLPPRSDVPVWIWEYQNYLVP AGPLERAGGVPKLVDQGSGLEPRVRFPSVVLFPRGRAEPLPVPVEAIRPGSVAMALTL DGRPLPAGYPWDTAAYAGARVLPEDDFSKYPLRRRTSWKFMYKHVWRYYRLIFPAMSR IIPMDSKEDMEAAARHILARTDPAIWHSTLYMPPSRDLSRGKRDLIVEWVEEVERRRR GEQAGDD OJF2_RS16545 MFPSRFTLALLASWLPATSAAADDGLLLHYACNEGEGRIAADRS GHRLDAAVGGGWSASPSGKALSFDGQPGTFARVEVPPALRFGKGSWTFSAWLKPTSLS IEDRQNQRRIFSSGTYPDAYVGIDVMADGKLDTYTCYRDEHGRIVAAGGGTGPGMLAV GRWAHVAVVCDRRARRVALYVNGGAVSEAPLPSNFDGDFAKGGELTLGSGWHNYWGLM DEVRVHRRALSRAEVRAEFRELERTFGVVRSPAELAAEHREAALDALAAARASWAKGD LGAVRKACSALAAASDLPPSIRSYAHLRVAQSWAAERKPAEAAREYVAIAATAAYPEV HRMEARERVRELGRVAEGLPPRDPAATRTPPPRIDRFAAEVFVSPAGDDAAEGSRSSP AASLARARDLVRGLRARGTRGAIAVRVLPGEYPVAGTFSLSAEDSGTPDGPVVYRAEE PGKAVFYGGRRLAGWAPVADADALSRLPEEARGKVVRCDLKALGIRDLGRLAVRGFGQ PPSPPTLEVFVGGRPMTPARWPNAGFVGIGKLVQPGSRREGKPSVFEYLGDRPARWAR AEEPWLFGYFHYLWADATIRVSRIDPAARTIACDEAYEYGGGMSTEQGIQYYAFNLLE ELDAPGEWYLDRKAGVLYLYPPGGDIAKATAEIGVASTPMVAMDRVCDVRLEGLAFDL SRSDGLRLESCRRCVLAGCTVRRMAGNGVVVNGGEADVLFGCEVATIGRRATEVIGGD RATLTPGRHLVENCDIHDFGRIDRTYTPAIQLEGVGNRVAHNRMYDAPSSVMRIEGND HVIEYNDVYAAVRESDDQGAMELYGNPTYRGVVFRHNRFVDCGKAAPGAIVHGQAAIR LDDAISGVLIYGNVFVRSASGHFGGVQMNGGRDNVIDNNLFVDCKLGISGGWYGSNGV WKSLEEGHRPDGFFLTPLYLGRYPEMAAMLKPPGINHAWRNVAYRCGPLAAEDLEHLD RLEDLELGASDPSFADAARGDFRLSPSQALTRSVGFRPIPVEEIGPYPDPLRASRPAP AMPAAMPGARAGAGPAG OJF2_RS16550 MSRSADGVEAAASTILGSLERREPAPRLVAIVGIPGSGKSTVAG ALAAMVPGAVVVPMDGYHLPRSALTADGLARRGAPDTFDPDALRADLARLKAHGDGLF PAFDHAVKDPEPDAIVVPASSPLVIVEGLYLLLRSWRMADLFDFTVVLDCDLETAVDR VAARHLAVGLEDTPEAAYRRADTNDRRNAGVVLADDCASRADLVVPSGG OJF2_RS16555 MNVAPAGEHVQDSKLSAVGIRSAAVAALGGLLFGFDTAVISGAE KSLQRLFELDSFWLGFTVATALIGTIVGSVAIERPADRMGRRKTLFLLAVLYFVSSLG SALAGSWWTFVVMRFVGGLAIGGASVVAPMYIAEISPSRLRGRLVAINQLNIVVGILL SFVSNYLISLAWPPDEAWRWMLGVVAIPSAAFFALLFGIVESPRWLVKAGRAEEARAV LARLGHADVPGELAAIEASLSGTVEQDALFQRRYAWPIFLAWAIAMFNQLSGINALMY YAPRIFEMAGAGESSALMQSIAVGGTNLVFTLVGMALIDFVGRRKLIIWGSVGYMASL GAVAWAFHHYGGNFDRTGGLIVLAGLLAFQASHAFSQGAVIWVFIAEIFPNAVRAKGQ ALGSFTHWFMAALVSWTFPAIARWSGAGAFGFFAAMMGLQLVFALTLMPETKGGTLED IEARLA OJF2_RS16560 MPEPWYDPESGYLHLDAYVAKRPSYRKVMEDGHVSEEEVAEQAG RVIARLKDVEAIADPAARKVAIDALCEMAVLYTLERHRHDKVM OJF2_RS16565 MIKPRRRFQAGLESLEGKALLSAVPAVTPSTLNSVLKQIDRAAG TFAKTHNPAIFDAALSSISRKVPYGHDQLFPTWQDTEALYDPGTPGSGLAMVRQLKAD LKDYVQSAVADGSIRMKGAWAGISNVASAASTTSGFAPILTSKTYVATLKQIDRAAGT FAKTHNAAAFDAALSGISRKVPYGHDQLFPTWQDTETLYDPGTPGSGVAMVRQLKADL KDYVQAAVAEGSIRVR OJF2_RS39235 MDETPRTRRDGDGDIEAAPAAPATATAERLARHEASLRARAVRE LGRSRIDGAGASDYVHEAFVAVLEAEARGKGPAPSPAAEAKYLLTTLLNGIRQAIRRS QATKHGGGAAFTPVEGDEIAGPGTSPSARVRRATREECLEAAFGSLSPRDRDVLRLEL IEEKPRSEIAAVLGVSERHVGNLYRDALSRLREAYLAHGGPWDLT OJF2_RS16575 MSVPEEPSGSESRPTWRQPRTVAGSLDAFGEFVREAMRAGRGEP GAEAPDRIDKFRVLDKLGEGTYGRVYRVHDPDLDCDRAAKLPTEMVLASPALRGEFLR EARNLQKIDDHPNVVRVVQAGEDDGRGRPYFVMEYCPDHSLASWLRGRARGWRGDERW AARLVAQVADGVHRIHQQRLCHRDLKPGNILLVRIGAGQGGDPDRPDFRPKVADLGLA SFLDDPAATASLSRGPVGTMAYMAPEQARGRRREIGPATDVYGLGAILFEVVAGRRAY ASASCGEIFESLQSDVPSPPLKGACPKASRAMCTVVGTAMRKDARYRYATAAEMADDL KRLADGRPVRGATWPRKAFYFLSKRRAGAAGVVAAAAVAAGGAEMWRLERAAAASAWL GRMETATPSELADLVRERDGGDPSVSPRLAAMFGSEEPTRKLSAALALASRRPECARY VVDRLLGLPPRDMGPIARAAARAVPDLPDRLEAELANHGGDGRAPGEPSRRRAGAALS LALLGRPWRSLEPLGDLADPDARALFVHGVGPASVPPSALLELASSPLTPTAARRQLL LAMGEIPAAGWRADDRARAVELAAQLYANDRDAGVHGASRWLLRSWGEAARVVQLDAG LVSPDYRPGFGWRRDPSGLTFVLVAGSPDGHRFEISDTEVPCRLYQEHAPEFDRRVYD HVLADDEPALNVTFLDGARFANWMSERSGLPPAFPPAVGVENVPPAAEVLASRGYRLP TTGEFALAAMAGTATPRYFGELRDLIPRYAFTADSLGRPSVQAVGLLKPNDLGLFDVL GNALELCHFDGELTRGRRNQVSSCGGSGIQSSANLAAAPRGEPAPATTPFIPSGFRLA RTLSTAVGPPR OJF2_RS16580 MAVAKIPATGTCTWTFHAEPAPGTGYWTVVDHCTAGHTCSGSAS AGMVSKTVGGKTEQVAPHPAFKKATEDKFDAVAKTRALTPAENTQLAKLAAPKNGDTF VMDCV OJF2_RS16585 MGTWDAGNFDGDDPREFLADMVARWERIVDRTLAGEPVDEVAGF RFQPGFEALDGAVMPTVEILIAVAEKLPCDHLPDPDKVAAWRSGAMRIYDAEIDLFEP DEEYKVGRRAVIEATFARLAELAGRPGRETSESE OJF2_RS16590 MKIPRMGVAGLLFGIALLAIDMASVEVMSREMSLRYSWEFQVLL GALPLLNALAIGLYLLARRLATRGVAGPFLVGFQATGWLSFAALLVAKLGFSDPMNDF NEWATDALEMVWREWIMWTGEFTYRHTESLRAVIRVLVVTGPPLLAALLGAWCMARLG LTVVRVPAPGGLRRAIPARRAAAIAAVAGLLLLAGVWGAKVRGRWVIYRRTADGAAYR IIRYPKQRAETLARIRELDRHSEDFAEEPERFASYRESLVRSAEDDLRELERAEFERK VYEPAARRPWLPIPPNPPLDPSELEGGR OJF2_RS16595 MRDTARRRIRRLAARLPDGVVILCILFLSLLAVCSLTAVIALAL SQLLRLAGGDEGWLLVVQGLFVVIGIALALAMLVLFCHVLSFLDEMVGTPCPNCRRRE LEWRSGSWKYGEPPAYLDYACSHCGARFRRLHGGQGVLSELEQVS OJF2_RS16600 MILFDIRTDDSHAGFIAAVNRGLDSVPRNPYLARFGHVCSPRWW ACFDRGELPVKVLTGIVSHVGPRRDFAGELEDVVQFDRDGRATAYDRLDHWAAYPIRV GDRISITRTEAEVHTPTGPIRSLIDLRAEWLPTTGDSPT OJF2_RS16605 MATAHMPDEFFDAVAHHLPPEQPVGPKGGRPRVGHRTALRVIWF VLTAGTRWEDVPAELGCSGRTAHRRLRAWEEAGIWDRLKADLLGLLKRAGKLDLDTVI IDGVTVRAFGGGEATGPSPVDRGRPGTKHTLMVNKAGVPLVIHTAGANASDQTQFLPV LLDFPKVGGTPGRPKELPDEAYADRGYDSGLLRTLLRWLGIEPHIAKRRTEHGSGLGK VRWVVERTIGWLKGLRRMRVRYDRLGVIMDAWATLAASVICFRILHEDAV OJF2_RS16610 MKNLVIAGLVVALGSVLAVGASAPGRAAAGPKVAHMVFFKLKDG SKESRDKLVAACEKYLKGQEGCVYFSVGTRAADVDEPVSVKDFDVALHVVFESKEGKL KYLKSEGHDKFLEAVKADLEGARVFDSYLAGD OJF2_RS16615 MGSVRGVLLDMDGTLVDSNDAHARSWEKAFSEFGVDASYEEIRA RIGKGGDKMVGEIAGWSYESPEGKRLSERRQEIFLKEYLPTIQAFPKAQELLARMHEA GLKLVIATSGKDVELKPLMFRCGADRYIEEKTTSDDAKESKPDPDIVHAALERIGLPA DQVVLLGDTPFDIQAAGDAGVRTIALKSGGWGPDGLVGAIAIYEDAADLLAHFDESPL AG OJF2_RS16620 MSRRTLVEICAGSLEAALDAGRGGADRVELCQDLAVGGVTPSAG DIAVACGTLDVPVHVLVRPRAGDFLPSEAEFQAMRHDVAASAALGASGVVLGILLPDG TIDRERTARLVDLARPLSVTFHKAFDEVPDHLEALETLIALGVDRVLTSGGRPSALEG AGMLRRLVEAARGRIAILAGGRIAATQLETILEGTGVREAHLGSAVARTVESAMRPRP EHGLDPRRPGIDPEKVRDIVGRVARWDRLARD OJF2_RS16625 MTERPAPPSSEARIDRPAGPSSSRRGFLKGTAGAGAIAAAGPMI APMVHAAGSDVIKVGLVGCGGRGSGAAEQALTADSGTRLVAMADVFSDRLTDALSALK SSAVGAKVDVPKDRQFDGFEGFKHVIDQVDLVLLTTPPHFRPMQLAYAVSKGVNTFVE KPMAVDGPGLRMFIEACKAAKAKNLSLVNGFCWRYDGPRRETMKRVFDGQIGKVTAIE TTYNSQGVWDPRKTREQCGSDMEYQLRNWYYYSWLSGDHIVEQAVHGIDTMNWVMKDQ LPVRCWGVGGRQVRTDPKYGNIWDHFSVVYEYPEGVRGYHHCRHWVNTPNQVKDYILG SKGTADVFGNAITGENKWRYRAGRERASGNGGAHSDMYQVEHDEMFAAIRAGKPVNNG EQAATSTLLAIMGRDAAYTGQVLTPDQVLNSKTDLSPARYEFGPNPVPPVPVPGVTKF A OJF2_RS16630 MAGRSSISGTLDDLTDSRFRRVDQLCDGFEAAWRTGRPSPIESF LDHLAAGSAERAALLRELVALEAEFRRRLGDPPRAEDYRGRFPEFDASWLDGGAAGGM PAAGEPGPCEPAGAAEDRPRQVGKFRLVERVGTGAFGTVWKALDVPLGRVVALKIPHA HLVGGGEDMARFYREARTIAQLRHPGIVPVHEVMVLDGLPILVCDYVTGTSLRDLLAR GRPSPRDAALLVARAAGTLAYAHAMGAIHRDIKPANIMTERSPARPDRPDAPRGASGD APWAGEPRIVDFGLASLEDDAAQITHDGAVIGTPAYMSPEQAAGRVAGRPVDHRTDIY SMGVVLYELLTGTIPFTGGRAEVLARVIGEEPRPPRQLDRSVPRDLESICLKAMAKEP RHRYPTALAMADDLRHFLDGEPVSARPISTWRRILRRAHRRPAEAAMGLMVVVTALAV CGLVLGYLYHQRLEVEFRATDLARRGEAHQRRLAESFLYFQRMALAEREWTANNIDRV ERLLEECPPNSRGWEWRYLKKQCRHERLTLRHARPSDKSWTVSAVRFLGDGRRIASAS KDGFIRIWDAEDGRCLHRLGESTRAIYGLAVQPGTGLLAAGGEDGVVRIWDPDGGRLM RRIDTGPDTIYALAFSPDGRTLATGHGYPALEEVGHMRGKGVIRTWDAAAGRLERTLR GHTQNLMGLAYSPDGGRLASVSGSWLTVPQVASRPGELILWDAATGEEVRRVQGHEGP LTGLAYSPGGDLIATSSWDGTIRLWDALRGAPRRVFTGHQDWVLAVAFSPEGSHLASA GADGAIKVWDLAGGRGCNVLRGHTKNVTCVAYSPDGRRIASGSSDQTVKLWDADVRRE ARVWRGAGGPVARVAFFADGGRLLASANREDDAGLVTPRLMVLDATGAPRAVSSVDAP EGARGRPVDGIAVRPDGGLVAAAYLGGHLEARSAPDWRVVFRRDEPANRFQSIALGPD GRTLVVVGQLNAYLPDGTAAPNVSGDNALAIAFDLESGAELWRFAGAETGIIRDVAIS PDGSTVATADNVGSVTLLDAATGRVRSVLRGHNRVASHVAFSPDGKRLASASWDSTVA VWDLASGRVDARLYGHMRSVLCVAFSPDGRRLATTSEDRTVRLWDVPSGQELIILRGH SDIVTSVAFSPDGNRLATAGADGTVQIREAAPGP OJF2_RS16635 MLKGRRIRLCLVAAAAASAVGMGAGGTSAYNAWRAREDVDRAKK ALAVRSYDKARALLADAQRRRPGDEDAIILLGALEQSLGNREAAEAAWSRLDASSPRA PDVAMLRARAALAGDRFAAAEPLLLAALRGSGKLAIEARETLVNLYKIQGRFVEARAL VSAGWGSYPDPVGLLKELENLGSNNPMPVVTANAALERAARAAPDDDRVWLGRANLAT RTGRFDEARALLDACLARRGDDPAVWRARFDRALAIRDADEVERALRHLPDEAVAPFE VLTLQAWFASLAGDRAEERRAYEGMLLREPGNLLAMARLADLCLAAGEADEAAALRSR RAELNRDLYEYQELLRKDDPGSIRRAARLAGRLGRTFEAHSLWSVVARREPGDAEADE AMAKLREEDARRPRGPALSEFLARLRREPGRGAGPAGGPGVAGAAPRFEDDARAAGLV FSFDNGASPLHHMPETMAGGVGLLDYDGDGWLDVYATQAGPFPPDLNAPATAGDRLFR NRRDGTFEDATASSGLAAFARGYGHGVTVGDYDGDGHPDLFITRWRRYALYRNRGDGT FEDATERAGLGGGRDWPTSAAFADLDGDGDLDLYVCHYLAWDADRPNPCWDDRKHRYS YCSPQYFPPLADHLFRNDKGRFADVTAEAGIADVDGRGMGVVAADLDGDGRVDLYVSN DQTANFLFRNRSGLKFEEVAQASGAASNGEGAYQASMGLACADADGDGLPDLAVTNFF NEYTTLYLNRGGGVFADASAEAGLATATRHRLGFGLAFLDADNDGRVDLASVNGHVDD FRPEVPFQMPAQLLMGAGGGRFADATEAAGAPWTTPRVGRGLAVGDLDNDGRVDLVVL SHDAPLAYFHNRTPGGRWLMLDLRGEGCRDAIGARVVVSAAGRRLTSWKVGGGSYQSS SDPRLHFGLGAADRVDSVEVTWPSGLVDRHGPLAVDRGYRIREGRREAEPLPGFPAPG RPGP OJF2_RS16640 MHMSRRPSALAWPLALSVAIAAPLAVAGCGDSSSGKTVKVSPEF QKKTEDYLINYGNQMKAQHQTKGKAKGR OJF2_RS16645 MTARSRRGFTLIELLVVIAIIAVLIALLLPAVQSAREAARRAQC INNLKQIGIALHNYHSANSKFPMGNSLNLQGPLNTDWALWNSWSAQGLMLGYMEQTPI YNAINFSFGPYPVNQYSNINATASNAIIASFLCPSDPFAASGGDQSAGGGCINSYAAS FGATAGNGSGWSDNVLPQNHQPPTGTSGMFAYALSYGIESATDGSSNTIAFAEWLVGD GRGASGSRYRGNMEMNDGSGTPSILNAQTNMPAILTALQGCISKFQNEPNTNAANVSD YKGWRWALGAYGFGSFNTIQLPNDKQYNVGGCRDGSGNQAWADGGWTIGAASAHPGGC NVLFADGSSHFVKDTVARNIWMSLGTRNGGEVVSADSY OJF2_RS16650 MSLPLPAERDESMASTPPPLPLPPDDGARAPAWLTGATTGAGLL AGAAAWSVGEAVLAAFPPPLTRTVVMGMEIFRPTFQDQSAADYRNGTMAFAALGGLLG LAMGIAGGLARRSVRAGLRAGAIGLVLGAALGAAASLALIAAYLRAADRSPEELSRDL FLPLAVHGGIWAACGLAGGAALGMGLGGPRGRVLQAAVGGLIGAAAGAVLYELIGASA FPADRTASPVSATRATRLMARLLVAGLAGLVAALVVNLPTAREDAAADRG OJF2_RS16655 MNNLSPVRMWVSQLREGDPGAAQELWNTYFLRMVGVARGKLGGL PGRMADEEDVALSAFKSFCRGTRDGRFPQLVEAEDPWPLLLALTKHKAVDLVRHESRA KRGGAWPSSPEDVAAAEDACLSQVPGNEPDPHEMLQVAEACQSLLDRLSDTILRAIAE WRLEGFTTEEIARKLGFTPRTIERKLQLIRRLWKDGEAPR OJF2_RS16660 MASYDPEFYEAPKAAPPDYDTTRPKQRGCFFYGCVIASVLAVLL IIALAVVAFFALRWFNGMVQEWTSDQPVELPRVEASAEQRKGVKDRVDHFREAVESGK AAEPLVLNSDDLNLLIEQDEDFRGKVHAEIVGDKIKARISIPLEALEIPQLRGRFLNG EGELKASLSDGVLIGTLDSLTINGKSPPAELTKAIRDQNILKDVYKNPKAAELIRRFQ KVEIRDGKVILTPRPPSKDEAGADGQTGPKAEAETKAEAAPKPDVTPKPEATPKPDAS PKPEATPKPDASPKPDASPGPVPGPKPGSTSTWRCDDGERLAAFHTPHGSAAGRRIV OJF2_RS16665 MQQVSRLRLAIPALGLAALGIALAGPLAAARGDSAPPKKITSVE GITEYQLANGMKVLLFPDPSRPKVTVNLTVFVGSRHEGYGETGMAHLLEHMVFKGTPD HPDIPGAMKERGADFNGTTSDDRTNYFETLSATDDNLEFAIKLEADRMVNSRIRGEDL ATEFSVVRNEFERGENSPENILSQRMASVAFEWHNYGKSTIGNRTDIERVPVDSLRAF YRKFYQPDNAMVVVAGKFDESKALELVNKYFGSLPKPDRKLPATYTEEPPQDGERVVT LRRVGDVGLVGLLYHVPSGPHPEFPAVQVLSQILGDEPSGRLYKALVATKMASSIQAG SYGRHDPTVIEMGAEVNTKDLGTLEKVRDVMYKVIDEVIKSGVTQEEVDRARQSYLKN HELAASDPNRIAIALSNWASQGDWRLYFLNRDRVEKVTPAQVQEAAAKYLTPSNRTVG FFIPSAKPERTPVPATPDLAKMVEGYKGREVKSLGESFDVAPMAIEARVQRPQPIEGV KVALLPKKTRGDVVHVTLNLRYGTAESLKGKTDAASFLPGLMLRGTKSLSRQQIQDLL DKNFARLGGGGGRMGGGGGAGVLSYSIQTKRANLPAVLDILRQVLREPTLPEAEFEVM KNERLAALEQSRTEPTRLGINHLQRLLAVYPKDDVRYTPTVEEEIQRLKSVTIDQVRE VYQSFLGASHGELAIVGDFDPSEALSTLGKALEGWKSPQPYARIERPFQPDIKPERET IETPDKANATYFAGLMMKLQDTDPDYPAMAIGNSVLGGGALSSRIADRLRQKGGLSYS AGSSFNASPLDPRGSLMVMAIYNPANRGKVVAGVDEEVARILKDGVTQAEVKRAIDGY LKQQEIQRTNDTALAGTLAENLYLGRTFQFQADLEAKVKALSVDDVNSALRKYVDPSK LSVVTAGDFKKP OJF2_RS16670 MDRREFLKQTGALAATAAVGAGAGPFVHAADKSGEKAPVVGQGE HRYECHHNWGEVPSSIRWFETHGVAIDKQGHVYIKHRAGGEKPKNPADAQDTIVVFDP DGKFVRSFGKEYHGGGHGIDIREEGGQEFLYLSCMMPVNLVVKTDLKGEVVWIKQAPT EPHVYDKPNSPFTPTNVAFAPDGGFYVGDGYGSNYIHEYDKDAKWVRTWGGSGDAPGK MHTPHGLWWDDRPGREPSLVVADRANHRLQYFTADGKYLSKLEEVSFPAHFDIRGDVL LVPDLHARISLFDRDNKVLAHLGYDPEWTKQVLDGFKIRSQPDRWPAGKFIHPHDACF DKDGNIFVAEWVSTGRVSKLRHVS OJF2_RS16675 MSATHRRPSRFARLLVLAAAACVAPTAAHTAPAPPAAEDRDRDL GSIDGILDRLYGAISGPAGPRDWDAFRTLFAPGARLIPTVRRPGEPAAARVLTPDEFV EASAPRLKEEGFFEREVARRVDRFGAMAHVFSTYESRHAKDDAKPFSRGINSIQLLDD GRRWWVVTIFWDAERAGTTIPPEYLPRQE OJF2_RS16680 MNLTEYLFARLRELGVGHTFGIPGDFILSIYAVQEAVGFPTVVC SHEPGVGFAADAYARVRGLGVALVTYGPGALNTLNPVACAYAEQSPLLVVSGGPEMSL RGQHDVHLHHVVRNYESQLRIYREVTTDAAVLEDPATAPGLIDRVLRNVCLRKRPGYL EIPRDRVRAEVAPPAGPLDLEPSAAAREASAGALDEVVEEIAAMLAGAKRPALYVGVG VRRHDLTAAVIRLAERLGLPVATDVLGKASFPESHPQFAGVYLGALGDPGVRELLDGS DCVLGIGVVRTDLGTGYWTERIDRRARILIDPDGVRLRHHRYDDLPIRRVVEALLERL PAGGRPAPAPPRPAAGGPGAPAPGASEAPAPGGRLRVADVIRELGRLDPGRYSFVADV GDSWFIGLELRTEVFLAAGYYASMGFAVPGALGAGVADPSRRPLAIVGDGAFQMTGTE LATLVDQGLQPIVLLLNNSGYGMLEALDGPSPSYLRRDWDYPAMAAAMGARTARATTP QELAAALARAEAEPAAWLIEAITARDDLSPTMARFRDHVRSGAAPPPAM OJF2_RS16700 MSEVSRATPIAAVVASAAIVAAMIPACGPAAGAPRAPAEAAEAQ GPGGPGGPGGAGFGPGTFLGPVVFSAADADGDGTLTPAEAAAAARRFIGLAEAGKAGS ADARALARAINENIPIPEGFGPGGPGGPPGGGPPDGGPPGGGPPDGPPPGGGFPGGPG GPPGGGGPGGFGPGMFLAPQVVELADANKDGRVSADEAAAAAESFVREADARKQGSID AGALARAMNRRMGPPPGFGPGGPMGEDRKIVKDFDKDGDGRLDRRERLAARESLRKDR EKNPRRGGPPGFGPGGPGGPGGPAGMDGSPPRPGPRVRPADVPTFADRDLYDPGILRT LFLTFDSDDWEKEIEDFHGTDVDVPADLVVDGKTYRGVGVRFRGMSSYMMVQAGRKRS LNVSVDHTRDGQKLLGYKTLNLLNSHEDPTFLHTVLYSRIARAFLPAPKANLVRVVIN GESWGVYVNAQQFDKVFLEQNFKSSKGARWKVPGNPGADGGLRYLGEDLEPYKQRFEM KSGGKADWEALVKLCKTLNQAPAGELESALSPMLDIDGALRFLALDNALINGDGYWSR ASDYSLYRDGSGKFHLVPHDMNETFGPAMMFGPPGGPGGSGRGPGGSGGRRGGPRGIP GGPGGGPPGGGPGGPGGFGGPGRTPAADLDPLVGLEDERTPLRSRLLAVPALRARYLA YVRRIADEWLDWKTLGPIVAGYRTLIDEAIEEDTRKLSSTEEFRKSTADAIEAGAGAE ARPRWGPPSMGLRAFADGRRAYLLDYLEKKGGVK OJF2_RS16705 MPEWLTRSMNGGSGGSPLELGERFLAALLLGAAVAAFYRWARRG EEVPPTFQATLVMLATLIAMATKVIDDSVARAFSMVGALSVVRFRTVVKDTQDTAFVI MAVIVGMAVGVDRMVIAVVGLAVLAAAGLVLWPPGRPRGWQAQPVTLSLRTGLSDSVR VAAESALASSAGSIELITAGTARQGAALDFTYRVRLRPGCSLAGVAAELNAIEGVQSV ELSRRD OJF2_RS39240 MDARRSRRTRDRARKSWYAYYRQVRFARWLGFATGDGDLGRAFR SAGLPCPAGGK OJF2_RS16710 MPRDRNRRIAPPVRLGVLTLLGWLLAGCGGGGGKLPPEDASRMK IQLKSRAFADGAAIPREYTCDGADRSPPLEWSGVPAASKSLSLIVDDPDAPAGTWSHW VAYDIDPAVSSLRGGIPADEPSFRQGTNDFGKPGYGGPCPPSGTHHYHFRLYALDRRL DLPAGATRRAVLDAVAGHILAEGRLIGTYAR OJF2_RS16715 MGEPRRGRFQLSLRTFLVATAVAAALFAPVAWVARERQQMRRLH MQVLDARELAIRSVVREEQVRGADRLRAENEDLRRRVEQLRLENEDLRRRSGAAATTS PAPPARSR OJF2_RS16720 MPTSFVCDMDGVIYHGSRLIPGALEFVRRLQDGGHRFVFLTNNS QWTPRDLKHKLDQMGISVDESAFHTSALATAEFLSRQMPGGTAYVIGGAGLTNALYSH GFTLTEKDPDYVVVGETRSYDFEKIEHAVRLILRGARFIATNPDLTGPSELGITPACG ALVAPIELATGKKPYFVGKPNPIMMWTALATLKATPAEAFMVGDRMDTDIIAGTEAGM RTILVLSGVTSRALIETYPYRPTLVYEHVGEIPVGELA OJF2_RS16725 MHDTRSRVGLGARGLAQVRRRQRFHFRAGWEALEDRQLLSTAAD LGGIKVTSNLDVTALAGTSTTSGYTPSQVRSAYGVNAVTFSSSGGTVSGTGAGQTIAV VVAYNDTRIASDLATFSSAYGLPSMSSSPSSSSSPTFTVDNLGGSSSRTDAGWALETA LDVEWVHAMAPQANIVLVEAASDSLGDMFGAVNAARNLPGVSVVSMSWGATEFLGDTG YDSLFTTPAGHTGVTFVAASGDTGAWYGVMYPASSSNVLAVGGASTTISGSGTIASQS GWSGSTGGYSGASTGFWSYESVPSYQSSTLTSAGLNIGTRTVPDVSFNADPSTGVAVY SSVAYQGQSGWFRLGGTSAAAPAWAGLIAVADQGLSLAGKSALSGRQAMTDLYALPSS DFNDVTSGSNGYGARAGYDLVTGLGTPRANLLIAGIVSMGTSSGTTSTGASSGSTSGG STSTGTNGGSTGRSNPPPHRGPGGRPFAEASVGSTAGTAATAVTATATTTVEVQALAP TSTTTAAAQATSSTSTSASSSSSTASQVGQSQGTAATLPTQSTPADPAATGSRSLALD STPHDPLPPSQDGAGDDASPAAAGDASMPDAAPAPEVPASPTPAPMDELPSDPLPILP DEPGPEGTWTGLADVIRARHPMEVAARPQPAGPAWPAAPIAEGLGGDAGWAAPAMAGA VAVAAGAYQLILARADRLPRGPSRRRRWQP OJF2_RS16730 MTEREWQACRDPEPMLRQVPAARHQRELRLFGAACARRVWRLLP GECRAAVEASERFAGGEIGGEELEAAVARAAEVAAAAFPGHSAPDAASYATSAAVDAS SAWPRTATNVMAAASCAASAAGCDAGEADEARYDEAFERARRGELAAQADLLRALIAF PGEPPPA OJF2_RS16735 MSDRLYTLRCGQGYFETGLDSGGQVLLGNTVREIVAHRFDMEGR FLGLERSRMDVDPPRLPGTTIYRTDGEYHRAVEAEMAAYKERIGFRPADIRVRAFESE EACIAELPGEYERYLESPDEVDPGEGEELVRAIAAWRAEGRFVLDWCVDYWISADGEV LAHG OJF2_RS16740 MGEVKAALARILAREATLLAGHDPEWTSPCEVGEPDGDARIRWR PVEITPPPDFLDVEAEAGVILHPDVKEFYGSYFGRCDEQHFRGMTALLKVSWNPEELA ILKRQIVEHLRICPTIPAPFTVFFASTDGDPFFSVDNASGEVLLEEPGRPDAKVVAPS LAAFLAEV OJF2_RS16745 MPVDHAALLGLIRRAFPASALEPLPEDQLADIRRRFPGIPEEYL ELLRHVGAGRIGSMGLALYDGPCGPEEFFDEETAADLAGTLFFADDFGGWHAGFDTRD GWRVVGVSSVAPRPLPEREAGVGAFLTRWVEDRRPNRGGNRPE OJF2_RS16750 MLALIATLAAAALGPPGPTPVDAPPAIRPAAFQSWFELAAEGRL AIPEDVRARAASFRYVLVGGLNFGSTRGYLTHNVKQLRERGVPADSVEVIHPDSSRTI AENADDLDARIRAIAAKGPEKLVLIAHSRGACDVLAFALGDPDFVRERVEAMFLVQGP FGGSGVADYVAGDGPPADGRMPLVPRLAARAGRRIEANVAGPGTHRAIAELSRESCGR FWSERLAEHEDALPVVAPRTFYITSRTSTAKHPPLQRVTASYLRTYYGPNDGLVALED QDIPGLGTVLAVLDAGHTDLTRRFLSARPRAKARLQKALVDAVMTAVGGPRGPLVETS HARAIPDAR OJF2_RS16755 MLTMTGTLGLAATLMLGLLAADAAAQSEPALKPSELTGGYTIVS GEKFGIKEPEERIEGATVRFSDDRIIVVDKEKKEIYGATYKLEACEGDHTCKITMTSK LADKEDQVAKGLIKKEGDTIKLIYALPGAAAPTEFKTGEKQLMFVMKNMNK OJF2_RS16760 MSNADPVGRREFLNQSLAVAGAAGLLPGAAAAAAPRPDADDAKK PRAAAAPEAAATIPRGSLGRAKVSRLLLGGNLIGGYMHCRDLKYVNSLFRAYATDEKI IETLALAERHGINTVFETGGDFVERYNRRYNGRMQFIPHIEIKTSWTRREQEDHIKQQ VDAGAVALYVWGVSSDSLLRDGQFDLLARAVEMAKKHDLPVGVGCHSLLVPKECEKHG VPCDFYVKTFHGDDYPSATPKELRKEFIWLDGGKGWYDNMWCINPEETVAFMQSVAKP WIAFKILAAGAIPPAQAFPHAFRNGADFIAVGMFDFQVKADAELAERAIRHNQKRARP WRA OJF2_RS16765 MHIVTGAAGFVGGNLIRALNQKGVRDILAVDDLTSGDKFRNLRD CDIADYMDRAEFREFIRRGVSHGWKPAAVLHQGACADTTEPDGRYMMDNNYTYSKELL RWALEARAPFVYASSASVYGMSRRCAETAEHEGPLNVYAYSKLQFDNYVRRLMPGLRT TVVGLRYFNVYGPGEAHKGRMASMVWQLFHQLKDAGVATLFDGTDGYGPGDQRRDFVY VGDVADINVHFATGPIRHGIFNVGTGRSRSFNEIARIIIGLLGRGEVRYIPFPESLRG KYQSFTEADVTRLREAYGRPMTTLEDGIRRLAERAGHAKEREAA OJF2_RS16770 MSTQAHKLEALIRGLRPCKVAVIGDVMLDHYCKGNADRLSPEAP IQVLDVTDEFRMPGGAANVAMKVVGLESRVRMVGLVGDDATAGELQELLTADPRVADG LVRDPSRSTTLKTRFIAHNQQLLRVDREHRAAPAGWVREKLVREAYAAAAEADAVILE DYGKGVLGPEVIAAAIRGARKSGAPVVVDPTGRNYARYAGSTVLTPNLKEASLAAERP ITDAESLEAVARTLVEQTGSALAITREAEGISLFRRDLPRGPITHTHVPTMPVAVFDV TGAGDAVAASLAIALASGIEMADACALANLAGRAVVRQFGVGTISTSHLLAEANREQA DWMVKTANVAAARQRAREIKQAGGKVVFTNGCFDILHYGHAHLLQYARSQGDFLILGL NTDASVRRFKGPSRPFVSEDQRAYMLSLYPFVDLIVLFDDDTPLNLIEAIRPDVLVKG GDYTPDTVVGRDVVESHGGRVAICPRLEGLSTTDLVRKIQDRIPA OJF2_RS16775 MSMRTPPNPPRLLAQVRDRLRTRHYAIRTEEAYVGWIRRFILFH GKRHPREMGAGEVAAFLTHLAVREHVAASTQNQALAAILFLYRNVLEIDLPRIDSVRA VRPKRLPVVLSVDEVRAVLCRMDDPWKLMAELMYGSGLRVLECCRLRVKDLDFDRRQV LVREGKGDKDRSVPLPRSLEDRLRDQVAAVARVHARDVERGRGRVFLPHALAAKYPAA DRELGWQYLFPSSRLSRDPRDDRADPDSRPLRRHHVHENMVQKRVHQAVLAAGLAKPA SPHSFRHSFATHLLEAGHDIRTVQELLGHADVSTTMVYTHVLQAGARGVISPLDRL OJF2_RS16785 MSERKRLLKLASRIPPERIAGVLEVADDIPSGYFLIGDDPDHYL VCCWHVANGLMSMIIEDDALAVACKRYLLANGAPVFRSTEEAEAHAAAQGWPGRRANA OJF2_RS16795 MSTRRRKLAGELGPFVQQYRRKSYATHDPNDRRYDRELEAKIKR MPPEELDRLLHGDIDDETEAGHS OJF2_RS16800 MLGLLGQLLPMGMPRDQSLADRIRDGHTFLVRIAKVDLGYDPQA WHEHLRDTNAGGYRWSNKHLGFPRRIASALADPEWQRAVAVLRGEPGA OJF2_RS16805 MAGVKHLMEAVRQVLLREWDPIGVADNPACFDEYDRYARTICRY LEEGVDEFKLTAYLGQVQTVGMGLSRADAERDKLVARRLMALSV OJF2_RS16810 MDIACRSCGASVGRLHEPYCSSELCPFCGDFISTCDCIFEVLSL TPEERELVEEFADDSVQPLRGICDRWRAAVEAKGRVPYS OJF2_RS16815 MRIIPLLESGHPDVRPDLGPLAGHEHPWTARKGRMLLDLVAHLE SRGPAPDAFAYVAGDELWLYPANRHNRARVQVAVVWRDYGPVRDGYPEMYYRLSVRRP GAPGSEERARELSEVEDHIRRAFGWSAPAASDPA OJF2_RS16820 MLRSGPYRFFFYSGDREEPAHVHVERDDCEAKFWLDPVRLERSR GFARKEIGLLQGIVEENRQRRLESWHDYFRG OJF2_RS16825 MTTSAAETRSTTATNLVVTEDTLSVELSDGRSIAAPLAWYPRLL HGTPQERSRWRLIGQGRGIHWPDLDEDISVEALLAGRPSGESHASFKRWLEQRGAPVV EPA OJF2_RS16830 MLQRTPHRHGGYRTIEGRSGGAGPLSSVVRRLKRVLDVIGLNPR WTFRYPHLAAVGPGVLLVFASGDSPSPPVAGGVVQVERRDGTIAAYEVFFSERRPGAF GVAIPGLDAAEIDIGANVEW OJF2_RS16835 MPRKIRDLTNDLIDAGFSIVPGGGKGSHRKFTHPNYAGVVTLSG QDGDDAKFYQERQVKRAIEEVNR OJF2_RS16840 MKPIDAYHRWVEWSDSDQVYIGKCPDLITGIHGDDPVQVYKELG EVIQEVIDHFQRTGRELPPPRTRPMMEVS OJF2_RS16845 MRNIHEIVAEVDALAPDDASELDLARLHALAVEYFSHAEAPRHL DAWFRLFERFPEGDGGGVFWSILHGIEAQPGSDEFVVASVARQPTHLPVLMVNRILNS GRSMVGGCDLVALLRSVTLDERASPEVQQDAERFLARRLTDA OJF2_RS16850 MGVPEVSSLDAADDSFVCPCCFGTSHAIWKLPNPLILHWVINPG LAFNELILGQRLPRLLFICKSCPMPLARRSYVFCPSCGLYSESMIWSGWNSFGHWLGI VCPDCGRRIPSLSNALSWVILKGLSRIDQLAGRPFEEVRSRWRWRFLAWEWSRAYRGR ERISSRRANDEDA OJF2_RS16855 MTHRDKVERFIAEMTQRGVNPYTAAPPAWRTAWGLGIEVPPPHF MAFVLLALTSGLFFGTLWGLAMRLVDWGALGWLSTMTVAAVAGALFGVCLAAYYRRSA ARLELPSWERYAA OJF2_RS16860 MSTTRPRRRSPSPTFIGALIGVGCALVVPPRMMLTAEGTIAWLL AWSGTGLVAGAVVEAVASRVRGRDAA OJF2_RS16865 MRHRLALMAACLLGLIALWGSVSPGQPPAVKAAAKWEYKSDQFA GNQDDRLNRMGEQGWELVAVTGDGPGQRYVFKRPKP OJF2_RS16870 MDKWLPLFPMAALLLMSLPFWKRLLVGPPIVWTRRLVASLSLGV LCAVAVPVLAAIGLRLL OJF2_RS16875 METADRWSDFLDASGDCTGPPLTDAMVASAERALGYALPASYLR LLRVRNGGRPRRRCFPTDDRWPDGHLRIEALFGIGYPWGIDSDEFGSRHLIRQAGFPE VGIVVALTPTAGHDAFMLDYRDCGPRGEPRVVFVDPEDDLCEILAPDFETFLGGLVDC RPYDEASARAMEEFRRRARPG OJF2_RS16880 MKMYILVRESVPLGFAVLASAHASLAAYLKFRDAPEVAEWLSGP FSKVVCRVSDEEFERARAVEDHVVLTESALGGQEVAMAFRPREEWPKSFKFLKLYR OJF2_RS16885 MKSPEDFLERVTDRDSFIAFVEALAAERADAAEIERANPQAYMV DGAHNWKNGEIEGFLWAALRYFEPGPYHRPDSEPGWRMFAEFLWCGKIIE OJF2_RS16890 MGTLVAIYIRADDPATADAIRAEYPSAYSEPGTPFYAVDDHPYG WRPPGEALRALSARLGTEVLWLAYQSVVDAFEYHRWDRGARLRSLVFGCHAEERTWER SEGEPEPWERDAMFGAEGLASWSEGATDEERREYERIWSEESLEPGQTVPSLDAREAA RAVAIHHRLPGWRDDWAGD OJF2_RS16895 MAASCSECGAPLADGGACIELFHAMLLLEHEVAADPAATAGGRG EVAHFYAVSAYVLQHPEGMNYTSEALRELRGAVADHLAGRVTLAALRPRVRRAAGGQA RVTRRAGDVVPRWPVSAWPTTVADVLAGSVEGYCDRVAAWAESIIRTLDAATG OJF2_RS16900 MKHDTLGEIQRLEGGIFDGVATVRLGRRDIKIQMSCDDQPFETT LELAADVARRLPELDEDAKRVAVADLREVYNNGWNRYDEVQEDGSLEAVSNPPLSEAE FEARLSLDAVNVTGDSMLEFFYDDRGMFWGHAVVVRSSSGVDLREAHAELFG OJF2_RS16905 MKIMAFVLLLLAVACLGGGRGTEVSYVPEEGFVPSKEVAIKIAV AVWEPIYGAEKIAAEEPYRATLADGVWTVEGSLPEGSKGGVALARISRKDGRILRVIH GK OJF2_RS16910 MARSVPEDIASIPHWARVAFAARCSRNVLPLFERFWPDAEPRRR EPLLSATRLAERSAQEGRPAPGLKDAIVGSVTTAGAALLPTYGMSSGDEPLPAGEHAC HVASFAAKSAEWAANAAREAPSGSADAALEAYTWARDAAHAAEAVDVLARLRGDFAGL VRVATRGRWADDTPVPPSLFELLAEDSDEKPWWAFWR OJF2_RS16915 MAEVAVYPDRPERLSAERWLSFRFDDLVAWPSPAFVRKALARLG WRPRWLPVGERDWFHRPSERFLRFFTQPRVTAYLPDEPRETDYGSTLFRRIQEVMLEG GFNSWDLG OJF2_RS16920 MRLRFSIADLLWLAAGCAGVLAVLRWAGYVGALLAAPFLAAGVT GRLMRGVSAPAFVRWAVSTLAASGTLIGVWLADPGPHGPRPFDPVIGTLNIAASGVIA AATAEAWFAIRRELVQSLGGMFARRPDEARGRAGVSPSDPEDPPAASR OJF2_RS16925 MLKSRTPLVYAFPVLLGSLLPLAGCQEGPAERAGKQVDNAAKDV RDTVNPPKGPVEAAGRKIDDATHGNP OJF2_RS16930 MQLIYALILLVVTPRAHADDPPAIPVGLDAIRQWGRWPLLRTGV RAYMRSTYDRVGGNEGADASHFLYQEADDANVALDLAGPGLVCFTRFNHWHGSPWTHE VDGVRRVVRESSTADPLHPDPDATFLPSHLFPEPLAFTWAATKGADLSWVPIPFERSY RLAYGRTHYGTGYYIFQRFVPGMRLSTPIRSWDFATPPAADVLALLRRSADELAPDAG QSEVSGGADLEPGKPLALAGLPAGPATVRAITLSAPRSRALALGRSRLRITWDDRAEP SADAPVALFFGAGTLYNRDGREYLVKAFPASVRFDADRVSLACVFPMPFFRTARMELV GGPDPVADVRWSVRWSGLSDPADRLAYFHATYRDHPTPEPGRDLTLLDTTRAEGGGDW SGHLVGTSFIFSHRAALTTLEGDPRFYFDDAESPQAQGTGTEEWGGGGDYWGGRNMTL PLAGHPVGAIDAAHAKEPEDLIESAYRFLLPDLMPFGRNARITLEPGGTNESAEHYET LTYWYGRPGATLVRTDSLAVGDPESEHAHGYRSPDASAPYEIVSRFERGVDHLHGEEI FPAQRERGRTTTGTSEFTLRLDPANAGVMLRRRLDYAYPDQRAEVDVADATAPGKPAW QPAGTWYLAGSNTCVYSNPKGELEGPQHIAQTSNRRLREDEFLLPLSATQGRRSIRVR IRFTPVSRPLFPGHPIPPQAWSEIRYEAHCYVRTPAPS OJF2_RS16935 MTFEFATAGRILFGRGAVRQVPELARGLGKRALLVLGRSGRGGD EMAAALEGAGIPSARYHVGGEPTTTMVDEASALARGFGCDLVVSLGGGSVIDLGKAVA GMLANPGEVLDYLEVVGRGMPLANPALPSIAIPTTSGTGAEVTRNAVLDVAGKDVKVS LRSFHLLPRAAVVDPSLTDGLPPAITAYTGMDAVTQLIEAFVGNAANPMTDGVCREGL RLAAGAIVPAVEDGSNQAARDAMSVAATFSGMALANAKLGAVHGFAGVLGGVTGHAHG AICARLLPFVCEANVRALRRSPEGTRTLDRYAEVARILTGDPSARPEGVADWARRVGE RFAIPTLGAGGLEASAIPAIIPSAERASSMQGNPIKLPADELRAILEAAL OJF2_RS16940 MLIVHVHIEVKPEHVDAFKVACEDNSRNSLKEPGIARFDVLQQQ DDPAKFVLVEVYTADDAPAAHKQTDHYKRWAATVAPMMAAPRTGLKYDRLYPQDGPP OJF2_RS16945 MNPDRKRNDPGPAGEAEAPGVPSAAVPGLVHHLWQFRLQYREVR EDDKVLRAATKLAMDLFGAAEGAVVVGEPGPVGIKLQAAIPEGSGWDRTMLSEFLRGR KVRVPPELMLARIRRKGRMWGILAVRDARKEFNWDSRQAFSMIGSVANEIIDGVDRER IREVRARVDRKILEQSLPKHLSYELLHGIRSLTGYDHSAALLIHDGESGSLEIVAEQI AWRKAKGRNVGRKLPLPPPLREVLDRPYVCGFDRNGKGWVNWTGTDTAGLAELLDYDD EAEGGRAGGPEAPPVPAEGAILCASLATKGGLLGILKIASVHPGAFCGYEVDLISQFL PQAAVALQNAQRAELLERRVLIAERKHAMAELARGVSHDVNNALGAVLPMVQQLVAEL DDGDFDATTAAEDLRRVERSIQVCKRIFGAMVHFARGTARNPSAIALGHAVDGALAIF REALVRRGVVLTVDVPADLPPLLAVQADVEQLLINLLSNARDATGPGQSVAIRARCGN GVLEVVVEDDGCGMPAEHLARVKEPFFTTKANGSGLGLAICRSIVAELRGQFHIESSP GAGTRVRAAFPISQEEPGP OJF2_RS16950 MNPEPAGKARILVVDDEPNILHAVARILGKKHVVECVGSGEHAL ETAEQFRPDLAIVDIWLPAMNGFEVTRALKAVRPDVDVIIMTGDTEEPDETLIRAIDE GAFYFIQKPFNRRVLLTLVARCLELRSLRRDRERYLARVARELDQAREFQHSLLPPGR PRIPGLSVSARYLGCHELAGDFYDYVEAEGGGAAVLIADVVGHGTSAAMMTAVVKAAF RASHADDYEPSAAIDRLKESVRDFDPARFVTLCCGRVDPARGEFRYVNAGHPEPIILG ARGGEPALLDSTGPILSSVFMDVPYEQKSHPLAPGDVVFLYTDGVTEARGPAGMFGRD RLLSHLQAGRRGDDLLDGLLADLAAFTGSPTGQDDITMLCVEVEGKG OJF2_RS16955 MRIPLVLISTAIAAAQSPAQPQPQPAAKPAPAIAYKVVVYYRRD RPLDTFQYQVYDLRRGEYTKAVRDWEALMESRFPNYEVRVRPVDLSREKGRTEKLKLG AVIQRELFAAAALQGVFIGAPPMITPGASASRGLAPAPRLPGLSPLGGGYTPLNLGPP PYTFPVPVPYPRPFP OJF2_RS16960 MGYRAWDETRALVTGASSGLGRAIAEHLVRAGATVVLTGRSAGR LDDVAAGLAREGADPARMITVPADLTVEEDRTRLFDEARDRLGALDVVVNNAGVGATG QFDTHDPSDLRRVFEVNVFATIEACRASLPLLAEGRDPVMVLMGSVNARRGLPGRSEY SASKFAVSGFAESIRIEWRRFGIHVLQVNPGFTDTPFDDNAVVKTARVSVKHRRTMSP DTVARATLRAIEARRREIVVSPHAKLFLLTSKLAPRFVDWGLTRWLFRHFPDAPALQG AGARAGR OJF2_RS16965 MRNTHRISQLGREASRIVLAVGLCVCSWGCHQHYHYYGTPPPAG YGCPPAAGAAVVPSAVATGPVCEVPAEGSTMRSSTIGDGRSSRVVVSEPSRGKSFKFG WRPTDPDEAPTITQVDGTLDGNTVKK OJF2_RS16970 MDQESLAKIARRYRDEGYEVVVAPRPEQLPPFLAGFRVDIMATR GSEGVVIEVKLNRMDLARDADITRLAEIVHSEPGWKLDLVVLESETQIEKATQDAAEP SDDQLFQILRTADELADKGHAPFACVIAWSGLEAAMRRPRGDVEVHGRATPSEMMRAL YSNGVLSREQFEQLRESYKVRSQVVHGLVPGEVAPDLVRHITATARYLVKSEGAALSL A OJF2_RS16975 MPHLEFTVDGPPVSNQTKDKANLRAWRDAIRSEAARCWAGKAPL KGRLKCTILNFHEGEYASLDDDNMVKPIRDAMNGLIYEDDSQICYSETIHISIDAPIR IRRASPILLAAYSKGDEFLYIRIDDLPDFLQLPQ OJF2_RS16980 MAIRVQCECGKKLKARDEMAGRTAPCPDCGRPLTVPHPGPSPAE DDVIGFADAASGADDEAPARPAAPALSRELPGSATSAPSSPSASSPAPAPPRRAAAAV AASPAEGRSSAREFLYLALILALVPLGISVLRPEAKDFEARLETAVEHADAETKARLG ALEAREGVGLGDLLEAFPGGKLDASAHLPHSTIVHWIYGAVAAAGFLGLALLLFPGER KVPHHLLLAGLFTATVGIVLLLGFQYAAAATQGVWIRGRGIIVLLFYVVKFIGWSYAS ASDPGANFWLSFLGYTCGVGLCEELCKALPILSQYFGGNDRMGWRNAALWGLASGAGF GVAEGIMYSSRYYNGISPGEMYVVRFVSCAALHAIWTASVGIAVWRGRDGLRHGQDGA SLALAVLKILAVPMILHGLYDTLLKQDMDLYALGVGAASFAWLVLQVERARAGDEEPS PASKPAWA OJF2_RS16985 MSVLRSRRHVLLPGLFALAIGANVATTVPAQAQPQGQRARTPND TLKSVEVSPDHKVTFRIYAPKASEVSVNGDFKGGGKMTKDEQGVWSLTTGPLRPDFYT YGFNVDGVRTVDPKNAMIKQGIANVDSMLLVPGEESEFEATKDVPHGEVRQAWYRSAT LGEPRRLHVYTPPGYDQGTEKYPVFYLLHGAGDDDSGWSTIGRAGFIMDNLIASGKAR PMIVVMPNGSLPRPTNFPRTAPGTAPSPEARAAMEAIQNRFTDELLKDVAPFVEGHYR VLPGVENRALAGLSMGGGQTLRLITTNPDRFAYVGIWSAGLFGGNPDEWEKRNAAFLD QAKAFNGTVKLLSIRVGDGDFALAGTKNLDEVLSRHDVKHELTINDGGHTWINWRKYL SEFAPRLFR OJF2_RS16990 MTRIGRIPGIALSLALLAVPAAPAQDKPADGPKPASSPSNPSPA KDAKPEAPPKPKVAVFRLGGTVQETPTDDVFNFGGEASVPLQTLVSRMDKAAGDPAVK AVVILLESPTVGSSQVEELRQAIGRIRAAGKEVYAHADQIGGLGHYALLTAASTIAVT PTADLWITGLYGESPYLRRMLDKIGVKPDFMTCGDYKSAAEIFLRDGPSKEAEAMQNW LLDSLYETAVARIAAGRKVSPAMVQAWIDSGPHSAEKAKELGMVDVVEHRQDLEARLA GKYGKDVTFDRKYGRKAEPKLDASSPFGLFKFWGELLGASKASDSRKPAIGVVYVEGP IVVGGGGGASDLFQEASASGVKIRKALDRAAADDAIKAVVLRVDSPGGSALASEIILD ATRRVKAKKPFVVSMGDVAGSGGYYVACASDTIFADESTITASIGVVSGKLATKDVYD KLGVDFKSYRRGQNAGMLASGDVFSPGERLKMRAYMDEVYGVFKGHVTAARGNRLKKP IDELAGGRVFTGKQALALGLVDRIGTLHDAIAYVADQAKVKDYDVRTVPKPKSFLEQL LDEGSDDEGGKHLDILQRPSLLELAAPHLRALDPDRASLVRAAIGRLELIRREGVVLM MPELTTGH OJF2_RS16995 MTRDDHPLAAGRGARVNTPNRFERTHHELDLSELDAADDADYIA SLGRPPTEFLPDRSRTVVCRNDSPDVGFEASINPYRGCEHGCSYCYARPGHEYLGYSA GLDFETRILVKHDAPELLRRELMKPGYEPKVLAISGVTDPYQPAERRLRLTRRCLEVL AEFRHPVGIVTKNRLVVRDLDLLAPLAAQGASGVMLSITTLDAGLARELEPRTSPPAA RLEAIRALAAAGVPAGVMVAPVIPGLTDHEMPAILEAAAAAGARVAGYVMLRLPLAVA PLFEDWLDKHRPAVKDRVLGRIRALRGGRLNDARFGVRLLGEGPLADVIRQVFHVACR QHGLNRERWPVSPSAFRRPEPSGPGRQLRLFE OJF2_RS17000 MLIELDGVRKTYGRVTALDGLSVALPEGAIGLLGPNGAGKTTMI RCLLGLIALDSGGGRVLGMDIRERRMDIRQAVGFATEDECLFPGLMGVRFVAYAGELV GMAPRDALRRAHEVLDYVGLGEARYRRVESYSTGMKQRLKIASAIVHDPRLLILDEPT NGMDPAGREEILGLARDLSRSKGMSLVFSSHLLPDVEGVCDHVMVMGRGRLLAQGRID DLKRPHDDEFEVRVKGDLAAFAATLAGRGAEAKAAEDHLLVKLPKGESAGVLWDAAGR SGEQIRALRPRRSTLEEIFLGALAEQS OJF2_RS17005 MPILEQGYQHWRGELHGHAWRWAAITRQGLRAQAGNRWLRWILF GAFVPAIVLVGFLVVWGLFEQKSSVLAPFLFLFQGLPEELRAGPRGFRVTFWTLAFNT FLSVELYFSMLLVLLAGPELISQDLRFNAIPLYFSRPVRRTDYFLGKFGVVATFLSGV IFVPVVLAYVLGVAFSLDPLVIRDTWRIFLGALAYGAIVVLSAGTLILAVSSLSRNSR YVGAMWVGIWIVSATAAGQLEQVVRRPWCPLVSYSGNLDRIREGLLDTPAAYERLKTL FRAGQDQLRQSARPSLFGRGRRKGPDGMAPPVPRPPEPPGARGPGGTAPDGEKAELPW PWSLAVLAGLGVLSAGILSVRIRSLDRLR OJF2_RS17010 MAFHSVSKWYGPVIGVNNFSIRIGPGVTGLLGPNGAGKSTFLQL ATGQLQPSQGEVRVLGHRPWNNAALNRLIGLCPEQDAFFEWMSGREFLRSCALMAGLG RRGARDAVDRVLETVRMTEHAHRAVRGYSKGMRQRTKLAQALVHDPRVLFLDEPLTGT DPVARHELVELVARLGREGRTIVVSSHVLQEVQGFTRQIVLMNRGRLVAYGDVRQIRD LIDAHPHRIVLRSPEPRALAAKLVRHDDVVGVDFQRGDGAIVVETRTPDAFYGRLPAL ALEGDTPITEVYSDDDNLEAVFKYLVTS OJF2_RS17015 MNDAAIETGLPAPGDLDDGPPVPLPRGVRPSAIGTVLRITADRQ VRGRKLLVLCAMFSLPILFAALAHRFQDPFNAKKAEDVLVFGMIPQALVPLAALLFAS GMVQDDVEEQTLTYLMIRPVPRWLIYASKLAGTWLVLALVTAAFTTMALAAVYWGTGL LDAPALAIRAGILSGILALALAAYAALFGLLGLLTRRALVGGVAYIVAFEGAFANIDF AVRWSTVMFHVRTLSIRWLGLSGDDWGIDAAKAPAATTSVLVLAGVAAAAAVLGALAF STREFRVKTPEGS OJF2_RS17020 MARPRYLKLFKPYDVLCQFTDEGGRATLKDFVAEPGVYPVGRLD RDSEGLLLLTDDGPLAHRLIDPKFEHPRTYLVQVERVPGEEALEALRRGVVLKDGPTR PAEAVLLPEEPPLPDRSPPIRFRKNVPTAWLSLTLREGRNRQVRRMTAAVGFPTLRLV RVAIGPIGLEGLSPGECRALDDREAAALRAACVPRGQAGAGRPRRPRGRS OJF2_RS17025 MSTTPPPNDATPGALRLVFDDGTLVVEGLAEGQELELGLAGVKY DPRIRAHRAQAIFYRSLVEQLRRDGIPYIDHARAYDGPTPWKIQVAKEAFPHQVEGLQ AWWKAGGRGVVVLPTGTGKTHLANMAIEKAGRPTLVVTPTIDLMNQWYDELTLSFGTE IGLLGGGYNDIRPLTVTTYDSAYQNIGRIGNRFGLVVFDECHHLPGPTYGLSATDSIA PYRLGLTATPERADNAHQQLDVLIGPITYRREITQLRGQFLAEYRVMTLYVSLSEPER VRYEEARELYRGFLQQTGIDMRRPDGWSRFLFTAFRSPEGREAFHAYREQRTLALAAP AKLKLLERLLERHGGDRVIIFTHDNATVYTIARQFLVPVITHQTKTKERREVLLRFNK GTYPIVATSKVLNEGVNVPEANVAIILSGSGSVREHVQRLGRILRKSGDKEAVLYEVI TRGTVEEFTSNRRRQHSAYDGN OJF2_RS17030 MAGPFPGMDPYIEAQIDWEDFHNNLISEMRTSLSKRLPGDYVAR SDTRIEVIDVGEDSTRIYKPDVLLAHRRGSVAPQRSASPEIGESVAILEPWQAEVTAR DPEEVRTAFLEIRRLPDLELVTVVEVLSPSNKAGLSRQDYMDKRQDLYERKINLVEID LLLGGHRVPMKPPLRGADYFAVVARGATLPQAQVYGWTVRDPLPTLPIPLKAPAADLS IHLGELASRVYENGLYDRTLRYDLPLPREWHIRPEDREWAQGQGGRAS OJF2_RS17035 MLTGDLVRVRGSKDRIIPLYLNRSSEQWLEAAESLLAIFRENVG MTRGEIEGEIDDLFGGGGKATLVHRGLAKVLEDRAEFEVVSDVPPETIRDKVFSAAAE ARRKLREDRPAPVGEADGMALSARPAFHRDEILAAVSAELKLEPEILLQGMFADLRDE NRLLKFEDMTAQRLIDRYNVALAQAVLLRSVRVEVEVRNEGPTRYRQIFRRLKFHRLV YKVDGTMDDGYKIYIDGPASLFSATTRYGLQMAMFLPALLHCDDFRLDAELRWGPRRE PRSFHLDASVGLMTHQLDQGTYIPAEIPAFVERFRQVVPDWELTDTTDVIELGREGVW VPDYRAVHKATGTDVFIEVVGFWKRSSLDRLLRLLPRHGPPRFVLIISDKLKVDEGAL GELSGPILWFKEIPSAPELGAMLGSLLKPGEKPERLFE OJF2_RS17040 MSLHRRIGSRLRFRDYLKTYRERLRAPRPRREPMNAPGPSASGE EKHAARHRSLPLLYRNLYGLLAGQRRTLAASLLALSLATLLKLIPPAATKAAIDYVLL GRPVPASVQAWSPLPIPESPRLRLFLLVGVTAAVSVLGTMIGLWSRWLATWSTKRVQV SVRRKVYEHAMRLPLHRVYQLKSGGASSLLREDAGGVGDLVFSMLYNPWRAIVQFLGG LVVLAWVDWRLLFGALCLVPCVYYADLLWNRRIRPLHKDIRKQRQQVDGETTEVFGGM RIVRAFGRQRSESARFMGQSHYMSRLELYSWWLSRLVELLWELILPMASVGLLLYGGL QVLDGGLSMGDLMMFLVYLTMLLEPMAVLATSVTQLQNNLSGFDRVLDILEEPREMAG TEGHRRLEKRAVRGRITLEGVGFRYPGTDRLVLRDVDLDIEPGETIALVGRSGSGKTT LCNLVARFYDPSQGVVRLDGVDLREIEVESYRRILGIVEQDVFLFDGTIAENIAYGDR SASPQRIAMAAAAANAAEFIDRLPDGYDTLIGERGVRLSGGQRQRLAIARAILADPRV FILDEATSNLDSESERLIQQGLATLLEGRTSFVIAHRLSTIRHADRILVLENGEVVES GTHDELMAAAGPYRDMVELQRLESVDTAR OJF2_RS17045 MRMTALAWLYGSAMWLAAGLLFAVQPMVGKMVLPLLGGTPGVWN ACLAFYQAALLAGYAYTHGGSRRLPFRVQYPLHGLALLLAACVLPIALPAGLSPPEAG GLAPPLWLLGVLALSAGLPFVVVAATAPLLQRWFSMSRHPRARDPYFLYAASNAGSLA GLIAYPWLIEPSLGLPGQSRAWAAGFGVLSALILACGAAVARTRGARGDEGRPHDLVP EDLMEAGQSGSPPYEGGVGGGEWGEPGRSKTIPLKPPFVRGEPDSAFPLAEGIPEATP PAFRDVPSASASWRDLARWAALAAIPSSWLLGVTTYITTDLAAIPMLWTVPLAIYLIT YIVAFGRGSGAATRLAAATLPMAAVPLVMVLAAGFVHLFWIPLHLLAFFLGALVCHGR LAATRPQADRATAFYLAIAAGGVVGGLFNSLVAPLAFDRLVEYPMAIVLGCLASPGVD AGRAGRGARSRPKAPWEPAPSGDRVSRGRRGRRTEPAPTGGLRRSLMIGSDAPALDAA LPLAVAGLTALLVTGPPGLVDTAAGMLGVTLAAGLGVYACVTGLRRPHRFAMTAAGVL LASGLAREPGGTVLLRSRDFFGTLRVLRDEAANAHRLLQGSTLHGQQSLDPALRVEPT AYFARTGPIGDAFAAIEGSPSRRTGIIGLGAGTLACYAKAGESWTFHEIDPAVPRIAD DPRYFTYLADARARGASIDVLLGDARLRLREAADGGYRVLVLDAFSSDAVPVHLLTRE AIRLYLSKLGPRGLLVFNISNRYLDLDPLMALQAADAGLACRIRYDVKVDDAERRAGK QPSIWAAMARSESDLGPIAADPRWRTPTPRPGARPWTDDRSDLASYLVLGGRRLPAAR SDR OJF2_RS17050 MAGPFNAESTTDDVLEGVDLGGKRVLVTGVSAGLGVETARALAA HGARVVGTARDLGKARKATAHVLEGAANGGGLELVEMDLASLASVRACADALVADGRP IDVVIANAGTMATPLGHTADGFETQFGTNHLGHFVFVNRIAGLIAPGGRLVNVSSAGH RYGDVDLDDPNFERTPYEPWKAYGRSKTANILFAVEFDRRHRGRGVRATALHPGGIRT ELGRHLGGDEALEARLAEINAELAAKGLPPFRWKTIPQGAATSVWAGFVAPAEEVGGR YCEDCHVSAISDGPINPVLGGVRAYALDPERAAALWARSEAMVGERF OJF2_RS17055 MPAGGGESPGRKPRADAQRNRAHLLDVAKSAFAEKGSAASLDEI ARRAGVGIGTLYRHFPTRDALIEAVYRNEVEQLGEAASRLAAECPPVEALRAWLLLFV EYLGTKRGMSEALDSLVGGASELRAASGEMVKRSVAMLAGRASGELRLDFDPLDLLRA LAGVANMGSGPDWRRSAGRLVDVLIAGLRADEAG OJF2_RS17060 MIEPQRPVVFVVPGDLHLTDPGLENHRVAEWMVGEVNRLIRPDF VQFIGDNVQDATADQFRLFDGLRSRLDCPHFALVGDHDVKDDPAAQGFRKHVGETYGS TSLRGFRLIRLDTQQARPVGLVEGQVAWLRAELEAAAAAGERVVIFQHNYPYQIWEDF SGPGIDDWRALVQAHRIDAIVCGHTHYWQVANDGRNAYVAVRSIGDPEGGAAGYCVGL LDGEDFAIAYRTVDDAGPLVLITHPRESLLATGPPHVVVGPDVVRARIWSEEPVIGVR GRIDDGPWFPLSPAGDGDWSAPLPGRLEKGEHALAVEAEAGPGGRASHQIRFVVDPTG RYTPVPEVRPKVTRTDFC OJF2_RS17065 MGASAYEILVLPIFWATLGSLACGAIYLGMLRMISAIGERILGR IDRGEARDGAGRVARDARPRRAIRLGPNA OJF2_RS17070 MRLLMRRVASPIPGRSWRLPLAASVLLMAVALAPADPSPSGGPS AGAAGLYGTLAWIEFATVAAVAAVEGGATIAEERRRGTWDAIRLTDWTDPEIAGRKAL GVLLRVATVVALALPAHVASGWRGTASWPLIAAVHAVLIGLGVATAGLGLMASAWTDR GLHGAALGAAAVLFPWFAGLDWLAGRGIAPSLCRALHPARLLEKTLASAGRGATIAPW PPVLLLAFAASVFAAATAGAAMGVRRLGERSPTRRAARSRGRARRVGDDPVRWREARE AGGRRVVLGAALVAAAALALFAARDWEPGAEDGRSGLSRSANGVLLTLTLAPAAAVGL RCSATLADERARGTLDLLLMAGRDGPGLVRSKLAAILAPMGVTVPLATAYALFAFSAG PRGLVATEPWLGAGEAALVIAVTALAAAGPSLLASATARTTRRALALGLLWLAWLAAG PLVLLLAAPPRLAEPAAQAIAASGPIYQVSAVGAHGTRFRFPVGSRMLLGVLAAEACL AWASLLAAGRMYARIPAPPRRRRRPAARDRQAPGGREAPARIGGIPPR OJF2_RS17075 MKATLSVNAVLVAVAAAFGPAGARADDSWITFLSHREGKNLLYR MHPGGEPEPLFGGEIKDAPGLPAGMGWWREPHWSVQSPDGAYFLSWALDRGSPAGRFQ SPPRYLLHLGRIGSGSTRLITPDAGEVFAWAPDSKRLVYARSLWRHPAAMRHPIAPRT ELVIHPLDGAAEEVVLDRPGIWEPCDWSPDGRHLLVKYVSTPIIQFASSALFELDLAE ARKAPDAGRDDPGGMKGSDKEPSGGGLRAIRPLAKGFQGGMARYSPDGRLIAIAGSSF KPQGEGLIDASRFASANKVLSLRERGGYEERVLCEGSDVFDGPICWSPDGRRILFARW DEGKGPVGAVAEPAGDVLSIWSVAVDGKDLTRIAGGWCPDWRGR OJF2_RS17080 MTDGDLLGRFGDGRGEAAEAAFAALMRRHGPMVLGVCRGILGDV EDAHDACQATFLVLARKAGTVRRGDSAASWLHGVARHVSLRLRAAEARRREHERRTAT MREERPALPPETREAIHDEVARLPARFREPVVLCYLEGLTAEEAAGRIGCPRGTILSR LSRARDRLRGRLARRGVAAPAVAALLAGGMVEAAEAGTLEATSRAVLGGKAGAAANAA AEATARALARGVVVARLRAAAAALAVGGAAAFAWWAFRGDSPPAPAPKAVRGPAPAGD EALARMATALRQIGLAIVEQAASIPPPKVPAAEGAPLLSWRVAILPLIGERELYNRFH LDEPWDGPHNRALIPEMPAVFLTTAEETRADGLTHLRAFGGPDSAFARPDGVELREIA DGRADTILLVEAGEAVPWTRPDALDPGPPLPPLGRPDGGTFLALFGDGAVRVVRKAAG EDAIRAAVTRSGGEPVRGADLGEVAP OJF2_RS17085 MERLVLILAMSSPAWAGDGPTRTVADARQLQDALDHARPGETIR VAPGEYRGSFAARGLRGEPGRPILLKASDPDRRPVFRGGETGLHLSRVAHVELDGLVF AGATGNGINIDDGGVLEEPSHHVLVRNAIVRDVGPTGNRDGIKLSGVDEFRVEGCTVE RWGDGGSAIDMVGCHRGEILGCTFRHGDGAGDSGVQAKGGSRGILIRACRFEHAGRRA INIGGSTGLAFFRPRPEGFEARDVTVEDCTFVGSMAPIAFVGADGAVVRHNTIYRPGR WAFRILQETRAPGFVPCRRGQVLDNLIAYRSEEMAAAINVGDATEPGSFTLARNAWYC LDAPGRSRPRLPIPETDGTYGIAPGFRDAEAGDLRLRPDSPVRSAGARADGMR OJF2_RS17090 MEILIADDQRTTGLALAWALEGMGHVPRLASSGEEAWALLRKGE WRLVITDWVMPGMSGTDLCRLIRSRADRPYVYTIILTGLSGRVNRLEGLDSGADDFLT KPVDHEELKVRISIARRILGVQSELEERNALLRAMASTDPLTGLANRRGFQAAAEALA DGGAAGLPHSLISLDVDHFKSYNDTYGHGAGDEALRAVAAILRSSTRRDDLVARVGGE EFAVLLPETNAPTALRRAEAIRRAVASADWPRRPVTISAGVATTRLAWEPGAIAGLLE EADRALYQSKRSGRDRACHAGHPPPSPHVACA OJF2_RS17095 MLSSFLVTNTMDNLPGQPLIDGSLRRAVVLSSSTAGHNQIRFDP AVRGTITLTGGELMIAGNDVDIAGPGAGRLSVSGNNSDRVFEIDGVRAAIGGLTIAGG DSDASGGGILNRAGTVAITACTIDGNVADFGGGIANAGGTMTISGCTIRGNAGELAIG GIDNAGGTMTIIGSTIDGNTSTGGGGGLTNRGGSVMISGSTISGNQTGSSGGGISNGT GGTGGTMTISACTIIDNRCSSGVGGGLVNLGSMRIMSSTIAGNSSDSDGGGVGNTNGT MMIIGGVIRDNTAVGDGGGLRNLGGGVTIIGGVIRDNEAHRGGGIASLGVSGSMTIIA TSIEGNTAADGSRALGGGIYNDAGIIVITSTRIRRNSATRGGGIAYVGDAPTLRASDV SGNAGGDIAAIQ OJF2_RS17100 MTGDLRQLRQRSEELTRANEALAAEVRERRKVDRARQALSRVNR ALVRAVDEAQFLRELCRVVVEVAGYRLCWVGYAEHDEAKSVRPVAHAGYEQGYLETVR VTWADAERGHGPVGTAIRTRKPVIFRDVTRDPGFAPWRDEALRRGFASVAGIPLTSGP EVLGALAIYAADPDAFDAGETELLEELADDLSYGIVALRTRAACREAEAALQRAHEGL ERRVEERTAELTRANERLTREVAERERAEAALRDSERLYRQLTEGILEAIVVADERGR IKLFNPAAQRAFGYEEHEVLGRPLAILMPPEDREAHEQGLRRFVEAGKARGARPATER RGLRKGGEVFPIELSLSAIELPEGTVLLGAIHDLTERRRMQAMIVQAEKLASLGLVSA GVAHEINNPLAYVANNLTVLERDWGGLSELLGALERARPELAAACPAAAGEIDRIGEE IDLPYLRANLGPLLASTRKGVRRISGIVENLRRFARLDQADVDRVDLHQAIAGSLELI RGQLERHHIAVEQHPGRIPPVVCSPAQINQVVLNLLVNAMQAIESAGRAGGRIEIGTR AQGGEVILEVADDGCGMTAEVQSRIFDPFFTTKPVGQGTGLGLAISHGIVADHGGRIE VESTPGRGTRFRVILPVEGVARGRGKPGYAAPAGDGR OJF2_RS17105 MTRRREPSRRFSPCFDPCEARTLPTPIFVLNGSSFNAAGPSDLT ANAAAVLRRAGNRVVQLSYGRIDSAAAFDGLARRVAALAHGRPVGLVGFSAGGALALR LAAAPGIRAVAVLDYYGVPDVRAYLSRHARDRVFRPISGLAPYRPGVVSRLSGALETP AHVVAAFGRSDPNVRADASSADLLRDSPGANVYTYAGGHGVGIGASRPALEDFLAHLG EGPVGA OJF2_RS17110 MGHACAVLRARSGSLWPAIACHVIGNLAGVPGAILGVALHRVPY GRPPEMLTAGGRPAGGGEAVRASARCVPRQRDGAAVATSIAVAASTADEAAATTPVLA NAWPSSRTCPSPGRPWR OJF2_RS17115 MRMRTISPTIILCLLVGTEQRALAAGKPSVSVGPNVQVSRANAR VPHAEVVIAADPTRPEHLLAASMLAAEEGAKEESRVVVYSSSDGGRTWAVSFEPSAKA DPALAFGPDGTAHLAVIAPEGEDKFRILVFRLAHGGQQWQRITGGDRFSLDRPFLAVG QAGPGRGTLYLSGTGGERTARSNSRPAVHRSEGEHGLEPVAAWDPDHIQAGDNYANGS LVVLSDGTVVTSYPCMPFAGWKDSIKPVLSAGWKVGLTPTGFECELLVRRIAVGGRLL EGQAPVQPHYLHASLPAMASDAGEGRHKDRVYLTWSQWDEVGRRVMLSRSEDRGAHWS EPVPLSEQPAEGAGYHAFLPAVAVNKAGVVAVSWYDTRERDRIGKPAWDLRLRVSADG GRAWQPSVRVTSQTSVFSGEDPEEEHRRIRRPLWLGDTAGLCADADGAFHPLWIDNRT GERQVWTAAVHVGAE OJF2_RS17120 MTPTDPNEPMPGPSHAGPDGPPLVPGWTLRAAVRVVELRLRFVA LMAATGLVLGYWDTLAGHLEKWRRPAGGEAREAASRVAYFCPMHPAVVDDAPGHCPTC GMPLARRVGGTDVASTEGASPRVRLTPGRVAQAGVRTVAVGLVRLEERLRTVGYVGFD EGRRFRVASNARGQLRVDRLLATSEGLTVRAGQKLAELYGYDLSQAIRQYRDARAAGG EGAGSPGGPGAAPATAPPGDPEDRIALAAEALRVLGARQDQIDAIAAGGPSAGLLPVL APIDGQVVRKYVIEGQYVPEGEPLFDVADLGRVWIVAQVFEDQLGRVEVGRGVEAEVP AFPGEAFPGRVAMIAPALDPATRTAAVRFELDNPGHRLRPGMFATVTLGLAPAPPAPR EQATCPVTGLKLNSMGGPVPVEVAGRTLSVCCPGCVPKLESDPGRYLAAPGASPGDAA LAVPESAVIDTGSRQVVYVEVGPGVFEGRAVTLGPRSGDRYPVLAGLSPGDRVAAAGA FLIDAESRLNPATTGPP OJF2_RS17125 MTRRRSGPVRRASVLAILFASGLLALASAPAARAHDEGSFLYIW AGHVDHSVPDFLAVVDFDEGSPGYGRVVNVVPLPGPGSTFNEPHHMHLSADKRVLACG GLLSVLSDQPGIFFFDATVPRRPRFLASAADPHSSITDDFLPLPNGGFLVTQMGSADG GSPGRVVEFDARLRRVGSWPARPPANGFNPHGISVRHDLNLMITSDFILPASTLDVVP GPPVLQSTVRVWDFKRRRIVRTIEAPGGVGMMDVRMIPGDPLGRAYSAGMFDGGLYLI NPAAGRAARVFDFADVAPHRPAPMGPMPQILQMTGDGSRLITGLFQAGQVVMLDTTIR ARPRQVAVADLGPGAGPHNMMLTHDDRRLVVADYFLVEDMFPLASPGKVLLEGDHKVH VLKVGRNALRRDPRFELDFNTAFPGGPARPHGIAIR OJF2_RS17130 MRPPDPPDGPDRPDSKQPAADLLPVLYAELHRLAAALTSRLPPG QTLQPTALVHEAYLRLMGDRDPGWEGRRHFFGSAARAMREILIEQARRKGSVKHGGQA RRVELAEGLAWIEPPAGDLLSLDEAIRQLQAEDAHLAEIVLLRYYTGLSVEETAAVIG ASASTVKRDWRFARAWLARRLGEGEA OJF2_RS17135 MIDPRQLRVRALFDRAADLPPGDRDAFLDEACRGEAGLRAEVEG LLAYDPGDDAGEDEGSFLKSPLVRTPGTAGPGPSPEPEPEPEPGLPARLGRYRILRRH GEGGMGTVYEAEQASPRRVVALKVLRPNLLLPELLSRFRHEAQILARLRHPGIAQVYE AGMAEDGRPFFAMEFIRGLPLDEYARARGLGAAGRLELVARVCDAVQHAHDEGVIHRD LKPGNILVDESGRPRVLDFGVAHVATADLAASSARTRTGQLLGTLSYMSPEQVVADHA GLDGRSDVYTLGVILFELLAGRPPYHLDRLPIHEVARVIQQEEPPRLGSLRADCRGDV EVIAAKAMEKDRARRYESAGGLAADIRRHLRGEVVLARPASALYQLRKLARRHGALAA AAAGIAAALVTGTVVSLAFAVRAAENARVATERERAATYQGYRSRIAAAVAALSQHDV ADAAHQLDAAPPAWRDWEWRHLRGRLDDSTSVLPAGEGESRFLIEGPEGIRVASWSAG TLRVNDLEGRELSRGLFPSETPTIFRPPLLTRAGLGLLGGDGHGLTSDPSRAGSTVGT GDVVRLSGAGGRGGPRLRGPAGSSVFLAAASPDGTRVAVVWLGPVRWTFTVHDAASGE PTATADRELGYTWVLAFSPDGTRIATGGEDGVTRLWDAATGRMAAECRGHARKVLGLA FRPDGRRLVTTSADGTARQWDPATGRAVAGPYEGHTGDVTAAAYSPDGLRVASGGTDR TVRVWRAADLHDVSVLHGHTGVVGQLAFAPDGRRIASASQLGRGGEAGDGTVRVWDAG RHAGTAVLRGHSSYVYPVAFSPDGRWIASGDWDGNVRLWDAATREAREGPRRAGNVRA LAFSPDGTRLICGGTPGEDLIVWDVAAGRVEKEIRVPGTAGILAIAASPDGRRIAAGG GLGVARVMDSASGAEVGSFRMPPAMTRKSLAYSPDGRLLAGTGEDGTQVDIRDAQSLR RTARLAGHAGPVYSVAFSRDGRLLASAGGDRTVRIWDVAAGTCVAVLSGHTDEVFTAE FHPDGRRLASAGRDRAVWLWDVTTGEEVARLEGHANYVFTLAFSPDGESLASASGDGT VRVWDAGPPSRRLGSLADGVEAPPGPTSSKAGGRPGR OJF2_RS17140 MRQRPSRPRLFDALEDRTLLSVTPPTSVPGGALAPSVLGQSPGS ALPTALVQTLQQLSSASNAEWFLSVADTVAGTNANVQNYAGSVVTDDRDSDFVAYKLS QQFNFMLPPGIQPADATTVLQAIAGAGSSGTGTDAAYLNAMVSINQTQISLIQQAMSQ TTNPTLTTWLQSQLTSDQSHLAAVQSLIADPGTGVTIPSTPTTGSSSLSSADQNLLQT AYSMSNADKFTAQLTALIDGQTPASSSSSTSTPMAGSAAALSQYGMKLTGDHTIVNHA YETVAFATNTALQPSLPAAAIPNIQALMATLNTSGGQALTNGTVKTSNYETTYLTNNI AMHTDLYNLEASQVANVADPSLKAVIQMDIPSVYLHLQGAQTLLSQIQTSDVTSSRFY HTRAGAYVLGAFESLVSRMPSAAELDRYVRALRSGAGVQRVYVSIARSNSTAATAVQA MGLRGPKLSRYLLNTARASGWA OJF2_RS17145 MATERLNDSRAFRDFLDARLAKDGGYIPLDEALGLWEYENQTDD ERAKTLAVIRQGLADAEAGRLRPLEEFDRDFRAKRGLPPRP OJF2_RS17150 MRQRALMEDWLSDYLRRILTARVYDVAVETPMDLAGKLSARLGN RVWLKREDTQPVFSFKLRGAYNKMAGLSPGQRDCGVICASAGNHAQGVALSARRLGCR AVVVMPLTTPRLKSDAVRALGGEVVLHGDSYSDAYRHALELQASHGYTFVHPFDDPDV IAGQGTVGMEILRQHQHPIHAVFVAIGGGGLISGVAAYVKAVRPEIKVIGVQMADSDA MVRSVRAGGRIPLDEVGLFSDGTAVKQVGEETFRITKALVDDFVVVDTDAVCAAIKDV FEDTRSVMEPAGAMGVAGLKQYVTRHEMKDQTLVAITCGANMNFDRLRFVAERAEVGE EREALFAVTIPEERGSFRRLCEIIGDRSVTEFSYRISDERQAHVFVGLATSNRAEAAE IRRALAANRFDALDLVGDELSKEHVRYMVGGRSALARDERLYRFEFPERPGALMRFLS HMPPDWNISLFHYRNQGADYGRILVGIQVPPDEKADFRAFLDNLAYPCVDETESPAYR LFLR OJF2_RS17155 MFITGAMHRWSGGTMEAGTAGESCGLAHVTFRDDPNAPLTAAMI AVFTIGTTISDEDGNIIYPAAIPEPSSLVSLAVGPLVGIGSARRLRGRAGRGRPRG OJF2_RS17160 MKLSTASDRRAIGRATVEARRRQRRRLRPSLLALEDRQLLSTFT VTSTLDDGSDGTLRWAIAQANSGGDNTIDFDAGVFATPQTITLNGNQLELSDTSGTET ITGPAAGVTVNGNNANGVFQVDRGVTASISNLTITGGNSFFAAGGLYNQGTITLTGCT FSDNTGFFAPGALYNDGTATLTDCAITGSTATFSNGGVTSFGTITMTRCSFTGNSGGL YLNNGTVTLTDCTVSGNGRTGVHMRRAVSATVTGCTISGSSGDGLYIGYGPATVTDCT VSGNSGTGVVDRGRGSSVGRATLTNCTISGNSHGGLDNGYSTFATLTNCTISGNSSGD NGGGVYNDGNATLTGCTISGNSSGRNGGGLATSNYGATTLSNTIIAGNTAGTGPDVAG VVASPGNNLIGEADGSSGWVGTDLTGTVAAPLNPVLAPLGDYGGPTRTMALLPGSPAI GAGSNALIPDGVTTDQRGLPRVVDGVVDIGAFESSGFTLAYTSGSGQTAGAVFPAPLV ATVTANNPDEPVAGGLVTFTPPDSGASAVLDSPAAIGVGGTVSVTAANNGLAGSYTVT ATANGAPGVLSYSLTNLAMVSIALSPGNPELALGVVGQLTAIGTFADGSTGDVTRLVT DWESATPSVATISGTGVASALSLGTTAITASMVGITSTADILTVIAPSFVVNTTADDF DFYSGTTSLREAIAGANALPGQTITFDPTVFAGGQTITLTRGQLELSGASGTEAITGP DGGVTVDAHGASRVFQVDGGVTATISGMTITGGSAQNGGGLANYGGNLTLTSCTVSGN SAGNNGGGVYSNTGGTTTLADCTVGDNSATVNGAGIYVNGGGSASLTDCTITGNTGLQ GAVFNSGSTVSLTDCTASGNTAGYGAGVYTNNGGTTTLTNCTVSGNTASGNGGGVYTK DATTTLMDSIVSGNSAGGYGGGLYSQNAATTLTNCTVGGNSSSSGGGLFINSGTLTLT DSMVSDNSVGGGISGGIWIRGDNTTATLAGCTISGNYAGNSGGGLYIDGGNTATLAGC TFTDNLGGNAGGGVCNMGTAMLDTCTFTNNLANQGVGGGLANFGTATLTNCTVSGNTD IYRGGGGVGNFGMLTLTNCTVSDNVGIYCDGGGLFNYSGPGVYNSQGTMTLTNCTVSG NSASVNGGGVFSQGTTTLTDCTVSGNSAGNNGGGLFNNHGATSLTDCTVSGNSASHSG GGLADFGTATLTSCTVSGNSADNGGGVYLNFGGSATLTNCLVSRNSASVGPYSNGGGV DIHGGGTVTLTNCTITGNTGVAGAGMINQFSTAILTDCTISDNSASADGLPWSFAGNA GGLSNFAGTTTLTNCTFSGNSASKTGGGLYASNGATTTLTNCTVSGNSADNGGGLASD FDTTIMLGNTIVAGNMAGTGPDVSGVVTSLGNNLIGETDGSSGWVGTDLTGTVVTPLN PLLAPLGDYGGPTQTMALLPGSPAIDAGSNALIPDGVTTDQRGLPRVVNSVVDIGAFE SSGFTIAVTSGSGQSTGVLTAFPNPLVATVTANNPDEPVAGGLVTFTPPSSGASATLS GSPATVGGDGSASVTATANGVTGSYAVTATASGITTPASFRLTNLQLIIALDPSASGA LSLSGNASINTAGIVYINSSSSSALTASGNANVTAQAIDVHGRFVRSGNAGLNPTPVA GAPVLAVASLPLPSAAGMTNHGSLSLGGNSSTTIQPGIYTRISVTGNARLTMAPGIYI IEGGGFSASGFSSVSGSGVMIVNAGSNYPAAGGSYGGISLGGNATFNLSPASSGIYAG IVLFQPSDNTKAMSVTTNASGIAGTIYAPAVTLSESGNAALNASLIVKRLSLSGNGAA NGPRAAGCATAGIRSNAVAASVKIAATSSSIGAVSVLEQAAPATIPTRMKVKLTDALG NNVGASRLPVVSMAATSAGDFVPQALPVGSPMDNLVTINPTTVTSRFSLKSKGSRLFA OJF2_RS17165 MATATSPAEPTLDLDPTGAADPAPLRAVHTSNFPSLLRQLGASL LVTTYQAGKLVLVRDEGDHLNTHFRGFQAPMGMALAGDRLAVGTKIQVWEFVDVPAVT ARLAPPGRHDACFLPRSSHVTGNIQIHEMAWGTGNELWVVNTRFSCLCTLDGSASFAP RWRPPFVTALEPTDRCHLNGLGMVDGRPRYVTALGETDEPAGWRANKAGGGIVMDVDS GRVIARGLSMPHSPRWYGGRLWVCESGAGTFGYIDPDARKYVPVAEVPGFTRGVDFAG NLAFVGLSQVRESAVFSGIPITERLAAEERACGVCAIDLRNGRVVGLLRFETAVQEVF AVTVLPGRRFPELINDDETLLENSFVVPDAALADVSPALRAPAVNGHAQTSSHS OJF2_RS17170 MSPPPGEIPGLVVRAAAGDRVAIAELLERYRGRLRRMVALRLDP RLQGRVDPSDVIQEGYLAAMRRIDEFIRNPSVPFYIWLRFLVGQQVHDHYRRHLATPS RDVGREVSIDRGVMPGASTGILAARLLGKQSSPSDAAVRAERKAQLQEALERMDPLDR EVLVLRHYEQMTNGHAAAALGLSQSAASKRYARAVQRLREILAALPGEESGP OJF2_RS17175 MSEPTCDRDPWELVAESFLARYRAGERPSIEEYAARHPELADRI RWLMPALVRMEQDLSLDPARAAPPRRPGGAPGGSRRLGDYRILREIGRGGMGVVYEAE QVSLGRRVALKVLPREVAGDGLALERFRREAKAAARLHHTNIVPVFEVGRDGEVAYYA MQFVEGQGLEKIIDELARLRDPGPKPGGAGAAGSPAAAARIETRGPAPGTIAQSLLTG RFAGEGVVPSGETPTEASTGPAATETMSRDAADAPDFAASDPEPAGAGLLTGPGASAV PPGGGSPSAVPLSGRGGPFFRSVAQIGRQAAQALAHAHAHGIVHRDIKPSNLLLDHAG VVWVADFGLAKGEDEGLTRTGDIVGTLRYMAPCRFRGEGDARADIYALGLTLYELMTL RPAFEASDRLELIEQIKNKEPARPRSLDRRIPRDLETIVLKAIEKEPARRYATADAMA EDLRRFLADEPILARQASAAERYWRWARRHPGIAVLGGVLTGVLVLATIGSLIVAGRM ARLAEDSQMAAGAEREARREAARQAKAQAAARGEADQARAAAQAETYRAMLSEVKALR AGHQPGWRGDALGNLARLTVLPTPRRDLIELRNEAVASIGEVDIIEVARLAGLRGAVH SLDFSPDSTALLTATAAGDIHDWDVTRRQHSWQVLDPSGPSQGGPEAVVRFLPDGGIA RTTRGHRVEFLDSSGRPSARAPIDGGASQPLVLRIGRQGRLLAIGWSDWRLSLHDAAT GEMLRSFTSNPFRFGISPDGRWIALADPENAYQVRRADGSGPPVKLGRGRDVIHRLVF SPDGNTLAVASGQSATLWDLASGREQVSLRGHKEWVTDLAFSPDGGWVATTSNDYTTR LWDARTGQALSVLPGTWFLTNVAFSPDGRHLAVSTSDGAGKVSLYQLLGRRERKWLAG HGSGTQSLAPHPRLPRFASGADDHAVIVWDPGSARPLRRWPAHDSFVVGLAYSADGSL LASGAGGSREIRLWDAETGSLRRVLSGHPANVARGALAFDPSGRRLATGDEGGLLLIW DVPTGRILRREALGTSSVLSVAFLGDGRHLLASEMRRGGSVTLFDLDGKEPPRRVIQP HGWNRFAVDARRNRAIVADFDGGLSIVPLPGLEGVRRLEGAHQGMVWALALSRDGRLL ATGGADRLVILRDAATLEPLLTFPAWTGTVKDLAFDATGRWLAIAGADSDVGLWDLVL VRDELAPLGLAWDQPPPALASPADLAAMAERPRHQVAVLGPRDMDPAAFQDARGLIQS GVAAFQQGRFATSIDELQRASERLRELRRSRPTDPTLAGQHGICLGFLASSLERSKRP GEALVRLREALALYETMDAPQPIDLYNMACACTRVSTLEDRGATEDREQPRARAVGYL RRAIEADRARIVAMMADDRDLDPLRGRADFRGLMADAAFPPAPFAPGRPSNGTPGRAV AASPEEAASRFGEGNRLARRGEWKAALAEFRAGLALEPGDTIPWMSAATLYLEGGDVD GYRRHARAMLDRFGGTEDPMIAERTAKIGLLTAPPPDQAARLIALAELSVARGDDQPL RRYYRLARGMAGYRDGRLDRAVEDLAEARSSGIDVISTAAQCFLAMVEARRGRLDQAR EQLAEAREAIGRAAPAVTADQGPAWHDWLISRIALREAEALIGREAPRQGHPPAPGRG TSRE OJF2_RS17180 MKTYGSAVWQGGLKDGVGAISTRSGALKNYPYGFAARFEGKPGT NPEELIGAAHAGCFTMALSLILGEAGLTAERMETRADVTLDKQGDGFAITAVHLTLKA KIPGADRAKFEELTGKAKAGCPVSKLLKAEITLDATLEE OJF2_RS17185 MVNFFITRPIFATVLAVLMILIGGICAALLPIAQYPPIAPPQVQ IQTTYTGADALTVAETVTTPIEQQVNGTKGMIYFSSSSTANGVSSITATFEVGYDQDI AAVDIQNKVQTATPQLPPEVKQYGVTIKKTSTDMVCVVNLISPDGRYDANFLDNYGQI YIADALKRIPGVSDVTVFGRKYAMRIWLDPNKMAELRIAPTEVIQAVQQENVQAAAGK IGALPVPEGQAFEFPLTVKGRLTKVAEFEEIIVRRKDEGSIVRLRDVARVELSSENYE TAGFLDSQPAGSILVYQYADGNALTIVESVRREMDRLAKSFPPGLEYRTAYDTTRYVE ENIDEVLHTLVEAFLLVMVVVFIFLQGFRATIIPMLAIPTSLVATFALMAAFGFSLNT LTLCGLILAIGLVVDDAIIVVENIEKYLGRGYRPLAASRAAMAEITAPIVTITLVLAA VFVPVAFIPGMTGKLYNQFAMTIVFSFVFSAFNSLTFSPAMARLFLKAQHGETRFFLF RWFNRLMKWLEDSYDSVLEFTAHHWWTIVIPSIGLLALTGWMVATRPRSFAPAEDQGY LIVNIQAPDGTSREATSRIIKRVEKIAKELPGVEHVVTLEGLNILSLTNQSNSGIIFL PLIPWRERRAPELRSGALTRVLQEKLASVREAIVMVIEPPPIRGLSMTGGFEVMIEDR AGKGVEALQRVTDQVLAAARKRPELAVAFTSFSARVPQLKFDLDRVKARRLDVPVSDV FATLQTNLGAYYINDFNLFGKVWKVLMQAEGEARRKPQDIAALTVLNRKGEKVPLSAL GEIGYKLGPIEVPHYNLFASSKINGVPAPGFSSGQAIEAMQQIAAEVLPEGFDYEWTG TTFQELKTGNQATYIFALSVVCVFLFMAALYESWIRPTVIVLTVPLAMFGAILGLWLF DMPLDVFGQIGLVMLIGLETKNAILIVEFAVEMREKRGMSIIESAKAASRERLRPILM TSLAFVMGVLPMARATGAGAGSRNSLGIVIAFGIAVSTVLGRFVIPIYYVLGERIGDY LARLRGADEEDEDEAQLPGHAGRPHHVHPSGGHPQPAPDGNGSHPVASDGNGDGHPGR EPAAQDRSPEDRRADA OJF2_RS17190 MNGVASSSTPRRVKWAGPVAACVVLAALGGCRNQGAPPAPAAPT VGVVPSRRMDVPIESITNGTTRAIEEVVIRARVRGFLTERHFKEGTTVKAGDLLFVID EEPYKVALKSAQARKDEAEASLTKAEKSKGREVAAAKVQLDKAQLHLAVVEEGRMRAL MARQAGSREDFDRAEAERKKYEAQVAADEASYQQAEADYEVGILAAKAQVEASLAAVR DAELNLGYCRMVAPITGRIGEARVKIGNLVGPEATGGGAFSELATIQQLDPMAVDVRV AGRDLERATGWVKRGLKATLIRPGLGVNQEGGEQGEWYFIDNTIDQTTSTFLAKIKFP NAAGSILPGEYVRLRSVVEHSQGAVVVPEQCVIETGAGPVVYVVDQDGKVESRRVEAG ETYEGVRIVSKGLDEGVQVIVEGLQLVRPGIPVKVEPANLAKPVGEKLTATDAASGRE G OJF2_RS17195 MTRAVHGPAPRRRDASLTREAILAAATRRFAQRGYEHAGVREIA SDAGVTAALVNRYFDSKEGLFAEVIERAFVSKIRFEDRATLAEQFARLMVYNKDDEPD DERTILLLLLRSATEPRAAEVLRTNLEDRHLKPLAAALDGPDGAVRAALVMAHLAGFA MIDQMIAPASLAGADRERLVALLTEGLSVYIDPPAPSASRDTIPARGGRRRAPA OJF2_RS17200 MSEIYVSTDVETDGPIPGPHSMLSFGSAAYTPDKRLVATFEANL QTLPGAEGHPDTMAWWRTQPEAWAAHRENPRDPAEVMPEYVAWIKALPGKPVFVAYPA GFDFLFIYWYLIRFAGESPFSFSALDIKTYAMAVLGTEYRNATKRNMPKAWFDKLPHT HKALDDAIGQGALFCNMLAANPRKK OJF2_RS17205 MKLATLDLLPSDVSVLLLLLPAYLAAILAHEIGHAVAGRLCGYV VTSSGSGFLRPLLVLDVRGTRFYACRSRPLQGMTFFWIPQLVPSRFRLACALGGGILA NGLLAMAALALLAGTPWCHGLWQVTFAVNAFAAVVSLIPLRFQLGQMSLRTDGMLMFE AIRDGTVSFPVPHLIESSLDLRPHLEAIGDSEGVRLTLLNATVGYLELGDPARAGAIY REVESRPPAEVPAIRAWQSLVGGMLATRLGDRERASLLVDAAGGEYRALAHAAGSFLV SLHRAELKAADGDLAGHLADLDALASGEAASRHPSLRTRLLAARLESEAIYSDVAEPA ALVEGYERLGKVHVTPALDLRFYRAVARACLKRGDEAGAERAHRRALAAVKSLADLWV GPQERAHFLDVQAPLLAEIRENFEARGKVDETAALLAPLEAPHPAASVRAESASEREA RLRRRGMRVLGLNVIVIFLAIVGLLVTTPSPEGRGLAPIVVPVLMIAMFTGLALVYAA FHFTIGRFIPALRRSGGAVILILALAGWGGWLIAPVLFLLDGGLPSAP OJF2_RS17210 MNTPPLSRRAFGLRIAELGLAGSAVANGLPAWADMPRPLPNPVV AVPPEMRPKPGLILVSDQQLLDLRDPDKPVDISLSSTPQVATLRQLCEQAKAGHGRKI VLAFDQFFEQYRPGQKGKPRTLTPDSDAYIECLAKIGQTLRPYGLGLELSLLSPLEIG AAYAKATGESGRWVQYREGYRNPRSGRFSVSLWQQLRWTNNKGTIELARDSVQAYAFR ERQVGGGRFYHVAPEQIVRLDHPLVVEEDGEGDEGQGGRRRRITVLGEGGDQARGLDR VLVVVRYRTPEMDYFSDRALPYLKGLVDRYHAAGVPLAGLYSDEVHIQQDWHYDAHHD EGQLAIRYLTPNLARRFAAKYGAEFEDFEKFLVYFAYAQHAFDPTLDARLPALHVLAP NPDGVARTALLRRRYFDLLDRTVVDLFVAAKRHAEGVFGRELEATAHATWAESPTIDF WSPGDGRPMNPMKYEYTPNFLWSNTVHQASAACDDYFRWGEFLTGGGTDHAEGGWSDR DYYGLALGCSLGITNPNRPYAYAAGWGWPAAVGERYEALQAAYGVGGHPAFQAIAEKR GRDVEVLMLYPLSLVACEERFGSWMAQYGYATYATPEVLLTRGKLTDDGAILLGGRRF TTLAILFEPLPPAGLLPLIEDFVERGGRLVWSGPPPRVDMDGTAVLDRWSRLIGAGAR HFHLEGQAAAGSVVEFTDALGPVPPQTILTDFLVDQVHPIDPAEGSGATVVARVAGRP VGLHRAKGRGTVTFLGFRPRDDQSASLGHESRTWFEVLKALGAYPKSREDAPTNDNPS VVSRESPHLATRFPNGTIILAAHYRSHVESWGGGFQRDEAEDRAAIARNPLPPDAVEL DERWVGGHRVTYRGRLLVAFRVDDSGRLIAFGGQATGGIRIDGREHRLADRPLDLLAF APVPEPRRVPGGASMMIWLGNQGESEVRIPAPPGLNAPRVYQQGAEEGSAGAEVPSSV RGGVLALPGRHDFEGERLLYVVPG OJF2_RS17215 MSDQSAPRPWEVVYRRILDGFTEHELEEFVVRHLRGLAKDTESL RLEVITTKPSLAAKAFDVVQWCEQRGLMDHLASSIDLDRGAAVGQSEVVRLLRAELRY VLEEAGRRAGRPLPDYAGLEGSLVKFILARGLTRSLTRLDGEEMERRRARGSFEELDV LPSDEGSDAAVQVSRRERAAAVRERVARPIVGWDEVAAGLKHAIVLADPGYGKTTLLL AETARRCNEAIEALSRPEAASTSAPFGLFVRALELGEKLPADIHSPRGLDSLLDMIAT RLGLDPSGRRWVGSRIREGACLVAIDGLDELPPGLRRRLDAHLATLVRQSPEVRLCFS SRFAGFVSPPVGVAAEDHFEILALDDLQMDQALERWLAPEVPRDRDAVRRGLDLHPDL RELLRCPLLLNLACRAIQRSVSIPRGSIPRWETSGDLLDHFLDDAVQRWTDPASWAYP GQEREIPTRDQASRLRPFLASLALRMWRESADRTIWDRESVGAYVDALRPQFPALGQR PDLLGDLLKAGVLVPLGPDTPDTALGFAHRSIGEFLAACALASEEVAETLAAHMWNPS AERVIVFLAGRVRDPSALLDYLLKKERTDCFAHPRALAALCLAELRKSALDGVRGLVD RISSDVLHLLLNNHQCFRTYRHVEHLWRAVPPIFLANGSVRFLLLREFSEDEAQEPLR ILLPDEPTQEGEEERPFAELLIQAFDHWSSSVRMFALEVIRVIGARVNRPDVICALSR MLEWPSDGGQELLTAGWQTAMASLGATFLDEAVQLELAKILEEPSERALERGNERISR IWRGPDYTGVPELWMAGAKYYACHAIEDLAKRARGTRFAHPSFVDRLGQILSHSEDSF VRSWTLETAAALGQTPLPEALKSPLRALVRDPVHRVKVLKTARSLPDLATDREFLAEV AEILLLGDLEEETRAEAVSTLAELRRESGDAMDALRIERLTVDPSSRVRIAAIEGLAI LFARPVADEDLERLAFSLEDEDDSVRSKAVEVLGEVCRSSRDPRYFDRLRSAAMQGPR YFESYRTLLRLADNLGRLDLVDEIIEELEGPGLASSILEHWPIEELAAELAMFLDDAD ASLVRFLLTLKELRGDEDLRQRSSRLFAALSWNEAVLGHLYRRLVRSAAEPARDEKTL QVLDALASPHSRERETWRSILRVVEDLSHDSNPAVREYAASILGKTGPPDRKLRDSTS LALALACEETQRREDALRTLAEATEPDLSDGVIRGIFRVFEGTGIELRAETAQVIGRY HELGIRFFMDAGPEGRIWTARRVSDLSGP OJF2_RS17220 MIRFLAPSLSILPLAAGLQVPAQDVGAAREKHVQRVLSVRFSPD GRLIAGAVCGKERVRGWYVESGRVQVWDAATGNPVRALARPAAETLSGTGNWTRAIAV APGGKAVAIGRDDRTVQLRDRETDAELAAWQGPAEVDVLAFSPDGKALACAYRGNSER GAELSFLDLATRKPRWRETIPRSSITAIAFSPDGARVAVASLEHTHARGGPSPVAILD AKTGREERTVRGGPEGARALAFSPDATTLAAAYAVGPVLSWDARTGVQTRALPVRSPG RVAAVAFSPDGATLATASLRDRIQVERPGNLRLWDAHTGELRRELTTDADPASSAAFS PDATSLAIGRDDGTVTRIRLADEQGR OJF2_RS17225 MGSEEPSFRSLPRDERRERILVAAKRVMLEKGVDAASMDDVAAR AGTTKPTVYAHFKSKDELFAAVVEYIRGLFLVKLRGPADYADEPAEAVALFCARFLEL VSWRDAVGFQRVALAAAGRSPSLTRAVHDTMFAEGCRALAAYLRGRKLARNPERLAEL ILWATIGGPFIRLLYGVEAPAEEFPDPQRLGTRVEMKRIREAVAVFAAGWNP OJF2_RS17230 MIVVTTPTGQIGRRVLAGLAAAGEPVRAVARDRGRLEAGHPPGG IEVVEGSHGEPAIARKALDGAEAVFWCVPPDLSAPDAREHYLRYGRALADALAAPGST VRRVVLVSSGGRGRAKVGAIAAVHAVEELLERTGVHLRSLRAGAFMENMLHQLEPLRH QGAFYYPIDGDFAVPVCATRDIGDIAVGLLRDRSWTGQAGPAVHGPADLSMNDLARIM TEVLGRPIRYQQVPEAAYEASLVEHGASEGFARSLVAMWREIAAGLHAADPRTPESTT PTTFEAWCREVLKPAIG OJF2_RS17235 MSSASEFSRRSLLRAGLPAAGGLIVAGGGHAASGGEEPEPGDIP RLEYVYTAHVGIAKPVDFGDTPDGHRRVIPITGGTFEGPKIRGLVLPGGADWNLSRSD GATVVEASYYMKTDDGVVIRITNAGVGGPPLGLRFTTPRFEAPKGKYDWLNRSVFVGT LGFEPGSKEPIRIRVFRVA OJF2_RS17240 MPRRVSFALLVTLSVLPARPATAAGDPPAFTRTEDVVYGRRDGM ALTLDVFRPAKPNGLGVIQVISGGYFAAHEMIQPGSIRPLLDRGYTVFAVVPGSQPRY QVPEIEKNLNRAVRFIRHNAKAYGIDPNRIGITGGSAGGNLSLLVATAGDAGDPKARD PIDRESSRVQAAAVFFPLTDLLNWGKPGVEHVGTQGHPQPFKAAFDHREMDRAKGTAE RITDPEKLRAITKGISPIYAVTPDDPPILLIHGDRDGLVPLQQSESFLKALRAAGVKA ELSVKPGGDHGWPGMEKDTERMADWFDTYLKAK OJF2_RS17245 MNGGIPGWSKALLSGLVGASVVTLIHETVRRVHPDAPRMDTLGR RAIASGLEAAGMEVPPEDELQAAALGGDIVSNALYYSLVGLGSPAGTLARGVGLGAAA GLGAVALPPVLGLGGRPSARTPQTAAMAFSWYLIGGLAAALAHRGITSMTRPG OJF2_RS17250 MLIPDAKLVEAARGGDLASFGLLYERHYRMAVGIARCRLNDPHL AEDAAQEAFAIACRTIHALRDPRRFAEWLGTICRRAASRLDADRPPHEPLDEAPEGAD DPGLRTLRLQVREALQSLDETAREVVVLRHFSGLSYEEIGRALGLSAQSVHGHLQRAR GKLARALDPHDPSGAGARS OJF2_RS17255 MTTPRPDADLDGWLKAGLGEAPKPDFEAWRARHAGDLAALAAPA DARPRRSRRALSFASRALAASLILAAGLYASWPGGDLGPKAMAGTIPGIDDPRAITWT TVFYNRATSLDGRRTWIQQERRLNAYRHPGQYRETFLDEAGKPYRVDITDAGAGRTLA LDLKAKTAVLKMPVSHPDARGPFAWVGEALRDRIVARTLRVKSVSLVGRKELDGVQAN VLRALIDRGDDLGYARHDFLFDAASKRLVGIWIPNENGFNLEDAPDRDKPAEEKISMW MPLGYWQREIVVDAKVKDDDFSLTPPAGFAFKAIAKPTITEAEMVAFLGAAARFNGDT FPDSPYAAFDQAKFNAASMKPDESRTPAERELIKLHDAFLAREVYRSPVLQFVEDHAE PRSFRYVGAGAKVGQADRIVAWFSPRGTTRHRALFGDLSVRDVEKSDLPMELDATATP OJF2_RS17260 MRRDRIMPMLALAFASLAAPARAEDGKRYLIVHADDAGMSHSAN RATIRAMEGGLVSSCSVMMPCPWVKEFAEYARTHPEKDYGVHLTLNSEWPTYRWGPVA GRDKVPSLVDADGYLHGGVEPVAKGAKAEEAAIELTAQVERARAMGIPLSHLDTHMGA VVTRPDLLEVYVRLGLKYDLPVLFLRDTDGPIGQEYPAIRERGKALLKELDARRLPVL DNLLQFYGGDSHEARLATYRKALRSLPPGVTQLIIHCGYDDEELRAATTSAPRRDGDR RIFTDPATAAEIKELGIEIITWKRFREMARARPAAARP OJF2_RS17265 MRARPTARAEALEAADVESEGSLTRFAQELYSPEQAVREEAARQ LWLRFSGRLAAVVRRRLDPRILRRSGEEDVVQSLFASFFGAPPGPNGPPRDRAELWGR LVRFTMCKVANTADRHRAQRRDVFRERPLEAPGEADSRRGPAEPEDARALGPEEEAMA REEFQRLLAALPADLQLVFARRLEGYTNGEIAAEIGRSERMVELKMKAIRGLLRSRLE GPGSIARRDDATR OJF2_RS17270 METVEGQPLLEILRAVEAFEDGWHRGEPIRLEELLAPWRGPARR ELFAQALGIELHYRRRRGERPTPDEYEGRFPDLAAAVQSEFGEGPRTVTHSAGSDEGT ERDSSDLSASASPGPGPRRIGKYEVIRILGGGGQGSALLARDPDLGRRVVLKRYHAGR GDAPAEIEEGRALARVISPYVARCHGIERIDGEVYLVVEYIPGRSLEEARRAGALDLG RTVEVIARLAEGVAAVHARGLIHRDIKPANVILHDDGTPRLVDFGLAAHLGSDRLREL GGSPPYMAPEQARCDSDRIDHRTDVFGLGALLYKMLAGMAPHSGSTAAEILRGATRGE TIPLRQLAPEVPPAVEAACMKALAPAPENRQSTALEFAADLRRAIAHGAEAPRRPSRR PLAATAALLAGLAVAALVWARPGTPTAATPPGDAPPAPATAPEPAAGTLRAEIGVEHF KELGDARHVERSGTISRASLPASPPRLRDLVRVHVTLSRPAYGRLIALNPDGTVQPCL PAREVPADAPRTSLDFPEDPRDYFGLTDGVGLQAFVFVASDRPLPAFDAWKARVPGDL AWSPVHYEGFWAYDEAVPSAAGPGTRTLRGDILRRESAPEALVGLCDRIRRAEGVTLV RAVAFPVEPADTVAK OJF2_RS17275 MKRPRRSLAAVTFGIALGAFACGMPAASPGQDPPAGTPPAAKPG QMKPRDEAPPDDAEGCEEQARALRGRAKYAEAEALYRRALDIRLKSQGPDHPGTAGAC NGLASVLRDLGKFDEAEAMNRRALAIRIKALGEGHPDTALSYNDLGVSLFLLAKSAEA EAMFRRALDIRRKALGEGHLDTAESYNNVATFLQSQGKYAEAEAMHRRALDIRVKAAG EGHPLVAASYVNLASTLRILARYAEAEAMARRALDARLKDPGEDHPDTAEGLNLLAEI LHDRARYPEAEAMHRRALAIRRKVLGEDHPLTSMSYNNLAGVLIVEGKHAEAESLFRR ALDIDTRILGADHPDTATDRFNLSETLRVLGKYAEAEALNRRALEAILKSRGDGHPLT AMAYSNLASIRRDQARYAEAEESFRRALEIRRKALGEGHPDTANAYINLAAVLYDEGK YAEAEAANRRALAIRLKVVGEDNQDTAMVYNNLAQDLGAQGRHAEAEAMNRRALAIRL KVLGEGHADTALSYGNVGHALFSMGKFADAEAMHRRALDIRRKALGIGHPAVVESGNN LAAALRGQEKYAEAEALLRESLAISLKALGEDHPITATCCNNLAASLAERGHNAEAEA MHRRALAICLKALGEDHPATAACCNNLAQGLAAQRKYAEAEAMSRRALEIDLKALGDG HPGTADARNNLAFALDRQGKRGDALEAWEAAAASFEKARALGAKGLDSAPTASRSPLP PLAAALARAGRPREAWARWEQGLARGIADEVAGRAARPLTPEERGREADLLGHAQAAE ERISKLRSVKAPSAEQGRQLEELERRQGELRRQALELEQQFEAKYGALAGRPASLEDV QKTLPEGTALIGWVDQGPYHWACLLRATGDPAWIRLPGSGEGGDWTAEEEGRARKLRA ELKPETTRGNARALAEAVARQRLDPLEGQLGGIRRLVVVNSPGLDGVPIDVLLAARPG RPWDGIAVANAPSASLYVYLKGRPAPRGAATLLALADPAYPEPKGPTPAPEPPGEGLL IARVAPLGNAFLNGMRAGDVLLSYGGTTLRERADLKTVAGGGPAKVPVRYWRAGVTRE ASVAAGPLGVQVDPRPARAAVAAGRAAEGVLVGMRGGSYTRLPGTRREAEAIARLFPA EAASTVTGERARESTVQELARSGKLKSFRYLHFATHGESDPLHAYGSALILAPDDAAS ADAPALDADGRITAEQIARTWDLDADLVVLSACESGLGVAAGSEGYLGFSQPLLARGA RSLILSLWKVDDQATALLMERFYRNLLGKRPGLSAPMPKAEALAEAKAWLRGATAADV GEALAALPRGEIVRREAVAPKRADAATPYADPTYWAAFILIGSTD OJF2_RS17280 MSKNSNLRVSASIRPNHRELRRGRKAVLGMEAMEPRQLLSGIDP IAAKYAALGGASSFLGKSTSAEIATSVPGGKYETFQGGAIYWSTSTGAHAVSKAVATE YAATAKETDASGKNLQRVLGLPTADEANVSGVSGGRMATFQGGVIYSSSGTGAHAVSE SIAAKYKALGGPAGGLGLPTGEEVAIAGVSGGRMESFQHGTILWSGATGAHSVSTAIA NEYLATAKETDASGKNLQTVLGLPTADEASVAGVSGARMATFQGGTIYYASGTGAHAV SESIAAKYKALGGPAGSLGLPTGEEVAIAGVSGGRMESFQHGTIYWSSSAGAHAIAGS IAAKFAALGGPSGSLGLPTADEANVSGVSGARSQAFKNGTVFWSATAGAHSVSTAIAN EYLATAKETDASGKNLQRVLGLPTADEASVAGVSGARMATFQGGTIYYASGTGAHAVS ESIAAKYKALGGPAGSLGLPTGEEVAVAGIGGGRMQNFQHGTILWSAATGAHSVTTAV ANEYLATGNEGDVNGTNVKAILGLPTADEASIAGVTGADRATFQGGTIYWSNATGAHV VYGSIGVDYVAQGGPAGPLGLPTSDEVNIPGVAGGRMNTFQHGAAYYSNATGARAVYG SIGGEYLYQGGPTSGIGLPITDEVDVPGVAGARMNTFQGGTIYWSNATGAHVVYGVIR DEYLHQAGPASGIGLPITDEVDIPGVAGGRMNTFQRGTIYWSNATGAHVVYGVIRDEY LRQGGPASGIGLPTSDEMDVPGVAGARMNTFQHGVIYWSNATGAHVVYGAIGDRYAAM GGPAGVLGLPTSDEMNATGGRISHFQNGDLYWSPSTGVQETITIHGVPGGEAQTDDWS CGANSAARFLQYYGFDVTYPQVRGYIEHNTDLISLVHMGTRPSSLLDTLRLFRPSTRL ESRVSVNYGDGGLDHVLDILATGRPVIALINPTGQSHDVGDFGPFTAGHLPNDLHWVV LTGYDRHDGTITYMDTTGSPVTESFGAFYQQWNWSAGGSVGDFLTGLLGVAERTILY OJF2_RS17285 MPGAPDPSGRKARTGAGGRPRAASRRAVAPSWEPLESRLLPSCV VSNIWPTNQIPYAIDPSIAPAQAAVIAEAIDEYHDMTTVQWVPRAGQASYVLFRSEGY SLVETASVGYNQAVDYVDVSSAFDASTGVPLMLHEMGHVLGLLHEQQRYDRDDHVIVN WQAIDPAHDDAFAKVSNPIFDVGPYDVSSIMQYDSGAFSVGGRPAITRRDGSLIDQAT TLSAEDVSTIDGLYPAPAGQAQVPRLVAAAGASPGEIDVSWFDTNGGRATYTVVRAAP GQAFRPIATLPAGSTRFKDGTAAAGTVYQYRVTSQIGQGTSPASRIVSAATIPAPPAG VAAAFEYPGPVTLSWSDPSGGLAGTRIERSLDGGPFSVVATLDPGATSYADAGAGLGA AGTAEYRVAAYYGQQVSASAPVQVVVSLQYPAPADLSAAAQGPSTVRLSWADASPRRV RPSYLLGSLVERSLDGSAFQPIAAVAAGTASFVDPAVPPGSLASYRVVVNGARGGTAA VAVAMPQAPPIALTSSLLPDGTGALTLSWDDPTGGAATSFVEESLDGSSFATIATLGP GTTAYAVPGLAASGATYWFRVHAAILGPSSATPAWVSPDSNTVAVTPAKAGGGVGPAG GGGEAQAGGGVAAAPPSVLGVVGVTRRDGKVGAVTIAFSEAMAPAPVANPSLYRVLGG VLRRGRLAFTRKLIIGSVRYVAATHRLTLTLRAPYRGPVQVSVSVVPGSPAGAGGGGG FSQVVR OJF2_RS17290 MSSIVTRSAFVPVAAVILWLAPAAGAQTAGTGMPSYERIQDVVY GRKHGMALTLDVFRPKAAPANGVGLVWVVSGGWYSSHDWINGEYLKPFLDRGYTVFAV VHGSQPRFAIPDVLQDMNRAVRFIRHNAKAYGVDPDRLGIFGGSAGGHLSLMQGLAGA AGDPKAKDPVEAESSRVACVACFFPPTDFLNYGEPGKDALESGVLKDFQPPFDFQEAD PASHKLVPIADRARRKEIMSTISPVTHASSDDPPVLIHHGDADALVPIQQSELVVGKL KAAGVEAVLVPHKGAQHGWGGMEKDLEAFADWFDAHLRKGR OJF2_RS17295 MARSDLLINLVKAGTQGDQSLFRRTVEAVIAEERGKNHTVLADR LADAASSVRQNGHSKHQPTLFPISNGIANDLYFETTPQRSLEDLVLPKATRDVCLELI EEQHRHSLLRSYSLEPRNRILLAGDPGNGKTSLAEAIAHALMVPLVVVRYDGLIASYL GETASRLNKLFEYVRTRSCVLFFDEFDTIGKERGDVHETGEIKRVVSSLLLQIDALPS HVVVVTATNHPELLDRAVWRRFQLRLELPRPTIRDVEAYFASVEARLKFSLEISPKVL ADRLQGASYSELEDFVSDISRRYVLSLPDANIKKIVQQRLVQWQDRFDAKRTS OJF2_RS17300 MAVHPLLFFPPPAVQPPAKRGGGGGKIKTPTPEEQRARLDAKFR HIAKSFAGAQTTSQGLEPEQVVVFETLGISVDGLAKAAAAVPGLEWLSEIDLEDVAPG EGFEDAKEPAAALSCRLYALMSNQQAITQLIGLWGNWLANPGERAKNNFGPFKTIFIH LKDIRRWGVKDRLAETQVVEYWKERLEDEDPKKSIRFEVELWCRGEESKRRRAYDHLR RLVTSAGGQCVTETTIAEILYHGVLVDLPREKVRDTFDSIMSESYSQLLRCEDVMLFR PLGQSSFPALEIEDGRVEPAVADSRPLPAGKPVVALLDGLPLEHHDLLEGRLVIDDEN DHASRYQARQQQHGTSMASLIAHGDLGADGPPLETPIYVRPILVPFEDFSKNVVERTP DDRLLVDIIHEAVVRIKGTGDRKGEAPTVKVINLSFGNTWQPFDRQMSPLAKLLDWLS WEYNVLFLVSAGNQSQTIDLDAENDELNGMTEEEFRAKALEAIRQDQLKRRPFSPAEA MNVLTVGATHADHSSWDQVGNRIDLLKGARLPSPLSTVANGFKRSVKPDIYFPGGRQL YQKDWRGPSFKVAHSSLPPGLRVAAPGARAMELGRTTHSRGTSNATALASRTAGLIHS NLEKLRSTPGGDLLSDEYTAVILKALLVHGASWGEAGDIIENVLGGGLTKWQDKLRLK SRFLGYGEVDPERALFSTDQRVAMLGWQSLTCGSAHVFRVPLPPSLSGKKVKRRLTIS LAWFSPINPHHKDYRRASLWFSSNKDVLALDKKDLDYDSAKRGTVQHQIFEGDTARAF SDGDAIEIKVSCAEDAGSCLEKIPYALAATLEIAEPIDLKIFREVEARIRMKVGINPT GT OJF2_RS17305 MLATIILDRFRQDEINDVARAMDKFCQPGDTHLFASSLVYCFSD PVTHEILYIGLTTNIGLRFRQHTGIIECDPECCKLDVPTRRANGVILILPGELGDLGR KLGSDHRIFRNALGTWRTQVGSTCEGLGGIGRLPVHVLRPAQARSSPWVWGKAPRTDP VIPTVGRRGW OJF2_RS39245 MTSSASLRGIVRGRTIELESESGLPDGQVVSVTLTSVGASSLAG LFATPPHKLDPRLAEALSKVKDLPPGEGLRRSAGAWAEDAAELDEYLEENRRRRKIGR PEIEP OJF2_RS39250 MKFLLDTDICSANLKGHHAVCSRCAQYRGRLYASTVTVGELFTW ALRSKAPPNRLADILAFLSEVTVLDLDWAVAWKFAEIRALLFDMGSPPPDMDLVNAAT ALVHGLTMVTHNTQV OJF2_RS17315 MSLEITDDLIARQSPEAQAIIRLLLARIAEQDRRIARLEAELES LRKTPQNSSLPPSTQHPHARPASREAKSRRKRGGQPGHRKHERPLIRTEDCQAVVTLM PGGCRRCGTRLSGVDPEPLRHQVWELPEIKPVVTEYQRHRLSCPRCGESTCAELPAGV PRGQSGPRLIAFVATLMAHFRQSKRRTSLFVTSVLNIPCCPSLTVKHQRIATRALQPA YDRLVAALPSQPHLNGDESPTKEGTTKAWLWTFVAGTFTVFALRGSRAATAIGELLGE AFAGVMTCDRAKMYWRCGRLQWCWAHLKRDFQALVDHADPQVRRLGHDLMRPTRELFR QWSRCRDGTISRGELGRALAPVRHRVEALLLRGAFSGNPRLTGMSRELYDHRDWLWSF LDVDGVEPTNNAGERSLRHAVIWRKLSFGTQSPHGSRFVETLLSVIETCRQQDRNVLD FVTHAVTAHFRGETSPSLLPGP OJF2_RS17320 MAIRAPENRPEHGVEVPVSIPPRPLAAMIALALALGLSAAGQEP RPDRDLPDPFRVKKEQVEAGNPLARYVELKRLRPRYLESPMWKPMYPEIELMFEEFLG DPTAGPRGLDLIYGDLKLGPPPEKSPIDACRPAEAAGAILDAVGDRRVVIVGEEHHLP QTRVLMEPLLRGLRGKGFRYFAAETFHREIAATQEAGYPTSKTGTYTADPVFASGVRE AIRLGYRLVPYESIPGPDVPAAGRQSARESGQARNIKGRILDEDPHARVFVWVGRGHA LKGSLGPDKMMALHLKEISGLDPFTVHASRHVEAIRPEHETPLYRYAAARRLVARPTV FVRRDGRPWSEHEGFDATVFFPRVKLERGRPDWMARDLGRIPYPIPPALLKGKGLRLA QAHYEGEPDAAIPVDQVPIRPGQEVPALMLPRGKLRIRVVDEDGSVSGPVSVEI OJF2_RS39255 MSDSTLRVLRLVCPMGHEIARAFHRDNADDLAWAAYNLGRRVSS TLEGHFCLECRTADLTLVDQAARPSQEE OJF2_RS17330 MSDAEAGPGPDDIERAEIALEVGERLQRGEDVRPEEYPRHAEVV RELLPALRMVCELAEPPPPSLPGGLSRLGEFRLVREVGRGGMGVVYEAVQEPLGRRVA LKVLHVSGRFGERQLRRFRNESRAAASLDHPHIVPVFATGTAEGIPYYAMRFIDGRDL SEVIRRLRAGEPAPGPLAARGPSFAREVARLAGQAAEALAHAHENEILHRDVKPSNLL VDGAGKLWITDFGVARIRGGLDLTATGEAVGTPRYMTPEQAAGRRAPPDPRGDIYSLG VTFYELLALRPAFEGEDRIELLGKVARGECRPPRAVDPSIPRDLETIVLKAMAVEPGD RYPTALEMAEDLRRFLDGRAIRARRPGVAERAARWVRRNRGLAAAAATGLALLAAGVG LAGLQYTRLLRIHNGELRAAIDDANRHRRRSERHDLAAQLRLAQQAVDDRDFEAAQDL LDDVGPGSNPGVGGEFAWRYLRRLARREVVRLPERPIYSHAMAVGGDGLASATSHGDH SMVIWDLRSERATAAIEDESNNLGAPVFAGDGLLVAMRCPASRACSHRMGIWDARTGG HLGDLRPGATPLDDPSSDGQWTRRLGGGHLVASVLELPSGRLSIRIWSLDSAPRESLP LASLEDLDAVAFAEEGPHFATRERGRLCLRSASTGEVVRDLGGADGGALLALSRDGRS LAVAPPGKAILVRDLGGPGGPAAVAIPAGARVASLGFDPTGESLLAVDEAGMCRLWDR RSGRVSAFAPDDLDRRRTKVPFDFSPDGRLLAITPVGNPGGTQPTVIRDVRTGARLGV LPASSYGTENHAFLPDGRSLVVGGRRSPRIWHYEPEPEPPQPAGHRDEAWAVAYSADG RVLASGSDDTDDRQTIRLWDAGRSRPIRGWYGGPGTVTSLAFSADGRRLLSGHLAPGA NLRVWDVETGRLVRPIRAHPQGVRSLAISPDGTLVAAAGGIREDGAGDWKIRLWHIDS GRLVRELDGHANPVRSVAFSPDGRRLASAGNDRHVRLWDVETARLLRSARGVDDLAAA AFAPDGRAIAVANGEGAVTVRDAESLEARMTIRGPSDRLLGLAYAADGRSLATHGTSG AVRVWDALTGQPLLVLKGHKSQVNGLAFAPDQSSLASCSHDGEVRLWHAGPAVPDPDG PPAAAP OJF2_RS39260 MDSKTVDDAAETERLLKEAAAGDPGALGILLERHRERLTRMVAS RLDSRVRARIDASDVVQAAMIEAARRLADYERERPLPFYPWLHRLATDRLADLRRKLQ AKKGDPRREQPIADLGDSAGLLVDRLVATDTTPGQAFAREERRRRVREALARLAPADR EVLVMRHLEDFRIVEIAGILEISVSCAKMRYVRALERLRGALQADDSGTMP OJF2_RS17340 MNRSANHRDGRHRTRRATSRRRNAQLRLTPRADGLEDRTMLSIS ILDLSVLEGNGGPVQVAGSFEESTETDYYQLQGAAGRTIYFDSTTPANTGGSWSLYRA DGAYVGGTGITGDMRLTLPAAGNYTLAVAGPGGSPALTDYSFRLFDVTAPDVALSSNF NQVESGTLAAGQSRTITYTAPAGQSLFFDNLDDYDSDNVAISLTTPSGDSIFNAYSYT YDSTAPILLPDAGTYQLRITGTGDYKFKLSTLPAAATALTIGATVQGTVPDNETDLFS FTGAVGQRLSYDSLEASANVDVYLVDPTGDVVSLNQSANADRGVFTLTEPGRYLLAIR GRVVGAASYKFRLVDAAQAPTVAYTPGAVQAGTIDPTETDFYRFTAAAGQRVYFDSLS TSASGGSYWAIYGPNDQALASNYIYGSGSGDGEFVAPAAGTYILAVAGQGGSAAVDYS FRISETTDSSAALTLGALTSGTLAAAGEQDAYTFAGSVGQRLVFDGRSGAGIYASLYG PTGAQLFSRQVTDDNAPVTLTQSGTYRLVLDGSGAATGAYQFRLVDAAQAPTAAYTPG TDRSGTLDPTTETDLYRFTAAAGQRVYFDSLSTSASGGSYWAIYGPNDQALASNYIYG SGSGDGEFVAPAAGTYILAVAGQGGSAAVDYSFRISETTDSSAALTLGALTSGTLAAA GEQDAYTFAGSVGQRLVFDGRSGAGIYASLYGPTGAQLFSRQVTDDNAPVTLTQSGTY RLVLDGSGAATGAYQFRLVDAAQAPTAAYTPGTDRSGTLDPTTETDLYRFTAAAGQRV YFDSLSASASGGSYWAIYGPNDQALASNYIYGSGSGDGEFVAPAAGTYILAVAGQGGS AAVDYSFRISETTDSATALTLGALTSGTLAAAGEQDAYTFAGSVGQRVYLDGRSGAGI YAALYGPTGAQLFNQVLTDDARLALLTQSGTYRLVVDGSGSAAGAYQFRLVDAAQAPT VAYTPGAVQSGTLDPTTETDLYRFTATAGQKIAFDALTNTGDTYGVYWSLYGPAGQLL AENYLYGNGSGDGEVLAPADGSYILAIRGLGSATAVSYSFRTNVTGGAGTTDNFGVLR TGTITAGEVETYTFSATAGLLVYFDSQDRDGAPLVATLADPDGNNVATAYVSSGDYGP YRLPKSGTYTLKIQGQYATATGSYRFRLLNLGADATALTLGQSVAGSLPSYETDFYRF TGSPGQRLVFDSLSAANSSIGYLLYNPDGSAATSNNAIYDSAPFTLTQAGSYFLRFET SSASVEDYSFRLIDVAQSPAQAYTLDTTKSDTLDPGLSADLYRFDGTAGQRVYVDILS PASDFTGRWYLYDPSNAQIAGNYLNASYDGEVTLGATGRYVLAFLGGAASGTLDYSFR VTTPEDSTAAMTLGSAVSGTIAEVGERDAYTFAGSVGQRLIYDGLSGDPSLQPHLYSP SGADLTSFLYYPYGVSQDTAPFTLTEAGTYRLVVDGSAAATGDYSFRLIDVAQSPAQS YALDTTRSATLSPGTSAGVYTFDGTAGQRVFVDILSPASDFTSRWYLYDPNNAQVAGT YLNAGYDGEVTLGATGKYVLSFLGASTAGDLDYSFRVTTPEDSTAAVTLGSAVSGTIA EVGERDAYTFAGSVGQRLLYDGLSGDPSLQPHLYSPSGADLTSFLYYPYGVNQDSSPF TLTEAGTYRLVVDGSAAATGDYSFRLIDVAQSPAQSYALDTTRSATLSPGTSAGVYTF DGTAGQRVFVDILSPASDFTSRWYLYDPNNAQVAGTYLNAGYDGEVTLGATGRYVLAF LGASTAGDLDYSFRVVTPDTTSTPLTLGERTTGALDEPGEIDEYTFTATAGRKIAVDL LSNLGGSLRATLYDPLGNQVFSTNYQDDVNSLTLIRSGTYRLAIDGSGETTGNYKFRV IDLATAPTPAIGTDKAVVTVVLSAPSTSREYVYYSTADSTAQAGSDYVTRADYVYFAP GLTTRVIVIPIVGDTTVEPDETFTVNLQNPSSGQAITDAQSVVTILNDDTSVTMAIDD VVKAEGSGGGTTAFTFTVTLSSATTQAVTVAYQTADGTAAAGSDYTAASGTLTFAPGE TTKTITVQVAADTDGEAAETFFVNLSSPTNALIADAQGQATILNDDARVSIGDVTVTE GDSGTVQAVFTVTLSSASSLTTSVNYATADGSAASPSDYTSASGTITFAPGETSKTIS VAVLGDANVEGDETFFVNLTNAVNAAFTDSQGQATIRNDDAAFYIGDAAVSEGDSGTK QLAFTVSIPYATTKTVSVKYATADGTATAGSDYNAASGTLTFAPGETSKVVNVTIRGD ATPEANETFLVNLTDPTNATLGDAQAVGTIYNDDTTISVADASVAEGDSGTTTLNVTV SLSVASSATVTVAYATANGTATAGSDYTAASGTLTFAPGETSKTIAIAVAGDTTDEAD ETIVVNLSNPTSAILADSQGVATIRNDDGSLGVVGGTVAEGDSGTRTIGFVVSLAHAT ARTVSVKYATADGTATAGSDYNAASGTLTFAPGETSKTVNVTIRGDVLVEDDETFYLD LSTPSNAVLATARGTATISNDDAAPALSIGSRSVGEGQSGSTPAVFTVTLSTASSKTV TVAYATANGTATAGSDYNAASGTLTFAPGETSKAVSIDVLGDAIDEADETFTVTLSAA TNATIADAQGLGTILDDDSPPTISIGDRRVTEGNSGSTAAEFIVSLSAASGKTITVAY ATSNGTATAGSDYTAASGTLTFAPGETSKAISVAVLGDTLAEADEAYSVTLSTPTNAT LADASATGTILDDDDVPTLSVGDVTVAEGDSGSVQAVFTVSLSAASGETITVGYATAN GTATAGSDYTAASGTLTFAPGETSKTINVDVLGDLLPEGLETFLVQLSSPTNATLADA QGEGTIVDNDGAPSLLVADASVVEGDGGASEAAFQVTLTRASGQAVTVKYATASGTAT SGSDFTAASGTLTFAPGETSKTVRVSVLGDVTVEPDESFTLTLSDPSNASLGDPEATG LIRNDDAALTISDGTITEGDSGSASVSFTVSIAQPSALPVTVSYATADGTATAGSDYT AASGTLTFAPGETSKTISVAVLGDATDEADETFAVNLSAPTNATLADASATGTIADDD AAPSISIDGVRVTEGDSGTVDAVFTVSLSSASGKSIRVDYATAGGTATAGVDFEPASG TLTFAPGETSRTITVRVKGDVAIEADETFTVDLAAPTNASLAVASAAGVILNDDHAAD PAPTVASFVVDDGSAQRSMVRSLTVTFSEEVTVGPGAFELRTPGGVAVPVTVDTRVEA GRTVAVLTFAGGGIVGGSLADGDYRLTIVAARIADSSGQHLDGNRDGTANDAAIFDFY RFFGDMDGDRDVDSADYGALYKARNLQGYLSALDYDSDGDVDAADVAQFLARYRRVLP R OJF2_RS17345 MNRTFGVVVALLVGCSAPVARGDLIYQVVAEQADYTAEVGATVD VRIFLRETATGGESSQIAGAGNGLLTAGVRLDFGGSAAAKVLSAADVFENAGFTSAAT LVKDVTAGSAGLAEDVDFLDPAVQGIEVSPGVAMLELGVFRFTALAAGLTVVTVEDFD LGQVNFVTAGFVSLDDPPSAVGPSTFSINVSRSGAVPEPASVVLAASGLLGLGLWARL RRHRIV OJF2_RS17350 MTWLPLVLSLLAQEPAPLSGTAVDREGRPVAGVEILLAPSRASD GTVPILGRVTTDAQGRYELPAPAADRGPTRRMAPFLAAYRPGSGLVVSITLLKAKEPG GFKLAFNPAGRRTITLRGDDGRPIAGARLAPLKVRPRPANYFLDVLPHELTDRMQATT GVDGRAELTCLEPTTELFAVLATIPGLGTHALSLAEEQCRSEAVTLDLKAAGRLAGRV VRRDGRPAAGAEVAVWSLSGHPSQLMPVRFEAGPIRTAEDGTFRTPPVLLSGVKYRAL VRAEGSRPVLTEWVTPAGHRDGTASLADVVLMPPRSISGRVADRRGQPVAGADVVAGG SGVSTATDDRGAFRLDGLPPGPAMLVVRRDGFRIDGRLVGEAEGPVEVTLARFDEPAA SAMTTLPSPIPVEERRRLARRVLDPFLTRVLAKGKDSPKSWALRSLMVFDPAAALDAL EKTPFEKTEYYQSFLRSELAWAMMRDDPDEAAAVAEGIPQAFRRAQTLVGIAASLPDE SRARKRAIVDRVLLAARAEPEPKWKVWQIGEAAELMLDLGETERARAIFAEGRPIAAR LGADEEALVGYFASRFGRVDLPAALSLLGGLDRAADHVLAIGNLAARIAASDPPGAER LIGRIRGLPDSFGATLRTCQEMARADLPRALRIAREQERGWLRVGSLVFAAYGLPSAQ KAAARDVVREALAELDRGGPVAVMPDSAAFMPLAESIDPALVPEFFWRAVADLAPSDD PSQEYGRGDVLGEALLLARYDRRISAALYEPAHRASAAKGASSGQMTPSEVTLLGAID PLRAIEAVEAMPEPPDLETKGANWSRIILSEQLGRDETISWDRIWGTFSGLGGVLGRR DVL OJF2_RS17355 MTFLTMLLVLLLREPAAASPKSAWRFVGPPAGDAFEHPPWRAMS LGPSRPEDVAERVGYRGSRRRYAQLRYGSPGSTRVTVVVDEAGPEDVDLYVDADRNRR IEARDRVAGRGRSWRLPLGLAIVEEDSTREIPREVALRLGATGRILSVAAVGYLEGTV EIAGRTHAARRTDGDADGSYTGPQDPIWIDLDDDGRWDPAAEQFLYAAILTIGPDRYV VRSDPAGTRLSFAPLEGTGTVRLAVGRRETREHLAEIHAQLIGRDGSAVNIDGGPGVT VPVGEYRLGALTLVLTDPAGGAPWHYGFSERPFDPGLAYHAVGKGAVLDLDPLLGLAL RAEIEEPPGERRPGRPVTVNPRLTTGDGLLINYGHRGSVTSPAADEVTTVRVSLASGD GRVLDAATSGFT OJF2_RS17360 MELTGGQVERLCAAIVEALDEKSLEQLLYFKLGKELFKLVGRGA FKDVVFDLVRLAQREGWLEALVREAAAARPLVPEFRSLGVADAATPRDPARLVEGPVV GIQTLVGLADRHDGATLLAGLGRILGPDIDEGQKRFRLLKKYKVLHDILHFLQFQYLE PIADAVKRFRDDATAYRLLDRYIRQLRDRVADARSEADGLPTQFLEEEWIGSFSGALD DLAGGMKPGAAESSLGAALATLRSLPAEGPRINSALAVMAGQLPLSHLTEAMRQVDGA LRAAQDGRADPSATKIRDGLHDLIQLEPKLGGLVREHLEWQWLDKEIGAGDLTQGATA AERVPRWARVRDRLRALCDLSPQEGWSGEIRTLVDALDAPAAGGDPADFARSFNTFRD VTTERFFSIDDELRKLSDDMLRIAAELDTLLEVLPRDDR OJF2_RS17365 MTTAEPPRDPAEAPPFPSLEAMRAEHAGLLEALPPDGLDDAQVR KVNDFLARGAALGRLLDAPADRQVAQGLLNYWTATLYAESRLTRGGKHTPRPQVPSAL LAAFDTATAAEVAGRAERAVEAMAPDVREAARRVLLRLVRLDAEGGRYAAGPARRDSL GEDDATRRAIDILAEAGAVRVGKGATDREDAISLSSEALTRQWATLARWLEGRRAFRE AARFWAQSGRDRSALLGRPLLPEALAYNDRDALEDEFIRASTSDVVREGRIQNVAIAA LATCLALAVGMASLAWKKSGAASRAAAEAVVAREAADEDSRKARESESKALAASRIAQ ERYEAALKEKQEAEAARAETLKLAETLLRERERSGQLARQLKDSQERLRAAFSESSRS WEAQAAKLRSLAGVAGNKQMKELLNGFVEKIGTAHDRQDSQVQDELRGLEQSLTQKSH LTEISPELWSKYEELSRTIRRQEEDVRPYRSRARPLRPGVSLGLEGSQSGGSLCCAVK GKDGEVSLLTLGFVLDGAGDRVIQPMAFDGGGPEDAVARLSRPADAAPGTAPDKRSVA LAGILPGVEVQNVVPGLGPIVGVADEVGPGTAVVLVGRGSGMKRGKVLAIESDFIRIE RISSVGDAGGPVLTQDGRLIGLLWGGSEDASLVVPIGPLLEKLEVELLPPPAQPGGAG ATPARGGGPGGPPPG OJF2_RS17370 MPLDEGLMRDAEARFRALGSPGAEAVRSPFESLDMRAAPSSAPR AAPSSGGPRARRARELLRTNPAGAMRRRLKRLGIPAGTADEAAGALDRESMPAFESLS PAAAGAGTEEQLGLERIIGRNELLGIQYLDGGQAAARAVARVVLRDGRGNTLGFGTGS LVTPRLLLTNNHVLGDAATARNSIIEFNFQEDVQGRPVPKVRFRLEPDAFFRTSPSSE LDFTIVAVAESSEDGASLADFGFNPLGALEGEILAGESVTIIQHPNGEPKQIALRENL VLKFPEEGDRFLHYQTDTTPGSSGSPVYNDQWELVALHHSGFPRRDASGNILAIDGQV WKKEMGEHRVDWIANEGIRARAIVSFLEGLGDLTDGERRLVAPILGGSQGEVARPIAS PPLDEPESHSRTTPGSGALPTITAPIAGDAREARWIIPLEVSVVLRAPVPVGGLAAGP ASPASPSRPASSLPPATGSTPPSRPSAATGGSRPDDDPVRAARDEARRAASRTYLDES AEAAAKASYYRGFDPASSPDASFKKLGQLLESTHKARPAYAPSRMLYPWVDLQPSLRI KSVYSGQEFDPVELIDMDAEVDRVRAERLEAFRATEAAASPAGLELQEALLEATLPYN CEHVVPQSWFGKREPMRGDLHHLFACESGCNSFRGNTPYFDFRALEEIVRSGCGRREA NRFQPTAGLGAVARATLYFLLRYPGAVRRGPTTYTEDRIAMLLAWHKESPVGKYERHR NQAIYEVQGNRNPLIDHPEWAERVDFRGGLG OJF2_RS17375 MSTESKRPLSVLMPVYNAERYVAEAVESVLAQTFGDFEFLIIDD GSTDGSRAILERYAARDARIRLTSRPNTGYLVALNEMIDAAGGEYLARMDADDISYPD RFRKQMEYMREHPGVVLVGSTAEIIDPDGDTLHVMGGGWLSHEEIDAALLGGLGQVVY HPSVIMRADAVRRLGGYRAEYYLTEDLDLFLRLAEVGRIVNLAEPLIKYREHLAKVGH ARTAQQADAMQRTLVDAHRRRGLAPYAPGPGAVALRPRTHAQIHRTWAWWALGARHVA TARKHALRSVADSPLDVDSWRALYCALRGR OJF2_RS17380 MNLLGVCLVATLLAPAEGPLRIDSVVPLPAQPHASDPAVIWYDD FDGPEKRYTEARGGLDDRVGFGGAGRSMTCRYEEGERGVGDRKVFFGDSPTGRVVRRG ETFTDVYWRVYVKHQPGWAGGGEAKLSRITSIASPNWSQAMIGHVWTSGETLTLDPAT GVRGDRVVTTRYNDFANLRWLGNRPPARFPISSPGEAGWWVCVEARVKLNTPGKRDGL MQLWIDGRLESERTGLDWRGRYDRHGLNAVFLESYWNDGSPVGQTRWLDQFVISTRPI GPVVAPRRPVLLVGGPSPGPPRAWEAEVAGDDEVVAWRSKPVTASTRVTVDASSGSFA GPLAGADRLAAGARYAIRVRSLDPAGSGASWSGWHQAFRTASE OJF2_RS17385 MKYGLLAALAASLASPTACSAGPPANPPAPYDIVIRGGRIVDGT GNPWRYADVAIRGDRIAVVGAVPADAAARRTIDARGLVVAPGFIDMHSHSDWLLLEDG GAPSKVRQGVTTEILGEDSSGGPQKGKMPPRAVSVKGVERKIATLGGYFDAVEAGGIA TNVASYVGLGNLWGCVMGDSFDHPDKAQMEEIKALLAEALDDGAIGLSTMLAAPREMV STTDDLVELGRVLRDRGGLFSSHIRNEGGEVFDAVREAIAVGERAGIRVDIIHLKIAD RRLWGRMREIIELIERARARGVDVQANVYPYTRGNNDLASIIPPWAHEGGRAALLKRL NDGASRQRMKAEIRAGIPGWYNHYLSVGGDWGRMLVSAKLSEANRRFEGQTMDRILAL RAEGKSPAPDPLDQLFDFLIEEDGSIGTIYAHHTEEDMNLAMAPPWCSIGSDGSAFAT EGPLHRGHPHPRNFGTFPRVLGVYVRERRTLRLEDAIRKMTSLNAAKAGLVDRGLLLP GQFADVTVFDPGRVIDRSTYLDPFLYPEGIAYVAVNGRLTLDNGRPTGERPGRALRRK PAAGEGRSARLSGSADLLAGRPERLVPAGP OJF2_RS17390 MTTAVKNGMPALGLVLATVAIASGGSAAEDHATGEAPAARARRP VALALSGDGAALLVANGRSGTISEIDVPSATRVAEHPVARALGDLAPLGDGLRFAAVD PEAGELLLLEHRAGRLAVKARLGVGPDPIRVAILPGGQACAVASRWGRRLAIVDIGPG GGEGALRLRRSIDLLFPPREVLPLREGKALLVADAFGGRLAVVDPAGGTIASVREVPG HNLRGLAIADGGAAVVLARQLSSRLATSSFDDVHWGDLMKNQVLSLRADAILDPAADI LRGSSSRDLDEVGHAAGDPEDLAIDPKGRIVVALAGVGEVAVLGDRASPIVRVPAGTR PSAVVVDSRRDLAFVADVEEDSVAIVPLGGGAGRVASLGPRPGPTAIERGERLFNSAR LSHHGWMSCRSCHAEGHTGGFAVDTFGDKSYGAPKLVPSLLGAGATGPWGWLGNFGTL EDQARFSIETTMRGKPLDDGDVADLAAYVRSLRPPPPPAAPREAADRGALVFRSKKCA NCHAGEALTSDGVKDVGLVDDVGNRAFNPPSLRGVAQRTRFLHDGRAGSLEAVFSEHK HPPGVSLADSELHDLLAHLRTL OJF2_RS17395 MRRAILAFLTFAAGPSALAAPGESLADRFRILCDAVQAHHVEAP TRQQMLHAGIIAMYRAVRVPTPDGLSREISERTTYEQFAGLLEMVRPKPRAAAATEAA LDRAFLDGVSASVPGGLAIMEAKESKVAAQIAGNRYVGIQISVSLDEKTKRPRVNGVL DGGPAQRAGMKEGDVIEAVDGEPLGGLTLAEYIDRLRGEEGTPVTVAVRRAGEKEPRT FRMVREAMRHATISGPAGPKGFGSGATKPGPSPGAAEPGSFRVAGAGPIGYLKIQEIL ASTPREVREAAAKMEAEGLKAVVIDLRQMPRGPDSAGGHPAVLLADEFLDSGTIGRLR TASREVTYRAEPGSVFPGWPMAVLVDGGTSDHAEWLAAALKANGRATIVGAATAGLGA SRSTIPLGDGGRTASLVTGLLEWPDGRPIGYFTPANRAGLPFGDEPPDSRRPDAGLGV TPDVAVPPARQAGNGAGGRAGDGPLRAAMDVLGKALQEPATARRGGEAKVPAADGRGS G OJF2_RS17400 MPDLRDGETVEMKGSGARPYILKNTGGVYSCSCPAWRNQSIAIE SRTCKHLRKLRGDAAEEARVGTAPAPRPEPGADGGEAKAGPPLLLAESWDNAQDLAGW WMSEKLDGVRAYWDGIRLVSRLGNPFHAPDWFLEGFPETPLDGELWIGRKQFQRTVGI VRRQDRSDHWKEVSYVAFDAPAFGGPFEARIAAVRGHVVSRRPPHLRAHEHAVCEGIE HLRAELARVEALGGEGLMLRQPASAYEVGRSITLLKVKSFLDAEARVLEHLPGAGRHK GRLGALLVELADGTKFSVGTGFSDAERERPPAVGSLITFRYQELSDGGVPRFPSFLGV REDVAAPSTLVTTAAPPAAVAATVTAAVTAPSDRRRFELVEGGSAKFWEVARAGREVT VRYGRIGTDGQAKAKTLPDEAAALRHVEGLVREKTGKGYAEV OJF2_RS17405 MLTFTGRGRATTCDGVTRRDFLQAGTLGALGFGLPHWFAARAAG AVRPGIDDRACIMIFNLGAPSSMDLWDMKPDAPAEVRGPFRPIATASPAIQVSEILPR HAAIADKFSLVRSVHHGGAAVHDAGWQMMQTGRLFAGGVNTPHVGSVVSYLRGRKTDL PPFVVLPELMGRGGGNLPNGQAGGFLGKAQDPFALNADPSKPGFRVPDLLPPETIGTV RLDRRRKIRALVDDAVSTFEASEDASLLDGNFQSAFRLMTSAQARDAFDLSKEPASVR DRYGMTRFGQCCLLARRLVESGVRFVTINTFLTVFDEITWDIHGSKPFTSIEGMRDIV CPLYDRAYSALIEDLSGRGMLDTTLVCNLAEFGRTPRVNPAGGRDHWPNCFTVGFAGG GVQGGRVVGASDPIGAVPADRPVEPADIAATIFHSLGLDLEAKLPGPAGRPFPLVDFG HREIRELF OJF2_RS17410 MEPATPETFVGIDVSKARLDVAIGDEPPFAVDNDPAGHAALAGR LAPRRPRRVVMEATGGLEAAAAAALAAAGLPVMVVNPRQARDFAKAMGYLAKTDAIDA KALAHFAAAIKAEPRPLPDEAARGLDALLDRRRQLVGMRTMEENRKATARGRVLRDLE AHLRWLGEHIEEIDRELDERIRSSPAWRERDDLLRGIPGVGPVLSRTLLAGLPELGTI SHRRAAALAGLAPLADDSGRRSGPRRIAGGRGQVRAVLYMAALSARRFNPALRALADR LEAAGKRPKVILVAVARKLLVIANAILKAGKPWDPEIAAKLAQNA OJF2_RS17415 MPRKIRDSLVLPCLLAASLAAFAPAARGAEGLEVLPTSFTLVTP QGRQRLIVHELERGEVGRQVASGVEWSSSDPGVAAVEGGLVTAVRDGEATITARAGGR TATAKVVVRGQGTPIARSFRNDVEPVLARLGCNAGACHGALAGKGGFRLSLRGYDPPS DYFNIVKQDRGRRVELSDPGRSLFLAKPSGAIAHKGGIRLAPDSPEYRALAEWIGAGS PPPSESDPRVAQVEVLPPRSVQRVGQAQQFLVRARYTDGREEDVTRLARWSSADESVC RVDDDGKAQVIGPGEGAIVAWYASKLAIARVTVPYEGKPAGPGEVADARKPRDFVDEH VDKQLARLNLPASPACSDAEFVRRAYVDTIGRVPTSDEARAFLADGSPGRRDALIDAL LARPEFADYWTYKWSDVLMLNGTRLRPEALKAYYRWIRQQVAENRPWDEFVRAIVTAT GESVEDGPTNFYALSQSPEDMTENVSQAFLGLSIGCARCHNHPLEKWTNDQYYGMASL FARVRAKGWGGEGRSGDGRRTVYVASSGELVQPRTGKPQPPAPLDAPPLAFDDPGDRR VVLARWLTAPENPYFARSIANRVWANFFGVGLVEKVDDMRASNPASNEALLAAAAAFV VDHKFDLKALMRVILRSNAYQRTSRPLPGNLADRRFYSRYYPRRMTAEVLHDAIVQVT GVPTRFDTVAFPGGDVQKTDFYPLGTRAVQLYDAAVDSYFLQAFGRNPRRIVCECERS VEPTMVQVLHLSNGDTLNEKLHAKGGRPEALLRLRREGMSSAALVDEIYLACLSRYPT EPERKGLLDLLPPPGAADEAAVVEDIFWGLMSGREFLFNH OJF2_RS17420 MPWKDVSLMSQRLEFVALAAAEGANVRELCRRFAISPKTAYKWI ARHRDGGDDALADRPRRPASSPARCPGDLEAAVLRLRDDHPAWGGRKLRARLAAMGMA AVPAASTITAILRRHGRLDPAASAAATPWVRFEHDAPNRLWQMDFKGHFAAGAGRCHP LTILDDHSRYAVGLYACGDQREATVRRLLEATFRAHGLPERILCDNGSPWGPCGGEAR HTGLTVWLLRLGVGVSHGRPFHPQTQGKDERFHRTLKAEVIQGRAFRDLEACRSGFEA WRETYNHRRPHEALGLAVPASRYRMSERPYPEAPPSWEYGPGDAVRKVACDGTISFRG RRAVLGKAFRGERVAVRPADADGSFGVYFGVHRVAGIDLRAHNDLH OJF2_RS17425 MSSLMILASLLATAGDAPAPDYAGKVAPLLKKYCAGCHNDEDRE GEFSLESYASLQKGTSHGPAFLPGDPKGSTIVRVLTGAARPKMPPKDEPRPGDEEVAV LESWIEAGARGPAGQGPDRLALIVPKVPGHSRTRPVLAMDATRDGRWLAVARDAEVGL YAPGAPRDGRPTRTLGPFPGKVTALHFTPDGNRLVTASGVAGLGGVAAIWDVATGQLE RKFEGHRDILYDAELSPDGKTLATCSYDRKIELWDAATGAPLRTLEGHTGAVYDVAFS PDGRSLVSASADDTCKVWRVRDGLRLDTLPQPLKAESTCTFSPDGNAIVAGGADNNIR VWRFVSRDEPAINPMEVARFAHEAAIVRLAFTPDGSTLVSLAEDRTVKAWRASDYSEL KLWDDQPDVATALAFAPSGKAFEVGRMDGSVAKYDLPAATSSGSADARAPSATAATPA GGSIAQVAEHEPNDEPAAANAISLPAKVSGAIHGAAGRPDADLFRFRARAGEAWVFEV DAARSKSRLDSFLEVLDAKGRRVPRVLLQAVRDSYFTFRGKDGSETDDFRVFNWEEMR LNEYLYASGEVVKLWLYPRGPDSGFVVYPGQGERWGYFDTTPLAHALGEPCYIVRPHP PGSRLVPNGLPVFSLDYENDDDAHRELGKDSRLTFTAPADGEYLVKVRDVRGLQGPEF RYTLAARPCRPDFAVTLAGADLAVGPGGAKEFKVSARRIDGFDGPIRVDIAGLPPGFR ASTPLIIEAGQVEALGVLMADAKAAPPPADIAKKSTVRASAQIGGREVAHDANNLGTI KLAPAPKLRVTIGPAEGGPRPTSSSGEYPEYEIEPGQTITLTVKVERSGYDGQVPFGT TGAGRNLPFGVIVDNLGLNGLLVLENQKERTFFVTADRDTPDQVRPFHLTTTAEGGLS SSPVLLRVKGRRQQATEVSRR OJF2_RS17430 MSAAQSEEMTDILRRIRSWPIASRISLARRILESVEDVTVVEPP RREFPADALIGLLKTDDPPPTDEEVERIIEEERMRKYGA OJF2_RS17435 MMSAILSMALVLGASGLAGAADEKAADPVGTWKCEYEIGGQQRT STLKVKKDGDNLSATMAWPDQEETKVKDLKFKDGTLTFSAVRKLPQVEDGITIEYKLK IEGDQIKGKGESDFGGEKREWDIEAKRQKTEKP OJF2_RS17440 MTETIGPAAVVRSPAPRGRMTVAEYEGLIESGVIDERAPVELIE GRIVGKMTKGRRHSRARINSRRAIERVLPAGWHLAVEVPVRLPTRDSLPEPDLYIARG EADDYEDHDPGPADVALVVEVSDSSLAADRALAGTYLGAGVPAYWLVNIPDRILEIYT HAGRDDLAEGDSAEVVLEGSVVGRIAVADLLPRRPK OJF2_RS17445 MTRLENYVQQYGPVVGPKLFRTLQSKAAYAGVSARLRRKINAVQ GNPPAPPKPEPSYPLFEATPPEPTESVA OJF2_RS17450 MLYKTVMVLGVLAMAWSATWFYRVFAGLVHLQGADMIPMIVGGI AGGVGAWYFVPRLFKYEAERRRALK OJF2_RS40000 MRRLAPAALLLGLAAMPAAARAEQFVLFDVTFTYTKADADNARP SKSHFYVKGDLINKDRPRDWTAPVDYRNGTAHVRLEVLEKPPGGAPTTWTICYIPNKG RSNGYGCMGTGVYREAGIYERDVAMTEFWENRSIVWEEGIKQMDLVIKDDSGGQGHAH RRKDPERYFPTKVRITVVQVSAGSKYDPKQVPAPASRDAPAGVARIREDARALAPLAS TDLARAFLKAADSLPAVAPRTLHFDQASKSYLTDREAGLRADRAGLKVVPVDESFFYN TRYGSPLAYARPMEILGRAGLADLAGRKVADLGCGGIGPIRLMAAMGADALGIDVDPT LAALYGRDDLGRLGKGNVDIAIGRYPQDEAIRARVSGLDVFLSKNTLKRGYVHPDRGK AFIDLGPDAAFLEGLRAAIKPGGYALIYNLGPAPGKDYLPMADIRCPFPREAWERAGF RVEAIDADDSEAARKMGVTLGWGDAAAMEKNIFASYTLARRP OJF2_RS17460 MGSGRRTLRYESFDEVMPDVERLLRGHVTVGNWSLAQICHHVAA VLRRHVDLPASTPFDPSDRVPEGVKRHMLEAGILPPDIEAPAVTRPDAAGTEREEAEA LRAAIAYYRGSRGPAITHRIFGPLTREEWDRFELVHLAHHLSFVVPAWSEDDAGGRGE G OJF2_RS17465 MSRIARSCRVAWLFGLVLMAAPGRGAIAQEKPGSDDVRPNMVGA YGPWLSGKVLGDGPARLSFRTGRWPSLAAWRAVARERAWDRIAPVDRGGRPEVRVEST REYDGLHVERLSWQLPGGPRTEAVFLRPAGAAGPLPAILGLHDHGGNKYLGWRKIARA GDELTETVASHQDHYYGGVAWANEVARRGYAVLVHDTFPFGSRRVLAADVPPRIREGG VDPEPGDAEGIARYNKFAGAHEHVMEKSLISAGTTWPGVYVVEDQRALDVLCARPEVD PKRVGCAGLSGGGMRTVYLAGLDDRIACAVAVGFMTTWRDFLLDKCYTHTWMAYVPLL PRDLDFPEILALRAPRPTMVLNCNEDALYTPSEMHRADAILRATFEKGGAADAYRCNF YPGGHKFDLEMQRDAFAWFDEHLKANR OJF2_RS17470 MRKFVSCLATAILLSGACRIQAGTLLDFNMDSTHPAGASIRYAG GAAPLVGVNLSVDSVTGLDASQNDGSMLSLAGGLLNFQTGNLISSDASHWAFGAGGSI SITTTSPILPGASDLLLSGTLKSVDVELGSGVFKVVIASYVNTVDSTLASYFGVAPGS SWEGDLNLSFRAKGLPPGGFESSRILSGDVTTGAVPEPSSVLMGGIGVLGLGLLKLRR RGR OJF2_RS17475 MRASRRLVWATALAFLFSTPALAQKGRSSSSSRPSGGSSRPSGG SSRPSSGSSRPSGGSMFGGSKSSTSSKPPTAATGGKAATGAGGSMFGGSKPSTTPHAD KPSTAGAGGSSKPPAGVKPGPASAENSTSSRPTSGGVSTKAQAQRREESRQAYITTQK ATAPPRGEAVIGGRSVKVEASSPAVTQLRERPSTYIQPAVRRERFVQHVTVYHYAHPY DYYWSRPVGYGIGPYSVGFWWMMMEWNAERRAQWLYHNQARLSAEAYADAARDAEVQR RLAALEAQRVPRDANYVDPEFAADPTDQYDQNYVEAAYNPAAMPEQDRGTPAYPAAAQ QSRGSGIGTLMLWITGVCGAAAVAYVFFNVRWGK OJF2_RS17480 MSSANGKGRTLFEMLTGRNKRDMTAQELQVHNPLQAKVGWSVSV NYDPDLSGYRFYIESIWVWETRIGEQTFHHTDYNLKAAAAVGQDGPVRLKLRLIPDEE SPHDLGHRFQVLRPYMDFGWKFAEENNFLDVLADEEGVFKILADRDGNPIDEDQQPTY WRVDDVRDPYRCRVTILEDADGDGSVQKDELKHADYLVWDYHRDTTDETTRQAYREFL NVEEEVEYDDGDAQCVWFTIYTGREVEPFQVSVI OJF2_RS17485 MMRPAILGLVMIVGAGCPAREAGAAGPAAPVTFERHVEPILTRA GCNSGPCHGKASGQNGFKLSLQGFDPAFDHVALTREAGGRRILRSTPEGSLLLRKATA ELPHGGGRRLEPGGPFYETIRRWIAEGSPRTPPEAPKLARIGVEPGERALKPGESFDL RVIARYSDGSAEDVTRLATFASSEATAVAVEPGGRVRAGKLAGEATISARYEGLFANC DVAIPLAGEVPAAEYEAFPRSNFIDDLVLAKWKKLGLTPSALAGDATFLRRAHLDVIG RLPTPDEARAFLSDPSPDRRARLVDGLLERPEYADHWATKWMDLLRPNPYRVGIKAVF NLDGWIRDAFRKNLPYDEFVRRIVTAQGSSFEQGPGTIFRDRREPIEIAPVVSQLFLG IRLECAKCHHHPFESWGQEQFYEFAAFFTRVGRKGTGLSPPISGSEEIVFTAKSGEIR HPLTNAVLPPKPLFGEAKVPDDPEADPREALARWMTAPGNRYFARVMANRVWGDLMGV GIVDPVDDIRATNPPSNGPLLDALADDFRDHGYDLKHLIRTIMASTVYRLSSEPNARN VADLRNYSRHYRQRLRAETLLDAVADVTGVPDSFAASPPRSRATATWTNRIPSLFLDT FGRPDPNQDPPCERTSDTAVVQALHLMNAPGVHEKLTSDEGRVAALAKGKATPREVVE EAYLLAYGRRPDKEEADIAEGLFREPGKPRRAAVEDLFWALMNSPEFVFKD OJF2_RS17490 MGQALSRGPARETLPPTPAWATTMATPRNCESSMTRRDCLRLGL GALMGGGLVESLRARGLAAAPGSRPSSCILVWMDGGPSHYETFDPKPDAPAEIRGEFR PIETSVPGIRFAQPMTRLAAIADKLAIIRSIRHDQGNHGAGNHYMITGAPPRIPVGCG AFVSFHPSMGAVTAHERGASGGLPPYFSMPSMTRSGGPNFLGAKYAPFVVPDDPNSSR FRVRDVAPPGGLEVARVEDRRGLRERLDRFRRFADRATGDPALALDEYYHQGYELMAS PMAQRAFDISQEDPRVRDMYGRHSFGQRCLLARRLVEAGVPFITLNEGGWDHHVSLFD GFRKRMPRFEGAVAALIQDLDRRGLLESTLVVVLGEFGRTPKINKDAGRDHWSNAMSV LMAGCGTPGGQVVGATDAQGYAASDRILSPENFVATIYAKLGIDPGKILYTPSGRPTF LVSDPTPIRELMG OJF2_RS17495 MRHTLRFPRLAASLLAIALLVASGGPSTAKPPTLSALFPPGAER GQSLSVKASGTFDHWPVKCWVDGDGLSVEPAKEKGVLSVVVAADAMPGVRWIRIYDRD GATGLRPFVVGPLPEIAEAEPNDDPRTPQRIGAARVTVNGRLAKKGDVDGFAVELKAG AVLVADVEANRRLGSPMDGVLQVASADGFVLEQDDDAGGLDPRIVFRAPADGSYLVRL FAFPATPGSDIQFAGGSDYIYRLTLTTGGFLDHAFPLAVPPGGPSKVSAVGPNVPGSG PDSALDIPPGDRPERLTLSHPRLAGSVQVRRVPGAVAVEVEPDGIDKAQPLADLASVS GRIDPPGDRDAYRIALKKGETRVFRLESRAFGLPLDAVMAVFDAGGKPLAETDDVGES RDPELRFTPAADGDFRVVVRDLHGRGGPRYAYLLGVISPEPDFGLSLAADLFELPPGK PAKVAVTIDRHEGFAGEVELHAEGLPAGVTSTTAVSRPGDASAKAVTLELQGSATAPP GPFRIVGRAVRGDHRERPARARIAGFEAETDRPWLSVLPAPAPAKP OJF2_RS17500 MPGIPCILRGMTVDQILGQLESLGDDARRAHNARAGAPGNQFGV KLGDIRTLAKTIKADRELALELWETGNVEAQLLATLLIKPKSLSADELDALTRSTTCS QVADWLNAYVVAQHPAKDSLREAWMKAEDRWAARAGWNLTASRVNKGLDGLDLPALLD RIEREMPGAMPEVQWTMNNTLAAIGIHHPEHRDRVIAMGEAIGLYRDWPVSKGCTPPY VPVWVEAMVKRQR OJF2_RS17505 MTTDPVPKWQRITGWVLSGLLAFVFLPSAFFKIAQPAGFLDEWS KTYPAASALPLGVIELTLFVLYLIPMTRYVGGLLMLAYLGGAVATHVHANDGMFFVPV IVGVVAWIGLYLRDRKLRALVPVVSG OJF2_RS17510 MRRFPLRFTVRRLMAAVAVAAVVMAAWAIYFDPVRRWQWAVTDD QDGPGRWEALREVGRGRIDKATALAVLTHALGSSSYRVRETAVAGLGQLGPAARPSAS ALIAVLADPEPVIRARAASELIQVLPPGDPGRDEALPELRRLLGDPSPQVRLKAAGTL AEFGHGLDGLPILIDVLKRPEYLARAEALWAIGVIGPSAAPEALPAVKQLESEVGTAV APDMSRFLRAYAPQARYLLGDRAGGLASLRALAGSPDPELAREARRVLARLPVDE OJF2_RS17515 MKKDRAGDDASRPGDAAGDWRGEMLARLRALILEADPEMVEERK WVKPSNPAGVPTFSHGGIVCTGETYKGVVKLTFAKGASLPDPSGLFNASLEGNARRAI DFREGDPVDEEALKALIRAAVAFNTRPGTAKGR OJF2_RS17520 MIKPRVGRCLAAWLPSLCLGLCGLAAAADEADLILHRGKVVTVD HDFSVRQAVAIRGDRILRVGTDEEVLSTRGPRTEVIDLGGKTVLPGLIDSHAHPTDAS MTEFDHEIPEMETIADVLAYVRSRAGALGPGRWIVVRQVFITRLKERRYPTRDELDRA APENPVLFSTGPDASLNSPALRLSGIDRNFRPDGPGKVEKDPRTGEPTGILRNLTRYV KVQPTGREPSESDRERRLLELFRDYNSVGITAVIDRDADGAAVERYGRLHQAGALTVR LGISRHVENLGPLDDILAEIRRVAEHPLRRGGPRLRIVGIKTYLDGGMLTGSAYMREP WGISRIYAIDDPAYRGVLFIPRDRLVPMVRAAVEQGLQFTAHSVGDGAVHALLDAYAE VNERTPVAPTRPCVTHSNFMSREAIATAARLGVMVDIQPAWLYLDTRTLAAQFGDGRL RYFQPLKSLFAAGVVAGGGSDHMQKIGSLRSINPYNPFLGMWVAITRRARGYEGQLHP EEALTRAQAIRFYTINNAHLLFLEDRIGSLEVGKQADLVVLDRDLLTCPEEQIREARA LTTYLDGRPVFARPR OJF2_RS17525 MWRASSVQAIVGKKWVTGFTNGEEEAVHLTEVVPFLVEDELKRL GALYEKGPDWASFVVTDGRLVTGQNPASSRAGAEALLKLLA OJF2_RS17530 MLYALLIVLCFALVGIALFFFLRAGELKAQVSQLETAWKEREGA YESELSRLEKIRHIPNVIEKARRTEAEIAAKIAEAERRSQEIIDFATAEAQEQARKIR AQADGEATITHDAAQRVKDEAYRLRREAQVTLAEASKEARDIASKARKDAKEKREQAD TALNGATTLALQIRLDAEARARAIDADAFAARGKAREYEDVIQALERTIKRYENVPIA PLPHALDELADEFGFSKPGEKLKLARERTRLMQRNGTAATCGYPDGWKRDHALKFVLG TFNGQVDTILSRVRSGNHARLAQEMKDAYALVNKDGEVYKDARIQREYLDARLGELKA AVAVQRLKEQAREEQRAIREQIREEQRAKREIERAIKQAAREEELVNRAIARIREQFE QASESEKAKYQAQLSDLNAKLLEAEEKGRKALSMAQQTKKGHVYVISNVGSFGEDVYK IGLTRRLDPLERVRELGDASVPFPFDVHAMLSSDDAPALEIALHRLFVERQVNKVNKR KEFFKLPLSDIREAVEKLQIDATWTLQAEAAQYRESLALDQAMKTDSTLKERWLEEQA TFNFEDELSDEDESEQEAVGSNTVARGPSLPGGAGAPLRSRPPAPVRPGA OJF2_RS17540 MARYDDYHRTVIGYHGTGLTAALRIVNRIEDFRWSDRDYDWLGR GIYFWEYAPNQALNFAKIRQRQYQRKKHKTPDDRRRATEPLAVVACMVRLGFCLDLTE PENIEYVASVYQDYRALMAEMGVEPPGNSRKYRRLDCAVFEYAYKVIGESAPNSTVDT ARGIYVPTAGDRRIWPGSWIARDTHIQLCVRNPASLLGTWLHHPTGLEVNDVCEALRG GVARVEREDP OJF2_RS17545 MARKKATRTGATEPKRAPARPAKRPATRREPAAEAGPSTASYAA LLDDLKARIRTAQVKAALAVNRELIGLYWDIGRTIVERQKSEGWGKAVVDRLAADLQK EFPGESGFSGSNLWRMRSFYLAYTEEVVNLARAVRDLSGSTLQQAVGELDGRILPQAV AAIPWGHNIALIEKLKDPSERLWYARQTTEQGWSRAVLVHQIETDLYRRQGRALTNFD RTLPAADSDLAQQVLKDPYCVSFLSLAAGARERELEQGLVARIRDFLMELGVGFAFVG QQVHLEISGRDYYVDLLFYHLRLRCFVVVELKVEPFEPEFAGKMSFYLAAVDARMRHA DDRPSIGLILCKEHDRVIVEYALHDTTRPIGVARWQTSRSLPDDLKADLPSPTELAAR LDATIPPASPGMPPETGESRLRSGGLPDDP OJF2_RS17550 MRVLMVLTSHDQLGNTGRKTGFWLEEFAAPYYTFLDAGATVTLA SPKGGQPPIDPVSDEPEGQTDWTRRFKQDPAAQAALASTSRLADVRAGDHDTVFYPGG HGPMWDLAEDPTSIALIEAFYDAGKPVAAVCHAPGVLHRVRHQGRPLVEGKRVTGFTN GEEEAVHLTKVVPFLVEDELKRLGALYEKGPDWASFVVTDGRLVTGQNPASSRAAAEA LLKLLA OJF2_RS17555 MRTITSLALTAAILAWAAPARAGIAFDDFGPGDAFDQVEGYVAA GGGSFGVLTVAAQFTSAASGELSTIRLALAYLAGTNAFTVSLRADDGGMPGSTVLESF SLADLPAYGHPYAPESVTSVLHPSLVAGSKYWLEVAAADTSTLGTWNFNTTDASGLVS QTGSGTLTGTLPAFAVNVTAVPEPSSLALSGAALACVAASALRRRATAGRIGPLRHRP R OJF2_RS17560 MTGDPLVDRAHELASRFLAPRAALADRAAGPPVEQVRHLAESGL LGLTTPPECGGLGASRKVVRDALAAVASGCGVTAFVCYQHLVGCRHLAGCGNGPLKDR LLPDLAAGRRFCSLAFSHIRRPGPPILRARADGDDWVFDGTAPWMTGWGLADDVLLAG VLPDGRSAWALAPLVEGESLRPSPPAHLCAMDASATVSLECRGLRVGPDRQVKAMTPA ELAADTAGANLFFTSLSVGVTLAAIGLLRAIGPDAPFADAADALGRELARARAAVDRW DDPATPAEGRGDVTALRAHCIDLGVRASYAAVVASGGAANGLDHPAQRLYREAMVYSL VAQTRDLRVATLDRLVRPGASVPG OJF2_RS17565 MPEPTAAPEDPRDELAEALDRELSRLPEKYRVPIVLCDLEGRDH REAAGRLGWPVGTVSSRLSRGRAMLARRLSRAGSTLTVGTLGVLFAQESASAGMPAGL IGPTARAASLSAAGGAVAAGMVPAEVAALTGEVTKLMLLSKIKVVGMVLVTSALVAGG GRLAYRAQGAQPAIQDGPSDTKAAVGTKGAPAPEAPKAQEVPKSASAVPALAPSDEVL SAPSADIPMPTQLAEPAKEPEPHQADPLVEMIVTGDHTPDQLERARVMLESMITMEKE ARGKSPQEIDRMIQAKAGALEKARWDVRMMDAQLRRLRAIRQGGHAPVADVPPPSAVR TAN OJF2_RS17570 MTDAVVRDLQTLLDVGAMGDLPDGQLLGRFVERREGAVFEVIIR RHGPMVWGVCRRMLRDHHDAEDAFQATFLVLARRSASILPREKLGNWLYGVAYRTARK ARL OJF2_RS17575 MRRFIPGGTRALQALAILLALAQVQADAASKKKEPPPPKTDETV GDLAFVPQAGETKVEGVGLVSNLENTGVDPPPSWYRTQLVEEMGKAMIDHPNKILADP RFAMVVVRMTIRTGASPEDRFDVEVEVPPACGTKSLAGGYLMMTRLREVMVAGGSPRT SQDLALAQGPVMIGNEKDPNNPKVGRVLGGGKVKKETPFTLVIMENRRSFRTAKMLET VINARFHQSEAGTQKGAATGKTDGHLTLKVPTTYHQNQLRFFRVVQLLPMIDTPELRE RRMAAWGKELLDPKTSGVAAMKLEGLGPSAGEVLRQGLKSDNAQVRFFSGESLAYLDD PSGADALGDTAAKMPQFRAYALAALAAMDQNASHMKLRKLMDEPDVEVRYGAFNALRT LDPNDPALGRVGILDQPRKEEDEADEEAPDAMAVALASASQRARPEDPFALYIVDSEG PPMVHVSRSRRSEIVVFGRDQKLLPPIVLGTGAILLNASDNNEEVEISKIVPSRGGDS DLKYRTSLDVGEVVRRVSNLGASYPEVVAILEAANRQKNLPGSLAIDAVPVTTPAYFE AAILGKDSTKPDKAVKQASAKAEKPASRLRRLLRLGRGDDGEGDATAASVDKDKGKGK DADATASASPKAPGAGADADKAGSGPAAKKDPSVQKAGTEAEAGADDGDGPSSRPRLF NLFRRRPSGGS OJF2_RS17580 MKKVFTTGQVAKICKVAPRTVSKWFDSGRLRGYRIPGSQDRRIP REHLLRFLKEHNMPLGDLEAEVYNKILAVGADAPLQAVLREHLRESDDFRIETAASGF EAGIRAESFHPDCIIIDMALGRIEAGQIAQNLRKSADHQKTILLALTSDEPGEEVFAL GFNDAFKKPFDGALLAERVRRLISQKKSEEP OJF2_RS17585 MTGDPTGPRKQPAAASRWLGRPALVLGVASGLAVLLTLGGPGLT IDEPLDVRPGRTYVATLRAEGLGFFSRGVVTRVFRDNAEHPPLGRWLLGIASTAGEPL EVLIRGVDPTGIYVHSGRLAPALAFAALVAAVAAEARRRWGLAAGYAAGGSLALMPRA FAHAHLGALDTFLALFWTLALLAGARALEKGGVGRSAAAGLVWGLGLLTKLHAWLLWP LMAGWAAYRLGRRAPAGLAAWTAAGVAAFLAGWPWLWYDTAARWGAYWWTSVARTPIM VEYFGRVVADRDVPWHYPWFYFAATVPVGLQLLGLAGLARGWKGRGEDPFPMLLAASI GLFLLLFSTRVPVYDGERLFLHVFPAWALLIGLGFAGLWGWAGGEGGGGPRGGRLRAA TAPAGAQPVAARRRLLRRSSLVAFLAVQGAGTLGVHPFGLSYYNLLVGGLPGAERLGL ELTYWGDAVDRVLLDRLAADAGPGERAAIAPTLYPSQGIVTTTAALLKRKIVLADEDA AATAEWVAVSRRRAYWKPDLAARLAGGRGRLVLARSRQGVWLSALWHFPPPAPGTPPA PPPAPSPR OJF2_RS17590 MAELTHFDESGASRMVDVSGKEATARMARASGWVRMAPATLELV SDRRLAKGDVLEVARLAGIMAAKRTGELIPLCHPLGLDAVEVRLAAAPPDRVAIEATA RLVGRTGVEMEALTAVSVAALTIYDMCKAVDRGMEIGPIRLEEKSGGRSGHYRRQAAG GDGDGPGEGRPR OJF2_RS17595 MTRRPVLEMDATRRALADLFAPIRDELAEAERIFRRELESRFPF VQQLVDHCGDYRGKRLRPALLLLSGRACGAVTGAHPVLAAVVEMIHTATLVHDDILDE SMVRRHAATVNAEWGNETAVLLGDYMFTHAFHLAASLETTQACRWIGRATNRVCEGEM QQVHHRGNLDLGEDGYFAIIDGKTAELTAVSCRLGAHYAGADADTSEALDRYGRNLGI AFQIADDVLDLWGDERATGKSLGTDLEKQKLTLPLIHLLAHAKPAATAAARRLLERAR PECRRELVPLLEEAGSLDYAWQRARGHVREAIAALDGLPDSEAVAALRVLAELSARRS S OJF2_RS17600 MELKQKLKDLMAARGLNGQKLARLSRVSDSEISRILQGKSRPGL DNALRLARALGVSLDYIADDAADAEPPGPADSVSPDERKALNLVQKLGPAEVLTILEN VRFLGYEVAMGRLVGAKPIIEIDKDTAPVIEPKPAPSPAIPAPHVAPRAASSVPA OJF2_RS17605 MRLDTSQQMRTEMRLRMAPRMIQSMEILQLPIMALQEKIEQELS ENPMLVDLRESSPTGEGEGEEGGAPAAPEPEPEPNEFDSLINLDENWSELYDEGPRRS RASLSEEGDRKQDAMQNMASRPRSFHDSLTEQLCFDDCDPVIRQLAEYIIYNLDDNGY LKLDLHDVIRDFGGEATLEQAEEALRMVQKLDPPGVGARDLRECLLLQLTPETPSHDV LRTIISNHLDDLQHNRLPAIEKKTGYPLSTIKEALEHLRRLNPKPGASFAVDTGNKYV VPDLIVEADEHGNYEVRLVDDHMPHLSISRYYQKQLRNKSTDPAAREFIQKRIQSARW LIESIEQRRNTLLKVARAIIEHQKVFLDKGPEFIEPLKMQQIADRVGVHVTTVSRAVD DKWVQTPRGIFPLKRFFGGGTTTAGGEEIAWDTIKQKLLEIIAKEDKSNPLSDEEIVE EMRRQELVVARRTVTKYRQALNILSSRQRRQF OJF2_RS17610 MARGGGGGQGGGGGGFSAAVDRLTAALGRLPGIGAKSAERLAHH LLKCPEEEAVELAEAIRAAKDQIRHCQVCFHLTEAEQPTCAICRDTRRDAGIVCVVEQ SRDLLSMEKAGTYHGVYHVLLGRLAPLQGMGPDQLTVDALEHRVRSGAVREIIMATNP NLEGDGTALYIAKRLQDQPVTITRLARGVASGSTLEFASRDMLADALAGRQPF OJF2_RS41200 MFGQLGNLAELMKNAGKIRESMARATESLGQVQVEGTAGGGAVT AKVNGRLEVVSVRIDPKLLADGDAELLEDLVTAAVNAGLVKARDSAAQSLASIAGGLA PGGLPLGGLFPGAGGPDSGDAGRP OJF2_RS17620 MTPGTGSKRRSAEETPAEDDAPALATADGRDGPPSAPEGGDGYT VVARRYRPQRLEDVVGQDHVVQALRNAIRLKRLAQAYLFCGTRGVGKTSMARIFAKCL NCVKGPTEEPCQVCEICRDIAAGQDMDVIEIDGASNNGVEQVRELRQNASLRPSRARF KIYYIDEVHMLSTGAFNALLKTLEEPPPHVKFFFATTEANKIPITVLSRCQKYDFAGI TPDDIVASLRDICRRERMDAEPEALQVVARRAGGSMRDAQSLLEQLLASGSPKLTVEV VHRLLGTPSDERLLGVLEALAGRDAAKALSLLDEAASQGVEPVDLLSGVLDFLRDALV LSVGAGSVLLAVTPRQKPRLQAIVEAWTTDAILAALQILAEARARMRGTPYGRLLVEM AMVRVARLENLSELGDLVRRLADIEAGVPVPPRPAAPVEKKKQSDAALSHEPAVAPRV EPAPARSADPPPAPPARPERAAAEPPPRPADAPPARPSPPPPAPREAESRPSPAAKGP ASRNSGPPLDLKAMKEAWPEVVKKVGAGLGLKLVATEPVAVQGADVLVFGLKSGYNRS FADHCGTPDAIAKIELALQRMFHRAIAARYDPAVGADGPGEGRPAAAEARRPEVLAGD PIVQRMVELFEARPLHLEYEEEPRPGANPDPN OJF2_RS17630 MNATATAGRSVPPGPKQHWLAGNLREFSRDRLGALSRWHRDYGD VVSARFGPRPIVFVNHPDLVETVLVEQNRKFIKHYRLRSATRTLGNGLLTSDGDFWRG QRKLAQPAFHRDRIAGYAGSMVDAATRMLDAWEDGQTRDVQDDMMRLTLEIVLITLFG ADSGGASGEASEAMETLANAFIRMTSRLIPVPTWLPTPHNIRTERAARRLDAIILGII AERRKGGDDRGDLLSMLLQAQDEESGRRMTDAQLRDEAMTLFMAGHETTANTLAWAFC LLAGHPEAEAKLHAELDSVLGGRPPSLADLPRLPYTAAVINETLRVYPTVWMLGREAI EPVELGGYRFPAGVTVFMPQWTIHRDARWFDEPEAFRPGRWEAGRRMLESIPRYAYFP FGGGPRICIGNNFALMESALLLASVAGRYRIRLAPDARIAFLPTMTLRPAHGVRAIVS RR OJF2_RS17635 MTELERDEEYVTGREVVGPGAIDEVAIATAPDFEPAVEAQAGAT PPSARVLSIDALRGFDMFWIVGGDDLARSLCAWWGTPQAKELNEQFEHVDWAGFRFYD LIFPLFLFLVGAVLPYSLAKYQGTDGSKRGPLLRIARRVLVLYLLGLVYSGLLNFNFP MRMTGVLPRIAFCYGAAAVIFLFTTVRARAILFAAILLGYWALLALVPAPETGKRGDY AKETNLAGWVDRHYLPGKIFDGYYKYGDNEGLLSMIPAVATALLGVMAGTWLRTDRNP WLKVVALIAAGAASVALGYAWGEVFPIIKNLWTSSFVLVAGGFSLMLLGLFYAIIDVV KLRGWAFFFVVIGMNAITIYLASSFIPFDRIAGKLLGGTARIANGYSPGLRDVILAVG VLALEWLLLLDLYRRRIFLRV OJF2_RS17640 MEGRQIGDPARAARAIVEAVESPEPPLHLILGSDSLRRARRKLD RLSGELDRWEPVSLGTDFDATAAS OJF2_RS17645 MYRIDCEERKFHLSAIDDLLRHPDDKPSIGIILCKARNQVVAEY ALRDLAKPVGIARYVTRLVESPPAAFRGSLPSPEEPAGELGNGTTEPRGRDDD OJF2_RS17650 MRRLGVSAGFDFAGQDYWGINCAVEAYLETLARIAAERLGPGDP MAIALSDESDGFFTGKVVFVDDILHRPGDRQRFVPLLDAATDQLLREDVFTDYGRRWV ATIVQSLRDRLAAPDPAESGD OJF2_RS17655 MGRQTQEHAGAVAGDVRERAMAVHERLCAEYGCPIPYFHELDPL SELISSLLSHRTRNADSGRAFKQLRARFGTWEAVRDAPTAEVEEAVSPCTWPEQKAPR IQQVLRAIAERRDGELSLDFLADWPVPEARDWLEELPGVGPKTSAATLLFSRLHKPAL PVDSHHHRVAVRLALIPASVSVGPSHAILEAQLPADWSAQQVYDNHEALMLHGQRCCF ARNPACARCVVLDLCPYGQARVAPA OJF2_RS17660 MENPFSIIGTQKIAMSMDDYRKLPHHPAFKQEYLEGELWISPRW RSLDLYLPINEPESPAPTAPAPATIRRLEDADWEDLPELFARSIGQTPPLSLIPREQR DEAGRRFMEYARAGGDGPLIPDACFVARDAEEGDLDGAILIGELEGRGLRMHGDARGA ATPPAPPLPRDASGPPHLNWIFVRESTQGEGIGTALLARATATLWDLGHRELASTIFR GNAQSMAFHWAAGFRLLPRPDSPKRLRRRWPPAC OJF2_RS17665 MISESSLLRTRRVRRLVDPINSVAWFAMDGLWLAQWQAPAYAAL LVTLSTGGLLLYWSRRRDEDLALNAWMWMNALWMTSDLNGYEAVRKAALAVGCFGGLV LAISLRPSRRRRKPLRRFRRIRARR OJF2_RS17670 MTAIPDRLSAVSHFLHRHLLKLIILSYGLAALIPGPGLWIKEAD VPGLIGLHGWPGVTPPKLLLWLLLFNAGLRVRVGRVGQLARRPGMVLAGLAANLAVPL AFLALMIPMLRAWHNPDEAAVVLVGLALVSSMPIAGSSTGWAQAADGDMALSLGLVLG STLLSPISTPAALHALGLLAPGPYGGQLHQLAGRDTGTFLAAWVLLPSVLGIAARAAL GEARAPAVERRLRIAAPLTLMILCYANASACLPQAIRDPDWDFLGIVLAFVAGLCTLT FAAGHLVGRMAGADRGQRVALMFGLGMNNNGTGLVLASMSLGAQPLVMLPIIVYNLAQ HLIAGGVNALLRRAEPA OJF2_RS17675 MKTAMLLSLGLLAGAIGSVRADEQRIKMEELPKAVTAAIKDKFP DGRLTKAEKEVEDGKTTYEVTVEAGAKKLDVVASPAGVILAIEKKIDAKNLPEPVAAA IKVRHPTARVKSAEEVVEFKAAGEETVYEVTLGLEGKEVELTVSPKGRILKEEGDEEE DEKPADAPKG OJF2_RS17680 MGIRVLVIEDDAEIADFVVRGLREEGYTVEHAADGIDGGHALAR GGWDVVVLDWWLPGADGLALLRRLRQAGDATPVLFLTARDAVSDRVRGLDAGADDYLC KPFDYEELLARVRALSRRQAGAVSNVLAHADVRVDLATHRVERAGNRLDLTAKEYALL VFFLRHPGEVLSRTRIYERVWEERYDGVSNTLEFHVMQLRKALESRGGRLIHTLRGRG YLLAEHPGGEGENA OJF2_RS17685 MKALPIGTRLTISFVAAMAAVLAGFSAGLYAMAARHLHRQADER LEAAIDTLTAAAEIGPEGVEWEPAERRLALGRRGPDGRLSWRVSDGLGGRIDGSATAE VDGFLARLGPSRRHPAEFTDAAGIPWRALARRLDRPRPAGEDATPVAPGRHEALVLAA AASMDGVRATLRALATTLAGLSLAIWTLALLTGRRLCRAALRPLTEMAASARAIDADE PGRRLPTPAADDELAELGRSFNALLGRLGESLERQRRFAGDASHQLRTPLTAIQGQVD LALRQDRPPEEYRRVLSVVQSRTRHLRQIVEGLLFLSRADAEARGPSLEEVALDGWLR AHLDAWPGPRRADVTLSIDAPVGGGSYRALVHPPLLGELLDNLLDNAAKYSPPGTPIR VRLWRRDGEVSFRVADAGPGIARAELGRVFEPFYRAEPARAGGHHGVGLGLSVAARIA AACGGRIRADSEPGRGATFTVDLPASDDAPDPEGPA OJF2_RS17690 MAWVVLVVAGLFEVGWSVCLKYARGFTEPWPTAGFVLFTAASVS LLGVALRSLPLGTAYTVWTGIGAVGAVALGVVLFGESMDPRRLACVALIVAGIVGLKV LSPH OJF2_RS17695 MFTSLEALTYGHVAISLAAIAAGFVVAIGMARGRRLDGWTAAFL ATTILTSVTGFFFPFRGVTPGIVFGVVSLILLAVALYARHAGGLAGINRGLYVVTAMV AFYLNFVVLIVQSFQKVPALHALAPTQSEAPFLAAQVVALAGFLFLGYRALVGFRPPV APAA OJF2_RS17700 MPLPDEIRALADGILRRLQESRDYYIHTQQAWRVVQQVVHEGRS VGIVDAASGAEIPATSLEPLAQRYVTGHLTGAVFRGLSGILEDWILGLARLWLTAYPV QLDAAYGEAAERSRSQRREEIQVPLSEILGAPDRDAIIGSVVERVVRELAYRRPSQWF QFLDHRVNLGCPDEARRGAICELKAARDAIEHNRGTAGRDYVEKSGRFARCREGEEIQ LDEPYLMHCFGLLREVVEEMAASAIRRSGSGGVS OJF2_RS17705 MIDEMTNDRLRVGADEIAGPYLMLPLSQLASVRARLDRHAVRYW VDSTAISLDGKPAIIVINFGRGGDAERIQNLLDEAG OJF2_RS17710 MNPLRTPASRPYRPWLIAIACSLVGFTASPAQGQGSRADWLREA GHGVFMHFLPSVADGPKAAAEFDVEALAGQLERAGAKYFVLTLGQNSGFFNAPNAAYD RRARYAPGERCAIRDLPLEMSRVLAPRGIRLMLYLPCQPPNEDRRAQEAFGLKAAAGD QHIDEVAAKEWAKVIGEWAERYGDRVSGWWFDGGYEWIGFDEKIAAIYAEAVRRGNPR AIVTFNPGVKLARWTRAEDYTAGELNDPFDVRPTSRFVDGSQWHALTFLGSHWGARDA RFPAARWAEWIRAVNAGGGAVTLDAGPNYDPKAGPVGAISEAQMQQLEAIARAIPRDH EKGR OJF2_RS17715 MSATAPRHTVADFLDRLADGRSGDEEWRALAVAHCEDAVLEDAR CRCMRLAIAAPPWRDRSAAGREGFRALASELRDSGWA OJF2_RS17720 MKYHTDDEKALIESFRAAGGERFILFRHGEPRLPAAMAEALARL VRSGHIRRHGSPDVGWASYRLREGAEEEAE OJF2_RS40645 MSFEHLPERQARLAQDLYEELRAASDADIRAMAELLATKPDDEL FGEAEFQLRDMVHRVGAKALQAAAMQRKKGGM OJF2_RS17730 MSFEHLPERQARLAQDLYEELRAASDADIRAMAELLATKPDDEL FGEAEFQLRDMVHRVGAKALQAAAMQRKKGGM OJF2_RS17735 MRIRVEKCPGGIALVVPGPLAAQVGLREGEAAELDVTGGQLVVR PGSPATLAELLAGVTPENCHPEWADGPPAGAEML OJF2_RS17745 MAEVGEADAPGLSIKAARGPISRKHDSMALWTVDREFDQPIRHA CLTASGGSYVAVFTLRLAPDLDDAAVRFVNAVEDPEVGHWALHIEEGVRAYVETRAAA GFPVGYLRVTLVEITLHPVDSQPYRFREAAVMAMTQAFEAVGVELS OJF2_RS17750 MGNFYVNFSVKGGEPRAIADALGRAGRVALVTPARGGYAVAFDR EADTQAIAPIAEVGGLLSRELERPVLAVLNHNVVLAYWLFEWGLVTDSYNSDPDAFEP DEGAPPWEDGDAAKLCAALRPDADLPAVEAILRGEIPLAIDRHLRLAKALRLPSWSVG LGYGYASAGEIDVDLNEGEALIRVGGPNP OJF2_RS17755 MKSLAWYVLAAAGEIGGCFAFWAWLRMQKSPLWIGPGVASLVVF ALALTRIDADAAGRAYAAYGGIYILASVTWLRVVEGVRPDRWDLLGAGICLAGAAVIL FGPRRP OJF2_RS17760 MLRLLLAVAAAVASAAAMAGGPEMDDAKAMEGTWGFVSGEVGGV KLPDEVLKTMSLVLEDGKYTTKSPGPDDKGTVKIDPGKEPKAMDITGVEGPNKGRTFP AIYVLDGDTLKICYDLSGKARPTEFKSPPGTKHFLAVYRRKK OJF2_RS17765 MSPTMTDGNAPDDHGPDAGPALRAGDAEGAVDLAVYDFHAAVDR GEAPRPEDWVAAHPEIAGELAAYFDDLAAFAGPPGPGAEATRAHPAAGPGGGAGRAGL ATRLDRPRPGDELGGYVLLERLGGGGQGEVWKARHLKSNDLVALKVLDARVESDGSSV RRLTDEARIIAALRHPNIIRIKYFDHDRGRWFISMDLMEGGTVADRYRGSPAPPEAAA ALMEKVARAIHHAHTRNPGVLHLDLKPANVLLDPDGEPRVSDFGLAIRVESLATLAAG SRSTGLSPELDGESEADPAPGPDASAGGGPAAAPAPAPGAGPQDETVAYPVADAMSEE ASRTLYRLGAVGTFPYMSPEMAAGRWADVSTASDVYGLGAILYAMLTGRPPFQGKDGT ETAAMVIEGNLTPPRRLNPEVDAELQAICLKCLHRDPARRYGSADALANDLGRRLRGE PTVAGGPSTSKHLRFWARRHPFQVALAAIAFGVLAAAAGSFGQLRTSNAREAARLARD VDDKLRMIGRAIVRSARDPRLVDAFRRHDGSPRELRLALDAFLAEISKDYNYWFDLTD SQPLFNVYLVDPSGTLLADTIPEGERFLGRDFSRRDYFQGFRRPPLEGERHAYYVSRV YHSIKDDRYKVAIATRVWDEEAGRWVALLVANVTAGSQLVDLDMRNELPGAAVVSPPD WTYTEFEGTPPSRYVVLLSPDHATAGAPFDPIWRAASDVPLMASFEADPSLKEAVEYV HAGAMTDYHRVGRTPLVVVLRQPYSWPLRLIDSPWQLALVALVCAATVYCAWMRARRG RRAAS OJF2_RS17770 MPETQPRPGAKTPPPPDVGDLDGFRDYLRALTWKWIPADLRGRV DPSDLIQETLLRAHRDRDRFRGGEAEAEAGAGGDAGPDARAAARAGWLKQILAARLAD RLRELGRARGVSLHDLDRSSAGMEHLIPACLSTPSAGLQSEEKALLVARLLARLPREQ AEAIVLKHCEGLPVQDVARHMNRSPQAIGGLLRRGLRTLRELAATRDFEDLRPRTE OJF2_RS17775 MPEHAHLVVFPRRPEYDMGDILRAIKEPVGRQAVAFIKEHAPRW LPRISRQRGEKRERLFWQSGGGHDRNIRKPKVLMAMIHYIHENPVRRGLVGRAADWRW SSAGWFEGEPTCDLIPDRVPPEWVPRG OJF2_RS17780 MAEGSTRRSGSANPTVLAMDHPVTLMMVVLGLISLGMLAYNKMR VDIFPSLNTPKIYVFFDFIGMSPDQIEGFIVNELELYFQYVDGIQDIKSRNIQQVGLI ELGFFNGTEMGQAMAQVVAMSDRAMAWMPPGALPPMIMRMDAGSVPIGYLVFNSEGDK TSIGAMGDLAQNVIRPLVQKNVPGTVAISPFGPNMRSIVIDVDPRKLLQYNLNPQDLI EALAQGNVVIPAGNLYIKDSMPIVHNNATVVDVHKLGDIPIKLGQNVYMRDVATIRDD TDITYGYALVNGKKAIHLPIIKKDTGSTLTVVADVHKAMPQFRAAVPPDVKISFEFDE SPTVVHAVESVATEGAIGAGLTGLMILLFLRDLRSVIVVVCNIPLALIGSLFGLWVTG NTINIMSLGGMALAIGILVDEATVTIENTHVQMARTPRVATAVLHASNATAVPRLLAL LCILSVFIPAFIMEDPLRSLFMPLTLAVGFAMVSSYLLSSTFVPIMSVYLLKHKAHED AGGGLFGRVERAYKGMVEWLLGFRLILVGAYLAACVAVLAILGLQVGQELFPQIDSGE FVLRFRPPPGSNFELTREMGLQCLKEIEREAGQGQVEISMGFVGQVAPNFGINNMVLF MRGPDDGQLRVKLVESTDIKLDAFRERLRQVLPERVGAWMASRLEQGGGTGGLPPDQA KKLADQCTFGFEPGDIVSQVMSFGSSRPIAVRIIGTDYGDVRKHAEAVAARLKGTAHL RDVNFEQTLDYPSVEIEIDRELAGLVGITPMHVKRALVMATSSTRFSNLNYWINDKTG FDYLVQLQVPPLQLDKPEDIEELPLESVNPLVPLMVRDVLKDGRVHTSVRPGEYDRDM SQRFLTVLANVEGEDLGRAARRVRQAVDSLGEPPRGVRVEEQGSLPQMTQMFTSLGIG LGVAVFVIVVLLTAYFQSPRLAIISVGAVPGVLAGIVTMLLLTNTTLNIESFMGSIMC LGVSVSNSVMMVTFMDEHWKAGKPSAEAALLGASERLRPILMTACAMTVGMVPMALAL EKGSQMEAPLGRAVIGGLVMSTFATLIVLPSIFALVIGRRQPASPSIYPGNPESPHFD PEMDLLEGEGEGNGHENGNGNGNGYDGHHEAGGPEGS OJF2_RS17785 MAALVMAAGCAKEEEKAAPSVAHAPEIQVTRASPRKIVRVVGQP SFVQSYEQTAIYAKLASYIEKWNVDIGDAVRKGDVLADLFVPELREEWESRKATVEFD AERVRVAEKDVEVAAARVRASAAKLEEAKAILAKYQAEVDRWDVQVKRIQGEVDKQVI APQILLESQNQLRSSAAARDAAKATISTAAADLQADDASLDRAKVNVAAAKAELSVAR SEAKRVEALVGYLKLYAPFDGIVVARNANTWDFVLPRTGDPSAGARSPDLSPGQQAAP VYVVDRTDIVRIFVDVPERDANFVHVGSEARVKLWAYKDEWLPASVTRLSWALNVKSR TLRAEIDMPNPGAKILPGMYAYGRIVIERPGVLAIPESALVQAGGKSFVWLYEGGKSR RTEVQTGVEDGRWIEVTNRRVGNPSGDPFGDEWRAMDGSEQILIGSNLSILSEGAEVK VTGPSPDVPGAGGGDAKGSSPAAAGEEAAQAGPAPTPDAEPPPSEARPRPAASTNTNP PGPTAGS OJF2_RS17790 MAEHSDEGRGDGTTIVTPREGAHVAAPPHHAGRADRPAGGPSRW GTLLPAALVCLAAGAAGAWGYSHFFGGGKSRDESGASKGSSSAPDARKDAASAPAGGG QDSGRLKDAQSAWADAVKELKAARDAEAQARRSADESRAVLGFLERTLLAGRGSGDGA LPKAFWSGGQGGNPTLRQAVDAAESQVGATFGDRPLAEASVREALGQAYLALDDAAKG VKQYERALALRQALQGSTAADTSACRNKLAVAYRMAGLTAEGERLFQATPDSAERAAA LAVQGAMLLAGKKPAEAELPLRECVTIRRKLMPDDWSTFDAESALGQALLDQRKFVEA EPVLAESYEGLSRNSGAIPAADRPAVSRARDRLVKLYEAWGKPEQAAKWKADAPKSAP ASPSPPTPPSAPR OJF2_RS17795 MRKPWCTAHVVLVLASLAPNAAWSQDRGAGKAPEPAPEEVRQAI VALRNTDIANSKGWATASRTLTRIGKAAVPPLIEELERTTADRPLRTLGFTLRAINDP RAVPALIRAIPRTLMPPGSDFGLRMDDPELLAFLRKHDLDEEDRGDGFHLGRSYREIT GALHAITGRRFNEDELNFISLSGTPKQQWLQRWLFHGLASRWALWWKKNWRTFTDDPA YSKISLPLLPDAPPVAAIAAEQPFPTGENVRATGSHANVILGPPQAEKNYRAFKDLDT GREIRWPAELPEAAAGAEVAAFAARENFDLRGVEYEPPGSDRPHFALQGLGMRAWQVG NDLYGRIEADLRAGKVPDLDRPAGEMLVDLDPATGAYHPENKATFLFVTREGTTGILQ LTGLVTELFRPEDLGRPLVEDPPDPPGRTAPLKLTRGFSRGIQIQYKFLVEGGQDR OJF2_RS40005 MTKPCVFPFSRNVPTMAPWPLMPWAVAARAGGTSSVVNSLPIFT KPWTFEPRPAKSPASLIPKARAWNAPGALAGERSAPSSWNPVIPMGWPAA OJF2_RS17800 MDRPAPGHAAPAVTGPAGDPAAAWDVAGPSGRSRIAVDPVLRLS SLVMVRDAALAGVARLPSSLVGRDLAEGRLLHWGDVAGPDVALWILYPSRRLLSARAS AFLDYMKECFPKGTPDELAAYLGDAR OJF2_RS17805 MSGLAFQAEAPPLRADAGGTVRVGETRLTLDLIVEQYENGMTPE DLVRAYDALALTDAYAVIAYYLRHRDEVRAYLAGRAVEAWDLRQEIEARGPRPSRAAL LARRGEREGSDAPASE OJF2_RS39265 MLRLASDADVHGELIRGLRRRQPALDLIRVQDALPEGTPDPEVL AWAAAERRVLLTP OJF2_RS17810 MVGFAWHRATVEGLFMPGLIVTTADQSIGSAIEDILPIAECMPE AEIQDRAVVFLPVTG OJF2_RS17815 MRVRFTLSRLMILIALLGLGLGVLPHDLPHLIDVLMLLVLPAPF LAGVGYAIYRVSRLRLRYRLPIELATLIGLLWLSAEARRPYWHASEEHRCRRLAARAH AASARNRETRSALDREAAWFTRQAANLYWRGFRLGLTQGPRANEAEIRRETRFIYDLD AAESMRRHEATLRGLLGTGPDPEE OJF2_RS17820 MFEFLTANPAVALLKADHDRVKELFDRFEAAKTRPARVKIVRAA LVELKVHAAIEEELFYPAVRKPVGKDIMNEADEEHHVAKLLIAELDAMDGTEDHFDAK FHVLAENVRHHIQEEEGEMLPKAQKVKLDFAALADKMTARKEKLLASGVPPVGEDAMV KASRGKGDSSARAAKRKPPKLPKRRS OJF2_RS17825 MSEYRLWHVPGATYFFTVVTQDRAPMFLDPAAVKRLGVVMRTVR SKRPFRTIAAVLLPDHLHCIWSLPRGDSDFSGRWRWIKGAFTEHWLDEGGSETDPSAS RVRKGERGIWQRRFWEHRIQDEDDLERHADYIHYNPVKHGLARRPADWPWSSFLRHVR LGQYAADWGRTEPTPPVTQPGE OJF2_RS40010 MRKVRVGDTRRGKGKRGGIRVIYPHVPEANVVYLMDIYGKDEQQ DLSAVEKRFLKSLALRYNQEAIQHAQKERP OJF2_RS17835 MKSPKVRRPLAERLKTSLEEAIQHARDEITLKVTVVELPDEPPE IDAPTLVAIRDQSRMSQAVFARLLNVSSKTVQSWEQGLRTPSHAARRLIQIYIQHPEA VCQTVGLPPVKLQGVTIEKEATGRHRIVVRGAGTVLKAKAPRPKPAR OJF2_RS17840 MSSVLNGPQMKELSQAIEAAYTMADLARLLKYELDIDLEREFGT GSLTSVVFNMINWFNARGHINDLLRVITNDRPENHMVQQVVHRLLDRLPSQGSGSSES VARGSQDTVPLQNLPTRATEIVGRDDALKQISACFNESRARGLPSEYVVHGHGGLGKT SLAVEYALLHAGKYPGGVFFLRCSMQLDNAISELDFYKTQRQNNLDNNSPTVLFKKHL LDSTKPVLLILDDVQTLQEWTNIHGSGFASIKGVDVLITTKLSRLPVSQVYPLCPLTT EDGISLLSKFRADANSNSNHEASAEIAHRVGGIPFALSIIGIYMRKNPALPWREYQKS LTEHGLETLRQTEELAGTIPGKYAVPIDKIMNDLLQSLSEAERRAIEYTAILSTINPM EYILIGILKHDKSLAFRPIPGYSDPATSIIDTLARDGILLSQGERGLRHLGLHELLRQ KVLDDLRSNRKNLDSLHAGLFQYAFYLMDFEPIATSEVEDKIKTMRLLTSHSYSLEIL QLLHREGHIHDLDSVIAFRNQHLDSIISARKLATRLGGETVFKLSYRFPLQVHLSIQV CVKTQDITRAQELIAHHNGRMTVTEAKEGTIYIIFITTS OJF2_RS39270 MNGGRADGLRKPAGSRGRPGDVRLSDYEAWIEEGTIEEGAPIQL IEGRIVRKRAERRDHSRASIKGRQAIERGLPAGWHLGAETPVQMPASEGLPEPDRSVT RGRADDYKVRDPGLGDVALVVEMADSSLAEDRRRAAVYLAGGDPAYGIINVRDRRHEV FHRDATPRVLAEGDASELVVDGAVVARVAVADLLPGD OJF2_RS39275 MDTTLGKQGLALPRVFAGILFLLAAVIGLPMALILAVSLVQADL RGLGIAAAVASVAAGLGWLGSVLWSGRPIPRWFMMGFGLFLFGVPVAAVVARGTWKEV AAILGMLLSTAWPFWSALRAQPPGKPEPFDDLA OJF2_RS17850 MLIRAASRRRRSTAALAARASRSARSASVSDWSISSRRIRPSAR ASRNALASSADPNGSPSARHTSERRIIGWHPEASSRARAKNASQAASPPSCRALRARK ASLAGGIIVASIGSKPSIRPIRYRSSASKRRPPSKAPRSRIQVRSSQARPSRESSSSA TIRLAPSDGSSSSVDSSHASSRPDSSAARAADPDRAASRLSSARRTARSRYAASARSA SSIFADSSAAFLSSLASSWSPAEIAAPRNLATRSWMPRGSASRTLGPSASRRLPPGP OJF2_RS17855 MMGLFPGARRSLAVSLLLGAAAGPGMGQEAVPSSPALPPASPAA LAPSPAPSASPRPVRPGSATSSPLASSPRINPAPFGPDDRRFPITLAAALRLSDARPL IVAAAQARVWVAEAELTRAKVLWLPDLNIGFDYIRHDGGGPDFNKGLMTAPSTNFFYA GAGLWGNDLGMIHTTDAIYEPLVARQRLNAAHWDTQAAKNDALLRTADAYFEVHRSRG TFAAHLYLLDLGGKLIDEVASLGRDLVPAYEVDRVRNFVADLQQQAVSAREQWRVNSA GLTRVLRLDPRAVLEPLEHDHSQITLLDPGRTLDDLMAVALVNRPELASRRASIAAAE AAIRREKARPFIPQIGIAGFQTPFEMLQAGIFGLGPNSSLNQWVGRSDISLQPLWQLR NVGFGNLAMIKAQRGMQSMAIVDLFDAQDGVAEEVTASRARLQSAAARVYQADRAVRT GLITYNGTTEGLRQTSRFGDVLVLISRPQEAVYALELLQRAFAEYYTTVAEYNRAQFA LFHALGYPAREIAQLRPPGEAIPVDTTRPEYLPPVGNGPPPASR OJF2_RS17860 MDHTTEQLAGETSRTTAPAAARAVRVAGRAALASALLLTAGAAP AADGPEQPAPVAGSLPSAVSSDIERFVRANGLDRPPPASAPARPPLAGRPAQGTATRP APLAPPRVAAAPAQEPGAGGGAPRATMPPGMPAGPRRADRAPATSPLAAAPRVQAPPL APEDLRFPINLAAALRLSDARPLVVAAAQARVWVAEAALTQAKLLWVPDLNLGFSYIR HDGGGPDFNKGLMTAPSVNFFYAGAGLTGYIATTDAIYEPLVARQLLNAAHWDAQAAK NDALLRTAEAYFRVHRSRGTYASLLYTVGLGGKLVVELEALGRDLVPAYEVQRARNTV AELQQQAVSARERWRVDSASLTRVLRLDPRAVLEPLERDHSQVTLLDPARPLDDLMAV ALANRPELGSRRASVAAAEAGIRREKARPLLPTVLLNGFQTPGGMLFQGGIFGLGPNG SLNQWVGRDDVSVQCIWQLENFGVGNLARIKAQRGMQSQAIVDLRRAQDRVAEEVTAA RARLQSAAARVRQADRSVRAGAYAFNGAFEGLRQTSRFGDDVLVLISRPQEVVYALEL LQRSFNEYFATVAEYNGAQFALFHALGYPAREVAELRLPGEPVPVDVARPGYLPPVGH GPPPATR OJF2_RS40015 MISFLNAAATGEGDGAAPGTGRRKVRRASTCEALEGRQLLNGSW GGGMGWGRTDAAPISAADAAGGPAQFRSIGGQDGPRLDASSGPMSFRVAPGQSSGGPA STAGTAPGDPAAAGTTTAAGTDATAADMQAWRTLRIDSEALKGMVPATLQASIKSDVA IIDKALLTLGQAKDATTTGGSSASSADGSSATTDGSAATTTADGSATTTATADGSATA TATTTDALTKLTTLLQEAQVSDGVISGIKADIQSYQSAIASADATLLNKITSERAALI SGLSTDQQAAMTKYGAGLDGLGGNLGINLDADAATRVTVAPTNTQGGPADTARARPIF TMDHQGAVPVATTVQATPIAAGQGDATVTTSAQATPVAAGQGAVPVATTAQATPVASG QAGATLATSDAGATGPMADASGQGGPGMSARGRRVHGGSGMTAASDVARAGGGRLARA SSNNTGGPGSFGRFHGGRGFGRSGR OJF2_RS17870 MHTETEPRGPHRLPHFLVIGAMKCATTTLHEQLARQPGLSMSRP KEPNFFSDDDRYARGLGWYASCFDGAGPSDLRGESSTHYTKRPNHPNTLGRMVRALPR VKLIYVMRHPIDRLVSHYFHEVTVGRVSGGLEDAVEEHPELIDYGRYAMQVAPYLEAY GPSAVLPVFFDRLAQRPDDELARIGRFLGAPGPMAWDHGLRPQNVGRERLRHDPLRNA IVRLPVLTGLRRRCLPRAWADRLKSHWKVKDTPPTVPPALRERLARAFDPDLERLGAM LGVPLDCASFREKTSGRPLSWARPGGRVRA OJF2_RS17875 MEPLEDRRLLSGLVGPVEPVNPVVVVVRTSFVGPLTAGVAPGTP IDGPAPLVVVRGWSHPDSPDAGGPFGGQGSAGYGPGSGWSPSDRPAESLGLPRSFAYG TSLGAGASTPWSSAPTLSEAFSARPWSGGWGGGAGMDRPWLKGAEPAHGWMSIAESAF SPWSEPGQSTQGGGPSYRGEAPSQAQEQQAAMLSQGAEAAWQRPHDGAGPMLASVAAI ALGGPPRDPLPPLASPTRPADAPSAAAAAAQALPPTPSAAAAASLSKENAPRASLGVV EALARGGMLELSTGLQPGLLLGNVVSGLLPGGSLPAQPAPVAGHGHGRAADPGQAAAQ MEGLTADAAAAAGELAAPEGAGPIAEALPADGRSLRAAIERLLDGFNDVDVAPAGADP AAEARGGPVSVGILGLLAMTLAARHRLRSRRPAASARRREGREGDQALELPELPGSWS TRLT OJF2_RS17880 MTVDHLDELIERLNDGDVAAAERAFLAYEPYLRMAIRRQLTGPL RSKLDSMDIVQSVWADVLCRFRDAGWRFTDRTHLRAFLMRVARNRLIDRRREHHRAIE QESPLDDAALQELPAGAEPRPSEVAQGRELWERMLEKCPPEHREILRLKRQGMKLAEI SARTGLHEGSIRRILYDLARRLAVPRRTISRPSQNDADADADAVPGRDATGDPPEE OJF2_RS17885 MDDPVSVHVREMASAWARGRPVAAEDVLAEHPELNTEQAVRLVY EEVCLRREAGQAVATAEVVRRFPQFRDELEFLLDADRLMRPLAKAAELPEAGEDLGPF RLLAELGRGASGRTYIAAEPALADRQVVLKVMAADQEEHLSLARLQHTHIIPLFSEHT FADRGLRALCMPYLGGASLGAVLEAVAKVPPGGRRGRDILDAMDAAQKGRPAPASSDG PYRRYLEQAPYVKAICWIGACLADALHEAHAHRLVHMDVKPSNVLIAGDGLPMLLDFH LARNPIKPSEAVADRLGGTPGWMAPEQAAAMDAVGAGRPVPGAVDHRADIYALGLLLR EALGGPGMLAAPPAAAARLRARNPEVSVGLADVLDRCTAPRPEDRYPDAAELADDLRR HITDQPLRGVPNRSPAERWRKWRRRRPGGLIRTASWALAAAFLAGGAAAAWAYFGQRV DEVVDRLHVAQRLRREHRYSEAADLLRLALARADGVPAVHGLRRELGAELHAAELGRK ATELHELAEIVRFRHSLPTAAAAADPGIRQLLDRWEATWGLRATLLGQPAGTLDAETE QAIRTDLLELALLWADLRVRQAPRGRGEGQSGAAAAGAREEALAVLDEADKLCGPSPA LNRQRRALRQAAGGAPEDARPDPPPRSAWEHYDLGRYYLRAGMIAEAAAELDEAVALN PSDFWANFQQGLCAYALGRFEDAIAAFRACIALNPEAASPYYNRALAEEATGRAAHAL DDYRLALARDPGMTSALLNRGKLLLEARRPAEAAADLERALPTTTDRPTLARIEYALA LARLALGDRPAALAAARKAAALGHPQARDLADRLSRGDAHRTYVDAYSLL OJF2_RS17890 MAMSWMTTMDLLYPGDAAATHLAAVALGAALLATAAVLAARLRW LSRRPAPRHAVLLCALAGCLAMPALAGLVAWAGLAIAVPVLPTTRAVAASEPLPNADE ALAPISPLPPRPGETSRAEAAMPVGGHSDVHSPHRAAIAIVLGIWGLGTLVLLLGLTR GVRRARSLRLSARPVDDPAVTAALGRIGDDVGAGSARRLRRPRLARSPDGAGSQGARS SRGRRRITPVLVAPGLATPVAVGILRPAVILPEGLAGAVSADELRDVLVHEMAHARRR DPLVVLLQGIARALYWPIVPVHLLGRELERAREELCDNHVLRDRDAIGYGETLLHLAE LATGRDAGPLVAAAGILHWRGKLETRIAGLLDAGRSTATRTPLAAILGLLALSLGAGS LAASMRLVARADEPQPSPQPPAAPTAAKPEPPDAEGRSILVKAVGPDGKPMPGVSIKA DISAEPEYRGDTRFVTDGRGQVRIPLPGGISDFDLRARAEHCVPMLAHWGGVDRPSTF VFFVTRVVSINGDGTASGPVPVDGHRDSRREPLPPEFTFRMDRGSTIGGTVRDPEGRP IEGVRVEVTSFGQPEGASGRAKVDGRLAADEHAPRSDATGRWSFDGAPTGRWVFEGST KVLPEPVLQLLPIRAGYAVGANREALHAGPGSPNAQALRGQAAVLTMRPTAVVRGTVI DPDGKPVAGAVIIPNVPPADLGVGGQAVQSDEQGRFTLPPLPSEAQALWVVGPGWMPA RREIDIRPGLEPLEIRLGPGKDLRIQFVDPAGKPVPGVDVSFNIWQGGNWLYVGNQAG YPDAKIPRQADGEGRYRWPWAPADDILYTFNKEGYQLKGARITADGKEHVVVLRETPE AGRK OJF2_RS17895 MGGSRGGASGLSPAQAEIMEIVWDRGEVSASEVREVLSATRPVA RNTVRTLLERMEEKGWLRHREEGRTFLYSAAQPRRATIGQKVREVVDTVCGGSAEALV AALLDDRGLSAGELMRIREMLAQARATRAKKGGS OJF2_RS17900 MGGWRSRLAVSTLGVGLVLLFPPGASALITGGVGNTPIGDPGWP KGAAAIFNHPARIAWWEGPPFGGGQWHAECRGDAKTLNAILAGLSRMEGKNRRVHVHD GVGASFWINPNREEQKALDARIDWMFVVWDPRSWQRLRGLPADLNPTDPADAEAGPPT ELHVWVGGNIKWADVRVPEGLKVVDGRLESHGYKEADGTVLEGNAYDLATKAPVAAKV QLRRVEPRKGRYDHPVATEVAADAKGHWAFKNVPAGWYQLVALADGFAPRALGYAQPD GQPRLQTFAAGLARPGELAGRVTDESGQPLEGVDVRIDNLTASADGAAPGRYEVPDTL ECKTGPDGTFRVEGLPVGNATIWVRKFAYVRPGLGPNVKVPAKDVKLTMKKSARLRVV VDFAGTKRPAEYLVELEPEGGNVVGSWGGSSQLDEKNQVQFSDAPPGRYLVRGKPNPS DGRDVTGPVKVELEGGRLTEVTLKPGEKK OJF2_RS17905 MAETTTGTRMYIDGRWCDAAGGKTLAVINPADESTVAEVAYGGR EEAMRAVDAAAKAMPAWRATSVYERAKVLKKTAELIRGRADELARVMTMEQGKPVPEA KAEVLATADTFEWFAEEGKRAYGRVIPHWTGNRRHYAIHHPVGVVGTITPWNFPMALA SRKIAPALAVGCTVVSRPADQTPLIMIGMFECLAEAGAPAGVANLVIGPARQVADVYF ERPEVRKISFTGSTEVGKELIRRSADQVKRLSMELGGHAPLIVFPDADVEQVAKAAVI GKFRNNGQVCVAPSRFYVHEKLAKDFTEASVELTKALKMGNGLEPGVQVGPMFEQKAL DKTTALIEDARGHGAKVLTGGGRSTRFDKGYFFEPTVLTNVNGSMKLMTEEPFAPVMP ILDFSKIDDVIAAANNTPYGLAAYVFTNDLTVATRMAEGLEAGIIGINDPVPATPQCP FGGMKESGMGRELGVEGLEAYLETKYVSVGLREG OJF2_RS40020 MSSKIPRDFARQLRREMTDAERRLWRHIRARRFAREKFRRQEPM GIYVVDFVSHRSRLIIELDGGQHAERLEYDLERTRWLEGEGYRVIRFWNNVVLTETEA VLEAIERELRPPASGLRGGR OJF2_RS17915 MGIRIEVEEGEPIAAALKRFRQQLRAEGDHPLHRHKWHKPNPRF YTKPSVLNRRRRWIIRAKKRSPCGMSPDPDYDWVDDMEMRPRRSWGRVGRHVIT OJF2_RS17920 MTRTETTEPIPADAPWWGELTRSHRWILLAAMLGWLFDAMDQRI FVVARTPALRALLPGLEADLPSYAGWATGLFIAGWATGGLLFGLLGDRYGRVRTMTWT IILYSVFTALSGLARSWPEFAAYRFLCGMGIGGEYAAGVALVAEAMPARARAFALGAV QASSSIGAIIGSGLSLLVGPQGTAIGVAGWRILFFFGALPSLMVIPIRLRVPEPDRWL RARDRAREEAAEGAPARPELRLGDLRAIFRDPVLRRRTLVGMTLGMVGQIGLWSIGLF TPELVRGGMLTERRLATPAPAFADPPARDLDALARQRAGTPEEAAALATSWKREDDRL VGWGTMLQDVGSFLGAPLCTFVAVRFGRRKSFALAYAMAMASVWLVFGTLSKGADVYW MLPLLGFCTCGIFGVIIVYLPELYPTRLRTTGTGFCYNIARYITATGPLVLGKLTLLF TGLGYATPIRPAALCLSFIYVLGLLLVPFAPETRGQPLPE OJF2_RS17925 MAFDSARQRRIMGHFATGVTVVTTGGPAGPHGLTANSVASLSLD PPLVLVAVDKRAHSMDFLQANRCFAVNILTREQEDVSRRFATPGPKDFEGLSLLPGVT GAPILADSLAFLDCRVHEILPGGDHLIFVGEIVGGEYHGGEPLLYYAGKYRRMLDPG OJF2_RS17930 MPRHAPGRRDEHADFGPDFPGRAPRRPRRRWWLSLPSPILAIAV LVFVVLPVVWPETEDVGAAPSSRGDFKLAADVTAVAVTPDGGRAAATGRDRPVVVWQK GEDQAWSMRSLPEHMPTGSRCLAAAADGRTLAAGNVDGSVSLWDMASGERRASLAGGT EMVLSLAFSPDGRTLASAGGDTKVRIWDVASAGPRATLDLRGAPATSLSFGPDGRQLA CGCEDGIVRVWGDVGRPDAAPLTFVASQQVVLAVAFSPDGRSLASASLCGRGIDVWNV PEQRSRGFLPTRGFSATCLGFTPDGLHLIAGEDDGTLGSWNALSLSRQAEFPAHSGWV KALAVAQGAPAVLTGGNDGYVRRWDLVEVLSGRPRR OJF2_RS17935 MRRVRDADGTRRHPAGAGMTTGLAALAAALMMAAAPARADVKLP AIFGDHMVLQRGQADKIWGWADPGEEITVSVAPGDHQPKSAKAGADGRWEVSLDALET GGPYTITVKGKNTVTLSDVLSGEVWVCSGQSNMQWNVGSANDADLEIRAAKFPGIRLI TVPNRGTQEPQKDFQGRWEVCSPETVGGFSAVGYFFGRQIHQTLGVPVGLINDAWGGS ACEAWIPREKLAADPRYKPLLDHWAQAEANYPQAKKAHDKWEAAAAKAKAEGKPAPQE PHNPDWDMHGNSRPGNIFNGVLLPTIGYGIRGAIWYQGESNSGRAYQYRHMFPLMIET WRDLWGQGDFSFYWVQLADFMEEKDRPVESGWAELREAQTMTLKLPHTGEAVIIDLGE GRDIHPRNKQDVAKRLARWALAKDYGVPVPCQSPTYRSMKVEGRKAVLTFDHVDGGFR PFDVDELRGFAVAGADRKFVKAQAKVTGDNTIEVWSDSVSDPAAVRYAWADNPVCNVY SQAGLPLTPFRTDDWPGITAGNTH OJF2_RS17940 MRRRPFPAPATLLGMAWLLACALGLAPGPAPGPAAARADGGWLI RSFDVDLDIRPDAAIDVTEVIDADFGEPKHGIVRVIPVRYAVAMHEYDLRVRLLGVDD GDPSAPAEYEASVSHEENLMKIRIGSAGRVVRGRNRYRIRYRVERAILWEGNHAWQDG DFAVLRWNATGTEWEVPIEGSRVTIHLPREMNDLESSSDAWTGSFKATGKDFRKRVIN ARTIEFTTPRLRPREGITVEITMPSDAVARPGRWKEFTWWLTDNFPYAVFPLTLAACL LAWLTRGRDLPGMGTVVVNYDAPDGLRPAEVGTLVDEKVDLRDISATIIDLAVRGYLR IEEQKSGSWFSSGGDYRFTKRKPVEGLKGFERLLYNRIFADGDEVLLSDLREKFYPVL SQVKGDLYGGLSRDGYFDGSPETVRGASLALGIVAVLAALAVAAAIQLAIVGRVFPLP MIVAGISSLAAVAVTSRVMPRRTRKGRIAWEKIAGLQEYISRAEADDIREQERQGVFE RLLPYAIVFGLSRRWGKAFADLYTQPPDWYRPASPMDFNTWMLVNDLDRSIWMMNQTF PTQPRVDVNSGGGGGYSWSSGGFGGGGSSGGGFGGGGGSSW OJF2_RS17945 MFWWILGGAIALTLLYVAWTYNRLVGLNRRADGAWSDIDVQLKR RWDLVPSLVEAVKGYARHESGTLEGVVESRAKATQAASLPQRGETERGLSSAVGRLFA VAEAYPDLKASRNFQELQGSLVEIEDNVQYARRYYNAVIRDLNTLVQGFPSNLVASAM GFGERPYFQLDDAERAAPKVDFAPAATPAPGAGAGAAATASNPPAPADATPGTP OJF2_RS17950 MSESDGSGGRNGPPVSSCEVPWGPAGSLRLAFPPGATVCEGDVV RPDLDGALADYPAALAAALGTPDGMGRLRELARPGMRVAVVVDDPSRWTPVREALPAV LRELQAAGVAAGDVAIVAGVGRHHAVDRAAMERRVGPEVAAAYECHSPPVDDLSAYDD LGATATGLPVRVFAPVARAGLRILIGSVLPHLQAGFGGGYKLIFPGTSHRSTLGALHR RGITGEEGRDAGRLLGGDAASNPMRRAIHEAAGRLGPCFSISHLLGAPGQVFRVAAGH PERVQDALAAEARRRFRAPAAAPADIVAAGNHPWPGDPMQSFKVLLHHRAASRPGGVL AGLFWTDPEEIDRSFPRTAMRLIAATGAAGGWGIRRAVPLAERVLSAKGSPAAFMLRW ARELVVDRAVLVYAPPLFDRIGPRLGPVRIFDDPSKLWSAAIAAAARTGHGGEPSVRI FPSGGLTYVPSGGG OJF2_RS17955 MDRSMRGRRRPWAYRPGNESLEDRRLLSGGTWPPYIPRAELRAL LHQGTPAVRPNTPVLPYGVAAKVATYVDPTARIVNGYAVIVGSPGFIGPYATLDAHGG LIQVGNSSVILDNAAIVANTVHAGTAPAPEARIGSFVYVGYGATIQGPSTVSSYDATR PTSIGPGAVIDGATIQQGAIVSALARVGPGVTVPSGIRVLPGADVATDAEASDPALGK VVAATSSDLSDVTKQLTNNLLLAQGYVTLYQGQSATGVSAGVPTTVSGIYYGNLAAVS GVSRQPGSPTASTAFLPPGKGAQFPRPRGGLAQSTLYNFHARVTGQALFHQRARAVQA NSGRGVAIRADQGQPITIGSIAGLGNGVTINSPGGGALTIGQAFTAGNKATILGDGTR TAVIGDNVSIGSGAVVSGSSLGSGTTVGDRAYVLNSTFPANSRIPAGAIYINNALVGT VQW OJF2_RS17960 MTATASDEDRVVIVGGGVVGAFSAYYLAKAGHAVTVVDAGPFGG ACSRGNCGYICPSHVLPLAGPGAIGTTLRTMMRKGSPIRIGLGTVLSHPGWFLRFARN CNEAAMMAAAGPILALTKSSRALYDEVIAAEDLDCEWDRNGLLFVFRTRAAMDHYAEV DHLLRERFDTPARRLDGDALAAFEPALKPGLPGGWLYEGDAQLRPDRLMAELKRVLEG LGVSILENRKVTGFLRQAGRAAGVRTDGGEIPAGRVVVATGAWTPGLARELGCKVPIQ PGKGYSVTYPRPEGSPGVPMIFEEDRVAVSPFHSGFRIGSMMELVGPDASLDPRRLGV LTETANRYLKPQTTGAPEDSWWGWRPMTPDGLPLIGAPPATPNVLVAAGHNMLGMTMG PATGKLVAELVGGEAPHIDPAPYAVGRF OJF2_RS17965 MDRVQVIDSHTGGEPTRVVTSGGPDLGGGPLSARREAFRERFDR FRSAVVNEPRGSDVVVGAMLVEPHEPGCVAGVIFFNNVGVLGMCGHGTIGVAVTLAHM GKIGPGSYRLDTPVGVVGFEYRGGARVSIENVPSYRLKAGVAVDVPGVGPITGDVAWG GNWFFLTPAADLELKLGSAEGLLRRTTLIRRALEEGGVTGAGGAEIDHVELFGPPEDP ANHARNYVLCPGGAYDRSPCGTGTSAKLACLAAEGKLDEGQAWRQESVIGSVFEGTYR RAEGGGRIIPTITGEAYVTAEATLLFDPADPFREGIRS OJF2_RS17970 MAQNPNWSGVFPAITTQLREDRSLDLAATARHAEALVASGVGGI VACGSLGENQSMTPDEKQEVLAAIVRAAGGKVPVLGGVAESSTAAAVRCVRDYAKNGA SGVMIMPPMVYRPDEAEAFAYFKAAATAADLPWMLYNNPIAYTVDVTPAKLEGYLEIP NLVAMKESSGDPRRITEIRLLVGDRLAMFAGVDDLIMECALNGIDGWVAGSGIAFPAE NQRLWDLTRAGKWDEAKALYRWFAPLMKLDTHPKFVQYIKLLVQEAGLGAEWVRGPRQ TLSGEERERILAVIRKGVETRPKG OJF2_RS17975 MRTDPAAELFARLAEPFTGEALFDRLDGVVYFVKNERAEYVLVN RTLVERCGASDKSELIGRTAEDLFPAPLGRTYREQDEALIRSGEAISGQLELHLYPGG RTGWCLTNKLPLRDRGGQVVGLVGSSQDLRPPAEAEDGYDDVARAVQFARDRLEERPS VEAMAEVAGLSAYQLDRRIRRLFGLTPGQLLLKLRIDAAAEQLRHTDRPAAQVALSCG YSDQSAFSRQFRRTIGLTPLEYREAYRPGG OJF2_RS17980 MWGMKPGRSVVVIAVLATLVAISGGGQASAAVLHSYTSLATMDD APNYTLSIWSSNVGGEPASYWSGGEVHLGSFYGGMRYSQFPDTWPTPDVTYKNVPFTI QIMPTVTLPSSPGGYQYTLGVTGPAYDGAVLHGVLNGTFHSDGGSDVTATFTSVTPFD VANYYRQDLKVSYTVDPSLPNLFPIENIVLPGPIHVNPTSFTDINIQMLHVPEPTSLL IFLPLFAALGSRSRAFRRSLAGALVATR OJF2_RS17985 MDTFTIELPEEQARRLREQAEEAGVTPEEMLRFTIQEWLTRPGQ DFARAAAYVLQKNRELYRRLA OJF2_RS17990 MRYLTMGEILELHRMVIDQSGGRSGVRDPNGLDSALAQPRMAFG GSDLYPTVVEKAAALGFSLVMNHPFVDGNKPIGHAAMETFLVINGYEIVASTDEQERV ILDLAAGLLRREAFTEWLRARLGDLRTA OJF2_RS17995 MMRRIAFILPAVLVATGAARAQAPAVPRGYVAHKTPAPIVIDGK LDDPAWQGAPWTEPFEDIEGDVRPKPRFATRAKMLWDDQYLYVAAKLDEPHVWGTLTK HDSVIFQDNDFEIFLDPDGDNHEYYELEINALNTEWDLYLPKPYRNGGPAVDAWEVPG LKTGVHVEGTINDPRDEDKGWTVEFALPWKVLGEHAHRPAPPKDGDQWRINFSRVEWK HELDGGKYRKVPGTKEDNWVWSPQGVVDMHRPEYWGIVQFSDVAPGAGTVALRSDPSL PVRSRLMQVYHAQKAYRDKNGRWADRAEALTLPEPPAGLPRHELKLEARPGGYQATLR PLDEGEAKAIGVWSVGEDSRLRRE OJF2_RS18000 MDDDVFSTVVLEKLPLAESVWRLLHYTMDEAWLVDLWDRNRGRC YERELKFSTLAHLVSDALLQHDGSGRKSFERAQEERILNVSIPSPYAKLATLPVALSE AFLEGGSRRMQAVLPEDLAVDPLPPCLEGYDVFGADGKAVKHVKRLLKSLRNLQAGIL GARASVGLNLRTGLVVGMVGHLDGEAGEAALTEDLLPRLATAAARSGKPWVAVLDRLY CNLSFPRRVLDAGGHFLIRYCSNTTFVPDAKRPARAGRDSRGRRIVQEWGWLGKVEKG SRVYVRRITLDLGGGKSIGVVTDLLDEEEFPAEALLATYHGRWGIEEAFHQITEVFSL KRLIGTGPKAVLYQMSFCLLLYNALQVVRLHLASHQACAAEKISNEKLFDDVQRQMIS VDELIEADVLLGMLGAVPSADELRSRLQERLGGVWSKRWWKAPSSGGGGHKKEKKRVL GNHTSTYRVLQQARE OJF2_RS18005 MNVRQSTGASKVRAAAILALIALAAPGLSAAAAGPTLRVEVDAR ELPRRLIHTTVRLARGPGPFDFWYPRWIPGTHGPSGPLDTIGGLRVHARDGSPIPWRR DEVDLYRHRCEVPAGAGEVEIRLDTICNVAAEEASGHLSFGNAMVGIINWPTCLVYPD GPAAADIKVELSLRLPPKWKYATALRGGTEKDGLITFDPVSLEVLGDSPLIAGELLKT YDLSTGAYPRARFHVASESPTAVALPPDVLDLYGRMVREAGALFKNCHYDRFDFLVTC SDDLGNLGLEHLASSINGVGERDLIDARRRKGWIANLIPHEYVHSWCGKYRRPLGQCT PDFHTPMRTSLLWVYEGLTQYLGDVIMVRSGLATAKEYRETLTDRIGSLMLQAGRKWR PLEDTAIASSILRGGSPNWTDLRRSQDYYYEGALIWMEADAIIRAKTEGRRSLDDFAR AFLGRKGAPGQQADPYERAEVVSLLKQAADHDWDAFLAGRVEKPLDALPLELVTRLGY RLQYSPTPHATEDGGRSRGRHGASAEHSLGLSFTPDGRVSNIVPGMPGDRAGLAPGMK MIGVNDRVFSPQRLEDALADSVALRKIDLLILEGDRFRTLSIAYDGGPKYLELVRDEG KPDVLEQIMRPIAR OJF2_RS18010 MGDPFSSERPTSVRLGVLGFLAAMTFVLYLDRLCIGQAAPLIQK ELGISDTWMGVVFAAFSLSYVVFEVPTGRWGDRFGSRGVLTRIVIWWSCFTALTGAAS GLAMLLTIRFLFGAGEAGALPNSARVLKEWFPESTRGRAQGIITTAMMAGGAVAPRIS QWLINALGWRWTFASFGLLGLTWAALFYAWFRDDPAEHPAVNDAERRLIAEGRARPAD AAVVHAPIPWRRTLGNPNIWLLGVAMLTMSGIYTMLGSWYPKYLQSARGVTADDSSWL SSMVLGAGAAGCLLGGWLTDTLVRVTGNRRWGRTAQATAGAGLTAACLFLSLRTDSVF LSSVLVAAACFGVQVQVPAWWASATQVSGRHVGALFGLMNTVGNIGGILSPPFLGFFA DVMKARGYSGRDQWDPGLLVYAVVAILGLVLWSLIDPTRGVDEAEAGEAA OJF2_RS18015 MERPAVMTVFGTRPELIKLAPVVRALEGLSPSLRAVTVSTGQHR ELLAPFLDTFRVRVDHALHVMTPGQTPNQVCSRILAALDPVLDAERPAMVLVQGDTTS ALAGALAAFHRGVRVGHVEAGLRSGDRANPFPEEMNRRLISRLADFHFAATERNRASL LAEGVPPEAIVVTGNPIVDALGAILGAGAGSPSPSLKPILDATRGLKRIVLTTHRRES FGGALAANLRVLRDFLARREEFGLIFPVHPNPEVRRQAAEILAGRPRVHLVEPLDYPA FLGLLAAATLIVSDSGGVQEEAPSLGKPVIVLRTNTERPESVAAGFARLAGGDPAELA RLLDEACTPGSWATTLRAGANPYGAGDAGPRIARAVAEILGVPVSELAQDGGE OJF2_RS18020 MQPKPSNLSRRGFMDRSLAALTVGAGLPLWYARDLFAAEREREA EDAQARRRGPNDKLVMAAIGVGGQGTGIMKWAKSKPNVEFVAVCDVDAGHRAKAAHTI GKDCRQYGDFRELFAKEKLDAVTVGTVDHWHALVAIEAMKHGCDVYCEKPMSLTIEEG KAMVKAARKYDRVFQTGSQQRSDARYRLACELVRNGRIGKVHTVEARIGDNPRGGPFK ESSPPEGLDWNFWKGPTADVPYVKEKCHYEFRWWYEYSGGKMTDWGAHHNDIAQWGLG MDGSGPVFVTATGEQPSKEPNTYNCHPHFAVSYLYGNGARLVTTSDGENGNRFIGDKG WIFVNRERIEASDPKLLEEPLPKDANRLYVSNDHMGNFMDCIASRKRCICDVEVGYRS VSVCHIGVIALRTGLPLNWDPVAQHFVGPHAEKGNAMLSREMRSPWRLEV OJF2_RS18025 MVSDSSPGVSDVPAGVRRRNPAAVALLGGLAAGLVAFGLGEVLY GWFPEAGESGSLNGAPVVLNTARTHAIATTRNAALEYAVLGCGLGLVMGLAGGLAGGD LRRGVAAGSFGLLLGGLAGAGLPLALVRPFLSYYQAQVYQDMMIPLAMHGTFWGVLGL IGGLAFGIGRGRGGIARLAILGLVGALVGTAVYEVVGIFLDPLAETAEPLSKTVATRL LARMAVALGTAATIALGLDGTPPGGTRTPK OJF2_RS18030 MNQIIDRRRFCGLTGLLALGGLVASGCGEDMSQTKNIQVDTPPL ESAKDSMENYRKQHENDSKSKKR OJF2_RS18035 MSKVSRSRSGFTLIELLVVIAIIAVLIALLLPAVQSAREAARRA QCVNNLKQIGLALHNYHTTSNSFPMGVSATINPLNGSNPCIQWMGWSAQGLMLSYIEA GPLYNAINFTMDPINSPTWPYNTTVTTARLAAFLCPSDPLAGKQYTNNYHACVGTTAT ADWGTDGNRCTGKDSTGLFSYINTYGLTDCQDGSSNTVAFSEAVVGNGSNQKKNYASG TNLPDGAQGGIQDAWSLVPTGQQAPGTFVSVLQTCTARFLQATDGNGLSNSRGRFWAW GSDGETMFNTLVPPSSNQYQWSACRFGCGGCGWDSSDHSHISNANSYHPGGANVCFAD GSVKFVKSTISMQTWWSLGTKANGEVVSADAY OJF2_RS18040 MQAPTAKARPRTYLIIGLGVLGLLGAIAYVGGRGWFDSQLAAAE ADLLSGRPDEAAGRLGRLARFAPRDPDVLFFSGVAAEARKDAAAARAAWEAVPRGSSR WADACARRAQLALAEGRLAEVEDIATHAEIPAAHPAAESIESSLVQAYLFTVRYDDIA AIKRRQWERTGKPEPLRLLWLLGETKSFALSATEARLEAAGKLAPDDDRVWLGKANLA TRANRKDEADGWIKKCLDRRPDDPAAWRARLDWAIAFDEPAAAAEAARHMPADSLTPA RVLALRAWLAARRKDDALERRALEELHDREPGNPAVLTRLADLAARAGQPDLVARYRE EKRAMDRINDEYRLLLTEDPFFRSAASTRESAENTELILARSATALGRWFEARGYWQL VRRRSPSSKEAADALALIDAREAALRRGPIEARLKSASSLADAVSDAIGPAPPGEAVA ADDAPVVPQFRDLAASSGLVHTYDNDPSPLARMPESMGAGIGLIDYDGDGWLDVYAVQ GGRLTMSPDEPRGPQRDRLFRNRRDGTFEDVTAKAGLAAFPGGYGHGVTVGDYDGDGH PDLFITRWWSYALYRNRGDGTFEDATERAGLGGHRDWPTSAAFADLDGDGDLDLYVAH YALWDPKTAPPCQHPRDPKRFMYCGPRMWQAMPDHLFRNDGGRFADVSAEAGITAADA DGRGLGVLATDLNGDGKVDLFVADDLTANLLFRNEGGLRFTECAMTSGVAANADGGYL SGMGIGCADLDGDGLVDLAVTNFYGESTTFYRNLGEGQFADETRGFGFSTATRYLLGF GTSFLDANNDGVPDLVTANGHVNDLRPSVPYAMPASLLLGKPGGHVVDASSRAGEPFG VLRVGRGLAAGDLDNDGRPDFLTINEQTPVAYFHNEGPAGHWITIRLEGTKSNRDAVG AVVTLTTAEGRRMVAHRFGGGSFLSANDARLHFGLGASATPPGRAASIEVRWPSGLVE HVADLAADSAYLVREGEGKARELPGWKAKAGAR OJF2_RS18045 MATTKKASETKAKAAPKKAAPKATAVKAAPKAAASKASAPKATA EKAAPKATTKKAAPKAATKKAAPKKASPKLSPTQQSLLETISKVTEPLGFFSPKKIEQ KIIDTLLKHKLIKKGKKHAESKSFYVSISKEGKKVLDTLSAPFTKE OJF2_RS18050 MFDVILENYRKAAESTMKMQQDMMRNWAMQWPQMLGGQGFGLPV VGAGSALPGAAWLEQMGEAQKKWAQSVTEMLDKHRESLDAQYRAGIRTIEDAFKVGQA KDPQQFQKLTEELWKQSFDCLKTVAEAQVRDVQTAMQKWYEAASKAAAGIKV OJF2_RS18055 MAGTSTLIVTGVRTPMGAFMGSLAGVPAAQLGATCVKALVERTG IPAGAVDELIFGTCIGAGQGMNPARQVAVFGGLDKRTHALTVNEACASGMRAVMLADQ IIRLGEAGVAVAGGMENMSRPPYMLLKGREGYRLGNAEVVDALMHDGLTDAYSNKPMG VFADQCAAKYEVSRQAQDDFAVESHARARKAVADGVFAEEIAPVSIVARGKTTVVAED EGLNKFDEAKMRGLRAAFNPEGTVTAGNASSINDGAAALLLASPARCESLGLRPRARI VAACLHSQEPEWFTTAPVGAVQGVLEKAGWNVSDVDLFELNEAFAVVALTCGKQLGLP AERTNIYGGAVPLGHPIGCSGARLLVTLLTGLKHTGGRRGVAALCVGGGEGIAMAVEA V OJF2_RS18060 MSTTHSKPKPEAANGPATPAPADPFSEVASFWARWLEQSSRGTQ ALLEAFQAAGDPQQVQQRWLDAFSKGAESFMRSPAYLDLMKNTLRGVVELKVMQDQAI SDFASQFGLPLAADIKGLFERLHSTEQTILRRLDAIEERIRAIEPK OJF2_RS18065 MASGSVILEENDLENAIPDFSLAGKKAFVTGSSRGIGRAVALAL AHAGADVAISCNTGGDAAEEACRRIREMGRQAQFYAHNVAMESEVEAMCGEVLRDFGR VDILVNNAAINRDRAFKKLTKDLWDEVITTDLTSVFLVTKHFIDDMAARGWGRVINMS SMSGEIGNFGQANYAAAKAGMIGLTKTLAREYSRKGVTVNAVAPGFTRTRMTEGIPDK AMEMVLAATPMGRMGEPVEIAAGVVYLASNSAGFITGHVLDINGGFAM OJF2_RS18070 MASTSTTTDAAAAAAGFQRQWFEQALAQQRAAYEATLKMWSRLF AVPRVMDWARDVKVGTTPSDVAYEEDTLKLLHYRREAPAVYREPILICYALVNRPYIV DLQPDRSVVRQLLARGFEVYLIDWGVPSAADRSMTLTDYVDGLMRNCAEVVLKRHGAQ ALHLVGYCMGGTMSTIFAARNPDTVKTLTTMAAPIDFAAGADQTLVSFWSNPDYFDVD ALVDAFGNVPATFLQASFAMMKPVQNFYGKYLTFFEKMDDDKFMENYFAMEKWSNDNI PVAGETFREFVKKLYQRNELARNAFTLGDSPVDLRRITCPVQILTATADHLVPPCQSD ALKDLVGSKDVKLRGLSAGHIGLSVSSKAHKAFWPEVTQWLADRSGRVSY OJF2_RS39280 MPAGPDPTDPAAGLDRVGDFRLLREVGRGGMGVVYEAEQVSLGR RVALKLLPARMGADAKHRGRFEREARAAAKLHHTNIVPVFGVGEHEGTPYYVMQFIEG RGLDAVIGELRRGPEAAAETEAPAPGPPADDPSGRPPDTAFVRSLLNGLLAIDTDATI DADATTDRDATSDGDATTARGTGEGPDAAPGPAAVRPPPGATAPGPSPPASAASVAGR AGTRAYWRAVARVGVQVAEALDHAHGRGVVHRDIKPSNLLVDATGSVFVADFGLAKSE DGPALTETGDVLGTLRYMPPEAFEGKSGPRGDLYSLGLTLYELAALRPAFDEPDRAGL IRAVTAGTPPRLRRAEPGVPRDLETIVQKAIERDPSHRYASAGALAEDLRRFLDDRPI AARRATGAERLWRWCRRNPLPASLAAAFLLALLIGMAATTYYARREALANGELRASAS REQERFDLALDAIRSTREAVADDALLKEKRFEALRSRLLGDAGAFYRRLEAQVRGRPD RRSRAALAAALFELGELASEVESKEEALAVHRRALEIRRGLAAGADAGPQDRAALARS EQAIGRVCRDLGRVEEGLAAQGRALEVLRPLAAASPDDAQMQLDLERTYDGLMHLHAD AGRFDEMLAVSEEALPLQLRLAAARPSDPRFKVDAAKTHFDRAIAYGRSGETLARARP SLDAAVALLREALSLDPAHPEARQVLGTCRHQIALLLEREGRDADAAREYEAAREGLE ALVAEYPSSYEYRTRLAEALTYHGRFLARTGEAARAAAILDRGLELVEGVERTNPRSL RTAALFVRLAASRIALLAHAGGEEAAVALVERARPAMERVASSERGLRNHAYALFELE HYGGEAYQASLPREAVASLRRAILVIERGFEPGASREISLAAEYAAILAMSGYPGAQV WSYDARWAGEAAMAALRRAAAAGFRDATFLRESEQLAPLRGRPDFQLFLMDLAFPAEP FAGQFRRPGP OJF2_RS18080 MRPPSSSDLSRRDFVKGAAAASTAALAPANAHAAGGDEIKLALV GCGGRGTGAAADALGNRTHSNVRLVALADAFEGPVERALNDLKGQFHDKVDVPPDRRF VGLDAYEKAISAGADVVMLCTPPGFRPAHLAAAVAARKNVFLEKPVAVDAPGYRAVKA AGEEAKRRGLSIAVGHHLRHAKNHKEVVARIHDGLIGDLMFTRAFFRTQGIWNRPRQP GMTEMQYQVNNWYHFVWLSGDHNVEQHVHGIDACNWIARGVPVQATGLGGRQVRAEPG IGEIYDHHCVQYAYGDGTFSFSECSQIAGTYSNFSHQAYGTKGYVNFDGNDLVTIREK GKPARTLKPGRDGHQVEWDDFLAAIVAGRRYDELDGAADSTMTAILGRMATYSGQLVT WDEAVKSDLSYAPDRIAWDAPPRTKPGPDGIYPCAMPGITKAF OJF2_RS18085 MFQKILIANRGEIACRVIRTARAMGIRTVAVYSDADRNARHVDL ADEAICIGPPPSRESYLDIDRILAACEGAGAQAVHPGYGFLSEKEEFARRLEDAGVVF IGPKPHSIAAMGDKIASKKLARDAGVSTIPGFNDPIESAAQAVQVAREVGYPVMIKAS AGGGGRGLRVARDDREAAEGFESCRAEAKNAFGDDRVFIEKFVEEPRHIEIQVLGDAF GEVVYLWERECSLQRRHQKVIEEAPSPFLDDETRRAMGEQAVALAKAVRYQSAGTVEF VVGKDRSFYFLEMNTRLQVEHPVTEMITGLDLVELMIRVAAGERLPFRQEEVRRDGWA IECRINAEDPFRNFLPSTGRLVRFLPPEEEPGAVRVDTGVFEGDEISMHYDSMIAKLI VHGPDRARAIARMQAALNAFVIRGISSNLAFQAALTRHPRFASARFNTGFIAEEFPGG FSPAALEHENPLLLAAAAAYARRRYIHRAVRTTGQMKGHERRVGPAWVVLMQGKRYHL EVHLVPGGCDVRHGGRDHALRTDWRLGDLLLRGTWDGVPICMQVERMGLKYRVYHWGT QADAVVMTGRAAELLALMPEKPPPDLSKFLLSPMPGLLTQLGARAGQEVRAGENLAVI EAMKMQNILRAETDCVVAEVLAKPGDSLAVDQPIVRFR OJF2_RS18090 MYDIIRQLDEKRAAARLGGGEKRIAAQHAKGKLTARERIELLLD PGSFEEWDMFKGHRCTDFGMAGQSVPGDGVVTGYGTVNGRVMFVFSQDFTVFGGSLSE AHAEKICKIMDHAMKVGAPVIGLNDSGGARIQEGVASLGGYADVFQRNVLASGVIPQV SLIMGPCAGGAVYSPAMTDFIFMVKDSSYMFVTGPEVVRTVTHEEVTAEELGGAVTHS SRSGVADLAFENDVEALMMTRRLLGFLPSCNKAKPPTVPTEDTPDRIEMSLDTLVPES PNKPYDIKELIAKVVDERDFFELMPDHARNIVIGFGRMDGESVGFVANQPLVLAGCLD IKSAIKAARFVRFCDAFNIPIVTFVDVPGFMPGTAQEYGGIIKHGAKLLYAYAECTVP KVTVITRKAYGGAYDVMSSKHLRGDVNFAWPSAEIAVMGPKGAVEIIFREEKSDPGRI AAREKEYKEKFANPFIAGHRGFIDDVIMPHETRKRICRSLAMLRHKQLENPWRKHGNI PL OJF2_RS18095 MKDLTTGKPLLMPADLKLVEGVLARQPRALAKAITLAESTRPDH QARAGAVLDKLLPSTGKSIRLGISGAPGAGKSTFIEALGVHLIGLGKRVAVLAVDPSS AISGGSILGDKTRMERLCQEKDAFIRPSPSHGSLGGVAEKTREAMLICEAAGYDVVLI ETVGVGQSETTVAGMSDMFVLVQLPNAGDDLQAIKKGIIELADIIVVNKADIDPKAAA IARGQISGALGLLRSASPHWRPPVMEASAATNKGIDAFWAEVEKYARVMAETGEFQAR RRRQAVDWMWAMIDGGLRARFRQHPRVRRDLDPVVAAVAGGEQSPSAAAYQLLGYLDA PTPTPASAQMRAAETAM OJF2_RS18100 MIPDAARDHAAPPEAPDGADRAPRVLIVGENASARFGGEAVLPW HYFRLLRKRGVEAWLLAHDRTRNELTALLPGEADRMSFVPDTKFDRKASRLGARLPAR LAYVTVGGAARLKSQLLARTMARRLVREHRIDVIHEPIPVGPRIVSVLHDMGAPVVIG PMNGNMSFPPGFGYKDRAYGLMRKGTNAMNRLFRGKLKAEVLLVANERTRRALPSGCR GEVIELVENGVDFSLWSPAPERPARPGEPVRFLAMGRLVDWKVFDVALDALARVQAQP PPTLDILGRGPMREPLEQHAARLGLGDRVRFLGWKSQEECSRILPEYDALIHPAIYEC GGAVVLEAMASGLTAVVADWGGPADYLDPGCGFLIPPTSREDLVDGFVDAMTRLALDP ELRRRLGRAALQKVRERFDWEAKIDAILDVYGHAMAARRRTSAS OJF2_RS18105 MNDPIPHAEVPLTRREMLLRGGAGFGSLALLGMLGDSPLLAATT PAGTPAAGGASWTLPARAKSCIFLFMEGGPSHIDTFDPKPLVNALAGKPLPASFKPVI TPMGEAGSPILPVQRKWARHGEGGLWVSDWLPHLAGCADDLVVIRSCWSNGLNHVGGV CQMNTGSILAGRPSLGSWVSYGLGTENRDLPCYVVLQDSNGLVAGGPRNWGSGFMPAT HQGIRLGSGSRELIPNLSTPGDVGEARQRGKLAFLGRLNARHAERHPDQTELDARIRA YELAFRMQAEAPGAVDLADESEETRSLYGLDRKETSAFARNCLLARRLVERGVRFVQL YHGAGAKWDAHSGIEKNHAELCRSMDRPVAGLLRDLKRRGLLDQTLVVWGGEFGRTPM SEKGDGRDHNPYGFTMWMAGAGLRGGRTVGATDEVGLHAVEDRLHVHDLHATILHLMG ADHSRLVYLHKGRPERATQNEGVVSESILRA OJF2_RS18110 METVRGTGRRAATSSNVASRTRETVAMAIAAVFAAIGISPDGMA GEAAGPEQVRYFETEIRPLLATSCMGCHGAGKQKGGLRLDSKAAIVAGGDSGPAVVPG RPGESLLVEAVNYASDDLKMPPNGQLNEAQRAALSRWIAMGMPWPEADSRAASAPTTV PAKRPRITDEDRAFWSFQPLRRPDVPAVDDGGWGRNPIDRFLFAGLKAAGLSPAPEAD RRVLIRRLSFDLRGLPPTPEEVEAFVADPADDAYEALVGRMLASPRYGERWGRHWLDL VRYAESDGYRADDYRPEAWRYRDYVIKAFNEDRPYDRFILEQLAGDEIDPDDPELRIA VGFLRLGTYEHNQRDVPGQWATILNEITDVTGDVFLGLGMGCARCHDHKFDPILQKDY YRLQAFFAPIALRDDLGLASDAERRRYEDALAAWEAKTADIRAEIAALERPYLEQLGG GALAKFPAEMREILDRPAARRTPLEEQLAQLAYRQVTEEHDKIDAVMKKGKDAPAYKQ LLSRLAAHDRDRPKAPPRPPTVTDVGPVAPPTTIPGDRAKRPVEPGFLTLLDPEPARV DRPAAAPSSTGRRTALARWLTRADNPLSTRVAVNRVWQYHFGRGLVGTSSDFGRLGER PSHPDLLDWLAAEFVAHGWSLKHLHRLIVTSTAYRQASGHPSPELAQKLDPENRLLWH WSTRRLEVEPIRDAMLAASGELDLAAMGGPASVHAEPRRSVYTKVMRNTRDPLSEAFD GPDGSITTPRRDATVTPIQALLMINGSWPMARARAMAARLSATASDDLGRIDLAYRLA FGRDPSPDERGDAIAFLHDQALRAAPPSTASSKGAADALVDLCHALLNSSEFLYVD OJF2_RS39285 MPAQGHAGRGGRAGAVVAGKYALLEPIGEGGMGSVRRHVAVKRI KAPPRS OJF2_RS18115 MGTVTARIGLVFGYGLGYYRDILRGIRLFAASRPGWLLTPIAPE PVAVQSLRLLEPDGFIAQIFDPELARSLQGLGRPLVNVSGVLPELRVPRVGVDHEAVG RMAAEHLLDRGLRRFGFLGYRDHAFSLGRQEGFRRVVRGAGCEVDVYHQAETIRWETS GLWRWDEGLEAWIRRLAGPVGVLASHDLQGLQLCEACRRAGVRVPEEAAIVGVDDDDL LCELARPPLSSVGLPAERIGFEAARLLDRLLSAPARRAVPRPILLPPGGVVSRGSSDM LAIDDPVLAEALRFIREQAHRPLPVGEVARAASVSRRSLERRFRSGLGQSVLQQIRRA RVERARALLARTGMPIGEVALASGFGEPKQLSTVFRQETGMTPTAYRGTVRARG OJF2_RS18120 MIGCGFWAPYQVAGWREVPGVEVAALCNRTRPRAEALAGRLGVP AVYDDAEAMIAGERPDVVDVVTDVGTHPRFVKLAASRGVPVICQKPLAPTLEEAEGMV AACREAGVPLFVHENWRWQAPIRAFREALRGPGLGRVFRARIDFLSGFPVFRNQPFLR ELDQFILTDIGSHVLDVARFLFGEASRLYAQTQTVHPDIRGEDVATVMMRMAGPATVP GGATVACQMAYAENHLEHDRFPETYLFAETEHGSVELGPDYWVRTTTADGTRSRRHPP PRHAWADPAYDVVQASIVPCCADLAAGLRGTSPAETTGEDNLRTVRLVFGAYESARSG RAVSLP OJF2_RS18125 MRSFPDERPRTLKAGPLEALFADGSLRHVKVDGVEVIRRVDMPV RDTSWGTVPGTTSDVAVAAAEDGFRLTFAMSHARGPIAFESEGVIEAKVAGHPPEAVV RFEVAGRAREAFDANRVGLCVLHPPGTSAGRPCVVEHADGSHEPGRFPDHVAPGLPFA EVRALSHEAVPGVRVEVRFEGEVFETEDQRNWADGSFKTYGPPLSRPTPYAVDPGRPI RQAVTVHIEGRPGHAPAAVTRHAGPRVRLPRLGVSVTRSLGLLDGEKVARLRELRLDH VRLDLEPHAADLEASLDALSGLASALNVPVEFGVHLPSEDAGVLRRLRDAVARRRLRP CRWVVHVDGHPVTPDAVVAAACGSLGEGWPGVAVGGGTVGNFAELNRRRPAPGVGGLL AYAVCPQVHATDDLALIENLEGIAPTVETARTFAAGRAIAVGPVRLHRQPDPFAKGVG PGGPGPEPERPDPRQSSPLGAAWTLGAIAELARAGADSATLYEAAGPFGILDERGPSP AWNVLSELGGLGGTPAEVFAFGSPPVGAALRIHPGGGPIGLAANLTGGPWTLRWALPK GAYRAWRSRERSVEAADPVRVAAGPGGLTLDLGPWEIVRLDEGGFA OJF2_RS18130 MSRAVLVTGGAGFIGSHVAAQLARRGDRVVLFDQRPPAGAAEWL LGPHADRIAFVRGDVSEWPDVLGAVREHAAGAIVHAAAIGDPAAVQHRPLLALRVNVE GSLHCLEAARLLGLGRVVLFSSIGVLPGIREEPVTASHPVICGDEGPGSGFYGASKVA VEAFAYGYRQAFGVDFAVLRPSAVYGLGMQHPIFIKPMVEDAVDGRPTRFATGRDFPR DYTHVEDVAALALLALDAPADALRDRVFYAATGRPLRTAGELAEVVRGLVPGADIEIG PGLSDADRLEVRYRGVLDISNAREQLGYAPRFGRLEDGVRQYVDEYRRYREALAARS OJF2_RS18135 MSDSLDRYFSLSGKVALVTGASGGIGRALAAGLAGAGAAVALHG RSEGALAETALAVERAGATSLRLVADLSDPGSCDRVVAACVEGLGRLDVLVNCAGMNR RKPMDEFTGEDFDAIVGVNLRAPFLLSRAARRAMKAQGGGKVVHVASLTSSVGLARTA IYGMTKAALAQLAKTQAVEWAADNIQVNCLAPGFILTPLTEGLWSDPGRMAWLKGRVP ARRPGEPDEMVAAVLLMAGPGSSYLTGQMITVDGGFLAGGSWDDDGPGAVE OJF2_RS18140 MRLGIGSYTYGWAVGTAAGRPEGALTARDLLRRAIALGVRVLQL CDNLPESTWEADELAALGAEARRAGVEVQVGTRGSQPGHLRRFIAVARAVGSPILRLV IDAPGDEPDAAEVVRRLDAVSGDLERAGVVLALENHDRFRAAALAAIVRQVGRGCVGV CLDTVNSFGALEGPEAVVETLGPLAVNLHLKDFDVVRLPHLQGFLVEGRPLGGGRLDV PWLLGRLRAFGRDPDAILEQWTPPEGSPGRTIEKEAAWAEAGVRAARRWIHD OJF2_RS18145 MRTIAIWGAAGNMGTRACNRLRENPEYEVLCVEPLAAGVARLEA RGDRPVPPVEAAGAADVIVLAIADKFIKAVAPDLVPRMKPGVMLLMLDPAAAYAGIIP ERADISVFVTHPTHPPVFNDETDPEARRDYFGAGKARQSIVSALVQGPEADYDVGEAV ARTYFSPILRSHRVTLEQMAILEPAMSETCSATFIVAMREAMEAAIARGVPRDAAFDF LMGHINVQLAIVFEQIDWRMSEGAYHVIDRAMKRIFRPDWKGVFDPEEVKASVRGICN V OJF2_RS18150 MKRTPPGLPAIALALASLALPAGCAREADGRPLVGVSFDTLQTE YWVASRDAMAAEIEARGARMLLAVANNDANRQFEQVNNFIARGVDGIIIAPKDSQIVL PMIKLASRAKIPIVLYNRPPAADVGPCVTVVADNFQITRDTVAFLAEKARAIGGKHRA MILIGDLGDANAIGRRDGFDAAIAAFSDVIEVVARVPTEWNQEKALAGVTNALQAHPD IDFIFSSSDFLFPSVVSALQQAGKYGKAGEPGHVLLAGFDGDATAYRMLADGHLDADG VQDVAYECRESVRAVFDLGAGRDVPRVLRDPGFVAHQGNLDEARRRMWGAKTAPGEGS RR OJF2_RS18155 MTRPATPDRAATTAGTPPAPRAGGEAARALLSEYAPLLLAAAYF LAASATVPGLGTPRNLSNLVVNVLPLLVAALGQTAVLIAGGIDLSIPAVVAACSVASA RLMTAAGPGGLDVPSPQMVPAAVAIALGLGAGIGLFNGLAVAALRVPPFLVTLSTSMV VGGATLWATHSRNIANLPASFTDLAYGRVGGVPLFVPLVAALALAMHLMLGRTLIGRW LYAVGQNARASRVSGVPVGRVTACAYAVSGLCAAVAALLYTARLETGLPTMGREILLD VVGAAVIGGTSLAGGKGTVLGTVFGALLLALIDNSLNLIGLEYYAIMMAKGLVILLAA SIDLLRARLLGRATP OJF2_RS18160 MTPGEPVASLEFRDVRKGFSGVPVLRGVSLAVEGGEVLGLVGEN GAGKSTLMNLLGGVFPPDGGAMLLDGRVYAPASPRDAARAGVAFIHQELNLFPNLSVA ENLLLDGLPRLGLAGLRLPLIDRRALRARVRPLLEAVGLDLDPDTAVGELPAGRRQLV EIARALGARARVLILDEPTTSLSAREADRLFALLRRLRERGVAMIFISHNLPDVLGLC DSIAVLRDGGLISHGPAAGYDEARLFREMVGRDAARQSPSPRADASGPILQLRDLARP PAVRGVSLDLRGGEVVGVAGLMGAGRTELLRLVFGLDRIESGSVLLDGKPLVPSPRRS IRAGLAFLTEDRREEGLLMDSAVVPNATLAALPGFARTPLRLVDRSRERTAAASAAEG VRLRAARIERQPVRTLSGGNQQKVVLARWLLTRPRVLLLDEPTRGVDVAAKQDIYQLV RELAAGGAGVLVVSSEIEELTTLCDRIAVMAAGRLVESLGRPEFDRERILRAAFRGEG RGEGAR OJF2_RS18165 MTAEPTEALPATGPRPGPWRRLARHAPLLLLLAVVLAFGAISPV FRTPANARNILVQSSGVAVVAVGMTIVLITGGIDLSAGAVMFLSAAVAGKLVIGGWIP GLGPIPVPLAVALIVPLGLACGLVNAALVAGGRIAPFVATLATLYLARGLALQITQTR PLPLPPGFLELGRAAVLGLPLPAWILLAAAAAGQAMLSATPLGRQLYALGHDPDAARR AGLRTTRLLAFAYVISGLCASLGGLIAVAQLGTVSPTFGAQREFAAIAAAVLGGTSLF GGRGNVLPGTLVGVLLVQTLENGLNILNADPYSYPVVVGAVLFVAVLLDRLRRPRD OJF2_RS18170 MMRRGELSRWMLGGLVLSAWAGAVSRAAADDAPAPDVATVAPAG GGAGRGGRQPQAAVDGRGRIFVAFVESGAIRCARSDDGGRTYRVAEVGRADALMVGMR RGPRIAVAGDRAVITAIGGLEREGWSGDLLAWRSADAGATWAGPVRVNAVEGSAREGL HGMAAGPDGSVYCTWLDLRAKRTEVYGARSRDGGATWEPDRLVYRSPGGSVCECCHPS VSFGPDGDLVVMWRNQVEGARDLYLSRSTDGGSTFGPAGKLGRGTWPLQACPMDGGAV AVGPAGLVETAWMRAGEVFAARPGEPEHRLGRGVQAWTAVGPGGPFAVWLASRPGRVL AQVPGRDAPLTLADRGNDPVVAAGPGGRGPVVAAWEGEAGGIFAAVLDAPPASRPAAG AR OJF2_RS18175 MLLGHQASTCLEFMKNFCPPHATIPPAASPVVRQMSAQPGVYWW LKAPFLDLVGLYSNCGEGPGVLRGKVPGDHRYDWFKGKVLPEIAAGRNRGPRKALVVA IHRPAITERLFDPRDEGHAPSDQMGADLGEAFRAAGAWPDALLAGHVHNYQRFTRTVG SGPDSRAIPYIIAGGGGRVPQADGRGLVAIESLHVGSRGCGREGKHPSGPPTRRPLDP SRALGYRPSWEAGIGELWRMFR OJF2_RS18180 MTLEPISELSDDPVWTLADVVGAERASQIEATGAVRFHAVGDSG GGRYPVDKRTHDLTFGGITAAVEEAQKAVARVMNDDLNPLTPGWSPAFCFRLGDVVYF DNTPSGYHAQFYEPYEL OJF2_RS18185 MAQPDISNLAACIEDARLAGLDQDPGPPDPTDYHNLRGRLMAVR SRFSPLYQQVAFDPFVATLDSIGESGFMSVLLRDQALEGTGRLMLDIAQALLQRGERF EGKALGSFAEVVADLYDGFLSAEDRRGVNPPDLEVDPPLVKFGEPASGPYTWPADATK TFGLKVAVVNLPPANARRGLMAWPALAHETSGHDILHADTGLLAQVSGAVRAALQQDN STAGLAGYWADRIDETASDVFGILNMGPAAGIGLVAFFRGLNAAFGNPAKLRTIGPDQ DPHPADIVRGFLAAATVRQLEFAGAGDWADVIEGETEKDLATIRLAGTKVTAAVARKS AEIVSRAIVTTPMPSLEMHALGEIQNWRDSDESIVQRLTNSLTTANPTPTDLPSKIYA AHMVAAATMSALRAGANIPALFQRMLDLLKLKHDANPIFGPLLVRRAGNIVRDRAYLP FRVSMADEPDGEAAAEEAANGRSAGRHHRAASHR OJF2_RS18190 MAVDFVFFDIGGTLGERNPATGKLVPFPSTKRLLESVRDDMGLK MGVITTLGPLTDAEGRALLDDAGLGGFFEAAGFVSEHDVPGGAGKPKPDIYAHAAAAV GVPVERCLFVGENLIEVLGAMAAGMQAVLKPSPPGRELPS OJF2_RS18195 MSPELLPWLPVAALALLAIVHAAFAYKEIFDWEAAAVEVLGMPP EVARACAAVGRNQGLSNAALAAGAAWALVVFRLQDPAWGRQLATFFGAWALVAGVFGY ATFHRPGFLVKQALPGLLALLGAWLPALLARGVE OJF2_RS18200 MIRETFKTPAGASFDIDIAPAPAGGKRYPVVVLIHGTTGLRPPF GAPLRDFAEEIAALGYLAALPGYFPGGTTPAEVSIAPHVPTLRAALDHLSTRPDADMT RLGLVGFSLGGGIAMSYIAASPAGAVGAFADFYGVLDPAATGAIAKFPPTIVFYNNRD PVVPVAANSAPFLSALSAAGIPNERHGYDDDWEGGFRHVFRPGGPADTDSRARADAWL TTHLPPVGRP OJF2_RS18205 MLHPIRRIAAASPLVLLVAGLSLAGSPAHAQVVKPFKVVGGGVA EYLPVVPDVASRHFAVGQATELGRYYGEGAFQVLEFHADGTADFDSARPFVFVAANGD RLAFTYGDTSNGAARPGEVTLHPTREGLFVAVFVAEFNPIPALCTGRFAKVTGGSFIM TAVTQPFEFGAMDPVGYIWSGEGSLVYRRGH OJF2_RS18210 MRCSRACLLLLAALPMCLPASARAAPIVAGDTTFHGTAVQDVTI LGGTAFNPGPEFTLYGLSGVGYFTIHRQAQVGSTIEFAGGDSLFSGSYAGLGSYTFGT GAPLGGGSFHGMIENVVQDPTDPGFASGDPSSFASGDYTAYLDRFLFKLADGTILETG GVYALAATLDGLPPRTATTLQGSATDRNPIYYGDPANGFLVGYTTNGAIYVSAVPEPS AFCLGGIAMAAVGLSLARSRRRGGSGPVTA OJF2_RS18215 MESAPPDDPGGGEEERLLREAARGDQEALRRLLEGHRGRLRRMV ALRLDSRLAARVDASDVVQEAMLDAARKLADYERERPLPLYPWLHRLAAERLAAAHRK HLCKNRSVDRERAECDCRDPSAALLVDQLVAGDTTPGHHMLREEQRQRVREALGQLAA TDREVLVMRYLEDLTFPEIAAILGVSEGAAKMRHLRAIEKVRSLLKDDDSGPLR OJF2_RS18220 MIARDALPGGEDPELAEVAAEAGERLRRGEDVRPEDYPRHAEAL RDLLPTLRMMAGMPAPAAGHSPWFGRLGDFRLVREVSRGGMGIVYEAVQESLGRRVAL KVLPDAAALDPRSLRRFQLESQAAASLDHPHIVPVYATGSAGGIPYYAMRFIDGRDLA KVLRALRRDDPGETEAGPARPTSAAPASTLGPSRAREAARLARQAAEALDHAHAADVL HRDVKPSNLLIDDAGGLWVADFGLARIRGGLDLTHTGDALGTPRYMSPEQAAGRREPL DGRSDIYSLGATLYEMLTLRPAFPGDDRIDVLRRIAQEEPPRPRSIDPTIPVDLETIV LKAMAKSRRDRYATAADLAADLGRFLDDRPILARRPGLADHLAKWTRRNRRLVLGAAA ALALVLAAAALGAARYISWLRRHEAVLQDAVGLAGRNAAEAERLALEADRQRRLAQRH YLAAQLRLAQQAVDAGDPEVAQELLDAVTPAPGPEGSGRFAWGYLRALARREVVRLPE LDTPIHGMSLSRDGRTVATNQGDATLAIWDLPAERIRLTIAEPGMMYREPHLTGDGRI LVAPMMPTPHRDEHTLGLWDATTGELRAIRRAGHPAPFGVQELLNRVHFLAGERLVAH VLDDGKGRASLRIWALDPDPAKALPLVALDDVRAAAFAPEGRLFATLEGGGLRLRDAS TGAVAREAAAGPGAPGPLAISPDGRLLAACSGDGRVVVRGVDRLDERARYDPGAPVVE PRFDPTGRILALVAEGGKVHLWDWAAGRSRVAIPDDLDRARDRVRLAFSPDGRRFATQ AHGDPGGEMPLLVWDAESGRRLGALPYGDRGAPEYHLFAPDGRSLVLDLGRSPKIWRF DPPPEPPQPAGHRDEAWALAFSPDGSLLATGSDDDRNPEKVSIKLWEPATGRLVRGWY AGEGTVAALAFSPDGRTLVSGHLLPGRNLRAWDVSTGRLLRAHAGHPQRVRAVAVAPD GRTVVAAGGQKLRPDEDWTVRSWELGDFRGLRSLAGHEGGVRSVKFSPDGRLLASAGA DHLVRTWDAATGAPLASRRRPSPIAGLAFAPDGRALAVADESGGVALLDPDGLAVRST IRGATDRLLGLAYAPDGQSIATCGRSGVIRLWDAVTGQELLVLKGHKSQVNALAFSPD GSTLASCSHDGEVHLWRAR OJF2_RS18225 MRIISRARLRAFWEVPGHEDAAGPLRAWYTHASHRSVAWQSWGD IRAAFGNASLVGNCVVFNIAGNKYRLITRVLYASQKVFVLRVMTHREYDDPRWKEECG RFAPPPRLTDAGPKRRRG OJF2_RS18230 MAARSRFALRGKSQDSYLDLVRAFPLAAIRSEGHFAEAQEVMDG LLARGGMGPGEAMYLDALSDLVATYEDLRHAIEPASDADMLRHLMEAKGITQAELARA SGIPRSSISEMLSGKKPFTRPVIRRLAAYFRIDASILAANFGNPPQSDGRGPSPR OJF2_RS18235 MRTARLAAALAVALIPSRAESGTAGYYRQPSIARGSIVFVAEGD LWKVPAGGGPARRLTTHPGNENLPAFSPDGKAIAFVGRYEGPAEVYTIPSDGGLPRRR TYNAANPVSIGWTPDGKILVGTRSRSGLPSVQLLAIDPANDRPEPVPLDEAAEGAFNP EDKLLVFTRLPFQGSHTRQYQGGTAQNLWKFAPGADEAQPLTANYPGTSKSPMWHRGR IYFATDRGGTMNLWSMKPDGTDLKQHTKHRDFEVASPRIDPDAGLIAYQLGADLRVYD IAADRDGPVPIALETDVDQERQKWVEKPAEWITSAHLSPDGDRVALTARGKVFVAPRG PGRIAEASHADGVRHRDARFLPGKEKDAKTLLSLSDRSGEVEVWTLPADGVGDEAQLT KGGEVLRWEAVPSPDGKLIAHRDKNQRLFLFDVEGKKDRKIAESEVDDLGDLAWSPDG KWLAYTDQLENGFRQIKLHSVEDGATVPLTTDRYDSSSPAWSADGKFVYFLSDRNLVS VVGSPWGTYQPEPYFDKPTQVFEVALAKGLRSPFAPPDELHPDDEKDKEKADKGKAPG DPGPGKKPEGDAGGDKKADDAKKAPPKVTIDREGLAARLTRVPVEPGRYLGLSANAKG LFWLAPTPGERKADLKAVKFARKDVEVKTVAGDIRGYELSADGKALLIRKENAMAIVD AEPAPADLAKKDVDLSGWSLALSPRDEWRQMFDEAWRLERDYFYDRGMHGSDWKAVRG KYRPLVDRVRSRDELSDLLAQMVAELEALHIFVRGGDLRTGPDDVPTASLGAALVRDE GRGGYRVEHIYKADGDEPDLASPLARPGVDVRPGDVLTRVDGVPALDAPDLHALLRRK VGRQVLIHVEPKTGAPRDVVVRPISAEAEADMRYHEWELTRRERTESLGEGKIGYVHL RAMGGRNFTEFAKGFYPVFHRQGLIIDVRHNQGGNIDSWIIGRLLRKPWFYWVSRVGK PTTWNMQYAFRGHLVVLCDSFTASDGEAFSEGVKRLKLGTVIGTRTWGGEIWLSSSNV LVDRGIATAAETGVYGPEGTWLIEGHGVDPDEVVDNLPHATYLGKDAQLEAAIAHLKA RIADQPVGTPKPPPYPRKAVEDIHAAAGGR OJF2_RS18240 MHKPPVIARTLTTGYAVAVIASLVAFLLRWPLSPLIGREFPFFT FFLATLVAAYSGGLRAGLLATALGAIGAAYFLMDPVSSFWVAAPADRTRLVLFLGIGS LISGFAESGLRAKERAMRGERRYLQSLESISDAFAQLDRDWRYTYVNARAAEISGMTV AAMVGRTMWDLFPALRGTEMEARARAAMSDGKPAHFEFYFPPFDRWFEQRLYPSDAGL AIFSADITERRRAEEENRRLLAILEATPDLVTTAAADGSVRYLNREARRVLGYEGDAH PADYQLLDGQPQWAARVVREDGIPGVVRDGYWRGETAIRARDGREVPVDQVIIRHSGG EGRDAFISTIARDITRQKEVEDRLRRGEARFRTLAEAVPQIIWAAAPDGRITFINGRW TELTGLSLEETNDLEAAKKVIHPDDAGRVFARWAEALANGTPHEVEWRFRDRRDGSYR WFLTRAVPARDEAGILTEWFGTATDIDDQKRIEERLRTSEERLLFTARATRVTMFQQD TDLRYSWLTNPLRGYRQEEIVGRTDEEIRHTIDDVPALVGAKRRALETGRGTRLEVSN REGGEVEYHQLTIEPMRDDSGAVVGLLGASIDVTERHRAEAESRRLAAIVEATPDFVT VARMDGRLVYLNRAGRRMLGIPDDAEAGTLTRQRLSPGWVYERTQRDWLPAALRDGSA AGEGAVLASDGREIPVSFVMLVHRGPSGEPEYLSTVARDIAGRKRDEEELRKRERDFR ALADNAPALVARFDRDLRHLFVNRRVEAATGLPASAFVGRSNREMGVPEDLHAPGDER LRRVFETGEVQTLEFTYPSPEGPRHFHSWFGPELGGAGEVESAICITRDVTEQKQLEN ELRRRNEELAEADRRKDDFLATLAHELRNPLAPVRMAVEVLKARGPADPALIQARAII ERQVRHMARLLDDLLDVSRITRGKLELRRQPVTLAAVLDAALETSRPLVEAGGHDLVL DVPPGPVHLDADPVRLAQVFSNLVNNAAKYTERGGRIALSASADGDSVTVSVRDSGIG IDPEMMPRLFEMFAQAKPALERSQGGLGIGLSLVHGIVQLHGGSIEARSDGPGRGSEF LVRLPITPAAPPPARDADHGGGRTAPRCRILVADDNDDAARTMAMMLGILGHEVRTAA DGEEAVRSAAEFRPEVIFLDIGMPRVNGYQAAERIRAQEWGRGMVVVALTGWGQEEDR RRAKAAGFDHHLVKPVSPETLVRLLAEVKGSR OJF2_RS18250 MNRASTRIACGILALLAVATPARADFLATLDVATTPEAGGLTLY QYTLSVDPTSDQPAVLLILNVDAAADLTSISGPTGWEVDYVPGDTGVSWLSPGPDTDL QPGRSAVLSFLSPLGPGDQTYLAFGLGFASVTGSIAGPTAAAAVPEPPSLGLLVAPAL AGLSVLARRRRARAVEEEPKRAR OJF2_RS18255 MKTISAARHRAGVRRAARSRVPTIEPLEPRRLLAYLDVVTGADV FSNISATGGGTNYATNDSAHWSDIDTWDHSQSGIGGDQLHFNRIPQTSSGTPQFYTDV EFSRESYGGSYDNKSLYLASGLPFLGEPTAYSLSGGLGGPLTVKIDPGPGEAVGQPVT LTLSSDFEDYGDSSFEFDATYAIGGASAVLEHADPAHPTVVGQGGKDATLAAKIGDTF QIGFHAKASGSEPDYQHAAGGYDMTLGMTVDVTRPLITAESLDWDPKGGVDFAYSVAT TPIPVNTRVEFYWIDDEGNDLAELPELGFPISAGSGLAAVGDHNVGRLNLTAPPPQGA TALEVDIDPDGTVDADPDSVEYLDLDLDLNTQFVIRAKYDGSSDPDVIGRFFQGVKVP GEDASFTLPDGITALGPATVTATLGGLPLALTKTGTGSYTTADFDPSGYANGTALKVT VSVGGKAVATQQATLDVEPLPKWYTTLGGKATFDASSGAYTFKASLLDVHTSGSFALP ALAQVPGLWFGAGQDSGLDATIALNVQAGLDPTETPHVQGTFGADLTFLGQEVYKNQF DSDQQGSPFTISIALDPKTLALQSGTVSYSESHSQDVGLFKGQLFHTSFFQMLSAIDV NLTEDLGLDITLNADGSFTDSQLTFDLKGTLSGNLADLSFTSSSAGLGILKLVNRLAP QAILKNLISEALDQLGILPDFSMKAGVSGSIEVAGAVQLTKSTAFKIRSSSTDLDLSL TPALYITWLGDTEKVVGLPDAIGNYLKISYHTKKP OJF2_RS18260 MSDSLSRGARRRVLISGASIAGPTLAYWLDRRGFAVTVVERAPA VRGGGYPIDIRGTALRVAERMGLRARIDAAHIGSRGLRFVGREGETIGTVPIYELTSN DLGRDVELPRGELTDMLYGLTRRGGVGYRFGDSIDAIRDDGRGVDVRFRSGVRERYDV VIGADGLHSNTRRLAFGPEDAYSHYLGFAFNLFSMPNDLGLSREAVLYAEPGRIAGVL AVRDDPRLFAFLIFAAEAPPFGAHADRAEQVERTAALFADCGWQVPRMVEAMRDAEDL YFDAVSQIRMPRWSRGRVALVGDAACAPSFRAGQGSSMAMVGAYVLAGELATHDDPSE AFAAYERLVRPYMEANQALATKDTANIVFPRTRQDLDARDRMLASIRADRSGATRYRD ADAEAAHNALELPAYG OJF2_RS18265 MPERRRGADLEGAILDVAWDELNERGYAALTMDAVATRAGTSRS VLARRWDGRAALAIAALRRRMADYPVDVPDRGDVRSELVEMLDLAARRATAMAQAFAL FSTEYYRDEGGTPDDLRATLLAGEADTLTRILERGVARGEIDPHKLTPPIASLLPNLF RHHALTTWSAPDADLRAAWIDTIFLPLVGVRAGGRKS OJF2_RS18270 MTTITVDEAQAKLPQLIGQLAPGEEVIISDGRRPAPGWWGRGGG AKAPATRQRQGDADHREGG OJF2_RS18275 MRLLLDTHTFLWFLLGDLRLSAAARVVIEDPSNDIEISPARTDR AGARCVRPIR OJF2_RS18280 MRTTSVHAALAFAAGLLATGPPTCRAGEGRPAGTIAFSSLAPRG WDLYLTDIETRRTRRLTDHPALDFNAAFAPDGGRLAFVSERDGNAELYTVQVDGGGLR RLTGEFALDDRPAWSPDGRRIAFSSTRQPAEEPGRSWNAAYVMGADGGDPRRLTPAGS ADYSPAWSPGGDLIAVASGSGEAGGTDLFVMDPEGNGRRRVVANGGWPAFAADGRSLF FHSKREGRWGIWRVDLDGSGLERITPPDVDAYTPSASADGKRLVAAVGRGGHRQVVAI DLASRSLTDLTDAAADHWNPSISPDGRSVAYHRAAPDSAAPNVEPWGAPPDTALRMLR LAGAFPAFSPDGKRVALIGGSFARLDVMNVDGSGRRTLHAGASRALFGVSWAHRGDRI AFSQGPVFQGPGGQVDIATVRPDGSGYAGITRDPGNDGFPSFSPDGKEIVFRSGRGGS KNLHVMGADGSGVRRLTEGRWTDTMCDWSPSGEWIAFASDRGGDFEIWLTHPDGSGLR KLVGGSRNNHPHFSPDGRWIVFTSKRAGFSAEEISLPEQPQPYGDLFAAPVVGTGLIR LTHNGFEEGTPAWAPVLEIKATGEGGRGQAEDY OJF2_RS39290 MSTVLRGFIRNGRVEVDEPIDLPEGTEVVVAPGGAGRDDGPVSP EEIARVLAAMQRLQPLEIPDEVAADLDAWERQVNRRGIERSESGIEDVFR OJF2_RS18290 MRRFILDTGIAGLYLDRKRGVFERAAAEVAAGNRVGVAGPVVGE LAFRAEGSPKREQNLLRLREALDVWKVWLADVAAEFEYGRIAFEMKTIGRPIGQNDPT IAAIAYTLGNATVVTMDADLSAVPGLNVENWAEPD OJF2_RS18295 MAACHPRNQGLPRLSAHWHRDLWRILSAFDPNRTAILRIGGNKR GDRRWYKTFIPIADERFRRHLERLEQ OJF2_RS18300 MATRLEDYLATLPAAEQQALRDRAAELIAEEATLRQLREARART QVELARALRVNQAAISKLERRSDMDLSTLRGFIEAMGGQLEIVARFPDRSVRINQFEA LDPDPRPEG OJF2_RS18305 MFIQGADPTAGSSEMNDPHVVSLTYRVKTPPDVSFDNPPAVEGD QSAFHYRLEAGRLVATMKDHFATEREARDCVEEFLRAWELDAALKADKSEFRFAFQQS HVIDRKPTSARGKVMGVMDMFVMSDEAKVEKVKTAYPAPPSGLKPSLDTETMWHHYNR FLEDREKITSMGFYCLSLLQWRTGSKKARQAVAGQYKIQKDVLDTLGTLTSDVGDLTT ARKLESSSQVRSHTAKEVDWIKAAVKALIRRKAEYDHDPTATLSEITMSDLPQI OJF2_RS18310 MSMGYDVAGQRSRLVADALLHVGYRAEHIKHSWKYSNFEEMRKW IDDDDPDNLPEHKAPSILDIAAFYDEREHDWNTISLAAQLNRIELVHNKDLGHQEARK IFADTASPCVLFAGNGTADLWLRCWEEPVPVMDITFEAQQLRKAFEHNRREMERDALA ALRGGQRYLFDGWHTARREELATFLNRGITKATWFSQKIKKPLDADSGRALSRIAIGL LAARILEDKGIFGSRDQQSTDARKLLQEANDLADGFFGHLITGDLEKLDNTISSGIVD EMLRRIMAHLTGPASFSMVTAEMLGHLYENALRAQRRQGKDLELNGVYYTPLSLTRNV LARIPVEELPPRRRHALDMACGSGTFLLAASERLRSAFDANESESERSVIEHLRRHVV GNDVDSVALHVAGLTYLLEHVIQTGSADDVPSPALWTKDALDLQVENFGVSRPSIVVG NPPFGRAKNGDQLANQFLSKALEILAPGGFLGMVMPGAFLKMMQRGGVIASRRELLDT CNIMEVWEMPLGVVGLSAQQETCVIIARKKENRSGLTPTLFNVTYSRKQEAIRAQREH LRSTWTFMATGVAGRPSEHWSQDTTGRIIASPIDHVWQKIEPLRPISAICDHTVGIYS HLEKTRFSRTPTKGYYPYLRSQGRVSPYFVDEADWKQDPDHDHDYVDPDTSERPRHDK RYLILGPKLIVTSNTNRNTRIQVKAAFDDSQVFPEHNLYCLGLFTDSSSLQPWARELI SKTDRRNLLLWLASILNSPLARAWVAMNSSPRSSALEVFMQLPLPRYDESLAKLVERT ASYSRFSDDFNEISTRINQEVLRTYGLSSADEADLNLFLESLTEPWVESPKDAHLPQH RLYRRISGTVVAVDVLRQSVTLDLPRFSRKHRAPIVLALPRLLPGWALREGIEFTCAV PADCVEVGELLSDPWILRDFRPLPYSYLDEEELEELVNFEHPVPAH OJF2_RS18315 MPKTLRVRIFDVGEGNTIAGILPGGQRAFIVDIFEAEPILRFLE EEGITEVVLFLSHSDLDHIKGVKDFLADFQPPRSILGIFFNRDRIKVGAGKTYKSTLQ LIGSVSQRESDRNARYLRAEFNTNLNEITRYDDLFGPNVRARVIHPAPHHQDSLIDTD TNEASGVLVIEHQFANGTVKKIMLAADVQLTGVALMLKQAAAGSLGADVLKFPHHGAW PTARPGAKAVGVDRKGMDDFLRAVSPRSVVLSVGFNNPHRHVRAELFESLKQYHDDTN NLESLKCTQFTPTCFGSETLPKDGELARPHCAGDVEIWTGEGIGSDGLEVVTVPNSHP DRVALIHQSGTARCGFVPDIQKKLAGVNRSTSPPAS OJF2_RS18320 MSRCRRADVVVADEVGAYHCVQRVVRRAALCGADRATGQDFGHR RDWIRGRLEQLAGAFAIEVAGFAVMDNHLHLVLRIRPDLAAAWDDAEVAGRWAAIFPG PPPARPGVGGTASAAAPASASAAEGHGPADPPAPARAMTPADAARLAELRRRLADLSW FMRALAEPIARRANREDGCTGRFWQGRFRCTRLLDEAALLACMAYVELNPVRAGIAEA PDRDGRTSACERAAALLAAQAGAPPTAGAAPYRPGGVPGDPADGSTSPATGVAPRAAG AGAIAAAAIPGEPRDAWLSPIELVDRDAPAISRPPAGSPPPAAPRSSRPRRRRASDRG LLPMTAAQYLELLDWAGRQSRPGGGTIPATLPPVLDRLGLGAESWPAAACDLFARPRR AVGSAASLRAEAARAGRRWLHGISACRIAFPPPT OJF2_RS18330 MTIHLPEELASCLEAAVQGGHFATMDEAMAEAARLLLRELERPA GAATSPGVAGMPDPALGSIGAMREDAGLLDEIVADVYRRRREEKPRGFDL OJF2_RS18335 MNRALLDTDIYSEILKGIDQTVRANAIAYRTQHGVLTLSAVTLM EIAQGHHQRQASRQLLHFLASVAVEEVLPFDRDTAELAGRIAGELERVGQPIGTADPM IAAIALHHGLELVTGNTGHFQRIQQIGYPLILVNWR OJF2_RS18340 MIGLTEPAGGGRDTIVAAFDGPLVPATAQDVANYRVNAVGPGNP EMITSTGAPVPVLSARYDSATQQVTLTLGSPLALNQFYRVWINGQPGAGVQDVLTTTN PDGSTTVTNQTIDGDADDTAAGDFYGLVARGNRLTFTDGDGDRARIRTSGSATVQLWR QLNGDVAELGVAGAVPGRTVLSGTVHRGRGGDGVVALNSAPDLVNLNNRLSPARFPLQ AATTLAPAPVVASPRNLPYSLRIEAVPLPSAPSIQSAVSAQSGGKWLVFGGRTNGLHG FDATGVASFPPSFQNNDIFVIDPSTGQTWSEPWSATGLPESTWASLASSNQEFYQQGD RLYAVGGYSSTTDSAGNVNFRTYDTLSSISVSGLMAAVMNQGPAAGAVRQIRDPRFEV TGGDIGTIGDRTYLVFGQDFQGGYNGNTGTSNGSQVYTDEIRSFRILDTGQTLAIADY QAQRDPLNFRRRDGNIVPAVFPNGKQGLIYYGGVFTVPAGGGYRNPVVIGPDGVGRVD NYQQYFSQYTSGNVTLFDRRTKALDSILLGGISLYDYDFATGALNQDTELPFVDDVTS LVRGRNGSSREYIMPSQFPGLYGAAASYLASAGLPASSNGVIDLRSLKGPTTLGYLYG GIVSTVGDTTNPASQTTASSRVFRVTIVPNFS OJF2_RS18345 MPAKNTICLWYDGGAEDAARFYAETFPDSSVGAVHRAPGDFPGG KKGDVLTVEFTVMGIPCLGLNGGPVFRHSEAFSFQVATADQAETDRYWDAIIGNGGQE SECGWCKDRWGLSWQITPVALTRAFTGPDPAAAKRAFDAMMTMRKIDVAAIEAAVRG OJF2_RS18350 MAYRTTCPGCSRKLKIRDEMVGVSIRCPGCGDSFVVTSLGHAGP RTLEAAPAAITVQPEGDSGAGEGEGPPPSSSSGGTGRGSPGHARAQPTIGRLGRFELK RTLGRGGFGTVHLAYDPVLDRSVALKMPRFSPDQRSLIERFLREGKSAANLHHPNIVA VFESGRAGDDYYIASEFVPGRPLSEVIRDRGASEDLREAAAWVRDLARALGYAHGLGV IHRDIKPQNVMLDEQGRPRILDFGLAKRLDEDATMTTEGSLLGTPAYMAPEQARGETR SVGPRSDQYSLGAVLYELIAGRRPFDGPPHAVVAKVAAEEPPPLRSLRADVPADLAAI CQKAMEKDPARRYATAEAFADDLERWRADRPTEARPISRARRVVRWCRRNPAPAGALA AVGASLVAMATLSGLYAGQQRRFAAEQARANERNAKLAADLEASLGESNRRLAAQQLQ RGLAAFEQDEVGAGLLWAVEGLRSAVEADDPRWQRAARANLAAWGREHPPLRAVFSHA RPIGSIAVSPDGRLVATASEDQTARVWDLATGAPIGPPLEHPGGAYCVAFSPDGRRLA VGTEGAVRLWDPAGGRPLGSIPAPGGVFGLAYDPRGTRLAAFGPGGAATLWEQPSGKA VGTLDAGPGAATALAFSPDGRTILTGHDGAQAQLWDADSLRPIGAPIRTTGGRVMAIA FSPDGRSFATGGGRGAQVWDVATMAARGQPLWHGGGVRAVAFRPDGRSFVTASVDRTA RIWDAASRARIGPVYRQQGPILTAAFTPDGRTLLTAGGDFTGRAWGLDRAGRAPRAPE QEHPGGAVAFTPDGRAFLAGGERSAQLWETATGRAVGPPLVDDGGFNPIAIGPGGKIA LAGSAARTAKLWDLASGRPIGRPMGHAAEVTVVAISPDGRTLLTGGQDRTARLWDASD QRPLLEPLRQTGGVDAGAFSPDGRTVVVGTDTSNVRLYDVATGSQRGETIPHHGAVSG LAFSPDGRYLLVGGEDSTAQLWDLATRRRAVPPLQHRSWVYSVAFGGAGGTMLTGSWD TTARLWDAATGVPIGPAYPQPASVYQVAFSPSGDAFLTGCGGKARLFRTVPDHPDPLE RTELWVAVLTGLSLDPAGTVQPLDHDTWRSRRRELARWAGPDAGAAPGPRPDGAR OJF2_RS18355 MKVVLDVLTGPHQGTRFEFDRHATFLVGRAAGAHLRLADDRYFS RHHFLMEMNPPRCYLRDLGSTNGTLVNDRRVREAYLGDGDLIEGGMTRIRLTLPDGPP PAAAPPPATDGPPPTTDGPAPAASATGPAPTASKSRPAPAGDARADAVPPVHGYEVVR KLGQGGMGAVYLARQQATGRHYALKFIVPESASSDRAMALFLREVSVLSRLDHPRIVR FHEMGIAQGQFYFAMEYVPTVDHRSILGASPGRDRIRAACLLMCHVLAGVGHAHERGF VHRDIKPSNILVGLEGAKWSVKLADFGLAKSFENAGFSGMTRDGAAVGTLSYMAPEQV IDARRATPSVDVYSIGATLYSLIADRPPHDPRLADELILAILEREPEPLDRLNPAVPR ELARIVAQALAIDPADRFATAADLRAALRPFAKPGP OJF2_RS18360 MRVEGTITGWNDERGFGFVSPREGGDRAFVHIKAFPRGSRRPVD GDLISYTPSRDDRGRSTAADVRLAGQEPGVEPRGPSRPLPRVAIGSLFLLAAGLGTLA GFVPAWVAIGYAALSVVSGLLYGFDKAVAGSGLRRIPEATLHAADLLGGWPGGLIAQR AFHHKTRKASFQVVFWLTAAVNVAAVAWALVDDRVRSALASLVGR OJF2_RS18365 MEQVVGIGGVFFKARDPKALAAWYREHLGVPVEPGQTYGTFSSA AAEELTAWSTFPADTPYFGPGPSPFMVNYRVRDLDAMLAQLRAAGVPVDDRVEDYGFG RFGWATDPEGNRFELWEPRTPGQA OJF2_RS18370 MLEFLQAITGIFVVVYDGQHALKFHLGRAVGVVGPGVHFKIPIL QRFQVQPTKDTTLDLEPQVIQLSDELVYEVGAKVVYQIVDLRKAVIEVDNLVEGLKNR LVIIVQDVVKAQDRSTIRDMARMVDEVKQAIRPVEQQWGVKVHEFGFSTLSPTPETLE ITQLHKLAHEKLALYRQLHDEQKLSPEAAVSLISGAVMALPAHRARVPEPAELAPAPA AGHADGVASASGAAKGGTGTDVAE OJF2_RS18375 MSRSDLLQIPLMILGAAWHLILRQAREHPWTVAVALYGAARAFG IMIQSGHRGVLFRWGKAVGELEPGFHWLIPLVHGVRTTPVRSVTIHLPGQKVMTADGL VYDVSVSVVYRVEDATRALTLVDDVDAGCRAAIPIVVAEVLRARDQAQLVERASLDRE LSDRMGAWIARWGLVVEQAGFTSIAPDKGALRTTQLRSRTTERARALRDLIDGGLDAE SALVMLGSERQPVAKSSRPYHARARRPARAARARRAMQKPAAPPSPAKPADASPSAGP TATKTATPTPKRPKAPRRRKG OJF2_RS18380 MLRISVSERVPSCRIIKLEGKLLEAWVDEVRRVCVEAEEGPLPG LDLSGLSFVDRRGAEMLKQLLRQGARIHACSPFVAELLHWDREIDP OJF2_RS18385 MSERRTSSTNAVEKGTSDCDPSDLPGGVVEELAALRVIVQGTAH STGAEFFQTLVRHLAHAVGTHFAFVAEFASPGTRTRARTVAFWSRDGIAENFEWTVAG TPCEDVVHGRLCHHPSGVRQSFPHDRLVVELGIESYLGVPLCDPEGKVLGHLAVFDDR PMPEEPRRLLIFRIFAARAAAELARLRLEWELRASEERLRDLYEEAPIAYVKEDLQSR SIRANHAALRILGLRPEEVPGLVGRSLVPDTPDAQRRVREAFASVGRGTDTSGVVLEF RRKDNGKPVWLQWWSKPEPGGKYTRSMFVDITDHVLMEQEKARLAAENVYLQEEIKSV HNFDEIVGQSPALLEALDKVNRVAGTDASVLITGETGTGKELIARAIHSASRRHDKPL IKLNCAALPSGLVESELFGHERGAFSGAISRRVGRFELAHGGTIFLDEIGEVPLEVQV KLLRVLQEREFERVGGAAPIKVDVRVIAATNRDLVKLIREGKFREDLFYRLNVFPIPL PPLRDREGDVPLLVHLLVSRFAARVGVRIESVGKATLERLSRYSWPGNIRELENVLER AVILSNGPTLEIDPEVFASMPADRPPGANPPRPPGPEGEGPAATRARRTTPLESLEAN TQNHILAALELAGWIIDGPNGAAKILGLHANTLRSRMKKLGIARETHES OJF2_RS18390 MSSRVEGVGRELTRYSLVVVVGWIGLMKFTTYEAEGIRPFVANS PLMSWVYGLLSVRGFSATLGVAEVAISLLIAARPFSPRVSALGSALAVGMFLTTLSFL ATTPGVWEPSLGGFPALSAVPGQFLIKDLALLGISLWTLGEAWRVSERRG OJF2_RS18395 MKAIVVTDRAAGTAGMRLMERPEPQGAALAGLSGANYGDVVVRV HASGFTGDELLWPSTWVDRLGRDRTPSIPGHEVAGVVTALSYGTTGLSVGQRVFGLTD WVRDGTLAEYVAVEARNLAPLPGDVDFEEGAALVMPGLTAWQGLFDLGRLQAGQTVLV HGAAGVVGSMATQLAREAGAYVIGTGRAAGRQAALDFGAQEFVDLDHDALEDVGRVDL VFDVLGGDVAMRSARLIRAGGTLVTITGPTEARPARGLTVDFVVVPDRAQLNEIVQRA RNGRLRTNIGKVAPLDDAVAAFNPTERVKGKTIIRVRP OJF2_RS18400 MRTAIAIALGAALGAGGFAPAQYEKHGDAAKVKSLLERDVSERI DGKEARVSVLEVSYGPGGFTPPHRHPGAVFGHVLEGELEAQLEGQPLRKLKAGDTFYE PTMALHTVSRNPSKTANTRLLAVILHPRDAGELVIPEEPKH OJF2_RS18405 MRSTKSLSNAAPVAMRMALAAAFLSAVADRFGLWGPIGTPGVSW GGFARFLDYTATLLPFLPTTLVAVAGWTSTVAEIALGVALLAGVRVRLAALASGVLLL TFALAMTTALGPEAPLSYSVWTAAAGAFLLARDQPAASRALHLRGETPAEVACSGISS SPVPARHAQ OJF2_RS18410 MLGSTKSNVTVGIAVLGGIAGLSYALAAAAAPPGGTAAAVEFTP DGKLKRPVGYRKWVYVGEVVTPNDMNDGEASFPEFHSVYMDPESFAEYEKTGKYRDGT VLVKELSGVGSKKAPSGNGYFQGEFTGLEATIKDSKRFKDEPGNWAYFSFGHKYPLKA EVSKNSVAACNQCHQDHAQKDDWVFSRYYPVLRAAAPRSK OJF2_RS18415 MNTKSLGRLAAGLLAAMALVAATVALGSSGRDDPPAPAADNFSP YVTKDGVISRPTDYRDTFEYLGSYAVATKPDRPLDEMHVVYARPEDIRAYRRDGKFPD GATLVKEVTGIGSERLTTGQSHWAADVKLWFVMIKDAKGRFPGNDLWGDGWGWALFLA KEPARNVATDYSSDCRTCHVPARKDDWVYVRGYPALKK OJF2_RS18420 MPTNAHTRRDLDALTALNLDFIASVQEGDVKRLDEILAEDFMGS QPDGSLLDKAGFLGLTARPVTISGLVAEDVRIRLLGDFAIIHGRFDSRTAEGKPRRGR YTDNWVRRDGTWVAVSAHYHLGQDG OJF2_RS18425 MTCSLAVAACSARHSPQPVALSITLRVNPTAVRDALLQAQVMGL VRVVPRSGAYVRSLSYAPPVDALSGTIETALMQVDHNLFRLRDARRVLEVELAGRAAE RRRLEDLPPLRRALEAMASLPEADRRGDYVEADIHFHTELARLAGNAVPLTSQQALLN LLRPHLTRLPWSPRRRARTDRSHAAIYTAPVDGGLGIGRSTSSKGPPGRETWTANIVF MMRTVFMLCVVAVGARASAEVAQ OJF2_RS39295 MVVPILETVRAPGQVDALSRVDGEEAFFFGPADLSATAGYRGQW QGPGVAEATMRLQLPEGWGDGTSDEERLGALADSRRHR OJF2_RS40025 MSQTQGDAATIFVTGASGYVGGRLVPRLLAAGYSVRCLAREPRK LVERSWRHHPNVRVLQGDMSDVDRLVDQLRGCSAAYYLVHSMEAVGDEYAAHDRLLAT NFAAAVARAGVGRIIYLGGLGELGEGLSRHLRSRREVEERLASAGVPVTTFRAAMIIG SGSASFEILRYLVERLPVMVTPSWVRTESQPVAIVDVLHWLVRCLAVPETVGKTLEIG GPDVLPYHELMRIMAEELRLPGRLIIPLPVLTPRLSSLWISLVTPVSYRIARPLAEGL RNRVVVTDGEAQRLMPHAALGVRDAIRRALQMIATQAVETRWSAAGPIAGDPDWAGGT VHTDRRSVVIRADAAAVFAAVCRIGGGHGWYAGDILWRIRGWMDTLAGGPGLRRGRRD PDRVEFGEALDFWRVVGLERNRSLSLLAEMKLPGQAMLNFDIEPSAPEGRTSLTMTAR FRPRGLAGILYWYAVAPLHNVVFGGMLNGIRKTAEAMSRSGAPGHPGPPPEAPAAAGY GRARLWLGISAVGTLVTLCTLGLLADVAGMVQRRVDAGTLGSPAALLLFVLTYAAIQL PFDVAGGYLLPRRFGRSHPPLARYLADLSRGVFWHTGLLYLAALAILSAGRHGGFAGT VAAGLAMVLVLLGGRAAVASLMARLELTPGAATMASPSDKLPIYVAESADEGFTGAVL GLLGPRCHILPERWRALLGPEGFDVAIRRRSLAIQTGSWRRGRVMALLFTALGLTLAA GLTGPSRLGTAGGTIAFSFAFTLWSFAGLLVLPTFSRLGVIEVDERAQAEGLPAEAIR LTAQALDRLQDGEPDRPSLVEAVFHPIPSVRSRLEGPRTLGAVGFWDAARTSVYLSLA GLGLLGRAVHCNCGRPALWVFLPTD OJF2_RS18435 MSMPLDLAGHLAILNGGDAVAVEFRGGVIDLRFSGLRQALRLRG RFGRARRRDLLRRLREALGRADVELHVWVGGRRVGRLAGDSRATRLAAWLGVDPLEVG IWGVRPVRRRGAGG OJF2_RS18440 MATADATTDTKTWPDLAMGLYDRLTGRGAEITYQFEDFELLIPS SASEAAAHARWRMNGVLRIRTRDNAGG OJF2_RS18445 MSRAVSVWVLPDQLTTDHPGLAAAEAEVGRDNVRVVLIESRSAL RRLPYHRKRQVLHLSAGRHFAGRLRDAGYAVDLIAAPDSRAGLLQHVGRHRPARLVTM AAADFPARRWQAGAMADDLRIEVEVLPNAMFLVGRYDPIPAPEPGRRYVMESFYRAMR KRFGLLMERDGTPCSGRWNLDADNRRRLPRGRHVPEPPRFEPDEITRRVMAEVESSGH GVGSTRGFDLAVTHADAEAAFDDFLAHRLADFGPYEDAMSRESGVLYHSALSPQMNLG LLEPLSMARSAEAEYRAGRVPLNSAEGFIRQVIGWREFIHWQYHRQMPGLRSANAWDA RRPLPRLFWDGETDLRCLGRVVRRLIATGYTHHIERLMVICNFCLLAGVDPAAVADWF LSFYADSHDWVVLPNVIGMGLNADGGLTATKPYIASAAYINRMSDFCPGCSYRPDRRT GEGACPFNTLYWNFLIEHEGTLRSNPRLGPAVLGLSRLGGEEREAVRRQAAAFLESLE AYAGPAPEPDTRRVEEADLPEEACARSGRPFSRRQGLAAERERERVEYCSDACREGRG RARGGPAA OJF2_RS18450 MADIVSTAVGAGKFGTLVAAVKAAGLVETLQGPGPFTVFAPTDE AFAKLPAGTVATLLEPENKGKLVDVLTYHVVPGKVMAADVAGLTEAPTVQGQKIRVST QGGVKVDDANVVQADIACDNGVIHVIDAVILPG OJF2_RS18455 MDERELFTEALARADAAGRTAFLDEACEGDPELRRRLEERLAGH APTDGGRGRPEGATGGPPSTAAPAPTSAGAGERTDAASVTAGGPDGDPATDGAGGTAP APQAGPPGEGAGAVIAGRYALEEVIGEGGMGSVYLASQTEPVRRRVALKLIRSGMDSR GVVARFDAERQALALMDHPNIARIYDGGATATGQPFFVMELVDGVPLTEYCDARRLPV RDRLELFIAVCQAVQHAHQKGIIHRDLKPGNVLVAEVDGRPTPKVIDFGVAKATEAKL TDLSFADTGAIVGTPAYMSPEQADPSSMDIDTRTDVYALGVILYELLTGSPPIDASQF RRGAILEMLRMVREVDPPRPSTRLSTAEALPSIAANRSIEPARLRRLLRGELDWVVMK ALEKDRSRRYDTVNGLARDLQRHLAGEMVEARPPSAAYRLRTLIRRNRGAAAAAAAVA ATLVLATGVSVRFAMGEAKQRAEADKQAGIAETNAAAEARARRRAEAISTFVVRALNA SDAMQAGKRDATIAEAMGVALTELESGAFADDPETEARLRETIGVILKNNGKYDRALP LLEQALALTERLSGPDSTATALGLEKLATVLVAQEQFDRAGPLYARSLAILEKAQGPD GRELAAVLNSRAAWHYLQRQHAQAEPLFRRALAIRERVLAPDDRDLALTLNDLALLCT AQRRFDEAERLMERSLSINEKALGPDHPDVAACLNSLAFLHDEQGLYARAEPLYVRAL AICEKAHGQDHPIVATALLNLAENCRERAEFARAEPLYARSLAIREKALGPDHPDVGI VLGNLAVTLKARGKYAEAEPLYVRTLAMDEKALGPDHPDVAADLNNLAMLYEAQDQHD RAEPLLDRALAIREKALGPDDPETAMSLMNLATLYQARGRHAQAEPMLARALAVVAKG LGPGHPRSAVVLINLARARQSLGRTAEARKDYDAAVAALRRHSPGGSTQLAAALWHSA RAHLENKEAAAALREMEEALPMAEKLLPPGHPQLAKYRETLAGCRAAAGAGEAR OJF2_RS18460 MPHVIAEEVFRKAQEGIRNRLFKDAKESDDLLRALGTKIAGEVP GCRTSFGPIKKMARASKKVNSPKADGGYDGDWYELKDVARMTIIAPWHSEVATVGSKV EGACGLGKQMKLLKRKVVKEDEDDCGYSGLNYVIQMPNQRPVEIQVNVPELIYGKEGE DDAQNVLGFELFNQLKCKFIIEGGHGHKLYEIYREAPSSRIGRAAAQVSIEYYGYLRR GFPNGLIVEQLRKDLRGVYSMLPPPLRPRAYAFSGPPGASSGGSSGSPSGGPPPLRGR AYAFSGPSGGPSR OJF2_RS18465 MSIEHFDVLVVGAGISGIAAGYYLQKLCPSRRYAILEGRGDLGG TWGLFRYPGARSDSDMFTLGYSFRPWEEPRSMAEAPAILDYLRETAREFGIDRRIRFR HRVRSASWSSESGRWTIEADAGEHPEPVRYTCDFLYLCSGYYDYERGYLPDFAGREEF RGLVVHPQHWPDGLDHRGKRVVVIGSGATAVTLVPALAEDAAHVVMLQRSPSYILSVP AEDRLARRIRRWLPGRAAHSLIRWKNILLTMYLYRLCRKKPERAKSLLRRGLARELPP DFDLDAHFRPRYEPWDQRLCFVPDGDLFRAIRSGRASVATGEVERFTRDGIRLAGGRE LPADVIVTATGLNLLAWGGIRLVADGTVMEPGGCLTYKGVMLGNVPNCAGCAGYANAS WTLRAELSAEYLCRLLNHMARRGYATCVPRCDLDASRGRPLLPLTSGYVRRGSDRLVK QGPEAPWVMHQNYVRDLLSLRWSRLDDGVLQFAAAGEPAAPGPSARPGG OJF2_RS18470 MADTTVTKVDSSHSPHGEMGQKYLASGKNVAMRLWEDVAPDESQ PEHSRPYETVGYVIRGRAELKIEGQTVLLNPGDSWVVPEGAAHTYKVLEAFTAVEATY PPAHVAGRDQS OJF2_RS18475 MSRPRVFVAQWMPAIGLDRLRGCCDVDATGSLGPLPRDELAARA ARADALVAFVSDYVDAGLVDASPSLRVVASFGKGSDNIDVAACTRRGILVTINPEALT ESTADLALGLILAARRNVAAGDRHVRAGRFRGWHPRDLLGREFHGTDLGVVGFGAIGR AIARRALAFGVRVSYCDPVRRPEAEAEMGVVRLELDELLAASDTVVVAADLRPGNRHL IGREAIRRMRPGAVLVNVGRGSLVDEAAAAEALASGALGGFAADVFEFEDESIPGRPR AIHPDLLARADATVLTPHIGTGTVEARDRLAISTVDQLLAALRGEVPTGAVNPEAARR PGA OJF2_RS18480 MSLVAAREGVPDRPAPAPARSLLVAAAVAMVVASAAYTWCVSGD ALTSGKSLRNLAEIVAEMLPPDFRVVPRLGRPLVETAVMSVMATTLSALLAVPLAFLA ARTTTPHPALAAAVRGLFNASRTIPELIVAILFVASVGFGLLPGILALSVCSAGMLGK FYAEAIEKADPGMSEAVAACGGSRLHVILFGVVPQVFAHAVDYTLYRWEHNFRASTVV GMVGAGGIGFEIVAALRLMQYREVSAMLLVVFAVVQLVDVFGGLVRTRLLDLEASR OJF2_RS18485 MTRRPARIGLAPLALCLSLLAGCGGGDRAASGRPLKVALLPDES PATIIRKNQPLRDHLASALGRDVELVVTTDYSSMIEAMRRGQIDVGYFGPLSYVLLKR RMPGATAFAAKLEGGSPTYTAVLIAGADSGVKAPGDLRGKTVAFGDPASTSSHLIPKS MLAEAGLKAGEGYKEAFVGAHDAVAVAVQNGNAQGGGLSRHLFGSLVEQGTIKADRVA VIAESKRYPNYPWVLGPDLDPALREKIRTAFLNLKDPAILGPLKADGFGPVEDADYDV IRGLVTVLGIDPETLK OJF2_RS18490 MIDVEHLTKSFGPLRVLDGVTFRVPRGEFVAVLGPSGAGKSTLL RCLNGLVAPSGGSIAVDGIVLDRRRLAAVRKRIGFIFQGVNVHGNLSVLRNVLVGRLA GKAPWDVVFSGEDRRVARAAIERVGLGAKVQARVSTLSGGQRQRVGIARALAHDPAVL LADEPISSLDPVTGREVLDLLREINRDRGVTVVCNLHDVRLATRVTDRVIGLRDGAIA FDGPADRLTPGDLARIYGDRLHERDLAEVSP OJF2_RS18495 MLDPLVSLAFSVYSAKGVYAVLLGSGVSRSSGIQTGWEITLDLA KRLATLSGAEDKDPADWYQETFGQQPDYSVLLEELAKKETDRHFTLRPYFDPTPEEIE EGKKIPTEAHRAIAELVAKGLIRVIVTTNFDRLMEQALGAVGITPNVIASADQAKGAT PLVHSKCTIIKLHGDYTDIRIKNTQAELAEYEPEMDKLLDQVFDEYGLIVCGWSAEWD VALRSAIERCPNRRFTTYWSAYSDVSGKAAEICTRRNAQVIKGMGADAFFGQIKEKIE AMEAMDASRHPLTKQMAVASVKKYISEDKYRLRLHDIFMSEAERVSSLFLGDRYPRRN LSMNFRDLAPRISSYEADSGPLLAMLIAGGFWGTDAKLWVRCLECVASASEMNDCVED VCHVALYPVRLLMYGCGVAAAAAKRDDAVAALLTQCRTGKRAKNSLWHRIGDSYLVQL FEGIAKNLPGADAFEKLPASQHLFDKLRPEFYGYVSGEREFREAFNRFEYIAALSYAD QEDMRNPSSLDVWGPHGLFVLQGGGAVAAELQKLIDQVGPDMSLLKAGAFGGSIDRLK SIKSRFDQRFERYTGAMGYEWN OJF2_RS18500 MIHDENAIGHVMARVDDRRVIAADDDERGRVVACMERAVRRSGW IACACVPMANRLHLVVKTPRANLARA OJF2_RS18505 MSGLILLDRERTVAPDGFNRWLIPPAAMAVHLCIGEVYGFSVFN VPLTRVVGVAESIPGRDWTIPEVGWIYSIALILLGLSAAVLGRWVERVGPRKTIVASA ACFCGGLWLSALGVSLHSIGLLYLGYGVLGGIGLGLGYIAPVSTLVKWFPDRPGMATG LAIMGFGGGALIGAPLGVELMGAFRSAGSVGVEEAFLILGVVYGAFMLFGAFTIRVPA TGWRPEGYVPPARPRPLVTHADVHVDHAWRTRPFWLLWAVLCLNVTAGIGILGQASLI CQDMFGVSASVGGGFAGLLSLFNMGGRLFWSSISDLTGRKAIYCVFFLLGACLYAMIP AAQRSHSVGLFVALTALIISMYGGGFATTPAYLRDLFGTMHLGAIHGRLITAWSMAAV LGPQMVNYLSTYRIGRGVPRAEAYNATMYLMAGLLLVGLACNLLIRPVDERFHHREAP APGPAPAEAG OJF2_RS39300 MADTERKTSRESSTLRIAASWLLVLIPLGWGVVQSVAKSVPLFR ASSAPGTPPANAGR OJF2_RS18510 MNHHFSLDPRTILGVGPGATAEEIDRAFRAKSKKHHPDVGGDEW AFRMVRRAHEILTATSEMAGLGGGFAPAASPPPPAWAPGPPPTAGGWDGSAAAATGTG PAGTWGAGAGAAEATATAPPTASSRLPSRAEFQTVDAELIWIRFDLRSAAAAGPDGNP EVPGDSTLSVCLVVSWPRTSLVKHSAEFPDAAETLHEVIEAFERLRAGGPVLGSRSRI EDGQFVGWLSYPNVVQAQIGVESLRDGLRGHDLRLSLQTRDEPLPTEWLAGR OJF2_RS40650 MGCALAVAGLPARPGDAARAQPPAGRATASPQVLASPQRPAVNI PPGLPRYDIAARIDPRAKRVDAVERVTFTNRSKVPVTELVFHVYPRYKVEGKERLRLA RTMEMLRLSPEEALDDKGDRLAVSGVEVEGEARPAAFSFDPGDPTILVVPLARPVPPG GRAVARVGFLVDLPEKWGRWGTYREVTYLVNWYPVLAHHDDRGWQRTPFAPWHQPFYQ DAGHYHVNVDLPAGQVVASSGRIVGREEAPGGWQRLRIDADPVRDFALVCSARFRTWE RTVGATTVRVHGFDEHEANARRALDYACEVIPQYEKWFGPYSDTEFEIAPAFFGWNGN ECSGLVLLDDRVLRLPSAGRRYIEHLVTHETMHQWWYNTVGTDGYGETFMDEGLVNSF TARRLDAKYGRNGPLITWPEGLTWLPTIGREDMRMAGYYGWKRKGGSGPVIQDMGQMG NLMVLFSLAYDRGGKVVDMMYNRMGEERFFAFFRKLYADYSFKTLYYADFKRELAAFD PKGDWPAFLEGWLEASRETDWSVKKVEVAAPRGGAVAAAGGGTRADPEVRRVTVEVEQ EGELAEPTVVLCKTAEGELRVPLWPEKGTYEVPGARVERIVRPSAQGPAPGGGGGEGE GPKATGQGRDQGRPRWRGGRWVVTLDAPGEPTQVELDPDHALLDSNPENNRWKPEIAW RLTPLMTPLDMSGQFQAYDRPSVVAGPFIDQYARGGVKAGVQRTNRWSVVGWAGTEPA LREAIFGGEATLFHTPSTNWATGFFYEQGLYNFYNDKRHSGGRFYMRKRLLESSSFIV DDPVFFEVYYGLGNEFWQGDDGRPVSQYLGAVGVRYRQNTQFPYWDPVQGELIDVSAE YGNTLMGSQLDYARVVGQYGLVRKAPESWGIFPNSRFALRGYGGWSTPGNATLFRLGG GQRLRALDLTSLEGSAVWLVTAEWRFPIWRDIDRDVIDHTISFRHLYGALFYDIGQSY LGDRWGPIVHGPGLGLRWDVVLFSFLERATLRLDIAQPVGVRGGPVLWFGINQVF OJF2_RS18520 MRPDANPTPGRPGDDRDRLARAADALRAEPVPEGPSPEALARAL QAVEAAEASAPPRPLSRRNAMLVTLKVAAAVMIAVGGLFLAGSPLLPGAPVSFREVAE KIRDAQTLSYTMTTEIPDSPKMPPVRLSFKQPGLLRTEVVGTPAIAVIWDFKAGKRLY LDPARKVALILDGRLPGEPKPGEVDLATKGVEEFRRLGTAEGEALGEKRIGDVLAKGF RVAEKPGHETLVWVDPKTRLPIRVDQSAPFSGKTSHTTMTDIRLDPPLEDDLFRLDPP PGYEVLKQDLTAGTQEDDGTPETALIAMLRNYATESRGAFPKQVDDWQAYANVLREKT VRESQGAKPARDSNMAEAMRMSMLFARAAVYIASVDGQYGYRPDGVKLGDADKLLFWC KPKGKETWRGIYGDLHAADLPADKVPAR OJF2_RS18525 MPDWREILEGDGPAAWRAAYRLVGNRADADECFQEACLAAVEVS RREDVRSWRALLVRLATARAVDRLRARARARRRASAGGREAAGSWDSLPDPSPPPEDV AEEHELAESLRDALGRIPARQAEAFCLHGLEGLGYRDVARRMGVSVGLVGVLIHRARA RLRALLAAYHTPPSHAPSVLPSAGKEPS OJF2_RS18530 MNAGRFLDLFRALRRSSRAPRRRPRACSPAPLGLEARIALSGAG VAPPLTMLSATTADSKGVTVTYRVDTPTTPTFRVYRSADPGLDAGDSLVGGYSAQATT ADDAGNPAGSVGTHTLTIPLPGGLPISPSRPYVLVVAGDAPTAAAGSADLASFRKFSI AVVTHGALINGSWKYGPPWELSTAKVLQREGFDAVLPFNWAYQSGQPGKAPAQGPRLA GMIREMAESLPAGSPIDLQLIGHSEGNVVNTQAIVALEGSMPPGLRAGYLVETMLDPH AASNNVPGQASERSGLLGSLARSLVRDYQGRANDPPAYVPAGVDAAQVFYQHSKAEVH NQIYNLWGQVPVANLGDAPIAYYNLTAAGAVHSGRFGVSLWYRNFVAPTLAEQSPLIR ELTLTGSVEGGTTVASPAATPGLAANPPAARRAVAWGTTRALASGSVTFSGTAAPGSQ VRVYMGPAADPSTIAPAGRTTADASGRWTFTRPALAGGTYRAVAMSYARGLQTRPGLA IVPMTPLGRFTASGRPRDGA OJF2_RS18535 MKILELSLLAFGPFTDVTLDLSAGSEGLHVVYGPNEAGKSSSLR ALQQALFGIPVRSSDNFIHAHTSMRVGMAVRSRSGEELRFVRRKGLRATLLAADGETA LKDESLSPFLKGLGEAEFQGRFALGHDELVQGGKAILEGGGDLGAMLFQAGGGLKDLM GVQRELDGELEGLFKPSGQKPRINAGLAAIKEAEEARKSHSLRSAEWVENEAKLREAT ARLAEIEARLADDRAARRRLDRLKEALPILARRHAASGELDALGDVPALGESFAKERD DARRGLESAGLAIRRARSEIDGLERRAEGLSAPAGLLAEADAIDRLREAMGAARKARA ELPDEEARLRLSLRDARDRVAESWPDLIDDRGREPDLESLRAAADRLKLTRSQKATIQ RLATERAKLDAARQQAAAAVADLEARHEAERAELDRLPPPADASPLELALKQARDQGD LDAAIDAARERLAQAERQAARSLAGLPLWAGPMDALAAARVPGVETIERADGELGRVE ADREQLRRDLRKAAEEKAEAEAAVERLRRVAGDVPGEDDLRRDRDARDALWSRVREGW EARRPPGPGEADAFEEASSRADATADRLRRELDRVVQHANAQAGLHKAARRIELLSAQ EADLGRREAEARARWEGAWGGLAAPPLSPREMLGWLDTREKVLGRAAEVEALRRELEA MEARRDRLRDRLRELLSIDAAPATVTSRPPLLSPDRDRAEAELKRLAGVESRRARLAE SSAGLARQLEAERSRVVALDGQLAAWRGQWARAVEPLGLAADATEEDAQDLMARAAEL AAGLAAAAEARERIEGRRRELGRFAADVRATCRRAAADLDPGEAADPASIEAAAQELL RRLREAEEVETERKAVRKQLDGERARLRDAEHAAAEASRRLEALCREAGCDDAAQLPD IERRSARAARLREDLRERDEQLEGLRGGEPPDAFRAAALALDVDRLPEQIRELDDRIA ALEVERDSLNQALGRHREILSKMDGSSRAAEAAEAAEELKARLALDVEEYARLRLAAE VLRQSIERYRERSQGPVIDRASELFRRLTLGSFDRVKVDYDEHDRAVLRAVRAGGAAV DVEGLSLGTADQLYLALRLASLDAYLERHEPIPFVVDDILIQFDDDRAAAALGILADL SRRTQVILFTHHGRICDLAGAAASAAGEGVVFLHRLHELKAQAASKAEAEPDAEPPGE LFKLNRGRGRKR OJF2_RS18540 MLRTLRGRWATPRAAAWAAAGAVLVAALPPVRACTSILVSRGAS KDGSVIITYSADAPFMPRLLRVPGGKHAPGAMVDVRGWEDDEVRGQVRQAETTYTVVG LMNEHQLSLGETTTGGRRELVDRKGQLDYDAMMLLTLQRAKTAREAIATIDALCKEYG YGSSGETISIADANEAWIMELIGKGPGRKGIVWVAARVPEGYITSHANMSRITTFPLN DPDNWLYSPDVISFAVEKGYYRTDSGRPFSYRDAYHPDQGPSQKRACAGRVWSVCRRA APSQNYSDAYFRGVEGAEDYPLFVKPDKPLAVSDVMALMRDHFEGTPYDMTKGIDAGP FGSPLRLRDLTFAVDQKRYMWERPISTQQAGFVVVTQGRKGLPDAIGGVTWFTPDEAS TSCFTPLYCSIGALPAAYERGDYQKFSWDSAWWVTNLVSNLAYDRWSRVYPDVRKAQE EQEAALLKMQPVIEEAAAKLAATDPALASTFLTNYSVSTGESVFRRWQDLASSILTKH VDGYLKTGKGHPSAPGYSPEWLREVVKSRPEQFKLPEAKQAGETDH OJF2_RS18545 MSTSTFKFLHAADIHLDSPQLGLDRYEGAPVAECRGATRRALEN LVALAVRERVAFVVIVGDLYDGDWPDYNTGLFFGKQMQRLREAGIKVYMIRGNHDAQN KMTRDLRLADNVTMFDADSPGTEVLDDLGVAIHAQSFARQAVWDNLAKAYPNRIAGCF NLGLLHTGVNGREGHDNYAPCTLEDLRTREYGYWALGHIHKREVLIEEDPWVVFPGNI QGRHARETGPKGCMLVTVDQHLEVASAEPRWLDVVRWETCRLDASADRDGDAVVARFQ DELDARIAANDDRLLALRVEVSGTSEAHAALASQAARWTNEIRQAAIHAGDGRVWVEK VLFRTRPPRELSEELMADAPLAELAAFLDELRGDDARLEALRSRALDDLVRKLPAEMK EGFDSAARLRDLLDQVGPLLLDRLRRR OJF2_RS18550 MAWEEEGEQEHRRRMEREQAIKEWFAAVERGDEAAVLEFLDQGK DIKSGRDRTRITALMIAAGDGQAAMVRLLGPRQEPFPPGDHAGHGSCVRVAVAEPKAM PRDRLGLPTGLRSSRRPARPWCRCASTTATRRRRETLRAFDHFGLRH OJF2_RS18555 MDGAEHDPVAMMAELARSMKPERRARFLDAACGGNPAMRAAVEA RLASALPMPEPPLPEDATIADGMFEPKRPDAGPAPAPEERAEGRDEPAAEADFLDSLA LEAVSEPPGEAGEASQIRESDDAAADASAPTILAYCEARKLDAEDRLRLVERLCRAID EDHRRGRIRGGLAPGEVRIAAGGTPRLLAKAGGEGGADAGDLRYASPEQVLGEPATTA TDVYGLGMVLYEVLTGRYPYRQAKEGDAASIARAVSEESPERPSRAAPRSIARHLDDD LDMVVLHALQKEPGHRYGTAGPLADDIDRFLRGRPVTAVPEGRLARLKRLARRHPAAV VLGGLGTLAVLLGLAAALVALARSQRAQGRSETSYRTARQAIEEQFARIEDEPALDVP ELRPARFALLGSLLHYYESAAARGEDARDRRGDAQAAEDAARARMRLAQIHRLLGVGD LAAWQYEQALDAYEGLIAARPGHSEFQEDLIRILTDLGGLLIPRRDRHEQARQVLERA RSMLEGESAPKLVGKARSRALGEALAAIATLEGAEDRLGPARAAWRRAIEIETALTAA KPPRVDDLAALASAQVGLGRSLAASPETAADGIAAIRKGLEIREDIVKTNPARVDQIE QLAIEQSGLATLLQSAGQVDPAIEAATQAAATFERLDRRFPENTPYQSGLYLVHDLLT RLQNKKGEIAAALGHANRARAVLESLAARNKGERMFAIDLSRSHNFIGRLLRQEGKYP EALAAFQRAVDVLESLKSLDPANSYQLGVNLALCVPLIGVPEGGHTEMLDDDPKLSPA DRLRRKLYGERAIAALEKAAEGKGADIEALNKDPDLDAIRGRPAFQAIVKKLEDPRKG OJF2_RS18560 MGGLIFEEETFRIRGAVFEVYREMGSGYAEPVYQECLRMELTSQ KIPFIAQPELRIRYKGEVLAQFYKPDFICYDHIVIELKAARALAPEHEAQLMNYLKAT GMKLGLLINFGAHPRAEIKRIAH OJF2_RS18565 MKIIRMGCCGSLIAACLVIGGLPARAEEPAVVLRPARVFDGLGK GAVEGWVVVVRGEVIEAAGPAGEVKVPEGAKVVELPGATVIPGLIDAHTHVLLHPYNE TLWNDQVLKEPLALRVCRATNHLRSDLLSGFTTIRDLGTEGAGYADVGLRDAVAQGIV PGPRMLVTTRAIVATGSYAPKGFAPEWEIPQGAEEADGHDALIRVVRDQIGKGADWIK VYADAAMGGKEVLPSFSAEELSLIVETARSQGRLVAAHASSREGMRRAAMAGVATIEH GYGGDPEVFRLMAERKVALCPTLAAAEAGATRYKGWTLNETPEPDGLARLRRLLTQAR EAGVTIVNGSDIGVFSHGDGARELELLTALGMTPAESLRAATSDAAKALRLDHRLGSI RNGLLADLVAVDGDPTCDIKALRRVRLVMKAGTIHGRDKD OJF2_RS18570 MASSRRPQLRRGPALAALLALFVASPLPLRAGNFEIRKGDRVAL IGNTLADRMQHDGWLETHLQARFAGDDLTFRNLGYAADELTVRLRSKDFGTPDEWLAR VKADVIFAFFGYNESFGDPAKLRKDLAAFLGHALAQKYNGSSAPRLVLFSPIAHEDLH DRSLPDGKANNARLERTTALMAEVAREKGVTFVDLFHPTKAMYEGASRPYTINGIHLT ADGDRMLAAIIDRALFPDGPVFKRDAAAFERLRQAVLDKDFIWFNRYRTVDGYSMYGG RADLKFVDGQTNRVVMDRELEVLDVMTANRDRRIWTVAKGGDRRVDDGNTPPFIPVKT NKPGAGPNGEHVLLGGEEAIAKMTLGKGLKINLFASEKEFPDLAKPMQMSFDTKGRLW VACWPTYPHWKPKEEMNDKILILEDTDGDGRADRQITFADHLHCPTGFELIPGGVLVA QAPDLMLLKDTDGDDRADTRERVLSGLDSADTHHTSNSFRLDPAGAVYFQEGTFHHTQ VETPYGPPVRCANAGVFRYEPRTQKFEVYVTYGFANPHGHVFDRWGQDFVTDGTGNVN YYGTAFSGHLEYPEKHAPMQPYFKQRSRPCGGTEILSSRHFPDDWQGDLLVANVIGFQ GIHRYKYQDAGSGFTAVEQEPIVFSDDTNFRPVDIEVGPDGAIYFLDWQNPLIGHMQH NLRDPSRDRSHGRVYRVTYEGRPLASPAKVAGEPIETLLDLLKDRDDRVRYRAKIELG ARPTADVLAALKAWEGRLEPADPDFEHHLLEALWLTQFHDAVDVALLDRLLAAKDFRA RAAATRVLCNARARVPDALARLAKLAADPAPRVRLEAVRAASFFDDPEALEVALITAE HPSDYYIEYTRGETLRALMPIVKRAIAEGRPVEIRSDAGARYFLGTVDTEALLKMQRS RGVLLEILLRPGIREEVRRMALADLARLDRKPEPRALIDALRARDAGGGSPNEAAVVE LARILAERSPADLAPVRGDLERLAAESRSPSLRQLGFAAIVAADGSADRAWDLAARSS TTLRDLLAAVPLIRDPDRRAALYPRIEPLLHPSAGGEKPGVAGRYVRIELPRTGTLTL AEVEVLSDGRNVARGGKARQSSTANDGDAARAIDGNASGSFDDEGQTHTEEDTPRPWW ELDLGAELPIDAVVVHNRTDGDLGRRLRGFTLEVLDAGRRPVYRKAGNPAPAPRAAFA IGGENPEGSIRRAAMQALTTIRGHEAETFRTLARFIRDGVDRHAAILALQKIPAATWP ADEAPALLAAILGQIRAIPAGDRTSPAALDALQLGDALAALLPADRARAARKELSALG VRVLRVGTVLEQMRYDVDRLVVQAGKPVEILFENGDTMPHNFVVTRPGSLEEVGLLAE ATASGPDAIRRQYVPQSDKILLASRLLQPRESQKISFTAPSEIGVHPYVCTYPGHWRR MYGALYVVQDLDEYLASPEGYLAAHPMPAKDELLRSTRPRTEWAFDDLAASVRGLGND RSFAAGKQLFQSASCASCHKLGGVGKEFGPDLSKLEPRQSPEELLRNILEPSAKINEK YQAYLFELESGQVASGLIVAETPDSVSLVENPLASTEPKVIKKSEIEARKPSTASIMP KGLLDRLTREEILDLVAYVAAGGDPDHALFRGHGHHVHGSGAGHERKGHGE OJF2_RS18575 MASMAAWFAASLAPGVAPAQEAPAYAGAHADGFLLPSGWKLKPA GEHVALTDLPLNIVPLADGRHALVATGGYNAHELSVIDLAARKVVDRREERESWFGLA VAAEDAGAGARIWWSGGGGDRLHRLRLAGGRLDAAGPLAFEREGGEAAKPARKKVAAH FRSGLAFDPARKVLYSLDIEAGQIEAIDPATGKAGAPVAAGTRPYDVVVSRTGTQLLV SDWAERAVLVLDPASLRTVARIAVGEHPNQMAVHPKDDRVFVACGSSDCVSVIDARRG VVIETIHTALFPRAPEGSTPDALAIAPDGRTLFVANADNNDVAVIDVAEPSRSQVKGF IPTGWYPSAIAVSRDGKDLLVGVGKGLRSKANPIPSAMLGEAKAELLAKARAEMTRKN TDRDPRHPHVGATLSGALSIVPIPDDRALAAYTETVYRNCPYSDAMLTDAPHPRKTAI PTKVGDPSPIKHVLYIIKENRTYDQVFGDMPRGNGDPSLVMFGRDVTPNHHKLAEEFV LLDNLYCNGHVSADGHPWSTMAYATDFTSRNWMLSYSDRKAIGDDDEGELTNAPSGYL WDACARAGLSYRNYGEAGRRVSRPDGTFHIEGAVPGLVGHMSPDFGAPREKGKPVRDT DRAEAFLRELAGFEKDGDLPRLMIMSLGEDHTTGTRPGTFTPRACVASNDLALGRIVE AVSRSRFWPETAIFVIEDDAQNGPDHVDAHRTIGLVISPYTRRGILDSTQYSTVSMIR TMELILGLSPLSQYDAAARPMFECFDDEADLKPYAATPPGIDVDEVNRPDAYGAERSA KMDFDEYDRIDDFELNEILWRSIKGKDAPIPPAVRRAIAYRQASSPEKPR OJF2_RS18580 MRRIVPTIVLISAAASAAMIAAGCGDSSPGVMVKPAPSGGAMTR LKNVGFFTIKAEGPAGAAGRKKNAARSLVVMVFGPDAATPMSPPPTDVVVNLDAAGKT TPVPLKPDDKEPGRFVSAPGPYPTGLQGEVRMKVGGEDVGESFSAM OJF2_RS18585 MKRRVSRGFTLIELLVVIAIIAVLIALLLPAVQSAREAARRMQC TNNLKQIGLAMHNYHSVNDTFPMGASKNCNSDPSSGCPGYADWRGWSALATSLPFVEQ QALYNAINFNMAEEIHDATPAPENYTVIGTIVNAYMCPSDPNVGQSNINNYHACYGTT TDWPTAPNTTFAAVSSMQNADGNGSTGMFAVWAAYGIRSDTDGTSNTLLFAEALVGDN KGNESNHGRGVGTGTPGSHYRGNGIVIAAAKFEVDDFTSSAANIQGIQNSLQACAAEW SNGASIQITSHRGYRWASFSEGSAFNVAQTPNDHKYPFNVCRGQGNPSQSDNGSNSLP ATSMHPGGVNALFVDGSVKFIKDTISPQTWWALGTKSNGEVISADSY OJF2_RS18595 MTRPGRLIAVIDDTPEDRAAVQRHLADGPDRYRFAEFASYDEAA ASFRDEPPDCLLLDFRLQGMDGLEVLERLTGGTGVAPFAMIMLTGRGSEAVAVQAMKR GAVDYLVKGQYTPGQLRQAVAEAIAKFEDRPALERRRAELERAHRAAMDSGPNHERLI LIVDDSPEDRVAARRALTQGAGSGGPSYRILEEATGGEALALCRSAGLDCLILDYSLP DLDGLEFLHELTGGTGITPFPVLMLTGRGDEAIAVRALKRGAADYLVKGRLGGESLRS KVEQAIQRMAARRVQEEQRVRVLERLEAEARHRADQLAEADRRKDEFLAMLAHELRNP LAPVVTSLHILRRSGPLPEAARTALDIADGQIKHLARLVDDLMEVSRITRGKITLKRQ PVDLAPIVARAVDAARPLAESHSQELTLDLPAGTIPMDADPTRLDQVLANLLNNASKY TDPGGRVSVSVRVEEGPDGCPETAVVRVADTGVGIDGEMLPRVFDLFAQADRSLDRSR GGLGIGLTLVRTLTEQHGGTVEAHSDGPGKGTTFTVRLPVARAGPPAAAPRPAARPSA GPTRLLVVDDCADGAQALAMLFRALGHEVRVAHDGAAAVEAARDFRPDVAFLDIGLPR LNGYEAARAIRAELGDDAPYLAAVTGYGQVDDRRRAEEAGFQHHLVKPVEIDELLRIL DLARKAR OJF2_RS18600 MPDPRQLILVVEDSDEHFEAIRRAFRKTGITNPVHRCCDGDEAL DYLFRRGRYADPPSVRPAVMLLDLNLPGTDGREVLDHLKADGELRVLPVVVLSTSSNP ADIDLCYRTGASSYIVKPVRFDDFLKTVETLKSYWLDTVALPVG OJF2_RS18605 MKAAQDATGHVVDLSNCDREPIHIPGRVQPHGVVLALRETDLSV VQASANAAGLLGLPAEELAGRPAPSLFDGEDRERCRRWLGSADLRDGPLRLSSRGRPL DATAHRHDGALILELEDARDAGPTIQADYRSVRTAMGRLLAAGSLEEFCRVAAAEMRD LTGYDRVTVYRFDDDWNGLVYAEAKRDDLEPLLGLHFPASDIPEPARRIYHLSPIRMI ADVAAEPSPLVPEMDPRTGRPLDLSLAILRGVSPVHIQYLKNMGVRASMSVSLMREGR LWGLLSCLHYAGPRRLPAGVRDACVFLGELVSAQIAVKEDMQGAEHRARLHDVRERLL QRMSAEGDLERGLPGEDLLALTGAAGAAVVHDGSCTLTGVTPTEGQVKRLVSWLRIHA DGEVFHTDGLAGLCPEAAEFADVASGLVAISTSRIQGHHVLWFRPEAIRTVDWGGDPR KPVEGEDPFGLLSPRRSFALWQEVVKQRSLPWRPWEVDAARMLRDAIMAVVVRRAEEL TRLNAELERSNADLDSFAFITSHDLKEPLRGIHNYATFVMEDYADRLDAEGRDKLETL VRLSQRLEDIVESLLHYSRLGRVDLAVEPTDMGEVLSGVLELLRLTLGREAVEVVVPR PLPVVRCDRTQAEILLKNLITNAVKYNDRPAKRVEIGYREPVDGPDRRPVFYVRDNGI GVPEKHRHAIFRMFKRLHGRDKFGGGTGAGLAFAKKIVERHGGSIWVESPPGEGATFY FTLGS OJF2_RS18610 MILAELKTHTEHLHRRVEGAVDLLRRTRDAESYAGLLGRLYGFY RPFEDRLAAMRALDGLGLDLPARRKTPMLMADLAFLGRGPDEVEALPRCDFLPRPATT AGAIGCLYVMEGATLGGQFVRKHVQKALGLSGPGLAFYASYGADTGRMWASFREAAEA AVAPGDLGDALAFAAATFEAFEAWVGPGEPAAPMAGAAAGPSAETGGRS OJF2_RS18615 MFAGVLGVAATLAGRMRRRAPNGIAWETVLALALPAIALVVTVV GAFAGAWPGGRLAARFAPLGVGDLPARLVNGAWIVAGGFLGYMVGGGIGMAIAIAFFT PQTLGPIPGVLAGLSFARRRASPPPHR OJF2_RS18620 MARDVPVDGLTRGHCQELREVARAFGLSFEAGPVAMARHPGWQV RSAGGTLALAVAGIAPAADRPALWGEAVELRRILSPMTSRPFASRPSPPRP OJF2_RS18625 MPGIAIVVRCLMLGSILAGAVSASPTACAQGRREARGPLRVHPT NPRYFTDGSGRAIYLTGSHTWANLQDQGPADPPKAFDFDGYLDFLVERHHNVIRLWAW EQARWAPWSDGKGSNPSDWFVQPVPYARTGPGNALDGRPKFDLDRFDDAYFDRLRTRV RRAGGRGIYVSVMLFQGWSSAKEWLGGTPWRGHPYHPENNIQGWSGNRTGTSGPALDD PGVRERQAAYIRKVVDTLDDLDNVLYEVANEGGYRDWDLFVVNAVHACEKSRPKQHPV GLTGHGAESNDEMMASPVEWFSPGSSQWPDLKTDPRAVDGRKVSLLDTDHVFGVGGDR EWVWKAFLRGHNVLFMDPYGDPAWDPVLAAQGVGSPGAEGARRAMGQARRFAERMDLA ASRPAGELASTGYCLAVPGREYLAYLPDGGPATVDLSAAKGKLSVEWSRPIEGNPTPG EAVEGGGRRTLKAPFAGDAVLYLRATP OJF2_RS18630 MITTTGYPEHGGRSLRDVGVPRGGPLSRGLLAAVAAILLAAAAA DSTAAEDAYTFTTLAGPKGEMFLPQAINGKGQIVGEYHGGGLADASVHGALRGVDGRI AVIDHPDGRFTRLMGINARGQIVGTAVDEKALFGFVREPDGRFARLTLKPDAPNSRPI PAAINDRGVILGSDTDGRGRPRDFVRQEDGGFRVLPAYGSNSYLGINSGERVVGHFLD ITLSRRGLLLDEKGKPTLIDWPKTTLTEARAINDEGVIVGIYLNLDPAFGLHRSFVRD AKGKLSPFDFPGAKETQAAGINAAGHIVGRYEDKEGSHGFLAVPKTRP OJF2_RS18635 MEGEDEQGPDLELNDVSFVGPPPDDDEVLERLPAELAGLLRQVN GFIQFGGGLHVRGACLGPPWHSLRDAWDGEHAFHRHYPNVRPGDVPFAEDCLGDQFLL RDGAVLRLRAETGELEAKGQDLFGFLRAAQADPRGSLSLEPLIRFEREGGELEPGQLL AAYPPFCTSEAADGVRLAAVPADERRRSLAVLAARIRDVPDGVSIVFRVAP OJF2_RS18640 MPPQPMAHLKEPATGRMHRQFVPLLADETVAQSLDRLRRHPPSD GAVYFYVTDEDGRLLGVVPARRLLLSPPEAAVAGLMVPDAVTLPASATVLDACRLFIQ YRLLALPVVDEGGRLLGVLDVEPYKEDLRRLERATVVGRLVQPVARFMQVESSGGLVL LAATAAALLLANSPYSESFHAFWETHAGLTFGDSSLVESLRDWIGDGLMTLFFFVVGL EIKREIVSGELADPRKALLPVLAAVGGMVVPAAVYALCLWGRPGWRGWGVPMATDIAF VVGFLTLLGPRVPSGLKVLLLTLAIADDIGAVLVIAVAYSGQLDLGTLALAGAGLGLV PLLRWLGVRSATVHAALGAAIWLGFLKSGVHPTVAGVLLGLLTPTRPPTGRGLVLDVI HDLNGRLRGIRRGTPEETPELASPAERLEHALHPWVAFAIMPLFALANAGVRVEAQAL ATPIALAVAAGLVLGKPIGIVLFSALSVRMGWARLPDGVDWRAMIGAGCLGGIGFTMS LFIAGLALDGPLLDEAKIGVLVGSAASAILGCLLLIAFLPSRAPGRDGMPPRA OJF2_RS18645 MNRYQLAKVVNWAGTLETRKRMQKVIYLLQVAGCPLGASYTLHH YGPYSQDVARLTDEMVQAGLLTERTTSNGVGQQYSYSLSDAASRNLTELESSASRGPS SGLDAILSEKRWLLEQDLKDLEYASTIVFFRQQGHDWPSAVEKMCRFKGLAKGSQVVE RADALARRVVA OJF2_RS18650 MSSKIIRDPLYNYISIDADEDGWLIELLDSPEVQRLRRIHQLGV SYLTYPGADHNRLAHSLGVLHLMQQAFQRLRQVHKGADINRGREPVLAAALVHDVGHG PFSHLFEPCLGIDHESWSRAVILDEETAVHRVLKRVDRSLPRTVADLIDADNQDHPAW QKYLLSSQLDMDRLDYLRRDSLFTGAGYGHFDWYRLLNTFEIYEAGDSGKDIVWAEKS QLAIEEFIYARFYMYHNVYLHKTTRGFEKLLEAMWGRARRLHDDGTEVLLVPAIRDFW GSASPSVRQYLAMEEFTVLQQIQNWTGHPDRSLGDLARRFLGRQRFAMVEAPDFRGAL APDYEGCKAALMELVGSRAEYDPPEMYCLEDRVKAKYNQPYFPEKEDDEQSVKNAIRI LVEDSSTPIEVSKRLDRLKAVTEVPEEKVRYYVPKDLQEAARRLLAGWK OJF2_RS18655 MLKRMLLVVLKAIGFMLYSPIWLIKEPSGRGRWCRRQSRRMVEE RPPLADADFLRVQQASAADAPVWLAARRCLAGGIGVPREAIRPEDRMADLWRMQRPGP DLLDLILRLEILLGRKIPRGTITEEFRGSWPEEFSPFAAGIVRALREPPRPDG OJF2_RS18660 MQGWIHWKRGIRGELGPMLALAGPVVLAELGWMSMGIVDTMFVG RLGAEAIGAVSLGNALFFAASIFGIGLLLGLDTLVSQSFGAKRLDECHAWFVQSIYLV LLIGPAVMLVLLGCEPLLGRMGAHPAVLAGALPFLRATTWGTVPLFFYAALRRYLQGM GLVRPVMFAFLSANLINAVGDWLLIDGHLGLPAMGVAGSGWATTISRAYMAGVLAAYA AYHDLRHRTGLFGASFRPRAARLWRLLRLGVPAALHATLEVGVFAAATMLAGTLDPAA LAAHHIVLDVSSVTFMIPLGLASAGAVRVGQALGRGEPAAAGRAGWTALVLGVGFMAA SGTVMALVPGVLASLFTTDPDVIGRASTLMLVAAAFQLFDGLQGVSTGTMRGAGDTHT PMVVNLVAHWVIGLPLGYLLGFIFGYGVVGLWVGLALGLGSSGLYLLRAWFRRAGALA RGEHGAHAAAEPAA OJF2_RS18665 MMSRSETRNNRPEPEPLVETDSRFPSGPWCGFFLMPHQPGRHMM ELQLTFRQGVMTGEGRDMIGPFLIKGRYQVEDGQCWWSKRYVGKHDVHYRGYNEGKGI WGLWEIPPSYKGGFHIWPEAMGDPTKQKLAESIEEPQDAPPVEAPAEELVPAGAGADA GFDVSVAAF OJF2_RS18670 MAAMVQCPNRMCGRTTVLGEDPLGRIFRCPRCLTKLPAAGAGAS DSGWTTVLGPLPRRWSPVARRGAATDPAGDAAGARPAPASPGPDSGEFLAFAFGARAE ESWTPGPGPGLGHGHGLALELQESGEVYIGPLGRDDRDPGRGPDPGRDGSGAIAAVPR LGRFELIEVLGEGHHATVHRAFDPLLRRQVALKLPREEVAPSSRGVDRFLAEARVLAR LRHPRIVPIYEAGREGDRPYIAMALVEGQSLAERMAAGPVPFATAAAVVAELAEALAH AHDRGIVHRDVKPANVRIDREGQVYLMDFGIAYHPESGEVPLPPGRILGTPAYLAPEQ ARGGQDGALPASDQYSLGAVLYELLCGRPPFHGPPTYVLFHAIHHQPPSARTVAPEVP RALASICQKAMAKRPERRYPSCRALAADLRRWIGGGPPPPRRRWAGLLGGN OJF2_RS18675 MGTSILDEIVASKRREVASARRWMPLEELEAQAAEAPPPRDFRA ALAAPGPIRLIAEVKKASPSAKVIREDFDPIAIARAYQEHGAACISVLTDAPYFQGHL SYLARIRASVAIPLLRKDFLIDEYQVVEARLAGADAVLLIAEILDDATMAALLQRARG LGMAALVELHDAANLPRVLACGADLVGINNRDLHAFRTDLEHTLRLRDEVPPGVLLVS ESGIRTREDVLRLERAGVSAILVGESLMRSPDVGAAVDEILGLGAEATA OJF2_RS18680 MRRRPHSRDLRKGRVSAPWETYFISKCTEHRRPLLARAVAAEVV IDSLAYFRTRESIKLLAFVVLPEHFHVLFTLLPGPSLSEILRRSNSYTANRIRDLLAL DGTVWQDDGFHDHRCRDDDEALAYAEYLEYNPVRRGLVTAPEDWPFSSAHPSRRHLLD WDWWWGWRTRD OJF2_RS18685 MLTLAAFLVAGLALALAAAGRWRGTGRGPATSEAERAYAAKDWE GAARLARERLAGKAGGAGEDPAAVRLLAKASARLGRDEPAVSLFTRLDPATLAAEDFY LLGLSLLRSGRRPEAVATWRRALRVEPDYPEALAALMRLDLAANRQAEAEAAAKALAN QPGWEAEAHRVLGQLRSDRNDALGAAEAWAIAVDRAPAEAAGAAPMRALRKDLARAWL RAGRPADALESLRSLAGGPAAGSGAGHPGAGMTADPELSWLFSRAYLQGRDLPAAKAA LEAAGPFAEEDPTRIDPSPFVGAAKCAGCHAEIARAQGASRHARTYSPPAALPAEALP PPGFPDPVDASVRHTLRVAGGRLEQETRTAGEVYRAVAQYAFGSGDRGRTFVGRDASG GAFELRLSQYHEGRGREPFWAVTAGQPPHPPVPVGFLGVPETEDQVRRCFDCHVTNPR AVIEAAGPEAADPAIGCEKCHGPGGNHVLAVAAGFRDPAIARPALASGAPVVKICAQC HAPSNKPVDRNDPASVRFQGATLTWSRCYTESGDRLDCVTCHDPHRNAETSPAHYEAR CLTCHPGNSGPPPPAKAAPRRRSRRFDLAAAPQAPSCPVNPRSGCIACHMPTVRDAVP HTPFTDHFIRIHPEKAAEASASR OJF2_RS18690 MPSFKAALRGGLILAALAAVAAGASYVLVTTAEEGSRPRPATAS STRAAAPARPKAPSAKPWNLRPDGTPQLYGEGWFDDSGYFFGVKFTDEDSDQASLPAI RANVGGRARRGVDYLRNVLAHVPPDSPDAPLQVTQLHLAIGGLFMYEGKFDEAVKEFE AARDSEPDRGELTQANYEALLGVASLRRGEVDNCVACCREESCIFPLAASVVHTRPAG SRGAMRHFAAYLKARPEDLGVRWLYSVAAMTLGEYPDAVPPDLRVPIEPAGPVGTIGR FPNVAPAAGLDPRENMAGGTITDDFDGDGRIDVFTSTSDPEEGCHLYLNKGDGHFEER TDANLEDQVAALNCNQADFDNDGDLDVILMRGGWEKPFRLSLLRNDGKGRFDDVTMAA GLGTPIACQSVAWGDYDNDGKLDLYACGEYVSGAGGASAGSYSYQGNSDARNYCRLYR NNGDGTFADVAEVAGVTNQRRAKGAAWGDYDGDGKIDLYVSNSGSENRLYRNNGDGTF TDVARTLGVAEPIRSFSCWFWDYDNDGRLDIYCTGFGSSLSDIVRSRLNEAGGGERPR LYRNEGPAGFRDVTKDAGLDRVWVVMGSNFGDIDNDGYLDAYLGTGQPACFYVVPNVL LRNVGGARFEDVTPSSGTGHLQKGHGVSMADWDDDGDLDIFLGAGGATPGDKAHNQLF LNPGNANRSLTVKLVGTRSNRAAIGAEVRAVVRGPDGKPSTRLRLVGNGSSFGGNGLA AVIGLGAARSADSLEVRWPGTGGVQTFRDVKGDQSIEITEGKAEYRVIPRKPIPPPAA R OJF2_RS18695 MTTTQSAPITPAPAPAVASPRRGRAASAILALCAALFVLDAWWY WRDTRPVMSPAAIEGLMRREQYREAAAALRERLRRSPRDVESRLMLARTLGALDDPIG CARELHEVPFWWPDKPEVAFREGQSYLVAQRAKDAEACWLPLIKDDPLHPTPPDVLDA ASDQLLGIYATEDRWDDAADVIWETYDRVGPDRRYRLLGMRINSELERVAPAASLPIL ERYVAADPTDWEALRARARALAALNRKDEAARDFRACLAGRPGDARAWRDYLTMLYDS GDQEGWAALLERPPAAADDEPEAWRHRGLLKEKAEDWEGALRDYRKALELNPYSMASR YRAAQMEQRLGHREAAEEDRKRADELRDARSALRSSFGKLLIAQAARDNHQPPADPDV PAAMRKVADLCGKLGWARLKEAYNALADRS OJF2_RS18700 MAGESADLAPAGGTPGVRVRIFLAALIALAGGGALAFSFWHAAT PDLAEICALARRGEFDRAEALLSDRLRDDPGDPKAHLLMAQFSMDRPDPRPEAALEHL GRLRPKTREEEALLRFSEGKARYQLKEYGAAEALWYRALELDPRVPEAGWALLDLLDF EGRNDEAHELGMRLFEHEPHPRDRVRLLLEMVRMEVEHIDNGSRVQTFEPAWKADPSN LRLGMAVGFALLRSGEADRGLEVLKGLLDRNPDSPQARETWLAALMDAHRPEQLKAEV ARLPGALREDPRFARFLGAVADGDRDWPTAIRMYRRALEFRPYDGATLYRLRLAARAS GDAEELRRADDRLRDFKDAESRLADARKEAMDEPTLGVTPRPALYHKLASLRERVGRL DEARAWHRLVLRDAPGDPTSLAALERLK OJF2_RS18705 MSRQPSPPPPLPARGSPGPARGRLAASLALLAGLLAFTAWVATR SQALEAARGAYVRGDLVDALRLALDHLDRRPWSREAARLAALSLSRLDFAEAAEPYYR RIGELDLEDRQTRAYGLVRGNRRADAIEAYEQILERWPNNVTALRRLAAVQISVNNTP QLLALADRLVKVPGGEAMGQTLRGAVAHMEGDREIAVAAFDRVLAIDPDLKQMPLPHG AFWRYFAEDLLKSGRPEDAQRHLKRALAEESDAVLMTILGRAYFQEGRLDEAESCFKQ AAEWDPTDVTSLYELGKVEITRKRFDAAREHLEVARRRAPGRMDVLHELAAAHRLLGH RDESERLEGEIRELRDRSTSARKGKEPWPRYAL OJF2_RS18710 MNRKVRGFTLIELLVVIAIIAVLIALLLPAVQSAREAARRAQCT NNLKQLGLAMHNYVSANDALPPVSVDNQRNGSGADLPQPHQNWSQHARLLPFMEQSTL YNAINWNFGARWSDNDAFYPTAASVDGASGGADSIPQMTVLVSVINSFLCPSDTNPGV SGTFNVGGQNKKVGSFSYPVNIGLNRRITGNSPGGSVVDNWQLNGPNYVASNWDNAVN TTTNLTTFQDGTSNTAIYSEWVKGPASGAPVPRQGLAVVYNFPSTTNSNQYPTDYQFK QSCDTTPISNATYNWGWKGEWWGFGGTSIYSHTQTPNRTSCAYSDVGQDGRGTLTMIA ASSNHPGGVNVLFMDGSVRFVKSTVNYQAWYAVATPNQGEVVSADSL OJF2_RS18715 MKHFTSCLALALLGFCLMGVTGCGEDNEAAVKQQEATVKDTNVP KEPPPKTMEEYAKRNPGMSGAATGSKKK OJF2_RS18720 MRRASFIELALVTAAVAGVFWFLRSHPDLGRRAASPTPAATGSS GSFEVAGSRAYDLIEDRFEDGGYAAAMKWSGPIRDETSLQELRESLRGRSRRGLRELR AAYDGLRPDSPPTEQQTIQKASLEQSIGFLHMFEGQFRDASEWLGKALESCKGPKLAP QRQRLRALLGIVALRRGEVANCLECVGPSSCIFPIAREAMHRDQAGSREAVRWFTEYL EEAPRDIRIIWLLNIAYMTLGEHPEGVPPRFLIPADSFRSKADVGRFENAATAAGLTA RGPNLAGGSVFDDFDGDGRPDLLTTSLDADLGASLFINKGDGTFDDRSAAARLGDQVY ALNVTRADFDNDGRLDVLLLRGGWEKPARMSLLRNVGGAFEDVTMAAGLGLPIASESA AWGDYDDDGLVDVYVCGEFLGPGVKESDPRRPPGDPRNRGRLYHNEGGGKFRDVAEAA GVTNERCGKGSAWGDYDGDGKLDLFVSNMGQECRLYHNEGGGKFRDVAPELGATGPSY SFACWFWDFDNDGLLDLYVNDYRAKVAEVLGTAMGASLPGSSRPRLYRNIGSGAFEEV GRAVGLDRAMAPMGVNFGDVDNDGFLDLYLGTGDMSYEGLDLNLMFRNAGGLKFEDAS VSSGTAHLQKGHGVSFADYDGDGDLDLFVELGGATPGDRGYNALFRNPGHGRHWLKVK LVGTKTNRAALGARIRVDRRAADGSAASVYRTVGNNSSFGGNTLTESVGLMDSTTADV TVSWPTSRSTQTFRGVKADRTIEITEGSDAIKILPATAPAGDGRGADDGRAAR OJF2_RS18725 MARSERRSGGASTALLLALAASSAAGCGGAGPAGPRAALDRAPK LERRPLTVVPGVHMLGGLSPSAAYAVETSAGLLLFDSGLDADAKALKEQMAGLGLHWR KVVAIFLTHAHGDHAGGADALRAATGAKVYAGEGDAAVLRAGRPREAFFSTFHMPDRE PHPTTVDVPLKGGERLDFGEAAVRAVAAPGHTPGSTCYLVERGGLRMLFAGDVILMLR GDETPRSELGKPLGTYSAYLAPRYRGDAKTYLASLRVLRSMPVPDLVFPGHPRADNPP QSPRLSQARWAAMLDGGIRDMETLLARYEADGADFLDGTPKVLLPDFFYLGDFRGSAL YGFVAGPKLYVVDAPGGPGLADALDRGMEAVGLRPRPPAAVLLTSCDDRATAGLGELI GRHKVQVVAAAGGIPSLRESCPAGTDFIPAEELPARGWFPVEAFALEGRGAAPAAYLL TTSGKRVLCSGMIPVRMSQAVGERLIADLTRPPGSPRGYAASLARLALASPNLWLPAV PTDDQNANVYDQEWQWAMMDNFGVVQFLESRANRR OJF2_RS18730 MSSVTAWLIVGCLGQALFTARFAVQWLASERRRESVVPSAFWWL SLAGGATLLCYAVSRSEPVFAVGQAMGLVVYARNLVLLGKARRRAGREALVGSAGNP OJF2_RS18735 MVTIRMDGPQSPRPAGAAGPAVGPALSVVVPARDEAASLPALVG EIVATLRGLGERPPWCGAGPLGGFEVVVVDDGSTDETRRVLRELAAIHPELRPVRLAA NAGQSAASAAGFRAARGDWVATLDADLQNDPADLVTLWDALPGHDAVLGWRERRADAW SRRAISLLANRARNAILGQAIRDTGCSMRIFRREHALRLPAFRGCHRFYGPLLLREGC RVRQVPVNHRPRAHGRSHYNWRNRSLRVVADLLGVAWLMRRPLRYEVVEEAAGRPAAG GPLPLAWPAPRARAGVDAAAAAEGL OJF2_RS18740 MLLSFALWIAIPGFAIDDPPRMIDGIARYAGTDRPAAGLTLQII EQADPRYSVLVDAQGRFRSPSPPGWAAPQPDGVAAPCSAVAEPEGRWLIEPINSAPFR LAPEQLRESVARGLSRHARAIWRDGVLVVECPEPGEVDVLVRGVDGKPLAARAVQVVP DAVTFQSVGPANARFTGRTDASGHLRMRWFEGSRQLRVTVPGEGTCSTPTFHVAPGKI VTVEALPMTRLGSISGRLAPKLAGPGIGVVLDPEVQQPTPCDAGGRFEIRDLPPGRYL LRLTKGNQGVRSGQVTVWLAPGGKVDGLVFDEIPPPTPEGIEQERKFLEQLNGRHGPD AKDELWVEGTVRDAAGRPLAGVDVFVRTAFHGGIRMYEDVRRTATDARGHYAISGPIH GFVEGLVVVAKAKGRPPAVANAEARSTRNDRPAKLDLTLAEVGGSASVAVIKDGKPLA GSTVRLEAEGGANIHFGFGWARAAGGAARTALDAVLAPAAETGRDGVAHFAELLPGLY TARSDGPAHGLAVSPGREAKATLSPAPGRLDVRFQVVRPDGRPVSGQDVSLQFGLGGQ PNWSTSLKLDEDGAGSHWFESAGLWTIVVRFRDAPVNSFPIDEPPYYEAEAQVPLSPS LGASGPIRLVGARREPRSASLLVRLLDADGRPARGAVEFAGAIGSTDERGEIRFEGLP GPTSGGKQFASGHIEGPTPPPWSTAGQMPADDALRGRFTLVPGAEVTIVLGREETLEL RARPLGYIRGKLRPAEGRSSRDYAITPWYDTRVLEPNWRYEPATGEFLAGPFPGGPAT LQLSARMPDGSYQNCGRQVVEVVPGDVAHVELRPGELEAADRRAARQQVMLGMGGLAV NPGTPEAAPTTVLLPDGVTPAFAAQAILYVPDQEQPASHGISDASGRLTWRGMWSYGS QGDRPKIGLVDRPTLVVSLPGRHGATIVPLEEGPAPRVVLPPAIEAEGTVTIGGRPPS DDGSRIRVVAAYQGRGVLDSALGLATTAGPDGRFTFAGLTPGRYRVQAARDGIWISKT VEVVVEPGKVTPPLSLDIPPPGEPVTLEFVDRAGKPLAGESFTLARPEGPFAGLRPTT LRANASGRLTLLGLEAGAHSVSIAGTMEAQTFQVGEATGRAGQTTAKRVVLQRPGP OJF2_RS18745 MRATGARIEVPGPSSTLAHQVKAVQPRSLLLTLIALAVAAASLA AWSFFRDPDPGEARAARLALEGGRLDEASAHLGRWLADQPKSAEAHAVKARLAWARGD YAAALEAMNGARALGHPDDGLVEIRGLLLAKANRPAEAEPLLSKAAASAPRIHPDVAD ALARIYLGEFRAGRAAEVIGRWMREWPDDARPYYFQTEIDHRNRAGGDVVIGHCRDAL ARDPGHLPARLRLADYLRLAHRSREAADEYAAYLRQKPDDALALLGAGLNALDLGDPA AATGFLDRALAVAPDDAVALGARASVEVLRHRPEAAMPFLDRAAKADPFDVNIRYQRV LILSQLGRSREAEAERRDLERLRTEVAVFDEIGRQLERHPLDVELRGRAARWLMAHGH EAEAAEWANLVLRSAPSDPAMNRLLADYHRRRGNPGLANFHEAHASPPEASPGRPPGP OJF2_RS18750 MKRRERGFTLIELLVVIAIIAVLIALLLPAVQSAREAARRAQCT NNLKQIGLAMHNYVSANEALPPVCIDQQWIGGTSTPFQMPHQNWSQHARLLPYMEQGT LYNAINWTFGARWSDGDTVYTNGQPPDMGSGGGPDGGNDSMPQFTVLTAVINSFLCPS DNNPGSSSRYVVGGAGGQTKKVGALSYPNNIGLNRRITGNSPGGSVVDNWKLNGPNYV ASNWDNAVNDTTNLTTFQDGTSNTAIFSEWIKGPATGSPPPKQGLAIVYNFGTTVNSN QYPTDYQFKQACDNAPINSNNFNWGWKGEWWGFGGTTIYSHTQTPNRTSCAYNDIGQD GRGTITAIAASSNHPGGVNVLFMDGSVRFVKSTVNYQAWYAVATPNQGEVVSADSL OJF2_RS18755 MNRRERAFTLIELLVVIAIIAVLIALLLPAVQSAREAARRSQCT NNLKQLGLAMHNYVSANEALPPVHVDQQHGGNGADLPQPHQNWSQHARLLPYMEQSAL YNAINWNFGARWQDNDQFYRDPPNPPDNAAGQGDSIPQMTVLTAVINSFLCPSDNNPG ASGSFIVGGQNKRVGTFNYPINIGLNRRITGNTPGGSVVDNWQLNGPNYVASNWDNAV NTTTNLTTFQDGTSNTAIYSEWIKGPASGSPVPRQGLAVVYNFPTTTNSNQYPTDYQF KQSCDNTPLNNANYNWGWKGEWWGFSGTTIYSHTQTPNRTSCAYSDVGQDGRASITAI AASSNHPGGVNVLFMDGSVRFVKSTVNYQAWYAIATPNQGEVVSADSL OJF2_RS18760 MTAFSVVIPAYNEEAGIAAVVEAVRAAAPGCEVIVVDDGSADGT ASAAAAAGATVCRHPANAGYGRSLMDGIRLAANEVVVIADGDGSYPVERIPDLVAKLE EGFDMAVGARQGTQQYDSVLKAPARLLFKFLVEFTVGARIPDINSGLRCFRKSEVLPY FPDLCQGFSFTTTLTLIYKLTGKFVAYLPIEYRRRVGTSKIRIVRDTLRTLQYIVEVI VTYNPLKLFLLLCTPLGLLALAAAIAAVAARQPALWVASSICVAAGFLLFGLGLVAYQ VRR OJF2_RS18765 MSLLKKLRPLRPAPADAGWLLLIGSIALALRLVYVVQYTAHPLG RLLWVDEVVYWERGVEILRGKWLPDRPFFQDPLIHYLLAVLIAVVGDGVRELRLALAC LGALTPMIACAAGWRILGRAEGIVAGLALAAYGPLVFTDGQLEKEGMAALFAAAGLMV TAWSAGPGRRWWAAVPAGLLWGATTLLRANALLVAPIGAIWWLLAPPLPRSRRLAGAA GFLLGFATAIAPVTLVNLSVSRPREFILMTWQGGAMFYTGNGPDVSGVGEPAFIRRDP HVEASDFAAEAMRRAGRALTPGQVSSFWMGEGLRRWREAPLASLRFLAFKAGLLLNDV EVPDSQSPDWVRLAAAPGLGLAFLSFGWISPWAALGLARRGPRAPSWWFLAAATLAGL GSTAFFLVLGRYRIPWTPGLALLAAAGLVDMVRRLRSRQLGGVLWRVLLVALPVGYLA WRPEVDPEPDRWSYFRLALFVAELQAGDLDAAIDMLDDARAEEPGGPAARAVSSPDLF RDRWAIAVRGRLAEIPPGPGGALERARLGRTIPGAEAAAGRLLDEAAAARGDDPACWR ERGGWWLARQAEDPSARRRAAEAYRKAEADPSARITLALLLSDPTLLDGPSPDTERLR LARGVLAMRRARPEGPARGGSP OJF2_RS18770 MSAIQPMSGFHAWLSVSWHPLLAALAVLAACGVVLLGRALGASA TADRPAGWLLAPGLGGAAWLLLVHLIGRWSGSFGAGLRWGTILAAAAGPAAWAFGRWR SPGRGLDDASPGRWPIAMIATALATTVLIAPMTLNWAFHDEQLYTGHTGIVAQIRNGH YPPRHMTFPELEYRYHYGFDTTAAAVATVFGVEPGVAMDLVTLASWPYVWCLLWAIGD RVVGPGRGWIASLLTMFGGGMPYLLALQDGYYVPRFVMIAEVGGMDLNPPMISYFFQH AWVLGLPLGLCLMLLVLDRDRTGAARSAGMALLLGALPIAQVVVFASMAGTVCVAEPC AGGRPDWRRVPGVAAALAFAMVVGWAGGGFFLPPPDRMGAGLSLQAGVVGTLAQTAWW HLLSFGLLLPLGIVGLFYLRGGRLLLGLLMAGGLGVMNFITYAHSWDIVKFGTVGALA LSIAGAAAVARVLAIRPAGLGAALGGLLLAVATADGLAFPILFAADAEGIPLAMYPKR PSAPPAGADAEAIEWLRARVSPRDLVYRHPNAAIAYDHAGGLAVPWFDGLTDTFGFSP ARMERRRKLLTEPSPDAGRYEAEGIRWFVLGPSGEGPLGEYADEWTRSGRARVEARFG RLRILRLVPPGDGAAWRDEDGTIGGGGRLADFAMRWRRNSSPSPARREKDGVRAGRAF ACAPSVMATAGGPELPVSHERRRRSRTLTPPLSRRAGEGEEDGAAARRGSPDDLLCRL LPVHRPTSSLFRGRVRETAAVAAAPQRSTDRRLRRSPHPRQPSRGVSSCPC OJF2_RS18775 MHASAPSPARDGSAALDPGQAVRPIDPRDLIPSDLSRSIYGDPA AESADLMESIRVSGILVPLVVTPAPDGRWEILSGHRRWTCALSLQFDRVPCEIRPVRS TIARQHLILEYNRYRNKSFSQLMREADVLEEIVARQAKKRSLGNLRHSGAGGEGSADF APGIDRRNSDDQAAGKGRGDDKGRTDATIAERLGIGGKDVYRQARAVWKAAGEGDVRA RSGVDQLDAGTKTIHAAYKDLRRRGRFSADFKPTPYDVWAFRHDRAFGIPHPGSIPPA IVAHALHYFSPPGGLVVDPMAGGGTTLDVCESMGRRCLAYDLEPTRPDIRRHDIRLGF PPEAAGADLIFCDPPYHSMLARSYPDGSVATLPLSGWIEFLEELARRASATLRPGGHF AILLASQTEKDLPAGHGYIDHAFLGYSAILRAGLRPERRISCPMDGAYLPQQVRRART EGRLLGQVRDLIVGRKPSDPQDGLRGHTIPGLQ OJF2_RS18780 MASYFFRVEHDLLRSEAFKSIGGSAIKVYLVIGLYSDFGTDWAY PSIRTIARQAGLSRQTVITAIEELTQAGVLAASRSKGRSTAYRILRQPAPIERPTGKR GEKAETPPRKASKTGPKILDLDAETGPIFLDEPSLAGPKLRPVEAQALGRGGHEVRPE LEPASKTEDTATIPIPGTPFRMTAEGRLLVAVDLQGLLTDQGIPKNLAGKLIAQKDPE AVAKVLLNALYLQSQGKLQNGPGYIRAGIEDGYDLLPQVASRLEGRRRELEAQLRSLE TRHTQSRQAEVKASEDAAIAYVLEQLGPDEVERLTTQAVALLPEPLVRRNPTLSNPFV RGKVYELACGDPVE OJF2_RS18785 MAVATAETTQADWVSTMLFDRGYLARIRGEGIKVYLAIIEAADG HSDRSVTISLNRLMERTGLSCPAVLDGLGRLEALGLVVSTTRQRGKVKTYYIPGPSEG PAG OJF2_RS18805 MGWYDDNYGGFPPYVPVAERRRRAMAEMEKRRKKGLPVSPVTIN GRNIAHSFWGKAWCDNLESYSDFSNRLPRGRTYVRNGSVVHLDIAPGRIEAYVSGSEL YKVEITIDPLPASRWKAIKAECAGQVGSLIELLQGKLSKGVMEVVTRKGTGLFPSPRE IRLKCSCPDGAYLCKHLAAVMYGIGARLDESPDLLFLLRKVDHLELIAGAVEGSAVAA EVDRPRGKKTLAAGDLSDVFGIDLSDDEDDETVAPPKPAKPRAKGATATTKSKPKAAS KPTPKTTAKTEPAARGPSRSTTATSRKAKAATAAKSTAKTPAAKTPAAKAKAAKSSAK RKAAARKKATKPATA OJF2_RS18810 MPLELTLSPQGHLHTRESSSPDAVGLDGPAAARAKEAFEEGTAR GLLHLATAELEASLPAPFAFARGLARDYLSRLCQAPAEGGEAAMAAPIPPPAESDLAF RALQAPPMPGAEYLDAAALAGWWAELDELVRREIRDRKGDAQAYFSALNPQWRLVGRV TFHLAENKRDPDYPFAFLATYIPGLSARGRARHEPLGKALKEYAGAKDREALLNLLQP IQRASERSRLVKGLVDSGEVYHPLAWTPREAYDFLRDIPTLEESGLVVRVPDWWKAKH PPRPAVSVKIDARKGTKIDVDSLLTFSMGVTIDGEPLSEGELRDILESDGGLVPLKGK WVEVDREKLREALDHWKEVEREAREGGLTFYQGMRLLSGLPLEKGEEGSPAAEAREWV GLSAGPALEETLRALRSPEAMGAAAPPGLHATLRPYQQTGVNWLRFVTGLGLGACLAD DMGLGKTVQVIGLLLERKAAREARAADANGNGKAKAAPPPAPAPSLLVVPASLLANWK AELDRFAPGISFAIVHPSEQAANKGKGDDPGPRAIEGRDLAITSYGMLSRAAWLKERA WDLVILDEAQAIKNSGTRQSRGAKALKAKARVALTGTPVENRLSDLWSLFDFLNPGLL GDSKTFSKLSKQMESSSSYGPLRRLVGPYILRRLKTDKRVIADLPEKVEVSAFCGLGR EQAALYEKAVRELEQGLEESGAEIARRGLILAQLMRLKQICNHPAQVLGADDYDPEKS GKFRRLAEICEEIAGRQEKVLVFSQFREINGPLARYLETIFGRPGLTLHGGTPVAQRR RLVENFQREDGPPFFVLSLKAGGTGLNLTAASHVIHFDRWWNPAVENQATDRAFRIGQ RRNVLVHKFVCRGTVEERIDAVIAEKQGLADEVVGTEGAEARLTEMTDAQLLDFVRLD ARKALKT OJF2_RS18815 MTQALLDALNHNQFLSGGLSLMVVGAAVALLRKVPGQLWEFLQR RLTITVEVPDRDPAFRWLQVWLALQPYASRARDLSLSTTWVPAGAESDSAVVFDPDDS AATGASSRVRFLLSPAPGVHLMFYRGRIVVLHRSRRDLQNGNSRTFQENLSLRVLGGS RALVEQLLEEARRLACPKSPGVSVLTSRYESWETTSWQPRRPLESLVLADGTLEDLLA DLKSFYESREWYVRRGIPHRRGYLLHGPPGNGKTTLVLAAAGELNLSVAVLSLSNRVL SDDALRGLVDALPPATLLLIEDVDCVFKTERATSDQTGVTLSGLLNALDGVSSREGRV LFLTTNHPERLDPALVRPGRVDRRVELPNATPDQGRRLYLWFYQGCGIAAEELESLAR LFAGQVPPGKVCMAAIQEHLLRHRGAPEAAAHEVDFGDSPRDPSRGEAPSPMMAARDA OJF2_RS18820 MSDPAPTPPPAARDKLLFTPGPLTTSAGVKQAMLRDAGSWDVEF HEVVRGVRRSLLAVAGLSPDDGYDAIPMQGSGTFGVESAIGSAVPRGGKLLVLANGAY GERILRIAECMDLDRRVLRSDETAPPDAGAVDAALREDPAITHVALVHCETTTGILNP IAPVAGAVRRHGRSLILDAMSSFGAIPIDLKAEGIDYLVSSANKCIEGVPGFSFILAR RAALEATGTRPRSHSLDLLGQLRALESTGQFRFTPPTHAILAFARALAELEAEGGPAG RGRRYLANHRALASGMGRLGFVPLLDPALQGPIITAFHHPADPAFRFADFYKGLSARG MIIYPGKLTKVDTFRIGNIGRLFEADMVQLVHAVEDTLRELGCGLPLAPP OJF2_RS18825 MRPALRESRGRAAGFTLIELLVVIAIIAVLIALLLPAVQSAREA ARRAQCTNNLKQLGLALHNYHSALNSFPLAGVNAGVADAPGTTGAWGNWSSLSMLLPY LEQSTIYAACNFNVANQGYNSGLSGNINSTATRTTINAFLCPSAPRLQGGNWSTYYGS PYPNTNYFASVGSSLCQYGGNPAGVAYMDNNGNSAAPNGPFMVFGPALGIRDITDGTS STFAFGEWRTGDGQQSTLSVPQDVIRVGGSLPSGMTLGPVMNMPLGGAYLNTWLQGCA GSALGSVGSTNNWSGLGQFWCQGLFGDTVGNFLVAPNSNYPNCAIYQYGGDNDGSYGN YGPSSYHPGGANVAMADGSVRYIKNTTNQITIWQLASRNQGEVVSSDSY OJF2_RS18830 MRSNRILTWSCAALMVAAGAGVTAGCGSGYEEIPLAKVPPPPEN FTKSQASAKIPGSASPANANERRR OJF2_RS18835 MTVENRAGMAEGEFGRLAAELATHGSVKRAIDRLVALGLPLAGL DLIAQDEFSHDLLVPYRDGLYLSYDTT OJF2_RS18840 MVAAVAVWDHRPSAGELLGARLGRGWAPTATELIEGDVVLGHAA CLRPPTPRGGPGAPERDPGPVDGGAATA OJF2_RS18845 MSESSLMIGGVRVPRLLYGTAWKERETGRLTELALRRGFRGIDT ANQRRHYDEAEVGRAVAASIRCGLVGRGDLFLQTKFTFLAGQDHRLPYDPRAPIAAQV GQSFASSLEHLGVEAIDAYLLHGPSLRAGLAAEDWEAWRAMEAIHGDGRARLLGVSNV APGQLREFLRRAQVPPRIVQNRCYAERGWDREVRALCAAEGILYQGFSLLTANRGVLA GPELARIAARHGRTPAQVVFRFALEVGMVPLTGTTDADHMKEDLDVLHFRLDREEVER IERLAG OJF2_RS18850 MASSRSKRRSFRPSLDGITLEERVVLNAAAAAAPAQAIVTRREL YQAYRAQFKAASNDLKQYVNTQIYNAYAAGTPAGTTAANLVSGINGAIDATAFRVSSQ LGLLPGAQDRLVAQFQASALGGGRSSLTSRIDGLLNSPRLARRPNLLAYRIGQVINAS TRVDTARFANFARTSRIYANSVDQATGQRIPVQQYMAQQTINQYGATLGSLGANFQNA ANSQIFTNGVISTDPAVQRSFADQLNAGVGLAAYQLGNNLSVLQSFNSLYPGTIATGT GGMGTPSGTGSGSGTTAGAGATGTGSTGFNNLLSGIGSTLFGTTAAGSPTAITSFAGA LSAVPTTSTGFYPGVTSAFNNAYQGVASNLGTYFGLTAPTGGYSLPTGNFTNVFSPAY AGLGNGFNGANGGAVGFGTFNPTTGTSTGAANLNNYYSDPFGNGFYGVTAAQNTALGF PTSSFSGFGLGGIGTGTGTGGVGTGTGGVGTGTGTGGVGTGTGGVGTGTGGIGTGTGT GGVGTGTGGVGTGTGTGGVGTGTGGVGTGTGGIGTGTGTGGVGTGTGGVGTGTGTGGV GTGTGIGGTGTGIGGTGGTGTGTGTGIGGTGGTGTGGTGIGGTGGTGTGTGIGGTGGT GTGIGTRTGTGTGTGIGGTGGTGIGGTGGTGTGIGTGTGTGIGGTGTGTGIGTGVGTG IGGTTGTGTGIGTGSTGTGMGTTTGTGTGGLATGTTGAGTIGVGTGTGGISNGTGTST GGVGTGTTTTTGIGTGIGTGIGGTTGGVGTGATGTGTIGGVGTGATGTGTTGGVGTGA TGNGSVTGF OJF2_RS18855 MTGPTPVARKWTRVSSILLVASAMVVQPASSPAADEPAERPYVL ECYYKAKWGKADEFIRLFKKNHYPVLRALEKEGRLLKITAVKPRYHGTEDGRWDYRVT LVFKNPAAAFDSEHEEAIKKRLFPDQEAFLREEQLRFEILDAHWDVPTLDLDLDR OJF2_RS18860 MNRLIPLLVLSLLVPSAGAAGPAPVRDRLVWVFGWDLEKASDVP EIIRVLDAAGAHGLNGAVLPLGLDTLCKKPPEYFERLERVRAACERNHLEVIPSVFAI GYAGGIYAHDRNLMEGLPVEDAPFVVRRGVATLASDAPAGIANGTFEEHRGDRFAGFH FHDQPGEVSFADARVHHGGRTSIRFENLAANPHGHGRIEQDVALRPGRCYRLSLWVKT EGLEPANSFRVAVLAKDKDRELAPLAFNTPSTSDWRKVSFLFNSRGEASARIYAGTWG GKRGKFWLDDWTLEEVGPINVLRRPGTPVTVRSTDGTTYDEGRDYETLADPDFHPARV DRPAPALKIPRGSRIEDGARLRVSWYHSQMVNDWQHSACMAEPAVYEIFDHEAKLLAQ HLHPRRVLLSMDEIREGGTCKACEGRNMGELLGECITRQVRILREHIPGVEVMAWSDM LDPGHNARGDYYLVSGDFNGSWNHVPKDLIMVPWGGEPRAKSVAFFSGLGFRSVGACY YDADDLDEVRAWLDLARKEPKLQGLMYTPWTRKYELLGAFGDLLRTH OJF2_RS18865 MRAALAEATMAKLKSWYDPEASQGLRVVPREDRETSYVAQRWKH PRVRPTLGECLLYPLSDGPGLGLLFLFPPALWVLSLPVFDFIAMLEPLTKSDWALGLV VVPIFLPMLFSFSMTFGYVLLFLGHILVASAMGENDQPRWPEWHPADIAEGIGRWIWA LLFGAAVAGLPLAVYWSVVGTIDWRNGFVIADLVILGAAFGQMGLAAALMHDTIIAAN PITVLAAIARIGWGYLFPCLVTAVAMALAGLGVYGLLYRMPRMWMEAVSLWAFWVFVL YEAMVVMRMIGLTYHAHAMELVWFRRRPRWASARLGRIYANS OJF2_RS18870 MRNALLIPDLRELIRGGEAAALREFIEDQHPGRTAELIEDLEAE DGDALFRVLPPRDRAEVLSYLDTDSQNRIVESMPLREAAELLHLMSHDERADLVKRLD EDFVDGVLPHLAQAERDDIRRLTSYEPGTAGAVMTTDYVVLPAHIPVREALERLRHEA PDKETIYYCYIVDHNRRLIGFVSLRTLILSRRSAMIEDIMQRDVIFGRVDEDQESAAR QIDKYDLIALPVVDTSNRLVGIITHDDAMDILRQEQTEDILKFGGVSPDPEADTAPYW SSTVPDVVRRRIKWLLMLFLAGELTIPVMEHFEWIKARFPVLDDFLPLLLGTGGNAGS QTVGTVIRGMSLGEIKAREAWRVVLREWLTGLCLGLMLGLVGVLYVRFRKGRPWGIAL VVGLTLLGICTWSNTIGALVPLLARRMGIDPAVISAPFISTLVDATGLVIYFTTAITL LIKWGS OJF2_RS18875 MLDLKYVVAHADEVRTNSRNRNAPADVIEDIDRIVALEGERRTL QSAVEEIRRRQNEVAQATGKEKDRERRAELIDEGKRLKAEVGDREDQLRVMDDEIRQR LRRIPNLTHPDAPVGRTEDDSAEIRKVGTPRTFDFPVKDHVELGKARDLIDFETGGKV SGTGFYFLKNDAVLLDLALQQFAIRTLVGKGFTPVVTPDLARNSILEGIGFTPRGVET QVYSVEDTDLSLVGTAEITLGGMLADELLDEAALPIKLVGLSHCFRTEAGAAGRASRG LYRVHQFTKVEMFAFTTPEGSGAIHAEMLAIEEELFTALGIPYRVLDICTGDLGGPAY RKYDVEAWMPGRGESGEYGEVTSTSDCTDYQSRRLNIRYRPAGQKGTRFVHTLNGTAL ALSRALIVVLENYQRADGRIDVPEVLRPYVGKDVIG OJF2_RS18880 MALEKVDAYLESHRAKFEDQLKDLIRIPSVSAQPDHDADTRKAA AFVRDDLAAMGLKAEIIPTKRHPIVYAEWLGAPGKPTVLVYGHYDVQPAEPLEPWLSP PFEPTVRDGNLYARGATDDKGQMFTHLKAAEAWLKAGGGLPVNVKYLIEGEEEIGGAN LEEYVAANRERLKCDYAVISDTSQFAPGQPAITYGLKGLAYFELNVKGANRDLHSGTF GGAVQNPLNALATILASLKGPDGKIQIAGFYDSVKPLEDWERAEFAKLPFSEGAFQAD LDAPALFGEEGYTTLERKWARPTCDVHGLWGGYAGPGPKTVLPCKAGAKLSFRLVPGQ DPAAVDRQLRAHLAEVTPPGVTVELTTLQGAPAVLVNVQTPGFRAAVRAVEAGFGTKP VFIREGGSIPVVGLLKDQLGVDTLLLGWGQNDDNLHGPNEKFSLADFHRGIKAGAHLL HELALETI OJF2_RS18885 MPNRKDRRGFTLIELLVVIAIIAVLIALLLPAVQSAREAARRIQ CTNNLKQVGLAVHNYEGSAGVLPLGCAVWFDKSGNPYFNGWGITARLLPFLEGSNAFN AANFSLANESIQNDTIMRLTVAAYLCPSDGKNTEIFVDDGQPRNNTNYAFNRGDWYVW GGLSATVDPRSPFRANRCVRLAAVTDGLSNTLFAAEVKTHTPYLLNCSGLAYSPVNAT PIPGPNDSPASVAQYTSCGGGSAELRPDSGHAEWEDGNTSQAGFTTAWTPNKVTPGTF GGTIVPDTDLIAIREENGGPTFAAVTARSYHPGGVDVLLGDGSVRFIKSTIDGMTWRA LGSVSGGEVISADAY OJF2_RS18890 MSPHAAAARRLAVPALLGLSWLGPALLLAGTRGDLEGFLHAAFA GRSRPDTFEHVNRLAVPFGILHSCLILLAIAAAWRRRPDVLAVLPIGPAIGLTIGVAI TSWSDPNVYDLLAVAAIGWLVGTVVGAAYWAWKPRPPEGPSLAGAAGR OJF2_RS18895 MAKFTVYKDAKGEYRWRLLAKNSKIVADSGEGYKTKASCLRGIE ILKTEGPAAEVHDETSAAAANGATAKK OJF2_RS18900 MTDFDPYAPPAHAGSGEAIRRLVGPRTALGWIAVFLLNMIVPLL FGWSMTREGGRVGMAAAILTLFATGCWICTARRQLASPLLLGAAFVGLSQVFPLLQIL AGSAGMVAATALRVAENNDDALPRVIGEAGGFVVTLVTGGLLMAASLVAGLSLRMLTP AHWWPREAA OJF2_RS18905 MTRRQRLLSTIGWDGGMPFFVASATGLVPVLAGGHAGAQVVAAI LIPIVAALVRTQHAHRQLERRGIRATVLRQILLAFAIAALMLFEGVLAALLFAPGIPP SGWLVAGAMYLVYLALILAALRTRIEATPEGDA OJF2_RS18910 MWTIRDAEIESRRGLLVAVDRGGRPASFADVLGGWREDAAFRAW FNGVLAGLPFAAFRWETPAVTAATSSRAFEFVALDDPGLARRPAPDAFGDRFRAAGGE EVLAFPNLGRDAILVVPAPVAAPSAYGHLAAFVREAPERQRDELWRSVGEALAGRLGE RPVWLSTAGAGVAWLHVRLDDRPKYYGYGPYRQGS OJF2_RS39305 MTPEMQKEAMATLAEVWSLSPDIRLGQLFAHLGFLGEVHLGRGL GGLDDDELLAILYRHRAELLARLQGEAAPPRTPGEPDTSISGSPTLVAAPTAGSGR OJF2_RS39310 MADFRDIDPRELRVPPSRRQGADPTRLARQIALFGRSAVGMPPP WVYEGTDGVLMLYNGVTRATRIAKLAPGTSIRVEVIGRLPKAFAPEPRIGDVLP OJF2_RS18915 MPLDEYNAKRDFARTREPSGAGKGKAGRPARPIFVVQEHHASTL HYDFRLEADGVLKSWAVPKGPSMDPTVKRLAVQVEDHPIGYATFEGEIPKGQYGGGTV RIWDRGTYESLMDRKDPPESVGEAIEAGRLEFALDGARLRGAFALVRMKARGKGKPQW LLIKGKDEFARAEPEPADEAGRAAAKPRAAAAKRPSRPRGRRSVGAPRAVELTHADRV VFPEAGLTKRDVFAYYEKVADRLLPFLVDRPITVERLPEGLAEGAPHFWQKDTPEYYP DWIPRVELVAESGKVVRYALVNDRETLLYLVNQGATTFHAWASRTRDLDRPDLVLFDL DPGAAPFADVIAVARAIGDSLIGQGAGAFVKTSGKSGLHVLTPWDRAGGFDEARAWAR ELAERTAAALPALATVEIRKAKRGGRVYIDVLQNARGHHAVPPYVLRAIPRATVSTPL DWKEVAEGLDPAAFTTKKALARFARRRTDPFAGLLEAIGGRREPAASAPALAPARG OJF2_RS18920 MGVHIGTSGWSYDHWVGVLYPKSASSLERLDAYARTFQTVEVNN TFYRWPRDEVFSTWRERSPDGFLFSAKASRGLTQFRKLNDPLPWLGRMEAGLSRLGEQ RGVTLCQLPPHFPRDLDRLDRFLAVVPPGPRLAVEFRHPSWDVEETYAVLERHGAAYC VMSGANLPCVLRATAAFVFVRLHGPDRQHLYAGSYPEDDLRWWAERIGEWRRQGREVF AYFNNDGHGYAVRNALRLRELAGS OJF2_RS18925 MSDRNNAQAENDAIKDPSDWTTGEEPMTGAQESYVHTLARKAGE EVPDEMTKAEASMKIDELRQKAGLDDDAPKKKAKSRKA OJF2_RS18930 MHRAPLRPAPVSSPACVSNVPASQAHALERRHLLDRQQRAFQGT HAIPRGDATRRRPPG OJF2_RS18935 MSNPPNWDLISGIVFDAVGTLIKPVPSVADVYTDAARRQGVELH RDEVKARFQVHFGSDEVRGDRGIHSTDEATEVHRWRRIVHKVLPEVPDPGRVFDDLWD HFRHPGSWRCFPDVAPALRLVHEAGITVCIGSNFDARLREVVLGHPDLGWALDALVIS SEVGYRKPHPKFFEAVCRRLGLAPEQVLCVGDDAENDVRGAMRAGLSAVLLARGGDGP QDLPHIPNLTDLMESRLAEA OJF2_RS18940 MVATVEQLAALVRGRLVGDGTVPIRSARPVAEAGPGDITFIESE RYAKLLKTSPASAAIVGPHFRAARPAVKEELAVIEVEDPIAAFVTVRRHLAGEQKPRW TGIHPQACVMPTAQVGEKVAVYPFAYVGEEAEVGAGTTIHPGAVIGDRCKIGEGCVIH PNAVLYADVVLGDRVEVHSGTVLGGDGFGYRQADGRHVKIPHTGRLEVGSDVEIGSNC TIDRATFEATRIGEGTKIDNLVMIGHNNQIGRHNLLCGQVGIAGSCKTGDYVVMAGQA GIKDKVEIGDGVIVGAQAGVHRSIPSGQQVLGAPAIPVREQRRLFQMIARLPELYKQF RELAAQVGVLSAAAGIPQAAGDGAAAPAADEASDGSAAAAAAGS OJF2_RS18945 MTRLLANRAGRRPAPPALEPPPRPRHYVASHWRPDPSHVRGDEM IGLLAGSGRFPILFAEAARRQGLKVACVGIRYEAPDELRTLCDSFERVGVARLGGMIR AFRRRGVRRIVMAGKVTKNVIYTPWRVVHLCPDLRTLRWWYRRPRSDNRDDSLLLSII DEFERDGMTFDSALDYSPELLVKEGVLTRRVPTPSEQKDIAFGWRMAKEMGRLDVGQS VAVKELSTLAIEAIEGTDRCIERAGALCRAGGWTLVKVAKPQQDMRFDVPTIGMTTVE NLHKAGARVLAIEAGRTIVVDQPDVVALADRHGMTIVALSDDAAG OJF2_RS18950 MTLTIDVDLPADLARFRLPSGVASRLQNLLDRQDAGFPLTVEER AEAEGLADLADLLTLLRLRTERAGS OJF2_RS18955 MRPPATTPNGSPSNSTPSRREFLAGTAAAAAAASLAGPATAAAR ARQDATIPIALVGCGGRGTGAAGQALSTKGPTRLVAMADVFPDRLEASLDQLNASHSH RVDVPAARQFLGLDAYREAIKCVSPGGVVLLATPPAFRPIHVEYAVSRGCHVFMEKSF AVDGPGVRRILAAGEEAGRKNLKVAGGLMSRHYRPLEEAVERIHGGAIGDVIACYAYR MHSPVGFSPRRAGEGELAHQIRNYSNFTWLNGSFLLDWLIHNLDVCCWAKGAWPVSCQ GQGGRQVRDAADQLFDHYLVEYTFPDGTRLMAEGRHMDQCWGFFGDVIHGSKGCALLG EGVTEPKLYRGHRPTPKNQTWKYAGPPCDHYQAEHDLLFEAIRKDTPYNEAGRCAHAA LVGIMGRMAAESGKMVTWDEALSSKLELAPGLDHLTMDSPAPVQPDARGHYPVAMPGI TVAV OJF2_RS18960 MSSLETTASFAFETPSPRPDAGTGAGTGLGGVRDWPWSVVRWLF GKGEEGREEQAAPRTVARFQNICVSRETGAGGGAIARMVGQRLGWKVYDQELLEAIAH RMELPVDDVRVFDELAPSVVQDWLLPLREEYYAPQEAYLDHLAKLLEAIGRAGESVLV GRGAGFLMPRETTLSVRIIAPIRHRALRLAERMGVSVWTARRAARDLDRRRAQFDRTM HRAVSGDPHNYDLVLDSHSLGLDIAAEVIVRAVEAGRPGAARTTPPSWTAPIAAAPRP EADGPRLALPTFPPADLDDATNADADAAIDPDADANAARPADATPLADEPGTGPAD OJF2_RS18965 MKNRRNTLLWMKDLIEHMSRCHDQLQWASDGEMRQYLAEAMLGD LQECQRLCEELRAEPRESDHAARASRRGSSAAAPRFAMS OJF2_RS18970 MMRHPRPSPIIAWAGVLLALATPHRDARGDEPRVVRQVIDPDFP DAYQVEVADVNGDGRPDVVALGGSTCAWYENPSWRKRIIATGSQSPGVISSATADLDG DGRAEVALAYDFEMTKPARGKLLLASQGPGPDAPWKLAPVGDFPSIHRLRWGDVDGDG RPDLVVAPIFGPGSKPPRYEGAGTVELLRNGGGTELARWPVVHLLSSPVIHAIEVKPL PGLAGRSAVLVASNEGVSIVDSLITDGTSVTFVPRRLVEGFAGEAPRRGCSEVHLGGL GAGRGGSAFLATVEPWHGGEVAVYPGPEAGAKAGSPEFGERTVIDDTLDDGHALWVAD TDGDGDSEILAGHRGKGHRVSLYDYDRASRSWKRTVLDRGVAAQDLRGGDLDGDGMPD VVAAGGSTHNVVRYRFVRP OJF2_RS18975 MAGFLKSLASPFGRLFRGRPPGDEAAPAAEPRRGLVIAIGGVGG LDFCGPALRHVLAGSGLPYDLQLFPWGHGFGRWYADLSRVADRDARAALLADSVRKFR ADRPGEPVFLVAKSGGSAVAARALEQLPPGSVERAILLAPALSPGYDLGPALRAVARE MVVFWSPLDVIVLGAGTLLFGTADRVRGPSAGLVGFRPLAAQAGPLGKLRQVRWGPGM AATGNLGGHVGPDSPVFLRKYIVPLLRPETADRC OJF2_RS18980 MSQSVATIARVLGREILDSRGNPTVEVDVILADGTLGRAAVPSG ASTGIHEAVELRDGDKKRYAGKGVSKAVANVNGALAKVAVGRDPSDQVGLDRALIAAD GTPNKGKLGANAILGVSLAAAKAAAAAHGLPLYRYIGGANARVLPVPMANIINGGKHA DNKIDFQEFMVMPVGAKTFAEGIRMVAEIFHTLKSVLKKAGHNTNVGDEGGFAPNLDN EEAIKFILDAVDKAGYAAGRDKDIAIALDCASSELFDEGEKKGYKFWKSAPDKVLSSA QMIELFGAWVDKYPIISIEDPLDQDDWAGYVEFTKVLGKKVQIVGDDFFVTNTERLSK GIAEGATNSILIKVNQIGSLTETLEAIEMAHRAGYTAVISHRSGETEDATIADIAVAT NAGQIKTGSASRSDRVAKYNQLLRIEEALGPDAVYGPGPKVRG OJF2_RS18985 MQRDHVARARVLALGALIAVLGVRTAPAAQLTVTVQNSQPQGGF GISPVWLGVHDGTYRTFTPGETVSPGLQTLAELGSPAGLAAAFAGHGSQAVAGSAPMG PGGSATTLLDVADPTTERYLSFAAMVVPSNDFFFGNSDPLGYRLFDASGHFTGPLTIK IYGTDVWDAGSEVNNINFGAAFIVGDDATDHVAENGVITSVFGGGTDFSSYLNSIDGK ATPYGYDISHLISPGDLIATITINAVPEPASLGMLAAGFAAVGFAAVRRARRG OJF2_RS18990 MTPLPMSNPPRQAEADPDPAAWLEAHGDALYRFARARVGRREAA EDLVQETLLAALQAAGRFRGEASVRTWLLGILRRKIADAYRRRRASAATTEADLAGPG GGPSPSPFDAGGHWRRAPARWPAPESALDDAEFWRAFDECSARLPRHLARAFLLREVE GMDPDRLRAELRVAPGNLRVRLHRARLLLRECLERNWFGPDAPAPGRRP OJF2_RS18995 MTRRGHRRRRGGILSILTLRCADASELISERLDGPLPLADRLAA GGHLLVCAACRRFARQVRFLHEACARRPAPGPDLDAEAPDVLSADARARILDALRDAQ GGGPDGAGATPP OJF2_RS19000 MKPKQRRPVAELSVLALLLSSSLATAGADEPGFAPIFNGKDLSG WRQGDADLAGKAASADGRFAVRDGVLVISGSNDRPPRMAEIDTAEDYDGDFTLRLEFR ASRDANSGLHLRDKAFPHQLQIRDYPRVGPYKALRNYNDGGWNAIEVAVAGDKARCTC NGEVLESALAIPEKGPLSLQSEINVVEYRNIRVRREDRPFEVRRDIPYASPAQERQVL DVYSPKGAKGRPVVFWIHGGGWVVGDKSDVQAKPRAFTEKGFVFVSTNYRLLPKVDMG TIVRDVAAAIRWVHDHIAEHGGDPRRLLVMGHSAGAQLAALVSIDDRYLKEQGLSLDI IKGCVPVDGDTYDVPAIIETAETRCRAHGFPLPTNGHRQKFGNDPEKHRDLSAVTHVA AGKGIPPFLIMHVAGHPDTTAQAQRLAAVLKGANVPVRVYGAPESTHSKLNDDIGVPG DPGTKALFEFVDGALKR OJF2_RS19005 MKLLPHRVATPLLSLLVALSWSPPVARGDDGYTPFEGEKTAWHG GFDRYDFVMDEGSLTIAPSRRPEGEGFGVKAADGRGRRCVVVVPKAPAPGNPWSWRGE YWDHEPQAEVELLRRGFHVAYIAADPGKHWDAWYDHLTRRHGLSRKPAFVGMSKGGVN AYDWAAAHPDRVSCIYADNPAIRPEAFAKLADLARHDVALVNVCGSQDFLLERHTLAI EARYHELGGRITVMIKDGPAHHPHSLQDPRPVVDLVAEYARPSSPADRPSFAGDGFAK SHYYAPDGTYVRLEREDTYATCRGPGFVECYDRYDDRTKGPWGLGGMAVIVPKAAAPG KPWVFRAAAIGPDAAVDRALLRAGFHIVIPPLLAQAGPIREQWDELYRTLVARGFSPK PVLEGAGTSAGEAYAWAVANPDKVACVYGENPALRSLMMKGSILDHLEPLAKAGVPLI HACGSLDPWLDSQTRVAERRYGELGGRMTVIVDEGKGHHPTAPRDPKAVVDLILARVD ERNRQASESPSHGH OJF2_RS19010 MNVRESISFVRSFAIAALILAGRAGQAVAGSVVEYDTTGAAGNE PSLAATYTAAGLTALDLTRGAGLSPSPASNNFSAGGWDDLSADDYFQLGFTVHAGYAA TVDQLFVATRSSGTGPGFVEVAASVDGGAFAKVATVTQNGTFYSDSILDLNVTVASSL VVRFFVDPTNAASANGGTIGSGGTLRIGDYYDGAYSPLRLTGTTTLTAVPEPASAAML AVGIAGVVLGRRRRASR OJF2_RS19015 MGFLHFREPVNAWSHGIWMLLALPGLVLLWRRGRGSAAMRATLL IYGLTLVFCSGVSMLFHGVRDAPATILALDRLDHIGIFLLIAGTYTPIAWALLRRRWR LAMLATVWACAAAGIAMHLTWPVVPRGLSTGLYLGMGWLAIVCYLEVARRVSQRALLP LVIGGILYSLGALFNLLHFPVIWPGVFQSHELFHVLVVAASVCHFYFIITVAGVAALE MEREPRAQATDPAARRANRPEKSQA OJF2_RS19020 MDRRDFLKAAAITGAAASAPSEPAEAAAPDAPRPAPIPGPAPAV LAAYTEDDHRRRLRNVGLCNRKIRKCLRRHLIADYLPGQCVYNLGEYPSRTPWEPSEY DERELDRLKEHGIRLIHVMDEWNDRYGLFGRNKLTAVNPDGFRRFVDMVHARGIKVLA YASSGYFVGSDPDYRKAWSRPGDAFGGWWDLTRCSPASPGWRAYFLPRMLKILDDYGV DGLYNDWGYVPNADRKIQAPAPDAVEAFEETPQIDGAEADLLHLIYAEVKRRGGIYKM HADFSNRPLTGGLKVYDYLWVGEGVDSTDGLRRAVKGHEPYVVPCIHGSIAKVEGPDE HFLHAIPYMQFPLLQGGRPLTGERGVIPIPRLPGVKENGFYAEAWKYHQAHPDGPYIY GGWDPIPPDPESRPLHGRWLKKYL OJF2_RS19025 MASAFANREMYLVLANYGRSPRQVATADAYVPVDEPASAPAKEW PLPKRSLRILRRSTS OJF2_RS19030 MPSPFPGMNPYLEQDDLWHDFHLAFLPAMRERLVRQVAPRYIVL LHEHLYVRDLPDEPRRLLGRADVSLAEAPGAEGGAAAGAVAAPARVELLAEDVERSPF LEIRDRRSRDLIAVVELLSPSNKRPGPDREQYLAKRRGVLASPAHFVEIDLLRGGRPM PLVARPPCCYSVLVSRAEQRPHADFWPIGLRERLPEIAVPLHPPDGDARVDLQEILDQ VYDGAGYEHFLYDGAPSPALAPDDAAWARTKLPTRKGS OJF2_RS40030 MAGLLSIAMGASPRQHRSRTAWRSLSNVPASGGYRNPSRKGAGG QPALGLATGVLAFVSIGVTWYSSLMERRPFSRFIDRMGEVARGLLRREPSPGMIGAPP SARSPWHDPARHARDFGERHAGLIDYHVESRMLELGIDPVRIGWGDVEAGIRHAAFHP HVGDGGNVSPDGRIIVGSGVFSDELLRAEYGDEAAELFRASRTRDRLDSILAHEYEEH AHGMSHAEAVKHAPETELPISDRARAIARAMEKGWKREIAGRGLGQPER OJF2_RS19040 MQAIILSEAAVAMLRLELKRPRKVRDVDHPAYRELVAAGLMEPV GDGFRLTEEGRAGGAELVEREQGRIERERYAPPDGDLSEAARQLLRACTAAGIPEGNE SNRPAFRELVRARIMVPVGSFSRGDEVVFRWTYWGWQKRFELAGC OJF2_RS19045 MDFISTFDMFKIGLGPSSSHTMGPWAAARRFLKAMRKEGTLDRV ARVRVDLYGSLAKTGRGHGTDLAVILGLCDWDPTTCDPGAVHEEVTRVRAGRTLPLAG SRPIPFDREDVAFHMDVTLPFHPNALTFAATLEDGSARSETYYSIGGGFVVQEGEDAA ARAVAPGVPFPVETAADLLRACREGGLTIPEVVLRDELAIRDEEAVRRGLARIWSAMR GSAFRGAYAEGILPGGLEVVRRAPRLNRSLLGDRAEAASRSPEAWVEAVRASAGGFEA TLKWVSCFALAVNEENAAFGRVVTAPTNGAAGVIPAVLLYRACFHEGGGEAVEPFLLT AGAVGAVFKKRATISAALGGCQAEIGVSSAMAAAGLAQTLGGTAEQALMAAEIAMEHH LGLTCDPVGGLVQVPCIERNTMGAVKAITAAQLALEGDPARAKVSLDAVIRSMWETAL DMSAKYKETSEGGLAVQIPVNVVEC OJF2_RS19050 MRGKRGIFIAVGIMALIGPAGGRARADTILDFSDVAPGTLAVFS PYQSQGFTLTSTSGGFVFNSPDTGNGSSQIVGDNPYYAGANGLAAFAPATITLTRTDG GPFSLLSIDLARNFAFDAAPSVTFTGTLAGGGTVTQTFTVSTPSPPLVFQPFDFAGFT NLTSVSWDQGNGTQGIHQFGNIHLGAAVPEPPALALTALGIPVLLACRIRAGRRGR OJF2_RS19055 MPVLDREEYIEQAYFFQSFRERLIDGLPAQEILERVGEELLTTT KLPLAVSFLATEMKVSGLMAPAMAQIGHYFTAFQAHVVGQAEVTHSRFSTEQALLILE REAKYKAGDLSLPGLFVFQFESLSRNRLGYTKGLAAMSKDPHYDEDWRDYILTLQMRL GDVDFADLIFVRSSFFVAERRRRDPDFTPKYPTLFGDREGKIARANRGRDPMYLFSAL QRQLGYPEVPRPRRPDELEARVLLLEQKVAQLENRLKLAESEMNQDVDLSQVMVKAEE TAGVPAGWGRKPRPSG OJF2_RS19060 MTPNAAPKLGTPQQVLSLACEAAQRLGAAGILVIPDGPLDWDLV PTSCGGVPVLAASASDRQLDAIRGRGIVAIEVEPSEAAIAERITLALIEAVANDLLKA GARVVVVYSGFEAEALDSLTVIRLGEHLERLTARDLRALEEWVPFETLKAVVDTAVEI GREGREGKAVGSLIVVGDARNVLARTRPLGFDPFKGYRRKERNVRDVRVREAIKEIAQ MDGAFVVARDGTVEAACRLIDAPMAGLTLTKGLGTRHWAAAAITAVTKALAVVVSQSN GTVRLFQDGEVILRIAPMRHARAMKWQDADSEPSPPRPDRDNGRDRDRDRDREKPAAK PGEPPRSG OJF2_RS19065 MVRRRLPFAVSLGLAFTLAAALTTPAARAQDAKKETPKAEAKPA EPAKPADAKPADAKPAEPAKKDEAKPAEPKKEEAKPAEVPPPVVPKAVEEKLEAARHA VAEAIVAAQDAGLVESSLDPPPVLDILIKGYAIDARTLKNPAAKKDGVWAVTPEVFCG WFTGYGKLDGTSINPQDEIRIVNPSAGLKEWYDQRANILNRHIEMVRKAKGPAPAAAA KPAEPKKDEAKPAEPKKDEAKPAEPKKDEPKPAEPKKDEPKKS OJF2_RS19070 MSRIKAVEREYAAIRMGTDRLLGAVNEDPSLLDGRVSRRDIRTA SANLEGTFLVRIFSELETALQHFIRASGLRRPGTTESLVNRVRARGHIPQAEADAVHR VREYRNVLVHDRANPAPVVTIRQATRALCTFLSLVQWLW OJF2_RS19075 MEIRWLRAPDRESVREELEDLVRRRLLERSGWLERLRDLMATVK GWAEELGWATRLVDKRMQDPEIGDYRAPSLLLQKEATRLYLEPIGRDAPGIEGVVDLC LMPSYDDVARLTTDGDRWKVRYVFEDMSAGNGRGAPARPLTKAAIRRVFDAMHIHAG OJF2_RS19080 MGLSPSSGPGASRRKVRPMLEGLEARWVLSAASAGAVAGAAAGG TFSEGFRSFRYTTPQGTHVQIQMVGVGSLEGTTVDASGALHLLYSKTNSYSKITSSVH GGTGKASLASIYHRDQYLHGATNSLSGVGASVIKMINLNSFNLVAGGHINATGGINTL SLNSVGPATQIQLRALPSDVTAGSTSGTTTSTSEGTSTNVISDVFLVQSLAGVTGEFV SAGNILLQSDPTSPSPPPAPPGVILKINRINGNVSSVPDLLTDARIFGYDAASGQIYR FSLDLAQNSGAVDPTFAPIQVQPAGSTGQVALSVGRDGNELVLMAATGSRVAVYNATT GAPVGSFAIPAGIDMLGSTDTVTVMGSVQANQLQMIDVAASLAAGSAVPAAGSSAATY SPQAGVGFVGGLTGHPGSNQVYSTIAATFNSLTPTVTQLGELTVNTSAAVPNPTGGLT LKQVFSTASQNPIQVGGQYVPVSPSNNPSLTGVPLGSIDAYLAFNNIGLSSGQYTNTI GVLGPQSLKTYATIKLNAPGPITDLSESFRPDLNGSAASGTGPALIDVQGDIQSLRGL SANGLVLNDTGYLNLIRTGTLTNSTIVAQPIGHVKTSPANRKNVTLISTSNRDYGTRG GVTLVKNLRQIGPLSFTNDPTS OJF2_RS19085 MLISEKLTEAFNRQIGHELANYLQYQAIANYCEKESLFALARLY SKQADEEREHAMKFNKFLLDAGRSPIIPAVREPRNEFASALEAAQLALDAELATTRQI YDLVELATEGREFIPLNFLQWFLSEQLEEVASAESRLAIIRRAGPSVLMVEAYLVHET K OJF2_RS19090 MADSGGAAGAPAATATRPRLGFWPIWNMSFGFLGIQFGWGLQLA NMSAIYAKLGADPDRIPILWLAGPVTGLLIQPVIGSMSDRTWNRLGRRRPYFLAGAVL SSVALFLMPDSSALWMAAGLLWVLDASINISMEPFRAFVADKLDESQRTAGFVMQSFF IGVGATLANVLPYLFHKMGVAGTTAGGIPLTVQYTFKLGAGAFLLAVLWTVLTTGEDP PADLEAFRRRRAETGGLRRGLAEIVDAIGAMPRVMRQLAVVQVFTWLGLFCMWMFFGL ATARYVFGATASGSPEFDRGTEWGGIAFAVYSVVCFAVAFALPGLAARLGRTRTHAAC LACGGLGLLSTWFLRDPYLWLLSMVGVGIAWASILSMPYAMLAGALPPERVGVYMGVF NFFIVIPEIIASLSFQPLVKHLFGNDPLAVVLLGGSSLLVAAALTTRVHDVTATAAS OJF2_RS19095 MPAPLANGLPRRLLLVLSTLAILAAAAAPAPAQQATAKDVAKLP ARPRPQWVTDAVIYELFPRNFSNEGNFAGVTARLDELKDLGVDVLWLMPIHPIGQKGK KGTVGSPYAVRDYDAINPDYGTAEDLKRLVAEAHKRGLKVILDIVANHTAWDSVLMEK HPDFYTRDASGKVLPPNPDWTDVADLNYDNPALRDYMVGMLKRWVKEFDVDGFRCDVA MEVPTDFWERVRDELAAIKPDIFLLAEASKPELLVKAFDADYAWPLHGTLAEVMMSGR PATAIRDAWKEQKAAFPKGAVHVVFSDNHDERRAIVRFGERGAMAAAVLIFTLDGIPL IYNGMEFGDSTESGAPALFEKLPILRPISERRPEFAILFRQLIALRRDHPALRSGDLA WLPTSDEDRIVAFLRRDAKEEILVAINLSNRPFRGRIADLPAQAAAFRDITPTLKAGV PSARASGRSGAQPPALPELSLPAWGFRVLQRMLP OJF2_RS19100 MIRRALGVLLTAGLLGLAASWILIGPTIWRRAGARFSAIAARGR APGSTSPVDVRPAAGARAAEPTVAIVSQGFLDASGYNLAYPYTAPIADRGSLAECLAA ARGRADRGIAEIEGQLRLWSESGPGGAAGAIGKARLETYAALLCMYDGRFAEAEARLG RAIATLRVPGVPANLIANLTAIRGAAALRRGEEDNCVACLGPSSCLFPLSPEAVHARP DGSRAATGYFLEYLHARPEDLGIRWVLNIAAMTLGEYPDGVPPEFRIPARSPAAGSDL GRFPNVAMAAGLGVRGPNMSGGSLFDDFNGDGWPDVVTTTTDWDQGASFYLNRGDGTF EDRSVPSGLDAQPMALNLSHADYDNDGKLDILIIRGGWEDPYPLTLLHNAGGGRFEDV TRAAGMAEPIASKSAAWGDYDDDGLVDVYVAGEFYPNADRRVADTNPAPRATDPRNRG RLYRNNGDGTFTDVAARAGVLNERWAQGAAWGDYDDDGKLDLFVSNRQAGNRLYRNNG DGTFADVAPELGLLGPSECFACWFWDYDNDGRLDLYVNGSFAPMQVVAADLLGKGTPP SRFLPRLYRNVGGGKFEDATAAAGLNHAWLPMGASTGDIDNDGYPDVYLGTGRPQYEA LVPNVLLRNVGGARFEDVSEATGTGHLQKGHGVSFADYDRDGDLDLFVEQGGATPGDK AYNLLFRNPGRPGRHWLEVRLVGTRTNRAALAATLRADLAATPNSPARSIFRQIGPGS SFGGNSLAAWIGLGESDRVESLTVSWPTSRTRQTFRDVPADRSLEITEGAASYRTLAR EPARIDPPPRAR OJF2_RS19105 MWRAASIAAWSAWLVLLAASAGCSWHDTRKGAPAVPLSPEQAAR AQAISEQARAALDRKDLEGARLALLQLVAVTPASSEAQQRLGKVLQLQGRFGEAEACF RKALALDPDYVAALIGLGTVEAARGDTASALRRFDSAIEVEPRDAEARFVAAGVLESI GRTDEALAGYFRTIELNPLQAEASRRIGAIELARGQPDLALARLDQAVELAPEDGEAR LLRGRAHRILRHATEALADLKIAANLLPDRPEVHLQLALALEAVQQKPAALAAAEKAL QLAPNDADARDLTRRLRR OJF2_RS19110 MRWVTVATEDGPRACGVVRGSYVDVNAADPRRPATVRGLLALGE GERRAAWEALEGGPVRYDPATARLLAPVPDPRKILCIGLNYRDHAIESGAEIPGEPVL FAKYATTLIGHGAPIVLPRACREVDYEAELVVAIGRGGRHIPRDRAFEHVGGYTVGHD VSARDWQFRGPARQWTIGKTFDTFAPAGPELVSPDEAGDPHDLGIRLRLNGQVMQDSS TTQLIFGIDVLIAYLSQVMTLEPGDLIYTGTPPGVGMARKPPVWLKAGDVVEVEIDRL GTLSNTVVAENTD OJF2_RS19115 MQVVLNLIFACVLAFLIVSVVLRNYVYFFKVLPALKRDGLDDRP KFTLSEQMAQIDYYLDQLPPAPRPWYLGVLSDVDMAFITAMAIAFGLLVALGTRLR OJF2_RS19120 MTGSVSASAKRRSVESANIASMRYLSDPAYWLYLAHLPLIIAAQ LAVKDWPIPAFARCLLIVTVVTAFLSWTYQTLVRYTWVGRVLNGPRARPSRAGAPAAV A OJF2_RS19125 MPDLDAVADDHGRFMRRCLDLARVARELGNTPVGSVVVLDGTII GEGIEALPNGTSIIGHAEALACQAALDATGRRDLAGATLYTTAEPCFLCGYAIRQLRI GLVVYGKETPIIGAVTSIHPILTDPHLDAWRPAPAVIGGVMREECERLKAQAFEGQPP FKGTS OJF2_RS19130 MGSDERAIREVHEAWIDAVNAGGLDRLLALMADDAAFLIPGEEC IGRDGLSAKFSAAHRQLRIRCLSELMEVVVVGEVAYTRSRDSLAVSPRAGGDEDRLAG DRMTIYRKQPDGRWLLARDANVLSPVEG OJF2_RS19135 MKVIAFSLAMLVPAIVIAQETPTVGYAPVNGLKMYYEVHGSGDP VVLLHGAYMSIPGNWTGWIGELSRTRKVIAVEMQGHGRTADIDRDLSFENLSDDVAAL LDHLKIPSADVIGFSLGGGVAMECAIRHPDKVRKVVSISAVLRHDGWVKEAVEALPKL TAEAFKGSPIEAEYRKLSPTPDAFPEFVKHVIALASKPYDLGADRLKATRAPIFFIHG DADGVRLEHIAEMFRLKGGDVFGDMQPRSTSRLAILPNTTHVSLMERSAVIVPMVNDF LDARPLKP OJF2_RS19140 MLSFTLDCGRRVTLDAFDYARTYSGLLEGLPTPAINARIIEHAL SERDGTWGRRRTHLIPPAVDDSDPDHPLLPPACLRAWLWCNDPIDPAFMGSSLVVVWF AEDCFGESIARVVLRDLRGLPWERIAEDFDW OJF2_RS19145 MIEEFEGYRLDDVTYCIDPRTNDFFPVRVVKVGRKFLDVEPLDE ELAAAVAPEFGADRENRRFLRPKLPSHSRFGGCDERTVNAGRRSGQQLRVLPYHYWTY TGSDIIERHEEDAARWPLPTGVVYERWFGRVLKARRRGGGADREA OJF2_RS19150 MPVRRMDNVLIVVDDLEAVKAFFLELGLVLEGETTVGGPEVGAL IGLEDVRSTIAMLRTPDGQGIELDKFHTPDPFRLGPVDAPVNAMGYRRVMFDVDGIDE LVARMRTRGAEIIGEMTYGESYRLAYIRGPEGIIVGLAERLG OJF2_RS19155 MPRTAALLLGAALSLAGLPNASGDAKDDAIKEELKAMAGTWRPV SAENNGFKASEDDLKDTRRVLDADGKWAMRRGDETVLEWKVKALDPTRTPKAIDIEVA SGSYKGVVYLAIYELDGDTLRICFAMPDRPVRPTEFSAGKGSVRALSEFRREKDEKQV TGPH OJF2_RS19160 MKWMRLLRVAILASFLAHAASGRTACLAGGPDSQVVARELRSAS FTGSAIGTDPVRRFAVYLPAGYEASNERYPVVYYLPTSFDDYWASFDRRGARGLLDRA IDAGVIGKFILVGVDMNTRIGASWCVNSPVTGNWEDFAVRELVPHVDASFRTLATRDS RGIVGDFMGAYGAIRLGMAHPDVFGSVYAMHPVGTGSGVQIMHARPDWDLLASARSLD DLKGNGFALIFTTIFQAHLPNTSRPPLFVDLPARKVDGKLVIDVELTDRLRNSFFIEA LVPRYAANLKSLRGFKFDWARSDTNMDHIYSNHALTHKLNEFGIVHEAEEYNGTWAGR NWDEDSRIYTDVLPFFRRHLDFAATAGKGRD OJF2_RS19165 MLSHEALNLFRLHVERHGDIDVAANRETYRELQRAGLVRAVSTY AGGPESAYRMTREGFERRAELLARAKAAG OJF2_RS19170 MSKKPPPGMIGEPPSARGGRPADPGLHAQQIAREWEDVGESYVH RREKELGIPDGMNGQPDFDGDGRWRSFHPHGRQGGENTTEVVDSGVLNPDLLKGRKGG RLWAKATLRDRIDAAISHEYEELLAGGDHKSAIRMAAKTKLPIAEMARRINKARAR OJF2_RS19175 MLTDVIRSRSSRKPAAPKRRARPRIEGLEDRLVLYSTLGAQWPY ASRITYSFVPDGANIGGYSSSLFATLNAKYATATWQLQFQKAAAAWQAVAGINLCQVG DDGSALGVNGNQQGDPRFGDIRISAIPQASGTLAVCLTPPPVNGGTDAGDIILNSTSN WGVNTSYDLETVAIHEIGHALGLGHSAIQAACLYAYYNGTKQSLNTDDVNGIQSIWGP ARSDIFNSNGQSNGLNTTAANLNGYIGSNGQAAIPNLTIGKTGQSEWFSVTVPSTTTG TFVARVQSTGLSILSPKLQVLTPSLSLLGSVASANFGDTVGITLTGVTAGTTYLIRVA GNTAGSAFGGYGLLLNFGSATQPLISPPYTAVAEQADLGGGSSSMEAETATVGDYTAQ GDALEASELRGRRDAPPPPAAGPSAPGQGSTSAAAIDPGPWSSHGPAKPNRGRPASAG ASTAAIPAVHQPNRRPSHKAIDAAIASWKARGLLGPSGS OJF2_RS19180 MMNARAALLVLALILSPLPAGIATAQAPADPPDIALDEAARAAV IDGLLEKIGRIYVFPDMAKAMERAIRDRQARKEYDAVTSGREFARILTAHLREVCKDG HLDVEYSAAVIPEDPGRRPPDPGDVARFREAGRRRNYEYRKVERLDGGVGLLQVDGFY PGEWAGDTVAAAASFLANSEAIILDLRQNGGGAPTGVALLASYFFDEETHLEDQFNRA ENATRQYWTYPVVPGRKLANQDLYILTSGRTFSAPESLAYDLRALGRATIVGETTGGG AHGTTPHRISDHFRASIPFSRSINPVTGTDWEGIGVKPDVAVPADQALLTAHLLALKK ARTRHADDPGLAGDLDRAIAGKTSELNELKASRAGPR OJF2_RS19185 MASQRHADIDSKSEAAILTRMIHPERADLPEAAAEAVLKLFGLD QGDADRFHDLLVRNQGDALTPPEKEELETYLRVSMMIDLMHAKARYSLKKHA OJF2_RS19190 MEAALQRRVWRRADGRCEYCHLPQAGSQAAFEIDHIVARHHHGR TVPGNLALSCAVCNGYKGPNLTGRDPRSGKITPLFHPRRHKWSYHFRYEGGTRIGRTV IGRTTVGVLRINHP OJF2_RS19195 MKTLKTTAEAYNLDGDVIRAYDEVLVLLKEYEAFLKEVVLYDLV ADVARPTQKHFAIIAAGETTDFIGTTASGAGAGGAIGSFFPGVGTVLGGITGAGIGGA AAIGKTALNVILDWRDKVSNEAKDEAVKQAKYQHVEILAKNFAATRDNLQVLAKILGG REKYGWGSQVGFDGSLVQSFTNQLSLRPNDSFLHASIGTQSAEANPAAAARSLAKAAE LVPQDFDAQADVFDLDRMELLLMAARVAEIGARRLGDRGHELAGLSASYYRQARDCVE KKDAGWPREIKVVYARACALAGEAQEANDTMDRLLKNSPDDPWLLYDYACVKSMMHEP STPLYHLRRSFSILPRWQPYALEDSRLAFAVVRDKSVIERICANRLVGSWKDAKGLTL EFFEDGTMRQTSGYEVKDGNFEQVGDSIIKLNTKDTSKSYIYDVDDTRLKIEGGGKAY ELSRVPAPLIGKWKYGYDEFEFRANGTWRRRRKDVLTSGTYLTISRRGDNSIELRCLQ DNILKPEMEKRFKVRPDNGTLHVRVWNDSTDYDYERQ OJF2_RS19200 MIDSVFERLTDADNFRDFGYDELCGLAGQWIESVHRKISDPGFN LTTAESDLDRLKQFFACRHFAEFRARIRSRTSFQAALVELFLQGRIRSYVLAYLSVQE GEDFEGADGYDTHIPLFISQLLRNLGRVSLCSLNLPLWKLNVHIDIDPLVNLRSLYSL LLFGHRGRKTSLVTRAGIAWQRLVFQLKAKLVSAVMRMTLWRTIGRRQNDGFIRLLRF PGVRRLLAVAILVLFPSAHELTEPEWLSLLNRIEDYFVRRLNDLTAALPEQSLSNHGV IKLVKVAFGTIVGRVSVRDAHEANNSEFIFNTFRIAYCWGITYPLVDNVLDSSSTVQA VREQLTLALTNIFNSEKSTACSGLADEGCDPGVREVSERLREALSLVPSARLKAARSL LGNLLESHRRDSRRRISTIGLSTEESVRSEVMIDTALKSALVRLATMELCGIEVEELT ISGCLVRSLFNQLGDDLWDIYEDADDDRVTPYTLFLLNGGGGNPFDFYFRYTAFMTDG FSRRRRTAALMGFCETLRDSLLSLRDRPEDSLDVAGNIAGLIERAKQQSASNFVQEVP HVDFDAVLFAFEKAMLELLPS OJF2_RS19205 MNFYLLDWPGSFRAGNYLLLSAAKYKMNTQLLHNETPVRDGAAN LQRGVETVGGWLFLTDQRLIFEPHSRNVQKQVEVVNLSDVAFVHPAWTLFFGLVPLFP NSILLSSKRGADLRFTVSNKTAWIEAIQGLL OJF2_RS19210 MARPRDPQLERTWSRRLERHGVSGLSIAEFCEREGLAPASFYYW RRRLAAGATPPAQAPPLFVPLRLDGPRGRDGAPAARPFEIELPAGVRLRLDAPPEPEW IGRLVAAVAGLEAGRGGP OJF2_RS19215 MITLPPTSRAFLCTRPVDMRKGFDGLSGLVRSCFAEDLLSGHLF LFVNRRGDRLKALYFDRDGLAVWYKRLEAGTFQVPPSAGSEGIELEPAQLAMILSGVD LSTARRRKRFRAAS OJF2_RS19220 MSDAPLIPIPDDPEECRRLLLESLRRIGELERVLDATAADYGDL QRRYAEQAESLALLRRYLFGPRRERVADDPGQGHLFGLGDAAIERDSLDAPEPDGPAA GEPATKAPRRPRPSRPRASLDHLPHVRIEHDLPEAEKSCPCFGGMKRRIGEDTSRELE FIPAKLEVRVHVLPKYACPRCKGGVAAPPVPTKPVPGGIAGAGLVSFVLVSKFADHLP LYRLEDILFRHGVALSRGTLCDWVRNAADLLRPLADLQRERVLGTDLIWTDDTFVTAL GGDRPGSTKAWFWAYIGGAEAPYAVYDFTMSRERDGPATFLKGYRGYLQADAYGGYDG IYAGSDGAIAEVACWAHARRKFFEARSNAPAEANRILEWVRRLYDIEDRGRELAAEDR RSLRRRESVPILDRIEAYVDELRPRALPKSALGKALTYARNQRAALRRYVEDGRLTID NNASERVLRLQAIGRKNWLFLGSEAAGPRAAVLFTILAGAKRHRLEPWAYLREVLLHL AAGEADLESLLPDRWAAAHPEHVLEHRLEESRQRAARQKAIRDRRRAGRPRRD OJF2_RS39315 MATTIGQAAASPRGGRVRFSAAEFERMLDAGLFEGRHVELLDGE VYEVTKNPPHDFAVGAVADALKAVLPAGYHVREEKSIASWGRWRPEPDVTVARGDRRR YEARRPAPGDLALVVEVCDTSAQDRTKKPKGYAAAGIPVYGILDLNRRLLEVHTLARG PEAAGRYDTPAILAEDESAELVLDGAVVARLPIADLLPRRPESPEP OJF2_RS19230 MTVSKKASQTKMQAARKRRTREHVIEALSVAHLQYFVANAGFTM ASSKEDYGYDLVVTTFDRDGYMDPMAVYVQLKASERLTPLADGVSYCFDLDVRDDNQW ADEINPVFLILYEASSIRAYWLYFQEYLRQAGGPKPKKGARTIRVRVPKGNRVKTSFF RHARHLKQKVHAELGGGKADA OJF2_RS19235 MKAALTELGFGHRYTPKHVFFKHSSGTPFFALPRYAPGQRLSSI HALMIRTQLEDAGLIEEAEPRCRPLVGTVLQKTGGVAKVKAKKKAGTPPAAAGKPAPP KAGKNDAGGPEGGRKPSATKAKAHA OJF2_RS19240 MTHAETPDAASRPVRTPVQFRVWQLWLLALFVAVALVNIRDQRR GEPTLIALAAGGFALYGLMGWGAWRLLRRLRLRIGATAALVLYLVAMAMLFLAATIAY LLIEHAYLAGGVRLMGW OJF2_RS19245 MPWKDVSLMSQRLEFVALAAAEGANVRELCRRFAISPKTAYKWI ARHRDGGDDALADRPRRPASSPARCPGDLEAAVLRLRDDHPAWGGRKLRARLAAMGMA AVPAASTITAILRRHGRLDPAASAAATPWVRFEHDAPNRLWQMDFKGHFAAGAGRCHP LTILDDHSRYAVGLYACGDQREATVRRLLEATFRAHGLPERILCDNGSPWGPCGGEAR HTGLTVWLLRLGVGVSHGRPFHPQTQGKDERFHRTLKAEVIQGRAFRDLEACRSGFEA WRETYNHRRPHEALGLAVPASRYRMSERPYPEAPPSWEYGPGDAVRKVACDGTISFRG RRAVLGKAFRGERVAVRPADADGSFGVYFGVHRVAGIDLRAHNDLH OJF2_RS19250 MSGPGPDLLVKPPSRFAVDSLQVVVHEDRAQAGRAAAMEVGRAI RRRQEEAGRANVLFAAAPSQDAFLAGLVAAKDVDWSRVVGLHMDEYLGLKADHPASFR RYLQERLFRLVGLDASRLRLIPGERADRPLRTCLEYEEVLLNEPPDIVCAGIGENGHL AFNDPPVADFLDPVLVKPVRLDAACRKQQVHDGGFGHIDDVPTHALTLTIPALMRAPV LSVVVTGPRKADAVLNTLRGPVAESCPASILRRHKSATLHLDREAAKLVS OJF2_RS19255 MRLSATMLTLSLCLSGLAGIGPFAAAAQEATGPEAARAPRARAV LPGLAGDGTVLLPNGWSLKPAGRQSALGDFPVQMALHPKAPILAVLHAGYGEHEVVTV AAATGKVIGRVALPETFAGLAWSADGARLFVGGGFDDCIYRFDHAEGLLSDRAVLPLG KPRRGPGGESKVPGGLALSGDGKVLWVANLYGHSLMRLDAGTGAVLDTLAMEADSYPF GLAWDEPAHRLYASLWNRAAVAVIDTEARKVVATIAAQEHPNEMLLAKGGRLLYVANA NRNSVSVIDTKAGGSIETIGTAIDPAAPAGCTPSSLAMTPDGSVLLVANANTNHVSLI NVKEPGASTPLGFIPTGWYPTSVRVSDDGKAIYVANGKGQGSRANRGGPDPVHAAPGA NLREYIAGLFHGTLSIVSMPGPREMAAYSNTVYACSPVRRGASAAVRGEAPPAGHPIP GRVGDPSPIKHVVYIIKENRTYDQVFGDVPGGNGEPGLCLFPESVTPNHHALAREFVL LDNFYVDGEVSADGHEWTMGAYATDFVERTWPLSYRGDRRAPYPSEGSLAMATPAGGY LWDRAAEKGVSYRSYGEFIKNSDVPGAPATTGVKALQGHFDPLYRSFDMAYPDVRRAG RFLEELAGFEKSGEMPRLIVMRLPNDHTAGTRPGSPTVMACLGDNDLALGMVVEGLSR SRFWKETAIFVVEDDAQNGSDHVDAHRTVALAISPYVRRGTVDSTMYSTSSMLRTMEL ILGLEPMSQFDASARPLYAAFGSQPDLRPYAKRPAGVDLDAKNTRNAPMAEVSQRLDL EIEDRADDLVFNQIIWKAVRGAGATAPPPVRAAFVVPRPKAREDDDD OJF2_RS19260 MATVMQAPREMVEAVADLRLPPKADRRLQSLMDRNSDGVLTAEE RDELEALVELSESIALLRAQALRALGRPPR OJF2_RS19265 MEPATPETFVGIDVSKARLDVAIGDEPPFAVDNDPAGHAALAGR LAPRRPRRVVMEATGGLEAAAAAALAAAGLPVMVVNPRQARDFAKAMGYLAKTDAIDA KALAHFAAAIKAEPRPLPDEAARGLDALLDRRRQLVGMRTMEENRKATARGRVLRDLE AHLRWLGEHIEEIDRELDERIRSSPAWRERDDLLRGIPGVGPVLSRTLLAGLPELGTI SHRRAAALAGLAPLADDSGRRSGPRRIAGGRGQVRAVLYMAALSARRFNPALRALADR LEAAGKRPKVILVAVARKLLVIANAILKAGKPWDPEIAAKLAQNA OJF2_RS19270 MPGTTGQTAVLLIAHGSRHEPANEELRDLAARLAGQGGHPIVEP CFLELAEPSIAIGGARCASRGAKLVLMIPYFLSSGVHLLRDLTAARDALEERFPGVEF RLGPPLGPHPLLDRLVAARVADLESGAAAPVLASSREMARRYAPQDGAH OJF2_RS19275 MSVSHEPAGVPALNLKAQYQSIRDEIETVVLNLLESQMFVLGPE VAGLEAEVAAYCGAGHGVGCASGSDALLLPLMAMDVGPGDEVITTPYTFFATAGAIWR TGARPVFVDIEPDTFNIDPHLIEAAITGRTRAIIPVHLYGQAADMDPIRELAASRGIA VVEDAAQAIGAAYRGVRAGTLGDAAAFSFYPSKNLGGFGDGGMMTTDDPALARRLARL RVHGMEPRYHHHEVGFNSRLDALQAAVLRVKLRHLDAWTALRREVADRYRSLFASHGL EEVVAVPHERPGNYHVYNQFVIRVPAVLRDGLREMLATRKIGTDVYYPIPLHLQTCFE SLGHRPGDFPHAEAAARETIALPMYPELTEVEQRHVVGSIRQFLAGYASSSMTTDRAA OJF2_RS19280 MEDRNSRPDRSMPDDRRGFSPRTNPNRPSCSAEASGPPAAAASE SASAYPARCNPRPPRQPLDREQQQLAVRYMPLARKMAREMADRIPRHAEDWEESALFA LVEAAQSFDPSREINFATFARIRINGALLNTWSKLRGRRRRRGACAAPDRGPGGRAQH GTPLLRLGRVEPPVGEELDNHDAVETWTRQLPGREALTLRHIYLEGMSQQQAAAAIGC SEPTMSRVHQHVLKCLREVHALELCA OJF2_RS19285 MSDDIRSGLRVTYPRSPAGRPIFAAAERLVEVWTRNERALATLK MLEHRGDRARAYLDTPGANAALAEAYLRRARDRRREQLEVLREGRRDAGRILEFLRGM ETAAPAASASAPPPADMPTCPAPAAQPLPLRAPADFPPAIAPAATAPRQRRYA OJF2_RS19290 MKRDAGEPVDRSAAWICSIRDRLLSWYAENGRDLPWRGEGDPYR VLLSEMMLVQTTVAAVIPYFARFLERFPDVRSLAEAPESDVLKAWEGLGYYRRARQLH EAARVIVARHAGVIPADAEAVRALPGVGRYMAGAILSFAFDRPEPIVEANTQRVLARL LAWEEDLKTSRSRERLWEAAGRLVPPEGAGRFNQALMDLGATVCTPRAPACLICPLST LCEARRRGIQDRLPVAAPRKPPLAVAEACVLAEKKGELLVVRRRESGLWAGFWEFPTV HLEGADPAGRSFGEPVDLEEGVRRLTGVRVRVGPEVRALSYGVTKHKVLLRVHPAKAV SGSPRPGPGLSDARWIPPAELPTLTLGAPARKLARWIAEDPKRLEWPSQGR OJF2_RS19295 MPSGKDISGGGGKRGGGGGSVSSGTTGGTKKNAYCSFCRKSFRD VGPLVEGPGDVYICGDCIELCQSILDEERRRRGVPKTLFTDIPTPREIKDQLDAYVIG QDRAKKVLAVALHNHYKRLVHGEEPNQEVELDKSNILLIGPTGCGKTLLARTLARILN VPFAIGDATTLTEAGYVGEDVENILLKLLHAADFDLEAAQRGIVYIDEIDKIGKTTHN VSITRDVSGEGVQQALLKMLEGTVANVPPQGGRKHPEQQYIQMDTSNVLFICGGTFTG LENIIARRVGKKTIGFGSQSQAEQHTELGELLGKVTSDDLLEFGLIPEFIGRLPVICP LQPLDVSALIQIMTEPKNALVKQYKRFFEMEGADVEFTADALAEVAKMAKAKDTGARG LRSIVEEIMLDVMFDLPDRAAKDKGKFMITAEVVRKEKNLFDSPPVPLTGTKGERKKE SA OJF2_RS19300 MNDAWLADRMTQIDASGIRKAFEMARAMKDPIDLSIGMPYFDVD DAIKDAAFDAIREGRNQYSVTLGIPELREAIRGSFAGLGHADRDVIVTAGTAGAMLLA LIATVNPGDEVIVFDPYFVMYRHQATMAGGVTVVVDTYPDFRIDPAKVAAAMTPRTKA VIVNSPNNPTGVVASTEDMRALALLCKERGILLISDEVYRSLCFDGEARSPAEWNEDV LVVDGFSKALGMTGWRLGYAHGPSRLIQEMAKLQQFTFVCAPTPLQFGVARVLAGGKA LDSSSQVEAYSRKRDMVVEALSGLYELTRPAGAFYVFPKAPRGTASEFCAEAIRRELL VIPGNVFSRRDTHFRISYATSDDTLKRGLDVLRSLA OJF2_RS19305 MTAPATIDLREYIRNIPDFPKPGIQFKDITPLLASPEAFRSAIE QLAAHFRGRGVEVIAAAEARGFIFGAPLAMQMGAGFVPIRKPGKLPYATIAQEYQLEY GSDRLEVHSDALGRGRRVLLLDDVLATGGTMKACIDLVHSAGAELVACAFVIELSFLE GRARLTPPEVFSLITY OJF2_RS19310 MRACTERPPVFPAALFLGLLCAALGAGRAQAQPPAGKLSRFIPA QGLSLYLEYDGLESHAGAWKATSAWQILNETPAGGLMADAARQTFDQLRRLHPDAPFG GDDVLAFQEHLTRRGLAIASLKAAGGNATVFVLPGMAGAPRADRVRRMLQIAAMPGRD KATSKPVRLRGRTLNGLEEGPGDGGPLARISPPPPLTWWMEGETLVLVKGPEGGTAAF GPDRPDVEEKARAAHADCVALVLDAIEDKAPNASTHPLFSAAIVEGRDIAGFEPNGLF AAESSRDAGVIGELLTGPGGEVSTNSVEYAISAAFGVTRARRVLARWGFRGKALLADL RFEGLGADAAKAGLLEPSGFRKDHLPPIPRRSGAFVIAAPRRQLDSKTTTDVLEGVSV REEYRGHWEAAKLVFEDAENRRAILGLFERLGPSWCLYAAPGGASGKETLAALQVDVK DVGDAEKALDALASRLNAYFREQELGEAGAGQEGRVPALVLERLPGSGRGYRLTSPND RVPWLSGTMQPMILIAGSRMVLATNPEVARAALAAERRAEDRWQPSGETARLFECLPS NLSLLFVGNPRDSAWPEAIAELGGTTTPAIARLLGADLDDPPARPRPSELMSLLGIKP AGHDGKPLKAEDLRPLIFPSVFAVAIEARSVRILSLEALPFGCLGAEAVYNQQGLSKS VTLEPRFAPER OJF2_RS19315 MSLFSQGGRRDRVRGKRPRISLDWERIEERVLPANILVVNTAAT GAGSLRQAILDANQAGGADTIQFHIPDNGTGVYQIDLAPASGALPAITGVVTIDGTSE AAFLGKAAVVKVNGTALTSGDGLTLAAGSGGSVIQGLTVFGFLNGYGILAQSDGNTIG GTGANQGNVLYSDSIGVKIDASAHNALLGNVVGTDASGTNFGGMARGVLIAGGTLNTI GGTAAGAGNAIGFATTAGVEIDAAAAANLLQGNLIGTDAANQDRGNAVGVLVGGGTNT IGGATAGAGNTVAFSTNTGILINGAAATSNAVQGNFIGTNASGGNLHNLFGVQINQAG GNTIGGAGAGNQIDFNTGAGILISGPTPSGNLIGGNLIGTDSAAAANRGNAYGIQVLN SDGNTIGGASGLANTVGFSAQQGVSVLTGTGNRVSRNLYAGGSGPPADIVVAPGANDG IAAPVLGSASVDATAGTLTLSISQPAGGSQPVDLEVYLLGAGSRVFKTTIAGKTLTAT PQQITVANAVGFTTSDSILVTATDPTGSTSPFSNVATIGNALVVSNANDGGSGSLRTA ITNALASPGATITFAIPGAGPHLISLLTPLPPITAKTIIDATTQPGYAGSPTVFLVGA GLPGTADGILLAAGSDGSTVKGLGFVGFGGAAIHVRSSGDVVASNFVGVDATGKAAGP GNGVGILVDTGTGNTVGGTAAADRNVIGSNGIGVALGSAGNVVEGNFVGVADAGTHDN VGNVTGISISAAGNTVGGAAGNVLGFNVTGVLIGGASAVVSNNLVNTTAAGANLGAGT LLGIQAAAGSATIAGNTVDFTGTAISLEGPNNVAAGNKLGTHGTASPLAFSNGVGVSV TGAGNTVGGTTAAAANVIGFASTAGVQVGGGATGTSVEGNLIGTDSADSVLTNNVGVL IQGASGNTVGGALSGQANTVANSVVQGVSVLSGGQNVVSRNTYRAGAGPAADIVLGPG ANGGIAAPILASAALDASGNLRLSVSQSPAGGQPVTLEVYVSGTTRSFRQAIPGVTLT GTAQVITVPNAGGITTSDTVLVTATDPSGDTSAFSGVVAIGNALQVTNANDGGTGSLR AAVANVLAGAGSRITFAIPGAGPHLINLLTPLTDPAGITAAIVIDATTQPDYAGTPTV FLVGAALGSSADGILLAAGSKGSTVKGLGFVGFGGAAIHVGSDDNTIASNFLGVDATG RAAGPGNGVGVLIDAGSGNTVGGTTAAARNVIGSNAAGVSLASAGNVVEGNFIGVADA GSRDNLANLAGVAISAAGNTVGGTTAGAANVIGFNPTGVLVSSAGTAAVVAGNFIGTD PGGNKLGAGVAFGVRVMAGASQNTIGGAAAGAGNTIDFLSGGTAISLEGSNNTAAGNK LGTQGTAAAGGSPVAFSNAIDVAVSGTGNTVGGTAANVIGFASSAGIQVVAGATGTSV AGNFIGTDPGGAVLTNNVGVLIQGAGGNTIGGAGGGNTIANSLVGGVSINSGDGNTIR ENTYTGSNGQGTPAQANDIILAAGANSGQLAPTVQGASLALAGGSGTLSVSTTVNPVL AGTPTFEVYRIVNGAREFLGAPLAGDVRADGGGTYTFTLAVTGVTTNSQVLLTDTAPG GSTSPFSNAVKVAEPNEVTNNEDTGTGSLRQVIHDYNLSGTSTTIIFGPAFFTTSRVI ALESDLEPITRPVTIDVGTPGAIPTYGLQLVSLAADGSSLPSAGTSVVDVGLDGGNLL SIRVFDAAGRIVLDLGEAALPATQAAAITALKGRVSGLLGSAPDPSTRAQVLGQVQSI VSPLLLAKITRDTNPADPPSSAVNGLVLGSKAGAQGGSSGSSIAGLSLFGFKAGAGLV IQTTGNSITRGYFGYDPDAPLAGNGNGTGILLAGGSGGNSIGGAAAVSRVFVAGNTGD GIDIGQAGASGASDGNWIINATVGTTAFQDKQNNGNRGDGIRVTNSSGNTIGGPAADN ANRVLVSGNGGTGSAGAGVRITGGSANVVANALIGTSETGAVSSGNFSNPVGVLIEGS SAGNTIGYSAALAAATAGRGAADPLRWRPDSPYANVISGNLVAGVELDGVAGNSVVGN QVGSDITGVLAIGNGSGAQGKGGIWLNGSWGNAIGGDDAAVTGPGADGNAITVIVRLG NSITDNARDGILAQNDRALSVPVADPSATTPANTVRNNLISRNTLNGIHFVGDLTGSG VTLTGPGGHPSPGGFLAVEGNLIGTTADGLSAHSPVTGASQGNGLSGILLEQSAAAAA GTSLTGVTIRDNTISGNGLSGITAQAAAGGTGYSTLARVAILGNFIGLNRFGTSVVDA ASADPAAASTTPKPMGNVLDGILIDGLQGVTVGGTAADAFDLSGGGAAARGTGANVIS GNLGRGIEVRNVRDAGPGSLPILIQGNIIGMNAAGQAAAAVANAIDFRGQALGWSVVN MGNLGDGIFLLGTSNVQVFGNLISANRAAGIHAATQTPGSENVAYLAVRGNRIGTDAA GGSISQTVAVPGGGGGPGSSQVVNTGNGSDGLFLDGISGAMTVAAAPGAGGTAASFVG VDGNIIAGNRANGIDLLQSSRIGIGGNWIGTNSINGTGLGNSANGIFINGSSDNEIGG AGGSNVIAGNQASGILISKGADASGSLTFAASRNAIAGNFIGAAPTPDGRGGQAMQPN PNRVSGLVISGGTSNAVDGNVISGNLLYGVLLANSADGNTISGNFIGTDAAGDARLGN TSEGVFVIGGNDSNRDAEAVGNAITGNTISGNQGNGVHLFGSNTVRNSISGNVIGLDP AGNNPIANQGNGIYLDATGKAGGATEDANLVAGNVVSGNGQSGVMIYAVETTPAPSGY RNILRGNFIGTNRAGTASRPNGGNGVFIYGSSLNLVGGADAGLGGGQAPALTLGASEG NLISGNAQAGVAIFSPVANLKAFGNDVYGNFIGTDASGMAAIPNADGVDILSAQGNRV GGAGLANLISGNLSNGVYVTRVSDNDGSGNAVASNLIGTDRTGAARLAGSQQAFGVYL YNVTGNVVGQAGGATLGGTNVPLSPANVISGNAQAGVRIGGTSSGNAVQGNYIGLGLD GTAHSDAADLSNLVGVMLADQAAGNAIGGAAPGAGNILTQGSLRDGTAVGVEASGPGV HGNAIQGNLIGLDRGGSPVLNGQGAVVSQIGVLINGSPGNLVGGASTAWNPPGSSPTG FGPSLVRNVISGNAQAGVEITGPNATGNAVQGNFLGTNLAGDGRPAVPAAFAAAYALA PTQTSGVYILNARGNVVGGHGFGNLISGNQIGVNITGPATTAAAAGSGSNAVLENIIG TDLTGTRAVPNFEFGVFVNGSPNNLIDGNLISANGLAGVEISGGGSQVGASQNATGLG NVISNNRIGTNIAGAKAFPANPGDVNPTANPVVSTGGNLVYYGLQLHGVVILGTSSNT ASGNLIAGNVFVGVYITRRDFNGTVYALPVGNQVLSNQVIANGIYGVLRYDAPQNSVP QGRTRNRRGKATGANTFSSNPIALADYITGFNSRSRQKTPQSTLLPAGLGQSGQVNAP SKQTTGKAHPRGPRRRKG OJF2_RS19320 MEGKSPEDAGKPLAPKAAVGCLFALISVPAVFAAKFVEMRIGDA LGIQIKPDVHPVLLLTVFGLTHQIVNVILKRQRFAHSGKTVETWYRADLEAIPESAEK GRVEIHAKRGLNIGFGAASLGFSLAGAASLLHPDGMRHWPQVLACVGAFGVCSAGCFY ELNHGKPQAWADAYGVTGYPRRFGFRRRFVPWSRIDSCDIETHHDPRGRLVGDRLVTL KDAAGKRLLTMAFSGLTEDPAPLIKAIRAHLPKADTGLEGW OJF2_RS19325 MGRSWESPEHDETAVASTSQVGCLVMLIMIPLGIVEMVVLLALD HRLGLGHRRDVGRFVGGGAIGNMMLAKWIADRILTRQGLSRSGKTVVTWHRADPGAGP DGDDEERVVVHARRGVLLACGLGCLGFSLALVAFLLLDSDIKEYRPFAVVGLGLFGAG TVGCFYDLARRVPQAWADASGVTGYPLRFGFRRRFVPWSSIESCDVETRHDIQGKPSV VLLTLKGAAGKRLLTMAFGGLTEDPAPLIKAIRAHLPKPDRGIDDW OJF2_RS19330 MVRRDDMRTPRQGRLPSRAGRRPRRPAIEPLEDRLMLDAGSATT LPQAIVVGRALSTYTTSGLSNNQVTITYTVYNEGAKAEAGVLLKTSLQPGVTLAGASR APDRSGQDLAWSLGTLQPYGRASVSVTVSLASPTPLQLDGGASAFATVDGAGVSDSTP AAALTGRAIDASLLASAPDANAADPYVQEEAARLDYDPARIFDFLHAQVGYESYPGSL RGARGTLWSGAGNSLDVASLGVALMRASGIPAQYARGTLPYAQAQSLIRSMFPAYPQT AGYLAPGTPTADPANDPALLSEATDHTWFRYDAGSGMIDADPLIAGAAPGRSFATASA TFAEVPDSLRQKVEVAVTAEILNTASQAFGLSGTSTTTVLDQTFNAVDLVGHPLTIGN LVNSQSLGTPVFSSTTNVYTPYLIVGGDGMDPSADRLITGTSYQEVRTNFPLGSTVLT GLFLRLTTTGPGQSAETYDRTLADLIGPAIRRNGGSSSTAAGADPLVSPLDLWTVDAE SGLYNPHAVQAASAQLAGLQSAFTAFADQLAATPAGPAHDALAAQVIDRFKAVLIAMQ RSRLAEFEQDSDAYTQAMAAGMHVRAYLDSPKFIIASSDATVGADGTVRLDLGLDLLK DDIRVLAAPGNARAATIGFNYDRGIMESQVESDVLGDASAASTGTITVGVPLGTPAVF AAAKAQGIPLVSLTPDNPGALDSLNIPADPKALIAAALQAGRDVIVPSQPVTVNGVGR LAWYEIDLSTGETTGVLQDGSHGSIVEFVANQFLNPNSASNQFAEGVFAGASAGSFVK FIVFLLKLYNNYVVDNAHIPAADALANLKNYLSIVLQAITGELEAALIGKPPLFVAGF SVAYLAAIKYAFDPSAEGYQGDAAPRLLPATPNAATATTTPGAGLSAGAVSATLPARS LQVSGAATATWQTSSVVGLPVASLRAGSAQVKDAAGNVVGTGAVAVAAGGVLGATVAG AVSYSVTGQGTLSFYSAGPGVLAVGGEWSSYQATLSGAAAITLTTDRLTVGGVTLPAG TYTIVTSAAQLAGSGAGGSPDFAGSAAVQATGAEVTLDPAGGGSLSIGGRALDPANGL ALSGFGGTITVAAGGGADAVTMAGNASGVLQLAAPGTPVSADQNAAGAFQAGVLTSLA GRFDLTATAPEGWTVTVDASGRVTALPAAGLQSGTVPVLLIARSVDDPNLVVRRLVEV NVGATAAGMTLSVAPDSLVSVPVDTGTGAGATVLGTSFGGVLLPSAYRASVHNAGGSA DTYNLTFGGVPSGFTVVSSLAGVTVPAGGTSVVGLYLIPTPGQPLPAPGTVLTFNVTA TSTSDPSITRTRAVTFTVPAVHDVAVDASATTVGTTPGSPGTITLTITNRGNVAEANV TLSSSAAAGLQLDGLAPLIVSLAPGASVTRTVTLTPSAATPLNSTLGATVTASYGDAS SPSTRSVALTLKTVVPGADAIASAAAAAGQLGNAGLSSRLGDLAAALTALVQDPSNSA AKGQALASLDAIRTLASADAYLAPSLATLSADRDALAAATTPQAVKAAVTAIGRDVGA FAKTLDDEASYRFTLGFVTNTQTALPQAPVSFQVVLQNTGSKAATYDLSVAGLPSGVT ASFSQASITLAPGQVTPGNGQPTVYVTLTSTSATELDPFTFRVVATVEGAAEISQSAT GSLTARQESVAVVSVDPATPFVEPGAKVEVAARVLNAVNQARDAKAYYVVKDSGGGVL FTSSPVTFTLSVLTTLTTVDLRTLDTTGYAKGNLTIAVAVTDLAGNPIAGATGAGTLL IGSPVSVTTTADPATLPAGDGTTTVTLNVTATPDAFSPLHIVGQTPIGGEVQNVVTYG HDAYVATSGGFAIVDVSDPAHPALVSTFTDGIPAGTKLGLDLKGSELIVRASPDNNGP TVKLLIYSLADPAHPALLGQTDIPNLSFQGGPTVVGDTTYLNSGWYRYYIFSGQIFAQ FGELTPVDISDPTHPVVGTTLYDSPPDPSTGFPYDGTTNMWQQAAVGDHLLYIGSTTE TGGDVDGTGKLLIADATNPNAPTIAGSLLVPGMAQVTGVYVDGNRAVIIGPSKSWGGG VVGLTGKVVVATLDISDPMSPSIIATKTLDRDARNIMYLFPVGGGLIGTETLGAVTDT PNMLILDPTDPADVGVREVSVPSEVLGAYLSGGLLYTSSTSGLIIYALGSATPVPVTA SVQVPKGTGVGVVPGSFSVAPTQVIPGADYDTYVWDLSLDATTTTRAITWQESVAGLA PGRSRPVTLGSKADFTSQGTAGSVTAPAVAVAGEQILTLSPGTNSAAPGQTASYTLTV SNPTSASVTYAMSISGVPASWANLPSTITVPAGGQQDFNLALRTDLFTPTGPYGFTVL AAAAGISGTVGGSLTLAGAAAAPAADSRGVVVTLTPGSATAGPGTSTYYTVRVTNTGT TSDTFYLSADLPDGVDASFEPAWVTLSPGAASSRDVTLRVTASRGAAAGTVPISVAAT SGSDSSVAAAATGSLTIAPQGVRLEIDPGSAAAGSPYRLLVTNTGTTTETYDLALAGP AGLFAALGSATVTLAPDAWQYVAINTTAPGLALPGSLPLVATATSRATPGVRAMAQAD LTIAATTGMSASLTPASQSLSAPGTGSFLILLSNTGNTDDSYSVTIVGTTGGATAALI GPDGRPTQALSLVRLVGLATGSIPLDVTLADAGTGTVTVEIRSLSTGQVSTVTATLTA AATTGGDGGGDGGNGGGGTAADGPRVVLLQRYGVHMMPTSIVLTFDRPLDPSKATDVR AYTLKDAAGRRIAIARAIYDAIDNTVTLKFRQRLNFHRTYTLSVDGASPRALVGVNGQ ILDGNADGTPGGSYEARLTWRNTVLPTAWKARFRKARQAHAHAHAKPAHHDQAKPAHH IAIRSEHHAK OJF2_RS19335 MIDDRGSGLVALLDRFRAGDPRAAGEIVGAYEPHLRRIIRLRIR DSRLRRLYDSADICQSVLASFFARLSLGQFDLENPAQLVRLLEAMARNKLATEARRSG VARRVEVGAVAGAADGTGPLDRPVPGPSDTERVAWREMAEVVRDRLSDDERAISDLRA AGCEWADIASRIGGGPDAARKRLERAFDRVARELGWEG OJF2_RS19340 MATPEDPPGSPPPEAPPNPDPAGQGRGPGGPGRADREPSAGPPP RGEGGAGDPPSSSAGEGASRNPFGGAAWNLTLLLDAQSVDWQRGVRAPVEAYLRRGED EPGDGELILNLVYHEVLLRRRINGEEPTLEEYAARFPHLADELREQFALDAALPFEET ETSGLPPAGVPPAPADVPGYEIFEVLGRGGTGVVYRARQRSLNRPVALKMILDGSHSG ARQAGRFRAEAEAIARLQHPGIVQIHEVGEHEGRPFLALEYVPGGTLDRALGGTPLPA DRAAALAEPLALAVEHAHGRGVVHRDLKPANVLLTAAGEPKIADFGLARIVAGDSNQT DSGAMVGTPSYMAPEQVDGGPGRVGPAADIYALGAILYEALTGRPPFRGESPVETLLQ VRGADVVPPRRLRPDLPRDLETICLKCLEKDPRRRYATARALADDLRAFRDGRPIVAR PVPAWERAWLWARRQRGAAAGLALGALALLALLAGGVVHNLVLSRLNAKLERTNRELI DARAGAEENARDALAAISQLLVRVADERLAGVPEAEPVRRELLRDAIDRLGPLQRRSP SDPGARLEMGRAHLGIAAIHAALGEYARSREQFREAIGILEGLLAGHGASAPIRDEVA RAHLGLADLLPPAEGRPHFLRAVELWEPAAESDPAARGRLAAAYLSVASSKDGFGIAP GASYCEKAVAMLEGLFRDAPEAYRSDLARAHHNLGLAEGRAGRVAGAIEHYRRAEGLW RAIPADRRPDVDQEALATCQNALGLALQARPGATEADLKEAEGLLREAVGSDRELVRR HPRLVKPRIGLAQAWSNLGSFYWFARRWREAEEAYASALRAAEEAARDFPENRGLQVL LAHGHGDLADARSKLGRIDEARAGFGRALAIVEPIVAASPGDSGAQKCLGVVLLNEAN TVAAVSGSAAALPSRERSVRALEAAHRLAPGDGEAAYILRGSRSNLAGTLAALGRHDE ALVQFDALIRDADEAARPPLMLQRALLLARAGRHAEALAAARPLADRPGPDGESSYNL ACAFGLIAASAGKDPSLPSPRKDDVVAECRRRALSLLDRAAADDKFPRKELLDLLAND ADLDAIRATPEFAALRKRLGP OJF2_RS19345 MGPENAPDPGPLRDRLLDQWGPRGSAYQAYRKEIETMLATQEKA LLRERRMTAVMWIYIVALTTILLTGSGLLMFHKVEGTYVAVTAVFWFLFGMAFLFRHL INQSRFEVIKEIKGVELRLAALEEKLAGRGPKDG OJF2_RS19350 MALRCRLGEPAAFAELVARMERPLLYFARSIVRDDDAAMDVLQA VWMTAFRGLRRLEEPSALRTWLYRVTRGHAVGHVRRDVARASAERSLAEDAEEASPPE DDGRFDAEDAAAVHRALDALDVRHREVLVLHFLEDMPVADVAAVVGCPPGTVKSRIFH AKRALKEALRRQGHGT OJF2_RS39320 MIKTIRGRVHGQTIELNEALGMVEGQEVEVKVRTIPRPPHQPGD GLLRTEGALRDDAEWDDIMKEVHQARKQERRSRT OJF2_RS19365 MADEQARRIVYCTEYLGYLPFGLYHWLEVSGKVIDSSAIPPDFS GRDLDALEAAGLLRVVGRLVNAEDELESRTTYEFPSG OJF2_RS19370 MTRRISGPFEVKLTPQAPAEGFGDPSVGRMAIDKAYSGDLEATG KGEMLATQTAVPGSAGYVALERVSGTLCGRAGSFSIQHAGIMNRGAPTLSITVVPDSG TDELAGLSGTMAIRMEGKAHFYDFEFTFPDGD OJF2_RS19375 MASPPGYSTGKAPSPVRGLLGVSAIPAAVIALSYFCDLGSASWW NLAILTLNWAVTLWLVAWKVWFVGLARLAAKADGGAEPPDGLRAARDLGPPTP OJF2_RS19380 MAPSALHPSDQSLKDYGLGRLDAMLAHAVGSHLEECEDCRRKVA EATSDSLVGRLRDARGGSSTAGLPGSSLEGMSGPGGPATPPPASSLPPGLADHPDYKV LRELGRGGMGVVYLAENTLMGRKEVLKVVSSHLLDRPGVLDRFRREIRNAALLHHPNV VTAYSATRMGESLVFAMQYVEGYDLAKLVEKNGPLSVPHAANFIHQAALGLQHAHERG MVHRDIKPSNLMLAREGSRPVVKILDFGLAKVSSEGGIAASLTHSGQMLGTPHYIAPE QTVDAQKADIRADIYSLGCTLYCLLAGHPPFDAASLYELLQAHHSMDARPLNFLRPEV PVELAALVAKMLAKDPARRFQAPEEVARALAPFFKKTTPAPADPARPPAPAVPPPPPA SEPPNVSARRDPEPVPAPPRPPRAGRPPAGEGESPLAGLIRVEQEPLSAPREPEPRPL PPIPGGASDGRRPRPWPLIAAGAVGFVVLLGSIVILIRTNKGETRIEIPEGKRVSGEV DDVRFDHTPAPSPPDRPPPTTAGTKPAESAPTTSPTTLPAIPTGKPVYLLDADSGQRA WVRRAEKGERLYLSQRGLGVDASVARFVFDRASVPDRYRISLAGQALFLRSDCAAGRA VELSGPLRSDDLDRYTFEVVPAPGGAFYLKDRCEGRYLCGERAGVDLYAVLDQEPAAT RPRLRFTIDDRASEGDVPAGPIAGDAVKAAPPARLESPAGGGWQSLFDGKTLAGWDAH SGPPANWRVEGGALVVTGPGDWRKSGFLMSSRRLSHFRLRFEYATDPGSNVNSGLAFW AEPSDITDGLPHPPQFEIFSRKPNGALDNGSLFYLDTLNNGRILPPQHPAKILPAGQW NVVELEVWAGALRYAVNDTEVLRADIGDLAKRPDAMAAFSRKRGRVGFQSHTGTIRFR NIQVQELDARPGEETRRVGAMHRAGSRSFNGKRYKAFPDLLTWHQAEERCRDFGGRLA VVRSAAENRFLTGLLREGGVANAWLGCTDEKAEGRWVWVTGEPLRYANWDRPQQQPNN KGGIEHYAVLMTRFDGTWSDQPDDGRQEKPGFICQWDD OJF2_RS19385 MSASTLRCLALAACLPALSPPASDGPQPPPRQAVAASKALDGLW SGAWGGGGRNGAVMQPVIAELIVRGDRFELAGFPALGSMSGTVRVDEGARRLVLQPPG DDRGRAPAPLELSYELRGEALTLRGPGGFPLDLRRVRVAPEPMADVRVELATATGIDA QGRLLATGYTVLRAGRLREMYHRPYDAPRSTARGYAYLVGEEALGEITMDEARRRIRG ETPVVIAYRPDDRPSPRLDGTLWQDAGPAPPDGEAVRRTLAAVLRPGTLVFVLSAADN VPVP OJF2_RS19390 MPESIAPAAVAAALTELWSPRVVAEVDDSYVKVARVHGELGWHA HDREDELFLVLKGELRIELEAGPVHLSEGELFVVPKGVRHNPVAVEECHILLVERKST LHTGGAVTPLTRSLEEQLRPVEPRPRG OJF2_RS19395 MILFNPKSIPILAVAIGVAIGLSKAAGLSGEGPATILAGAASLA ADLAWRWRWGGRDWFASEAGGAIFEIPVWAFGVFWMILGVFFMVHGKG OJF2_RS19400 MPAEHEIRADFDRDTIVVYQAYAPAIADAAMAAGRFVPPFSVRR MTWIKPSFLWLMHRSNWGLKAGQERILAVRITREGWERALSMATLTSFDRRLFRTPDE WQAQFRAAAVHLQWDTERSLRGAALPYFSIQVGLSRHVIAEYVERWAVSLADLTPRVR AMHDLLRSGQAEKARKLLPPEKVYPLRPDLARRVLIDA OJF2_RS19405 MSTTIRYPHLVEGADGAVRIDGTRYKVLLLAGEHFHYGWSAEEI LRQHPDLRPEQVYAALTYFYDHHEAMVADMTDASGQIGEGVRKSAISRAVLLERWAAR GS OJF2_RS19410 MPLSLYMDVHVPLVVTETLRRYGLDVLTSQEDGTATEEDELLLE RSVSLGRVLTTQDQDFLKIASTWQGQGRGFPGILFSPQRGITLGVLIVELQIVLTCSD PQELAGVVTFLPLQ OJF2_RS19415 MGEVQLGRMQFRIVQVLWDRGRASAREVTDALNESGEEPVAHST VQTLLRQLEAKGAVGHEAEGRTFVFFAKLKEDRVKKTATRDLLERVFGGSVGGLVAHL LKTEAVSRAELDELRRMIDERRGGGDETTAGKAKKGKG OJF2_RS19420 MSQSVLTLTTALAGPGLTWLVQSTALLVLGLAAGRLLRRCGPAV QSAVYRTTLAAVLVCPIASALLASAGYEGLAIRLPEPAAIEDDAPPAPEPVGSGPARR SGEPRPAMGPEVAAIPPTPAAQPEPARLPSGPAVEARVDPVAPMQPAAPPVPWGQIVP AVVLAFWLIGSTVLAVRLLVGHRRMARLRAAAVPAGADAESLCGELAAVLAVAPPEVL RSPFLPSPCLDGLRRPAILIPEGDDAGLRETFAHELAHLSRRDGLWNLLRRVAVAAGW VQPLLWMLSRRIEAAAEEVCDDVVVHLGADRAHYAGHLLELAGRSLPPVAPAGVGMIS LRTMLARRVVRILDDSRSLSTRVGTLAVAATLAAGLCGTLLAGMLGVGGRDAATGDAK ESGSGGKVTRGRVVGPDGRPVPGAKVTAWRSHRDPSLLRFGKGVYLGGQYEYVKTTAD SEGRFEVADESAESDAKGRGGMSVLATAPGFGAGVYLDGRPIRLAEGDQPVDGRVVDL EGRPVPGAVVRLLHVWLPDPEARREADARGGPYRFPSEKSLGMDGEPLLPGGVVTDAE GRFHIEGLGRDVMALVEITGPSVALKRTRIVARDKGPIEGETHTSLDGFAVVSPTYGA RDAIPVEPSRPIEGVVRDLETNEPIPGTVVTAHRLSGEGGGVDGLIRTETDAQGRYRL VGLPKAGAEGHQLAAYPPLDRPYFVTQGLDVPASPGLETARLDIPLRRAAWASGTVRD ARAGKPIEGAFVDYFPMISNERARDYPNFDPQTTSSLSLRTAYRTDADGRFRIPVLPG RGVVTARVEGGSYRAGLGAADIEGDPKEGQLNTYNHIFSGQYHGLKEIDVPGDSPSFP CDLALDPGDSYRVRIVDPDGKPVTTAAVTGRLPGGADLDTDMYGESVATDSGFEPGEK RTFVAHDRGRKLGAMLVIPPDGSKDGDEITLTLRPTATVTGRLVDEAGKPASGLVDAH LDLLVKQAFGSRILGAVPVGVDGRFRYENLAPGGIYELWLVHRQGGTARVRMKSDPYA PFALAEKLSLEPGQVLDLGTFNVATGKRIAAVEPPRGATVPITGRIVDLEGRPVAGAS VEAGGIRVPKSGSLTAWLDGVGKGQAPWIAYEHIDGESKESGEGRKAVTDAGGRFRIE GLNAECVVELTIRGEAIATARIEVATRKMNPLPAPGFPNQYGPGSMTIYGADFVYTAV PGRPIVGVITDKETGKPLAGVGVRSDRFAGSDFVGTATERTETDASGRFRLVGMPRGK GNQIVIVPGDDQPYLVQTPEVPDAPGVGPASVELALPRGVWIEGTLTEKATGKPVAGA WLHYMPFLENRFAQAHPSFHSAYHTDNAHIQDRYVTKADGSFRLVGLPGRAIVGAVVH RGNYLQGAGSEAIPGLNKSGHFETYGCPVPAGKLFPTVMKEINPPADAKSVRVDLQAT TGPSVRLRVVDAEGKPVTGASTRGLTGRASHEAAATTSPEIEAGNLMPGEERLVLLRH AGRKIGKIVRVREKDDAKGPVVVTLEPLATFTGTVADADGAPVQGARVRTDLLPGGDF SLSLGEVATDEKGRFVVADVPAGCEYAMVAESVPSLAKMKVAFLKKATVRPGETTDVG VIQFGKD OJF2_RS19425 MTASPWEPYRPDASSPWDLERAWTLRRRAGFGATWGELERDIAD GPGPAVDRVLAGECRLDGVPADFGRVAGLIGDAAAGSSDARRLQAWWIYRCLFTPDPL LERLTLAWHDHFATSQLKVEDVAAMRAQNETLRRLARGPFADLVHAMVRDPALLYWLD APSNKKGKPNENLARELMELFVLGVGNYSEADVKEAARALTGRTVIQGAFRVREADRD DGAKAILDRTGRFDGEALVDHLVAQPAAADRLAWRLCATFLGEGVADATARAELAARL RSDGLHVGRGVATVLRSRLFFSSTNLHARVADPIGFVVGAARALERFDPPPSTLLLAE WLARMGQELFFPPNVGGWPGGRGWLTGRAVVARANFASALVEGHLNPSPAIPDLRGLA ARHGRGGGREALGFFAGLLAGRPLEAAELDRVAHGVEGPGSDASRLGRAVALLLAAAE CQVC OJF2_RS19430 MLTRRDLIRRSAFLSMAPAVPAFLARTGLASGADEAKEKDGRVL VVVQLDGGNDGINTVVPFGDEAYGKLRKALRLPEDSLCKVGDGVGLHRALKPAAELME SHRLAIVQGVGYPNPDRSHFESMAIWQTARPDRASAREESAGWLGRALDAAATRGTAS GPAAVLVGDESMPRSLWARRAQATSFADASDLTLAMPMPASMPAPAGARPSGDDLSAF VRRTVTTAYATAAEMEAAAERGRGRGDAARYPASGLAKRLELVARSLKAGSPARVFYA IQAGYDTHSAQLPTHARLLGELAGALRAFLDDLAAARLADRVLVLAFSEFGRRPEENG SLGTDHGTAGPVFLAGPAVKPGLLGRTPRLGELRDGDLAWSVDFRSVYAAVLDLWLGI PSAGILGGPFEPLAVI OJF2_RS19435 MAVQLESIPSTVPTRYWHALEDGRVQCDLCPRLCKLHEGQRGFC FVRAREGDRIVLTTYGRSSGFCVDPIEKKPLYHFLPGTPVLSFGTAGCNLACKFCQNW DISKSRETDTLADSASPDVIANAAERLGCRSVAFTYNDPVIFHEYAIDVAEACRARGI KAVAVTAGEVCAEPRAEFYRVMDAANVDLKGFTERFYRDVCASSLRPVLETLKYLKHE TPVWFELTTLLIPGENDSDGELDDLTRWVAEELGPDVPIHFSAFHPDYRMQDKPRTPA ATLRRARSIAIGNGLRYAYVGNVHDPEADSTRCHACGHLLIGRDWYTLTGWDLTPDGR CPGCQAPCAGLFEAEPGTWGPRRQPVRLADFR OJF2_RS19440 MATAGERAGLTASGQGHEGAGPEGSRDRGRKRSGMEVPRVFSTE GTSPFDLVEWDLRSAEIKDERGRMIFQQVDCEIPKGWSQLATNVVVSKYFYGDVASGN GSPAEGKREYSVRQLVDRVTRTIADWGKADGYFATTEDADRFYDELTSLCLNQYGSFN SPVWFNVGLYHQYGIQGPANNWRWDEETRTVVRAANAYEVPQSSACFIQSVSDDMESI MKLAHSEAMLFKFGSGTGTDLSTLRSSREKLSGGGKPSGPVSFMRVYDAIASVVKSGG KTRRAAKMQTLKVWHPDILEFIECKIKEEAKAHALIREGYEANFNGEAYSSVLFQNSN LSIRCSDAFLKAAEADEDWTTRTVTTGRPAQTYKAKMLLDKIAEGTWLCGDPGMQYED TIQRWHTCPNTAPINSSNPCSEYMFIDDSACNLSSLNLMKFVREDGGFDAEKFRAAVR IFITAQEILVDHASYPTDKIALNSHRFRPLGLGFANLGSLLMSAGLPYDSTAGRSLAA AITAIMHGQCYLSSAEHAGHVGPFEGFAINREPMLKVMEMHRDAALAIDESAPADLRE VANAVWAECLERGRKHGYRNSQVTVLAPTGTIAFMMDCDTTGIEPDIALVKYKSLAGG GMLKIVNRTVPMALRKLGYDEPEIRGILDHVDHHDTIEGAPGLADEHLPVFDCAFAPP QGGRSIHYLGHIRMMAAVQPFLSGAISKTCNLPHEATIEDVREAYLEAWRLGIKALAI YRDGSKGSQPVSTESDAKKEAKKEAAAIAQAPAPAPAVEPAPVAAPAPVPAPAPQIIY QPRRERLPHTRRSLTHKFDIQGHEGYINVGFYPDGRPGELFITMAKEGSTIGGLMDVL GTSISIGLQYGVPLEVFVNKFAHSRFEPAGFTKNPDIPIAKSIADYIFRWMGMEFIPG YREANAPQRPDDHDHAPAKPAVEVKVNGHRTATIADLEHAEAVMGAKILQEPAATAPL AIEPLSVAEGLSEQDRQFAHFQSDAPACDNCGALTVRCGTCYRCFNCGNSMGCS OJF2_RS19445 MYAIFEDGSHQFRVSEGDYVRVDRRDGKYGDEVVFGNVLLIAGA PDGPIIGAPQVEGAQVVATIVDQFRTKKIIIQKFRRRKNMRRRRGHRQPYTTVLIKSV TRP OJF2_RS19450 MDEMTRRGLLHRTTGLGMLSAIAPEAAALGAEGGPEAEKGPALD RKCVLGSGMTEAEADCWKLAAELAGKFFDLPELHPMDKQEIATAIHVIQHRLLSRPTY RKYIELHKTLGPQK OJF2_RS19455 MIEVIRSQPTCYLATADYASSFWGRYIWIYQARGSLTLTPGSLR FEGDRAALDIPLRDVTAIGTSRFASAAKPFGLLRLDVRYLDGEDERAIHLVPCESTLD ATWQTSEVVASWFGTLAGLDALAGKKKLPVAPVPTRPSRTTPNPALAAALLVPLFIFL LLMLR OJF2_RS19460 MAFLRGMVVVGLLAGPVAADDGKGPAAGSRVAAEPEAPAISASW PADIRAELERIDGLHRSIVRGGPVEGWRFETVRAGYQAVLKRAGGQPGLEDALRDRLA RVSRDEQASRAAREIESILAKGRRVDADVEAVRRNLGRAERARARNFEAVGFIQPSAR MIEGRKLFALIGSKGAAIAYLDIPPGINPAPYYVCRSGIRGRVRYSEELRSRLITVRD IVRLEGD OJF2_RS19465 MSQRPHHGEAPHGRDHAAALRARIEGGTVRVGIIGLGYVGLPLA RAFTEKGIAVLGLDVDAAKVEKLAAGRSYIGHIADEAVQAMRARGFEATADFGRLDEP DAIIICVPTPLTDAREPDLTYIVRSAEAIAARLRPGQLVVLESTTYPGTTRQVVLPLL EGRGLRAGEDFFLAFSPEREDPGNPKFSAPTIPKVVGGLDPASLELASALYDRVVVRV VPVSSPEVAEACKILENTYRAVNIALVNELKVLYDRMGIDVWEVIEAARSKPFGFSAF YPGPGLGGHCIPIDPFYLSWVARRYGMATRFIELAGEINTSMPSYVAGRVADALNDDG KPVKGSRITLLGMAYKKDVDDPRESPGFELMERLRAKGAEVDYNDPHIPALPPMRHYP DLRMSSRDLTPDYLRSRDLLLVVTDHSAYDWPFIAAHASLIVDTRNALRGCGGLGARI VRA OJF2_RS19470 MKLKGLWRIDMDHPPESVTVAAAVQESSLLLTLEEVSQLISHSH NPHETLDNIVRLIQGRFATDVASVYLLEPESGELVLAATVGLEASSVGRVRMKLSEGL TGMVAERMSPIMEEDAFTHPRFKYFPEAGEDPYHSFLGVPVIESGSIQGVLVVQTADR RRFSANEIRMLITVGSQLAPLVSGAQMLESVAHADREEAGVAGAGACRTRELEGTPLS PGTGLGRAYVIGERAEPAGASQSSGCDPGAEAQRLRRAMDGAREEITRLSRKISLLVG EDHGAILQAQLMILQDSSVERDLAACLLPGASAENAVLRTLDKYIATFQKLSNPYFRE RIFDVKDVFRRVLWHLRPGEDAAGPQPGEGRLILVAHEASVLDLFAVDLDHLDGVVVE HGGPQSHAVIIARSLGIPMVGRVEGLLGRIENGQLVQVDGASGRVVVEPPPGARAEQG GGARPCPDVDAGDHAGAPGGLDGEAGPAGGTNGLAAAEGLRGTPAPAAEAETPGLPRI EANVNLLSEAARVRENGAQGVGLYRSEMLFLARRTLPTEEEQVEIYRKLVETLRGRPV TIRTFDLRPDKLGHGAAATQSAAQKLDWRLVLESPLLQRLFKEQVRAIFRAGTAGPVR LLVPLVTRASLLDFAVAIAEEARRELLAEGLPFDGKVPLGMMVEAAAVAPMVEEWASR VDFVALGTNDLIASAMGTVREDPVGAQEDDLLHPGLVRMIGEMIAAAHRAGRTVSVCG EMASHPEGAVVLAALGVDSLSLAVDRVQAVRQVLRRRDPKALGAIRGGLLEAKSVEQV RRLIA OJF2_RS19475 MRASKVSLRLAACSAAFFTCLAPGLQPTARAQSVGFVPGVGVAP SGVSLGVTPTVSADRMYVRMGMDVGFNQVTGFTTYQVPAAVSGGGGGGGNAGGGNANA AAINNLLGNLGGGAVSGAMGNGGASTINYGAFGGVAAVSGVGSYGNFGGDPFNSMGGL NTYGGNAGPGSAGSFGQVQGFNGAGNFVGGAGTFGPAGGNAGAGPGGPSRNFIGNPLG SKGPRATLPGEGPGAMPSSNDPFLQAAEAPARTVAGDRGAQADGARMRPAVRGRSRGS RSKVLVRSPAQSSTKVAASRRQDGPDSPPAPASDSSASRPTPASPAPSASHRSQP OJF2_RS19480 MILTTADGSEDAAMEALRAGAAEDVLEQELGREPAAGGRRRTCP RSTARQESSYEIGNDLGRIPPLLDILRDEMARLGRFDSSELMRTLIAVDEALRNAVCH GNLEVSSELREGGGHRFDDEVRRRSAVPPFRDRRIRLRVAHDPDRTTFVVRDEGPGFD TSRIDRAIEPEDLIRSSGRGLLLMKSFMDQVSFNRAGNEVTLVKRCRAGAAAPGGASP SARSGASPGRARPQGWDRCEADGAGEAGVGREAEESDAGAGGESGPS OJF2_RS19485 MANRELGFSWPPAANAPGAPDRLAGRAIAVVLTDLRMDGMDGPR RVRATRPAGATRGCP OJF2_RS19490 MSARNPRTLSYRHHRPSGQAVVTLGGRDRYLGPHGTAASKAAYD RLIAEWLANGRISHHGGAVVAELITPYLAYLDTTCKANEPANITAAPNPLLDLYGAVE SEEFGPKSLKAIRRRFIDAGLARFTVNKRVRMIVRLFKWAAAEGPVAPAVHQALEAVE G OJF2_RS19495 METWPARRTSPILVCPFLLAASVGFVSARAVQQQAAPNFKIVAH FGAGSTGLRSWEATISGDGSAKLAYWDLDQKKEVTIAAHLEEADVKKLRDAIERSGFY DLRPSYAAKVDDEMTQRLTIALSGKSHTVSIYGLSRLWDDRDVDKFMKLWMEVLRRLP DPISRMREDLETPMTAGRPVEAEMDEIRELVLRDSLVKIRAHKGIYFISFEENKQPSR DFLKRLGDLELDLRPSSRFVLDIRSKSGFAVRDRTTGAGGVVLSVRRIRKLDEGTAEV VFLYYSGPEASSEEALRVVKSEGKWKIVERRRLRQSSFDPARNRRYLGSPSEQLGWNE RLAPCRTRTMPLAYLARRRARLSLMPHYAPSPTLS OJF2_RS19500 MPMVNQMAPSRLSQWGCPPCESQASQRTNKHAGRSLNRRAIAKF KGSTPALIGLVVLLSNLNHSGRTQGPAEALKDIRFANSLGRKYLPAMKEPFLQELAGK DRAATVYRFLWLPSFHDPISVRFVNSDRGIFLYATRLKLDREYRPVRIVERRSVELKP VHWKRITDQLKKARFWDLPTHARHPFGGGGEDGHLLIVEGVRDGQYHVVMRDNPPGGN FVDLCQAMLFMSQIDVRKLWFEYR OJF2_RS19505 MNVVRLLARARWDLVEAVAYLAERSEKAARRFRVEADETFQRLA AMPGMGARFKAEDPALAGLRYAPISARYRKYVAFYRPIPDGIEVIRVLHGARDIRTAL AEEFDAGEEGGDELAP OJF2_RS19510 MATMNISLPDQMKAFVESQARKEGFGTVSEYLRSLIRDVQKRED RQGLEARLREGIEGGPATPLTAQGWDDIEREGLDLAATRRRRKP OJF2_RS40655 MSFEHLPERQARLAQDLYEELRAASDADIRAMAELLATKPDDEL FGEAEFQLRDMVHRVGAKALQAAAMQRKKGGM OJF2_RS39325 MEQIELIRHAARTLDALAAPYALVGSWGSGLYGEPRSTRDVDIV LDLNLAQVPEL OJF2_RS19520 MGRLHIEVIDRGYAAILAARTPAERAWMIGDCHRSARILLAAGE RVRHPDRTEDQVARTASRRLLDGAD OJF2_RS19525 MNPPKNDPVHCLLVDDLEENLRALEAVLRQEGVVLLKARSGLDA LELLLRHDVALALVDVQMPEMDGFELAELMRGAERTRRVPIIFLTAGTADRQRRFRGY EAGAVDFLQKPLDPDILRMKAEVFFDLARQRRELARQRDELKAATEENARLLAESRSY AEALRRADLRKDEFLATLSHELRNPLSALSGALQILNAPALADQHGWALRVIEAQVGN FARLIDDLMDVSRITSGKIRLKTEPTDVRPVLEQAVHSVSPLVKARGHELTVEFGHGD ATIEVDRTRLEQMATNLLNNAAKYSEDGGRIALRTALREGRFVLTVQDTGIGFEPERL PAMFDLFTQDDRALAKSEGGLGIGLTLVKSLAELHGGGIAAESPGVGKGSTFTITLPA TTSPPPPAAAASPPPANGAGRPARGGGGGGGRDARLRILVVDDNADAAWSLAELLKLD GHDVAIAGDGPSAIDLAAEFLPDVVVLDIGLPRMDGYEVARTLRDDPRHRGVTLIATT GYGQEQDRARTREAGFHHHLVKPIDLTDVASILAGVARTPRDA OJF2_RS19530 MSATGMRAVVVGASAGAVEALSTLLPMLPREFPMPILAVVHLPA DRESLLPGILKSRCLVDVREAEDKEPTEPGTVYLAPPDYHLLVERDGTLSLSSEEPVL YSRPSIDVLFESAADAYGPGLIGVVLTGANSDGARGLRAIADAGGMGLVQRPDLAYAP AMPQAALDACPGALALTLEEIAAHLMEARLAP OJF2_RS19535 MDETTEDIEIRLLLEALLRKYHYDFRGYSMASMTRRLRQAREHF GEPTISRLQDRALHDPGMLPKLISFLTVQVSDFFRDPGYFRAIRESVVPHLKTYPSLK VWVAGCSAGEELYSLAILFREEGLEERTIFYGTDISPEALAKAEAGVYDLGRVPAFTE NHRASGGKSSLSDYYTAAYGAAVFDRSLRKRAVFSDHSLVTDAVFAEVQLVSCRNVLI YFDRDLQDRAVGLFKDSLSRKGFLGLGSKESLRFSAHADAFAEFVRAERIYQKRGGA OJF2_RS19540 MPGSIRRDSAGRQVGGGLLLGLLAVVLSFAAMGAAAYWNTRTLS RDARKVVATHEILSRLEVVLSLVKDAETAQRGYVLTGEDRYLEPYGPARALIDQRLGE VEAMVADSPEQLARLKSIREQVGLKLGELAETIEVRRSRGLEEARKLVMTDRGKDAMD AIRTQVGAMQDEERARRKQRLAEMESAYAVAVASGVLTGLLGMALSAFVGVLVARQVR EQQRQEWLQAGQIGVNGALAGDQRIEELGASVLGFLARYLDAQAGAFFAGAAGGHFRR VATYGVPGEADVPAAFAAGEGLLGQAAADRRTILVREVPDGYLTFGSALGRGRPRHLV IAPAVSDAAVKGVLEVGFLHPPDASALELLDRVSRALGTAVQSANYRTHLQDLLDETQ RQSEELQAQQEELRVSNEELEEQGRALKESQAKLEQQQVELEQSNSRLQQQAEILGIQ RDDLQRSKDAFQAQARELARASRYKSDFLANMSHELRTPLNSSLILAKLLADNPQGNL TAEQVEYAETIRSAGNDLLTLINDILDLSKIESGHMEVHPEPVRVAEVLDGLARAFEP VAREKGLAARFEARPGCPEVIETDRQRIEQVLKNLLSNAVKFTESGEVRLEAGPREDG QVEFAVTDTGIGIAENQRQVIFEAFRQADGTTNRKYGGTGLGLSISRELARLLGGEIR LESEPGKGSTFTVVLPAAYRGAEPRRPAGAATPAHVAGPPPPALASANGGTRAASAPA RRPADGAAAARPAPQVPDDREHLTGDRRTLLVVEDDEPFARILRDLARELDFQCLVAS TAEQGQAMAVQYLPSAVVLDVGLPDHSGLSVLDRLKHDARTRHIPVHVVSAGDYSRVA LELGAVGYMLKPVKREELAAALRQLESRLAQRMRRVLLVEDDPVQRDTLGKLLGSHDV QTVGAGTAAECLSLLRGSTFDCMVLDLSLPDASGYSLLETLSREDAYSFPPVIVYTGR ELAPEEEQRLRKYSKSIIIKGAKSPERLLDEVTLFLHQVVSELPAEQQRMLERARSRD AMLEGRRVLIVEDDVRNVFALTSILEPRGAVVQIARNGREALAALEATRSAGAPAIDL VLMDVMMPEMDGLAATAEIRKRPEWKRLPILMLTAKAMRDDQERCLAAGANDYMAKPL DVEKLLSLVRVWMPR OJF2_RS39330 MGIDRRAMLTTGAALAGASILGGEAMADGAGRPELPEGAVVLTA KVKAKADEVEAVKEALLSLVGPTRKEEGCLCYNLHQSKSDPTEFVFYEQWASQAALDA HGKAPHMKALGAKLKDRTDKGGGVAFYKLLG OJF2_RS19550 MRRREPPCRGMGATAVRPAPDPRGPGGLAETVDFPAPGSPEAPD GLTPRPAAAEGRGASSAAELDPLLRTRLRALAILYLAVFGLLPGWRLVVRRETDEAAS AANALAVVALGAAVILLSSRRPRTTARLRALEIGMLGLIAGVLSVIYYRAMLRYSLRG DVTSVQLVMKNFVLHAAVLIVTFGMATPKRRLLAAPTVVPLAQMPFATLLALCLGHAR AAEGLSRWTTPVAHLSFDALFLLILAVASTYQAGAFHRLRREASDARRLGPYRLRRRI GAGGMGEVCLAEHRLLKRPCAVKLIRPEAVADPGALARFEREVQITAALTHPNVIEVY DYGRAEDGDCYYVMEYLPGPHLRQLVERHGPLPPGRAVHLLRQVCRALSVAHAAGLIH RDIKPSNVIVAGALGIEDQAKLLDFGLVLRRAGPLAPGLTREGQVLGTPLFMAPEQAT NDGRLVDGRSDLYALGAVAYYLLTGRPPFEGDDGLAVLIAHARDPVVPPSQVRADVPG DLERVVLRCLAKDPEGRFADAEGLERALGECGCAGDWGQGHAARWWRDIDAGGRLPVM PDGRPAASPSKT OJF2_RS19555 MNRAFGALAMICVIGAGGIAAARHDEKAGARVIPLSRRDIVEKL DGKDAAASVQEVVIEPGGRVAPHRHAGPVFGYVLEGEYEHALGDDPVTNYKAGDTFYE PSGCVHRVTRNPSAKARTRLLAVVLHPRDVEKVTVPERAAKED OJF2_RS19560 MKAIRLHARGGPEQIVYEDAPRPAPAAGEALLRVHAAGITPTEL TWTETYRTPDGRERLPTIPGHDVSGVVETLGPGVSDLSPGEAVYGLIAFPRDGSAAEY VAVKAADLAPKPRALDHAHAAAVPLSALTAWQALFDHAGLGAGQRILIHGAAGGVGAF AVQLARRRGAQVAATASARHHDFLRELGAEAAIDYRTTRFEEVLRDVDVVLDTVGGDT LERSWRVLRRGGTLVSVAAPVPPEAARDAGARGVFFIVEPSRAQLVEIARLIDAGEVR PVLEAVLPLARAREAFERGLAGHVRGKIVLQVQEPEARP OJF2_RS19565 MKRRDALKTMAGLAATSVLGGVARAAQQAQDEDIPLPAAYRKIY PRFEKLPIQVSSLAPGLSLITGPGGNITGLAGHDGLLMVDAFLPSRSADLIRVVRNLG AGPITVITTHWHFDHSGGNAALAEAGAKIVAHENTRTRLSSEQYVVDLQMRFPPPPAA ALPVVTLRDSATFYLNGEEIHVVHAPSAHTDGDLFIHYRKANVLQTGDVFFTWAYPNI DSSSGGWIGGMIAASDALLGIADARTRIIPGHGALATRDDLQAFRSMLAEARDRIEPL VHAGKTLEEAIAARPLANLNPRWGKGSFNRSHFTQFVYSGLAKHHNKESS OJF2_RS19570 MPTIPALIRWHGYAALASVLYVAGLGLVMSIRFHAPGWLGDIPW LSWGRLRYAHTQGLFFGWLGNAFLAFLYFVVPRLAARPVTGVRLGWALFAVWNGLLVL AGWGLVQAGVSQPLEWAEFPLPVDGAATLGLLLAVAQFVVPLLRARVPSLYVSAWYIL GGLTFTLLAYPVGNVVPEYLPGARGATFSGLWIHDAVGLFVTPLALAIAYAVIPAVSG RPIFSHFLSMIGFWLLFLLYPLNGTHHYVFSSIPMEAQKGAIVASVYLGVDVILVVTN LLLSLRGRSAVVGSDIPLLYVWTGTVAYLVVSLQGSAQSIMPLNRFTHFTDWVIGHSH LAMIGFASFTALGGLLHAWRLTPGCRYNRAAAGWSFWLLSLGLAAMVLDLTAAGLVQG QLWRGDLPWMDSVRASAPFWWARSISGGVVLAGFLAVVTSMTTGPLVVPAPGREADAG SAQQDGAEEEVAGARWLKDAYVLVAGAGVGLFAFSFVVLGLWPNRDLRDQIARTRPTG SGAMSASEARGRLVYAREGCMNCHSQLVRFTEEDVRRFGPASRAWEGDGDAPQMWGTR RIGPDLAREGGRRPRDWQLAHLWNPRHVVPDSIMPGYPWLFDGSPTRPRSEALDLVNY LGSLGRDARLAGLSGPGPLPGRDPEEERRKGMFCDCSIPRTAGKAPIWDMALAVGEGD RFARRGAGVFARDCAGCHGPEGRGDGPAAVALTPAPRDLTVARFSDRRLSESLWNGIR GSSMPPWNDLTAGDLRGLVAFLGTIAPSDPSPELDAEERAAARGLFARQCAVCHGPEG RGDGPSAAILAPIPTDFHEVRPTTAYAESALARGVRGSAMPRWAGKLTPEEQKLLARY VRSFFGKE OJF2_RS19575 MSPEPTADRTDSGRDADATRRRRFGLSLALALGLIAAGFSYFGT RDAWVVADRLPEATPAAASVEEADPAWDIDLPEGPHRDEFQTSCLVCHSARLPLGQPR FGRERWAEIVHKMVAAYGAPATPQQEDEVVDYLLAVRPPGP OJF2_RS19580 MTRREWMLGMGVSLASTGCSGGRPGLPVPDGEGDTVGRFPGKVA MRIVNDRPPCLETPWRYFQDDLTPNEAFYVRWHLQAFPMAVDLRAWRLRVGGAVDRPL ELSMDDLRRLGEDEVVAVNQCSGNSRGLFGPRVPGAQWRHGAMGNARWAGVGLARLLR MAGVGRDAVEVTFDGLDEGPLASVPDFVKSLAIDHALRPEVTVAYSMNGRPLPALNGF PARLVVPGWYATYWVKCLHRITVLPRRFDGYWMAKAYHIPATPNGVEDPLATSTGPTV PISRMNVRSLVTSPESGATLAAGRPVEVAGIAFDGGSGIRAVEVSTDGGSTWVESTLG DDLGRYSFRRWRHRWTPTSPGEHRLRCRATAGDGQVQPDVAGWNRGGYMRNVVEELTV HVT OJF2_RS19585 MAEVIAGIRIPDSKLAREATDLLREHGTPLLYAHSLRVFLFGAI RGRHRGLQVDHELLYAGAVFHDFGLTPKYRSRDHRFEVDGANAARDFLRANGIGEEQA GVVWDAIALHTTPEIPWHKRPEIALVTGGVEADVLGDGLDEIAEEDRASVLAAYPRLD FKKGIVRAFADGFGYRPETTFGTMNTDVLERTQPDYRRVNFCDLIAANPLGG OJF2_RS19590 MEPVNELTLPGTDVTAADRAQGSILFVGTATVILRYAGFTILTD PNFLHRGDHVHLGHGMTATRRTDPALELEALPPVDFVVLSHMHEDHFDREVERKLDRG LPIVTTPHAAADLTAKGFRAARALKTWETLTVTKGGVRIRITSMPGAHGPGFLAKVLP DVMGSLLEFENPRGGSAFRIYITGDTLLFDELKEIPRRFPDIDLALLHLGGTMFFGVV MVTMDPRQGVEVIRLVKPKTAIPIHYDDYSAFQWALGDTAQPPDDPHGWWRGTNVMSF EEFTAMAKYAAPGTEVHILRRGEAYTFEVPESRR OJF2_RS19595 MSEIVAGIRIPDSKLAREATDLVREHGTPLLLAHSQRVYLFGAI QGRHRGWTIDHELFYVGAMFHDMGLTAKYRSRDHRFEVDGANAARDFLRANGIGEGPA ELVWDSIALHTTLEIPWHKRPEIALMNGGTAADVIGRGIDEIPAADREAILAAYPRGD FACGIIRAFVDGLAHRPATAFGTFNADLLERGLPGYHRTNFCDLIDANPLGG OJF2_RS19600 MGDLKAVVRPGEVRSVWLGGMGVVFKVSGAETRGAFAIVEHPIE PGRLVLPHVHRYEDEYSYVLEGTIGARVGDREVVAGPGSYLVKPRGLMHTFWNAGPGP ARLLEVISPAGFEAYFAELAGASDPDRRQELATKYGVTYSADWVADLASRYNLKLLGH OJF2_RS19605 MNAIKAAACFASLAGLVIPGAARGQPSGAAETSYLPVAVKESAA TIRGRMEAARPGLMRRQMALLGDRYDLGDRPAAGATMSRGKPVQGGVRVKLPAGTTWE ALGAMAPAEVRAKGLFPPGFMPLPHPNHPEGGMVFPRFHIDEIRRQEGRDLTRYDLDF DLPDHFLPEFPAPIYLTTRPDLGDVSRGRVVTLENYFELFNGVLNPKQLEGLRLLVTP FPQQQFNATEDRRSAGPSRGVTCFDCHANGHTNGATHLAPDVRPQSHRHRIETPTLRG AHVQRLFGSQRALRSIEDFTEFEQGGAYFDGDHVIAAKKGVNHLDRPTQVSFMAEFQE LLDFPPAPKLGIDGKLDPREASPEELRGQDVFFGKARCAICHAPPYYTDNLMHNLKTE RFFEPRVINGHAAVGDGPIKTFPLRGIKDTPPYLHDGRLLTLDDTVEFFNLILELGLT DPEKRDLVAFMRQL OJF2_RS19610 MSEDRTTAVVERYLIALAGDTPADPVVRDLLDRAVGRLRLLCAG LLHRSYPRLARPPLNLEADDLLGAVVERLLKAMREARPRTVRQFFALANRHMRWELND LARRLDGQPGAVGLGEGPAPAAPSSGSALGPDARRILEAIEELPEDEREAFDLVRIQG LTQPEAAELLGVSDATVKRRLNRGVLLLSQRLNDLRPDGEPSGP OJF2_RS19615 MSDDARVRGLIELMLDSGCSAEEACREAPELLARVREGWRQFRA VEARLGELFPEAGSVADPETAASGLDLPRVPGYEVIGVLGHGGVGVVYKAVQVGLSRV VALKMPLAGAFTTRPERRRFAREAELVAALRHPNIVQVHDVGEADGQPYFTMEFVEGG SLAEAIAGTPRPARDAAGLVADLADAVAAAHRAGIVHRDLKPSNVLLAADGTPKVTDF GLARHLALGSSLTQSGAAVGTPSYMAPEQAQGRAGEVGPASDLYALGAILYELLTGRP PFRAESAAETMHQVITQDPAPPSRLNARVPRDVETICLTCLRKDPRHRYADADALAAD LRCFLRGEAIAARPENVLQRLARRVRRRPVASASIASGTLLAFALSAAGAWLIRDRAE TANRAAGERAAAEAAADGDLRNMERRLGASAWPEAAAALERAKARLGDGGPPVLRLRL DQGARDLDLAARLDAIRLARTANHEPEGRAAERLDRDYEAAFLLAGIGRDDAPGVAAA AVVASRIRVALVDAIDDWSSWTRDDRRLGWLLSVARLSDPDPTGWRDRARDPASTRSG WALRLLIAGAPVADRCVPLFLSLARRAQAAGVDPVPFLREVQRAHPGDLYANLRLATM LLATDPGEAARYYQAALAIRPGVAAVHNNLGVALVRIGRNAEAEAQYRIAARLDPAEP QFLRNLAITLPFVGRHGEAVETMPRVLRDFPDDAVVHRAFGVGLEARGRLDEAVAEFR RAAALDPKLAQARHALRDGLLRLGRRDEARLVWGEILADGPPEHEAWHGYAELCLFLG QEDEYRRVRRALLSRFGASADPHVAACIARACLLRPAEDDDMRRIEALAARAWGADGS GRRDAHPTSRFLRVLAEYRRGRLDRAIALAREDAPRSLGPPPRLVLAMALHRSGRADE AREVLAAAVAAHDWRVAEKRDQDGWICHALRREAEGLILAGGTEQARARGGAIRIGAE GHRDPPAPGEASDTPSGHSAP OJF2_RS19620 MSGRGRRGRHLSNLGLASLVLAGLAQLQPVSPRAARAGEGRRDA PMSPALAAAIRDADASAVGRLIEAGAGVNARDEEGNTPLILAAFYAGPRCVELLLEKG ADPNAANRAGVTALIRAATDCEKARLLIAAGAKAGVRTADLGNTPLILAARRAGNSRT VRLLLGRGADPPGRNEAGISPIMAGAASGDLETVRLLLDAGAEAGDFPESATSRAATR AAGYRTPLMWAAFNNDAPMVRLLLDRGADPNRSTDYGSPLSQACWSDGVEAARILIGR GARVNARDPFADFTPLHWAAGSESLRPDLARLLLASGADPNAAGGGPVESFAMVPQTA RMIAERRGRTAIVETLAAAGAEEPPRPEPLATPHRPVPERPDDETVIAAAEKALAALQ ATASASRASFLSHVSRQDCISCHQQYLPMAAAGHARGRSIRFDRVAAGELIHAVVANK SRPEYREWVAQAVYHPDASHGFGYELFGLAAGKVAPGVMTDAVVHHLLAIQAEDGRWI TGIPRPPLESSDITATALAIRGLKAYGWRGSEEEFAAGIGRARRWLRAARPQGTEEAC FQMLGLRWAGEPSETMARLIGTLRHEQRDDGGWAQLPTLGSDAYATGQSLYALATFLE EPMADPSWRRGLRFLLETQEEDGTWHVARRAFPFQPTMRSGFPHGRDSWVSAAATSWA VLALSQAAPAGAAPGRPSVAQQPPPVRTPRGEPRVDFARQVRPMLERSCVGCHGAEAP HGLFRVDGREAILKGGASGEPAIVPGRSAESPLLDHVAGKVPGEEMPPRARRGRFPAL RAEEVSLLRAWIDQGAEWPEGVSLASPGAGGSR OJF2_RS19625 MASERRAGARGRGGRRVVLLAYPGADLLDVAGPCAVFDAFGHAP DGAREGTAPGYRLEVVSAGGGTRVETSCRVALVAGRDYRSIRGTVDTLLVAGGEGAWR AARDEPLLRWLRRMAPRVRRIGSVCTGAFVLAAAGLLDGRRATTHWELCPRLARDHPA VAVEEEPIFVRDGNVYTSAGVTAGMDLALAMVEEDHGHDAAMRIARHLVMFVRRPGGQ PQFSAALDLQAAERRPIRELQAWIAGHPSGDLSVGALAARVHMSPRNFARVFRDEVGQ TPARFVERVRVEAARRLLEESAAGLDRVARECGFGGPDSMRRSFLRVLRVAPSKYRGR LLPGPVRSA OJF2_RS41205 MDGPPDERELARLRDLVAASPACMTLPGHLAARLYGPGGGPGGR GDDDAPGRADGVPERVGPYRIVAERGRGGMGVVYEAVDEGLGRRVAVKVLAAGAFADA KARERFRREARAAAGLRHPHLVPVFAAEAPDAGPPYLVMPLVEGPTLAERIAGRRVLD PREAAELARQVADGLAAAHAAGTVHRDVKPANVIVDDADGAAKLADFGLARPRGHEGI TSAGTIAGTPCYLSPEQVRTPDAADPRMDVYALGATLYECLAGVPPFRGGTLDVLRMI AENDPVPPRKLNRDVPRDLETIALKCLEKDPARRYASAAALRDDLARFLAGRPIEARP CGRLGAAAKWVRRNRTLAGLGATLAVALAAGSAASFVLWRRAEGQAVVARDREREAEA HLKAALDVVDRFCTRASEEQLLRQPGLQPLRKKLLGDAVAHYRGFVAARRGDASARAA VADALARLARLQVQLGEPAEAAATVREALALHGRLLEESPADPSRIAAVAGDRVVLGE CLRHRREYEAAEAEALAAAGLFGRLGRGYRLPQASALAGAARAGMFLHPGTAIGGFRE AAQVLEEILAEDPDAVDVKAELASTLHSLASNRMAAEDISTLERARSLWEELVAADPG WLNNRTGLAEAESNLGVLYKWGGRLPEAEEAYAGAIEALGRVVDENPRVLGWRRSLGQ AHFNLATLLSQRAKHAESASQFEAARTHLAAFSRGDPGDVQARLEHAYAALGLAHEQL LLGRAEPAAGAWSEATPTVRDLIAAEGISGRQLAMLVELVQQHAALAHELGRSREGDE LAEAMAAALARRKAADLLGPFFAWRAGLAGAHAPAELPGILDRWEAAAPGSPECLAWR GRVEAEAGRIDQARDLFRRAKAGGWKPPECWLAGPRLEGLLGAP OJF2_RS19635 MPPPPATRESLLLRLADPADRAAWVEFVDVYGPLVLATVRRRGF GHADAEDVTQRVFARVFRGLRTFEYAPRRGRFRDWLGTIVYREVLREYRARGRDRAST MPPEELDALADAGQDPEWADAFQSHLYQVAMGRCRARFEPRTWAAFEQVWVAGRPPAE VAAELGVSVDSVYVAKSRVLGALAGTIRELSKDLPSFSDGR OJF2_RS19640 MKIRTRSSLIALAISALGLGLRPAPADTIPYTSALSYAYNTDDD TPQSVTHSDPATASSVTLGQGGDYGSVGGFASADLSTGQLKVRATDAAQTGYNPYVQT NASFGDGFRTFGPGGSPFSWSPDTGARFTLDLTGSKVSSSGSLADLGYGQAGAYILLS IFKPGTLGPDSSVVGDPNNVAYFLYLLGNPNQQLGYVDPSHNLHLLSPTAYYGDLTQD IHITQDFQPGGDFDWVVLMGAGGGLNGGQSYDMDLSHTLTVGYSGPAGTTTESVSGIF HNFGAAVPEPAGVAMLAIGLGGALAARYLAGRRAPGSSGEAG OJF2_RS19645 MGHDVRIEHRPRRELAVVRRRAAPPELSRVIPEACGLVWGVIRS QNVAGAGRHVAVYLDGEGDVEVGVELESPFAGHGEVVGSSTPAGLVATTTHLGPYGRL HEAHAAIREWCRDGGHTPAGLAWEVYDHWRDEWNADPSAIRTDVFYLLDPGSKPEAES PARPE OJF2_RS19650 MAKRKDEGVGDTRLVFSAHNTHHERCGAPPRLRNTDNPGLYHGY FENRYGEQFVFTFDRAAGTGTVSGGDLGWAEPRTFTRGLLDEALRSTRDLAAQVQGDD ARSQLPAIDHALALGRLTGLTGKDEIVWLRACLEACAGLAEPSGDRHPA OJF2_RS19655 MGQPRLPKSLRRFVEGQTTRTVADIRKGEPKEHRSPYGGYWYRA VACILLSGRVQAKHDGTPNMTDVNRIGKEANFNQYLTERVGTFLVAADVLRFDLQNRY GAGPNLATFWAHDDAKLPAIARRAVRRLVGSQTGHPFDYARAPEDWGLTELLSLFFSC FRGLALVESEVGRVLHDFIRLPQDDLVQAAHGLRLRVDSVDVAGWQKKLDARGRNALV SALYTAEWAYYAEQEKTGWWFPSPTGLSMLGLGPPLPAPELATVMKAQPDLSVFAGAG LNWETLVPLFRHAIIRRVDQVYEFRLDRKRLAASPAGSAPGEELREALRDLEPLPSPV ADLLGTKSKVGGTVGIRRCSALVKPESAEVLAAIREHPQLKGYLEPGAPPGYLLIKSH SRPDNFVRRCQDLGFAVTTL OJF2_RS19660 MSPPRPDKTIDDIFAEFLAAQEAQLGPKTYARYEGIIDLYRGYL ERYWPDHSREDYDAVTRAGGTYCGTYGAADIASGFSEFLGYFMPHKVIAGNETMKAAG TVVNKLTRWLVARGYTEVEEAARGRVREAARDLPASQKLLDTIDDWIEATGPARSGKE IEGHFVIQRVEPKQIWLESLLGGDSEIGPIPVPAAIARACKAGWDIGGVVARTRAGWR LVEVWNVSP OJF2_RS40035 MYAGSDVIEVACWAHARRKFYEARTSAPLPAHAALARIRRLYAI ETAGATLSAEDRQALRQRESVPRLTAFGEWLTEQERHALPKSPIGQAIAYTQSNWAAP CRHPGHGGLSIDNNLAERMLRAQAIGRRNWTFLGSDRGGRTAAVLYSLTGTCRHHDID PFAYLQDVLRRLPSLPADQLEGLLPDVCFASHPAAPEGGRMKPASRAEGVACVSVGSP PRGGRGLRRRPG OJF2_RS40040 MCEHATPPPTDLELCHEIIRQQAETIRAAQRRIEQLEHQLGLVL RRQFSPRRERVDPDQLRLFTEDAAADVAEATPEEAPGPEVAKPKRHWRRKGRQRLPEG LPRKRVEYPLSDPELPCPDCGCPRTRIGEETSEQLEYVPSSLFVVVHALFRYACRACQ EHVVLAEKPPRPIERGLPGPGLLAQTITSKFSDHLPLYRLEDIFSRHGVSLSRATLCG WMASCVKLLTPLHDLMVRRVLLPDIIHTDDTPVPVLDRSLTRTRKGRFRVYIGDARHP YVVCDYTPRHTRDGPARFLTGTAVTSRPTRTPATTGCTPGRT OJF2_RS19670 MLSWPPTVRVFLAADATDMRKGFDSLASLVQSSLTLDPLSGRLF VFRSRRGDRVKVLYWDKDGYALWYKRLEKGTFRFPATATGGGPKGVEVKAADLMMILD GVDLGSVRRQRRYSREPVENPR OJF2_RS19675 MSGYGIDAPFVRDLAPTQIARNQAFFNTLVWDVNRNFQVGFEVD YRKASYIRFLDNEGVNFMTQFVWKF OJF2_RS19680 MINRLSAIFVLACMLAPGQVVAQQTPTLQDFAGRLAAREGLTTI YVARTFLTMDPNKPRAEAIAVRGGEFVAVGTRAECEAAAGKDAKVDSTFEGKVVIAGF VEQHVHPVLAALTMNTKVISIEDWDAIDGFSPAVREEAGYRERLREALAGHKDNKTPF VTWGYHHYFHGAMSRETLNRMAPDVPVIVWHRSAHEVFLNDAALKLTGIDEALIKGLG ESARAQASLPEGHFYEQGMLAIHPRVAPYMASAEQFREGLEFSKTYYHRNGITLACEP GGFVSKPMQDAINAAYSDDATPFNHYFIGDGKSFFAIKPNDAASLLAETRKVEGWGKG RTAYLANQVKLFTDGAIYSQLMQMKGGYTDGHHGAWIVDPPSFDFMFQTYWDAGYQIH IHNNGDAGLDVVLSSIEEAMRRKPRKDHRTVLVHFGFAQPEQVRRWGELGGIVSSNPY YVTALAGRYAKLGIGPERSRNMVPMGDVVENGVSFSFHSDMPMAPAKPLQLVWAAVNR LTAEGEVAGPEHRVTLDQALKAVTLDAAYSVRMEGRVGSITVGKDANLTVLEQDPYEV HPAKIKDIPVWGTMLEGRLQPVAAATRAAAAPRGRGPGLADTVSDLRVEHAAIEQLAR LIGYTCSD OJF2_RS19685 MIASSRAFLVRIIAAYSVAAFGSAARAQVADTIYTGGPIVTVND AQPTAEAVAVKDGKVLGVGSRAEFQGTHQGPATEVVDLAGKTLLPGFVDGHAHFLGFG SQAVGANLLASPDGDVASIDDVVEKLKDFARGPDVGRTGWIFGIGYDDALLGRHPTRD DLDKVSKDVPVIAVHISGHFSAMNSVGLAKVGYTAETPDPEGGVIRRREGSREPNGVL EELASIPQMIPAINARTPEDKDYFLRRGLELAKSFGYTTVNEGRLMAFQQPDLVSAAE RGLLDIDVMSYVDYTAKSLLDTPWFGHSYRNRYRLAGLKITLDGSPQGRTAWRTVPYL VPPDGQQPGYKGYPAIPEMQSIVELLDEAYRKGWPTHVHANGDAAVDQMIAALRAVDV RYPKADRRHTLIHGQFVRKDQLDALKKLDVFASLFPMHTFYWGDWYDRIIGPELAQQI SPMRSALDRGLRVNSHTDAPVALPNLLQVMWATVNRVSRQGKVMGPAERLTPMEALKC ITLWGAYQHFEEGRKGSLEVGKLADLVILSDDPLTIDPMRINTIRVLETIKEGKTVYR ARHGDSKR OJF2_RS19690 MKYLVGALTLALLLLVIVFSIQNHDTVSVSLLFWSMDTPKVFLI LGTYVLGMLSGWGVLQLAKLAIS OJF2_RS19695 MSGAAVELDGKASIIRRETILTRTSIHNGGSTRRDHLKEWGRGL ALTATNAVAPIVLTGCIPTVSRLPTVKVGILHSQTGTMSISETSLRDAELLAIEDINA TGGVLGRQIDPVVEDGRSRFTTVFPKKARKLLSEDKVAVVFGCWTSASRKAVLPVFEE LNGLLFYPVQYEGNESSRNIVYTGSVPNQQILPAVDWLMTEAGGSRKRFYLLGSDYVF PRTANFIIKKYLKSRGFDVAGEGYTPLGQRDFKQAIEQIRKADPDVIVSTVNGDGNVG FYGELAAQGITADKVPVVATSVGEDELRSILPSHVKGHLAAWSYYQSVGTPRNRAFVG RFQREFGYDRVTDDPIEAAHSQVYLWKLAVEKAGSFDVKSVLDALRSGIEFEAPGGKA KIDPRTQHTYKRFRLGRVRDDRQFDVVHESADWIAPEPYPQVAFPGWHCDWTQGGLTK GAVVDINS OJF2_RS19700 MAEFRVHKLEGMQYVDIHLDNETARAEAGAFSYMTGEITMHSRL IPSIGGLIKSLLADEAVYRPTYTGTGVITLESSLGGFHILDLDGESWILERGTYWASE GSVEVSYHRERFLTSLYAGEGLIYLQTKVRGHGKVALTTRGPVEELTLERGKSLVAEG MYVIARTPDVSFKIRRPTKNFFGRYTSGEGWVRVYEGPGKVLLNPAPYWRYWMMVQRG DADYPSQTTF OJF2_RS19705 MFYWIYDVPTHQLALLIAGTFVGFFWIGCILVRPILRLFVRSRS GTNDIVGYVLSCFGVFYGLLLGLIAVAAYQNFSQVEASVSSEVNALTALYQDCRSYPE PHAQNLQWLLRDYCRSVIKYSWPLQRRGLIPQGGRVRLVAFQEKLREFNPQTKADEIH HAETLRQFNTFIALRQSRLNAVTTGIPAVMWYVVIVGAVINLAMVWMFEMRFITQLVL GGLLAFFLGTMIFLIAAMDNPFRGEVSVSPEPFENLYKIMIEETE OJF2_RS19710 MTLIELFKNQMTPEMLGKLASLLGLGQEDASRAVSAAVPALLSA FAGLASSPVGAEKFVSTLRSPDLGSLGGPGDILGGGLSPAEMEKKGGSILSSLLGSGG LAALAGALAKFIGGHPDLAKKLLTFVGPFVLSSILSQFKGRNLTAQGLTSLFAENKES IAHALPAGFQMPSLSRFADAGHAAASTAAGTTEGGLPKWLLPLVLLGLLAAGLWWLFG QNQPSAGPGGESVPIATTPPRAPAPTKKNVELPAVDAPALSKTLAETYKSATLYLTDI KDVPTAEAALPRIQGLNATLDTLRAGWDKLPDSGKASLKSITSDNLGKLKDLVAKVLA IPGVADKLKPALDVLVTKFAGLG OJF2_RS19715 MENQRLREPVAVARPTPGVSALETDDLDRMSQAFLRWDHQFLQL SRGPFRGRIVFAELGGVQLFDLEVNQALQIRGEHAPDSFVFSPVLPSNSGALWLGRSL KPGMINISGPHLDVNHRTSRDYRHTACSVPRELLERVGVGLIGADFGRLFEVRAPEID PAHAEALVDRWRANLNALSSPGRMRAECHGGGIQPHGLVAELVRVLAAGRVADPVRLT SRHRARIVREAVDYAESFPPGRVSTLDLCELTGVSERSLQYAFREVTGLSPKDYLKVL RLNQVRRELRAPGRDWGQIGAIACKYGFDRPGRFSADYRRQFGELPSALVKCR OJF2_RS19720 MRRAFLAAPILLLACRAMALDGEVRIHDPSTVVQCQGKYYTWGT GGGCLVSDDGWTWRRGVRASRSGMAPDLIHVGDRYFMYVAANIGAQPRAAIHLVSNRT LDPSSPDYKWEEGGVVASSDGVEDCNAIDPGAFLDPTTGRLWLTYGSYFGFIRLVELD PGTGKRLKPDDPPVNVAINCEASILIHHEGWYYLLATHGSCCRGADSGYNIRVGRSRK VTGPYIDNMGVDMIQGGGKLFVGSGGRVVGPGHFGLIDLGDGVQKFSCHYEADLDRGG ASVLDIRPLLWRDGWPLAGDNVKGGTFEIESARTGTALELAVEGEPVGGRRLRGPRGP APAAPAPGGGPPPAGPPAPGGPPASGGGGIFGGVPGTIPDQDAAKVSQQWPAGKVDVR MSNYQLQAQQKWTITPVAGVGGYPGSPSFKITVAGTGRALAATEDAELVALPDFTGGP EQLWRIDQLGDGTYRIMPKSVPGSQEPLALSAIGTSSATLARFDPKSDRQRWHLNAP OJF2_RS19725 MRQHAVPLAIALATLAALPGLAAADERGDRAGDVAGRPPSTLNR PKTPTASPDAEGFIRRWLVLEPIPAAGLTQDAVRAAVKAETMSNQTTAIPKDGDSVDV KGSRLTWNAEETTEYNVNLYHFARSLKKRTSNALFWAVTVVHCPAEMKDVRLAIGSNA ASVWWVNGREVVGLYGDRQTVIDDGVSKRLTLKKGPNVVRCAVVNGAGATDFCARFLD QDGAPVRAFTVSLDDREP OJF2_RS19735 MPIESARVSIPEEGLGLRLDALARVGCDKISSDTMSGAWIARPH LERAFPDFREGDALVD OJF2_RS19740 MSMRLRIVTCLALLAATSRGPGALAQEPSSKAGGEVVSLKDITY KKAGDAELKLDITAPKGGGPYPAVLVIHGGGWRAGNKKDCQIMMPDLARRGYVAVSPQ YRFCPKETFPAQLDDVKEAVRWVKAHAKEYRVDPDRVGAMGFSAGGHLSLMLGLTGPS DGLEGPGAEGGADTKVVAVVNFFGPTDLAADDIPQGVRPMVKDLLGATPREKPELAAR ASPLTYVSKGDAAILTFQGTKDPLVPHTQATKLADAMTAAGVPGRVELLLGASHGWGE PDLTRTKEDTIAFFDRYLKGAGK OJF2_RS19745 MSDTNRCPACGAGRPADSPEGPCPRCRMQPAPGRGSSGSDDTAA IPAAVPGGGDPQATGAHTPRPAPAEATGEWSDVPGGQTRTAADPVAPAALPPGAAVRY FGDYEVRRELGRGGMGVVYEARQVSLNRPVALKMIKAGLLADDAELRRFQNEAEAVAL LDHPGIVSAYEVGEHQGQQYLAMKLVRGESLGPLPGRYRDDPRAAARLVAEAADALAH AHSRGILHRDLKPANILVDAEGRPHITDFGLAKRLEADVEMTASGAILGTPAYMAPEQ AAGRRGGITTATDVYGLGSVLYALLTGRAPFGGDTVVETLDALRTRPPEPPTRLNTRA PRDLETICLKCLDKDPRRRYASAQALADDLRAWLASRPIAARRVGVVERAWLWCKRRP TVAALAAAVLIATVGGTAATIAVQARANRALREKNGELTVAYGREAKANADLAAANAR VEQRYNLAMDAIKTFHTGVSEDFLLKEPKFKSLRDRLLGSAGDFYDRLGRVLEAGDDD ASRRALLASNFELAELDAKLGRRADALALHRRVLAGREALAGEPGADAAVKVEAGRSL RAVVSLLKQIGPKSEVPPLLTRAVEFHERLVADYPDDPDARDALAAILVVLGDQLKNV DLKREEAERAFRRSLAIGQALARGRPEEPKYLASQASCLTYLSFLRADEGKYDEAIGL YQGALDLAREQLARNPGDVKLRSELASCQGTMSAWYEAAQRLDEAVEFSRSAVKTWRE LVEEQPAVSGHRQSLAGSRYGLGMALTQSGRPAEAEPEFREALAIQARLVEENPDLRA LRTAITDFHATLGYVLLELRRPAEAESEYRAALALQLELAADDPANPELRDSIALSQF NLGRVLAATGKVVEAEAAYRAGLATLAKLAEEQPTIAVFRGRLAMTHRLLGTLAWKSG EPAKAEAEYDAAMGLSRALVESSSNASTFRNSLASDHTNIGDVIRSVGRVAEARDNYE RAIVIRERLVGEGPDVVVFRSHLAWSLRRRGLARGDLGDPAGAAADARRALALWDGLP ARSGEEWFETACGHSALAGRDGSGVPSSAAAPEAATALWLLDRALRMGFREAHAFRTE HALDLVRDRPEFRLLMLDLAMPADPFAR OJF2_RS19750 MRRAAFTLIELLVVIAVIAVLIGLLMPAVQSARSAARRAQCQNN LKQIGIALASYLGERNVFPMSAVAGTGHGVNQSCFALILPEMEQRPLYAAYNFNVENF DPSNRTVVGAQIASFLCPESPLEQSPLASEDVVRFDGTKYPTGSAFGRCNYAANWGGS QNTLGQDFAKINGGYRGVMLTVKATGPKGPTTCFRTQDIRDGMSNTIAVGEKRDSQGW DVGGYAGSEFDVATSPDFLQTADPAQKDDPLLRKVFSGSFHVGQTHFLLCDGSVRPLR ATMNKAAWYALLTRDGKEVISADSY OJF2_RS19755 MKLVIHPAVEPERLEALRRAAPQATFVNAATAEEAEGAMPGADG FLGKVTPAMLARADRLRWVQAFTASLEHYVFPALVDHPCVLTNTRGLFGDVIADQVMG YVLCFARNLHIYARRQLERRYEPEGGSGARVSFAAGPGVVNAMDRATIFLPGATMGIF GMGAIGCEVARRALAFGMAVRGVDRHPDRVRAPEGVERVDDVVTLPDLLRWSDFAVIA APHTPETTRLFDASTLGFLRHTSYLINVGRGAIVVLDDLVAALRAGRLAGAALDVYEV EPLPPGHPLWDFSNVILTPHTAGYSTAIAGRHLALLADNVGRFARGEPLRNVVEKALW F OJF2_RS19760 MSTHRPPAFFLSGSPGLDFLNTLATPVDTQVDWIDDGHGLLAWL EQAKLVPAKDLRALKARAIPGELDAVAAQARSLREWFRGFVRARSGRRLTADDLRDLE PLNRLLGRDERFTQVVAGPTGGASALEVEQVRRWRTPESLLLPIGEAIAGFVCGGDFE HVKACEGSTCTLLFVDRTRGRARRWCSMAICGNRAKQAAHRGRRKAGP OJF2_RS19765 MRAILRTAFGGPEVLVIREIPEPEPMEGHAVIEVKAFGLNHAEL HMRKGEWAEIADVSGIECVGVVRSCPGGEFAPGTKVAALMGGLGRTINGSYAEVTRAP VANVAAIESGLSWADLAAIPETYATAWTCLFRNLEVAAGQTLVIRGATSSFGQAALAM AVNAGAKVVATTRSRDRFAMLEGLGAARAEVEVADLSTRIAEAGRIDAVLDLVGNSTI LDSLAMLRRGGRACLAGWLGGLAPIADFNPLAQMASGVYLTFFASFVFGKPGFPLSDV PLQAIAADVEAGRYRARPARVFRFEEIREAHRVMESNEAGGKMVVVLD OJF2_RS19770 MSPTAIPTRPRGDEEPPSVVVFDVNETLLDVEALGPLFEGVFGD RRVLREWFGQLVLYSMTATLSGLYEDFFSLGRGVFEMLGAAQGVAVEAADVEALREGL LSMPAHPDVEEGLGVLKRAGFRLVTLTNSPPNPRGESPLEHAGLAGHFERQFSVHAAR AFKPTPHVYRMVADELGVTPPGCCMVACHAWDTIGARAVGFSAGLITRPGNAPLPAPG LPWPDVVAPDLPALAARMIERWRS OJF2_RS19775 MSGPSLPPDYPALLKEIKDRIAHAQTRAILSANAELVRLYWDIG RIIDARQEEEGWGAAVIPRLARELKNELPELKGFSERNIKRMLAFHRAYRGAAPIVPQ PVAQLPRPPKSPQMLAESSTPADVPQPASRFSGALLWSVPWAHHVILLEKIKDEPTRL WYMEQTVANGWSRNVLLLMIQSGAHVRQGKAVTNFDRLLPDPQSDLVRQALKDPYIFD FLTLEEPFHERELETELLRQLERFLLELGQGFAFVGRQFRVDVGGSDFSIDLLFYHLT LRRFVVIELKKGEFKPEYAGKMNFYLAVVDERLRHETDAPSIGLILCQDRNQVVAEYA LRGASKPIGVSEYELTRALPASLRSALPTVEEIEAELGEPLAGTPPADAPPPAAGDEA AGGRRPAKRSAKSGRRKGPPPGSN OJF2_RS19780 MANWDVFHADRLELRRDLDDEAVRAAFAAGELRDDDLIRPAGTT TPWARLADFPELLAPPAAPSPPPAAKGPEPTPPAAPPPPQARPVPPDFEEIRPGFGAE APAPAPPPRQPTELPGSSSSDVAFPVLDEEMPALPTPSPSSADDRRALAALTGWVWDD EEDEDEPEFDEDEDEDEDEADLHAADDGNGSDIEILADEEDLADLPPPRPAAASPAPP PRPLPPTADAPLVSAMDEPADLGPDDLDPHFGRGGRGVGSSSSRLALPVVASRDRDVA GPPGDAEGVDEEEETFSLSRAATEKIEELDLAPMVDVAFQLVLFFMVTATTVLYKTLE IPKPSADAPAGAVAQGRSRSLDDLKDDFILVEIDASGAMKLDREPIEADRANLVELLR RAREKTNRKTMLLSADFATLHRNAVLAYDAANEIGLGIAVAKPAAPQGPAPTLLPGGP RAPGTPAKAQTSAPATPS OJF2_RS19785 MLLRREATDERDEHIDMTPMVDVVFQLMTFMLFSVQMTGGEKVD VPPARHGVGVPESQAVFLTLTKPDAPGGEARLLLGHGEGPVIGLDQARKAAADAVRDG RRKVILQADGDVPHGEVLKVAAAVSEVEGVTIHVGVQEPLGPK OJF2_RS19790 MNLTIRIIDSFGYAIYGALALLAVWGVYNGILLYRTLRKKTLAD PRPLISQVQELCQARKFDAAIAVCQSPAYWHKALAQLIAVALQNRDKGLAKIRQLLVT EFHTEVIAGMENRLASISTIVRMGPLLGLLGTVASMIAAFSRIGGAEQVNPRQLASDI SLALWATGSGLLIANPMMLIGNDVHARLRRLRDRTERQLQDVIEILEPADPAAPTHGV PAAPPPARGGRHEPGPSRTGYPR OJF2_RS19795 MPRTVLIVDDERDTNDILASLVRARDFEPIQVYSGERVLAAVAE RRPDVILLDLMLPDMDGFAVCDKLKRHRETNLIPVVMVTALHDDDHRLSGVRVGANGY LRKPFSPAELYAAIDSALKWHDEHQTRGTQGEINFDIRSELTYLQQAQDMLADMFAHT PLTERQVKDLKQAIMEMGGNAIEWGHNKNAELVLRITYRIDAEKITLIIEDQGPGFDP GNIPHAASDEDPIGHIEIRNEMGKREGGFGIMLAKGLVDEFHYNKKGNEVTLIKRFIP AS OJF2_RS19800 MRDQSLPAGDFRWAVGIENTFVPQTRSGHRRLDEYELMGHYDLW RQDLGLAAELGVSTIRYGVPWYRVNPRPGVFDWSWTDEVLDDLVREKRLTPIVDLMHY GTPLWLDNQFVNSSYPQRVAEYAARFAERYAPLVRYYTPLNEPAVTADFCGRTGLWPP YLRGDDGYVKVLTSLARGIWRTAEALRAARPDAVLVHVEDVGLDTTGDPGLLAQAEAA QGRRLLPLDLMCGRVVPGHALFPWLLEHGCTESELLELAARAPRWDVLGVNFYPWTNR RLVRSRSGRLRQVADSPASALKTVLGMVHDRYGLPLMVTETSSTGTDAERAAWLEGTL GAVRDARREGIAVTGYTWFPLFTMIEWKYRWSRKGLLDHLLHLGLYEVHPARGRMDRV PTRLVDSFRRCIAAPEQSVGEFAGPTPMASGLVA OJF2_RS19805 MNDAAATQARTFANPIRGVALGDPFVLRDRGRFYLYGTNDGPPL ADGRAIPVYRSDDLIEWEFLGGALAMSDPAADHWAPEVLPWNGRYYMVVSFGDVVHRG HALWVAVADRPVGPFELRARVNGPDERFSIDGSWLLDEDGRLYLFRCLDFVAEDDPPH GTGIVVQPMEHPLGPAGPSSVVLRAGAPWHLFEADREMPLYGGRRFAEWTTVEGPAPV RRNGRYYCGYSGGNYTGHYGTGEAAADTPLGPYRDLRGLEGPLFATTPGLVEGPGHFS VVRPDLVHDWIVLHGRTPGEGVRRVWLCPATWGDGGVSIGALTDRPQPSPPLPTDLYR AGVTAPGSLGSWDFESGRWAEDGGELRHEGRGPGRAWRAGLEFEGDWALEFYLRFPSA GGHDRGGLVLRCGDAESTVVVDRALGRAAFRGPGGPAVAKLPTLGPEPFDMRAFHAVA LTCRGGVVSVHLDRVRLFSALAVGDGPPRIGLLADGEVAFDALSITPAAS OJF2_RS19810 MDIHPSVAEGAGVVEARPDAGPTPSTSWVRLGPGRRYFETEDGR PFLVIGQNDALTWPDLEGLLGRRDPGAVDRHLAWLRKSGVTTLRVMLEYVGDGLYLES QPGEFDPVVVRAIDDLVALCERHGLRLLLTPFDTFFTWVLWDSHPYNAGRGGPCRRQL DLLTDPDGMAAVKRRFAFVVERWGGSGAIFAWDLWNELGHDHGVASEAIGPDLAATLI GVVSELSHFVRGIERSRFGRAHLQTVSHFGAQPEGPLADLIFRHPDLDFATTHVYEPG QIDSPTDTVGAAEAMARWVRHALAEIRDGRPFTDSETGPIHTFKDLGITLPETFDLNY FRHMSWAHLASGGAGGGMRWPNRHPHSLTPGMRHAQGVMAEFAGRIDWPAFASRNVTA ELKARPEEVAVHGCSDGRQAVIWAIRSEGSAGPDGDLCFRPLLEGASVELPGMEPGAY RVDHVETANGHGLAAAVVECRSGPLTLDLPPFRHDVAIAVRPAAPGRGRP OJF2_RS19815 MPRRPAMVGREAPVLICHSHLRWDWVFQRPQHLLSRLAREWTVI VEEEPVFDDRPAGLDVLDVGGGVTVLRPHRRADVDHDLGRLVEGYVAMARGRRPLVRW FYSPMFAAYGDRLGPGQVVVYDCMDELAGFAGAPAGLKEAEDRLLERADVVFTGGRAL YEAKRDRNPGVHCFPSAVEETHFARALDPDLPLPADLAGLPRPILGYYGVVDERLDYD LIAALADDRPEGSVVLVGPTIKVDPARLPRRPNLRYLGQKGYAELPAYLKGFDVCLMP WALNEATRHISPTKTLEYMAGGKPIVSTAVQDVVRDHGDIVLVARDAAHFLGLARQAP ALFDADREEAGRRRAKGMGWDGTADAMRRLVEGKLGEGRRRPRAARPPQSTRSLIVGG GPAGLSAGLHLDDSDFILADRHDRAGGLCRSIVQDGFTFDYAGHIFFTNDPYVDGLFR GILADNFHEQQRESWIHLYDSYQRYPFQGNLYGLPPEVIKECLLGVIKAGRDADAPAG NANGGRPLNFLEWSLRTFGEGITKHFMQPYNFKVWGIDPARMSSDWIAGRVLTPSLDE VIDGSLRRGRGDMGPNARFGYPLRGGCEMFVSGLARRVEARGGEFAMRRSLVSIDPAR KRATFRVGGRGEGGELRTIRYDRLFPSVPLPELIEAIDGAPESVRKAAAGLPSTAVVC VNLGIDREKVTEKHWIYYPEGQDKFLFQRIFVQSNASPHTAPPGHSALTFEISHSKYK PLPVKGKRAMVEACVAGLKRTDLWREGDQVAFEQVLGMPHAYIPFTPDREGRLAVINA YLHKLDIYPIGRFGEWKYVNQDGAILSGKRVVESVQADGKLQPEAAAPAPAEASPPQK RPLAVAMSAEILGNGHGNGHANGNGKGPARLAADL OJF2_RS19820 MPSMNPDDAPINDFAGTAVLLTRAGMGQAEPALQQKVLQVFLTM LLENGQLPRAICLYTEGVKLAAEDSPALDLLRGLAAEGVPVIVCKTCSDFYGLTDHLR VGVVGGMGDILAAMATAAKVITV OJF2_RS19825 MPLHARNPIRDHLMDDVYASNDLSLAIPKYRMPAGEHDPRSAHA VVQDELMLDGNSRLNLATFCQTWVEPEVRSLMGESLDKNMIDKDEYPQTAEIESRCVH MIADLWHAPHHANTIGCSATGSSEAAMLGGLALKWRWREKRRAAGAPADRPNLVCGPV QVCWHKFARYFDVELREVPLEPGRLMLTPEEAVAHCDENTIGVVPTLGVTFTLKYEPV AEICAALDDLQARTGLDVPVHVDAASGGFVAPFLQPDLVWDFRLPRVKSINASGHKYG LTPLGCGWVVWREKADLPDDLIFHVDYLGGDLPTFALNFSRPGGQVICQYYNLIRLGR EGYRKVQHACRETAAFLADHVAAMGPFDLIHDGRDGLPGVCWTIKPGTDPGFTLYDLA DRLRYHGWQVPTYPLRPHCESTLIQRALVRHGFSRDLAKVLLRDLRASIQFLHDRPNR VPLGPAEAEGYHH OJF2_RS39335 MTKTIGQAAGGRAQAPPQRMTVAEYEGLIESGVIDEKAPVELVD TPEARL OJF2_RS19830 MTRWLALAYVIVVAAPALGSDEEFERSVRPLLVERCQKCHGAGK SSGGLRLDSREAILKGGDTGPAAVEGKPDESLIVQAIEQRDELRMPPKGKLEAREIAV LRRWIEKGAAWPSPGGATEHTKAKETVAATAGRDWWSFQPLRDATPPAVKDEAWPRDE IDRFLLAKLESRGLKPAPEADRRTWIRRATYDLTGLPPSAEEVDAFARDDAPDAFARL VDRLLASPAYGERWGRHWLDLVRYADTAGENSDHPTPHSWRYRNWVIDAFNRDVPYDE FVRLQVAGDLVAAGGPPEKHAEGIVATGFLAIARRFGHDIDKDVHLTHEDVIDTMGRT FLGLSIACARCHDHKYDPISARDYYALSGILQSTRFSFPGCEPKPLPRDLVPMMPAEA WARTIRPHREALEAIDAELKRIADQVAAESRRLFAGGVSEADVAARGSIPDGGSRAFG DAPGAKIEAVEVEPGTMLRLSVAPLGNHGADSTRVELEIAEANGPGRWDLVADVLDDL LAGNPHADRLGHQAVWWFLDGRPGRGPLPEPVRELGGNKGLHVWRDGDTPSVFANARP TELPVWTKLPPRSVFVHPAPDGPVAVGWVSPIRGRVTIKGRIVDAHPGGPDGVAWSID RLEGDRRDALNRLAGLNARRTELARRRAELEAKAPRPELAYAVAEGTETDSPIHLRGD PEKRGPVVPRRWLEVLGGQAVPQGKGSGRRELAGWLTSPTNPLAARVMVNRIWQGHFG RGLVATPSDFGTRGMPPTHPELLDWLASRFIKDGWSVKAMHRRILLSAAYRQASTGDA HALELDPDNALRGRFGRRRLDAEEIRDSLLIAGGSLDPTPGGPHPFPPESSWSFTQHN PFQAFYETDRRSVYLVSLRNRRQPFLGLFDGADPNATTPERQETTVPTQALFFLNDPF FHRQAGRLAGRAIAAGPGESARLDELYRLALQRAPRGSDRATAAAFLARYAAELAGFP PAEATRQAWSALARAVLASNEFLYQD OJF2_RS19835 MTDPSPRTNRRGFVRSAVAGTILMPGLLHELLAAEDAGDPLAPR ASHFPAKAKAVIFLFMSGGVSHVDSFDPKPRLAADHGKKVTFDHPETRNRPGYESLYL KRPGWAGSPRGESGIEVTDLFPCIAGRVDDIALIRSMHTSHSNHYNATLGMHTGSFTF ARPSLGSWLSYGLGTANRDLPSFLVLAPQVPYAGTQVWASDFLPAVHQGTRVVPGAEP IADLARRVPTRRRQELELDALRALNAEHQQTRPNDSNLAARIRSFETAFGMQAEVPAA LDLSAETDATLGLYGLRRGQVDGFGWQCLAARRLVERGVRFVELIHTGSSGNWDSHGD MADHGRLAPQVDRPIAGLIQDLKDRGLFDSTLVVWTTEFGRTPFNNTPENLGREHHNW AFSSWLAGAGVKRGIVHGATDEHGIRVAEAPVHVHDFHATILHLMGLDHTRLTYRHGG RDYRLTDVHGNVVKDLLA OJF2_RS19840 MEPPDTEANEASHPPAGDGEMAARVRAFDWSATPLGPPAGWPRS LRTIVDLLLAHPMPSIVLWGPDLIQIYNDGYARIAAGKHPAALGQPTRECWPEAWHIN GPIYERVLARGESVLLEDRLIPLARQGVLEDAYFTLTYSPARDDDGRIGGVFLTVIET TAKVRAERERDRQDAHRRRAEERLRDSESRYRAFVRASSDLVYRMSPDWTELREQDGG GFLARAESPSRSWLDDYILPEDRPHILAAIRDSIEGKRPFDLEHRVIRADGTVGWTHS RAVPILDDRGEIVEWFGAARDVTDRRRAEEVLRASEERDAFLLRLSDAMRPLADPAEV LTTASRLLGEHLGVNRALYAEIEGEGDARECLVRGQYASRVSPFPGRASYSAFSDRGI GERLRRGEPLVVSDASTDPTIGAPQRAAWLAAEIRSTVTVPLVKQGRDVAVFAVHGAR PREWTAAEVELVREVAERTWAAAERAEAQAALRESRRQLARELEDAKTLQRVSSLLIE DEAGGDLHEQILDAAMAILRADFGSIQLFDAGSGELHLLGWRGFHPDSAEFWRTVSIR SGTSCALALRHGERAIVPDAHAAGSPLGAESLRHFALSGIVAMQSTPLTTRDGQLVGM ISTHWREVHTPGPRELRLLDVLAREAADFFERRRVREALRRGESRLRMALAASRMGMW TWDVARDAHRRDANLNALLGLDRVETVRPLGDFLARMDPGDRGPAREAFEEAARRGRP LGVEFRVVRPDGGVRWLRDQGDASGEGADGDLRLAGVCVDVTDLKEAQAALRRANEEL EDQVARRTAALAEAMAQRAELTRRLAAAQEEERLRISRELHDSIGQLVAGLMLSLKAV ETAEALPPSLGGKLADARSLVDEVGREVHALAMRLRPTSLDDIGLDAALGQLVSDWSA RSGVPAAYQAAGLDAGRLPSEIETTVYRIVQEALTNATKHAGAGRVSVVVTRTAGHVT AVVEDDGAGFDPDATQGGRLGLAGMRERADLVGGELLVESGPGSGTVIVVRIPAPGDD GR OJF2_RS19845 MVPSRYDRLRPLATVASLLAIVAGLTAHARLRERFHPPAAVEAP PDRPEPSAQVVVVPPAKEAPPPSDAPPQPPAAAPPAPAPAPAPAPPLDIAAVARATAA LDAASRDRARAEARAKDAAGRLQAAANQAALDAANARKLASRIKDPSTRITQVAARGG FLRADVDKLRKEVAALRALPRPKATSILTKSPVARPAAGDEFHFELRRNRISFINLER LLDLAKADAQLRIRMADRTGLIQSQVGPVGSFSLAYVLGRAGTGIDELIERQSIRFDL KGWEVVPEHESRGEAYEATRNPISAYAQAVNRLTAGRSVVTFWVYPDSFPLFRALRAE LTDRGFSVAGRPLPEGMTIRGSPMGSVSAAQ OJF2_RS19855 MRQPGSVWAERALVALFLASLAGALNLVVTIHRDAARDAREKAR IEAERVAEAAKPAPAASPPAPGTPPPAVAARPKPEDVPAIQPPPAPAAPPEDPTKAKL AAVADATAREMAAAKEADAKADASERAIANALAESGRWKRRELLVSQQVASIADRVRK IDQQVDALAFERDVLARERDALKAAVVKGRQHEGSYAVLPYKGPNGSWRRPIVLECVN GTVAMRPNGPVFSMLDLASMINPRSSPVILAIARELLKVQGSDSPDGAPVVPYFVFLV RPDGIRPYYEVRARLEPLGMAFGYELVEQDMKIDVPDFDDVRTWDGTIPLEEPLEAAP DGKDAKGRKGAGPAGNGLARTDRGADSARPDGGDVGLNWPGGGRDGEGPGPGGLAARG GTGEAKGGAGGADDDSPEAFVWPRPSARGSRPSAGTGATDGPDGLGGGPVAGPRRTPR SPIAAPRGGMFEPDGTVAKDRRGGGIGGGPPDDQAGAGGGGFTGPRGIKGTNPPGAGI AGAGDGARKKLGQAVEDWTAASRGSKSTGRIDPRLGGGTGAGSEDDLRQKGWKVVPDL EAADDSPATPGGGGGTAGGGGLGGSRAGASGSGSPSGVAPPSSSSASAGTSSIPAPEP LVLDGPDGGAEGGSPAAGGRGRPRGETIGDPAKPGSGPSGDEPGGLEWQAGSGKPPSS PTAPAAASGGSPARVGKPDAGGGAAEGPAGTSAASAPAGSSGGVKGSTGTAGPSAPPS GLGVSLGSSLGSGPPPSSSGGATPSMGGLVFGSSDAGQGSPGSSSGSPGKADGEPDFM PSAKGRIPEGPSKPIEVPFEITVACEPDGLVIHPGGYRITAGSIKDRRKDSLLVRQLL AVANQRAAADPLIRPKPRVKFLVEGNGSDTFWAARKQILFSGLGWPMSLQVTGDQQGP RLMEPQDW OJF2_RS39340 MLSDQGIESELSHAYLHAVASRAGFACEVSGRHLNNAAVDAVVR EDGRRLDPSSVLTSFDHHVQAKATAIRPIEHAGSYSRRLPLNQYNRHEEHAGVFASRA GRPLSAHFSVPMA OJF2_RS39345 MSRGSQALDLANRVRTPAIERYAEGLGWTRVPAIGGSIAVYERP DSPLHQLIVPLDESYDDYGETVLEAARKLAAFEGKPLAEVLALLVKPSEGRRKPATRV VPAVPSP OJF2_RS19870 MSEGAYFRDADDYHDWWSYSGTALGASSPGETFRMLDEQLSQAG ANAVIAARFLDENDRGIDPQLWRRAFVFGHVGLQVSLTLRALEAIGAVGVVRALRSSP VERSPLSLAQEMVRSGNLAPGEAAEAIKGVRESLAVGLAHILGDVPDGLPSAIPQPRP AEGVETREDIRRLLDAYVSAHRDDLARDVARYGDPRKHPDFDPEAAREDRARRIKRLN HLSYQRNAIDGLREQMGKLNSLAQKEPPESPRLNKVLRKVLDEYRSLADNSPEDLTRE VQGWLREVERFRDAHPEVLRPKASRDERVNARLAAIGPYEVSYDRDTPSIWWDDPAGM ACDWAALRLGFHLVLEKRPAPSRVAATLDALCDECGRLQTRWPDLRTGLERHVVDFFR RVAAGHLPADDRAAFEGDDGEFSAGKILAAVEGGTIVLTRHFEQPVHTVIHFDASWDE EHGVEVQLDEDGEILSWF OJF2_RS19880 MPRIPDLHRELYSQEERLRQGGGEEGVARQRRLGRMTARERIAG LLDEGGGFFELALWSAYGMYPEWGEVPAAGVVGGIGWIDGRPCMVVANDATVKAGAMF PQSIKKVLRLQRIASQFRLPLVYLVDSSGVFLPLQDDVFPDEDDFGRIFRNNAVLSAD GIPQFAAIMGNCVAGGAYLPVLCDTVVMTEGSHLYLAAPALVKAAIGQDVDPEELGGA AMHAAISGTADFREPDDPSCLRRLRSLFGLLPRLERGRLLPEESAAIAAGPAREPEGV YDLESSDGRSGYDVHDLLACVVDRDSAQEYKAEYGPTLVTMYARIGGRPVGIVANQKR RCTSGLGELQIGGVLYPDGVDKAARFVMDCNQSGLPIVFFQDVQGFMVGKAAERSGII RAGAKLVNVVSNSVVPKITVIVGGSFGAGNYALCGKAYDPSLILAWPNARYAVMGPGQ ASETLLSLQVRAAEREGRTLDDAEVLRMREAIRAQYEAQSDIRYGAARGWVDAIIAPH ETRRWLDMALRLIPAAPDPRPFRTGVLQV OJF2_RS19885 MTAPIRVGNAQGFWGDRSDAPAEMLALEPGLDYLTLDYLAEVSL SILAVQRDRDPSLGYARDFVEVVRSLAPYWRAGGRCRLISNAGGLNPRGCAAACAEAL RDAGTPPMPIGVVEGDDVLPILRDSAGGAGAPDDFRNLDDGRPISDVLDRVVTANAYL GAGPIVEALAGGARIVITGRVADPSLTVAPCVHEFGWRWDDHDRIAGSTVAGHLIECG AQVTGGISTDWLEVPDPSRIGFPIVEVAPDGTCVATKPGGTGGRVDARTVTEQLLYEI GDPDNYLSPDATVSFLGLRVEDLGGDRVRVAGARGRPAPPSYKVSATFRDGYRAQGML TISGRDAAAKARRCGEIVLDRVRAAGYSLREATIECLGAGDVTPGLPGPVDRSDLREV VLRVAVADESRAAVERFSKELMPLITAGPPGTTGYAEGRPKVHQVFRYWPCLIGRGLV TPRVSLIGPEDA OJF2_RS19890 MIRLGRVARARSGDKGTGANVGVFVETPAAFDLLREELTAERVA EHFRPMGVSDVTRYELPNLLALNFVLRGILSRSTRVDAQGKALGQAILEMPIAWPDGT LPGD OJF2_RS19895 MSETLLLIERTRPGVAELVLNRPGRRNALTIALMDELAGAVWRL SHEADGRVLILRGAGPAFCAGLDLREASDPALAEEGAEAVRRVFNALSRTPLVTIAAA HGVAAAGGAGLLAACDFAVASEDLRIVFPEVRRGLVPALVATVLKRKLRDADLRELFL LAEPIDAARARSMGLVHRVVPGTTAREEADRLARQILRGGPQAIRSTKALLAGLNPPG DEDVRIAMEHHIRARVGEESKEGLAAFLEKREPNWA OJF2_RS19900 MATTTTTTTTGTTAAPRDGRESERDRQIRQAEELLFSGPQRLGV AKGLFWGRFVADWVMPYPRLSAEEKPGVEAALGELRRFCDTSLDAADIDRRADIPRSV IDGLAGLGVLGMTAPSALGGRGFSQAAYCKVMEELGSRCSSTSIFVNAHHSIGMRALL LFGTEEQKARWLPALVRGEKLAAFALTEVEAGSDAANVQTTATPSEDGSHYILNGQKR YITNGGIADVLTVMARTPAAGRDGTAVTAFLVTPDMPGFRVVEPRMEKLGIRGTATAK LAFEDMPVPRENILGPLGKGLKVALTVLDFGRTTFGACCTGSAKTCLALAIRHARTRR QFGRTLGEFEMVRSMIARMAASTYAMEAMTTVTASLIDRGLDDYMLETAMLKVWSTEA LWTTVNDAFQLHGGAAYFTDHPMERILRDARINQIGEGANEVLTSFIAAAGMKGPGEH LRDVRDALFRPFEHFGLIARFAAEQAGSRLRAPDVPVRSRPLRPAARELGRLIRRFAL SVQGAMVRHREEIIERQLVHRRIARAAMELYASACVLSRRDAELSGAIAPDDAHGLGG AAAMLFLDSSARRIREELRALNDNDDSRVADAARSALGS OJF2_RS19905 MPDPHTPWVDGLTIGRVLGETARRHADGDALCFPKLGFRCDYAE LDRLVDEAARGLVALGLEKGDHFGVWATNCPEWVVLQFAAARVGVVLVKINPSYQVAE ARYALAQSEVRGLALIERFKACDYFGMVSEICPELASSAPGDLRSAALPNLRWLVAIR HDAPPGMITWDDLIRRGREVPAGAFAEREAAPLPEDAISLMYTSGTTGPPRGALLTHR NLLLNAYYAGANMRLDGRDRICIPVPLYHCFGCVLGTMVSAVYGAAMVFPDESFRPEA TLDAIERERCTMIYGVPTMFIAELEHESYPGRDLGSLRGGIMAGSPCPIELMRRVTAG MGAREITIGYGQTEASPLITQTRCDDPIELRVGSVGRPLPGVEVKIVDPATRAEVPDG ASGELCARGHGVMLGYYKMPEQTARAIDQEGWLHTGDLARREPNGYLRITGRLKDIII RGGENIAPHEIEEVLHHHPKVEDVHVVGVPSRKFGEEVLASVKLRAGQAATEEEIRSF CAGSLAHYKVPRWVRFVDGFPTTVTGKVQKFKIRERAIRELGLEADTRTETA OJF2_RS19910 MQVEGRTILVAGGSSGLGAACVRRLAARGARVVIADVDPAGERL SAELGDRAVFSPTDVTDEASVAHAVGLAVDRFGGLSGAVACAGILGPEKLLAREGVPS GANFRRVIEVNLVGTFHVVRLAADAMRAQPPGDDGERGVIVTTSSIACEEGQAGQAAY AASKGGVASMTLPIARELARHGIRIVSVAPGVFETPMIAALPPEARRSLAEQVPFPSR LGEPDEFAALVEHVFENRMLNGSVIRLDGAMRM OJF2_RS19915 MAVGVEERIARLGMVGIEVTPGVCGGDPRIAGTRIPVWSLEQGR RLGASEAELLRDDPMLRAAGLVNAWTHVPAHSAEIEAQIRENEEA OJF2_RS19920 MEPATPETFVGIDVSKARLDVAIGDEPPFAVDNDPAGHADLAGR LAPRRPRRVVMEATGGLEAAAAAALAAAGLPVMVVNPRQARDFAKAMGYLAKTDAIDA KALAHFAAAIKAEPRPLPDEAARGLDALLDRRRQLVGMRTMEENRKATARGRVLRDLE AHLRWLGEHIEEIDRELDERIRSSPAWRERDDLLRGIPGVGPVLSRTLLAGLPELGTI SHRRAAALAGLAPLADDSGRRSGPRRIAGGRGQVRAVLYMAALSARRFNPALRALADR LEAAGKRPKVILVAVARKLLVIANAILKAGKPWDPEIAAKLAQNA OJF2_RS19925 MMRRLRRSFTVLLGWGIAFPVLAAPAMAQRTDPSPPYRHVDAST IDGKVLCGYQAWFRCPGDPDDRGWSHWSRDGRRIEPGTLSVEMWPDMAAYGEDERYQA PGFTLPDGSPATLFSSANPKTILRHFRWMAEHEIDGAFAQHFLVGLPGGPLAELHPAR RRVLDRVAEAAEATGRAWAIAYDIAGMPHNKIYDALTSDWKRAVDSGLVAGTSYLHQD GKPVVQVWGFYRKSPSNAMTPELAHRIVDFFKAQAGGKYAAYLIGGGDWDWRRDEEQR KVVYRFDAYSPWNVGNWRRDAKGDSHASTGWWDEDRRDCEGHGVLWLPVVYPGFGWDN LMRKPAGTTTIPRRGGAFYWEQFRDLRRLGVRSAVVAMFDEVDEGTAIFKVTSSPPTQ AHFLTFEGLPSDWYLRLTREGARLLRGDRPDSEAIPITP OJF2_RS19930 MVIGSLGMFVALVAASQVGRGVVVGEVVDAAGRPVAGAEVALAA GTLRDGSVPVLAAGTTDGSGRFHLRRPPGARAGGQPVDTAGAVWIRKDGFGLGVSDLL REDRDDRVHRVTLEPAAARRVTVVDEKGAGIPGVRIAPRIVVAEGTNYLGVAVPDAWL DRLAAEADAGGVAEVRSLGRLMDVRGYRATIPGRGAHTLMIPFAASRGDARRTAGPPV RLASRIADDAGRPIAGASVEVWVRTGVPQDDGRSTYFLMPERLPAGRGPSSSGPDGTV EIPDGPLRGSSCRLVARAEGFEAAVSGWVALSGEKVDVPVPGLRRLRTVEGRVVDRGG APVVGASVFTQGRSVRATTDAVGRFRLEGVPPAPTFLFASKEGFRFRGRMLEGPGAHA TIELARADEPPARIMRTLPDPIPLDESRGLARRVLDPALKEAIAKGDDASKLWLLRVL RWLDPPAILEQVERIKVARGTTADYLKGEAALGIAPADQDEAASVAETIADRAYRAGT LVDLADAAPSSDRARKLALLDRAAEQARAAEPGSNKYFQMGEVAEHWLELGEREKARA MLAEGFALVRELPPLKRTDAGSFLGHMAWLDPKAAVELMRGVGPDRWHERILANIAIR AAHEHPAEAEEVLNAFREPTWRVNAVPRICRRMAGKDPDRARRIAASQPVSSERAYAW TFLALGLRASDPAASREALDRAVAEIDAVAPGDPRESWSGNAAASILPLVEELAPDVV EEVFWHAVALQPADEDPREDLGGNGGEGRSDLPLLLSRYDRAVAATLLAPVDEYLRGI PNRADGDNATPAMILAVGAIDPRRAVEVVEGLPKARSRSINDSTNWARQTLSDQLAMP PDRRWMRIWRFHAGCGIAMFEDVYRDL OJF2_RS19935 MSRATPPAPAPSPSPLPIFTLLCHRHVEWALCCLRSLHAHLRDP FVHSLQDDGTLTDEDRARLREALGDVSILCREELDDRVAPALRDKPNCRAYRERQAFA LKLIDSALLADGAFAQCDGDILFLRPAEGLDRRGLGGGEGFVFMKDNHTAYSVSYRNR HFGKGRIRLPQFVNAGFMYAGPGAYDLDFIEWFLGQDRYLSPTWVVEQTAWAALAGRR PSRYFAPDQVAFPVPSRRPDPARCVALHFAGKTRARLDDRGFLEQLERDARPYQGEVA RLETVPTHFVGPVKDFCGRVYGRLSPKET OJF2_RS19940 MLDTETRALESGGTSTGPAYLMAVRALNDYGKTGGLLVDVGCGK GKLWSHVSGMFSDYIGADVIRYDGYPDSLKFVKVDLDTGGVQLPSDHADTVASLETIE HLENPRSFVRELVRLAKPGGVVLVTTPNQQSVISLLCLHLRGHYRFFKEGPGHYPAHI TALLELDLRRIAQECGLVDVKIYYSNLIQIPRTVRRLPGIFRGRLFSDNIAVIGRKPA PA OJF2_RS19945 MARKKASPTSRHEAAEAPPLEDASGKTITKAEAVRQALSAGMDA PGDIADFLKSQFGLEMSKPMVSAYKSLAKKKAEATMVKLPRGRKPRAAVEGYLAPPRI EAKGDRDLLNALEAMKPLVATLGVEKVKRLAELLG OJF2_RS19955 MRAIPRTIARTHAALLLVGLGGFLAGLIAARGGGEALARAQDHA KATRSGVKAPVEEVLHCPLAFAGVHLEKAEPSRAQVAYHFCKPVHDGLSQCLLYDGTG PDARLIGVEYLVGAATYDAMPAEEKAYWHDHHFEVDSGLLRSLTQAGDEEKRTLAKVR TLYGKITHTWSRGEAYPEGPPRLFWAVTGEAPFLPPPAALLPAEVRPASSPARP OJF2_RS19960 MDYQGLRRIAVLRPAAALTLAATAAIGLSCDDGGRPGAPAEATP AGATARDEAGRPARRPGPITSAHDPKLRPLLEASARWARERGPARRVIDQVYLVPDVA TFLEAIADWDEHAYFPILLDDPAWTLPFLRAFRPARIVRVRPREPRPAIAPWAAARLA VSRGWAGPSVPDDRLDDAGAPPARLAPTPPGLVLSDAGSPMLAGAVALAAGRFQPLVR LGPLLAAGGPGPRGGARRFADVLTLDQAEEAARAVEALAAGVAGPHGTLGDRCDFLTL AVDWPYRYRNDDEPPPARGEHAVDDMIGRVPRRGGGEAEGLEASRARWAFAGRLLGGP SASTYRAMGSLFLQPSAAVLWNTYSPDGPWGAYAMNLADVVLESFLRPAPAPWHRAGA AASLAAWHRAFDPAGRFGWVMVNSSGGPDHFQIAGGPGRPEDIPRGVPAVVSMIHSFS AADPTDPATIAGRWLDQGAFVYYGSMNEPYLQAFRPPALAAGLAVRGMPLVAALRQGE HEPYGRPWRLAYLGDPLHRLIPAADDPGRHRAPPTGDDPALVHPGWRAREIAAGDALL IPSGDDEARLDGCLIAAMGALCAGATGDGEAVRRSVLEGIDRAKLPPPLRPTLDELRI DAGLNGGEPDRLLDWLLKVPPAQAGPRVERAIETVATARIFDLSGRGLLGAALDAWES TLRRPWPASSRFPIELTERLAAILDSGSPAQRLLLRDRLAALARDLSEGPARSPLAVA LKRLAEAQPR OJF2_RS19965 MKKGGILNPAICSLLAELGHTDELLIVDAAYPLPTDGHVIDLTL TPGIPRLLDVVRAVAEELVIESIAVPVEIKDYSPRLFQEMVKLVGEVDVDEVPFHEFR EQSLDVKGIIRTAEFSPYANIRIVAGSAF OJF2_RS40045 MTEDEWLSGTDVRAMLESLGRTLSERKARLFAVACCRPELFRDP RSLRVLEVAERHADGLAGDDEWMEIGSLRMDVEEPGRLGSAVYWAAESDVAPAFFASR ASFYARSVSWEWLGELSAEEQEQAWDAIDEDESQPELGLDLDGPQADLLREIVGNPFR PAAFDPAWLRPEVTSLAQAIYEDRAFGRMPELAAHLERAGCTDRDILDHCRRAGGHVR SCWVLDAVLARR OJF2_RS41210 MTSHHPRPATIAAIAVGLALATCEGRVAASDGGTAPAAAAFRDA AATWHLRAAAEPGQRHPLVAHGEAELGVPLEGDDRAASLARGGDGYAARFGRGGYLEV AGPAFDPPGAEFTLLVRLRDDAGAWDAPLFGSYGGDAAAGIYLRGVDGATLPFRDLNY AGGPVSTPAAWMFGWPEGPRAIEGSRGVVELLWGGKFGEFSPARAGMLPKGLLGGQPP PLAADARDRVQRVFFPMEPTGPKAWHDLIVRGTGARLELWIDGVLVDLEFPLGTTRPA AAPRLVGAAALPDGKVLAGFRGLIDHAALWHRPLSDAEILGLSGGEAVARERELAILG PMPEQLQYYRVRGHDSKAGDCFPIFHDGTFRLFYLILRRNMHSKWDGGHGGLEIHQAS TRNLVHWQHHPAAVPVTEQWEAWNGTGNTVLHDGKFWMFYPTPDYEGSKGGIQLATSI DGVHFSKHPKHPYLPGGDCEVFNDPDPGKRTVHLLKAGPASGRGLPELKDRTLVAWAS PADLEQVGAGVLTVEGAGDQAGQFDSIVLGELAPRRWMAGSNGFLRTQKGQHASPEEA ARPGEWVQLAASYRGRTVTLHRNGALYAQYEIDAPLTLKAGAKVVLGLRHLDRRGDPA AHFRGAIADARVYDVALSGDQIAALRPHEPGPVRPVAWLDFRDGAGADRAGTLPPGEL EGSARVRDGALVLDGSRGALVAGGRKVALAHWVSDDCERWTELPEPFLVTDESVVPQM CPHWFRWNDWYYFMGGVEGVFRSRAPYGPWARQIPGRLDNLAVPKTGAFAVNRRILAG FLNDDGWGGNLVLRELVQGEDGSLGTRFVPEMIPASGPPLARPDDEAAMILRSEGGKP NHLFKNLPNDARMTLTLSPQGATRYGVRVRTSDGKSDGTELSLDPAAGRVAYSASTHS ASRGPLPGGPSLEAVRGLDRPIRLDIVCRHDIVDVEIDGRHTLVNRYWNPKGDGLAVW AEGGALAVRDVTIRPLLEHVPPGALRGPER OJF2_RS19980 MKQHLLAVAVVVGLVATGSASRAGTWDFRFSGAGISGSGQLTYG PDTVLGDPVGAFAITGMTGLFSDSTLGLTDVAITGVVPIAPLAVPKDAPFPASFSTYP APNPTHPGEGISYTNLFYPGGSPIACPDYPGAGGYLDVFGVMFTLSNGYIVDLWSNGT WPGGPPLSYGAAVIDPTNTVARYQNDGLMLTAVPEPGSLLMLGTGLVGVLSLGRRIRR VA OJF2_RS19985 MTPSPPEVSPRTRRLLLAGAWAAAFLARAVVSPVLGGSPPSRDS VLICVLFSWLFPAGLIAWFDAGSPDRPGNIPWIILIWLAYLAHGVLAVKSRSRVRFFG LLAILAIVLTFNVIGCERIEIKGRAFG OJF2_RS19990 MSRSKELAGGVLHAVLVFPLIEGIRQIGLAAGRHGYSRLAVTSG LGLAVIVLSLSYRAWIRVPPGERAVAIGLILRLAIGACFLGILASAAGAATGEWSTRP LLCLAGLLGALIAALYAIERFVPAAAWPSRLDEDGGSTGIPSAPGE OJF2_RS19995 MGQSLNLPSPSFRPSCHRCGSPLEGSPVAACPLCVEPLGRPLGP RLRRLARWRLRGLLVLVAVAAFAAAWGVHAWHRRVADDRGQFYTHEELALYQEFQQAA ALVAAREAESKAAAGDADSPRWAAEAASLRARAAALGRSAADYRWRAGIKQRLARGGW OJF2_RS20000 MGATIEDDGSRSLVRGVDRVFRDGTVAGLPEAELVRRFAEEGQE AAFAAIVERHGPMVLGVCRRILGPLNEADADDAFQATFLVLIRRRRALEGACSLGPWL HGVARRVASRARTDALRRRAREPLAARLEAAALPPERPAERVELWEILDEEIGRLPEK YRQAVILCDLEGLPQEDAARRLRWTAGALRGRLDRARAKLRGRLARRGLLPAAVAATV SGWPASTAEAAVSPSLRAATAAAMARDLAVESVARAVSPSAAARLAAAFLRRQVFTRS AVLAAFLLIAAALSAAGIAAVGRAPRPDREALATRPDGETRDVEIQVVGPDGRAIPNA PVSLRTYPVLNADDVRRGSFARRDGDAAVVDADVEGRLAVRLPANGNDLDVTVSIPGY GLYNADWLSRLPGQEMPNPLRIQLEKAQVVNGIVADPDGKPVEGARVLLDIPSRIQPR SPGHHSARAFATTDRAGRWRYDRVPESAEHVSTGVLHSGFLIEGNVQISPDIPVGGDL GPANRLTLRRGQVLAGTVRDEAGKPIAGARVRVVGSAGVSMKEVTTGPDGTFRAEGCP PGTPELMATAPGRAMGSRTVPVGPGPYAPVDLTLQPARPLRVRVVDHTGKPVSNAVID RIWVRNRIEPQDFGGVIRHTDAEGRWEWGGAPRDEITFEIAPPGEMQRTKLKVAPRDE LQELRLPPPLIVTGRVIDADSRRPIGAFRVVPGSYLDASKRIYWTEWQASTGTAGHFE YRPGRRGDDIRHLVRVEADGYEVAESREVRSDEGSVSLDFALKQAHGIIGRVITPDNR PAAGARVATLKGAAGIWFKNGDFEDPSTAYFRTVIADPAGTFRVPLPKENFRLAIVHP TGQAWVDPAKEQGWDRVRLIRLEPWSRVEGTFRVGSKPVANARLSVEIPPLRDGNRML LAMRQSEATTGPDGRFLFERVFPGRWTIGRHVEPPVALGAEGASSGVHVEADFPAGRT TRLDLGGAGRAVVGRLRLAEGIRREPPWDYAWIRGAPLIGGEERLAPNFRATAGPDGR FRIDDLPPGRYRLHASFSGIGDVRGPRGVPVSCQFDFEVPPEGREPAGKEVDLGTLTL DEP OJF2_RS20005 MEPATPEVFVGIDVSKARLDVAIGDEPPFAVDNDPAGHADLAGR LAPRRPRRVVMEATGGLEAAAAAALAAAGLPVMVVNPRQARDFAKAMGYLAKTDAIDA KALAHFAAAIKAEPRPLPDEAARGLDALLDRRRQLVGMRTMEENRKATARGRVLRDLE AHLRWLGEHIEEIDRELDERIRSSPAWRERDDLLRGIPGVGPVLSRTLLAGLPELGTI SHRRAAALAGLAPLADDSGRRSGPRRIAGGRGQVRAVLYMAALSARRFNPALRALADR LEAAGKRPKVILVAVARKLLVIANAILKAGKPWDPEIAAKLAQNT OJF2_RS20010 MNVRSYAAPSAEGRLAPHSIARRDPLPTDVVIEILYCGVCHSDL HQSRDEWHDFAPTVYPCVPGHEIVGRVVKAGRDVRKFKEGDFAAVGCMVDSCRACASC KAGLEQYCEKFPAYTYNSKDPHSGGVTYGGYSEAIVVDEAFSLKVHEKADLAATAPLL CAGITTYSPLRHWKVGPGKKVGIVGLGGLGHMGVKLARAMGAHVVLFTTSPGKVEDGK RLGADEVVVSRNPAEMAAHASSFDFILDCVSAEHDLNAYLGLLKLDGTLTLVGAPEKP LPVAAFSLLTNRRSLSGSGIGGIPETQEMLDFCAERGITSDIELIPIQEINEAYERLV KGDVKYRFVIDMASLKQGPTG OJF2_RS20015 MPDEQARRIVLASRPHGEPTAENFRLETVPVPQPGAGQLLLRTR YLSLDPYMRGRMSAAKSYAKPVEVGDVMTGEVVAEVVASDRPDYKAGDLVTAPLGWQT HGLSDGKGLRRVDASSAPITTALGVLGMPGFTAYAGLREIGRPKEGETVVVAAASGPV GSMVGQLARKAGARAVGIAGGPEKCAFVRDELGFDAAVDHRAHDFAEQLGAACPKGID VYFENVGGAVWQAVLPLLNDFARVPVCGLVSQYNLAGPPEGPDNLPTTMAAVLRKRLT LRGYIIFDFAGLFPDFLRDVTPAVRDGSIKYREDVVDGLENAPEAFMGMLKGRNFGKL LVKVAG OJF2_RS20020 MTRRQLSGRVLLGPVAIFLEWLGARRGGGSGITTGALPDRVAKD PAALVERFIEEYSRWNAAAMQRHSFSSIHLTPEEKANLDLSVKEHHDLVARYCRPGFS PRQCSWGVPSLHAPGSEVIAAVEQQGDSCLVRTRTTDGRPLRGFEYRMSRADGRWFLE SIRGMVGDNSYENL OJF2_RS20025 MMSPLGRSRPGGSRPFCLVTLVAWLCFPVGSRAEVKETNIESLA TNSELIVVAKVTKIEDAPASLERDDPSMPPLKVATARVLETWKGGPVREVRYIASPDW TCDTSHADEGERVVLFLSYEHWRKDRTFFSITHAGRGRMPIREVEGKRYAAVQDDVIL PAGTPTISEQKTTRITLPASEQDRPSIVVTHPVRSIEVGRLRGLTKQTPSVK OJF2_RS20030 MRLPRVRFTVRTMMLAVAAAGISLVACTRAIEAYDAYLFRKGWA TRDVVGLIKAVNREEGTVSMTLGMDDGLCAGDDVYIYREEPEIRYIGQARVVTVTNEW SVGLIAARPRGVEVHVGDQVARFSWDHFRRLSLPAGCRRGEVPERAPSPKSGIASAES GLTENGTDGL OJF2_RS20035 MMIGVASVAASLALIPSISRVTETCVWFGEYPFHMGGVLNPPIA LKPRLGFAYIPALSIIVAMRKPSRWIVRVALSVDLFALLAWLLLRRVVVSPGGLMIWP DDVPGLLRGNPIRDWPSIFGGAAQVLRDRTIGESIDLLALIGLLMILASLLTRPLPRS LRASAVLLPLCFMYYGWASTMWLERHWGPGDQGRRGLSIIPAASVMELAEGFALTAVL LWLLAIIPGFLRRHDKRMTRDPTQQGWLSCSAALGSRIV OJF2_RS40050 MEKEKPPIFGMLQRGGEVVIRMLADVKQATIGPLIRRTIAAGSL VYTDEYDIYSRLEEWGYAHETVCHAAGEYARDDDADGFCEVHVNTLEGFWSLLRSWLR PHRGISQECLPLYLGFFEFVHNVRARGKRLLGALISQLLAPPRNPS OJF2_RS40055 MVNIQDLFDDAKCYTTIRDMRWPDGVACPHCSSASVIKDGRDDT EPHRQRYQCRGCGRRFDDLTDTIFAGHHQPLRTWIACLYLMGLNLSGLQIAQELEVNK DDARAMIRQLREGIIARRPPVALEGEVECDEVYVVAGHKGHPEAVKKKAGPPAAGA OJF2_RS20045 MRPHDRSRRSFLKTGAALAAASAASPSGEMLRAQPPGGPKAPPL FAYVGTFSSPLKDVLPTQVDLPPGNGRGIHVFRVDRETGELAPASVMELGTSPSCLAI NAAGTRLYSSNETDRVGEPKQGTVSAFAIDRADGELKPLNSVRSGGAGPTFVSIHPSG RFVLVANYFGGSVAVLPILEDGRLGEATDVKDDAGTIGPRKATNAPPGSFAISGHDRT HAHMIHADFSGLFVIHTDLGLDRIFVWKFDDGKGTLSPAEVPSVALPPGDGPRHFCFH PEGRWLYCIQEEGSNVALFDFDILTGRLTQRQTISTLPPKFAGSNFCSGILVSPDGKF LYAGNRLHDSIAIFSIGAAGLLTYVGEEWTRGDYPRSFNLDPSGTFLYSCNQRGDHVA AFRVAPGTGTLQFTGHYAAVGNPSTIVFLDPTAGARRATGTAR OJF2_RS20050 MRRSWILAWAALTFSVDIAAGADDRPLVLDVWPGKVPGDHGRIG PERTRTGADAPTPDAIWITDVTRPTISVFRPDAAKDTRVAMVVCPGGGYWNLEWDKEG TEVAERLRSAGITGIVLKYRVPRRPGEPEALPAPGPLLDAQRAMSLVRSRAGEWGIDP GRIGIGGFSAGGHLAIATATSFDRRGYEPIDDVDRASCRPDFAVAAYPGYLLAKRGGD ELAPYIRIPKGTCPIFLVHATDDNEPGAQPDHSLVFYRALRRSGVPVELHVYAEGGHG FGVRPTGLPVSRWTDRLLDWLSQRGILRSGTAGTPGDRPEALLEGERGIAARHPGDRG IESDPDVLFRDDFEAGELGASWDMAYHRPNLRITAEPADVRHGARALEITVPRQPGEL SNELVKRLGAGHDVVFLRYYAKFDPGFDPVGSSHNGAILSAISPGLPYATPGVRADGR NKFLASLEDWRGEAGTRSPGPLNVYCYHPAQRDVWGDHFFPTGIVLPNTSLPGDFGPS FVARPDVTPQLGRWYCHELMVKANAPGRRDGRIAAWLDGKLIMDFPGLRLRDAGSLKI NHATIGLHIKSSPDRANRRWYDDVVIATSYIGPRVEP OJF2_RS20055 MGRAGVQTTPALRCPDCAADLGVVREDGDGVLLLACPGCRARFR ATRAAHEPRDGRPAPTAPQADSDGDGNDTAGQATVGPVALRWRAMVLRILEHAYWTVT ATGALAMLALGGFVPVLGGWLRNEVSGWADVVRTLGGSWFSVATSDPDSDLGPVLGRV DAPLLFASIDAVSRRLGVRPPGQVRLTYLPCCGVVAWKRSRALIVGLPLLRVLTQAEL RAVLGHELAHLARGDATRAARSARFVEGLRLALEQRGDARGPLAAWARFCLREASWLI EPVARGQEDRADRSAALIAGGGTAASALVKVALVQPLFREVLDCYDPDHPEYPNLYAF FRAFWFRLPPESLSAMRLQVLAQADHAHDPAHPPLPDRLAHLQSYPDMPCVNGDAQPA TTLLGDLEIFEQMLHNRLFGGPAVEPTVFHRAGS OJF2_RS20060 MNRQIRWGLGLALSFPLAVASWGCSGEQVESGVKSTGQGVENAG KGLESGAKNVGEKIKESAAGGKLEGAAAATGNAIDKAGTKTGEVIEKAGQKIGEAGPA MGKAVEKAGEKLHDMKEKAGEAASNIKEKAGEAASNIKEKASNAIEKAGEKLKEAGDK VKGAADDATKKP OJF2_RS20065 MAKRPPTIPDSELDVLKVLWDKGQATVREALETLRSAGRQWSYA TVATLLDRLETKGLITSDRSDLAFVYRPTISSQEVRQKRVTSLVDKLYEGEPGLLVLH LLKSHPLEPAQASEVRAVLDQMTGSPSKKKSK OJF2_RS20070 MRKRQLYIDKYGPIAGSKLYHALQSQAAHAGVSARLRRKLEAIS SGQPWPPARARADILPLFPHLAPGTDVDATEPALELVGAVTVAFEAPADVADEPGSPE P OJF2_RS20075 MKTRRCPLECDHLEARTLLSGSPGHVGAFLHAHAHVPTARPAHV APPAARVMTAGPVTGGGLVNVPNAPAVADVTALQVAASDNNLVLFLSQFEALSGSNPA TQQLALSILNDARNVDMALDGFAGGLAVTLPGNITGNNQALAQQMIAAARGGNVDQAF SSLIVQAEANLVTQLQQLSAGAQGASIRTFATGLVPTAQADLAAAQGTGTIAPVGTSP SSDTLSASDLNTLATYYAINVMERFLGQITVLVTNRSSLALYSAKLIGDHEQGALALG GYAASTATYLPAAIPSSAAPMASSVVAALRKVRPRNTARYDRTYLSQMIMGHTDALKL TAQVIATTQNPTLKQFALNVQPTIAMHLLAAKALMRGLN OJF2_RS40060 MMSSLHASFPRIHDLPEGVTPRPSAQCTARLSLFINGGAYQVRS LAVDAPGVARAFRLRKFDGTEYDVAQTDEGITCDCPDFIFHRAGIDPDGCKHVKALVS SGLLERPGDGTAAAASVVEAEVRAAKDAFDGHRHRIEPDRPTSRVPANGQPTTFLEIV EHEAMGYRAWGNEVGRFLADQLDRTAQLIRWTGAETPADHEDRMEIYDRELRDRLFEQ GYQDGLENGRRQAEAWGLERR OJF2_RS20085 MSERLHRAVYLTGPTASGKTAVGVRLARRLGAEVIALDSMTLYR GMDIGTAKPTMEERGGVPHHLIDVLDPWESASVAEYRRMADAAAAEVEARGRRVLFVG GTALYLKAMLRGLFEGPGADPAVRSRLEEEAGRIGSPALHARLAGSDPATAARLHPND ARRIVRALEVLELTGRPLSELQSGHDRPAPPGTMVFALDRPRAELHARINARVDAMFA AGLVDEVRGLLSAPRPIGGVPAQGVGYLEVIDHLQGRATLPSAVERVKARTRQFAKRQ MTWFRGLSEVRLRPVDPSMTAEQVADELAGAVEEGASDGPGRR OJF2_RS20090 MGRRILILMAILMPTLAARPAARGQDPPLPAPAPPDDAGAPLPP AASADESPIRIPAGQDGDLPSLPHAGAEEPAASKPGTLASKPEKAAGKAGAKASEKKA AAPPKTPRPEARPRLDEDVQRTEGAGLGDPAAPPAAANPATPPAAAPPASEPATPAGS GFAIPADQVPMGKHEVVLSVEVQAPPDIVFNREHTAKLIVRNSGSADAAGVVVHDELP PGLEYVGAQPEAERPAPNLLAWTISNVSAGTERVILLKVKPTKADGAVDHAATVTFQA GSKATSRILKPQLKVEVVQTPSEGKVLKHKTAEYRISITNTGNGPARDVVVKAVLSKG LRYGSVDPGEDNSLSNPIPKLVAGQRMDLDALQVEAAQGGEQTCVVRATSPDVDFDQA VAEASRRLEVVEPKLKVNIDSHDKRYTDTVAPYTITLENEGTAPARNVRVTATLGMSG RLVATPPGAKYDPATRRLSWTVPLIEPHEKARTFAFEVRMGGVGQYEALFDVKGDTGI SFADRRITDVEGLADVDLVVREKRRVVDVDGTTTFQVYLRNYGTKEATKVLVTAKLSD NMKVEETGGHNAKSYLSKETGEIVFPVIERLGAGKEMLLTIKVKVVKPEPKMGTCRVF CMHDGLDPNGKLEDMAGVKIGESRRTASVGGR OJF2_RS20095 MSTIDITIDRAAQAAEVVYPDSDGRPMADNTLQYKWIVIIKENL EVIFQGRDDVFIAGDLLWYPEEGKKTVCMAPDVLVAVGRPKGYRGSYKQWEEEGIAPQ VVFEVHSPNSQPDEVMRKLVFYDRHGVEEYYYYDPETGTLTGFVRDDRGLADGIDMRG FRSPRLGIRFEPGEGEDSLRIFGPDGEPFQTTLQFVAERDEARREARAERNRADEERA RADRLAARLRELGVEPE OJF2_RS20100 MPGRAVRNSLTRRLAAVALAALAWLGMTAAMRAQEPGEAKAKGP TNRLAAETSPYLRMHARNPVDWYPWGPEAFARAKAENKPIFLSVGYSACYWCHVMERE SFRDPEIARFLNEHFVCVKVDREERPDVDQVYMVALQAFTSGGWPMSMFLLPDGRPFY GETYMPPRDRDGGAGFLTVIKGIDRSFRAERGEIDRAANGLAEIVRRKLGASGSRRRP PLSRAMAAEGRRQLAAEFDPEYGGFGYNPQNARRPKFPEPANLVFLLEEHRRDGRQPA RKGAGPGAGAAADPLPMVLLTLDRMARGGIRDQVGGGYHRYAISRYWIVPHFEKMLYD NAQLASVHVAAFEATGDPRWRAEAEATLAFVARSLTAPEGGFYSSLDAETEAGEGAYY VWSRDEAARVLGDAPAAEAFLQVYGLKRPPNFEGDRYVLLQPRPLEEQARKLGLEPAD LERRLRPLAARLLEARERRPAPSRDDKVLTAWNGLMIAAYADASRALGVDRYGDAAAK AADFLLGKLRSPDGRLLRSYCEGRATLPGYLEDYAFLIHGLLRLHAATGQARWLDEAR ALADRMIADFSDPAAGGFFFTASDHESLLARPKDPYDGAIPGGNSMAALDLLALHRIG GEERYHAAARRTVEAFATTLSQDPSSMPLMLVALQQLLDRLPEPSPAGPEPVAAAAKP ASAGVVAAAARVLDGEKPAAGGSFRAVVSLSIKPGWHITANPPGMENLVPAVLSVADG QPARLDPAYPPGTDWQPGQADGEKARVYEGRVEIPVRVRLDGAGMPPRLALKLRYQPC DEKACLPPATVDIPLDLTPVTKP OJF2_RS20105 MQLITSEAEFAAILEMDRAIVFLDFAWSGQAGISAAAAEEWERT SHLWRLDCLVFKVRPDDLPAAAEWMGRAGKDLAGEGGYGSLVWLSRGTILDYEPYALG AGLRDISRRTRAAFKGVSGASARWPLWDRELDG OJF2_RS20110 MSEATGQSEGGVDLAGEFVAQARQQLAFSVSLVRHCVGQLDEGQ VWWRPREGMNSVANLLLHLAGNLRQRIASQVGGEADVRDRPAEFTERGPLPKAEVLGR FESAAARADEVLAGLTAARLGETCRYEVLAGPTERSVLGVIFQTLTHLNGHAQEILHL TRAQLGDAYTFRQPAGVPPRPAARG OJF2_RS20115 MDVESEESSRVGASRRGEPRPHVDLFLISFLILFAELACIRWFG STVAFLTFFTNIVLLASFLGMSVGLMAASRRADLTRTWLPLLAAAVIVSILAAKAHDS WGQLAIEVGEQRKSPQQIFFGAENSGRNPAHFLVPIEVAAAFFFALIAASFLGLGQAM GRAFDAIPGRVAAYTTDVLGSLAGIAAFGLCSYHELPAYAWFAVIAVAGLALARHLTR AEFVAQWALLLAVAWLAYDEGRRAEVFWSPYYKVVYEPRLGLMTTNNIGHQQMVDVPR TGSAYVLPHLMARDAGAGDFADVAIVGAGSGNDVAAALAYGAKTVDAVEIEPVLNAIG RRDHPAHPYSDPRVTIHLDDGRSFLRRTSKSFDLIKYALVDSLVLHSGYTSLRLESFL FTEEAMRDVKARLKPGGVFLMCNYYRQGWVIGRLVQSAEKVFGTRPLVLSLPYQAKID DKASGGFTLILVGASADSPVARIRERLEKDGAYWANGTPTRHDTVNAYGEKPPKAEGS AGDWQKIAESSVDAPDVGPLPTDDWPFLYLREARIPNLNLRGMAIVAVISAVLLLSFA PRGSLARFDGRMFFLGAGFMLLETKGVVHMALLFGATWVVNSLVFFSILVMILLSNLY VSTMRPARLWPHYGLLLVALGVNVLVPMHAFLDLPGAWKTAASCAVVFVPVFFAGVVF ATTFRDRASPDVAFGWNVAGVVLGGLSENLSMVLGFDRLLLAAVAFYALSALLGPRRV PAVAAA OJF2_RS20120 MKGILNLNKPVGVSSRAIVDRVVRLLPRAKLGHAGTLDPLASGV LVVGVGQGTRLVESIQAMAKTYRATIRLGGRSDTLDADGTVAWEDDPRIPTSAEVDAA LATQVGTILQQPPAYSALRVGGRRAYDLARAGQAAELAPRPVRIDRVERLPYEWPRLD IEVDCGGGTYIRSIARDVGEALGCGGFIEVLRRTRIGPFAIEDALDPDALAAETIGAA MLPLLAAVPHLPAIPLDDVQLGLVARGRPLDAARLSVRPPSTGEVALVAPDGSLAAIA EVDPAAGAVRPRKVVM OJF2_RS20125 MPDVVSSPRSRVLCLALLALASAPPCRGGEARAVRGRVVDEAGR PVAGAAVADFWRANGTGKGPDGKYLDLKVEANVRAFWGHLGEMEPLVRQAATTGADGR FAVEVSSSKHALMAMDRDRRKAGLAILPMGEGQDPIEIRIGPPVKVRGSFRSPGDGPP GWTHVYCNLPGDDARPIDSTRLVSCGSFEGRFEFSVPPGRYVLVGYDESDDARLVPDR EIAVEPGRAELDLGVLTLADVSKVPRRAYERAKDGAGWVDVADRYGKPAPGWHLDDAR GIARDARIEDFRGKWLLVYFWGFGCAPCLGTELPSLSRFYEAHAADRGRFEVVAVCTD DDARSMADVDRRLEPIVRNAWGGKPLPFPTALDTSYRTMEAFGLRTLGPQLVDPEGIL RKGGERELAKVLDAPGGSTSPRR OJF2_RS20130 MIEINRSERAGRHERAILVGVILPEFDGNPEDPLEEIRGLAETA SLQVAGTMLQKRQQVDIATYIGSGKVDELKELVEAHEADVVVFDNDLGPGQTRNLEKA LGVKVVDRTEVILDIFATHAKTHEAHLQVELAQLEYAMPRLKRMWTHLSRYKGGIGVR GPGEKQLEEDRRLVGHRIQELKAKLGKIQARKEREVAGRADVPTISLVGYTNAGKSTL MNALTGAGVLVEDMLFATLDTRTRKWRFKGGGAALLSDTVGFIRNLPHALVASFKATL EEARQADLLLHVVDASSPEAESQVRAVVEVLAELGLEDHPTLLVLNKADQVPDRSFLD VLRAHHRNSVTISAAKAEGLDRLEAAVREALLERELDAEVETGVSNGRVLAYLAQHAQ IQDRAYDEDRVVLKCRIPRRCLNYLQENGVEVRSNGQRMYA OJF2_RS20135 MSDRTKIEWTDATWNPIRGCTKISPGCAHCYAETFAERFRGVPG HPYEQGFDLRLIPGKLAEPLRWASPRMVFVNSMSDLFHKDVPDEYIIKVCRVMREAGR HTFQVLTKRSERMRDMLRGPLGFAAEAPHIWFGVSVEDRKHGLPRVDHLRGAPAGLRM LSVEPLLEDLGELDLAGIGWVILGGESGPGARPMAVEWVRSVRDQCRDQGVPFFFKQW GGVRKAKAGRELDGRTHDEKPRTNHGGTEGTAKTRAEREVPG OJF2_RS20140 MPSVDRLVEYLRAFQKRKPMYVHPVDVKAVQNFLIGFEVGCHAC GFEIDREFWWAAQEARGWDRRSVGPIPQMEAKGMSEAEIMDELVEIEILMLREQEERT A OJF2_RS20145 MRYREIAKRLSCLGCREVPRTGDGSHRKWLKPATGGQTVIPDWG GDDLKLGTVRGAVRQPGLTWDEFSQA OJF2_RS20150 MHYRLPLVFEPQPEGGFTVTSPVIPELLTEGDSVEDAIENVRDA FAAVLEIYRDSGRSLPADLAIGTDGQPVHADLMIAIP OJF2_RS20155 MTTLLQRALAEVEKLPAEDQDAIASRLLAEVEDERAWAARFAAT TEEQWDRIVADVRRDVATGRTHPLDEVFPPEGTPK OJF2_RS20160 MRTKVERYRRYWAMFERGLLSECEIVHAFVDELETDRIEADWSL LPDGYREIIISSLRSHPPDVMPQYFVIGYASEAEIARLTEVRRQNTTCLAGYLSNRQA LSPGVDA OJF2_RS20165 MSEGDVTQPGRVAFVHSVRDLLREIRERPALWLNAKTLTGLKCL LMGYEVACAVHDIAESDQLPDDVPWEGFSEWLARRYDKVGWTVDWHRLLVEHSRSQEE AFDRFFELLAEYESSG OJF2_RS20170 MSLVARQQMATLFGGTHWRVRLPAGWRGEHDDTCATVAADSGVG ALQFSSARKEGRPVTDDDLRDFAAEHVEAGARLAEVSLGDFTGYHLHFGTEDASWRNW YLRRGSVALFVAYNCEPSQRGVEVREIRTILASLASDEPAA OJF2_RS20175 MGLAFCLVAGCGMASGWPGPWGYLLWSMLLLYGLVHACLLGHFL LFLWPAWLASLVLHRLRGRGRDA OJF2_RS20180 MNIVTHEFVSLMVRSGPLAEACRRFQDGTARPRNMAQWEKFSTW ALVCEEVLDTEHSDDWYDDIIAELRRRGFGLDQIDAMRKLAWGTAGWLNFDKMLWEWC SLDEKDIRLALDWQLREGVITRQRYEQCLGFVEQPATIGVSDA OJF2_RS20185 MALETELSREEVRRTVFSPANRRRTVWTVTSLLARYGIRANAAK GEEFERIKLVRRRASRSLRELWSEGALYRQEATDNRGGFAEIKYVRPEDASGTYLIFC RRCGEARRSVNHAHGRTLEVCPGCGEGA OJF2_RS20190 MADGREQDRPASGAVEDLLRVVEATDPAAPSFTLWVPESLAMGG HPVRPDVAMAVVLDRILGRGFEPAGFEEHPSGRLYRYEREADA OJF2_RS20195 MSLLVNAYVRDPRGEMSFIEPDEPAQELAGFEPYRRSLYGGRAA ASLGLRLLPGLVEGDVYAEGEDLARLRFEAEKALLNIDLFEAESGAPAEQLRPRFQNI LMAVGRAEQVGGEVVIW OJF2_RS20200 MRQYQLSPGDRVLELAALALAAAVVAGALTALTGAWLGHHSWPV SLAALVCGGFAGFVVGRVVGRWLYRAADGQTAVVRVGRGALPAALRAGLAGGLASAVA ATGLAIWLLAAPSLPSLVAAACCGSLAGLVLSCLASLT OJF2_RS20205 MSGAERLADRVGVLVVQKRAMPLSEVHLATYVSTTLVAGGLLGA NLRVNSIARDFLDMGVEAVWGVDPLVQFYFFRGWPLSPCMFCLIHGMRFRGAGFAVGL ALGLNLAVAGLILMGVAIVGELLMRRQRWNRA OJF2_RS20210 MRRALIIILGLLVALVAAFVGLQLLLLADNRLHGPYVTWYNAEC QRLADEAHLVGRPEAEIVAVLGPPSFVYDLDSEPGVVRRTYNYAAAGIPFSKFQVHCR DGIVAGLEQFDD OJF2_RS20215 MVSALGAALGFVNGWAPCHSYPLSLASAGFGALVFAWFFALPAA ILGGAVGAVFSHGLRGVSIGMSMLLIAALALALGLSVPFLIPAHVQEPIPASSDL OJF2_RS40065 MRAALEELRRIAELFHRRVEYHAAMAYKYRHVARFPWLPIEPDP PEP OJF2_RS20220 MTLPETWQPIAEGAAANLTAELARELSPGHPLSAIPVAAVALGP DPDDVLFRLLDGSGRLAVVHLTWRQGIESLPWPFTTLYDDEAAWLSHAHAEGCETADA OJF2_RS20225 MSAGDNPAQRPRRGPTPSQVLVVTSVMFTFISYWRTAAIVLCDL ASTAYYIGGIVESQIGKAAPWFILAVMLFSYAVRSVYIESCAMFVRGGVYRIVKEAMG GKLARLAVSALLFDYILTGPISGVTAGQYFIGLVNELLHLGPGSALMAWQNYISAGIA ILITAYFWRVNTRGIHESSDQALRIMGATTVMAVIMIAWCLVTLVMQPEKRHLPPITP DLSKKVDAEGKAIPDPFGKQVDPLGIVGETKVGEQLRPAEIAGNWWGLIGMFGIMVSF GHSILAMSGEETLAQVYREVESPKLTNFKRAAFIVFLYSMLLTTLISFFAVMIIPDDV RMSQYSGNLIGGLAMSVVGPQWAKLLLNILVVLVGSLILSGAVNTAIVGSNGVLNRVS EDGVLPDWFLKPHPKFGTSSRLINLVVILQVVTIVLSRGDVLALGEAYAFGVVWSFVF MSMSMLVLRFKRPGHREYEVPLNFTVGKYDVPLGMTLIFLVLALCAITNLLTKEVATI TGILFTAAFYAVFWVSERLHRRRQGHGEHEHLEQFNQSEADQLSVESLDLTHPYRKLV AIRSPHNLGMLEQCLSETDPETTDVVVMTAVVLPKGSSDFQPTITDYDRQLLTAVVNL AEHVGKPVKPLIVPTNEPFYALAQTARTIGAQELIMGLSNKFPPEDQLDQVALYWINS CGKKPDPLSIRVLGGNRDVRLDIAGGSQIPKPGAAAADVARQLLELRKSWHGVERLLM AYDGSPLSADFLDTVMSFLDPAIGVTLINVAEGQDGRDDAPEAVADEARRVVERGIER ARELGRKVDSRVVSGEPGPQIVRAAVDGKFDAIFMSLRGVYRRGDTTAFASNTRYVLE HAPCRVILGFAPKSIPAGNGPSEG OJF2_RS20230 MRPTYQVTELLGDGIGAELSRAIHELAAALPIDLQFRAVDLSLE NRRARKAAIYDEAVEAVTGTGVALKYPTITAEESPNQVLRRRLDLSVIHRPVYTIPGV PTNFRRELDLDIVRIATGGTYDDPGRMIGDGGAVSLRIVERRPVTEAARYAFNLARKT SKKVTSSSKHTIQKATDGLFERVATEVAGQFPEVAHNTELFDALLGKVIMAPEKFQIV LVLNEYGDFLSDMACGLAGSLGIGASANLAFDAAAVVRVALFDAAHGTAPDIAGQNKA NPTAIFLALSMLLYQVGEIELGRTVKGATLDLLREGVRTGDLGGKETTESFTAAVAAE LGRRLAGAGLAPAAPAGR OJF2_RS20235 MAESVAAKSKGPRRAAAAAIAAAATLLAALAAGPGCGPAEVGTI DMAKSKAIAAEKGIGPGGGGPSPDAGKARKAASARPSQAGVAPGAPAPK OJF2_RS20240 MNSATNRERPSGFTLIELLVVIAIIAVLIALLLPAVQSAREAAR RAQCTNNLKQIGLATATYASATDVFPPGHLGAPVWPGAYYSGPSVFPHILPQMEQTPA YNAMNFSLSVHDAQNSTVAGIAIATLACPSDPSALELTPLTANYVPNKSMQAHTNYAA CRGLWFIESRENPADPCIPTLSASAYGVIFPNSAVKYAGIIDGTSNTMIFSEQALGMI NASSRGDYGLWHNGYWYYSHFDTSLAPNACRQLTAQINNGWWWVMNFDASSFHPGGVN AAFADGSVRFVKDTINSWPVDNSDAGYATPIGVNSWAGSCGDWNIGTARPGVWQALST RAGSEVLSADQF OJF2_RS20245 MHVLFVHQNYPAQFGHIARHLAQSHGFRCTFVSQRPEGESDGVR RIRYHPKGGATARNHYCSRTFENAVHHTHAVYEACKAHPEIRPDLVVGHSGFGSTLFL RELYDCPIINYFEYFYRAHGSDLDFRPEMPPGEMDVLRSYCRNATLLLDLENCDAGYS PTRWQWGRLPRAYADKVRVIFDGVDTSVWRRIPDAPRRVGDAPLPRDKRIVTYVSRGF ESMRGFDIFMKVAKRIYERYPDVLFVVVGSDRVAYGGDLKHVPEGSFREHVLAQDDYD PSKFLFAGNLAPHDLAALLSAGDLHIYLTVPFVLSWSLFDALACGCVVVASDTGPVTE LIAHGENGLLGGFFDVEALAELSLRVLRDPASHRHLGEAGMRTIRERYALEKCLPRML DLYRSVAGRR OJF2_RS20250 MAAHRPRRTPHRHTAPPLIEPLEPRLLMADGSVYRLAAATPVAS IPNFTTPGTGAGIVLQLGRLASGSTDYLRVTFGDEATGIQLGTIALSSTSTTPQTVYI PLPDAARGRISTLRFALVSGSPSPTAVAEVSAIDVSADLVGMNKDRLNALSQGLTAFN ALADDLGRLPALGQALPLKGATGAAVSVGDLATVPDALKTVVGRAVQQYLASTPTPTT VGLGAYLKTLAPAGYAIAINALSTASTEREVRVNLRFTATRTTANLVPDLGAPAADQG FSLAPTTKLSATASVTADLAFGVKIAGGAALPGSFFLRLNSLGVNVAVNGTGLASAAR VGMLTASVSGGQFTVAANLAGTAPAGLAGADLSLAQLQSLSAAEILSVAPTGSYKIDL PVAASIATSTAALSARYTLAQSALFSAAAPANTSTGFAALTKFGSLSTADLAEMFGKI RSWLGDLGGSALMATSAPFVQGLDLGTGLDFSSAFATQVLAKIVDAAGAAKFDSVQAL VSALGAGSRATYNATTGVLTIPISFSQTMPTLSGRKIDLDVPLGELANARASASTVSV VPTLSGAFTLGIDFTPLGTGVTINASTALSALNGGKGIAPAGDAMAIEVQLADGTRLT ISLAGAATLGDVAAKISAASAAKLKAAVDATGKRLTLTDLTTGGGIFAARKSGSSLAA IGLGLAGQDPEMQGTARVLNGGPLHGDSIAQHIFVLPDSTSSPAKLTGTLTATATGIA ATASLGLVGLTIANGTATATASLSLPVKDGAKADGRVTVPELLDALASAAATATLAGS AKLNLPLAVQPSGFLPNVSGAALAVDWTDPSLFLADGKNSAGQPLSTNAKAVLTYNAA ASRIKTLAGLSYASIESGLQALGGYFKGPGSLRALASQLPLINANFGDILGLGARFDA FVQNLRAAAPTSLADLASKVQAAFATAGATVKLSWDDSATAPALKFSFVFATTATDSR PINVSLTGGRTLVDAAGSSKLSVTATATATVDFGLDLTTPASPKPFLYDTTKLTLTAA VRSSAIDFAATVGSIGVFVHDSTTRGAAAAVFDADGLPGGSPASYTISFPKATTGRYY FGSTLVSSSVEALTGVAKANLPVFFPTRTNELSGPIELTYNLATGATTIKTPFDSGMP TVDLGLDLSGLTGAFNDGMTKLKANLVQQLALFKIPLIGGRVTDSLSFIDQFRDAVLA QIRARGSAQLDLNAMKAAITAAIGPAGLNWGSSALTSAGLLADGGVEFKLSLRRALTA QSGTLDFDLGMPGLGLNLNNARLNFSAAFDFALGFGLSKAGGFYIIADPAADELKVTA SAEATTFSALGSLGFFQVKASKPSSQAIRAGATFVVNLKDPSGSDNRVTLADLAGAGY ARLFGVGFGKDALGRDSGVDVNLDVQAGFANPSLPALKTGLHVNWLFAAPGTGANPLT GAPTIKYDNVSVQLGEVFRNTIGPVLDNIAKTLAPVKPVIDMLTARLPVISDLAGQNV SLADLAALFGRGDIARYVYATKRVSDLAALISSVASTNAINLGGFDFSLSTSAPSLSG VAIGNVFAPPKSPADQASGNQKQFFTGSSDNAGLAFPLLENPATIFNLLLGRDVDLVQ FVMPALNVDFYYKQFFPIIGPLGAQITGRVGATAQFGFGLDTYPLTHGGGLMEGFYVK DLDSNGNDVPEVQFYAQLTAGVELNVGVASAGVEGGIFATIDFNLHDQNGDGKVRLSE LQESISLAPIFVFDVSGKLEAGLDAYAEIDLLFWSKRWQYDIARVKLLDFDLKRPTSA TIVFDPGQADANGRLNLFTTDEDDTYRILPGSKAGEVVIQTRGMLYTRSGVKTLAFDG KKGNDTVYVDPAVQLLAGGTISLSGGDGNDSLTAGGAWPATLLGGAGNDQLSAGTGPA YIDGGTDHDVLIGGPGNDTLLGNTGNDVLEGGGGADRLEGGDGNDSLFGGDGNDTLVG GIGDDSLSGDGNNDSLTGDGNDSLDGGDGDDTLTGGKVLSGGAGKDVLSGGTMLDGGD GDDVLTGSATASSTLVGGAGNDRITAGSGNDLIWGDDTVAGPAGNDTILAGGGNDVIV GGGGANSIDAGDGNDLVYAGQKLADDPSAIPANTIVGGTGNDTIYGGAGADRIDAGIG LDYVRANGGNDTVLGGDGNDTILGGDGDDSIDGGNNDDSIDGGDGLDRIFAGLGNDVV DGGRGNDLIIGGPDSGSPDADTLVGGAGADTIYGGAGNDVIVGDAGAPYLGAGGTASG VDAYGGDLISGGAGFDAIYGQGGNDTLYGNDGLDEIYGGIGNDLLYGGRDSDLLEGGD GADKLYGGTGADVLKLDTDASYLTSGDTFEGYGGNGMILDAAGVPRADVAQDDRLTAT DILLIAGTAGNDAIQVRSKAGDAKVLEAVFNGSRVISALWKDAAGVPQVRQIQVTGLE GNDSIDLSGLDVTGLAVAGGNQFVTGLFGGPGNDTLTGTKGRDQIFGQGGSDSIYGGD GDDRLWGDELGGGDSPSDVNRLFGGAGNDDLIGGQGVNHLYAWSTNPAASSPYGVRGA DGKFENTGLDRMLGGPRDDSFYGAGNVAFMYGNGGYDVIYDQAGAKASAQDTLANPDQ WKEQARKNDKVWYLPASDAKDEISVQFNGTEHVIRIQQTNGGASTLWAEYKLSDFNWN GRATSVDQFKIQSVVAPGEYATGAATQGGLYQTAAGWGGSLAGPASNSDYDVILIDAF KGDDVITVDVGVYKAIWVDGGPDNDTITVKSSRAILPDQLEGTYDPRQAGKNDTAATA SDLGRIDASVLLKDLTIHNGISIAGTAVKADIDYFKFVLGAAPAAGDAIRVESSVAGM DLAGMVLKLYTSAGQAVGSPTRASSGGVLSLSGLVAGQAYWLRVASNDDRADGRGKAT IYSLALTLQGASPYANPLSFSSERAISNSNVLIGGAGNDSITGGLGEDWIFGGSGNDT LSGGDDFMAADLLFGETGSDTFLLAPSTLPDGTDVSTFVGGDMIDGGSDYWYSSIVDT VKFVGSSDRDLVAFGYNDQGLVKYYTMTQYVWDRDAGWWAEDQYGFRIQKYSFFNVAR VDGIQFDLGAGDDEFHANPEGYWMEDGASYGFAASPWAPISGVTVNGGAGDDTIVGGD GADALYGDRIDATSSGKGFDSIRGNGGNDTLDGGDYDDTLVGGLGSDVLYGRSGNDEL VGDEGNAGSGDPDTLYGGDGADSLYGNGGADYLYGENQGDDIYGNYGDDVIDAGEGND TVRGGEGSDSIRGGVGNDDLYGDNGGAEYSTDGDDTILGESGFDTLRGLGGNDSLIGG ADDDTVYGGGGNDRMWGSEGDDRLEGGGGSDFMVGDLGGQEYATDGKDTMLGQDGDDT LYGFGGKDLVYGGNGSDSIDGGGGDDDLYGDTGGQEYATDGNDTIWGGAGRDTLRGLG GNDSLIGGADDDSVYGGGGNDRMWGSEGSDYLDGGANEDLIMGDNGGSYLSTDGNDTI RGGLGSDSLYGGGGNDSLIGDIGTDGDGSRDEIYGEEGNDSLYGNGGDDYLNGGSEAD ELYGNGGNDYLVGGPNPDGDFFLVPPDGPNSMYGNDGNDTIYGGWAGDYINGGANNDY LVGGTHNDTINGGSGNDSIWGESGDDRIYSNDNEADTIAGGPGYDIAWYDQEFDSRGN TIEQLNNALTAASAGPGSSPLRADAIPPLLAEAIGRWRAAGAGADLIRAMRGATVRVE RLPEGLLAYTDGGVIVVDADAAGNGWFVDATPGEDSEFAAGPSNGPAAGRIDLLTVLA HELGHVAGLGHGEDSGDAMHWDLPAGVRRLPEAPIDASRPSDSAPGPDWAMITPPPVV PRGVARTAFRARPTVEAPLATARAAVRTIKIAAGGPPLDEDAGREIVADLVAHDLALE RAGASPFKPSRRARS OJF2_RS20260 MNHLVDTNILCRLAEPGHAMHQAALDAVKLLAARGDQLHIVPQN LYEFWVVCTRPVSLNGMGRTAVEALSELRSLKSLFDLLDETPRVFQVWEQLVSTRPVL GRNAHDARLVAAMMVHGLTHILTFNAQDFRGYQGIIAVSPGGILQP OJF2_RS20265 MPSEQPQGGSGGNPEPPPRPPAREAGATPTRFLILSSALLAFIG AWPTAAVVLCDLASTAYYIGGIVESQIGKAAPWFILAVMLFSYAVRSVYIESCAMFVR GGVYRIVGEAMGHGMAKASVSALMFDYILTGPISAVLAGHYLVRLVNAFLVHFRVPRT ISERWGAVGVALAVVLYFYQVNVRGIRASSDKALKIMAATTVMAAPGPSPA OJF2_RS20270 MRRTMPPCGVWVVLAAATAAATAGAQVPATTPAGTAPQPAAPQQ TAGPALGTHRIDRYEAIRQVREALTKDANNLNDWIILGELAQEVAADVPSEQAAGYYR LASDAYDSALKLKPGDPNLKAAAQFAREQERAAEGFAQTRRQAAVNYLAARRRELAAP GGAPRLRVYSAPGANAAASYSYQPYTTAAGQPYTYQEYSRGYEAPDTPAGVSAQPSHP ITDAERGALVKPGAAAAPP OJF2_RS20275 MLGLWPRMRPKSDEEHVERLRRSLASFDRWRRPLLALHLAAAVT YVAAVIAAVWALRGFASMMGANAPGVAPGFLIGLAAGASLGFLGVKIAHGLVDLALGL RNERLLVRYHDALREMEQEAREAEEAETI OJF2_RS20280 MSTAGKVLIVLIVLGIIGWALLAAGVDELNRNNNNALVELEKKV EQLQKDVKDAQVRISRVKDATTVMQEKIDRDVATLHARYTDVQRASSKIRDELARVQY ERSVVQEHVEGAEKSRTERTSEVAAETKNLADKRAEVEGLKAKDAELVKQLEDLREQF KKTLAANAQALTRR OJF2_RS20285 MEPPPEGQSKPPGEPLADGWVGVIANRASGRGAGQALVRRLCRE LAARGYETEVAWTVEERLALVERADRASGCRCLVAVGGDGTVSALVNERPRVPITVLP CGTENLASQHFRLRRNPAWLAREIAAGHAVRVDVGSAAGRRFLLMVGFGFDGDVVTRH HRARTASGRVKPTHRAAYVEPILRASLFYRFHPITVRILDEGAEEDLTGTTVFIFNLP RYALGLPFAPHASQDDGLLDLVIFRDPGPFKALYYLWRVFRGTHLAHPGVFHRRVRRL HISSAETIPVQLDGDPAGFLFPSPDEAPDATGPTEPGWTVEVIPDALEVLTAAPQAAS PRKLVLPLARGRFAR OJF2_RS20290 MVDSIPNPVAIGEVRIGKGRPLALIAGPCVMEPNDLTMRIAVRL REICGRLGVPLIFKASYDKANRTSVSSYRGPGLAGGMEVFRRVKAETGLPVTTDVHET QQAAPIAEVVDLLQVPAFLARQTDLLEAVAATGRPVNVKKGQFMAPWDMANVVTKLIA FGAAGAILTERGTTFGYGRLVNDFRAIPQMQETGAPVVFDATHSVQLPGAGAGGTTTA GQREMIPTLARAAVAAGCDALFVEVHPDPDRALSDGPNSLRLDDLEPLLAVCLRIREA VGG OJF2_RS20295 MNRPIWLGLGFAPLVALAAVATGCDYSSREPDVVSPGTFSPGRG PMPGTGRNTAAASPREAADRAAILDSSIELIRNSVLHPGGDNFGRATQQLNQYFEGTP GPSYRLESDARDFLAEQLPPEMLRDLESPMWSPRGDARHLEDCMLYSSVAGRIGGTGD DLERARRVFDWMVEQVQLVPAGWLGSRQLPQVPARPYDVLLRGMATESEGFWSERAWL FMELCRQLNIDVGLLTYTRGNVLKPRIQAGPGADQADSQQVIPWVCAALVDDKAYLFD ARLGMAIPGPGGRGVATLADALADPSILERMDLPGQHSYGTSRASLISSPTKIGVLMD SSQGFFSPKMKLLQGELVGKNRTVLYRNPAQQRDHFAKVLGDRLGEVRLWSTPLQVEQ ELFRNPQFVDSTKQSLTFFSSDYPLIYARIKQLRGDLEGALGEYISFRLIKDVPLVSE RNKAKSPQAQAGGRQEPIRTIPAEVQKGLDLYATHYMGLAQLERNNLGSARDMFEQVL TMAAEPDPTQPFYVAFRWGADANLGRVYEGLGDAPLAIRHYARANRSDPSWQRHGNLL RARDLVWRRPFAPGAGRPAAATVNAAAR OJF2_RS20300 MIDDPSRAATPPAGSRRETPPIGANENGNGHGNGSGHGGADAGR VVETTVSPFHCLYQDALFFHTQSRLAQSEGDASRLARAALVLYVSAAEALVRQAAVEL GRPELRGLLGDPSRPLPLAEAWRLLPAIVAEPGVPTRPFEPEAPPWPQFAELLMLKTS WIYPGPPASRRAYYRAARKESDYEPMEPHNVPGALKPYVRTETLTYPRTGLPRDPYAL RPRHLDTARGVLDAAIEALDRRMGGTLCHGQRHRREPTRVVYPPENSRG OJF2_RS20305 MPAETRAAPVQTRSTDAPFLLTVELFARMVETGLIPRHRRVYLL GGSLYEKAARTEAHGYVGAAVTSAFYRRMPDDWRLWPESTIKIDDSNAPLPDFSVIRG ANPLDYGSPDRYPGPADVGILIEVAVTSLREDLTSALELYARALIPVYWVVDVPSKRI LVHSGPRVVDGRGAYTRVEIFHAGDAIPFVLDGREVARIPFDEILR OJF2_RS20310 MSTPGHHEYDSCDTQFPETQWSLVLKAREPGTVRAEALNALCTR YWYPIYSFIRRKGNPPEKSRDLTQSYFCELLRKDLLLRADQGKGRFRAFLRADCSHFL VDQHRRETAALRAPEKPLLSIDLETAEGLYLMEPAHGETAERIFERNWAWTLLDRVLE RLREEAEHSGDRLRFKELAAALGGAEERQSYAVIAARLGLSEQAVATAVHRLRRKYRE LLRAEIAATLADPADVDDEIRALFDALSA OJF2_RS20315 MGATRVLVLGGGFAGLWSAVGAARKLDELGQGAGAVEVTLVDRN AYHNIRVRNYEADLSRLRVPLDDVLGPAGVRRVEGEVAGIDFAGRAVTLAAPNGPEVL TYDRLVFALGSRLLRPDIPGLAEHAFDVDTYDGAARLNRHIDALPARPEVPGLFTVVV VGAGLTGLETAAEAPGKLRTALARAGRDGSPRVILADRGARVGSDMGESARPIIEEAL AALGIETRLGVDVAAVGPSGLTLRSGEEIPAATVVWCAGMRASPLTRLFPVELDRFGR IPVDPFMRVEGVAGAFAAGDAAWSMMDDVHASVMSCQHGRPMGRIAGHNVVCDLLGLP MLPLQIAWYVTVLDLGPWGALYTEGWDRHVVATGEAAKATKRAINGQRIYPPLTRDRR EILAAAAPTVQEPPATDARGG OJF2_RS20320 MIVSAPDFAAWRTAARVLLAAGVPPADVLFDDGNAPGLFAADEL PAAPEGGAFRVPRAFVALAESVACHRDPRRWGHLYRALWRLTHGEPHLLDLATDDDVG WLLHAEKSVRRDVHKMHAFVRFRAVGEHFVAWHRPDHRILRRASPFFARRFPEMRWSI LTPDESVLWDGEGLQFGPGVPAKDAPAADELEGLWKTYYRATFNPARIKVRAMKKELP VRHWATLPEAAIIPDLLAEAPARVAEMVAHQEGCARSAADFLPAARDLDGLRAAARGC SACGLCGPGSPPAFGEGPAGARVVLVGDRPLAGAAGALLDAALDEAGLDRAAVYRTQA VKRAAGDGPRVGPREAASCRPWLLAELAAIRPAAVVCLGPAAARAVLGPLFRFAERRG EVVAAAGGANVVATLHPAAALRAGKRAELIAHLALARGLAERPPGTPEGPRPASLD OJF2_RS20325 MDIRRKLDILADAAKYDASCASSGSATKRRDSRLGSTEGMGICH SYTPDGRCVSLLKLLLTNYCVYDCRFCVNRVSSDTPRARFTVAEVIGLTIDFYRRNYI EGLFLSSGIVGSVDGTMEQLVAVARGLREDHGFGGYIHLKLIPGASAELVAEAGLWAD RLSANIELPTSQDLQLLAPEKTREDIDGTMNVVAEGIAEHAADRKAGLKAPAFAPAGQ STQMVVGATPTADGTILATADELYRTQRLRRVYYSAYSPTPHADARLPALRPPLMREH RLYQADWLLRFYGFDVAEVVAPGANLALDMDPKLAWALANRDRFPVDVNTAPRELLLR IPGVGVRNVNRILAIRRHHGLTTDDLRKLKVNWKAAAPFVLAGDHNPTVRLLDAVGLK KKLVQPTLFDHLDDA OJF2_RS20330 MSVDELKAAVAQLPAEELDRFSQWFEEFLADEWDRRIEADIRAG RLDAAGRRADEDFEAGRGSPLSP OJF2_RS20335 MNHFATPESWFHDRRLTDEARELADRSFAMLQADPRHPSLRLKR VGNFRSARVSLRIRALARERPDGLVWSWIGPHDRYEPLIAQ OJF2_RS20340 MAHDAVLTPMIALRLEHGNPAGRRGRCRRLAAFGILALASWASA RADDPPPTRTTRKADVGGYKLTLATQGKGGPTVVIEPGMGLPAAESDEWKAVCDEVAR TNLVCLYDRAGLGTSDPAPKKPRTSRDCARDLHALLANAGVPGPYILVAHSIGGLNAR AFADMYPEDVAGMVLVDAAVVDQDTKWLEAFPAEAPGEDESVARARKFLTARIANRSD NPDGLDVVASWEEVRAARDLGDRPLAVLTHNPAWKVVPDLKEDVLKRMEQVWQELQAK LPGLSTDSSHKVAGKAGHAIQVEEPKLVIDAIREVAAKVRARSQR OJF2_RS20345 MAVAHGGTSKPTRKQVTPKSRSETKPSPPADSASPRPVPRAPAS YFSLVEEFRLERIRDDDHLARALAVVDRLMARDLDEGEQSYLDALVDLIEVYEEKAYP IPAASESEVLEELMGQRKLTETALAEQTGIAQSTISDVLRGERELTKDHVVTLAKFFH VSPQVFLPGT OJF2_RS20350 MIKPALQFNEDDSIGHVAAVDTSRVLIDAANQSVVTRVGIGELI AIRGATEQEYLIGIVERVTRTLREGMLDAVGDSGSEIELGLQPNDLVRVILIGTFRTV DGAEKNRFKRGADSFPQIGRDCYLIEAANLQRFMGLLGADIAKEEQLQLGRFIIDPDA TAIASGDRFFQRHASILGSTGSGKSWTVALILERAKKLRHPNLIVLDMHGEYQPLAGK EGYCQGFRIAGPGDLMSPDSGIIFLPYWLLNRDEMLSMILDRSDNNAPNQASRFTTHV RMLKEKTLENEGKDSVAKTFTVDSPIPYEIKDLLEALLLDDTKKGTGANNNPVKGEWE GRLTRFISRLQAKLEDRRYGFMFQPPSYAQQYGWLAKQLSQLLCSDHARKGIKIVDFS EVPSDVLPIVAGTFARLLYDLQFWQRADSRTPFTLVCDEAHLYLPVKDGADAVERQAL ATFERIAKEGRKYGVSLVVVSQRPSDVSRTILSQCNNFLILRLTNDADQAVVRRLMPD SMAGLTEILPMLDTGEALMLGDSVLLPTRIKLDKPAIHPSSGTRQFWKEWNTCKPNAD LIAEAVETLRRQTRVNE OJF2_RS20355 MSWESTDRTMDLNEFKRQLQGHFSDGLAIIVGSGLSAAEGIPGM GKLADHLLENVPNRITSEVQPEWSPIASDLKNNIDLESALSRNRPSDALEAVIVELTS KLILEAERQVIEQVVNGNKTLRLTRLIPHLLKPENGIPIVTTNYDRLVEVAVEQSGLS LNTLFVGQHFGRLDPESSHLLLCKRIDKTKTGVKLNISKHAVVLKPHGSLDWYQHHSG PVRCPFPLGLQRLIITPGLNKYRDGYNKPFDSHRERANREIDKASRYLILGFGFNDAH LQTHLESELERGKPALVLTHSLTPHAEKLAKGSGRLTALCAAPDHLGTKVISKNGVES IPGASIWDLGHFIQDVLEP OJF2_RS20360 MIERQRPHQGSGLASIKERRVQYQDDPWRLLFAFDPNRAAIPLI GGNKRATGAGTGPSSPSPTSDSAGTSNAWGNEARKTTRLEDDLATLPAAEQQAVRDRA AELIAEEATLRQLREAREQTQAELAKTLHVNQAAISRLERRSDMDLSTLRGFIEAMGG QLEIVARFPDRSVRINPFEALDPELRPEGETPDTTEGADFRIAGLPHS OJF2_RS20365 MSKPYAAVAERAGHRCEYCRAPEVIFNLPFEVEHIVPSSRGGSD EESNLALACRACNLFKSDRQHAKDEVTEEVAPLFHPRLDVWNEHFQVDRDEGSIRGPT AIGRVAVAALQRNRDVQRIARISWMKLQLYP OJF2_RS20370 MTRVSLLHESSGPSSMEYRALAAGIQAAGRTAGEALDALASQLP QEDGQTLVIVRGMAPDRYFTAEQRGRLRELMGRKREAAAGDSALTEQKEAELARLIDA EVRAATERADALLRELSS OJF2_RS20375 MRVLIVTSFPIPGEYDGTAMLPIKILRALKSRGVDVAVAYLRLR PSKGLATVVEDFEGTPVFNVPPVGWVGGSALLKIAREWPFDVVHAQHYGGATRAYAAC RRGQWPLVYEIHSLLGEEVERDRLGRGPVFRAYLAVEKKVFRHAAQVIALGEPVKRVV VEKKGVPEDRVSVIYPGIDLGEYERPGRPAEIPGVGPEHAVIMYVGSIVHPNQGVPIL VESLPRIFEARPDARCVLVGGPADAGERYRAQLGEHGDRLVVLTGQTPEQVVALTRRA DVLVHPRLACVENYSVQSKIAVYLAAGRPIVATDFGDYKHLLGDTGAGLLTDVAPGPI AEGILRVLGDPGLAASLAAACGPVAREHFGMDRNIGRYLEVYDRAMAAGPR OJF2_RS20380 MHWLVLLAASLGQVAPPNPAPMMPATAPAPVAESSGPAAAATAA VESAVADAAAPEVSFGAPVVPMVPAAAPYGAAAPNFNEARGLFESDHAFDGFVGPMTD PIQAKDARSLTEARFLFLSNWARPGTPVVGRGTYQVYALQLRLAVTERLQLFADKDGI VRFSPNPGRSVTGLANLAAGAKYVFIRDVEDQFLFSGAVQYEAPTGYANIFQNQGSGL LGVYGIFAKQFGDNLHIQGQFGQNIAMQTQQNGYFYTHLHADYRLGKFVPFFEANWFY YNQGAHYLPASIGMEGAGFINLGTSGFTGDSIVTLAPGFKYDFNQHLELGTCYQFPVS PEHKSLYGDQLIVDLILRY OJF2_RS20385 MHDRRSLRAFTLIELLVVIAIIAVLIALLLPAVQSAREAARRIQ CTNNLKQIGLAVHNYHDAFNALPFGKGPSYMMTMPMAPMYARWSTHSQLLGFLEQKPL FDAINFAFPPDTPDFDAGSMMGFMPAFSSPANSTASRAKIAAFICPSDANEDATWPGT VSYAVNEGSWLCDACEQTPSTTAPGELPKGPFYTRSCVRLASFVDGTSNTAFFSERRR GIGTPDPRSSLYQMMNATSLAAAYQNCTNLDMAMAMPITSRSGLAWSVGTMAGTTYNH VSTPNTRSCAGMDGMSGGMMMAMNNMAVQLPPGSFHPAGVNLLFGDGSVRFVKDSTAL NVWRALSTRNGGEVISAGDY OJF2_RS20390 MRPRFSLPALMITIALSGCGSPSAPLSSADQARKSLEAGLEAWK AGRPASSLTGDKPAIDFVDFQWKAGKKLAAYSIASDQADAEAHTFKVGLTLADAKEPK QVEYKAIGVDPIHILRDEDYNRTLNMDNAPAAAKAPGKRR OJF2_RS20395 MDRPRRGFTLIELLVVIAIIAVLIALLLPAVQSAREAARRSQCT NNLKQVGIAVHNYVTAFQVLPFGKGKNYVSVLPSAAAYARWSVHSQLLMYIEQGNLFN AINFNLPPETPGMAGDVPFMPPYQNPNRENQTASLAQVATFLCPSDAGDALLSQWPGG NNYLGNLNTWACDMGEALPTDVPDPGAKPSGIFYYLSSVKFAGITDGLSNTAFFSEKI RGRDTNDGNARSDSLIMSGTITPSPTGFEATHANCEALSPQTTTRLTRRQGMSWVMGE MCCTSYNHVGTPNGKTCAGVGFAGTMANMPMQVPPSSLHPGGVNTMMGDGSVRFVKDS VSLTTWRAIGSRNGGEVVSADSF OJF2_RS20400 MNPPAARRRDRRIRALLPLLVLLAASAGPSPARAGCGGHVAPSN SRPAPFGSLASASPLDLIDIDPSPGTPPPRCSGPNCNAPTDQPPPAPTWKAPHRIDIC HSAAARIRPEGPSWPTGPASPLHSIGRAAAVDPPPERPA OJF2_RS20405 MNPNRRGVWPWRPVICGLLAAALAGCHARSAAPANLVPDSARAR WAVGRAMELWKAGRPTGVIEPTEPRIQVVDSNRKAGQVLEDYRILAETSSLRERTLSV RVRLRGPDEEAVVRYLVMGADPILVFRQEDYDLMMHWEHKMDPEAEDAAPGPEPPAAP RRADEDLPRRPSGAPG OJF2_RS20410 MTTETPAGIDAAPPPPQGASHAPASRAIRAAAWSARAAWVRARF LVALAAAFLVVGRWDVLRTYWDRWTAPAAREAAMGAVSADTEYFCPMEPGVVSDWPAK CPICHMALVRRKKGDMGPLPDGVIARVQLSPERILLAGVRTAPLRYEPLARTIRLVGP LAAGDASRRLTAETSVEEASWLRPGLEADVLPDPPDGLPARKGKVAAIEGGTRVTIDL PDWTGPIPRLAAATVRIPMADREPFRSMPRGEPPIRPGDPRAVYTCAEHPASTATQAG RCPQDGKALMRSPLAANQKVAWWCPMHPSVCADVPGRKCDECGGMTLVPRVVSYCPAG KVLAVPESAVIDTGARRVVYVEGMPGMFDGVEVRLGPRCGTSYPVVDGLEEGMRVAEA GAFLVDAETRLNPGLAAAYLGARRGDPAAAPAATATAPPGDAEAPADHLDLDELSPAD RAVAIAQKTCPVTKKPLGSMGTPVRVEVKGRAVFVCCSGCTGALQANPDRYLPPPPAA HPAHHP OJF2_RS20415 MTDRLIAFSIRRRWTVLLAGLLLAVAGAFAVRSTPVDAIPDLSE DGVIVFADWPGHAPREVEDQVTYPLTRELQGLRGVRSVRSSSDFGSSTIHVILDGTAT IAEGRRGVGERLVRAGASLPAGVVPRLGPDSPATGQIYWYSVEGRGYDLGRLRAIQDW YVRPQLASVPGVAEVASVGGYPIEYAVSVDPLRLRAMGITLRDAEEAVARSNASVGGH AIQKGNAEYLVSGNGWLGGGAADSAPAVVRDLEAVVLPAPGGKAVRLGEVADVAVAPG PRRGILEKDGTEVAGGVILMASGENPLEVTRRIKDRIHELEPGLPPGVVILPFYDRTP LIEGAIDSVRGTIVEAMLTATACVVVVLLHLRTSFVIALTLPLAVLGSFLVMAILRTL GLLDVQANIMSLAGIAISIGVLVDSSVVMAENVMHRLRDRFGDEPARGDLRAVVLPAC REVGRPIFFSVLIMILSFLPVFSLGGIEGKMFRPLAITKTLALATVALLSVTLVPALC TVFIRGRIRGEMSSPLVRGVVEVYRPVLAYLLDSPGALAWVIGMTFVVGLAPIGSRPL LLACLGAAIVVVGLVVKGRVARAAALLSLTAAALAAESGMTPIAREFLVPLDEGMIMD MPITVPRASVAESADDLKARDMILCRFPEVDMVVGKAGRAETPTDPAPMDMIETMVNF RPRELWPRRSLDPRDASRMARRVLDRLVADGLVQPPADREGAAEEALADILTRLDVQL REFAYLRNVEFQRDQASNLGPPSHDGLTPGQLALWRAHVRGLDADLVDRAAEVFTRLA VEELLSRLGSTDPDIDASLAKLREHRARPPAVVAHHHAGGSHAMSRPLEAPDLPTIPQ LEPIQGELSAEFRRGLVLWRKGPSDLMGFRGELDRAVQVPGWSNIWTMPIQNRVDMLA TGVNTTIGVRVLGRSLDDVVATSEAIAAVLKSVPGAADVVADPIRGKGYLEIRPDREK AANLGVSVGDMNDAIEVALGGRVVTSTVEGRERHPVRVRYARDFREDEASARKILVPA VNRRPDGSPRFVPLEEVADIRIGEGPATIKGEDGLLRNYVRLNVRGRGVVDFVEEARR IVAEKVPLPTGVAVDWTGQFEHEVRARRTLSILMPTVLLIILGILYWTYHDLADAALM VLAVPGAIAGGVFFQWLLGFPFSVTVWIGYLACFGMATSTGIIMLVYLRDAVESRGGL ASMTEDDLRRATLDGAVHRLRPKLLTEGTTILGLAPILWADGPGADVIRPMAAPVLGG LLIADEVIDLLLPVLFYHVRRRRLARLREGRPGITLSTAPLQANPDGLARV OJF2_RS20425 MKPTHAPSRRHFLESAAAGTLLLPGIAPAATVGQAGRKPRIAAI ATTYFYLSHAYHIVGRFLDGFPVYREGDDVGDALHKPGFDVASLFIDQTPDATDLGRA KARKYGVRLSPTIEDALTLGTGTLAVDAVLLIGEHGNYPDNEKLQRQYPRGAFFRRIL DVFRASGRVVPVFNDKHLSYDRAEAIRMVDQAKALGVPFMAGSSLPVTWRYPELEIPL GRPFRDILAAFYGPTEPYGFHGLEILQCMAERRARRGRPQGVKAVTCLEGDAVWKAGD EGLWSWELLRHALGRSRTVNVGDIRQNCRDVGKPVAFLVEYADGLRGTVLLLNGHVQD GTVAVRTEDAKGHETIASTLTYLPPPPGARFFDPLALRIEDFFRTGKPPYPIQRTLLT TGILDAAMDSRVRGHVPVATPELAGIDYEAPEDSGFIRAPLTDPTPNRI OJF2_RS20435 MDPIDLVSRWNAWCVTGSPNQIDDTLTRLDAGLPGGWQRLTEEQ VRERHLDRFQGPLSARRPVWYATNSPSNHGGANVSLDRIRDTDLRGGLVLFNGPAYPV ITPQSAGEWDRIVGFLEGSIIPAARAAGANPKAPTPEEIFLDQLPSSVGDALRRFSRG ARKVLPLNAMETESWNGFVIAAFRSRAIIDSRLLVEWLVVDGWKRDAAESLDSQLSEQ CLLLSRFAEEVLAV OJF2_RS20440 MIAIPEAAASIAEQPAPVIILDTCSLLDVFRWVDSEREPRASAD EIRAASLLLRGAASSPVSFHLVVPELVEVEYGRKARNLEDSFQGWIRDHDKCGGWFAE AAPWIGIALQEPLRIEPLRISEKCHELADKLLEKAIVLSRDVACLNRAIGRLIGKSPP CHLRDEVKDAINLEQALELSRRLGLIGSSPGRVFISSNTRDFGDAKRRSRLHPDIERD FQEAGLEYFTSLAAALGSLQSRKGLQRSTESHAE OJF2_RS20445 MITPPASRRRFLESTAAGMMLAPALGASRTEDPPRRPKVAALAS TYFYLSHAYHIVGRFLDGFPHYAGGPAAEGRGDSLHRPGFDVASLYIEQASDATDLGR AKAKAYGVRLSPTIEDALTLGTGKLAVDAVLLIAEHGDYPLNEKLQKLYPRGAYFRKV LDVFRASGKVAPVFIDKHLSYSRAEGQQMVDQARALGVPLMAGSSLPVTWRLPELEIP LGRPFREVLVASRGNLEIFGFHALETLQCMAERRDRKGKTQGVKSVTYLEGPEVWEAG DKGLWSWDLLRHALGRSHTVNPGDVRQNCRDFATPSVRDASTILRGPNAFVVEYEDGL RATAMILNGHVDDTTVAVRTRDSQGRETIASTLTYLPAPPGARFFDPLVLRIEDFFRS GKPPYPVERTLLTGGILDAALDSRIRGHAPVATPELAAIDYEAPADSGFIRTPLTDPT PNRL OJF2_RS20450 MGPGELGRVCSPGTTRRCTTWSPTGWTSEAALRSEAKARLQDVL NAKDAPDGEVLALRHFDQLTQAEALEVMGIKRNAAGMHSLLARKRLKDGLSSLPEG OJF2_RS20455 MSEMDSGPDLLNELAHEFAERVRRGERPSLTEYTGPHPELADEI RDLFPALAAIEQFGSVGRASTGPHAGTATCDGTAPRQLGEYRILREVARGGMGVVYEA VQESLGRHVALKVLPFQRLADPRHLERFRREAHAAARLHHSNIVPVFGVGEQGGVHYF AMEFIRGRALSSVLHELRGRRRAKGLDAGVTAEGSSSALAGRRGWGLAVTLAEGLATG RFPGRDDVPRDSDGGELAPRLDPARDGFIGPGPSDAIVSGDELDLSAQSDARYFRSVA RVGVQVAEALEYAHEQGVLHRDIKPSNLLLDTQGTVWVTDFGLAKAEGTGELTEPGDL LGTLRYMAPERFRGQADRRSDIFSLGLTLYEMVTLRPAFAATERVQLIERMLHNEPPR PRKLDGHVPRDLETLILKAIAKEPGRRYQTAGELAEDLKRFLADMPILARPVTVPERV IKWARRRPMIAGLAVAVHLLLAALLMTGIWSYVQINRSLAIARAEGTRAVELARSEAQ ANAKAREQTRIARDRVEDLRRRDYIDRVNLAYRECLANNVAQALKLLDGCPEDLRGWE WAYVSRQCHLDLHTYREPGAAINAVAFSPDGRRLAIASGNPWSIPGGTGDLAVRDVAT GREVFAHRGLAGGIRAVAYSPDGRWLATGHAATLTVWDALTGKERYHTTDPGHFALLS LAYSPDGRRIIAGYGSFDKYTIGYAGYARLRDAATGDELIDRFPGKGGGIMSVAFSPD GQQAALATGPRVEVWDLASRKLLRPLMAHTGRVVYAVAFSPDGRYLASGGWDKTVRLW DRATGAELRTYSGHEGFVRGLAFSPDSQRIVSASDDRSLKLWSLGSDRELATFHGHQS SVQCVAFGPDGSQIASGSQDQTVKVWSATPNLQLTVRGHVGQVRGVAFSPDGHAVASG WAEGDLELWDPATGEPILSLQRQSSFGAVAFSPDGRHLATSGLNERLKVWDATTGRQL LTLTGTSREVIRPCVAFSPDGRYLAQADNDRSVKVWDATTGGRVQTLRGHSAAVCAVA FSPDGQTLASAGDDETVKVWAVGSGQELLTFRGHMAPVFSVAFSPDGHRLASAGGNSP YFQALDADSDRGGRELTAAGGDSQHFGEVHVWDPSTGRELYQLRGHTDAVLGVAFSPD GRRLVTASDDQTIKLWDTATGQEVFALRGHLAAVRSAAFSPDGRRIVSGGSDWTAKVW DLDSSTSEVLSRREAVAQAASGEMFLAVERWDQAAAALTRALELKLDNARTRLARARA FSGMGHSREAEADFSRALELTARNANKYHDVAWLLATYPDPNLRDPARAVELARKAVD LSPNEGTNWNTLGIAYYRAGDWRAAIDALTNSMERGSCRGEGFSAFFLAMAHWQLGDE SRALSWYDRAVEWMDGRKSTDEELARFRAEAAALLGVKDRSD OJF2_RS20460 MTDLPQPGPPNALPRRPFLSRLAAGLGALAMPYRPNSRGGAEAA AAPDAGGPNPIVEENRKPGASDWQLTRVRADASGYRSTWIEGYASKQSVRAGETIDLM VSTDPPRRFAIEVFRTGYYGGRGARLMTKLGPFPGKAQPTPKPGVKDIHECRWEPAAT LTIPADWTSGVYLARLTTIPDREAEPYWQSYIIFLVKDDRPADILFQCSDNTWQAYNR WPSHYSVYSHPKGGQGPWAQVSFDRPYGREAQYDAIVNDPLTVGAGEFLPFEFPLAYW LEQHGYDVTYCSNSDMMTPDRGLKCKAFVSVGHDEYWDIRMFRSVEAMRDAGVNLLFL SGNTMCWVAPMTPSSDGRPNRVFFRGGPYGAENDYARGRQKDHGPFPEHGPDEGLLLG ARNVEPVNGGGDWIVVKPDHWIFEGTGVKKGDRIPGLIGWEYHGDPAAIPGLEVVAAG TAWVGGETPQKWAATIYPGPKGNFVFNASTIFWSQGLSSPPGHTLPWSHWSRPHGPDD RVQRITHNLLHRALGRRA OJF2_RS20465 MITERIREMLEACEAGTPSFPPSVLFNEGWLLRIVLDWFAEHGG DRYPLSPRPGARWFSEPWLPSAFLPRFRGDRLAEARSHADGVLGHFAIGDPGTAGLAL RPDGTQLVVAEAKLYARLSAGVRNAPYYDQAARTVACMAEVLRRADRPPEAMEDLSLV ILAPRARVEDGVFTWDAAHEAIRRKVRRRVEDYAGERDAWYRDWFEPTWRRLEVRCLS WEEIIEVIAFHSPEQGQVIDSFYGRCLHYNRPRIKAAFPGRTAGFPGERAQADRAANA ARAAGAGPEPEPAPEAAAPPDPADRRAGLDVEAVIDAITSTPA OJF2_RS20470 MSGSSFVRGSAGIVRGAAALGLSLSMAWGVGAARGQEPDSVQAI HEDFANQFRQLEKTRLDRLGRLAARQDPAAAAATYEELFRLAISANLFREAEPAASTV IRVGSPSHATSALAHLVKIVAEADRGAFEESLAALKVAVENAAQDGVAGLQSEEIIGI TDAYYQRLVHADQIPVALKAFKFCAERAQRPVVKEFLAERLRRLEAVGKPAPAIRGTD LDGRPFDLAALKGKVVLVEFWASWCLPTEAQVEQFQQAIDARKDKDLVVVGINLDTLQ GEGLKPETILPNVRRFLLDHNVRWPTLINGKGEKDYAAAFGVVDIPASVLIGKDGNIV QLDLVRQNLGPVLDRELAR OJF2_RS20475 MLHHRGPLRPPVRTGRDAGQAILDSPPEGGLRVAVAERSDATFG GQSRRPKPCSMPRRSRGVAFGSAPATRACESLARLALVATFLAVLIAPRALADKAPTP DESRAAMRLADPSLAIELVAAEPDVSAPVAMAWDEHGAMYVVEMTDYPISPDGGRVKR LEDRDGDGRYERVTTFADGLHWPSGVLPWNGGILVTAAPDLLFFKDTDGDGRADVRTV ILTGFFEGNQQLRVNSPTWGMDNRVYLANGRSGGSVRRPGEPDSKAVPILRNDLRVDP ATGRAQAVAGFSQFGLPRDDWGDRFPSWNTVPIRHVVLEPGEVGPSANTVADILDLSD GGRVYSIAPAQKRFNVETVAFFNATCGPTIERGGLLGDAYRGDAFFCEPLTSLVQRRK LVPDGPTYIARRAPGEADREFLASAHPWFRPVNLATGPDGALYVADFCRAWVEHPDFV PEGQRKSVDFREGFEHGRIWRVAPRGSEPGPPAAKPGSADAAGLVALLESPNGWCRDT AQRLLVERRDLATVPALRRLAAASKLPLARAHALWTLRGLDALDDETLRAALRDDSPR VREQAARLVADSARQPSFASELAAIADDADARVRLRAVVALAKLDTDLERDALARVAA RDAESPWAAAAILGALESSPGKFLDILATRQPGWLARPTDAQLGFLASLGAQIGASAD RALVADALARAAAAPAEAAGFALLQGIARGQARAGSKAFAWPSLEPASPPLRQSVRRL LDAAAAKAKDPAAPLPARDRALAAILAARDPAARGLIPILVNADQPATLQSAAARAVA SAGDPALADALLSRWDDLSLATRRVLLGALSGSPALAGRLVEAVASKRLEASEIDPGT RDALRRLADPALAKRLAGVFPAAGADRRAVLERYAPALSAGSPDPARGRELFAKNCQT CHTRGGGAKVGPDLLSVAGRPPADLMVAILDPSREVAPDGVAVVVATARGDTLTGLLV EETPSSLRLRRAEGLEDVIPRADVEALRSTGRSLMPDGLEQNLTPADLADLIAYLKSP EPPAGAGR OJF2_RS20480 MTRRRRRTWRAAMAAAGLLFLEMTGVAAMAADGVGAGAAARALE ATDDLVIGGGIGPGKAVGQEGELRASAIVVRAEDGTKACLVACDVLMLERDILDAAAK EVQAKTGIPFDHILINCTHTHHAPSTVTVHGYRREEAFSRQVGEKVVAAAVAANERLA PASLMFRRGEESSVGKNSRLLLADGTIFWVGSMADAVRPTGPFDPDLPVLAFVRPDGK PEAVLFNHSTHTIGTAKPGVRSPSFYGLAAQELEKERGGTFAFFEGASGSTHNLDLKA PEAAFRIKAAVADALDRARPRPLPTIRAKRAEVTLKYRTFDEAAEDAAVVAYCTKRQP APYHEGTIAVFRESRKVLAPKQGRDRKTWVQAIVLGDLAIVGVPAEFFTTLGQEIKRR SPYRDTFVFELANDYVGYTPDAPAYDKGGYQTWAGLHSFVARGSGEALVDAAVGLLDE LHK OJF2_RS20485 MLPLALALWLSILPGPHRDAPKSAPSPAPAPPSQAAKAEGFTPL FNGRDLTGWYTFLQKHGRNADPDRVITVEDGAIHLYKNAADNDMVVMGYIGTEKEYGD YHLRVRYRWGAKKFRPRYELKKDAGIYYHILGEDAVWPRALQFQVEATNVGDLIALHG FQLDSWVDPKTQGEAMPTFLDEPQGGAARVLGGKGIQYQKHLAGDHEVEGWNTAEIIA KGDSVTHVLNGRVVNRGVHVRLVDPEHPDAPPKPITKGRIALEIEAAEIEFRDVEIRS LD OJF2_RS20490 MRPERQDMPSQERSIKDRGAELYDDPPAATGQPATRPFADYLRE TPADPISTGMRAFLWVAGVVVAILLLAALWRAQQPRAARRPRANRPPAAAARPAPGGP LLSATAPPAGR OJF2_RS20495 MGRVEEVIPSQERHWSRHAARYDEVFIDPFAPGVVNPLWDALGA VEDRGAKTVIDLGCGTGPLLPMLLDRYGFGRVIALDFAPAMLARARERLGPDGAARVE FLERPMADLGDLRGRVDVAVALNSLVMPDVRVIDATLRAVREALRPGGVLLGVVPSLD SIQYQTMLQFDQALEIGMGPAEARRFTATHAEHRLYDFAFGLFRYRGLRQKFWTPFEV EHRLARAGFRAGPPSKVLYPWDEGVPAMAELSHFPPSWDWFFEARP OJF2_RS20500 MGDEDDAAAADRRPEDDPPDRSDRPGARSRRPSVAFAALAVVGL TALCLYARCSGGQDVTPEALADAREAWARAMIRDYDLEWTSSGIARNHYFVTVRAGEV RKVEAVAPDGRRFEMAPAEKRFYGVDGLFTTIADELAQLRTERPFGQPPGARIVMRFA PDPKLGYPRLYRRDVMGTAQGLAIDVVRLTPAGDGPSAPGSPP OJF2_RS20505 MPGTMRFEQAVYGSFPFWDRGYAVLARSAGCRPEWLDALRLAAQ RYGERPAGIAEHRALFAMRLPRGPWMIVGVFPQGDDDRGRPGALAFHGLFVDPRSYAK AGADPFRFADSLRGDWAADDQDVALPAASMTIPAPIAAVAAPTGRAAAIAARIRRGGR VAVLADEPIDGLARAAWDLLPGRVRRRASLATWAFGDENRFDLVATPRLPGMIEGPEL PPPPAGAPRPRRPAILGLAALLLAAAAVVAILARTRPGAGERAGAVPGPRSDAPSGPT AGPSAPTPPRPSPDEGRPSSPEEARRMAEALASLADRLDPDAGPPPDAGAAATMRRLA GRLRYRGPALGEAELAGLRGRHASPDAVNALRWHSLVLRFLDDRPLPEGFDREAPRRQ LAVLAWSFHLEDDPGVAGALRRGTPEEVVHALGDALAIDVPLPRTSLSSELPALEEYR RFLGTLPRK OJF2_RS20510 MESRRVFLRSAAAGLGLGMGAGMAPTPAAGADPATGGPTLAVFT KHLLGLDHERIADHLAGIGVTAIEAPIRPGGHVEPARVADDLPRFAEVLKERGIAIAV LSSGINAVSKEQHTESVLRTARSLGIPRYRMNWYRYDMKRPLWPQLEAIGPRLDELVA LSKEVGIVPCYQNHSGVGMVGATVWDMETLMRKHPAADLGWYFDIFHARVEGGLSWPV QAKLTRDRLSVASFKDFVWDGKQVHGVPLGRGLVGPEYADSLRKSGYAGAVSLFLEYL ESEPRDAGYLARAAAATRRDLEVLRSWLS OJF2_RS20515 MAELTPTAGAAPRKKTFGPLLYSTLLAAGLVGLYSAIELGGPVL QRWLEERRLASAVTSRDPNERRAAIGLLQGRHRASAAPHLRRALLDPDPEVRVEACEA LFHLGEDPPRYADVLIGLVGKGGDDDGVDRRVKAARLLGSLRATHRGPSPGRSAAGGD ADAGTALQRRTFEALSPLLHDPSDEVRAAAAAALGQGVALPEVAACLEAAASDRDPDV RLAIAGALVRLRGGDDPAAARIFLGLVDDPELADWGPLLESLRSAGEATRGRVFRSVV EAARKAEPARRPERIAVLSWAGGLARDAVPALESLLDDPDLATRAAAADALLGIQNDH ASGGLVPRTRIARVAAIGGPGGMAAMMGMADSEPATIADPRALRAIRAVLAEVVGSKQ IPLEGRQNALEWLQRLTTDSPGLPAEASSALVRQLGDPDPDIRRSAHALLGLSIEAGP VHMPGARPEKSAPKSPAPK OJF2_RS20520 MGLTASLTDQDVEQALAQWDDLRRDVGPDAAEAFVRSLPAGLRE GVERDVRLMLAAEQALMTPAETGEEIARRECGAMGVSGRYEIVGVLAIGGMGVVYRAR DLELRREVAYKVMKRRYREDPNAERRFLQEAQVTAEFRHPGIVPVLGFVRDGCGLPAY AMEVVEGLTLGDAIGQLRLPPAGDDPRAPASQRRIVLRHFVSACRTIAYAHEEMRCVH GDLKPSNILIDRYDGTRVVDWGVCRFVSPEDAPPEADADAISRRIVTRQFGGPSAGQA GEPATFESDIHALGATLYNLLTGRPPSADLEWSTVPIPKPLAAVCRKAMASSRQDRYS SVALLADDVEAYLEDTSNSVYADPWMTRLRRWFNQHQTSAVAALLTFLFLCVSVTGAV LAWDAIERDARRARESFEKAARHEADRVRFESERRTLNTMLHYGLLEYMATNRRRGIQ LYDELVRTAQDRLNSEFRSDADVAILAQALAYRGLIELPAGFLGHETSASPMADALKK LAILILAGQPIDGELIASLTPPLDPERARKAEPWLKRSLEKFRCINATLHPDSQDYWV RHACLTTLIPLLLKESRFTEALLVSDEMIAIEGVEAARPWLWRRNVIRMAAEVEQSKQ EWSRPPRAYDPRVMRMTGYLAEDDAASYMAIYNAACVFSLASSDERATSRSRELRAAR AITYLRWIADRGYFRDPKRRMQLLNDTDLNPLRHRHDFQAIADQAQARR OJF2_RS20525 MAEDPRTELRALLQKHFPRIRMRDPAARELLLSGLSALMPDLVR PSFHRKFPRLRAFLQTDDVTQEVMCKLMGPVFETPPETEEHFRAIVWLVISHTLVNLV RKYYGPEGDGRHFEAAFAEPTDPATTDGRAVRDARLDIPEILAGLPEADAELIVAHYF LGREIQEIADSRGEHRATTSRHHMRILRQLGTRLGA OJF2_RS20530 MTDINDVRQVVGSEFVVGEGTRAFLLGSIAGPQDLGILAGYTSS YATGINASGRVIGYSERAVGGGPQPRAFFVEKGRMTDLGILPGGTSSKATGINSAGQV VGWADGAGGGLTAFLYSNGNMSNLGGLPGSSGGEANAINDSGQIVGFSWVGGIGSLSK RAFLYSGGKFTDLGTG OJF2_RS40670 MSFEHLPERQARLAQDLYEELRAASDADIRAMAELLATKPDDEL FGEAEFQLRDMVHRVGAKALQAAAMQRKKGGM OJF2_RS20545 MERIRAKFCALDAVLDERSRRQWAAAEAREYGYGGVTALSLATG LARNTIAAGMRELEYRELHPDEPVSTRLRHSGAGRKRRTEADPDLAAALEALLEPLTR GDPMSPLRWTCKSTRRLAAELSGQGHRVGYRTVAWLLHEAGYSLQANRKTREGNQHPD RNAQFEFINAQAARFQKRRQPVISVDTKKKELIGDFKNGGREWRPEGRPEPVRVHDFR DKELGKAIPYGVYDVTNNQGWVSVGIDHDTAYFAAASIGRWWREMGAPRFPRATELFI TADGGGSNGYRTRLWKVALQGLADQIGLKLTVSHFPPGTSKWNKVEHRLFSFITQNWR GKPLVSVQVIVNLIAATRTKKGLVVRAALDEGKYETGIIVTDEQMAGLQLKPASFHGE WNYTIKPRSRT OJF2_RS20550 MALITCLRCPHCGRDIRTKKIIAPGALVRCPGCDDVFRISPSQS GEHMILQETIPVGPPPRALPAFGALPPPLPSPAPASPTRSGPRTIGRTSYESRAKYRE PFGHSRNTFAALGIACIALVGVGSGSWYFDQIRVLGRAANGPGSVSKKKQEELANGKV PGKPPAPPSLTITKPELDGDGRTTVPRTVKIGHLIVGVSHGSIGPLSLPSGKTAEQYL VLTLRVTNESEAPSPYKGWIDGRNAVLRDKFGNRYNPTPLRPDDRPSGCYDGGPIAPH VTATDLVVFEKPAMAQPYGRADAPANELELDLPLGSSAFRFRIPWMFLMQAMPNFEIR PANTAPQFGPAPAPSPYDPEADPALRDAVRRAYRDGTKAIDHAAKGMETNHGREYRRG ESKVLIDRLVRRFKAHHLTREQIARIIDDVPAPHKAN OJF2_RS20555 MEPAEELIGRCRVPAGKKFRLEDHDPSWAGDPDVPKEERKAFAK ESLSEDVSALAEAQDRLYASDTWSILMIFQAMDAAGKDSTIKHVMSGVNPQGCQVYSF KHPSVEELDHNFLWRYTRVLPERGRIGIFNRSYYEEVLIVRVHPELAQAERLPEAKIN GKFWESRFEDINNLERHLTRNGTVILKFFLNIDKEEQSKRFLKRLNDPRKHWKFNPAD LTERGYWDAYMDAYEQSIAATSTKWAPWYVIPANHKWVARALVARILVSAIDGLNVSY PEVTPAQQESIEAARKQLEAEGE OJF2_RS20560 METMNVALPEPMKQYVQDRVSEGGYSSVSEYIRELIRNDQRRNV ESRVDALLLSGLDSGEPVTITPEYWEEKKRRLVERLGKGGRDR OJF2_RS20565 MTFEEIVRAPSIGERHDSADPRLADIRVRRIGGFPRHLVFHRPA GETDDIVRGLHGARDVGRILDRLAEAEDQDRAHEPPPAPVDPR OJF2_RS20570 MARWFVDRQPQRGIPSVSLRRLLPEARFVGCPDWEVSGCTDDHR RLDPGQVFVAIRDARYDGQAFVGEALDRGAAGVVVESHCPEAGRLQVIVDDARTAHAR ICQALAGDPSRQMATIGVTGTFGKTIAGLFARSILEAAGRRCGLIGALEWSDGLASRP LGAGFGQPGLVGPDMASAGRGGTAARLKTPGKGPWPGGAAGLAAILSYMVDQGCAAGI VEASAGALEARCFEGVEFQAAIATDVGLPRGMPEEVALRNRRAKARLFRQVAPGGLAV VNADDPHAEILGGVNLDARRVSYGMGQPTAADVWGRIDRMDASGTRFLLHGFSRPVPV ELRLIGPRHVGHALAAAALALAMDIDRDAVVAGLEAVANVAGHLESIDEGQDFEVRVD AASAATPLAHALAALRAVSAGQTHLVLSGEGHQAPHDRRALAEAAERGADRVLLTLGN PRTEAPQEILDDMLGGFRRPGKVRVLPDRRAAIEAALADARPGDAVLISGKGRNAYQI LADRVVPFDDFAIARAWLRARRAVCPTGVRRPA OJF2_RS20575 MTRTLLERFSPNPSLPNLVPRTEGRAMGSRSQIRHDPAELAASL RMLTARAHEAVKAAEARRFILNGDEVAELKAAIRGLEDQFRAQNMGHLVPFVVSLRER IDAKLR OJF2_RS20580 MDLGLSGQVAAVFGAGRGIGEAIAAAFAAEGASLVAIDRDPRVA EVAGRLPATGDGARALGIVADVTDHAAVRRAADSVRDHFGRCEHVVFAVAIGSGKFGF PFWNLEPEDWDRVLRVNLVGAVAVAQAFAPLLVESKDGSMLFLASVAGQIGSQTDPPY SASKAGLINFTQCAAKDLAAHGVRVNALCPGMVQTPLNRSTWDAWNRRQPEESRQAYE EWAAAKIKQLVPLNRWQQPEDIAAMAVFLAGRQGRNITGQTVNVDGGYVMHW OJF2_RS20585 MIERLYVHNYRCFENFTLDLTGIPSCLVIGKNGSGKSTLREALV VLQKVARGSNRVRDVVGPPDFTRDRTEVPMRFELEVALDARKFRYALVLEVPPRSREA RVKEESLSVNDVPVFTRETSNITLSDGASFHTDWHVVALPIIYDKQGEDSIGQLRSFL GRMILVSPLPSRMLGTSDAESVELEPDASNFASCLNGLLARYPAAYGAMEAYLRSAIT DFHSFENVLRAEGGRGLRVRFEDSDTGKGVSIDFDRLSDGEKAFFLSALVAAGSKFGD PVFCFWDEPDNHLSPSEVGHFVTELRRLANRNGQLIATSHNPIAIRSFSDENTLVFRR HSHLEPTTVRPLTDLPYHGDLIEALARDEVIG OJF2_RS20590 MSVNRYKDHVYVIPEDDADRQIVNGFRNHDAIDPRAIDVRGPAG GWSKVRNAFLEEYVPLLGRSSHAHVVMVIDFDEKDDARRRLFDEAIPPGLRSRAFLIG SASNPERLRSDLGMTFETIGRRVADDCSRDEPRPLWLHDLLIHIRAEFERLKQAVRPF LFRGG OJF2_RS20595 MGESGSKVRKDRRFRPGVAGGQGLEPRVLLTAAGAAAARRQALV QARATARLTPTAKIAAEFNSFLKDFAAVQAAYVRSINEQSSSTVTVSANLTQPYSSGS STMTVDDATVFGPQGTFSTPVVATASINGVSTGNQYTITGVSGSNTLILDVTNSTQAS LPAGATLSAGVQSTTAESAGAIFPSFIINRTNLMATNLVQYFNNLPGKLPYFNAPPHT PNNRGAIQSFVYSSVTGFTTNGLTQPTGNYAASLQGSLLSIALPTTAGADLTIYKAAV ASAVQQSYQQMLNGVKQVYAGRLKINIPASNNRFGADASGSVPSDYLGSGGSGGSGGT GSGGTTG OJF2_RS20600 MAEPDHPRTDSPDERRSDSRGDRLEALPRDLRRRWRAGAPRRVE DYLAAEPSLGDDAGLMLELVRAEALALRERGEPADPAALAARFPTLAAEILARSEAGT WPGDDPGRPPPTDPFSDAGPGPSDSTEAAGPGPSTGADAPGAAGDAAVAEADAPLHES DYELRARLGEGGMGEVFEAVQKSLRRRVAVKRMHREALASPGRVRRFVAEARALARLR HPRIVGVHGIGRAADGCHFLAMDLVAGGKTLATRLEDGPVEPRLAATLVASIAETIEH AHGRGVVHRDLKPSNVLLDEDGEPHITDFGLAKVFDEADPDHPLTSADRILGTPHYMS PEQADPRRGPITPRTDVYGLGGILFALLTGRPPIEGESLTHVLASLISDAPARSPGEL RPDVPPALDRICRRCLSKEPADRYASARDVADALRSWLARPDDAIEDEREGARAGRRS WGTDRSLKQGNRPRREDLSWAAPRASAREGPGVGASLRRIAVAGAAVVASALILMSLT SYRPRTTRPIGEAGASNPPAAAKAPPPPAQSPLATPVEIAVQVAPEVIDRLRADPGSL AIVLDWSGSMRRTGRQGSRLLQVREAFARVLAAGVPEGTLVSVWTFGEGSRGPAPHAG DPAVGGPERSITRIYPPARWRASEAGRLLAALERQGPSSQAPLLETMRQAAMSDLTDA PRPRKMLVLTDGEGARLRESAGQDVGAFLRSRFRDLGIRIHMVYLDVGTNPSERERAR RDFQAPLARLDPPGTFAAPEDLGALIGSLRAAVAAGPVCELLRPDGTPVDDGLLAWTT PGETERPWSRALAPGAYRLRVLAGSRVAVEAEIRIEPGSRLRIRLLPASDGGIEVHAE PEPAGPSRPPDPR OJF2_RS20605 MAGFGKFKLTDAIPKGTAGDAGGEPASAGPPVKGMPKAKARGSK GAAGAASHVEPAEQAGRGKPQAGRQQKGK OJF2_RS20610 MQRWRVLSYAMIAAATLAGPSYLFAQEAAKAAPAPPAAAAPAAT AHAAESDELFVPLTKYDMPERVGLILTLLIAVAGLGYAGMLVGQVVGADQGTAKMREV ADAVREGAWAYLMRQAKALLPLVFVITAILYFTAEVSGAVQVGRAAAFFLGAFFSWLV GFVGMNLAVRGNLRVAAAARTSYGNALQLGYRTGTITGMLTDGLGLLGGTVIFIVFGE QAYEVLLGFGFGGTLLALFMRVGGGIYTKAADVGADLVGKVEANIPEDDPRNAATIAD NVGDNVGDCAGMAADIFESYEVTIVAAMILGYASFGHKGVIFPLLVRAIGVVGSIIST YSVRAGADSTSDEALHSVHRGFIIGSIISVVGFMLLGLAYLHFDDAYVKAYPQANYGF NVTPEFAAARDASIAQGQKLADFVAGYQGKILSDAQSRWSNLGIWGGLDLRPAWTCLI GIILAIALNKCTSYYTHTQFPPVQSMAKACQTGHATNIIQGFAVGYESAVVGTLIIAG AIFLSAIIYAGSSPLFVAYGVAMCGIGMLTLTGNTISMDVFGPVADNANGIGEMGYDE KEMGEANYKRARQILADLDAVGNTTKAETKGIAIGSAVIAAVSLFASFIAVVAVGSEK EVGTMPLSVYLAEAGKLSISDPMVFIGALIGGVVPLLFSSMLIRAVGRAAFLIVKECR VQFRDKEIWAGTKKPDYGRVVNICTSSAQQELIGPALLAILVPIMVGIFLGAQALGGF LAGMIVVGQLLAVFMSNAGGAWDNAKKLIEDEPRTAASGKGSEKHKAAVTGDTVGDPL KDTAGPALNPLIKVMNMVSLLAIPTILAVAKKDYSWVLYVVGAVCVLGVAWAVWRSKT ESKELREMESELAGTADKTLEAVGSGV OJF2_RS20615 MSRHPRGADPVMQIRFSFESDEESPPRGRAHEGRDGRGAAGREG PAEPPRAGFGETPLTDPRSLGSSALVHLLIVLAASLTVLHAAMPREAERPAPLRGDIG PADTRADQGRVPGTGGGSPGEVGGLGSVEFRAPAAGSDPRGPGPDPAADALINEILPD RAPRDLERALPGPQTTGIGLTPGPGTGGGGGSGGGSGGGVGRGTGPGTEFFGARENAH SFAYVIDCSGSMVARDSLGVAKRELMSSLNPLPPDARFAVVFYNLKVRILADPSGQQG MMPATTRNKGRVEAQLRTVGPDGGTDHMLALRTALGLKPEVIFFLTDADQMTNTDVDR ILPLAGGVRIQAVEFGRGSDLGGGTPLRRLATTTGGTYRYIDVMNFPKSAGGF OJF2_RS20620 MYSGVRWIVGAAAFFVMTFAAQARSADQPDAPRDDVESRVGLAD LPGYHAALTGRGGAEGPGPAPASFRDLWDHPEAWRGRRVEVRGRVARVFRQDAVGSFP AMAEVWLSTPAGDLICAHCPTRPEGGPPARIPQIGTPVRFDGRFMKIIRYEAGDQARL APLVVGDRPPAAGGEPAGARPPGASGDPWPLSPSPAAWAAAAALGLAAAGALAWRHLR APGAGARPAARHAPSGADAPPEFLDTERRDEPTSPGR OJF2_RS20625 MSMSLGPESPPSTPEGRGATIDPAAAAEEARAGRRYRPGPPEEV MFPVAPMLDMAFQLLAFFVLTFKAPSAETHIDLHLPATPAALPAAPEGRARPGAVRSV DADLENDLLIRAEADDLGDLKALKLGDAPLPDLAALGDRLTRYTGLLNGRPLRVRLVA DDRLRYEPAARIVATCSASGVSSIRLAQPGATMPAGAGRGGPR OJF2_RS20630 MPSSRRRTRSSDAISPNLTPLLDIVLQLITFFMMLVHFGTRLEG ADRGVRLPVAPAALPGSDLTIDRLPVSLDRRGGLRVGDRSLDAAEAAAWWDEQARARR SGLELLQGGGASSGLGSDELPTVVILRADRDASYGAVRRTLAEAQERGFAQFSLVVLR REPR OJF2_RS20635 MRAGTVRPGRHRGEEVAVVEMACRRGPWWRGGAAGRPGVLPPIL VAILIAASLASPGAVAQGPGQAPATAGVDAPPSGGDEGAAASRPEGQGSMLSWAIRAS GPIGLVILAMSFYLIALVAWMALNYRTSVAIPRALAREVDELLEERKFSEAYHRAAAS ESLLGRVLAAGVRKLPAGMAPAQRAMEMANEDATMEMEHRTTYLATVGTLGPMIGLVG TVYGMIMSFRVIATEGSSPQASQLAAGISTALFATLEGIAISIPAIYFYSMFRNRIAR LSLEVALAAEPMLERFAPGVRPQDAAPPQPTVTVPVPMPGAGPSAHPHPYAASAALAA AAGAGAPRTALPPAPPEGE OJF2_RS20640 MATSDIVIRGAREHNLRDVSLTLPRGRLICLTGVSGSGKSSLAF DTLYAEGQRRYVESLSSYARQFLGQMPKPEVDRIDGLSPSISIQQKTGGRNPRSTVGT ITEINDYLRVLFARVGQGHCPKCDRPVAAQTREQIVARILSLPAGTAFSVLAPVVRGQ KGEYKDLFTDLAKAGYVRARVNGTVHSLSDQLALDRQIKHNIEVVIDRLKAGPSVRGR LSEAVEQALKLGEGTVIVAAEGQPDLLLSSAYACTHCGLSFDPPSPQLFSFNSPQGMC PACDGLGIRHGFDPDLLVPNPKLSVWDGAISLLGPVKGIGRWRRHLFEGVAANYESDP DGPPKGTMLKGPWKDLDERFRKVWLYGSGDRVIVHHWKSRSKVWSHAEPWEGVATELL ARYRKSHGGPTKAQLEPYMRSVTCPECHGNRLNARARAVRVGGKTLVELGAMPISEAA RFFDALAEGAAAEAKPPGAAPGKAGAEDRALPLAKGESEGVPEPSGKASEGSPNGQLA AAAPAIPLDAVSRTIAEELLKEIRGRLRFLTNVGLHYLALDRAAPTLSGGEAQRIRLA SQVGAGLVGVLYILDEPSIGLHPRDNDRLIATLQRLRDVGNTVIVVEHDEDTMRAADW LVDFGPGPGVKGGEVVAQGTLADLSKAKDSLTGAYLSGKAEILIPADRKAPDGRSLTI KGARQNNLKNVDVKIPLGLFAVVTGVSGSGKSSLVGDILRDALARDLNGAETTPGAHD AIEGVDQLDKVIDIDQSPIGRTPRSNPSTYTKLFDQIRDLYTKLPEARARGYQPGRFS FNVPGGRCEACEGNGSNKLEMDFLADVWVTCPVCEGKRFNRETLHVRFKGKSIADVLD MDVQEALEHFSNVPKIAAMLKTLHDVGLDYIKLGQPSPTLSGGEAQRIKLARELVKRG TGKTLYILDEPTTGLHFEDVKKLLEVLHNFKRSGNTVVVIEHNLDVIKTADWLIDMGP EGGAGGGRVVAEGTPEDVAKVAASHTGAALQRILHPDHDRHVGRAAKPAAKRRGRGAS SEEGLDAIAVRGARQHNLKGIDVDIPRHKMTVCSGPSGSGKSSLAIDTLYAEGQRRYV ESLSSYARQFLAPLQKPKVEHISGLSPAISIEQKTTSKSPRSTVGTVTEIHDYLRILM ARLGQPHCPSCGTPIGTQTADEIVEKILHLPEGTKVFIMAPVERRDGEAYEALWDDLR ASGFARVRVDGKSVDLDKPPKLSHRRKHRVEVVIDRAVVRRSTRSRLADSVESALDLG KGVLHVAKVGDEDKEAHWHVERFSQHRSCDRCGRSFEELSPHNFSFNSPLGWCPVCEG LGTQHGANPAVLIPDPRRSLREGAVDVWPKFDENPAFARIVAAIADAEGIDLDMPFDD LEGRLRRIILHGAGETWYAVPPDEEAGLPGFSVQYKGLFPAIEEASRVSFVYRWKLQG MVDDVPCAACMGGRLRDDSGAVRFHGHTIDQISRWPLGQTLAFFQGLKLGKDETHIAG DLVREIRDRLTFLVDVGLDYLSLARGTPTLSGGESQRIRLASQIGSGLTGVLYVLDEP TIGLHPRDNARLLKALKHLRDLGNTLVLVEHDREIIEAADNLVDFGPGSGAFGGEVTA AGPPSKVKAASKSLTGKYLSGKSAIPVPTNRRPASAEADGPAIVIRGARQNNLKDIDV RIPLGVVTAVTGVSGSGKSSLVEDILWKAAAKQLHRAQLTPGAHESIEGLERVDKVIS VDQTPLGSTPNSTPATYSGVFDLIRELFAKMPEAKVRGYTSRRFSFNMAGGRCETCEG AGQKRIEMHFLPDVWITCDACNGARFTPEVLAVKFHGKTIADVLDMTVDQALDLFSGV PRIRKILQTLHDVGLGYIPLGQSAPTLSGGEAQRVKLAAELARPDTGKTLYILDEPTT GLHLDDIRKLLDVIHRLADLGNTVVVIEHNLEVIKTADWLLDLGPEAGLKGGELVAEG TPEEVSKATRSQTARFLVDLLAAGPFAERERFDPKAAAKRAMEEAKALREAAKAGAAG APAAVASGSSKGRKGSPGKNGRAKATATAVAAIAPQLDALAPWELDGRTWHTKTRTAR NGKPARWDGAILEQVVDRIEELAGDRLAPTAWSERGVVRIEAPTRDRDKKRPFPFFHA TTSAEWVVTLRFFVARNTFQAKTLESQLSLPPFHECSPPVHSDAPRLKVELEGSYQVI TLTAHSTDGLDTPAFRGFLDKAVAAALAESTGKKGKLKKASEL OJF2_RS20645 MADVDRGVRVDGAALDVLFRDARTLGAWLPRPVPEALLRDIYDL ARLGPTSANASPARFVFATTPEAKERLIPALMPGNVDKTRSAPVTAIVAQDMAFYEHL PRLFPFVDARSWFVGNEAMIARTAAQSSTLQGAYLMLAARALGLDCGPMAGFDAEKVN AEFFPDGKWKVNFLCNLGYGDRTNLPPRAPRLSFDEACRIL OJF2_RS20650 MSPTRKPHSRSLPVLHDDPRPWEVKVAVALVEFHGRLERHLSEE LARHGTTLAQFDALMTLCLGEGLTQQDLAERLLVTKANVVGLIDRMEAAGLVERRPDP DDRRVNRIYLTVAGRRLARAAKPGEEAVLKGIFGRLSQDELRQLHGMLARLNAGCSEA E OJF2_RS20655 MRENASRRKFLRDGSAAAAALTGLTAIEAGGTMSEKAAYLCVTC ATQFPESAGPPDRCPICEDERQYVGPDGQEWTTLERLRRTHKNTIKREEDGLYSINVE PKLGIGQRAFLIQTPGGNLLWDCVGLIDDPTIAKIKELGGIAEIAISHPHYYTSMIEW SRAFGNAPIHIHEAERPWVMRPDPCVRFWKGERQTLLGGLPLVRTGGHFEGYQVLLWP AGAGGRGALMAGDQPQICMDPKQVSFMWSYPNYIPLNAPTIRHVMECLEPLQYDRIYG AFFVRGKGIVPARGKEVVRRSAERYLRAIHG OJF2_RS20660 MTTDDAGPMEPPAASAAAAEAEAAGDRSRPAGTRARRRRRWLFR LATLLAVLVGQEALFRAMFPIPDVPAFNRIHYQQMAQSHPNLGKALAHGLVYERLRVA SRPDGFVKVHSLNLRGFRTPDFAIDPAPGRRRILVIGDSVIEGEGAGDAETIPAAWSR LLAGEGTDAEVINLGAIAASLPHLWAVVSEAVPLLRPTDVVVALYPNDMPYDDTRCLG VPPRRFPRPAPGWPRPRLAELLDRVILDRPIHRRWFHPPIDFFAPVPDSTNPWSSGKP RPPELREDLCEDMKAGRLNPWLIFQSADMPRWLSHDFAAGGGSPRPFLEKMREVCRSA GARMTVAYTPFLGVVHPRYVPALVALGMDRATAEALPVDPKYRSQPRHLAEVCRDLGL PLADATAALEAAEAAEGPQYWAYDTHPNPAGYATIARRIHEAWKASGTGAGGGLARSD GEKR OJF2_RS20665 MKSPLAWKVAAPFLVVGGISLALGVFGYRGVAGASREAKRTGGA LASLRRFSDFLNLIVDVETGKRGYVVTGEVSFLEPYERALPRIGSLFEELRRSSEDDP EMRPRLAGLRPVVDDLLEVARATVEARRDAGFETARAMVATSRGKALTDEIRRRIADM VALGTERLGRHAAAETASERILGALTICGTVANLGILTAAFLILRQARARREAERRLR RSEVQFRGAFDAAAIGMAILSPEGRWLMVNRAFCQFLGYTDAELRGMTYRDVTHPDDL YTDLLSMRSLLAGGISSFQAEKRYIRKDGSVVWVVLRSSLVRDAQGRPLHIVSQIEDI TSRKQAEDERGKFFRVSLDLLCVAGTDGYFRMVNPAWQATLGHSTAELLASPFLDLVH PDDAEKTREQFDRVAAGEPMLGFENRCRARDGSYRDLSWSSVVDVQRGLIYSAAHDIT EKKRADREREATLAALAVARDEAMAATRAKGDFLANMSHEIRTPMNGVVGMAELLLDT PMDDVQRSYAQTIRSSGEALLTIIDDILDLSKIEAGKLTIEEAGLDLRSLMEEVVRLL APRARRKGLELRCRVAPDVPGRLVGDSVRIRQVLTNLAGNAVKFTERGSISLEAEALS DAGDLAGVRLLVRDTGIGIPEDRHRDIFDSFTQVEGGASRKYGGTGLGLAICRSLVEL MGGAIGLESRPGEGSLFRFDLPLRRARGESEDAGRGHAPHRPCQPPAPEPSRRTAALR ILLAEDNEVNRRVVSAMLDRLGCKVHAVGNGREAVEASENGRYDVALMDIQMPEMDGL AATAAIRGRERVSGRHLPIIALTAHAMQGDREHCLEGGMDGYLSKPIRLGPLRDALLA WGGRDEEPPAAAAGADRDGAPYRSFSVEAVGESCGDDPELIREVIGLMLEDVPAQLRR LEAGIVGGAPQEVARGSHHLKGSFMAVGAADLSEACRGLETLARRGELDSIREAYRPL PELWSRLEGEAARYLESLDAVHAGRA OJF2_RS20670 MLSSLCEPPEGRHRPLHILLADDNEMLRVAMRGLLQSLGHTVTP VRNGREAVDAASRLSFQVIILDVEMPELGGFEAASELRLRHDESPRPRILGISAEARD SEAYEKCGMDDFLVKPVRRSDFERALEPRRSPDGEGRGAGGGRVEFRHRGQS OJF2_RS20675 MSPSEKEGPRDASGTLANLWRLMQSILDEAAPVLEAQGLSPKAF FVLEAVGEHPFPAELARRMHLPPPTVTYLVKQLEAGGFLERRAEAGDLRKFRLALTAA GEAALGRGGAALGEAFAGRLGRLSPGEAAAFDRVVGRLAAPRDDGA OJF2_RS20685 MKRVLLSWSSGKDSAWALHALRRRPDVELVGLLTTFNEAAGRVA MHAVRRALVEAQAEAAGLPLTAVMLPQPCTNEAYERRMKDAIAAARSEGVTHMAFGDL FLEDIRAYRVRLLDGSGVGPLFPIWTSREETPALAAAMLAAGIEAVLTCVDSRQLDPG FVGRPFDAALLADLPEGVDPCGERGEFHTFCRRCPAFSTEIAVTPGEVVVRDGFHFAD LVPA OJF2_RS20690 MEGLINRVRRRGFTLIELLVVIAIIAVLMALLLPAVQSVREAAR RIQCVNNLKQIGLAVHNYHEALGSFPPGQLLYMNWQDLSAHIFLLPFMEQQPLYNAFN LADVYPLTGLGPVLPYYEPNTTAARTQVAGLLCPSEVNRLTNPEAHANYCGNSGSTPE SPDVITWANGPFVAARPADYRGCRVFRFANVRDGLSTTACFSEKALGIGMMNQYDPMA PSTAILQVGGPGDLGDTAAYYQMCRSADPNTAPLVPNALAAGMYWMFGYLSETRYTHI MTPNLQNCEVGGPWDGERGATTASSRHPGAVNVLMCDGSVVGVKNSIAPATWWALGTM AGGEVISANSY OJF2_RS20695 MAGRGSPAALLGMWCGLAAGCGNGTPGAESSRAEAVVTGRVDSP GKPIDRGTVVFDPSNINRPGEPARTAPIGRDGTYRITTRIGEDRVAVAIPGRRVKDDA ARVQQVFDVKAGQENVFQVTVP OJF2_RS20700 MLRAMRPAAASLVALAACAGAALAGDLTEGLKAGTPDLKSAGAL AFGPDGVLFAADQAGGAVFAIDTGDRGRARSQAPAAVNVEGIDAKVAGMLGTTADQIL IREAAVNPASGKVYLSVARGRGPDAAGVIVRVGPDGEVQELPLTGVKFAKAELSGLAT GRGRQDSITDLAFVDGRVFVAGLSNEEFSSNLRVIPFPFAGPGGQGAHIEIYHGSHGR FETTSPVRTFIPYEIEGKPHVLAAYTCTPLVKFPVADLRPGARVKGTTIAELGNHNKP LDMIVYQKDGRDFILMSNSSRGVMKIPADRAARQEGIIRRVSDTAGLPFETVAGLKGV EQLDKLGNESAVVLAREEGGRLDLKTIPLP OJF2_RS20705 MAIRPARAFVLCTLPAAMALATPPASPSEAGPSVVLRRDGGKST VDVRGLDPADLRRLAESDRDGRRWASLLAVFVADEGQARAPRPDPPPVLGSYRVEDGV LRFEPRFPFVPGLRYRAAFRPGELPGREKAAPGPAPITLEFALPKPAAATPTTVRQVY PSRSTLPENQLRFYVHFSAPMRQGSVYDFIHLLDAGGTEIDGAFLRLDEELWDRDGLR LTLLIDPGRIKRDVGPREGFGPVLEAGRDYTLLIDARWPDAEGRPLAGPHRKAFRTTA ADDACPDVGTWRIRPPDASSVAPLVVESPEPLDHALFARLVRVQDADGHDVPGRAAVA GEETRWAFTPDRPWRPGAYRLVVDEALEDLAGNNLRRPFELDVFEPVGRRIEARVVDR PFEIRPGP OJF2_RS20710 MPSIPLTAACFLATCLAASPGPGALGAEAPEARAIAYLGREVPR WQAENRCFSCHNDGDAARALFRAARLGLAVPDAATAGTVRWLERPEGWDRNGGDGPFN DKVLARIQFAAALADAIDAGRSGDAGAMARAAGLVAGDQIEGGSWRVDAEAAVGSPAT YGTALATAMARRSLVAAGPKRFAAPIAAADRWLRKAEARNVPDAAAVLIGLEGADDAE AAARVRRGLDLIRRAEAARGGWGPFPNTPAEPFDTAVVLIALAPRRGIAERAGWIRRG RAFLIASQHPDGSWPETTRPPDGESYAERLSTSGWALQALLATRDEEAEKAVPGASR OJF2_RS20715 MGSSVATRRGARVGRSGDEPVPGYRLLERVGYGGAGEVWRAEAP GGLSVALKLIRTDGTLGRRELSNLRILRAVRHPNLLAYFGAWVIDGLLILGMELADLS LWDRYREFSGRGLAGIPMDELLGAMGEASRVLDYLHEPRHELDGMTGVAVHHRDVKPQ NLMLLGRGLKVADFGLSILVDRGVASQCHSGLTCTYAAPETFRGRVADQTDQYSLAVT YCVLRAGRLPFAGPPAAVMLGHLMQPPDLSSLPEPERPIVARALAKDPSDRWPDCGQF VEALRSCLAAGSPLILPGAAEGEGALGEASTPPGETPDDSASQTGLVEDSDFWCGELG PEASAGSRGDSRPAGGPGADRRAPSSRSWRGEPGAEDSQEPTTPLPGAATDAPPPGGP RPRASASRWAACLAVAGLVAWEVARAQPDGGRRADVPGRDGIVAPGMRRETASGLAPP TGRPAAWAEGPPDPFESTAGTPAPIAAAEFAPVRPAGRARPDGPTPATAIGAEASRYI RLATAGIEAMRGEAGRLARAAQERLAANLPRRTADAGGTRPPAADRRPAPAKAPPPAK AAPSFRLGVPDTLEVDAGSSEPVPIVVCRGGASGPIAVRFEGLPAGVSPATAEIPTGY DRGLAALKADSQASPARATVRVVASAGAARAEATIALTVRANPAPAEPALGQSPPAGG ATPGTPSISSGTVELTSAEPAALNDRGLAHASQGRLDAAVADYTAALRLSPKDASIRT NRGTARARQGDLTRASLDFETAIRLNPGHAPAYRGRALLREKAGDLPRASADRARADQ LDRPVGPAGALWLPAPWTTPAASGTCYRVPVVIKRRKGP OJF2_RS40680 MSFEHLPERQARLAQDLYEELRAASDADIRAMAELLATKPDDEL FGEAEFQLRDMVHRVGAKALQAAAMQRKKGGM OJF2_RS20725 MAHRREFLRGLSAFGAGLVAGGGRGPAGADPAGGGGKMELLVLG GTGFIGPHLVRHAVARGHHVTIFTRGRRDADLPAGVVRLVGDRKGDLKSLEGKSWDAV VDDSATNPEWVRLSTELLKGKVGRYLFTSSTGVYYPYLVRGLDEAAPVRLKADDPKDL SATYGADKANCERIVRSAFGAGAVVVRPTYIVGPGDTSDRFPYWPQRLARGGETLAPG RRDDPVQFIDVRDLAGFMVHLLEEGREGVYNAAGPRGVLTMPEFLEQARAALRSDAQF VQIDDYDFLSAHGIEEAIPWAMLRGNDAGMMSIRHGRAEDAGLAYRPIAETVRDTRAW WDAVPEARREAPKFAISPPREAGALAAWKARGE OJF2_RS20730 MSRRGMGIGVLLIGAFVPTPLATAQTDEAALGRDLAAAAEAPCW QPVYAEGRRGLPGVMGGPAIVRERCEAPATLRAARELARRHGEEAAPYWARRAGEMST PPERGLALATLVALADRPGPADRLAGLLFDERMSGNVGVAFSLIVDLHGPSARPLLER LVAEACRRRRANPERPPSLQSFLVLSRAAELLGAVGDEGTRDFLRGLLARGGKADPCA LPLRLALDAIDARLSQPEAERAAWGRDAIEFVKARWFHSGSISAEIDACRGAGWLAGR GVRLGVPFLRFPLSGRASADLAVAVAGSQREAALIPDLARVAERQRGGYTSSMAVAAL GQVGTREAMDALLAMVRPDFPMRIAGPLSVLARDGDATTLRALVRLATDRSFDAADRA DIAAARDYLAARLAGRPAASPMGDGPQFPPR OJF2_RS20735 MSDDLASGFRDVDRAADFEVFSRCLELVDSIPFFADCKRESYRL LGAGPGRRILDVGCGLGDDAAAMARIVAPGGAVVGVDASRGMVEAARRRHEDVAGLSF EVADAGSLPFDDASFDACRIDRVLQHVPDPAPAIAEMARVLRPGGVLVAYDNDWETLT VDAPDRALTRTVLNAWCDRFPTGWIGRRLVPLFLDAGLIDVEAAPRTLVLRDLAVADR LYAFFATADRLAESGLARRDDLERWAGGLRAADARGRFFCSYTGFLIRGVREARA OJF2_RS20740 MCKGEGRRPVEAGRDGVRGGVGPFGPRPISGAGMVRLMASLAAL CACLPSGQAVAGSMSARHAHASGGAALFERLERQNVGVYERMVQMRDAHPSAFDTAHP FYASILTDRGSFEYWLNRWQSHHLRFEHFHPLAWKVIAGEAYMGGPPSPGAPGMPPTT SPSLPPAGQGLDPTGGTPPIPPLPPGGPTIPSGGPTIPPGGPTNPPGGPTNPPGGPTI SGAVPEPASCALLASSMAIVIAARVLSRRRARPA OJF2_RS20745 MHRRARWLYAAVGLAALWGGPASACHVCKQTPCVLAPQPSYECV IENVPVTVMKTKTRVDLVPVRTKTIMETKLETKYIDEKRTVCWPVFETTFDTRAYTVC RPVYETTMVEQPFTVCRPVQTTRRVTECVMKPVTELVPVPVKSGCGLCGHPAGGCTCR TMAKTCYRPVMVTRDVVETRMESTVELRAVPVTTCRLVPEQRFEKVPVTVRRTKTEDL YFKVPVVVSRSVPKTLVWKQAVVTCVEVPVTVYRPVMKMVPIIPCDEGEVVASPQSVA SGQATPEPAAAPPPPAQPTPAPAQPPARQRAKPEGSVPAPDVKPAVPPPPAPGRLVPT AASADTPDAAPDLGPALTPAA OJF2_RS20750 MRMILDTHTLLWFALNDPRLSGTAMALILDTAHEKLVSPASHRE TAIKISVGKYALARPFEAFFRAAIDDDGFRHLPIEPRHTAAPATLPYHHRDPSDRLLV AQAMVEQAPILSADAALDAYPVRRIW OJF2_RS20755 MRTAPLPLMDGARLEPASRCRVECDEPNRHEERRPATSTITIQD AQAILPELVRRLAPGEGVIITEGDRPIARMISVPTEDAPRPVPGRCRGMLVILAEDDE HLEDFAEYMP OJF2_RS20760 MTDIQRSARHGLVVLVVLGGWALRMGPQAAHAGVVVSTLGLPND DTFPGEQVGAAIQIGSTPIDIESVVYSQARFSGPAPGETFAIFSRNADGTVGSSLFDA FALTYDSAGGNTTATATSPFTLQANTSYWLMMVETPGTFGDWDNSLSFTYTNNFDVTI PDSNASVSLVPGEGYLYANANEGLQLFQLNGSPAIAVVAEPSALALATTGGTLVLGAF WHRRGREK OJF2_RS20765 MLSLSSGVLGYTAASGESNKLAVAVVQVSGTSYIRFQDTGAGVT ISPQGTDLIADSATSVRAPAASVTSISIDTENQTDSIRLALGVGMPASISVNLDPPPD ANGVVTLPAYGQDQLTIVGPNGADTLALTPQLAPDATKEVVLADTQLGSKVALQHIPN LTVDLSASSGQHAVTVDRSITRPDAPIALKVIGGPAGGDTLTVTAPGTIAQTFALADG SIKTSDVRTGKEIMLGPDDNIAIDQPGVSVNLELDPANPDATWPSLYNQFVLDTGATS ILAAADASTDLKSSGLYQVYPTQYLEQGVGGYTAMDVSHPYGFDFAGTNGIPHHLDNV QILSSDTLQFSPFGPDGIAGMPAMVNRFTSIDMSGWENPQDLTDFSIKTDFPTGTSIP TNSGHWYQVPVNLVNFPDDGRQPGGPAPTSAPLAMVPVTVRDNGREVTSYFLLDTGAQ LSMVSPSVAQALNIDLSHPSDTIDVGGVGGTVAVPLVPVDQLDVKAANGTSLAWTNLQ VGVLDLNVPGGPTIGGVFGMDFLTSGWAAKILPMLLGQPGSSENGYFDHVYLDYRNAS SGAGTIIFDVDPSHDTPAVPDPHPLSVSYSSIAAVHAVGGGGSDLLSVTPSTTIAYTV DGGTQPSGGADTLQLIPGNSQAVANGSTITVPGDKAINYANIEELILGSTSGAATATF VKQDATTQGTWINAYGKQGYDVIGGSAGLPGYATVTPSGQSGWTWAAGTTDVRALQAP GTTSRIAACWYSGSSFKVDVNLTDGQKHGLGLYFLDWDSTGRAERVQISDAATGAVLS TQSIASFHNGLYLDYTVSGHVRITITRTGGANAVLSGLFLDPPPMPTTATFVKQDATT QGTWINAYGKQGYDVIGGSAGLPGYATVTPSGQSGWTWAAGTTDVRALQAPGTTSRIA ACWYSGSSFKVDVNLTDGQKHGLGLYFLDWDSTGRAERVQISDAATGAVLSTQSIASF HNGLYLDYTVSGHVRITITRTGGANAVLSGLFLDPPPTTTASLASGISAPTFIMTPRM LDATLRTAVLTRPVNRFERMLVQIAALRRGRLSTPQASSAIPAALPAIATPPEQRPGD RPPGETRTGDRTAGVDDPVQDEALSQIMALDPIFPKSRSNRLFSSMPL OJF2_RS20770 MPSWPVQDAKARFSEFLDACVADGPQVVTRRGVETAVLVPIERW RRLQAAARPSLKQLLMTDTARTETLTPERAKPRRRPGMPLR OJF2_RS20780 MGPEERAIRELHSTWIEAVNAGDLGRLLTMMADDVVFLSPGQAP AGRDGFATVLPAAHQRARIRCVSELEEVAVVGEVAYARSRDSLSVTPRAGGDAMRLAG DRLTVYRKHPDGRWLLARDAHTLTPVQSPSS OJF2_RS20785 MPDAADSSILNHMVQYSPERLNASFAALADATRRGVLDELARGD ASITALAGKFGMTLTGMKKHVGVLELAGLVTTEKVGRVRTCRIGPGRLEEEMEWIARY RRVWDARFEALDGVIDELKREEKARGRRKRK OJF2_RS20790 MAAGNESDARRTTVQRKSEREVVVTRTFDAPARLVFEAWSRPEL FRKWWVPRSMGMTLRSCEMDVRTGGGYRLVFGDDPANTMAFFGKYLEVVPNERIVWTN EEGGDGGSVTTVTFEERDGRTLLVLSELYPTKEALDAAGTGAQDAMHETFGQLDELLA ELAAR OJF2_RS41215 MTTEAQILANRRNASLSTGPKTRRGKARSRREGYGPGARSQGAA ITEAGRADPRWIEERAAGFLRAAYSGASEEEKAMRKRAAELARKIGEAERAVAAYLER AGRIVEDRRRAAEAGGPGRVLELSRRLMAWEQSAAADSSDGAGRILGELEGSRAGRAW LIRTWRWIREWLGRKGRFGVMDRYRLVRALGHDPIHVARLPRVREVFAALNALDPRGG LDGEAFFARARELAAGCDPMARDSLAWREAAGTFASAEEARAFLLNLVDERVRRLEAL QAASDAEPAGREALAVMAAVEGLRREVEAMGRELLRVVEDLQRMRKGGPRAVEGDAIR PAAASGPEVRSRQGEGGTAKRLRPAWRGRGRTPCRGHGGRGGGAATPRSSGASPPAAV PEPWRSRGVAAIPPRPPGGARIGAGFVPSLECRVTAPRTGAAPVWPVEKGSKAFRGGD LGVGIRSGSRDERTQARAATGGRIDGPGEGPRVHPAGPTRRGRRGRLRLRLTGAAIPT RLVNASGGGKGRGPSLPRWRLATRRAGPVESRRAMSQPFELEQAAAVAAVRRAARLCQ AVRRGIRPEVLDKKDKSPVTVADFGSQALVCRALLESFPEDPVIAEEDSAELRQASNA AVLGQVVAHVRGVGGGESLVDATAEDVCGWIDRGGTAEYRDRFWTIDPIDGTKGFLRN EQYAVALALVVGGRVVVGALACPNLAVRGEGGPTGGVGVVFTAVRGGGAFAFPLDEAI AMEPVPIRVNAADDPAAMRFCESVESGHSAHGDAAAIAAKLGIAAPPIRMDSQAKYGV VARGEADVYLRMPTRADYREKIWDHAAGALVIEEAGGVVTDVAGRPLEFRHGRELSAN RGVVATNGRIHDRVIRAIADLGLAPGA OJF2_RS20800 MKTTARMAVLGATLALVTGCTGNHLRHNREKLGREPVQLPTAPT LERSGAAPAGAAAPSPAPLSPFGANMQDASPSPLTSTQPAGR OJF2_RS40075 MSRPWPFADPEDTVVITLDRILRGASPLLLVTHDRDDGAWQFLD GEHVFEEDGVAVSLAEMVAFDPGLESLADLPAGWRAWRDRPGEPWRRAEGDLGDDPGP EAGSAGPEAEESTDPADSPDPMASRNIEIKATVPDYDAMRAAIESLEDVEGPAEVLDQ EDIFYEVPGARLKLRIFHEGAGELIRYERSDVAGPKASCYEIAPTSAPATMKSILGRV LPVRGVVRKERRLYRIGPTRIHLDRVEGLGDFLELEVVLRPDQPDLEGALVAEELLTR LGIDESQLISTAYIDLIVEGGEADGG OJF2_RS20810 MKLAIAFAILGLAMATLAAGMGARASGGRWLAFGLEAYLAACFL ALSAIYAARAAGVEVERILARSRWSPLLRAVLLPYLATGVIALLVARGLGLGSPFRPV APGLYAGRIPFPDERGRLREAGIDAVLNLCWEFPGPSGPAAGTGIATARVPILDGVPP TDAQFREALEYVERWHAAWRRVLIHCAQGHGRTSTIVAAALVRLGLAEGGDEALARVA AARPGARPSPSQKAALDRYLSGSTPDARGRPRGS OJF2_RS20815 MRRHRRGFTLIELMVVIAIIAILIGLLLPAVQAAREAARRAHCI NNLKQIGLALHNYETVVGALPMAISLRGSGTTTAFQTGWSALARILPFLDGGPLFNAA NLSVSKEDPPNATVISSSVAAFICPSEVKPAPSMHDYGVAGIANYGVNQGDWFVWGGF NGPGNRSAFEANRSRRLAEFTDGLGQTLFAAEVKAYQASANCRHVTLPSVNDPLHIPG PDADPYAVAPEYDNGTCVTQNQSEFHTEWSDGNVHAAGFTTAWPPNKAVMGRAAYEGL DLDLNGRNEEDGGPTFAAINARSYHPGGVNALMGDGGVRFVKGTISGMTWRALGTRSG GEVVGADAY OJF2_RS20820 MMSPIAFLVVLPALLGEPRQAEAAAPTLSRTFALDDVRGPADRT GIAGRIDHMAYDPATARLFVACVANGTLEVIDLDAGTRAGTIRGLKEPQGAAVAGDSV YVTTGADGRLNRFDARTLAARGSAAVGDDADNVRLAPDGRLWASFGGGGPGGIAPFDP STLAGGPRLGLPRMPEGFQVHASGAAIFANLPAGKRSTADGSVVGLKLPSGERLWERK LSGRAGNFPMALDPARDRVFVVSRVPARLIVLDARDGSILGEAECPPQSDDLFLDPRS GLVAVIGGGTLPSGEEPGGAGASLDLFAVDAAGRPARVGATPLPPHTRTGKLVEGRRA LYVGVPMAKGRPAEVREYRLPDRPATP OJF2_RS20825 MRDATEMDLTYQRESYPDLTPADFVDVLVRSTLAERRPVDDPGA ILGMLEKADVIITARDGGRLVGISRALSDFQFCTYLSDLAVDVAYQRRGIGRELIRLT HEAAGPKTTLILLAAPKAREYYPHIGMQFHDSCWIIPRR OJF2_RS20830 MADRKRRTARIEARITPDALDVVKRAAEIQGRSVSEFVVAAAQD AASKVVEDTHLIRLTVEEQIRFAELLIDPPPMSPALKRARKDHARLTRKPG OJF2_RS20835 MPARFVIGPLGPGHDREGFTCGVDALDRYLRAQATQDVRRRVTA CYVATDGAGGRIAGYYTLAAGGVLLMDLPPLLSKKLPRYPSVPVARLGRLAVDSSFRG RKLGSTLLWDAIERSLRSEVAVFALVVDAKDDQAVAFYRHHGFVPFGPTSKQLVLPLT NLRSRG OJF2_RS40690 MSFEHLPERQARLAQDLYEELRAASDADIRAMAELLATKPDDEL FGEAEFQLRDMVHRVGAKALQAAAMQRKKGGM OJF2_RS20845 MRTWIWAIVAALGAGLMLAAYERGMRLDPGAPWAAGLMVVGDGE PAGELPEAARVVATRLRYLPSGEAVDPVVRVIGGKDEALTTRLKARLRPKVVGMPADA MAPLAPWLREGRMPDPGGGEVLAGWPGRLGEEIALAGEPARVVGVLKPDVALLAEAYV APAGPTPSGAFAKGDPETAAVRLIQVRADDPGARKTAEALARAFAGKAFALLPPNVRP AMPDYFAYQGGQALFLLRGSGLLIGLYRRIAAGITAPIIGPPIRELAARPRLLWGVHV AYFGLYVIAAATVAFLPLVHTAGAMAVQGQFGDDKANVLAVAGRAYATGNVARAAAVT FAVNFFLGTLASISVPSVIIPGSGVVMATLRAAMWGVILGPGDATMARMMIPHTGTLL LEGEGYILATFFAILVPVLLLGRLELKPDGQPLDEAAVDGEPPRTVPATAGRRFVWAV ALNLAGSFWVAVVLAVAAVYEAAEVIYMAGL OJF2_RS20850 MARVRPAILLAAALIAGAAAPATALAADDRAVAAGRSREIAPGP FRPSSESLKGYAYPDWFRDAKLGIWAHWGPQAVPMFGDWYARQLYQQGHPQYKDHLEH YGHPSKVGHKDLIPLWKAEKWDPDRLMELYKKAGAKYFVSMGVHHDNFDLWNSTFHRW NAVQMGPHRDVVGDWQKAARKLGLRFGVSEHLGASFTWFQDSHGADKTGDLAGVPYDG ADPKYQDLYHPPAAPDDKGWYSKDPRWQAEWFARIKDLVDQYQPDLLYTDGGAPFGNE VGLSLIAHMYNASAGRHGGTPDVVYTCKEKSDGKWVEDLERGVMPGIRPFPWQTDTSI GDWFYNRTWKYRDAGWVITMLVDIVSKNGNLLINVVQRPDGSLDPQAEETLAEMAKWI EINGEGIYGTRPWLVHGEGPVRARGGHFREDFAYTAKDIRFTAKGDVLYAFALGWPSD GKLLIRSLGRYPGVTGKVEGVALLGHDGPLRFTHDEAGLAVDLPASAPCRYAVALKIR GADLRGFKPELAPSQSISVAPDAEGRLALTADAAELHGEQIKVEEKGGTANIGFWDRA DEHASWKVKFPSAGTYKVAISAAAVATDARAVLEVGGKTLAIAPKSTGGWDAFAESEA GTIEVPHAGELEVTLRPADRASWRPINVRAVTLSPRGSDRARHRATR OJF2_RS20855 MLGYLIDIDGMIDRGGRLIPGVDRFVDGLREANIPFLVLAGTGR RECHDVAARLRRLGLDVTPYHIFRRAGSAAGLRAAREELAIPADQTVVVGDSEAAILG GVRLQYRTILVLGGRTRAGDLDRHACRPDRVVPSFADLDPHGLEAEFNAARGALAAAP AAPLQPPSHRPRRRPLAATSF OJF2_RS20860 MSKIPKVALLIETSRGYGRGLLRGIVRYARLHGPWGFYLTPGDF EQVLPRMQSWGGTGIIARVETPAVARAILESGLPAIALDLAEEELEPGHALGHLPEVE SDSEGAGRLAAEHLLGLGFRNYAYVGTGDRVWSLRRRAAFVARVREAGFEAHVYEPPR AARDRSWGREQPILSGWLRRLPRPAGLMACDDDRGRQVLEACRAGGLVVPEQVAVVGV DNDELLCELADPPLSSVALNAEAGGYRAAALLDRLMRAHARAAGRPPRRRARPARLVV EALRVVPRRSTESIALDDPEVAAALHFLHNHAGEPIGVADVVADRLISRRSLELRFRA AVGRTIHDELQRIRLERARRLLLESDLPIPGVARAAGFSSPSYLAQVFRRALRTTPAR FRREARTPAH OJF2_RS20865 MTWEQRWHPLREEWVVVAAHRNNRPWVGGTLDGPEKAIPEYDPG CPLCPRNTRVSGVTNPDYSGVFVFDNDAPCVGPKAPRDLPKPAGIYRNAPAEGIARVV CYTPRHDMTLAELGAGQVEALLSCWQEQYRDLGSRPEVNHVLMFENKGEAVGVSNPHP HCQIYATNFVFKTIENEAAISARYWDENRRGLMEDIIASERADGRRILAENDSAIAFL PYFARYAYETFVVPKQPHGSLAGLSAAELRDFSKVLHEVQVRFDNLWKMPFPYVMALH QAPTDGKAHEGFHFHIEFHPPLRKPNLLKYLAGPEVGGGSFLSDTSPEEKAAELKAVP AVHYRHAGEAVAHA OJF2_RS20870 MPEIRERGAASAEGEVAGFLSGLRASPEGDLFEAGRRVVAARAP GRLDVMGGIADYSGSLVLQWPIREATLAAVQSAGGPGLTIVSRAIDEGHPARRLEIDG PTTRELLDGGYEAARRWLSRDPEAHWASYVVGVLAVLHRERGLTLGVDRGLRVLVESK VPEGKGVSSSAALEVAVMQAAAGLLGESIEGTEVARLCQMAENFVVGAPCGIMDQMAS AVAKAGSLLALLCQPAEVKGYVDLPPAIGFWGIDSGIRHAVTGSDYTSVRTGAFMGYR MIAEAAGLQASATSEPGVVAIDDPRWSGFVANLTPAEFDREFASKLPATLAGAEFLGR FGGTTDRVTRVDPSRTYAVLKPTAHPIGEHARVRRFAELLSAHADEAALREMGELMFG SHASYSSCGLGSDGTDLLVEMVREAGPARGLYGAKITGGGSGGTVAILGRADAGPAVA DIARRYAAETGRTPYLFEGTSPGACHFGTRSTEG OJF2_RS20875 MDDELNLSMFRAYDIRTPAAQLTPGLAARLARAEAKYFREDLGA PGVVVAHDARRTGPQYLTICIDAFRAAGLDVIYLPGACSTSYFYDSAVCNPSYAAAIV GASHNPSGDTGQKILAPGVVPIAEGIGPLGGLDRIKELYLKGEGVSSARKGRLRIEER IGEFVRRSMELAGVEPGGLEGAKVFQDYLFGASGREMMMAFGLAGADLEPMHFTPDGT FPLGDPNPVKQAVVRPGLEAMKAGGHQVGMFFDGDGDRLDVYRGDGTYLSSSFVYAAV LPEIRRRLPGAGLGVFADLKSNPLAIIEMAKAGLAVDVIRNGHSQIKESLKTDPARLG AVEESAHYYEAFTGPDGGRYCTENTLYFALLIARTWRDHPERFDRLIGIQATTARQRE WGYKFPTDGQRAAALDAVRAHFEGAGARSLDRMKNGMDLEATLLRRGLPFDVDEHTTL AKDWIQVSQRVSQSENGLARWEVVGATADLVGEAKREIAECVRRFGAGDEYQG OJF2_RS20880 MNVLVTGGAGYVGSHAAKLLAREGHELWIYDNLVFGHRGAAPAG RLIEGDLLDFDKLAGVLREKEIDAVMHFAAFAYVGESVTDPAKYYRNNVLGTLSLMEA MRATGVSKIVFSSTCATYGEPDSVPIRETQPQRPINPYGFTKLVIEHALADYTRAYGW GYAALRYFNAAGASADGDIGEDHDPETHLIPLILQVALGQREKVTIFGDRLPTPDGTC IRDYIHVDDLATAHLAALGKLEKGTELKLNLGTGRGTSVREVIDACREVTGHPIPADV AGPRAGDPPSLVADPSSALQALGWKAKFTEIRPIVESAWKWHKAHPKGYGDRG OJF2_RS20885 MPNAPGRPPEPAPATAGEKPVAETLDTQTTVLFWIAGMLLAGAA WLMSAILVPFVLGLVLAIALSPAARWLEKHGLGRTGSSLACLVLVIAVLAGTSGLLVY QAGTILQQSDKYYERLSRLMADATRAVGGERLLQSLKVIQEKEGQGQGAGEKGGERAG GSPTTAEGEAAGKAAEGGGGDDEGPPDRVEYWVRMIRNNMSSVGGWAMTGLGGFVGVL GSAIICLSFLFYLLESRDEWIGRILRILYFLGLRPRRESLERAQKGITTFGGFVTMVS LCGWLVIGTTAWLLGLPQPYLWGLIFGLLEFIPYFGPMVGGSLVTLVAIAAGTGWWQP LTMLGVILVWLTLEGYVISPLVYGRAVHFDPVMVLVAILFFGWLWGPLGMITALPMMV ILRELVNMTPESPALDALLEPHEESSGGGNKAGGGPKAAGLASSAT OJF2_RS20890 MADQASTITREQLIEALNQDLAREYQAIIAYTVYSQVLKGAEYM NIADELVTHASEELSHALTISKQIDYLGGMPTVTPMPVKTSEKATDMLRFDLENEAET IKNYRERVRQCEALGEYAIAEEIRQILVQEQEHLIDLATALGEDVPRLS OJF2_RS20895 MDAFAAAVLIVFGFLAAAVVVINVTSWQSRRLRGILEAWADRNG FELLDADRRYDSRGPFTWDLSGQGYVYRVKVRSKEGVRTGWLRCGGPFPAILSDRVEV RWDEPPTASKTTTTRPADAMADPWLDR OJF2_RS20900 MVLLAETTVVTVFWFLLVAFLVVAGFTWHFRRSRQLLENWAERN GYEILEAEYRHMFKGPFFFRSTRDQTVYRVTVRDKAGVHRGWVRCGGWFFGLMTDKAE VRWDEPEAVKAAAPREDLMADRWFDA OJF2_RS20905 MVGALLRLYINALLRGNPFVVAITAIVAVAVSVGPFYEGLSRRE PGAMFLAGLVLVGIIILLAVAIVDRKLNAPKKRAQPAKGARTAGSRSSR OJF2_RS20910 MGPGQGGSLRSAAGRWWPELLVGVLACATFLGCLGSVELWGKRE QRAAAEALDTVLNGHWLVAEIQGRPRLEKPPLPRWAVAGLLLLTGRREEWVVRLPSAL SGLACVALVYALGRRIGGRELGLASAVILGTTGLFISELRQAGNDGPLAAFTALAVFA AWRRIHKEGDGAGEDAPGGRGWAVLFHVAMGLGFLCKGPIVLMLVGVAVLPYLMLTGR LRVGLRRLWDPAGVLAFLAMAIAWPALVLAADPEALGVWTTEMGQKTGALPLAHRERG GLLLSSPLMMLPWAVVGIVGAAVPFRADRGLGLPWRRGDAWLPWCWTIGNLGLLGTWA VAKPNYFVPCLPGLALLGGMAWIRLDALARSAVPAASRRARRLLHLQWGILALAGVVV AAACRGALSAAPPGWLALLAVMPPAAAALGARARGRGRGAVALLPAAAATAAGVLIGY GILGPGDDRERGHRHLAEQIARLAPAGADAGAAELRFFHEIDEGLWFYLGDRRLAPVP GSQPRYSDSYDKLGNALTSGSNCIDPAAIAARFEGRQRRVLRDWIDRRPPAAGPAALL IRVPLLERWSADLAGRAAPLVVEENRKRTPLALLRVVPDAAGIADASR OJF2_RS20915 MLKPLTLSLSLAVALGFTSVSMAGGHDGGCSTCGLASPQGGPIA SAQGPVSYGECAETGHKKCNLFHGMSGKLSGLHCKLKSALHPPVTYEWVLKKKRLWGH KSCGGCGESTCDSCGGAPAVYPTGQVAPSGQGYAAPSGQGYAAPQAAPVYGAGQHAFK AVKPAPTIASVPAEMTPAVAGEEAPPAPEVNGGGLLLPTPAGN OJF2_RS20920 MRSFTWLPLGPVADGFRPWLDAYRRQSPGRRRAIRWGLAGAILA VAAVAVVATTPSLGTPGTWYLGMGRHYAADDLSKVARAFDRQRIAYQVDDQRRVAVPW SQREAAEAAAAKLELGPRLPGEIRDEVQAPGLLDSPSDREAREHRRQEQILESLLARV PGVAGAFVRVNQPRPRLGLQPAERPWAFVSLETEGDRPLPFQSVQLITTVVNGFDRGI APESITVVDRRGHKYLDAANPSLGVLSRNLAEEERLTREIEGELDWIRGVRVSVQLPD APADEPRPPAPTATAEAAKGPDAAAPVIAINRPLEIAEPPPSPPIAPAAPASPPATAG PRPGREHGRVWVKVPRSYYYQVGMIPGRKEPSPDDFQKLVAKTEELVRQGIGGVVPLA GPSAWQATVSVIPDELPSPAPAMPASETRGVPATWAVAAGVAGLLAALAALAAWLVRL GLRPASRREASPRGVRYHRGSAASPGPSELVREFVRRNPESAVSVLERWTSQGGETP OJF2_RS20925 MTTPTPRAAADPAGDASAPPLAVEAGAIPPLRKAAIVLVSLEQS LASQLLQHLDRAAVEAVTWEIARLERIDPAEQEAVLEEFLGQGLRRLCFVFEDLLRMD DRDVRGAYHEEDIDAWALALAGAAPPVRAKVFGSLDPASAASLRAHLEGMGPFRLSDA ESAQVDLAERFRRLHDRGAVRLPEPSARDEVLV OJF2_RS20930 MFATPRRTIAAATFSLAALLASAAGPTSRAEEAGPVVVPPPSGR LPVLGLSGPSAPTDPEAARPSGGGWWLGTSGIALALAACGAASVAARRYRPQGSSGMV NVVGKVSLSPRHSIVLVRAGARVLLVGTGPQGAPSLLGELEGEALPLAADGTPRAGGE A OJF2_RS20935 MTGRGPTIRRAALLVTLAALAFLAAGAKPCPAQLLADSDERPSI PGFERPRFDAEALRAEAVTVHATPPGQADAAAPGEEPAPAKPSPLPDARDAMRTASTM ALYGLMWLAPMGVLMLTAFVRIEIVLVLLRQAMGSPQVPSNQVLMVLALLLTALVMRP VGERVYQDAIVPLQAGKAAPAEAWEAGSAPIKGFMVDQIVRTKHADYLWALYHHARPP GPGRAEPKYGHEFPFRIVAPAYLLSELTTALVMGFYLYLPFLVIDLAVSAVLAAMGLY MLPPTLVAMPVKMIVFVLADGWLLVSTMLLSSFAGPGG OJF2_RS20940 MELDHVIDWTREALRMSLLLGGPLLLAALAVGLVVNVLQTLTQL HEPVVGLVPRLAAVLVVLLLILPWLLGRWLGFTADLIGRIPDLL OJF2_RS20945 MLARVAGLCVTAPLTAVPGVDVRLKLLLAAMLGAVLIPVLEPTI GVPPAGPALAWAAVMELAVGALLGLSAGLIVAGARQAGDLVAAQAGLSAATLFNPESG EEVTALGHLYGLVALGVFLAMQGPLVLVAALAESYRVVPAGGFLLDASTASQVFAQVG GALALSLRAAAPVALALALAGLAMGWIGRLAPAIPVLAMSLPLRSLLGIALVILVLGV LVATFSQAWAAWPWGG OJF2_RS20950 MSDEDRTQPASKRRLQLAREQGQVAHSPELTAAVGWLVALVLLG SWGGDLIRGLAMLTRAPLEAVPVAIDAGRFVADLRAAALAIAAPLAVLVLGFAAGAVA AHQAQVRGLWAPSLLAPDASRLWSVGRGGGLGAGLERIAWAAIKAVLLVVVSAWAIRA EWAELQRLGLLAPAELASASGRVVVWPLGVLGAVMVAVGLADLGLRLARFEAMLRTTP DEHREDQKAMEGDLSLRARRRRLARAWRGDAPELLAGATLVVTGAGGLTVVIGGGPPP RRVNVRSAAKGPTGFQLRHSNRTVWIPEIEAPALALRLGPYAANAPGAPETLPADLLA ALAAAWPAPAPGPRRPA OJF2_RS20955 MSSIASPGGSPATSPHSAAARNLIGGTWVEGRGDASRDIHNPAD TAEMLASVREAAPDQVDEACAAAARALPGWRATPAAERARILFRFREFLEENFSEVAR GIVRENGKLLSEARGSLRRGLDVVEFACGIPSQMMGQALADVSRDVDCLTFREPMGVV VGIPPFNFPALIPLWMMSVAVACGNAFILKPAEKAPLTGTGLVEMFADAGLPPGVVGV VQGSKDVSERLIADPHVQAVSFVGTSAVAESVYRLAAAHGKRVQAHGGAKNHLLILPD ADLERILPELIGSCFGSAGQRCLAGSVLVAVGDRARQDAVADAFLRAAGELRLGDGLD DAATLCPVVNPVEERRIRAAIGRGEAEGARLRLDGRSQSAPHRPRGCFLGPTIFDDVT PDMFIGREELFGPVVSLMRAPDLDAAITLANRSRYGNTACLFTQSGAATRTFRERIQA GMLGVNVGVPAPMGFFPFGGWKDSIYGYHNTQGADAVAFYTRKKVVTERWFGCEAPKD GWS OJF2_RS20960 MSDPIKTRALGSQGLVVSALGLGCMGMSDAYGPADEDESIATIH RALDLGVNLLDTSDAYGPFTNEELVGKAIRDRRDGVTVATKFGFVGGTDGKGGGRIDG SPAHVREACEGSLRRLGIDHIDLFYQHRVDPKVPIEETVGAMAELVREGKVRYLGLCE AAPATIRRACSVHPITALQTEYSLWSRDPEDELLPALRGLGIGFVAYSPLGRGFLTGQ LRRFEDLPADDWRRHRPRFQGDNFRKNLQLVDRVKEIAATKGVTPAQLALAWLLAQDG VVPIPGTKRRKNLEENAAALGITLTSEDLKRIDEAAPKGAASGERYEDMSGVNL OJF2_RS20965 MILLAACLLALPPVEALDAAIDAYLRGEVEQKRFSGVILIAKDG KPLVRRAYGYADWTKRTPATPETAFLLYSNTKQFTAAAILMLRDRGKLALTDPIGRHL PDCPPEWEPVTLHHLLSHTSGIEIDNLWSWVYNHYPSYREGPRLGPYERKPLLSKPGE KFQYSNGGYMLLAQVIARVSGEEFPRFLEEEIFGPLDMTHTGCDRDAVTPGRARGHDL SGASPSIQEQPTHGIVGAGDVYSTVDDLLKWDEALYGDKLLSPASREAMFSPQFKTAR GGVGYGWFLRRGPDGEISHFQHGGGGTGFTSVLIRRPKDHAYIAVLGNLGYDTEFKLG DGCRERLDAWLAGEHGRRGGDAAPAR OJF2_RS20970 MIRQDASPNDPARHGRTHLGPPTADGRSGDSGRGPDIRERIARA VDASEEELVEWLCNDQIRGWHAGHRIPAEAYLALHPALRGRGNAAFEVVYSEFMLRES MGESPCIEEYAWRFPELADRLRRQLALHRALARDEPGGEAPARTLGGGDAEDGPGLEG APEIPGYRILGELGRGGAGVVYRARQLALNRLVALKVIQAGHHALPGAAGRFRAEAEA AARFQHPNIIQVYEVGEHEGLGYITLEYAAGGSLGAAIAGTPQDPAASAALVEQLARA IHYAHGCGIVHRDLKPANIVLAEGRVPKITDFGLAKLLEQEAAPTVSGTILGTPSYMA PEQLLGPSGDITPAADVYALGAILYEALTGRPPFKGATPLSTLDQVANQEPLVPSSLQ RSTPRDLETICMKCLEKAPSRRYASADAMADDLRRFLDGRPILARPSPPWEKAAKWAR RRPGLAVALLGLALAVAVVFAGILRYNALLRAGVRAAREAKDEADRKTRFALEQRNLA MQALDKLVFEVQERLGETPATRHLRQSLLDTAIAGLDDLATSTEATPPDMSRAAAHQK LGEIYRQVGRSAEAGRQFELAVRLAEQRAEDAPGDLAARDCLIRAHIGLGELGVFDPG NTPALEHFQRVVDLSEQVAASGASRPGDRRGLLEAYIRLGRAYGFRRDYGEAVAWFRR ARDLAGRWTSEEPGSAEASAMLAWSDRKLGDIHKLSGDLEAARGDYLRAIAVGRESLG AHPADRETKAHLATAMNDLAGVLHRRRDLAGAEPLYADAEALFADLVGSDPENADIRL SLIHDEDELARLLRETGRPSEAAPVLRRAIENLGRIPSQRIAAYPRADYLRPGVLQRY LSDCEAASTSAAAPGATPPPMTPRP OJF2_RS20975 MNESLEGEEYAGFIRRIRLGDEQAAEELIRRYEPEIRLEIRIML RLRDSRLRRVFDSMDICQSVMASFFVRAAVGEFDLDEPSQLIRLLVGMARNRLAERVR FHQRHRRDVRRLGADAPDEWRIPSEAESPSEVISRRELLALFRERLSDDERSIAELRS QGHDWAAVARELGGTPDGRRKQLARAVQRVNQELGLDTVAD OJF2_RS20980 MPAHRSDRIRARSLRPQVASLEDRALLNAAMPHHGHAARPAAQV RVQAHPDHASKPAGPPIAVLGQAAAGGFRFTNFDLPMTGTNAGAGTNMNGIANNGTAV GFAIDNDGNFHNFAANPLRSNSAQALNINASTAAMAFAVNAKGVVVGTDGNGNAFTLS RGRVRTFIPNGGMSAIAFGINDRGAIVGQYTTANASPGFILAGRATTTINAPSGPNVV NAQGINGRGLVVGFYEGTDGQVHGFLASQNAARNNTLTGTAIADPTIPAVPGEPGATF VFSQVLGINDKGIAVGYYGDSTTSQHGFLYNTHTGQYTFLDDPSEAFSNGVEVTQITG ITNSGEITGFYSDANGVFHGFVAKM OJF2_RS20985 MMTHWFCRRNGSRPTTSPRRARNRRRPAIEALETREVLSALYTV TSVADGGAGSLRDAINQANALTPGTAATIDFSIASGAQTIELGSALPKLANPITIDGT TQPGYAGRPLIQVDGQSAGAGALGFSLDDDSHNSVIKGLEITGFDGGGIYVNNGSGDV FTNDVVGLHYSGGLPRVVGNVTYGITLTNQANGNTISNVVVAGTRYNGIIITNSMNNT VTASDIGTDLTGEDSLDRNGVALGNGAAGGGGSGLVLNGSANHNTISNNVIVNNASDG IAIISAGTSANVLVGNRIGIDLAGTTALGNGGSGVSLAGGANGNFIGQAGNGNVISGN GQYGVLLTGMDSVGDRTTGNAVAGNLIGTNAAGKAAVPNGVNGVVVNGGASYNLIGTP ATGTGTVTQAGGNVISGNTGWGVYISDSGTTGNVVQDDYVGTDVAGEAALPNGNNGVD VVNAAAGNTIGGTAAASRNVISGNANEGVLIGLGATKNLVEGNFIGTDATGLAALPNL LDGVYVGLGAVNNTIGGQDPGGAFNTAAWNVVSGNGTNGVKVVDDGTTGNALSGNFIG TDATGSLPVPNSASGVYIGAGTSSTTVGAQASGFANLNVIAGNQADGVSINASSNNNV SFDYIGLNLDGTLTVPNQGNGVSIHGASSSYNRVNLTAIRNSGGYGILNDSGVGQGWY YDSIFGNAKGGISQPGNPNLQPAPVLFSAVAAGGKTTVVGTIINSPNKNTALNVLFYA SPPPTSLAAVQGLTFLGQASVTTDASGDATFTVVLNAAAPGGQVVTATSDFNVSSSSN FGNFVTVPAAPTSSAAFVGTDTTDQGNWRKAFGVDGYDIAGDSGAANPKLPSYATLAV NGASAYVWAASTTDPRALQNAANTGRVAGTFYSSTAFSLDLNLTDGKAHEVSLYALDW DRRGRTETIQVVDAGTGAVLDTQSLSGFQNGKYLTWNLSGHVQIRVTNTGPSNAVVGG LFFGASPAASAAFLGADSATAGSWRGVYGADGYDIAQDPSAGNPKLPSYATVGLSGVL NYTWAASTTDPRALQNSANTGRLAATWYGGSSFSINVNLTDGQAHKVSLYAVDWDNQG RNETIQVIDNATGNVLDTESLAGFQGGKYLSWSIKGNVTIKVTRVRGPNAVVGGLFFN OJF2_RS20990 MPGTTSSPLASGWRHASGFVMPIVIVGAVLVFVVPIPPALLDVL LSANLTLAVVVLLTTLAIRTPQEFSAFPTILLTTTLTRLVLNVATTRLVLTGGGTELG VNAAGGVIRSFGEFVAGDQVLVGVILFSILVVIQFVVITRGATRISEVAARFMLDGLP GRQMAIDADLHAGLIDQHQAHERRDEVYRQADFFGAMDGAGKFVRGDAIAGVAILMVN ICGGLFLGVFQYGMSVSDAVNVFTKLTIGDGLVSQVPAFLISLAAGLIVTRSSSSTDL GRDVTGQLFGDRGVLGTAAVFLGLLAFTPLPKAPLLTLAGGLGAGAILMGRRGASGEG EAAAADHPAGRREGPGQAHGPDRRVDAGHAPIAAPRHAAEPPAAPASGPGSEGMEDLL HVDPLELEIGYRLIGLADPTRGGDLLERLRTVRQRMARELGLIIPQVRIHDEIGLTPH EYRVKIRGAIAGQGTAHAGRLLAVPPAGLAGRPDGRDGIDPITGQAAVWIHADGREVA ELSGCRVMEASSVVAGHFGEIIRNHADELLTREQVDRLLDRVRATAPSLVAEVVPSLL RPGELQRVLQNLLRERVSIRDLETILETLAVHAGRTRDVEVLTEHARQGLARQITESH RGADGRLRVVTLSKPLDARLCAAGGEADTRPSEALGDEMTRSVVRAVAVAVATLVDAG LPPLILTSAAARPVLKDLTRADLPRLVVLSQREIPRDTPLEVLGSVIEEDDADDAEAG VEPGPGPLDADWEGAPEAGRRTPPRREPDIVTSITTTEALG OJF2_RS20995 MAAKTYRAGTMREALARVRKDLGGDAIILASRSVRRRRLFGLGG RELIEVRASATMPQAAALVEAAGAPPPPASGAAAGPGGLSADAQGRLGDELSRLHERV DALSRMGRVDHLVPDLPAPVVASYARLVEAEVPEPLARRIAAAVADSLEPHQLHDPAE VDEALLEAVARSIPVAPPIRAVMGTRRVVALVGPTGVGKTTTVAKLAANFKLVHGLSV GLVTLDTYRIAAVEQLRTYAEIIDLPLAVANDPGEMARALDELGAVDIAFIDTAGRSP RDEVKIRELGEFMDRARPDEVHLVLSAGAGEKTLRAAAERFARVRADRLILTKLDEAE GLGDALGLLGQSSLPVSYLTTGQAVPDDIEPADRRRLAGLILGMEAIG OJF2_RS21000 MPDQADNLRRLVRAQRQWKRADEPARPVAAGEPSGRPGATGRRE GWLARAARRAFGLAT OJF2_RS21005 MSTKVDVDVTELWREFKEHPTTEMRNQLVERYLPLVKYNAERIW QRLPEGVDLDDLISAGVFGLMDAIDAFDLTRGVKFETYCVPRIRGAMLDELRTMDWVP RLVRSKASKMEEARKELEARHGRPPTPAELAEKMGLPMAEFEKLAMDASAVGLISLNK KWYETDGYKDVREIDILEDKKGEDPTRRLQRKDLMRMVTKGLNRNERLIIILYYYEEL TMKEIGATLDLSESRVSQMHSSIIQRLQSQLSSRRPEFGT OJF2_RS21010 MTWTDRRASRWLVPLAACAVMGLAPGRAHAQFGYGGFGWMGGFN YVEQPTNVINQAALSRAGRPVGPEIRSVYANMPNSYINNIRDNGFVPTYNVARRRPVS ERAPARTSPGDLAMVTPGTPAPVAAPAAPARPQIPLSSFFDSMQRLAWPAESPVDGDL KEKREVSDHASLEVYLQSTAHGAATLTSVAEARQKLLDYGRPALKEVREKFTPRVADT FHMFMLSLYDSLAQVATVADPGPDGPPPVP OJF2_RS21015 MSEGQSHPIDRRQFLQASAAATAAVASAGLVAGDASAQEAKANP AGTTLKTRKLGKTGVEVTLLNHGTVGEPAGLARLLRTSYREGVRYYDTAEGYKNSEKV IGDWLAAEPEVRKSIFLATKSHVRTPSDMLKKLDQRLALLKTDYVDLLFFHGLDTSQT DWPKSKELKEAAEALKKTGKVKFVGFSTHDAKIAEQIRNAAEGGFIDVIMLKYTPWLD RDAPLNKALDACHAKGIGLVSMKQLAGQTQFTAEHVPSIKAKGLTPAQGLLQAIWTDE RFSASCVTLRNTEQVVENADAVRRFEPLKQAEIDELRDAVLASNPTMCPGCDGRCSLA AGTDAKLGDLARFYTYHESHGMRSVARESYAELAEEHRDWRGADLAAAREACHHKLDF ARILPEVDRLLG OJF2_RS21020 MLSADLQDHASRRTRELGCDDFLSRKVTVVDGDAWHVDATVRGS RPYEVSLSREGDAIEAWCSCPYCEDDLEVCKHIWAALLAADGEGHLRGDGRQRARGLK LAAPDVEDIFEDEDWGEPPGPAPRPRPRARRARSKSRPGQPRRAAQAWKQALSRLRSP RDRAPAIRQATLAPGHEIVYVADVPASLAGGGLVLEVATRRRKKDGEWSKPSGRRFGL GEIAQLPDPDDRQALSILAGGREQVNSYYTSYGYYDSAPSRFLLPHALSEALLPRLCA TGRCLLRESEAEPSMRPLRWDDAGPWEFWLKAAPDGAGKAYAIAGELRRGEERLPLRA PHLLLSGGLVFWEDRVAPLRDFDAFPWITMLRQQGGVRVPAGQEQEFLEELLRMPDLP RLDLPEDLHYEEVTPAPRPCLTIKRRDDRGRMSDRDPSWVVGELSFDYGGHVVPARSP ARSVFEPEARRLLLRDPAAERSFDARLEEVGFHRRIDYYRQGEALEMPARNLPKAAAA LLKEGWRVEAEGKLYRQAGDFRIEVTSGIDWFELHGGVTFGDQEVPLPALLAALRRGE DLVPLGDGSFGLLPEEWLKKYAPLAGLGTPEGDHLQFRRTQVGLLDALLAEQPEARFD ERFERARERLRQFQGVAPADPPEGFRGELRGYQRDGLGWLHFLREFGFGGCLADDMGL GKTVQVLALLEARRELRAARRKGRRPPPSLVVAPRSLIFNWKEEAARFAPELRVLDHT GIGRGKPGGGFDDCDVVLTTYGTLRRDIVDLKDYAFDYAILDEAQAIKNASSLSAKAA RLIRAEHRLALSGTPVENHLGELWSLFEFLNPGMLGQAAELGKAGLGLRKADEEARSL LARALRPFLLRRTKAQVAKDLPEKSEQTIYCDLEPAQRKLYDELREHYRSSLLGLVAR DGIKKSKIQILEALLRLRQAACHPGLIDKAGRSGESSAKLDVLVPQLREVFDEGHKTL VFSQFTSLLAIVKQRLDEEKIPYTYLDGRTRDRQARVQQFQEDPDCKVFLISLKAGGL GLNLTAADYIFLLDPWWNPAVESQAIDRAHRIGQTRPVFAYRLIAKDTVEDKVLQLQA QKRALADAIITADNSLIRDLGREDLELLLS OJF2_RS21025 MTSTEPPNAPPLSSTGVSGLDDVLGGGLTPYRLYLVEGNPGSGK TTLALQYLLEGLRRRERGVYVTLSETEHELRAIAASHGWSLDGLEIVELVAGEQELEP DNQYTMFQPAEVQLGETTRTILAQVERVRAKRVVIDSLSELRLLAQSPLRYRRQILAL KQFFTGRECTVLLLDDRTSEADDLQLQSIAHGVISLEHLSPEYGAERRRLRVMKLRGQ RFRGGFHDFNLATGGLEVFPRLVAAEHAELRERSALRGDVAGLDEMLGGGIELGTSSL LVGPAGSGKSSVAISYAVSAANQGMRAALFIFDERFEVLIRRAEGLGLDLRPHLDSGL LTIQQVDPAELSPGELGHIVRAAVEGTDGRPPARVIVIDSLNGYLHAMPEERFLTVQL HELLTFLGHKGVATFLVLAQHGMIGQMQSPIDTTYLADTVILFRYFEAAGLIRQAISV VKKRSGKHERAIRELRLDGRIVVGEPLTGFQGVLTGTPVYKGESGMLMGSNDA OJF2_RS21030 MMPERPPKETRVLLLAPTTKDLSASAALLRAGGIPCHACRDLAD ACGEIAAGAGALVVPEEAVLGGRGKALARILGEQPAWSSLPTIVLTAAGPDSAAKVRS ILELGDVTLLKRPLEVVTFLNAVRAALRDRERQYQVRDHLAEREAVEATLREQDERLR FALAAGRLGSWELDLETGALACSDICRANYGRAPGEALAFDDVLRAIHPEERQRVRDA VAEAGAGRRALDVEYRTVWPDGSTHWVLARGRVDGRGRMSGVSLDITERKEAEEALRD ADRKKDDFIAMLAHELRNPLAPIRNGLQVMRLAGGDAHAVARARAMMERQLAHMVRLV DDLLDVSRLSRQKMELRKERVLLADAVNAAVEATRPLMEAAGHELEVSLPPGPIFLDA DPTRLSQVFSNLLSNSARYTEPGGRIRLAASRRGGEVAVSVRDNGIGIPREELAGIFE MFSQVDRSLERSHGGLGIGLALVKGLVEMHGGTVAAESEGRGSTFTVRLPLGEAAGKA PAQAVADGDHGPRRVLIADDSRDGAESLAMMLRLLGDEVRTARDGIEAVELAGEFRPE VILMDVGMPRLNGLDATRRIREQDWGRGIAIIALTGWGQDGDRDRSREAGCDGHLVKP VDLPDLERALADATG OJF2_RS21035 MRHLIAVGLLAVAYVVAGSLGLLLAIPPGNVTLLWPASGIALAA ILLGGDRLWPGIWVGSFLVNVPTLFAPGLAPSLAASLAAGVGIATGSTLQALAGGRLI LKLTGARGFPDQPRGVFRFAAAAALMCLIGSTFGATSLATWGFVARDAYPFAWRTWYL GDLAGVLVYAPLLMVWLRRSPVRRDAGAIAEAAAFLGLSTLTTLVVFGGWLPAGASAS YLAFPISIWAAFRFGRRGATAAICFVAAMASWGTARGAGPFQGGSLQLSLLLLQAFVS VLAVSSLTLATVVKELRRVAGALDELNGDLERRVADRSALAEQRAEQLALSERACQEK GRTLRSVLDGILEGVVVADRRGEVILWNRAAERLLGVDIRDVPPGRWPSHYGCFLPDR ITPYPAGRFPLARAIRGETVQAEDMFLRNPSRPDGLWLQHSAVPLRDEAGGEPAGGVA VFRDVSEGKQAELRLRSVIESALAGFVMVDEAGRIVLVNRQAEVIFGYDREELHGRPI EVLMPEPPREGHRRDMEAYFRDPRARPMAASRDVRGLGKDGRIIHLLVALNPIRQDGR LLVLASVLDVTEQRRAAGLVRSVVEFSPDGTVAVDRGGRIVLVNREAERMFGYAREEL LGQPLGILVPERARDRHRALAERFVAEHGRRSMNRGSDLFARRKDGTEFPADIALYSY ELNGASFAVANVRDMTERRRLTAEVQAHLLGQGVISQVLRMSLEPAGVDELLRRSLDL VLSLPWFAAPAAGGVFLAEGEGDALVPKAQRGLPPEILGRCAAPHPGRCPCGDPDRHR EVAFAGGPGGSGEPRLPLYCAPIFHGERHGILIVLLDESHPRRPDEEEFLSSVARILA GTIERKRGEDALRGSEARFRAISETAPLGIFLTDAAGGILYTNRAYLELAGRRDGEPP GGDWREAIHPEDRDRVRAEWDRSARDRSPFESTYRILHRDGRTTWASAKSAEIRIGDA LTGYVGVVEDVTQKRRVIEAIRRSEERFDLAVRGTDAGIWDWDLVTGSIYFSPRWKSM LGHAEDEVASSFAEWESRLHPDDRDRASRAIKDYLEGRTAEYELEHRLRHKDGTYRWI LSRGAAVRDGDGRPYRMVGSHIDITAQRRMAEQLSENLAQLEVAQRIQQAMLPRQSPA IAGLDIAGVSHPADYAGGDMFNYLPMLDGRLGIVIGDVAGHGIGAALEMASTQAFLRG LAQTCSTLGEIMTRINRFVFGETEGESFITLLLIRWDPRTRSLTYANAGHPPGYILDP AGEVRAELGSSSLPLGLEEHSDFPVGEPIALRPGELVVLVTDGILEAASPDEVFFGSG RLLEVIRGARGRAAREILEELHRAVTRHTGTEVLKDDVTAVVIKLGDASGPS OJF2_RS21040 MIRGDLPGVRFAALLLVLATTATATASGPASHDVVIYGGTSAGI AAAVQARRMGKTVVVVSPDRHLGGLSSGGLGFTDTGDKAAIGGLAREFYHRVWQHYRD DSAWRWQKRAEYGNKGQGTPAIDGSERTMWIFEPHVAEAVFEAWVREYDIPVFRDARL DRSRGGVTREGGRIVSFRTLDGRTFAGKVFLDATYEGDLMAAAGVSYHVGRESNATYG EAYNGAQPEARHHGHYFKGPVDPYRVPGDPSSGLLPRIDPDPPGKAGEGDRRVQAYCY RMCLSSAPENRIPFARPEGYDPSQYELLLRVFATGWRETFEKFDPIPNRKTDTNNHGP FSTDDIGMSYDYPEATYGRRREILAEHERYQKGLMYFLANDPRVPGEVRAEMSRYGLP RDEFLDNGGWPHQIYVREARRMVGAYVMTDRDCLDRKETPEPVGLGSYSLDSHNVRRY VTAEGRVQNEGDIGVAAPRPYEIAYGSLTPRREECGNLLVPVCLSASHAAYGSIRMEP VFMILGQSAATAACLAIDAAGPVQDVPYPALRARLLADRQVLDGKGLGRPAARRPVGR GDR OJF2_RS21045 MGRPRRGFTLIELLVVISIIGVLVGLLLPAVQSAREAGRRTQCQ NNVRQLGMGLLEFKNVRHYLPNAGTFAENPEVNVANPRDPDKNHQSWIWRSINTPQDL GHDFFPCLSNWVVDILPFIDSQELMKYWDPSKTYIDPSSVSPAVPGNLQISSTGLGIL TCPDDRSIAAQQGNLSYVVNGGFSRWHALPLSWEASASDAGGANGLMAKWSPVVDGST GLPWQPNAAVTKQLGVMFLGTAAAKYPWDVKTRDPDLADGASATVLLTENTLAGYSTG SALSGGMVTNWACPLPNFCLFTGPAGVCTPTSQYYDCTDGGLTPTGNGTIDGSGWAAA NRPSTPSGLNFGYKLTTEGSTTFPTSGHPGGINCAFCDGSARFVTSGIDGTVWSKAIT PAGSRLPLYCRQLPLGQDDIAP OJF2_RS21050 MNRTAPDPLDEDEARLRDLVVEAGDPSVAARPEYLADLRRTVLD RLGPPRRTRRTTPWLIGSGLAAASVAACLALAFVLLRPANAWAQVARAIREQSWLHGR HVGPDGKVLSEAWFSPPTRAMASRSDRAIEYHDPARRTVTRFVPADGTIYLLPESSEL VNASMDFYRALMDPSGSTRSPIPGTEVASQVRRKVEDGGRTWEDVELTLRLVGGPGQL RLRFRLDPATGLPHSATLTGADGPPRTTLFDYPDRGPADVYELGAPRTAKVVDRTPGA DLEAILAGLKAGRVGFDDYRGVMTQVPGVGLRAIWRKGRKWRVESLFPTARSPQPVPR DADGAWWTEHLDQFTRVVQAICDGDKVYYYRLEGDLSMGDGQPPRVSLNMSQAINASD DPFMPWPDSFPEHLSHPNVWLPTEERTFSVEPKPDDGPAGTIRVRVSDIRFPGPARPD LYKIWVDPAKGYVAMKVETAILESLNPPKVAYIDAHVVEDLARAPGGAWYPTRVRRLT SNPKSEQASRFDLDFRTPIPDDLFRPLK OJF2_RS21055 MDDEHSLIRAMARRDRAAWGVMYDRHVGDVFGLCHHLLGGDPAA AEEVCQEAWLIAIEGFDRFDGRRGRFRDWLLGIARHRAIRHRRRLAGLAPDDGAGECS GELAPPDRLESLERADAVRAALVSLEGDRRGVLLDKYVHGLSVAEIAAKSGKSDKAVE SLLSRARAQLRALLRPYFSNPTEGEHHESNRTRPAR OJF2_RS21060 MPRPGARKDGEAPAARPADATAGDPVAHARGVVRGLKRLYPEAI CALHHETPFQLLVATILSAQCTDARVNQVTPELFRRFPDARAMAAADQAELEALVRTT GFFRSKAKNLKAMAERLAGEHAGEIPRDVEALTRLAGVGRKTANVVLGTAYGIASGVV VDTHVKRLAFRLGLTAQRLPEKVEKDLMAAVPRREWVELSHRLIQHGRRTCFARNPRC SECGLAKLCPRAGVTSSR OJF2_RS21065 MIVPGFDPRDFSGQTRLFPLPGVVVFPHAVVPLHIFEPRYRQMT EDALESDRLITLVQIRRPPAGEGWKEPVPIEETGCLGQILQHVRLPDGRFNMLLLGLK RVAIRSEVEGPKLYRTAEVDILEDDEPEARDDPRREELVDLFRRFHEERAELGAELIE LLEKPLPLGPLSDIMAHALALPPVLKQDLLGETAVDRRVAILLNVLRELVPGGRPKRT FPPPFSLN OJF2_RS21070 MEQTAAGDSGTGRGEPREAGRVDLERIRRAIREILLAVGEDPDR EGLQETPDRVARMYAEVFQGLHQDPRVHLKKLFTQKYDEMVLVRDIRLVSFCEHHLLP VIGRAHVAYLPNGRVVGLSKIPRVIDVLAKRPQLQERLTEEVAELLMKELDAKGVAVV IEASHSCMTIRGVNKPDSSFVTSAVRGAFKERSATRAEVMSLIFGSKV OJF2_RS21075 MPLDVTPHDASMIADAAARLASGMAVMLLATSWREVPLRFLRTH CVVILGLLVLAALDDSRAGGTRAGVSLLGAGAFLAYVGATAWGLGLPRVAIPATWLLA GAAAAWLAMASGGGGPAALALAAAGRYASGFVLGATLTAMLLGHHYLTAPAMSIEPLK RYVKAMGWGLLARGLVGVAAMALAHRGVLPSPAAAGHLGPPLLLLMRWGMGFAAPALA TALAWKTAQIRSTQSATGILYVAMTLLLVGELTAMIAARAGAAVG OJF2_RS21080 MELTFSCPRCQAVGHVPGVERAGVGPCKACGAERALHGEAFEEG RLAACPWCGTADLYIQKDFPQALGLAIVLVGFAISTVFWYLERPIVTYLILLASALLD MILYYRVPDVTICYRCLAQVRGEGSNPGGRFRPFDLAVGERYRQERLRVDELRRRDAP SLPGASGVPDEAIPRT OJF2_RS21085 MDERRARIFDDLRGVLDGELEFEPSARASYAFGASLYEVEPLGV VAPRTEHDVVAVVRYAAEHRLPLHARGAATDSGGGALGPGLVIDFSRHLRKVVHDAGD HVVVEPGITPDALNAHLAPLGRRVEPVPVNAAVGTVGGMIAVDAAGERSPRFGSMADQ VERLRVVFAQGETADVGFSPWPSSDDDATTLADSIVRKLHNIRRMALRRPAPPSAALP RNRAGYALSRACTDDGIDLARLICGSEGTLALVLQAALRTVPLPGAQGVALLPFGRIA DAADAARRCLEAGLAPSACDLYDWRLLSLARDVDPALHSWIPEAARSALVVEFEADSA DEVAGALRRLAGRLARDGRFVGEPATATRRADCERLVGLRRLAEPLLMKAGAAARPIS AMDDVAVPPEQLGPVIARFQEVMKRLGIMWTLSAGAADGRIRLRPFLDPADPGDRSRI EPLAAELYEIVLEAGGTVSASSGCGLARTQFLPRQYGDLVQTFRDIKDAFDPAGLLNP GKVIGDDPHQMSRDLKRFPAAAPAAAADSVLLAAAGSGTHRVVPAEGRAEAPTPGPEV ILPVLRWPEPGAVGIASACHGCGACRGLEPAMRMCPSYRAHRREEATPRSQANLLRQV ASGAADPKLWGSEESRQLASLCIHCKLCKTECPAEIDVSGLMLEAKAAYVELHGLPPG DWVFSRLEMWARLASRFPILSNFLLSRRSARWVIERLLGVSRHRVLPPVRRTPFTRRA ARMGLTKARPHEPGPRAAYFVDVYANYYDHELAEAVVDVLRRAEVNVFVPPRQRSSGM APLIVGDVDYARDLAVSNLRVLGNAVRDGYTIVCSEPTAALMIRHEYVKLTGDLDAEL VAQNTMDLGQYLRGLDARGQLPTPSDPLHARVGYHQPCHLRALGVGTPGLELIRKIPE LDVEFIDRGCSGMGGTYGLARGQFRTSLRAGRQLVRRLRDDDIEIGSTECGACRIQME QGQTKRTLHPIKLLSLAYGLNPSLRRHFKDPKPRHVMF OJF2_RS21090 MGPIRDGLDRVAIVAREVVGQAVEPAAIVEAVLLARDETLRRPP LNLSRAIQAFPATDATLRVVVDDRIDEGKVQVRLDVVSLPELVLARRDAESDEATAPQ DDALGRDVEARRNVLQEVVISLIVREGTRRRAEEVLIDQPSRFLGGQLEEFGW OJF2_RS21095 MPRTRVTITNVADRREQLRVASRIRTQAVEALRPRLDPENPLNG VHRNRDGQPYFEFNADDFDAVRRFLADHDAGHGIVVTEATERLGEACVNCGNVAGPTL PTVCPNCGFRDIDPCPICGNEVSRRDYRRSGPIFTCPTAINGHYHHVRLTFNEPLFER DGSFRQPLVIVSPAED OJF2_RS21100 MSGAWPWRVLAPLALLAMAAGDPSGRWTLPAARAGDQLPEGIVT EVRIEGNTTIPAEKLRAHLLSRAGQPLDQQKVEADIKSLIAKGGLSDVSPYYDESPPK SGKFILIFRVREMPVLRVVEFRGRKAVSQKEIEEATDLKVGNRADSTKTRLALGQIQR LYQEKGYDLAEVRLLEGGNMGDTKAIFQIFEGPKFKVHSIDFKGNVFATDARLRTMVA SRPPILGVVGGKYHRDLLDEDVRKLKEYYQSQGFYEVKVTPVTRPGSSLGDINLTFVI SEGTRYSVRNLLFEGNEKIKTAELREGLQLHSGKPFLEAVKEADLKLLKSRYYALGCA DVSVTFEPRFTNQLGVVDLVYKIEEGQAYLVGEMPVYGNTRTRDKVIRREAAMAGVLP GEILDMNRVEVYKQRLNALGYFHGNMPQQGGQDLGGKPLEVRVLNKRPGDKPYGDLML PLMGEGVTQARMQDPGSGVELVPAPEGLDAGGAAAGSGAGAGAAGGTPGAGGFGAGNL FAPPADATPPLTVPPARAGRRAAANAAANGAAPGGTPPPLGSGELPNTYPSLPGTNMT SVGPDLNDPFRNRSYADILTSVDEAPTGRFMVGVAASSYQGLFGNVTVYEKNFDIFNV PRSFNDIFNGTAFRGGGQEFRLNIQPGTLINKFEASLREPYLFDLPIGAGVAGYWFSR FYPDWDERRGGGRFSLGRQFGTSTYADVAVRVEDINFFGFRSPAPAEYLAVAGESFLA SIRPSLRFDNRNNPFMPNKGQYAEFSVEQGWGSFTWTKADAEGRAYFPTGSRPDGSGK RFFTLRGHFGIATQGIPVYESYFAGNFGSLRGFQYRTISPHALGVPVGGVMMALGSLE YQFPLTASDTFHQVVFCDFGTVEGDYNFHKIRASVGTGLRMLIPQMGPVPLGFDLAFP ISYADGDRLRYFNFSMGAMY OJF2_RS21105 MKIGVAAVLVLALAILPVVRADAPGASQAPGPHPTIRDFTNTDP ASLGVRLVQPRKDPKTGFVVGGKNSTKLLRTLAEINGRAIADLEADMRPGAKSPVGSD GGFLGKRESLLEVMAADNDEVVGRLGLTHQEVARHMHALGAIGERMQEEPFRYHGQRF RVTVVAYRGDQPSPFHDGTKSNKEVTVRNLDSGKQIEFSLLVPFMVERYGFYEGKGTP YRVDPARLLEVLGLIGKGSMAEPRQPPAAEAPQRANIRP OJF2_RS21110 MRKIVPFRLDVAGYDALDAAGTFADRRVELLNGLLVMMTTGPAH DNAVTVLGDLLEGLLPKDDWTVREEKPLVLSRHWKPIPDLVVLRGPRARYARRTPDRH DVALVVEVSDTTYPKDSGPKRRAYSRAGIREYWIIDLARRVVEIHIAGAQGLGLHATI AEHGPIPLRLDDIDFEPIPTDELFP OJF2_RS21115 MDDNRFAPGPTSNTVRAADGTVRSAPEGWALLPPGDAGLTRRVK AAGEHWVVQEKVGRRTFSRGVWAPSATIERLRAGLDAERSTESYARKQEAAARRRDRL QGEYVEDFHGAILSFLAFHPGHADLADRLARAVTEHATPVGSGTVARTERIPIQERAE AAVIAWMRHQTTGYDNMVIARVKGKRREVRRMLARRSQELLQRYRRGDPIGEDCPLRK ALSA OJF2_RS21120 MSIETPTPDGVLELFRDEIVPREADLDAEYQEAILGQAGAAWDQ PFPGVEVLTPQGGPTLMRVTYRRLLDGAADEGDRIRHELRQLWNDIRAAIPSDGQAVF VSPVMTHVDRPEGLEVICSTHGIVRVS OJF2_RS21125 MDLDRLEGIAREELARHGLHAWTFGLSKARRRLGVCKYHRKRIE IAEYYARNSPEASVLDTLLHEIAHAIAGPAARHGPRWKAVAVRLGATPRSCETSGDAV LEPGDWRATCPACENVVHLYRRPRSLTGYRCKCEARSPLTFEYAGDPRRKPVVPLTIQ ESARWEATCVGCGTVHLRLRRPRAGRWYCKCPHRSEIAWRPRIG OJF2_RS21130 MRPDFADEIVEQFERGQISRRQLASRLMGLGAVLAAVPAAARAG QEKESTFRATGLDHVALNVRDVPRSRDWYVKHLGLEVITDGGEDNCFLGSGGGFFLTL FRGDRPGLNHYCYAIKDFDVDRAEAKLKAAGLKLRRESGRIYFEDPDGIEVQVSGS OJF2_RS40080 MDGDRFRGLMLNQPSKLKTVRLWDEITFVVAQGLPFPLLVTGKY LQERGNWTIRPCDKCGLSELLDAPSDLIRATFPQHPNAHLTEVFTQFCPLCGGVLSLK SVNRPEEDHEPETAPVPAPRAWWQFWR OJF2_RS21140 MIRLINAIKKFGNQTIYDGIDASIVRGDRVGLLGKNGAGKSTLF KVLMGQESLDSGELLRDRKCSIGYLSQEIHPLREGTVFENMLNHLGPWTEADVKLKAV MKGLEEGDPQALDDYDDAMEAFVAAGGYEMEARAKAILLGLGFSVAQLDAPVATLSGG WAMRLALGGLLAFEHDLLLLDEPTNHLDLLSVKWFEEFLRSYPGAILITCHDRDFLDR VITKTFELELGKLYSYSGNYSAYLPQKEHRLAVHQASFDTQQKKIRQMQDFVDRNRAN AATAARAQSRLKAIDKMERIDAPRADGSSVRIRLPEPPRSGQVVARFDGVSFAYGTKV VYSGLDLEIERGDKVVLVGPNGAGKTTLMKLLAGVHAPTRGEVAFGSNVHATYFAQHR VEALNMKSTVIANLRDVHPGASEGALRQMLGAFLFSGDSVEKAVGSLSGGEKTRLCLA KILTVAHNFIMMDEPTNHLDIASRDVLEEALDEYAGSLCFISHDEHFIRAVANKVIEV ESGKLTVYPCGYDDYLYARLKRQEVDSPFAALTRRLKPRDEDARDGAKAGAGRRASAA S OJF2_RS21145 MTPTAFPARIHVLLARRAPVGVVIRRGPSKRVCTVLWDRTADAF RLGQWLHGRVYERRSDVSPDGTHLIYFAMDGHWDGEAGGSWTAISRVPYLKALTLLAK GDCWHGGGLFTGGGRYWLNDGCGHASIRESHEVVRDLKYQPDRHFGGECPGVYYARLL RDGWTLIRREAIGRWKAMSVFEKPAPGGWTLRKLAHEEVGAPPGKGCYWDEHELDGPG GRISCPDWEWAEVDGDRLAWAAAGKLFGGRPGEGGIAASAELHDFNGMEFEAIAAPY OJF2_RS21150 MILASLIRLEKNARRLSEILSVLGRYGLADWFGGMPYDWIQNRL VAFDGQRLGGLTRETRIRLALIELGTTFVKLGQILSTRQDLVGPGLAAELKQLADRVP PDPPEVIRETVSAELGRPPEDLFEVFDAEAFGSASVAQVHRARLRDGRRVIVKVQHAG IEGKVHCDLDLLMGLAELLQKHAPQLRSYQPAVTMRELRRSLLCELDFSSERRNLEAF ARNFADDQAVHFPAIHPELCSRRVLTMEQLEGIKVTSREELHASGIDLNEVALRAADV YLEMIFRDGFYHADPHPGNFIVLPGGVVGILDFGMVGRMDARLREQLDALLLAVGRGD SERLMEWTLNLGGSLSDSDRAGLQGEVGGLAAEVVGQSIGDMDISGAIERMTEIMHRY GLLMPPPVSRLLKTLVMLEGTARELSPHFSLAEVLERHQARSARALADPQVWLRKMER SYREWERLAKVLPTSLADVLSGLRGGTLEFQHSDRHLGGAVDRLANGVLSASLFLGAA QLLGRASREKDWDGAKAIGVAFLVAAVVLAIRLQRAIRKAEMKNDA OJF2_RS21155 MPTPRAEILLVSGTHPNEACAPLMAREVFLRLRERGRRAALHEI PYRYTLLALVDSPSTAATDYSMPAGGRRLDADLDGLDEDLRRRHPHALIFEFHNSEDT QPMLGIDPAKPACEYEVGPIGPGSARAFEIGTWRNVDHLGRPGKYLIELPARYVLEES PVRERRRRRLAQFREAGYDFDPRWSHYLESRADVAASRRRGYLAGCLARKVADWITGC G OJF2_RS21160 MRVLLVDDMDDLRESSAWLLRLAGCEVRTAPCGDKALADLNGFQ PELVLTDFMMPQMDGIELIRRLRSIPELDHVPMLMVTAADDRTVEEQAKAAGAAGIVT KPVDILEVLGRVERGEFHVA OJF2_RS21165 MATRWWWFPAVLALALLASLACWPEAGAQPGVTVPGRYQIATFP GRAAQFGLEQNATGCYLLDTATGQLRTLVLDGEGRGSWKEVCGPVR OJF2_RS21170 MPKNENLAASGPEYNPLSAEEARVILGKGTERPFIGEYTDLKEP GTYVCRRCNAPLYRSDDKFASECGWPSFDDEIAGAVKKVPDSDGSRTEILCQNCGGHL GHVFLGEGFTAKNTRHCVNSVSMKFYPKGKELPAVIK OJF2_RS21175 MHRGWWVVPRLFSVALGTGVRRRRLRSGGRATPRDVALVMGCAW ASGWFCLGLTAWADWRRHVPWPDAVIALLSLSMIAFVPAALCLAAAWLSRLAHSGDGK KAGGPHPLD OJF2_RS21180 MYCAGTRTDVDPGRIYDRDMRRMDLLSEEEERRLGAAAARGDRE ARRRLVEANLRLVGRIAAQFRGRGVDYEDLIGEGNLGLIRAAEGYDPRFGTRFGTYAS YWIKQAIRHALSSKAATIRLPLHMYRLLSAWRRAERLLGRDLGHAPSFDEVAAHLGLT EARKRMVEEARRACRIRSGSGRGGEGGEWSHDEAVDASDAPEADLERADEHAEVLRRM GRLDPRERMVLALRFGLDGDPPRSPREIGLRLGVSRQWVRTIQLGAVRKLLPEAPRAA LRARA OJF2_RS21185 MRIIVIGGSGLIGKKLVAILRGRGHEAVPASPSSGVNALTGEGL SAAMAGADVVVDVSNSPSFADDAVMEFFSASTRHLLAAERAGGVRHHVALSVVGADRL PDSGYMRAKVAQEGLIKSGGIPHTIVRATQFFEFVGAIAESAAKGRTIRLPPALMQPI ASDDVAAALADVATAGPRDGTVEIAGPEPIRQDDFVRRYLLARGDAREVVTDAGARYF GAIPDDRSLTPAGVPLLGPTRFDDWLRREAPR OJF2_RS21190 MPTSPDKKFHDLLQDFGNAMLVTRTAEGKLRGRPMALAEAEPDG TLWFATDRDSAKIDELEKDDQVVVTMQSGMKYLSLTGRAKVVDDRAKAAQLWKAEWKV WYPGGKDDPALVLLRIDGETGEYWDNSGTGGVKYLIEAGKALLTGSRPDVQDDAKVHA KVEL OJF2_RS21195 MPRRGMGRVGVALLLAIAVDAMATRRAEAAGDEARRAVEVRGIY GGVPREILDRGRSPSDYGINAVWIGSGGLNRDAVAELKRQGAKVFAEFNTMHEASYLK DHPDAAPVGADGKPCPPPDGWQGVCPTHPGYRAARMAEFRRVLRDFDVDGIWLDYHHA HASWEQAEPALPDTCFCARCLARFAREAGVDLADRPAPEAARLLLGPLKARWVGWRCG VFTDWVREFRAIRDEVRPGALLGTFHCPWSEDDRGGALRAKLAIDLKAQAEYVDVFSP MPYHARFGHAADPDWIGRQVTWLGRHLGVRGVPGERIRIWPIVQLSDWGEPVPAGQVP DVIARGARPPATGLTAFAWGPLSKDWDKVERMGRAYRSLRP OJF2_RS40085 MPPAGSIADGRPASRRRRRLRLALSLSAALVALWLLISLAVAHR LTRRARPPYPEPVPSVEWGRPEPHRLRTLDGEEIGTWFVPGARDFCSVLLVHGIGADR SATLGRAKLLAEQGCSVLMISLRAHGDSTGSFNDMGYGARHDVVAGVEFLERATPGSP IVVHGLSMGAAAAAFASRTLGDRVGGYILESPYRDLETAVRNRTEEALPPVLDRIAYA GLRIVAPLVLPDLDETSTVRAAGHIPADAPVLILSGAEDPVARPEEARAILDRVRSHG RLVLFPHAGHLNFPEADPGRYRRAIGDFLRAVGPGRPRPTRDRDRGATPAREHSPDGA ALAVENRRFSTSLPKSYFLYIYLFFILNNTTSEDIFVFRERKRSEDISRSRSAGEGWG EGGMSLHAMADASAAATASPGLIQPREGRADRRPSPHPSLPPSGRGRGGSARPRKKIR GMIRSNNRPLSNAPSPTPRGRPGGSSRPGDGRLTTVRAGTTIRVIEPAACAPRGGGSC PGRADREAGSMGSPMNIEDEPIPATTLPLPDRRIGRREALGTLAACLAGGRAVAGSAE AGFRIATFSADVTPPMGHPLMGGGIEPAREVVDRLSARGFVLSGDGKPVVLAAIDWCE IRNDAFDRWREALAEAAGTEPRRVLVAALHQHDAPISDLAAQRLLDAAHAPAGICEPE FHGRAVREVARAVRDGLKEARRVTHVGAGRARVEGVASNRRYLGDDGRPAFGRMSATR DPVIRDRPEGLIDPWLRTLSFWDGDRPVLALSVYATHPMSHYGRGGVSADFIGMARRR RQDEQPGVFQVYATGCGGNVTAGKYNDGSPANREVLAGRVHRAMVEAWAATERSPVER LDFRAVPLRLEPRDGPGFTVADLRKRLETDPKPFGRCLAAMGLAWRARADAGHAIDVP ALDLGRAQLLLLPGESYVEYQLLAQQTRPDSFVVTLGYGEAGTGYIPTDRHFDEGDTN LIDWCWVARGSEARMARAIAAALRRR OJF2_RS21210 MILNPRMRPRAARIRRQARRLRPRLDAMEGRALLSTLVVQNLND DGVGSLRADLAAARTGDTIKFAGSLSGTLTLTSGPLVVDTGVSIRGPGAGKLTISGGG TSGVFLIETPYGDPMPPPISASLSGLTIAGGDANDPGILNFATATLDHVVVTGNQGGG ITNGGTMTITDSSVSANTAGGPSAGLSLAAGVFNEGTLTVVRSDVSRNTSQGTTAVGA GILSTYNTVLDISSSTFVGNKALGGGGGADGGAIHVDGGAVVTIAGTTFRGNVAVATG NAQGGALDLLGGGFFGPATTVTVTGSLFDGNQAIGGQSGLFGGSGSGGAIQDSADLTV SNSTLTGNAAIGSVGGGLGVGGAIAVQGGGPLALSGDMLIGNRAQGGSSSGGFGGLAA GGAIDLLFAQPATIANTGFLGNRAVGGGASDTGGAGGSAIGGAIHNNDGGLSITGGFF LLNQAEGGSGAGGATAGDGVGGAIMNQGNLVLSGSRLVGNMARGGSGGGNGFGGGLYT SAATTIADTLVLANAAEDGGAPGTGVGGGLYIASGATTLKGKTRVIGNRASTSNDNIF GTYQAS OJF2_RS21215 MDALLRALLANAILVTLLAPAVLVVDMVVRRPALTHAIWVLLLL KLLLPPLSTDWLAPRLSPRWPGVDSSPGGRGVPRHPDETASPAPGAGGQASGAAEEEA RAYPRAVRPAILSAAQWAPDRWPTIVAAAWGAISAAWLAIVLARLAGASARLKDARQA PQPLQERARQLAEKLGIRPDQAPGIRLIPGAVSPLLWAAGGRPRILIPSDFWGLLDEP KQDALLVHELAHFRRRDHLVRLLELAVTTLYWWCPAVWWARRELHDAEEHCCDAWVLW ALPGSSRAYSAALLDAVDFLSESGPLPAGASGLGEVGRIRSRLAAIMRGGTPRVLSRL GVGAMACVAAAVIPLRSPDYHARTYRITDLGSLGGPATYPMRINDRGQVAGYSMTPSG AFRMFRTAPGRPIDRATDVVGGRVREPEYATDHYGIFPRTELRTDALDMDLDERGEVR AGMPIARRGLIARGDAPWDDDATRVRDVNDAGEAVGDLDLRLPIPDLPGMLQPARHAF RAAPNRPIDPSTDDLGTLGGRQSQGAGINNMGQVVGRAQIARRLPGHDEFVSEGWRPF LHDGTRMVDLNAFIPEGAGWYLLAATDINDRGQIVGTGLRVLRRASPADMEERGYIRR EVVSELTGMRDRCGMAFGEVLTLASPHGFVLTPVPEPSQLVLLAIGSAACGLAFTGFH SLGGRRALDRPWRKEVTALRQRLQRLWLLANRPLF OJF2_RS21220 MGVAGDVTEAELAILRLLWEGGPSTARQLIDRLYPEGRSLAHPT VQKLLDRLEAKGCIRRDRTGPVQVFEAAIGRDDLVDRRLRRLADQLCGGSLGALFSHL VRGRRMPARDRKVLRDFLETLDEGAKDHGGEGET OJF2_RS21225 MRSFCAAFLVLLASGDADAANATERPSVLWLIAEDLGPELGCYG TPQVRTPNLDRLAAEGMRFTRAYTTAPVCSASRSAFMTGMYQTTIGAHNHRSHRDDGY RLPAGVRVLPDWLRDAGYFTANVVTLPPSVGFKGTGKTDWNFTYDGRPFDSDRWADLE THQPFYAQVNFQETHRPYQAPPRADPAKVAIPPYYPDHPVTRGDWAQYLDAAGELDRK VGAVLRQLEADGLAGKTVVVFLGDHGQSHVRGKQFCYEEGLHIPLIVRWPKGLPAPAG YRPGAVDDRLVEAIDLAPTTLDLAGAGKPPSMQGRILFGDHADPPRTYAFGARDRCDE TVFRLRTARDARYRYIRNFTPDRPFLQPNRYKERSYPVWNLLKELDTQGKLTPAQKAL TAPTMPAEELYDLEADPHEVRNLTVSDQPAHREALGRLRGVLDRWIEETDDQGRTFEP AGVAAAEGATRPASKARAGAR OJF2_RS21230 MRSLPSHLTPLAFAACFLIFASGAALADINLENVKDGETVRHPL MLLRGEATGPGPLRVVNSDNRFPAGRAEAPIVAGRFVALVELRPGANRLTLDCGERPA RKLTLTFKPPTTEYRLKVVYVTDRDGNTRYITQRPDDPQNYAERLDTAAKLLQTFTAE SLHDQGLGRRTFALELDDRGKVVVHTERYPEAGDVLRGKSGNELYGMLYGWIDERFPM NRSKDMVLMGFSGYDPATKEAKAHTALGGGGQGLFSNLFLFCWPDSPADVTRAFLDRT PVDRDKVFHDVGLPTLDRLASTTLGATLHEMGHAFGLPHTSDPRCIMSRGFDNFRLNF LAADQADRGPEPVRPEHTAWFSPYMAAKLARSRWFQPDARAYDESSPPRIRFDHKRQR FEIEAARGIESVFAHVGHSDVQKGVFRPIKGTPRTFSLALKSLRAELGDEGTLYLTAY DTELNQADLDTSRLKDPAQFLTSWRLVEHPIEYPDADAPAPTPAQLEAIRATLRDRPR DRQPVNLDDGTYSADLKAVFGASSRVAAYALREFTSEQAREAKLLAGGDDGFRVWLNG KLVLDRPGATATPADSSEAAVRLAKGRNEILVQSIQGVGGWGFSVRLAHPDGRPIALD GGTDAKGSPARPGTRRPGARSTPPRPGGR OJF2_RS21235 MIRTFLVLAVTGTSLLAANFVLGLLASGEPRGPHAVWHAVHLLF SLATVIGLLGIHSIVYTYFMATVKWAKEVVRVYGLPEWFVAHATRNKRRAMRFIMGSM AAIAVAAWLGAAADTRGPAYAPWHLGVAALTFAFNLGSFAVEYAGIVAHARLLLELKA RADALREARYGKAGVDADAAGAAGIPAI OJF2_RS21240 MSPLAVIVLIVLIDLLGFSVVMPLLAPFAEQYGFADWQIGLLFS AYPLCQLVAGPILGRLSDRYGRRPLLIYSQAGTAISFLILGLSRDFTVMLLARMLDGA SGGNILVAQAYVADVTKPADRARGMGLIGMAFGLGFVLGPLLGGLLVGLHAAGEWRLR LPFLVAAGFSTIAWILVLARLPESLPEGSGAREAARVLSWRGVLDAVRLPAIGSLIGI GSLSVLAFAALEGTLALFVRRRFGWDARGAAFAFAGLGLLTAVVQGGLIRRLVPRFGE ANLIRAGIFSALAGFAAIALATGWPMLIPAFVLVGVGQGISGPSVSGLLSRLTPTSEQ GAVFGTFSSTQTLARMISYSAANVLLGRLSEAAPYWFGCAVYAAAGVTALALLPRVPV QQPAEPAVMD OJF2_RS39360 MAGRAQEPAAIAEAAPATEAPAPRPARWWPASLAVFTLSLVALA PTTGDIGLTWDEPAYRYSQVMSAQWWEQLARVRSRDDLRALLDPDALLFYWPYGRYGI NFHPPLAGQLNLAAHAAFGGFMKDIPSRRMASVIEFALTIAVGFHFLARRYGMLPALT MAGSLLSLPRLYGQAHLIDTDIPGLLLWAAASLAFWNGLYEEEGRRWRVLVGILTGLA FIEKMSAVTVILPLIAWLAATRLPKALVGRGARLAWLDALVTAGPMLVPLGMAFLEIQ SLQRQLLPPKDADLFLQRPAADLPGWILAVPLGVWVVRRLLGRIFGRSPLWGAERPGL ETWTAALAFGPVIGWLGNPAWWRETLPRLAHYYALNADREGALPDIHNIYFGQGYEFT LPWHNAWVLMAITVPIPVLAAAAVGVARGLAGARRDRLPLYFLLQFLTLPALRMLPVP AHDGVRLFLPTFFFLSAFAGWGADAAARGLARVARLPYRLAGAATAAAVVIPGAVATA RIHPYELSYYNALVGGPRGAWHRGFELTYWLDAFNGPVLDELNARLPTGVEVDDPNKL TNPMTMKELQDLGALRADIRLGPDVREHGGTYERIGYAWLQTQDSKATPFTRLLFAMR PWYASEPRQLDGLRAATVADTVAVTRAWALELLMDAPDPLPPSRPKLARPMANQAVLR WAREDPKGLEDAAKALAAGGEAANAPAASRLMHQMTDRRNQRESEVRRFLLTRLLKAR PRALAEGVRMLVDRPDAIVEVMTRYGYTDPEWIGGYLDRDLPPGS OJF2_RS21255 MNSEQIGTPWCRRASLACGGAVAATGCLALCGWAAGRPGLLVGP GWMPMAPNTALAFLILGVGLVAAVGGRAGGWLAGPAAAFVVLVGVARVLEDLTGAALA VDDWFMSVRGGRVGPAPTGKMSLPTAATMTAAALALLGLSRARPRGALRHLVGAGGGA AAVSGLVFALGYLFSPQSPLAYGAESIPMALDTAACFVALGAGLVAAAGVEAFPLSRL AGPSIRARLLRTFLPLVATTVIGSAWLTQLAAAGVVATSAAVASAAMAVAAIALSGLI CERIAALVGGRLERAEAELREARDHLEAKVDRRTLDLSRANEELARALQESRDAHESL KVAHLELQMTQSRMLQQARMASLGQTAAGVAHEINNPLAFVTNNLVVLRREVSWMHDI LRLYQQAEETLARYQGELYTRICHLAEEMDLPFVLENLDSLLERSRAGLLRIQKVVAD LRDFAHLGEAEYQVADLNAEIGTTVRLMQNLAERQGIALRTRLAPLPPSRCFPAKINM VVQNLVVNAIDASAPGDAIVIETRAADDAILITVADEGCGIRPEDRARVFDPFFTTKP VGKGTGLGLAISYAMVRDHGGTIDFDSEPGRGTRFVVRIPVVPDDPAPLPDGAGERMS PALPA OJF2_RS21260 MKRHALALVLTGVLGSMVVVGNAEACHKKKCACTAPAPVCVVEP APCPAPPPAPVCEPACAPKKKCGLFSHMKMPKFGGLCHKKAACEPAPACYETVAYAAP APVYATTQAPVYSSGQASAQH OJF2_RS21265 MPRSSSSRSGPEPGDVRAGTPAIAARAGGDPGPADPPAPRTGRL PAASLLVGLAGLAAMLATEPRMAIGWDEGYTLGREARVRAWLRAVRDPAGFAASWSPP GRDEDLVQQVGAPPPRPDQVDSRAELLLDPRVLAYFWPFAREEPHGHPSFYAILGLAG DVLAPGWRDLPRARLGPILLFCATGALLFHALGRRWGAWPAAAAAGAWFLQPNLFGHA HYAAYDAVLTSLWALAALAFARASDEPPAGGRDRGGALAAAGFGVILGCGLATKFTGW FLPLPLAAWAAWARPRRGFRTFLIGVPIALLVLYAVMPPWWVEPIAGPIRFLQSNLTR EDTTRIPVLFLGRPYLTPKQSLPPYNTLAWTAMVTPVLFLSLAALGLSRAVRSGRGEP IAGLFLVNWLFLMALRSLPHTPGHDGVRLFLPAFGMLAPLAGAGALQLERWLGPRARI AVLAALGEGVASVALLMPVPLSYYSPLVGGLPGATRLGMEPTYYWDALDGRALAWLRE RTAPGQTIHFSTNPTSWLYLRRIGELPPRLSGVDPGVPAWYVVQNRPGMNDLQGIPRL LMERAEPAFEVRKFGVPLIAIYPAREVQRVQSIPAAGGGPGQRK OJF2_RS21270 MNIRPARVGDAPAIYELIKTFADRKLMIRRSMGEIYESIREFVV AVDDANRVVGCVALHVFWEDLAELKCLAVAEELHGRGVGRKLLDACWAAARELEIASV FTLTYSVGFFERCGYRQIDKAELPHKIWNECVRCPLFPSCTEVALIRTHGAATEATTA HAALELVPLGA OJF2_RS21275 MLTRKALVRWGLTILLIAVAAHQLWRHGHDYVLPRQFAVVEDGR IYRGGWQKDWPMRRIVRDYKIKTVLALAHPDDHPLSRGEEALSRELGFRWIHIPIVDQ RNEENPKTISDLLDEAAAVLADPNNYPIYFHCHHGLNRASMAQIAYRTKYCGWTLEQA MGEIDESIGLVKVAHGPDYRRMIDYYNDRVLPAREHGRAPAAAASGRPTPAGPPTLAA QVGGNGPASATTVR OJF2_RS21280 MLARTERPSGPISRVVGLYQALLRRTLEHFFPDSVLEVQGDRSV IDLDGCVDEPCYRIRDDGDHLGLDIEWLGTKLTFRPQSPVPLLPTERRMVDTIVRALD MRFRGLFDQDLAGRLERFQYVTEDLIIADFIRPVNPYRIPAALEALRVAALSTYENRR VSTGALLLSTNSDPADPKRANAEGAPRFNARLTAIKGFHRLCDGVNTLFLVDREGEML RMADIERWSADVQDREAPLAPCPRPYVSHARATQAGGHVCLVLTPSQDIKVFSEGTML FSLSDARWRLLDIPSKFAAWRDAVGATTPPELALWLFQAALNLGEARTGALFVVVRDR QRALAELIAPIDRMTEEVAVDDPQDPENLSPRLAKRALHHAIRGADLCDMEPAVLESI ASLDGAVVVDTEGAVLTFGAILRIAPETLELVRSVQGARTLAALAASEYGPVLKVSQD GYITMFLKGRRLWEL OJF2_RS40090 MVPESCRALFELAGRWPGRRVAVPYGHLASLRGFPMADPILREF AGLRVGRSGPGRDRAASDIEFHTRPSFDHRYAVAELEPAGADLFPLGNVHNRHMELFI GPEGRVFAYLVPVVSCDAWGTRSPRPSSDYSSGLPETPNVALQRTYTVAANSRRSELV AVVWACSTQSAGPSGDQGRSLVSKVSKTLDRVLRGAADSNIRFDDLRALLTHLGVS OJF2_RS40095 MAEILNLQPRGNQAKAYQVKQVRGVIVSHGLAGEPEADQPAEEP LSGEDVGLSNSGDEDHGQ OJF2_RS21295 MGNDVRYEIILYWSQGDQAFIAEVPELPGCAADGSTYGEALANV VVVIQEWIETARELGRSVPEPRGRLIYA OJF2_RS21300 MSTVEEITAAIQLLSAADVARVRAWLAEFAERLWDEQIERDGRF DFMIHRALEEHRSGRTRLL OJF2_RS21310 MATETMIPIHPDGNGHSFSLPALAFQGLPSTLCKGCGHNSITSH LIEACKSTGLDPYQTVKLSGIGCSSKTPAYFLQYSHGFNSLHGRMPSVATGALLANHK LACIGISGDGDTANIGLGQFKHACRRNVPMVYIVEDNGCYGLTKGQFSATADLYAHLR RAGGETNPVPPFDLCLEALIGGATFVARSFAGDKKQLVPLLKAALKHRGTAFLDIISP CVTFNDFDGSTKSWDWAKEHEHPLQEVGFVPRLPEIEVEQKAGEATRVQFHDGSWITL RAIRHEEHDVTDKASALRLLAESDRDHEFLTGLIYLDASRPDFTADLNVVETPLAHLG QDALRPGPEVLEAIMETI OJF2_RS21315 MSATLSPGRTSPAYAGHLPDRPVVNDLSIRVGTVNGSGSQSANL VILRALYAMGLPCSGKNIFPSNIEGLPTWFHIRASAKGYVGHRVDPQILVCMNEPAFK DDVAALRPGSVCVYRSDFSVDPAGLRDDVLFLAVPFTKLAEAAYPPEKGDPGYRDKLR KVVNMTYVGVLASLCGIRMDAVEAAIRREFPGRKAKAADINIAAANAGYEWARENLPA DLPYRVEPMASGREKILIEGNKAAALGALFGGASVLTWYPITPSSSLAEYAEGFLKKH RTGADGKRSFAIVQAEDELAAVGMAIGAGWAGARSLTATSGPGISLMSEFVGLAYFAE IPVVIIDVQRMGPSTGLPTRTSQGDILKMHLLGHGDCRHIVLIPGSVGECYEMTIEAL DLAQRFQTPVFVATDLDLGMNLWLSEPFRYPERPIERGKVLTAEDIERIGHFERYRDV DGDGVCYRTIPGTPSPLAAYFTRGTGHNEKSGYSERPEDWKKNLDRLALKLETARKAA PRPAIGGDFAAKIGLIAYGGTDFAIAEARDLLTAEGIETAYCRIRALPLADEVAAFVE RHQRVYVVEQNRDAQVTALLRATLRGTLADRLVPITHYNGMPIAADNVVRPILGWEKH PSGPGWPTGDVERDNPHVEHAREVSPE OJF2_RS21320 MNAAHRFGMVIVLASLIAGGAVADDPRGLPEQIVDEMNAIFGKH PGFRAVHAKGVVAEGEFTPSARAATVSKAPHLRGAPSKITVRFSDGTGNPEIPDGLAG AGPRGMAVKFHLADGESTDIVANAFNGFAVSNGEDFLAMLRAIAASGKGAPSPTPLEQ FLAAHPAAKRVVAAHKPVPASFATEPYFGNNALSFTDAEGKSRFGRYRLIPEAGSKFL DDAEAKAKPPNFLADELRSRLAGGPARFRLAVQLAAPGDKVDDATVVWPDDRPVIELG ILSISRVVADSATAQRALAYDPLRLVDGIEASDDPLLELRSAVYAESRRRRR OJF2_RS21325 MTGMAKLRDHLLELLRGGHAHVDFERAIAGLPAELRGAKPPGLP HTPWRLLEHMRIAQWDILKFSVDPGHESPDFPDGYWPEGDAPPDPGAWDRSVAAFRSD LKAMMDLVADPRTDLFTPFPHGDGQTLLREAMLVADHNAYHLGQLVAVRRLLGAWEDG A OJF2_RS21330 MRIFVTGASGFIGSAIVPELLVAGHEVVGLVRSDESARAVEAAG ARAHRGSLEDLDGLRAGAAESDGVIHAGFVHDFSRFREVCEIDRRAVEALGDVLAGSG RPLVVTSGVGVLPGGGLATEDTAPPSGPDAMPRAASERATEAAAARGVRAMVLRLPPS VHGDGDRGFVPMLAAVAREKGVSAYVGEGLNRWPAVHRLDAARLYRLTLERGRAGARY HGIADEGVPFREIAAVIGRHVGVPVASKAFEEAPGHFGWFGHFAALDIPSSSRKTREE LGWEPTQPGLIADIDRPTYFGA OJF2_RS21335 MSGANLGGRFTFPGTSLTVHRLGYGAMQLAGPGVFGPPKDPDAA VAVLREAVAAGVDHIDTSDFYGPHVTNQIIRKALHPYPDGLVIVTKVSAKRGEDASWL PALSPEELTQAVHDNLRNLGLDVLDVVNFRSMYGIEEPGEGTIEPYVSVLADLRRKGL IRHIGLSNVTATQVEEASRIAPVVCVQNMYNLAHRRHDEALIDDLAAKGIAYVPFFPL GGFKPLQSSLLSDIATRLGATPMQVALAWLLHRSPNILLIPGTSSVVHLRENLAAAQL TLSPEVLAGLDAIADAKAA OJF2_RS21340 MRREIREELKDRGFSRRDFARLAALMTAGASLPFYNESALAQDL KAIGRIPTDAVKINANENPMGPCPAAIEAITAIVRQGGRYLFEQTYAFVEAMAQAEGV PEDHVLPFPGSSDPLHRAVLAFTAPTRPLVTANPGYEAPEKAAQFIGARTIQVPLRKD YSHDPKGMVEAGPNAGVIYVCNPNNPTGTVTRREDLDYIVANKPKGCVVLIDEAYIHF TTATSPATDLVAAGKDVIVLRTFSKLYGMAGLRAGAAIARPDLLEKLRNYGGLGIMPA TGMAGAVASLKDRSLVAERRKVVADIREDLCAWMQKKGYGFIPSEANMILVDGRRPGR QMARDMIAYKVAVGRAWPALPNHVRVTIGTRDEMARFKVALERVMEA OJF2_RS21345 MPDDRDQLREAALLVRAAEPGADALSAQGGPDASTLEALVIRQG RPVLAVEDDDIVGDVGDVWRARLDAAGVRDGLRRVLPAVGRIEVDNHPQLPYVGTGWL IADDVVVTAGFVAREFAESGGHGFVFRPGSPNPLNLMAARIDFRREGRSGNPRPFAVR EVLDISGESQTQLAFLRLEPLGPYGPLSPPLNLAGQPPEVGRDVAVVGYPAIDSRMDQ GVMRAVFGDVFEVKRVAVGRITGVEGSTLRHDCTTTGGTGGAPIVDLATGEVIGLHLG GQQFGNKFGVTAATIAARLEAIRRAATGVAAAASGPTAAAPDSNPRAMVAPAAPAPAP PVVAPPAVAPPPADQLVTALHGAFGFDALVRLAATELGVDLNALSPAGSTGDAIRALV QWAQGNGRIGDLFAAASALRPNNPQLAARRVVRPAGPRGNNVIRRELRELLKDQFPKR TDLAMLLDDAIGWNLDDIPGQDGGMEAVCFELVQRLWLNRDEHLRLVLELAIERRPTS IGFRNLLDEIFESSPVASGPAA OJF2_RS21350 MHRLSGTEVKQLHSALLSGFSYADLDMLMKIDLDQRLDSIVPPG SLSTAAFELVMWAEREGRTADLIKAVIAARPNNKDVAALGQLLDPAPAGAAPAAAVAD RQRRLRGLLLDQFPRPSDLKILVFDALGQELDHVAGGENQTDICFNLVQWLWVDPAGR LRPLLDTAVKARPNCADLKSLRDELSAG OJF2_RS21355 MPPPPDAQLRRELREALLEAYPTLNDLRMLVEDTLGEPLQNVSM AGDMPSIAFELISWARARGRLPELVAGAAAERPASARLKALSQRFQFPAAAEGREERI VREDVPFENAGDWAARYNRCRAAVCRIEPQPLAEGNAGYASGFLVAPDVLLTNFHAID HAGWDPARVLLRFDCEAGPDGQATDGRTCKLAGEWKWATSPRVSDGGLDFALLRLAEP VGNDALAAGPRGWLRLRPHAFRPGQPVFILQHPMARPLSLAIGTVVEDSRSPDVVAYD ANTEEGSSGSPCLSSALQVVALHYFGGRDRNRGVKAEAIRRDLAGRDDPALATLLAGA OJF2_RS21360 MNPMKFRVPIRRPRAGWLIGALALCLGGLVPVPLRADSPRPTEG DFVLRDFRFASGETMPELRIHHRTLGTPRRGPRGTVENAVLILHGTTGSGANFIRPEF SGELFGAGQPLDASRYYIILPDGIGHGGSSKPSDGLHARFPRYGYRDMIAAQHRLLTE GLKVDHLRLVMGTSMGGMHTWLWGQEYPGFMDALLPLASLPAQISGRNRVWRRIVADA IRRDPAWQGGEYRSQPPSLQTAAEMLLFMGGNPADRQREAPTREQADRVIDAYVARTT RTADANDILYAVESSADYDPAPGLGRIRAPLTAINFEDDLINPPELGILEREIRRVPK GRAVLVPRSERTHGHGTHTLAAVWKDHLVRLLDESRP OJF2_RS21365 MSDRGGPPPRRAGGTPGPGSSSRPNILWICADDYAPYVSGTYGN PLARTPNLDRLAAGGIRFDRAYCTCPLSTPSRMSFLTGRYPRSVGVTLTPTPLPPDEV TIGRLLGTAGYETLALGKTHYYEPLAREFERCVDLLEHGAWLAARPPEPAPPTAEVLG PWRPFRDPARVWLNADGLPYAPDADMPDTFFAELARRFLGSASPRSRPFFLWVGFYVT HAPFRFPIEFAGRFDPSAFRPPAVSPAEEAAAPPVFLSLTDDDRRGIAASYYTSVEYL DRNVGLILDALDRSGHAEDTLVVFNSDHGYLLGQHGRFEKHCCFEEAVRTALIVRCPW LIDPGRSTDALVELIDLVPTLLDFAGAGGPGDVQGRSLVPLLAGLADRHRDHVIAEYA DNAEAMVRTDRWKLIYSAGNRVRRDGYALSSGWPGRSVRLFDLRNDPAELVDLSGRAD HARILDDLLSRLVAHVQATSRESEAIEGPCDPHALLEHHLPPFERRR OJF2_RS21370 MTRRNLALVCGSLLVLPLALTARGAEKKEGGKAPSAPTNLIFEK LKTLEGTWVAEGEDGKPTDQVVKTVKVTAGGSAIHEVIFPGQPMEMVSVYTVEGPDVV MTHYCVLGNQPRLKADPKSPANQIRFEFDGGANLDPKKDKHMHSAALTILDDSHVEMS GSAWDGGAPCKEQCCVTKLVRKK OJF2_RS21375 MAIKGLFERFKKGLARTAQLFNFRTWFGRKVDQSFLDDLEARLI QADVGVAATTRIIDRVREAFADKTADENLVNFVKGELKGLLEDTTPGVLATAAKKPTV YLIAGVNGSGKTTSIAKLAQRLKDQGNTLVLAACDTFRAAAADQLEIWAKRAGTELVR GASGADPASVAHDACQRAVARGIDILIVDTAGRLHTQTHLMRELEKIRNVIQRLIPGS PHEVLLVLDGTNGQNAIRQAEVFTKSIGCTGVILTKLDGTAKGGVAVAVRQSMKLPIK FIGVGEGIEDLQPFDADTFVESLFE OJF2_RS21380 MNGTGLWAKLSNELAEDPFLALALAAALVAIATTPIAFAVLGRM DWFRARRGRTMQKPEFASIVCGMMLVMAIPAIFAAMVLKSRTFDRNRYEFDPNRTWSV LEQGRGFKSVQEADAAVKKQMEYLAGERKKLVDGLKKLDESMLALRAVAGTSPAVAQA VPGVLQSLAGVRASVGLEGPQQLMDFTAPPVSLAAAIPAGMASPGVPGTAMVAAPAAA PTTGANAAPTPAVPAGSGLSPQQVAAEIAAVPEPQKGIAAMIPLADVPAGWTPGKSGD KYIETFNAENLYEKIDGRAESFTQYNVKGMAYAFYHPTGDPSNEVQLYVFEMSDPLKA LGKYGAEKPDEAKVIQVGDEGYTAAGSTLFYASRYYTQIVSTADDPKFAAFALELARK VAEKQKPGSGGASSPPATAVASADPEGEAAAEPTKAESPKPEASKPAASAASAEVTPA TYFALLPKDGKQGDNKYVAQDVFGYSFLSDVFMADYKEGEATWQGFLRPYRDDKEAKE MLEKYRASVKQDGAEIKELKADGADEFIVTSNIGLFDVVFRKGNTLAGANGGTTLKPA EDFARAFAKSMPAKLQVIGGK OJF2_RS21385 MGEKLTRTQIKNLERLGGVNPADEPFSRRQFARQVGGYALVAGG AVGAGMLIRDNWGMEGVKPPPPVKLKDYSIALPSSKPSLVAVRSSPVNPDNHASKEEE LAAREEQAFRMVKAGLDAMGGVAQFIKKGDVVVIKPNVAFDKNPDLAATTQPDTVAAV TKLCLGAGARKVIVADNPINNPESCFYKTKVGEAAIRAGAELMLPKESYFEQLYVGGE TITGTWRMFYRPFREATKVIGISPVKDHNLCKATVTMKNWYGLLGNPRNQFHQNIHGI ISDFALMMKPSLVIADARKMLMRNGPTGGSLNDVKKGDTMVVGTDHTAVDSWCVTRLL EKPRHEILYLDKVIKRGLGQDWRPQWTQEITV OJF2_RS21390 MGISAKVRPRKKLEPGKGVQSVALTLPEEVRLGVTHEDFQRLCR ENPELRLERTADGELIAMAPAGGDSGRSNAKLTARLVGWAEADGTGEAFDCATGFILP NGAIRAPDAAWIPRTKWDSLTTAQRRGFLPLCPDFAVELRSPSDAVAELRRKMKEYIA QGTRLAWFIDPDRGKAEIYRPGRPVEVLDRPATLSGEDVLPGFVLDLKGILFD OJF2_RS21395 MSTSVAELHAKRPADGCPADQAVALSVPPGVKLQVSAEDFWLLA CENPDLRLERTAEGVLIVMAPASPDGSQRNLSLAAQLWNWNRQAGIGIAFESSVGFTL PSSAVRGPDVSWIALDRWEKLSPEDRLKFSHICPDFVVELRSKSDTVPDLHAKMEEYL AQGVRLGWLIDPFRGKAEIYRPGRPVEVLDRPATLSGEDVLPGFVLDLKGILFD OJF2_RS21400 MSTSVAELHAKRPADGCPADQAVALSVPPGVKLQVSAEDFWLLA CENPDLRLERTAEGVLLAMPPAGSEGGMLNARLTIRLGVWAEADGTGIVFDSSAGFTL PNSAVRAPDVSWMPRERWDRIPADDRRKFAHACPDFVAELRSPSELIADLRQKMKEYL AQGVRLGWLIDPIRGKAEVYRPGRPVEVLDRPATLSGEDVLPGFVLDLKGILFD OJF2_RS21410 MVKIRRAYEVLFLGLFLFFLFITDLRYLKGWPVSIFLEATPLVA VATALTTHTIYRNLVWGLAIIAVTMMLGRVWCNWMCPFGILHHLFGWIGNRRNTKQLI EVNRYKKIYAIKYYILAIMIAMASLWMIPTAIDAPSKIQAEFFRLGGSAGSLELFLLA GSILAWVVVFAVRGVLWESIGVKVFKRSRPALAWVGRALLVLVVLGAADVARRGDAGR LARAIPAGIAEAAEEKKAENSTLQIGLLDPIALTVRSMTTAVLPTVHKTTESVYTEPR EYWQAWIVGLVFLGFLFANWWIPRFFCRAICPLGALLGIFSRFSLWRIDRDPVRCTDC DLCLKSCEGASDPHKDLRKSECFVCLNCIEECPHDALSYRFLPRKASEITYPEVGRRE LLLAGLFGLVFYPMVRKSGGVKKNFSRYVIRPPGSVAEDEFLRRCIKCDQCIRVCPTN VLQPALFEAGAEGLWTPIMISKMGWCELNCTLCSQVCPTGAIREISIVEKLGIGPFEQ KGPIKTGTAFYNQGRCLPWAMDTSCVVCEEVCPVSPKAIFTRNVEVTDRWGATLQLKR PYIDPVRCIGCGICEHECPVKDDPAVYVTAVGESRAKDRSLLLSLVDGEAQDWVSV OJF2_RS21415 MGRSWSRRDVLKHAGSAGLMAASLGGVTRARASGPNERLNLAIV GCGGQGGENLKQVAAENIVALCDVDDRRAAEAFETFPKAERFRDYRRMLDAAHARIDA VVVSTPDHMHAPIGLAAMDLGKHVYCEKPLTWSIDEARRMARVAAERKLATQMGTQGM AGDGARAGIEALRSGVLGEVRELHVWTDRPAGWWPQGIDRPADRPPVREGLDWNLWLG VAPERPYHPAYSPFVWRGWKDFGTGAVGDMGIHNAAMPFAALELDAPISAELVATSGL KAETFPVWSRIRVEFAAKAGRGPITLHWYDGGRKPPAELVGGRKLADNGAIVVGTKGT MSSTEWTGGDWALLPEEAFRDFKAPAASVPRAPGGSHHQEWLRACRGGPPAFCRFDGF ASRLTETMLVANLALRTGRRIDWDAATMTARGCPEAEPLIRRRYREGW OJF2_RS21420 MGAYLDEDMVAHEPDFIAYPHLLVCMGVTLLMTDGSLLGAHVVE QQSQDEAFAGMQEFMRTIALAGITPDRLYLTGNVGIHVQSADNAHGKARKLGYAGIVY VFDTSLIKPKDGTFVMLTSKGPGVHPTIQYKRNEKVKIARQEGMAYRMGKFRKHYGLG AGHQMVGTSKLNELTADLHCNKITEVHPPH OJF2_RS21425 MAVGGKVKVGIIGSGFEADIHAASFRIMPDEAEVVAVASPTPGN AEALARRYEIPRVFLDYRAMLAEPDIEMVTITAPNALHARMTIDAAAAGKHVLCEKPL CMTLEEADEMIDACRRRGVLLMYAEELFFTPKYVQAKRMADEGAFGRVHLVKQSEKHS GPHGEWFWDVSKSGGGVFMDMGCHGIAFCYWFLGRPAIKDVYCQMNTQVHADRTRGED ECLCILNFEGGAVGLVENSWTRLGGMDDRVEVYGSSGLTMANLHMGNALPTYSEPGYG YAVEKAPGTKGWSYPVFEELWNYGFPQEMHHFARCVRGKETPIATGEDGRVVQEVLLA GYRSARTGAKVELPYRPSGIERPIDLWLGKMD OJF2_RS21430 MQGSLRVGDWIGDRFEIFDVHEGGMSWVYVVHDHRAASGRAVVA LKTLRDDLLRNRIRRTRFATECRLWVQLGRHPNIVQAHAVEILDGRPYVMLELVQGGD LIRWIGTSRLDLPRALRFGIQFCQGMEHAGRQGLSCHRDIKPGNLMVAEDGTLKITDF GLARICEEMVAGQAALPDGSIPLAAAPQSPQPILFSDPRDEAPRAAPAHATPAGERSP RVGTTQDQSTGEYALEPEESENPRLTRIGARLGTGAYMAPEQFADAASADVRADIYAF GVVLFEMIAGRLPFSGRSIEMLARQHTRYQPPSLVPSLARPYARMAPAVDAAVQRCLR KDPAERFQTMPELRKALQALLARARGK OJF2_RS21435 MNARPTEGIETFATARMVAERLTLAHYAEIRRLHLHPQVMKTLS VDGQPLPDRVTREGIVQNEAHWGRHGFGFWVFREKGSGEFLGRAGLQAYRIEDEEAVG LAYAVVYDHWHRGLATEMAAGSLEVGFRRLLVPEVASWTLPGNLASRRVMEKLGFRFD ADIALAGISHRLYRLTAVDWELARGRGAPAGP OJF2_RS21440 MNLAKRIRDLRYSKGWGPDELASRAKISRTALYQIERGNTSKPQ AGTLRRISRALGVPLEILLDSTPVLGEAQGQEGVEAAPMLGVGVERAVGQDRAEELIE KFRRLLSSPMAEGIARIVEESYRLLPIIPPPVAAAPEPAPRFAAAPAEPEAPRRTRGR PRAAAE OJF2_RS21445 MIVFSYRFGRTIPWSDAPYSWHMQDAASRRLPPPGGSPEARALL WVSLVGAEDGLILAQRGVVLAPAFTRALEAAIRRQASRPFDPHGCVTAVRDVLVARRT PEERLRLAASRTRGNC OJF2_RS21450 MQALEDRLRAASPRPRPSRPVRVALVITDLDVGGAERALVGLAT RLDRARWEPSVIALGGEGALAAELGRAGIDCQCLGGGPRRPLAIVSRLARALRTRGPE LVQSFLFHANLAARLAAPLAGRPWVLGGLRVAEREKGWHLTLDRITERLAAGSVCVSE GVRRFSLEAGRLDPRRLAVIPNGIDPRPYDEATPVPRSELGIPRDAFLAVQVGRLAAQ KGLVPLLDAAERVIAGCPGWHLALAGDGPDRGWLLDRIAGSEALRDRVHWLGPRRDVP GLLATADLLVLASLWEGMPNAVLEAMAASRAVVATAVEGTDELVVPAETGWLVPPGDA TALAAALREAALNPSACRSFGLAGRARVERRHSAGGVVAAYDSLWSAILGYRD OJF2_RS21455 MLASEFDFDLPVELIAQHPAAAREHSRLMVVRRDGGRIEHRRFD ELPALLDGRDILARNCTKVIPARLIGRREATGGKWEGLFLREVEGGAWEILAATRGRP APGERVVVDHGGGEGLRLALESRGEEGRWIVRPLGPPAASTLALLERHGQIPLPPYIR KGRAGEEDRERYQTVFARAPGSVAAPTAGLHFSDATFRDLAAKGVAWVDLTLHVGVGT FRPIEAERIEDHVMHAEWAELTAEAARALNARRAAGGRVVAVGTTSTRTLETAAAGGE IAPFSGPTGLFIRPGHAFRGLDALVTNFHLPRSSLLVLVSALAGVDLIREAYREAVAR RYRFYSYGDAMLIL OJF2_RS21460 MTTTDRPESCGAGLEPGGIPARRAGEDRRARRTSPLDALRARGR RGRVRRASEREGAYFLDRFDATTLAMALSLLALTLIDGLLTIEVLDRSGEEINPVMRH FLGRGHGPFLVAKYCLTAAGLPVLVVYQNWPFWGTRFRVGYLLPIFVGLYVALVTYQL RLLGG OJF2_RS21465 MNRTAFTAIKSAPICLGLMLVPCLGAGARGADSGPPAAGRGPAP TPLSATDAQEPPQPPAPGLGGAATPEKDQVPRSEPLPQPPGGGGGAPTPAGRGRVARP STTPPAAPPAAPAAGEGAGPEGKGEPAGAAEPQEVRFDDDGLVTLHTNELDVRQLLEL LSRRGRLNILVSPKVSGTITANFEKVALEDLLRSVLKLAGLVERDEGGMRFIYSREEV KDEAEGRKKERIITKVYRLNYVRADEVMVMLTPFLSEDVGRKRFATTPNYQFGVSEAS TLATGGSLGAGAGGGVVGGGGVGSGAVIGGAGTIQRGTQPATGGASMSGNDVLVIQDY ESNLKIIDQIVARLDVQPVQVLIEAVIISVELDKEQQLGVNFAVVDNLGQQLGVIGAG AAINGNVGFTPAQVLTAAGKIATSTAAADATGFASTTNGIKYGFVSNNVTGFVRALET VGSTKVLASPRVLVLNKQRAEIQLGSRLGFRTLSQNFTSTIQQVQFLNVGTLLRLRPF VSNDGMVRLEIHPERSQGVVVDDLPSATTAELTTNVMVPDGATLVIGGLMEDEDDYTS QGLPGISHVPLLGHLFGNKDKIDRRRELVVLLTPHIWSAEAAGRDTGEASLRRPAAST ALAAGPAPAAPSGGPGEAGSAAAIAPARPSASRGGRRGAPAEPGPEGAGDVAAGPRPA MPPAGRPAGEGDAPPAARGPEASPGSSAFAAPSPPPSALAGTFTAGAFRMQSGRIDES DFEVADTLEADDRPPAAVAAAETAPTPASYRPQAPATGTAGVTAWRPAPASPPRSPRG AATAGGRRPSRAPKVDPALSLASAGADDAPRRVPARIPDLTPVPASATAAVAPASAPT PVPSPAPERRHVVASERRHVVAAGEDFGSIAERYYGTPGLARALWWANRGEVAWPGAL AAGTRLVVPPRERLRDVPATAPAAPRRAQARAAPRGARQATRDSQIQLAAHPDAPPRG PAASAAPQARPPQQGRAPRGDGGVSIHVVRRRETLRSIAEDRLGDPARAGEVAALNRE MLSEAGGVNVGMRLLLPADAGPLSPPAGGAGR OJF2_RS21470 MRRNVATAATMLIGLIAPGCGLPGWYRAVGSIPGVAASDYAFYN FCGTSSQVFQFPVPQVEGATIEALRDLGFTEQHPAERRGHEARAMTARAPDGRTATIT LTPQNGMTNARITFGPAHVGDERLSRDVLRRVALNFGTLPRDYMPVEPVLARRLNHRG PASPPQTGGEPPVTLEGEGLRPGQDHNARPTPEFTAPITGEGSGVIPPPFDPSRPYYP GQVVEPGPYNPYGPSYFGGGQFPL OJF2_RS21475 MMPAPDRLGRRRGSSLTIVLLFLALLFSLWAAVHRTTASFLRSE TARVRRDELDAGALDAIGLAALYLEKNPGMARVRASYGVTVQGTDFTVTFLPGADGVG WSVEAARGTYPVGLPTMPPK OJF2_RS21480 MHIKRKQAGAGGGRGGFTIAEMVVATLLSGLLALLLAGAVAAFA RPAAEVDGRTRLALEASLAAEALARDLGGYLTPDGGGSRGSLEDCRLTGSPPAVAGPA LTLTFSGVDGVGQYTVTYQQAGTELVRSQTPGAGPVAVARHVAGFLVEPEAAGPKVTL TLQHPDPGPGPNPQGRGPFPSFRGVYVFHVQWPTWPES OJF2_RS21485 MATETMVHGGAPAGRPGRRGASVLLELEIAFAVLGVMLAGLCPY VVTQVRQARRLTREQPTGPSNPYFYHTIKPYTLASVTMRSGPIAGDGGPQAAADAPGF EYRIVPWPNPWTRKLAARARLEQVGGGQPSPAAAPDWGTLAPSASTLALRAFRPDGCV GAGAVTATFEAR OJF2_RS21490 MTRPRGPRRDGPGRGPPRAYTLVESMVVLVTMGVLVALAVPRFG RALESARLDVAGANLRAIWTAERIYWLRNRSYAADLAELSDLLDPSINVGDPAAPGAF YSYSVVAADSSSFAATAVRINGGSWAGALTITQDGKVTGVLAKGGEPDIRVGFE OJF2_RS21495 MPFNEWVVDHARVVKRPHRAARLEDTLAFFHQLSTLVGSGTPLL QALKISAAQSESVKLRAILEQITGRVAAGSTFHASAAAYPHVFEFAWIEAIRTGEVTG KMAQVLVDLNKQIRDSRETRRKVKASLMYPCVLVVVAVVCVTLMLWLVVPTFAGMFRD MGAELPAITRHVVDASNAVVAYGHYGVVAAVVAAVGSRRLMRTEAGRRYVGGLLMALP LVGELRVEMAMYRFASNLSLLLKSGVPMMETMDTVREIFRNDPHYRDALKRVRSRVAA GRPLHLSLQETGLFLPMLTSMVQVGEESGQLAAVMEQVTPYYREKMEAMILKLTKMLE PLIIMFMGAAIAGMMLAIYMPMFEMAGNVK OJF2_RS21500 MTYQLPRPRTRKGFTLVELAVVIVIIGVLAAFGVPRFLKSVERS KASEAFAFLAAVRSSQERFLAQYGTYSDKVSNLDIQVPAPAYFSVPTTIDAGASGELQ DSWTLTLTRTGASAGYGAYTVTFTDQGYDKANSTIDTMGDINPISNSSANSTGGGGSG GSGGSGGS OJF2_RS21510 MNARSLLQRRPALGLVIGPREAALSVVATTPRGRREVAREAREY EEDALAAAVHDLLRPWLPPPGSRRPGPWVRVALPESRVFQAALAITEVNRLGSPQNFF LEAVHSTSLRAEDRIIDLIRREAGRQPIACLCACPRALVTSLAEMLGQMGARLALVES SPASLLRAAAAAAPPPRGGRLCMRFFLGRGRAIGVTAVGSLPLFWHAFDLPPGREGAS ILAAHSTLRMLGRHSKIVAPVGRVFLHGRPDLDVAVDPAGFQKRTGSRLVRVDGPGHD LASAALGAALSSPLADADGHDLAREFKPPVAVRDVFPWGEAFLQGAIVAGASLLLCGA AADVETRYRTARSESAAFSWLREQGCEELEAERKLLEDRLRTLGAFRQSRVDWSAQLR TIAEDAPATTVITSLLGDDEAELGGRDGRGKPKKQLVVDFATPLAAGGAMPGEIDRFI SELREEPAILGHFPTIEVSGLRNGLLRNGREPMALYSVVCLPRAEAARGAKAR OJF2_RS21515 MMKPEKPAGSALSRAVLRHLRDPLRLRFAVAGAMLASWYVGFYA PTTERMAATAGRIELERRRAATAGQVEALRERLAPFRDRVHPRAGANELIQYVMARVR SSPMKLVDLKPQKLKELGALQAIGLRLQLEATYEDLDDFLAWAHNDRLLFRVDALRVE PAKAPGRLAVELDLLSLAEGAAGKGKPGGPPRPAAGGGGGAKS OJF2_RS21520 MSGRTLARLTPTIAILAAMGYAAYTIPPPPPGGGDVPPAGRDRR GEGAGPPSAPAADPTPPPRARNPFLAAAGAGPSPVGGAALGPGAEPVAAAARALTLNA TFIQGGVRYASIDGRLYRQGQSIAGPDGRETPLAVSQVAADHVVLVAGGARYTLAYPD DLSPRPAARDAGPRGGRATPRGGPPPASPPARAHAAGPRRAAAGAPGR OJF2_RS21525 MSTYLEAPSVQPLILELLSRGGRFSLKRLEELAELVEKAPPGTP PEVALIRSGHLSDREVADLYVEELFLPRAPEPADPQATDRDIACLLPEKLCTDRFLCP VGLRGDVLDVAFVSPESMGVVDELQLLTGLRINPLIAPLSLVEVHLDALYRANREGKA IGAGGGEFLDDGPEEQDVDENVLSLDTPPPNDENGRIVRLVNQLLEQALRNGASDIHM EPFEDGCKFRLRIDGVLHELPSPSKSVFVMLLSRLKVLAKMDIAEKRTPQDGAIALRS GDKRVDLRVNTVPTVHGEKMVLRILDKAAIPMDLTGLGLDERQSADLMQSIRAPHGLA LVTGPTGSGKSTTLYACLNLLNEPTHNICTVEDPVEYKFKGMNQVQVKSQVGLTFSSA LRSFLRQDPDIIMVGEVRDQETAEICLRAALTGHFVLSTIHTNDALSAVTRLQDMGIE PFLLSSTLRILEAQRLVRRLCPKCKEPYEVDDALASRHGLVPGEVIYRPRGCLQCRRM GYRGRIGVFEVIRITPRLAHLIQTQTPLDQLRKAAREEGMKLLYDSAIDKVRQGLTSL EAALSVTMAEEG OJF2_RS21530 MGKLDGKVAVVTGASKGIGAAIARHLAAAGASVVVNYASSREGA DRVVKEIEGKGGRAVAVQANLAEPAEVRRLFGRSREAFGQVDVVVNNAGVYEFATLEE VTPEHFHRMFNLNVLGLILATQEAVKQFGPEGGSIINISSVAASSAPPTASVYSATKA AVDAVTRSLAQELGPRKIRVNSINPGMVETEGTQTQGITDGEWRKQVEAQTPLRRIGR PDDIAPAAVYLASDDSAWVTGETFYIAGGFR OJF2_RS21535 MAFLGLVLLVGRCPGQDEAEVPKRQVDQPDHLVPIDPYPGDWHE SYAKLYRKTLQLPEPGEAAVMVYMPSFSGEEGLVIHETEGKDPSFILIHTRADRNIWY SMPENSDDGKPKRVVVTRREAPLPAETAWRVCRLWERMLRGVRYPIPAEEDSTGLDGE TIEFWRHNMYGTTWSPEGGALRGLLDLGRALADYCEVSEDKRAATLKTVQGRCQALER YLEVKAAPR OJF2_RS21540 MIVLKPDRKEVATEKELAAEFQRHCDDGYRQFVLVSDEGAYLSA IGEGFGPYTLEWFPGTRAGSHLRACEDLKSREVMEALLGFFREGPSWRGSLAWEETED EPSPLPARWMESLDSIRRPAPDENRGESGSPKDSGRPVA OJF2_RS21545 MSRKAKVLDRTRLAELPLPEHGGEASKADKGKLLIVAGSASLPG AAILAARAALRVGCGTVRVASPRCVAVAIGVAVPELMVLALPETDAGTAAEDALKVLE EQYERCQAAVIGPGLGSHEETDRLAARFVAESPLPTVVDASALLAWGRAGHPGGPAAR VLTPHAGEMAELAGLGPDEIEADREGLATRLARQWRSTLILKGPRTLIAGRELYANTA GTPGLGTAGSGDALAGVIGGLLARGAGPTAAAAWGVHLHALAGEAAAASRGDEGMMAS DVVEALPDALRSLRGGRG OJF2_RS21550 MCHRRGFTLIELLVVIAIIAVLIALLLPAVQSAREAARRAQCTN NLKQLGLALHNYEQTVGSLPPQVVLAGPSAGVVTWSNGFGAHARIMPFAEQGPLFDTI NFTVDMQTPPNTTVSAALIGFLVCPSEARPSTRDLADGTRYGIANYGFVTGDWFVWGG LGSTRKGRSAFGPNLSRRWAEFQDGLSNTLLMSEGKAFLTYYRDCPALANIQDPDNIP APNADPHGIAPEYLGGCALRVDEGRTQWFESGSHHTGITTAWPPNKRTPGGPGQIYAD VDLTSSREKLGRPTFAAATARSFHPGGVNALMGDGSVRFVKSTISGYTWRALGTVAGG EVLSADEY OJF2_RS21555 MPRRVTIGALAAATLAMAISAAPGCGGPAGGGYAPTADEALALT RGALDAWSSGKAADLSRRDPPLRFVDPVQARGWALLEYRILEGEHRQAGPVVDVPVEL SVKPPKGKPQPIRAVYQVATHPSAAVLRNDPD OJF2_RS39365 MTHAAQPADGITFDAGRRPSVAELARVRRAGDDLAIVASRSAWR EALVRFIRDALLVVGSAALGTSLGYFGLAMLAGAMARPGQEPWQTGFGQYLGGLFCGA PLGALAGVAAGVARIKTREDDAAWGIAAWAGILAGLLAGPALAARLGVHRGFGWWGTA VLAAGCATLGGLLGSVLAAVAPADRRGRR OJF2_RS21565 MGRLLPKIRPCVVALLLSVPACSPAPRPPAAPPHRLTQVSVIDA LMVGRYDGVMPIGELLRHGDFGLGTLDHLDGELIVLDGKAYQVRGDGVVEDVPAGRST PFAAVTTFAADGERDCPKADRLEELEARLNEALPQKNHFVAVRIDGRFDSLTMRSVPR QEPPYRPLDEVAKGQSVWTKADQEGTLVGLRSPAWAGGLNVPGYHWHFLSRDRRTGGH VLDCKVRDGRVRHDVCGEWSVLLEPAGAFDEADLVKDRSKELHRVESSRGDGKD OJF2_RS21570 MTMSVAHALLSIALGLIEAPATVPAAGAPPAEAAHRPPANAALQ YWQAFALMPAPDGDREGRLSRWNDDPLDGRALELASSFEGSRLYLLRGAALGACDWGL DYEDGIQLLLPHLGRARDLARMAALHARRELGQGRPEAAAEDAKAILSLARHVGSDPI AISILVRFAIEDVAIDLAASHLAELRPLAPGILSAYEALPPGATFERAFLTTEKEHTV RWLVRRMKEAEAKRPGSWRTVWKSVTDRPDGLEAINRVDSLDDAVRLTEDLVPLCDEL AALSALPPAEFDARYPDFRRRLKQDHPLAGYLLTTPDTVLAAQRRNRARIELLRAAVV VDRDGPAKLADIKDPFGPGPFAYRATDAGFELSSALLSAGKPVTLAVRRTGR OJF2_RS21575 MIEPGDPLESELREFRPAATSPALRRGVADRLAIRRRRLRRVAL AGGLAAAAGMIAAILVLAPRSGPVGGRIPGVAGPDGSAPAPAPDGDAMPSLRAYRRAL ARSAGDLDALLARHAGLGLGRGRDIVRVRDLRRPVGDGQILPGEP OJF2_RS21580 MAGDPGPEGWEAWLDRHGPTLVLLARQRVGSRADAEDVVQEAFV RFWRSRHRARDATAYLYMCVRRCALSWRRGRERRLRREEAAARQEAESLLEGPAEREE RRRAIEAMLGALPEAQREVLVMKVWGGLSFPQISEVLGISANTAASRHRYAMARLRAR LTEEPIP OJF2_RS40100 MPRINKEGSKHESNFRTRDGNTWEPFKDAGHIKLVTAAFLEIDR QVLASKTTLKACNAAFSRLPNRRDFAALWKDPGIWVSYNSNTEEGLYGITYKNDISIA DYVFTLKEPVRWIAATLIHELAHVNGAPGTLDSKAAEETLPPCGFDDKYNPATVGARM RRVPIFLG OJF2_RS40105 MSASKTNAVRLLEKAGIAFELRTYEVDPDDLSAESVARKVGMPP EQVFKTLVARGDRHGVCLAVVPGNCELDPKALSKATGDKKVDTVSLKEVEPLTGYIRG GVTAIGCKKDYPVYLDETAELFDVIVLSAGMRGLQLVIAPADYIRVAGAKIAPIARVK A OJF2_RS21590 MHANRFPRSARIGARSAILLAHGAFWFLLAAAVQSAGVGAAPFP DEPAAHAAYDRMNDAMRRARSLSYTSRYGRESGGVVRNSCSYRIWLKKPNYFRMETRS DEGEPGGNLVGDGANLWIYWPRGRPKWQLVAESDADSKTRFTSYMTRPAPEGGHSILH EAPLLGGGMGFPILDASAFHGHVDSIRRYLDAVRGVGSETIRGEDCDGIELSFMDGQR TWRLWLSRRDHLPRRMEEVVRVKSEIITREEWSSVEIDREIPDATFAWTPPAGWTEWR LPDDEGALLKPGSKAPDFDLASVDGGRIRLSDFRGKAVWICFWRLGCPPCREELPHLQ SVYSGHKDRGLVVLGVNVSDDREIVRDFLRERGVTFPNVLDTSPTAEQTWSRAYGVGA IPVNYLIDRDGVVVDAWIGYVKDAARADSALKSLGVGVAGGGR OJF2_RS21595 MTSAIRRRPASATAALTLPLMALAMLRSPALADDGPSPSPSPFA KFADAYFASRFAAHPTEGTAVGLHRYDASLDDVSKPRILARIAELKGQLAALVAIRGG GLGFDDEIDAAILEGQVRAELLDLETIRYWEVNPMIYAGLPGGAIDSLMKRDFAPKAE RLRLVIAREKAIPAVFDAAKANLTNPPKEFTDLAIRMAKGSVGFFEGSVTMWAKDAAG GDAALLDEFAKANAAVLAAVKGYAAWLESDLKPRSTGKYAIGPELFLAKLKHEEMVEL PLADLLARGEAQLEKDHAAFLEVARKIDPNRSPAEVMKALSNDHPTADDLIPSVRRSI DEARRYLVEKRIVTIPSEVRPNIEETPPYARSGSFASMDTPGPYEAKAKEAFYYVTPV EKDWDAKHAEEHLRLYNPPVVAMINVHEAYPGHYLQFLYAPRYPTKTRKLVACGTNAE GWAHYCEQMMVDEGFGGGDPKVRLAQLQEALLRDCRYVVGIKLHTEGWTVEQGAKLMV EKGFQEPANAFEESRRGAYNPTYLYYTFGKLEIQRLRDEYLAKKGGTLRDFHDAFVAQ GGLPLPLVRRILFR OJF2_RS21600 MKVTRAAFRAQRARRFGTANPERMRLAFWERMVRDAEAARADEE SEVETSVPGRWRTPYDVRCHFGLTGDYSGGPIWCFDRMGMSRTRLADGRVVCVAGEHE DYYDPDFCIYNDVVVLGPGGSVEIYGYPEDLFPPTDFHTATLLGGRLILIGRVGYNGE RRPGTTPVFALDLDGYRIEPMPSIGEVPGWIFRHEAELDLDRGAIVVRGGEVEVQGLN DASGRVIRRNFDDFAYHVATGTWERLTARGWRHFSIQARERKTFMFTQRSGPVHDLMM SLQAQGGPAEDVFSCVELAAILPGSIAHEVETLEPFHGARIMVEGVPISIGREVDGIE VIVEGDLGPGRAETLAREILRRVEADSGVSCVLETLA OJF2_RS21605 MKNMDIEKLGQFYLGNEYDLKEKRATSTPILYDSKQLTTHAVCV GMTGSGKTGLCIALLEEAAIDGIPVIAIDPKGDLSDLLLTFPQLRGEDFAPWVDPDEA GRKGLSKEDYAEKVAETWRKGLEATGQDGGRIERLHEAADLAIYTPGSSAGLPLTVLR SFNAPPKALVGNAEAYRERVASAASGLLALLGIEADPISSREHILLSNVLDASWRGGR DLDMSALIHEVQQPPFDKVGVLDLETFYPAKERFALAMRLNNLIASPGFAAWMEGDAL DVASLLYTKGGKPRVSILSISHLADAERMFFVTILLNEVLGWMRTQPGTSSLRAILYM DEVFGYFPPIAKPPSKMPMLTLLKQARAFGLGIVLATQNPMDLDYKGLSNAGTWFLGR LQTKRDKDRVLEGLEGASTAAGHGFDRDAMDRLLSGLGSRVFLMSNVHEDAPVVFQSR WALSYLRGPLTREQIQSLMAPVKAQRASRGGARPEATAAAPADPVPPASATPPPAPAI EPGPASPPSSARVDPSAGSRPVVPPDVPQFFLPRRMTAAAAAGVGGAIRYRPGILGVA RLHYADKKTGIDDWETLGLLQVVGDEVPADPWDGAEVHADRVPELDKAPEAGASFAPL PSPLSRAKSYADFNKSLKNYLYRERKLTVWSYPPLKAFSRVGESERDFRLRLGQGSRE ARDRAIEELRAKYASKRAKVQADVERARERLDREQAKASQAKWDAAVSIGGSILGAFL GRKPISKTNATKVTKAARDAGKAYERSTDIGTAQDQLDRALSAFTELESEFRDEVERL DSTMRPETLALEAIELSPKKADITVEQVVLAWTPWTTGRNGQPEAAY OJF2_RS21610 MPSPMVLDPTSPGAPRNALFLAEACSLAYFDEAGAAPGFRDLLG LEARLISVGNTQVYVGQNDAAIVVAFRGSEAPTTLDGLKDWLLTNANNYLILPEGQIG TDFAAAGVGARFHRGFMGALAEIWDPLFAAVKEAVDAADRPLWVTGHSLGGALALMAA WRLQRNFLAVHEVVTFGAPMIGNPAAAKAFEDEFAGKIFRYVNLEDPVPLLPSVSLIA NAYSHCQSEVEMKAVAAAAAAIDALKQSAGTAADGLLEATHIDRVWEAVLGRISAHFI GHYQERVKEKCGDGGAKSDAAS OJF2_RS21615 MMSRPRAGAGRAEAHRSPSRGTTHGVEHDPRTRSPATTMMDNYA IYFNLSGLALVLVGCIGLVSRAFRNWRKGLAPLGLIALGLALIAFPAIFRLVVPIDLG PRERIVDGRRHITLTGWDRTDYGWLGSKRDVAVLQMANPDVTDETLQGLRGMDRLTEL DISNTAVTDAGLAVLKDLPALATLRLKNTRVTDAGFKSSLAGKESLMQLDLSKTEVSK EAVDEWKKARAGRRALR OJF2_RS21620 MINPVRFLKAQPRFFTAVAVGAVLWFLLPHGWRGSTRLLVTWDC ATGLYLVLAAVMMARSDIGSMRYRAEMQDEGQVAILVLVAVTALISLGGTMAEMAAAK ATVTTNGWQHVALACLTVLLSWTFAHTIFAIHYAHEYYEGPEHAPSEGLDFPGHGRPD YWDFLYYAFVIGTACATADVNVTSRAMRRITTLHCAFAFFFNATILALTVNVGAGFF OJF2_RS21625 MDTPRTPGSTRLDGGPRGAELLDDPVRNRGTAFTEDERARLGLH GLLPPHVEGLDEQAARAYEAYGLKDDDLERHIYLRALQDTNEVLFYRLLLDHIEEMTP IVYTPVVAKACERFSHIYRRPRGLFVSYPMRDAIPTLLANRPNREVDVIVVTDGERIL GIGDQGAGGLGIPIGKLSLYTLIGGIRPERTLPIVLDVGTNNAERLEDPEYLGWRHER IGGEDYFDFIDQFVGAVERELPGVCLQWEDFATPHARPILERYRDRLLTFNDDIQGTA AVALGALLGAIGVTGKRLRDQQIVFLGAGSAAVGVADYLRAALVQDGLSEAEARGRFW MVDKDGLLHAGRPDLTPDQRVYAQPEDRVAGRPRTSRGAVGLADVIGRVEATVLIGLS TVGGAFAEPIVREMARKVDRPVIFPLSNPTARSEASPEDLIRWTGGRALVATGSPYPP VRHEGRTIPIAQCNNVFIFPAVGLGVVATGARRVTDAMMLAAARALGGQSPALADPNG SLLPALRDIRAVARAIAEAVGAEAQRAGLAPQTTPEELRARVAAAQWTPGYPQA OJF2_RS21630 MTTEQDGRVIWVVVELHRKKQRIRSRIAQHLGEYRDRDEAEAAY LERIATDPRLREIVERWAAGAADVLSDRKARRQFLLYGAETGGVAAYADEILRRRERE EEQARARAIAASWAPGGPDAAFRLLGVLPVASLDEIKSAYRSKARQLHPDRGGDHDSM VRLNAAYEAAVAYAAWRG OJF2_RS21635 MGSLHHEEQTYAIRGAVIAVHQEMGAGFLEAVYQECLARELTMR GIPFEAKAKLRLRYKGQLLDQVYEPDFLVFNEVIVEIKAAKEIAPEHKAQILNYLKAS GLRVGLLVNFGAHPKAHIERLVFGTD OJF2_RS21640 MMSRLSLRSSLFLLIVGSSAGTPALYAQAPPAAADDGRPVVFTT QQDHEDMLRQLGITRLRPGRNANEKAPQNPANYDESKANPYPDLPDVLTTASGEKVTS PERWWSRRRPEIVELLEREVYGRVPANVPGVTWEVREMQHVTAGGKLAVRKHIVGVVD NSACPEIKVNISMSLTLPKDAPGRVPVLISFGWTPYEPSPFASMGRGPGGGRNGPRPP SREDKLIAAGWGCAILNPTTVQDDSGGWQRNPFGRNAKPDAKPTGAGLTRGIIGLTNH GRPRKPDDWGALRAWGWGASRALDYLETEPRVDAKRVGIAGVSRYGKAALVTMAFDPR FAMGLIASSGAGGTRLHRRNFGESLENLAGPGEYHWMAGNYIKYAAEESSFGRRTPAD LPVDSHMTIALCAPRLVFISHGIPERGDAHWLDHRGSFMAAIAAQPVFRLLGARDLGR GDDYKTEAMPGVKVGLLDGELAWRQHDGGHTDEPNLESFIGWADRQFAKPGGTPTPVG THASASHRAIPRTDAKSIAAHEQLLRKARQGRIDVYFEGDSITRRWGATDYPEFLSQW RRQFHGRNAANFGWGGDTTHNILWRLENGELDGVSPRVIVLQAGTNNLPWTGPADRTK VDDVVSGIAAILDVCRRKAPEAAVILTGVFPRSQNPALRPAIEQINAGLAKLADGGKV RFLDIGDRLADPDGRLREGMSPDGLHLSAKGYDAWAEALNPILTELMGPPAAEDHAPP PTGDPSAARGPALAMAAAPARAPAQEPAPRPGTPGGAEVPDAVRIKRPTAEEVAAVEK ALARFKESADPGTKAILQDVPTLLEVRVPPPDSAVVPGLAPFFRQKHAANVAVAKEGK AELLLMGDSITDFWRNEGGPFAGKKVFDKYFGKWKVANFGIAGDTTQGVLYRLQDGEG AGISPRAVMLMIGTNNTGRNTAAEIAEGIGAVVLKLRRSFPEAKILLLGVFPRGRSAS DPARQTIRDINAAIAKLDDGDKVHYLDIGPRFLDAAGNIPADVMSDALHPSTKGYEIW AEAVMPTLEKLMAR OJF2_RS21645 MPWKDVSLMSQRLEFVALAAAEGANVRELCRRFAISPKTAYKWI ARHRDGGDDALADRPRRPASSPARCPGDLEAAVLRLRDDHPAWGGRKLRARLAAMGMA AVPAASTITAILRRHGRLEPAASAAATPWVRFEHDAPNRLWQMDFKGHFAAGAGRCHP LTILDDHSRYAVGLYACGDQREATVRRLLEATFRAHGLPERILCDNGSPWGPCGGEAR HTGLTVWLLRLGVGVSHGRPFHPQTQGKDERFHRTLKAEVIQGRAFRDLEACRSGFEA WRETYNHRRPHEALGLAVPASRYRMSERPYPEAPPSWEYGPGDAVRKVACDGTISFRG RRSVLGKAFRGERVAVRPADADGSFGVYFGVHRVAGIDLRAHNDLH OJF2_RS21650 MGTANGGDADGPDSRRALRRLPAVHVVLGDERLAEAAGRLGREA VVGAVRAAIGEARDGLREGRDAGGDPRGLASRALAILGGTRPALRPVINATGILLHTG LGRSPLAEEAIAAVAAVARGYSNLELDLDDGERGRRTAGVARLLRGLTGAAAATVVNN NAGATMLALRAMARGPGGVRGPTPEGGPALAPEVIVSRGELVEIGGSFRLPEIFEAAG VRLREVGTTNRTRLDDYARAIGPATAAILRVHPSNYRIVGFTEKPALEDLASLARARG LPLIDDIGSGALGPGMPGGLADEPTAAEGVAAGADLVLFSGDKLLGGPQCGILVGSAS AIRKVEAEPLMRALRVDKMTLAALEATLALIADPAHAASRLPLWRMIGADVGSIRRRA ERLAAGLRDELGLDASVVESESFLGGGSAPVSPIPTAAVRVGPPFPAGSPSESSWARA LRRGDPAVVPRVSRGAVLFDLRTVAEAEEAGLLDAIRRSCHDRWPDAGPGAGVDHGGP TP OJF2_RS21655 MRLCRFSYEDMVLTGFYDDNVVIPIDQAAEAYSRETGVELLLPS TEELLDLLPPDGCSFEQARDLSAWLDGLDVIARDELTVPADEVQLLVPVAEPPKMLFL AGNYAKHVVERGGTTAEREETFPYVFMKPPSTTLTHPGEPILIPRVSPDQIDWECELG VVIGRHCRGVSEADALQYVAGYTVVNDISDRAYKPNPGRKPRERDKFFDWLHGKWHDT FCPMGPCILSADAVKNPQDLPIKLTVNGQVKQEATTAEMVFPVAAVVSFISRWVTLEP GDIIATGTPSGVGSATGTFLKPGDLIRATIAPIGTLESPVEAEDS OJF2_RS21660 MKWQERIGVSPDICHGKPCIKGTRVLVSVILADVAAGEPYESIT RGYHITEEDIQAALLFAADLAQDRYLTLAGTT OJF2_RS21665 MLFKVDENLHTDIAEMLRAEGHDAVSVHDQALSGHPDEEIGEVC RREGRVIVTQDLDFANIVAYPPEDYAGIIVLRLREPSRRSSLAAMRRILPLLTTEPLS GCLWTVDDMGIRIRQGGQP OJF2_RS21670 MSLEITDDLIARQSPEAQAIIGLLLARIAEQDRRIARLEAELES LRKTPQNSSLPPSTQHPHARPASREAKSRRKRGGQPGHRKHERPLIRTEDCQAVVTLM PGGCRRCGTRLSGVDPEPLRHQVWELPEIKPVVTEYQRHRLSCPRCGEGTCAELPAGV PRGQSGPRLIAFVATLMAHFRQSKRRTSLFVTSVLNIPCCPSLTVKHQRIATRALQPA YDRLVAALPSQPHLNGDESPTREGTTKAWLWTFVAGTFTVFALRGSRAATAISELLGE AFAGVMTCDRAKMYWRCGRLQWCWAHLKRDFQALVDHADPQVRRLGHDLMRPTRELFR QWSRCRDGTISRGELGRALAPVRHRVEALLLRGAFSGNPRLTGMCRELYDHRDWLWSF LDVDGVEPTNNAGERSLRHAVIWRKLSFGTQSPHGSRFVETLLSVIETCRQQDRNVLD FVTHAVTAHFRGETSPSLLPGP OJF2_RS21675 MATTERAERRRPRFRPSVFVLMVVVALVAVLLGWYVNAVRSQQA AVAAIKEAGGSVSYDWDWGNYDPNIVSYQGKWRAPKWLASRIGPDYVANVVHVNLVPG RGNKKKADDLTLEFVARLTHVESLWLNGTSVTDAGMVHVNGLTRLNNLTIGCLGVTDA GLARLKGLANLKTLDLDGSKVTDAGVLALEEALPRVQVLREDDVAVSRNSKRATNDSS FATSLPVRVAAPILRNRAKTMVTRGDMPELVASIDALCSLESDNVVDLIKLVQARGEC LAILEPSFSPKLSASERQALLKRCEDRGIDALTLAVDKGYNNIRRLDGDSWESLMVGN LRKHPGYARLIQIMKSRRKGANK OJF2_RS41220 MPATSRIGPGDHDEAAQAMLRYARTRVQRLRTRRPRGTSPRLDR LDSRQLLTSFQTVAAGDVGTLVADINQANTDGGSSTITLSAGTYVFDDGVAIDNYWFG PNYLPAIACDLTIQGGGATLLGNASIPARFFFVSGGLVSGGPAAGTLTLQDLTLTGGV AQGGSSNIGGGALGAGGAIFNMGVLNLDGVSLLNNKALGGSSGAGVGGHGYGGAGMGT NSIGDSGGGDMGQGFPSKGVGGTGGVDGQSGGGGGGFMQPGGAASGATGGNGGGSSGL GGNGGVYQDSGLEGMYGYGGDGGGGAGTAHAGGDSGNNAFGGGANDLGGGGVGAGGGG QGGGGGFGGGGGANGMAGGWSAGNGGFGGGGGAGVAQGGLPGAAGGLGGFGGGDGGSN GVGGGGAGLGGAIFNLYGTVNMANSETSINVALGGTGASPGSGYGGAIFNLDGTLNIS QSQLEGDSGANGGSEIYNLTYGYTPSGGAVAAVVNVSGSNIQGYNPPTPSPSPTPSQA QLDRLESWRTKVNRRLHRILDGLRVGSTLLNEDRALDRRFHRMLDRLRMGADVVNERR SGPALLITAGDNHVGRYRALGGARAAGPRFLARSLRLLGRHLAGTAPR OJF2_RS21700 MAHGSKGSAPRQMGLLFASGPTGGMTDGQLLRRFTAEGGPPGEA EAAFSTLVARHGPLVWGICRRTAGDHHAAEDAFQATFLVLARQAGTLWVADSLGGWLR RIATRVASRCRAEARRLRQGLDTTSAPDDSDPRRLAEREDLRTAIADELARLPGKYRA PVELCHLRGLKQDEAARLLELPVGTVKSRLDRGKRRLREALTRRGLAPASAAGAVAAA AAREATAAVPASLVRATLHLATGRARDIAAIPASVAALADLASGAATLARLKLVAGVI LAASACTAGGLLLAGRGPWHSAAYASPPSPARRPSPPAPSQPPPPAPSPNRPASPDSS GFLTSHEAPEAPEPDLGAAILRELNRERESRSRDGTRPRNRPVDPAMPRPLAPPPQAS PQPPRAPSLPSPGAAGS OJF2_RS21705 MRISSNYRHAAGLAVLFLGMIGARPASAQQARPPAPDGPGADVR EAVDRLAEGLKAAPLVRPEGTDCFRLFVADVETGEAAPVVEEPEKGRTRCGSPCWSPD GRKILFHAAPLDLWQLSRIEALELVGDRPRVVDLGSGRFPSFGPDGATIALSLVRRAD SKDAPGVWTMRADGGGRRWAGGQGKPIWSPDGRRILVVHPGASSPPSLMDAAGRGYRP ITLEGRRIFSVPAWASPDTIVAAVGGESPEAIAVVDVADPDRATVREVLWRRSDGPDV EPGEVAYSPETGRCVFVGAGPGGMATYAMRRGDPAPPRRLERGGYDPNLGGLALSPGG RYLLFHTDNADRPMGRPPRVAMPGRDDRSPAAEAARLVAALGRDPVLRPQGSDRDRVY LMDVASKRLWTVLDEPARRLSFCGSLSWTADGRRVFLDASPLGLYQMAHIKSVELRDG RPALIEHKPGNCPSLSPDGEQIAYLANSGGDSSEEPGAWVMRADGSGAHPLGVYGPPL WSPDGSKLLITGFGSPIHAFLHDVQAGVSQRVRLDGGKLIAAPEWAGEDLLLAILEVG TSREIALLDVAKPWRASVKRILFQAPARGGLVPSDAVYDKRSGRCLFAADEAGRMGLY EVQPAGPEPPRRIDAVSAAPMIADLALSPDGRYLLFRSNAPDRGPR OJF2_RS21710 MWGPLSVFGLRACLWASILITVACVCLHPRRPSARLVEVPTREV PILVSPPTRDSPRPTLWVQGDPGRTTSLPAPAGKLLDLGVCSPWREESGRFQVVGRLS GGPRGAQPAGVGLARVSYPDGEVLDFVDTGAVPTGRPCWFPGTSPRVLFAAGDGCLYH HRFAGDHGTTGEDGGGAIAPAAGGPRRIEWRCPLAAQSAPRVVDSAWLGEDRGGLLLA VVTGRPVPGRGDGPAGDELWWLRLDEAGDAVVEAGPLIETPPAEWGRYLRSPIVGRPP GGDPSLAYLAADSPRDGEWELRWAPLRFDDSGRPRTIGPGARLASGCSTDLPPAFSAD VRSLRVLQGRDGGRHFSERALPDPVASRPRDASGTVGAAPHPVPDLRVAGRGERSNQP PSAAHRGW OJF2_RS21715 MVEAEAIEITPPVSALRKEADPDLPLAGDWSRRPLPGVQGLWGS HLYRPDTIDHQPLPELFGRGITISELTMSREEKRAALGQLLGVAKGEILDAYRRGLGC GHEPPVVALKVADDFGRDDGSGVTAKVIDPVELSCMSSSARKWPDILDVPQGHIRVVV TDETDDLLAICAMPIPTE OJF2_RS21720 MGRIFEKRKETIFKTAAQKSKLYSKYGRQLYMAAKNGVPDPEVN SALRSVIERAKRDNVASHVIEKAIQKAAGTGGEDFQAARYEGFGPGGSLLIVECLTDN VTRTFSDVRGCFHRAGSKLAATGSVVISFDHLAVLSFKGDDEEKVLEAMFAADVAVEE IECEDGTVTIFAPPAEFSKAKTALLEAFPGTELEIQEIRFLPQASKSLDGEDLASFEK LLGTLNDLDDVQEVYHNVVLPS OJF2_RS21725 MRKGWREVLFVLAACLMGPLARAAPGEGKRAGAEDRVGIAVELR WSPPAAADAPGPAEAEEISLSTSDGQVADVVAWPAEKHAEGPRPHRGPDEDWRLGSQP SGRVRARLEVTPAADLVVRKGGSTVRIPVATILEKPQQGPPQAAVAVTVERLAWDSLM IDLGQGAESGVVAPSTAVPLSLRHNIVWPDAAEVIVRTTAILRPMGGTEALWRDERRE TMPANRLEPPTSLWTVPAPRQEGSYVVEFHSAWEPAGPREGSRLGRLIRRRKPTPVAS SATRRAVLAVVAPAEPPAEASARETEVDAVDPARVRNTRFSASGRSPASRSSRTVWAV PAEVLAEAARKESDRERLRAWIGRSEAASLPPADESGLGWSAVGLRVPHPDRLHRVTV TVAGGDPSALGVALVDPGGPGRRPRVLLDACASGPPILKDGPAVTFSWLVWPDSPDPL LVFLNRNPGGPVRLGPVKVAELSGVPAGPAIRAPGPDASRSMGLYLTGDRALDRFGGH GEVGLVDNLEVARNLAAYLGYCGASLAVLPEPPADRAARRGLRGQADEDATGPDQAGV VLRLLARQGCAAWLELSLDGRDALPGLPPPDSPEALRLGLVRVDRQGLADGPCYHPLH PDVRRAMRRRVEGALARRDDGGRVAGVLLQLGPGPTLLGSPDTGMDDDTFTRFVREAF GPETAASIPGTDAVDPGRFAARSKYLQGVGRMPWMAWRSKAIAGLYAELAEAARAASP ETSLALATPSLHDGAAGVEARRADLAGLAPSQAWRSVGLDLQAWQAGASSPILLRGVE LSTDALAHDLAVSPDLDARLSAYPNRGLLLKIDPDAAGPAADPEDDRGGPAAGDAAGA AAADSGAPGAVTLAALPLGDGVAADEPLGHALAALDARWVVLAAPAIAGHEDRLRRFA SVLRGLPARPARQAISGGGTKDHGVSVRTIEDAGHTFLQVANDTPYPIRLAGVIDAPA EAPVEDLGRNLKLMPQPAGGGRQLVLDLLPFGVAAIRVGAPGVQMAEITPYPSEAVLA SMEAQYRELSAQLSRLNRGPAAAIGEPLNPGFEPVPAEPLRRVGNVEGAAPAADGAAV PGGWKAEGAAGAEVAIDGERPHAGQGCLKLTAPAASASATSGDFPTGGSPSLTIQAFL RAEPAGSAVRLWIQGEVGGQPYLRRTEFRVGPDWEAKAVRAADLPAGGLDSARLRFEM LGPGTLWIDDLRLAGEAPSRAVRLNAQRTLIAALQAYRAQHYAEFARLSRSHWARHPG VLAAGRPGRPGEISEAARGRRPGQGPAEASALSPEKTLR OJF2_RS21730 MFVACSTLCFAREPLEKALRLIAEFEFDKFELALTEGGQHLRPS EVGEDPEAALQRLRSAPSLIPSAIYADFGPVDWGDPAIRKRFEAICRFAKSLGVAVIT VHAAAAGTPVDAEVKRLSGLVSFALRNGLVLALLTHSETLAGDPAVAVQLCKALPGLG LTLDPSHGLQGGYKEADLDAMYPYVQNCHLRDTGKNPGEFQVRVGQGQIEYARIVTQL QRHGYNRGLTVSIVDRPENTFDREVEVRKLKLLLETLL OJF2_RS21735 MRELTEANAALYLRESGRVPDGVGLSVRELAGGVSNVVLRVDFD PAAGSPPIVIKQCRERLRVAMEWLAPLDRIWAERSALGLLATILPDGMVPAVLFEDRD EYLFAMSCAPDDSATWKSHLMAGRIDPEIARGLGETLAQIHILAPGRPALRGELADRS LFEKLRVDPYYRTIARRHPEVAPAIESLIAAMDDLPEEERTLVLGDYSPKNILVHARG VVLLDFECAHAGDASFDLGFFMSHLLLKAVRADLAHPGAGGPYLRLADDFWFAYFGRL DRDWWPNGPSSPPYGRRYEMGQAHLAACLLARIDGKSPVEYLDEEARGFVRDVAIEAL RQDPRPFGGIRRLLKSRLEARRGTTHGGG OJF2_RS21740 MATATLRGLKARQVLDSRGRPTVEVDAIASTGAVGRAIVPSGAS TGRHEALELRDGDPKHYGGLGVRKAVGHVAATIAPALAGMGLDDQAAIDARLLELDGT PNKGRLGANALLGVSLAVSHAAAAARGEEPYVHLNRLWRGRLAALGPDAAAIDAGPTM PLPMVNMISGGLHAGGNLDIQDVLVMPIGAKDYSHALEMIVAMYRAVGAVLAERGLES VLVGDEGGYGPKLRDNEQALEIVVDAMVACGFEPGRDAAIALDIASTHFFDPARSSYS FANTKGASISSDAMIEMLSSWVERYPVISIEDGLAEDDWAGWTKLTQALGGKVQLIGD DLFATQSARLAKGIEAKAGNAILIKVNQVGTLSETLDALLLARRNGYRPVVSARSGET EDATIADLAVATAAGQIKIGSVARSERLAKYNRLLRIEEALGEAARFAKIR OJF2_RS21745 MSRASAAIDRDVQGLFEAGTFTGLGDGELVERFRGPADAGAEAA FEAIVRRHGPMVWRVCRNVLGAASDAEDAFQATFLVLASRRGSLRKRDSLASWLYGIA SRVSARARVEAARRRRHEAAAIRIAPATVDASAGDELTLSTPIIQEEVSHLPEKYRSV VLLCYWEGLTHEQAAARLGCPVGTVRSRVARARDLLRTRLLRRGLAPTSVAVAALTGS TAAEALTITPLPAPPPDLVRSAVAAAMSIAAGLPVAGIVPAGAESLSRKVLRSLTMIT LTKIATAITTAAALLATAHAWAQRPSPDKAESALNRTSHAATIDVVRRPSPGKKSLED YVIEPPDLVLVEVLEALPGRPISGERLVRPDGKISLGWYGEVYVAGLTLAEAKAKIVL HLRQDLSDYHLGLGKESDELDDNQNIAKPVPQEQLARLARESKTVFVDVTGYNSKVYY VEGEVAHPDRFPVTGSESVLDAIHYAGGVLSWADRAGIKLVRSHPQGSAVQVLPIDYD QITMGADSSTNYQLMPGDRIVVPPNVKAQRPLPGSALDPTVRAAGTRGAASEPARPPA IYYDRSTGRNSREPLPDDDLKRRISEIERKLDAILMRLDKSNK OJF2_RS21750 MKSRASRRDFSRVALGTAAASIAAPAASGRVLGANDRVTIGCIG VGNRGVQVLEAFCAQKDARVVALADVYEPYLHGKYDRIDARFRDLGKRVPSRQPDFGG EVERVKDFRRLLDRKDIDAVIVATPDHWHAIQVIMACEAGKDVYAEKPLSATVREGRR MVEAARKHDRVVQVGLQRRSSTLYARLAELVQSGAIGKVTGARACYASNMAPNGVGLS ADSEPPAGLDWDLWLGPRPSRPFNDRIMPYKFRWQILYSSQMANWGVHYFDAMRWMVG ERAPASLSAHGGKFALKDGRTIPDTAEVIFEMPSGMLMTFGTYEANGHDGLKAGEIVL RGTLATVFAGMDRFEIVPERGGAFQDPSPRRKPQVEKTGDRADDLDKAHARNFLDCVK SRQKPHCDVEEGHRSTTFALLANIALATRARLDWDADAERFTNHDEANQLLDYDYRPP WTHA OJF2_RS21755 MWRVVVVIAGVICGGSLGQNIVAAQPAPSTEEQFYDLVFGEGVV DTTLTPNLAREKLVSILKSTIILIDVNCGLNEEQQFKLRIAGEIQIEHLLDQVDEKRR VFLAVKGDPVRVKHLLAEIRPLQDAWITNPFLKGSLFWKALRKALPESQAMKAEALFE QLLSNEWQETIETFVEIEGEALGLDDTHRSRLQAVLTKQTRPSNKLVPYRLGDLLSQV SRMPETTFTSVLEKKQWQALKTELDFVANQPPRIQGRVFRASHERPRGQMRPAAQFRG N OJF2_RS21760 MMTRRELQVAEEAARAGGAVAMRFFRKDPAVSTKETDGKVTAYN LVSEADVAAERAIVEVIRRAFPKHAVLGEELNGGDGTSEAVLTAGDLWVVDPIDGTNN YVHGVPHFGVSVAYYQGGEAVCGVMGNPPRDEWFLASRGEGATFAGERVRVNGNPRLE DALVAYGYYYDRGAMMEATLSAVRDLLLREIHGVRRMGAATLDLAYVALGHFGAYFEY ELAPWDFAAGRLFVEEAGGRVTDGRGNPLPLARTSLVASNGLIHDAVLDIVAARHPAT APDA OJF2_RS21765 MRYGFRTLVLAALATSAAAQGPAKGPAEGRHADDPAAAGTPAAA PAPKPGLHPGPPAPIPPAEIAASINRGVAFLLKDQRPDGSWGSPERTKELNIIAGVGS HHAFRVATTALCVAALTEATQAAEARTPGRVELARPEDVRRAIERGEDFLFRELPRVR RHDAMLIYNVWAHAYGITALVRMHGRLPGEGARRDRIEALIREQYDRLARYESAEGGW GYYDFAAGTQRPNSDSASFVDAAVLAAFHDAAAIGVAPPEKLTRRGVENLVATRKPDF SYLYGIYLRYRPMMGVNRPGGSLGRSQACNYALRVWGDEKVTDAVLKEWLDRLIARNG WLDMGRKRPIPHESHFQVAGYFYYFGHYYATLCAGLLPTADRAFYQDHLTHILLSHQE RDGSWWDYPFYNYHQPYGTAFALLSLTHCLHAPAPMPATTRQPSSPR OJF2_RS21770 MKAMARGILVVRTFWLTAALVVAGGVAESVAQEPLPGRLGEITD SPPLLKLERKPEAPGPQAAAPKPESQAAPKADATKPKADAPATVKVEKGTFRVDVSVP AVFEPVKAVEVSISPKAWAQPMMVERAAGLGTAVKRGDILVDIDREKIDKAIQDAEVD LAAGELALKHAAEELPILEKLLPLDLAAAERTKAQADEDLARFLEIDRPQAEKMAAFS LKSATESLEYSREELRQLEKMYRSKDLTEETEEIILRRTRFQVESAEVYLKSAQLQSE ATLKIQLPRQEIAAREAAARQALEIQRARTGLPLTLNQKRQALAKLQHDHARAAEKLA DLRRDRDAMSVHAPADGLVYYGREERGAWPQAAALASKLRKGGTLAADEVFITIVAPR PLVAVASVEEKELRHLAGRADLKGLAVPTIDPALASPAHLVSLTPVPREAGKFDIVAD VELGPEAALIKPGMACTLKFTTYRARDALSLPSSSVFEEEADDGSPVQVVYRPGPDGK PSRQVVKAGKVHGGRTEILSGLRQGDEVLAAKP OJF2_RS21775 MPNPSPADYECDGCGACCGAYLIFASVDDARREPRIEAETQRLA GWLETPKWAYRLHPLPFHESCCFLDADRRCSIYETRPTVCREFAAGDEPCQKARAMRG LPPLEPLARREG OJF2_RS21780 MSGEGARSVGLGVPLDRAAEAICKAGAMLIGAGAGMGVDSGLPD FRGDDGFWKAYPPYAKLGLRFAELANPRWFGRDPEVAWGFYGHRMMLYRETAPHEGFS ILRRWSERMPRGAFVFTSNVDGHFQKAGFDASRIHEVHGTITALQCLVGCGAGIFPGD EVSVRIDEETMRAARPLPSCPECGGLARPNILMFGDWGWDSSGSDAQARALNAWLRSV NDTPLAVVECGAGTAIPTVRMICEEAAARSGGTLIRINVREPEVPRGGISLPLPALAA IREIEWRLQGRGKV OJF2_RS21785 MYQRTMGALAFVGGALLAASVLVEAAGPGGPQEASTTPSKGRSI PANSSVPGATDRARERDPLAKDDREAKILAVLDDLDQHRRGTMNVPREDGRMLRVLAE SIGAKNVVEIGTSNGLSGLWLCLALGKTGGHLTTFDIDPGRFELAKANFHRAGVDALV TQVLGDAHEEVLKMKDPIDLLFIDADKAGYLDYLNKLLPLVRPGGLIVSHNMASPPPD PEFVKALTTNPALESLFINMHDQGLGVSLKKR OJF2_RS21790 MTTFDLFEAQGFLLVPGVLAAEECEAIGDRIVPGAEYGSGGRRS LLDEPWCADLAGRLGGHPALAGLIPSGHVAIQCTYFEKSSARNWLVPIHQDLSVPVAE RVEGEGLRGWSEKEGSLFVQGPVDLLEQVVAVRVHLDGCRPEDGPLRVIPGSHRLGRI EPAAVLEAREALPEVACEAPRGSALLMRPLLLHASSKASGGGRRRVLHFVFGPDTPPC GLRWARAIRAASAGAAGAAP OJF2_RS21795 MNRHGLLLGFAIGLALSAAGMAAGDDIPDLGSDTWAATDALGRS LPTAKEVGPPRPGKTVVMFYFLWLGQSGDLGPFDISRILVQDPAAMSKPTSPPWGPML APHHWGESIFGHYVSEDEAVLRKHAQMLANAGVDAVFFDVTNQVTYPASWKALCRVFD RARKDGVPAPKIGFLCPFGDPGKVVRELWHDLYEPGQYRDLWFEWEGRPLILADPALL GRHVQKGRNGRPDEVRQGQTHSQAFTAESPFDAVGACTPTWGDRGAGVTLTLYRAGRA GGPIASRRFEGLEDNAWSMIELDKPLPSGAYELTLSEPKGRVGWWAGGGSRTLRIRPH DEATARILKSFTFRKPQPDYFVGPTGPRQWSWLEVYPQHAFYAKEGVPEQVAVGVAEN AVDGKLGVLSNPRSHGRSFHDGEEPGPEGRDGSGKNFAEQWKRAFEIDPAVVFVTGWN EWIAGRFDQTFPLAGSGPVTFCDEFDQEFSRDIEPMRGGHGDNYYYQLVANVRRFKGV REATPVASRPIAIDGRFDDWAEVKPDFRDAVGDPMHRDHAGWGKAPHYRNDTGRNDIA SARVSVDPGGVSFYARAREDLSPPSDSRWMLLFVDADNDPRTGWLGYDLRVNARRTGD GKATVERNVDGAYRWETAGEAPFARGAREVELTLPPSTPGLARPPASLDFKWADNIQE TGDWSDFTLNGDAAPDDRFNFRAVFPAAGR OJF2_RS21800 MAETITRRAALRGLAGTALLATAGAHASARNEGENPPARKFTKD LVCGNIGVRVGLPEAIALAGRHGFESVGPDPSALRPLSDEALAKLLAELKAAKLAWGA AELPVDFRQGDEAFRNGLKDLPAAAAALQRAGATRVGTWITPGSDRLTYLANFKQHAA RLGEVAAILGDHGLRLGLEYVGPKTSRASSRYPFIHTMAETRELIDAINRPNVGLVLD SWHWYTAHEAEKDILALKGADVVCCDLNDAPAGIPTDEQMDLRRELPCATGVIDVKAF LNALARIGFDGPVRAEPFKAELGKLPAEEAVTRTAAAMDRAFALIG OJF2_RS21805 MDRCQSCTAVTVILVAAVASCVPRVEADAPGRTPAPMALTDYRP YIKGGPSAAAWFGRRTALILEGERPDARDAKVMAAICAMLDGLFDAYDRVTGRRPELT DPFQGRIRVEVSPQVGGGLAYHGRLGYAVNDRLFQGLYDRVKAGGKTLDHVFFYETNR NYWMPDMASIDYATHDGPDSYGWWTVGFNNVMAVVLAREVPGVEDMTYYGQGSKQFAA GMEANLDEYLAHPDKYGWDNAWCVKMLPWKANTSVNDLMTGLVLRLQREHGGLEFVSR LYREIPRQPPPRDRSDYLAARDNFYAAASLAAGKDLGDFFTKGLRWRLSKATHDRVLK ELRARRTAPRRRGK OJF2_RS21810 MKLESLRDLLVEQLQDLYDAENRLTKALPKMAKAATSPELKAAF EKHLAETENQVSRLEQVFEALGQKSKKKTCAAMKGLIEEGEETIKEDAEPEVKDAALI AAAQRVEHYEMAGYGTVCSYAKLLGEKDVLKLLKETMAEEVATDEALTQLAESTINLQ TV OJF2_RS21815 MPAYLRAILAALAGMLAAFALIVAVEAFGAVAHPVPDGFGGSME EVCRHVEGYPRWVLAAVVPLWGLAALAGTGIARRIGGVYASGAVGLLLLVGLVFNLSK LPYPAWFQVANLLVVPAAIVAGSRSSGRRRPEGMAEVS OJF2_RS21820 MDASRQDRPAAWPRRAVLRAAAAMPPAAAARLALAGPAPYPDGP GGAREEEPPAIMPIGIFLSVFSRPTVEARLDAAKALGLRHVQVGMDCVGLPKMPEKIA PELAERMRRAAADRAVVLASVDGTFNMSHPDAEHRREGLRRLGVLADACGPMGTSRIH ICTGTRSREHMWHGHPDNGTPEAWRDMVACVREATRIAERAGVTLAFEPEVNNVVDSA RKARRLLDEVGSPRLKVTLDGANLYHLGELPRMREILEEAVSLVGKDTVLAHAKDVVR DGDAGDRPAGHGKLDYDSYLGLLHRCGYRGPLLLHSLSEDQAPGCAAFLRGRLAKLS OJF2_RS21825 MRCPIEARPRGFSALRRHPPDPAIRREGRPGSHPAAPLTSSLRP GAEREPGPASDRPSARPSERGRRRHPFRPWALLGCLLLVAPPLRAESITYCAELNGRT QPDAVPQFGGGRGSLERVELIWTVTVSGEYQSSGPISSYTISPHARIDLLGDEETLSF DSGPAVPQAFDVADPRTVTSPSWTCHEDILIDDLSPYIGPGLFRVQVSGGLDFSADTA FDLTSPSVTGSLSITYTYLTAAAPEPPAVAMLALALAIVIPGWYSRSRARHRPRGTGE PAGGAAPLRDGPAIGKMTTG OJF2_RS21830 MFDAATKRTFDSTRIYDRDMRKSVRLSAEEERRLGEAVAGGDRD ARSRLVEANLRLVAKIAGGFRNRGMDYEDLIGEGNLGLIRAAEGYDPRFGTRFGTYAS HWIKQAIRAALINNASTIRLPAHMHGLLARWRTAEQALRRELDRKPSLDEVAARMGLS KVQKGMVEKAQKAGRIRLESGLNDDGEAWNPDDTRDGSTVPGGDLERADELDEFQRRM GRLDDRERTVLALRFGLEGNVPQTLTEIGRQMGVTREWIRRIERRAVDKLSREETGGA SDARPPVLHAGHRPLLAGPSRSVDGARALA OJF2_RS21835 MSRAFERGSKATMGHSTGPTGRGTVRLRSDRLGRAAASGIAERT RSRHPARRSRTAPFAPAGGWISGRAG OJF2_RS21840 MRALTVQPGSAGSARLEDVPEPDPGGGALLVQGLALGICGTDRE IVGGEYGWAPEGHQRLVLGHESLGRVIEAPGDSGFAPGDLVVGIVRHPDPVPCPNCAA GEWDMCRNGRYTEHGIKQRDGFGAERYRLDPAFAVRLDPGLGGLGVLMEPASVLAKAW EHIEAIGRRAVWEPRRVLVTGAGPIGLLAALMGAQRGLEVTVLDRAAEGPKPALARDL GAAYITGDVEEACKSADVIVECTGAAPLVLAAMTNSSPGGIVCLTGVSSGRRKYALDA AALNRDMVLDNDVVFGSVNANRRHYEKAAESLRGADPGWLGRLITRRVPLDRWAEALD HRPDDIKTVIELDS OJF2_RS21845 MPSRIEDYALIGDCQAAALVGRDGSIDWLCVPRFDSAACFAALL GTPEDGRWLVGPRGGEPRVRRRYREGTLVLETEFETDAGTVALIDFMPPRSEHPDLVR IVEGRRGRVPMRMELVIRFDYGSVVPWVRRVEHGIAAIAGPDMLALRTDVPMHGENLH TVADFEVAEGQRVAFDLTWHPSHRPRPAEVDAARALEEAESWWREWSGRCDVDGEWCE AVRRSLITLKALTYAPTGGIVAAPTTSLPECLGGVRNWDYRYCWLRDATFTLYALMLA GYRQEAAAWREWLLRAVAGTPSRLQIMYGLAGERRLTEQQIPWLPGYEGSAPVRVGNG AWDQHQLDVYGEVIDALHQARRFGLEPDGDSWRFQRALMDFLESDWRRPDEGIWEVRG PRRQFTHSKVMAWVAFDRAVKGVEQAGLDGPVGRWRAIRDEIHAQVCAEGFDASLGSF VQSYGSKHLDASLLMMPLVGFLPATDPRVRGTVEAIERGLVTDGFVHRYRPDPGVDGL PPGEGTFLLCTFWLADCLALMGRRDDARAIFERLLAIRNDVGLLSEGYDPQARRMVGN FPQAFSHIGLINTAYNLMQGPACPASDRPGR OJF2_RS21850 MAQDVSCKRGAFVTGDSRGTAGKGELAGGPGFGTGDARASSPRR ASAELFLVSFLVLFHELACIRWFGATVVFLTFFTNLVLMASFLGVSVGCLAARRGFRL MNGFAILAATAVAASYAVLWLYARYERLMIDVGGQQSPQLIFFGTDARVRDISSFVVP IEVVAGAFFVLIALAFVGLGQEMGRRFDAIPNRIAAYTSDILGSLMGIVAFGLVSVLQ LHSVVWFGLTFLLALPLVRGRGWRALHVLAAAAALLVAARVDWPRGAEGTSVENTWSP YYLVSYKPGKLWIDVNNLNHQGMQEIGGAATAYRLPYRLNRDSGGGAFDDVLIIGAGS GNDVSAALLEGAGRVDAVEIDPVINAIGRRDHPNRPFDDPRVRIHLDDGRSFVRRTDR AYDLVVYALVDSLVLHSGYSSLRLESFLFTEQAFRDIKARLKPGGVFALYNYYRQGWV VGRIERLAEKVFGTKPVVISLPYQSTIRPSSNQRGYITFLLIGNTGSDVVERIRGRFA GGDFYWMADDRAAGRPRTAFGAEPPGGVDPASEGVKKIGPASVDVEGADRLPTDDWPF LYLREPRIPGLSLRGMAIVGVLSTLLLLAFAPVRSVRPDGRMFFLGAGFMLLETKGVV HMALLFGSTWVVNSVVFAAILCMILLSNVYVLRFRPRALRPYYALLILFLAVNSLVPM TEFLALAGAWKTVVSCVVVFVPVFFAGVIFAASFRDSRRPDVDFGSNIGGVIVGGLSE NLSVVGGFQALLWLAIVYYLLSAVFGPKGVKGWSGSAGD OJF2_RS21855 MLHDAADAPHPRAGPQGRRDADWLPLAAILLVAVTLRAWRLDAK SLWYDEVVSMRLAEAPGPAAMLDLLGRIDGTRAPLHPLLLHAWMGVFGGGSCSARAFS AALGCGTVILVYVLGRRAWDDATGRWGAWLCALCPPLVAYSQEVRMYALLVFLAVLSW LAFLAFRRRPTRSLAVAYGLSLAAMAYTHPLGLFMIAAHGLVGLVALARGLLPGARWL AAVALAGAVIAPWLPRYLDHGTDYPMPRYSPRFLLGVPIEYIGGPGVTLLAWGALIAA GLLRPRDGRVAFREPEAWAAAVGWFAAPPLLMYAYSWASRPIFGPSRYHLFVAPAYML LVGAGLAVSPRRARWALAAAMAALTVPALMSTTYASGHKADWRSAAAWIRERRDEKAF VIVDANDERFRREPLETARYYLAPDVPVELAGSEAACRVENSPGPGIVVYHVSCLAPA DGDPDAREGVMASFHGLAVTRVGGGGGPGSVRGGPGR OJF2_RS21860 MRELVPYRLDVNGHDALAAAGTFADRKVELLNGLLVMTTTGPGP GHDHAVTALGELLRERVSRDAWTVREEKPLALSRHWEPVPDVVVARGPRSRYARRTPG RRDALPPRLDDIDFAAMPAAELFP OJF2_RS21865 MEPAIQQLPESTHTLVVSYMGLRRAIGEIGLLLPIVLGPVGRLA FGIEIQDNMSSYYHTPLRDVFVGSMCAIGVFLYCYRGYDWIESWTGKVGCTSALGVAL CPLDPGSDPLSQRSVLGYLHTLSGGAFFLTLAFYSLYHFPSTQAGKHEVAPHESQRNF VYRASGVVILLSMAAMGGYLFLLPAGPKRLLNDFNFLFWMEWVAVWAFAAAWLTKGRA ILADIALDLLALPVELLRRHEAVEDVGRRVRSLFHLDPPGSQGPAGASLEGPAAEEP OJF2_RS21870 MRPLAMFAMLAALGGAVGRGATPTLDALTGEAAKLKAACPDRWA EFERGVDRAVDDHDRRVAGWRKRSAPPGLDLRPLGLPLAFLAAQARHLGEEPEALFPG GRRPAAGRAAAKYDPARALRHAAYLEAIAGNPDERRIEALREYRDDLGRRHPASERSI PWPAVLAGAATRGWAVDRIRDLAREAPPLDPNAPGDSLPFRLIGRFAGELPPDAAKVA YDYLVMQSPHGPTNGDRIWDVLFRLDPPRARREVLAHFDGPTGPKADFNIYVVMLLEK HAGPSPEVARAARTWLEKESLAPYFRRAVREILLRADPDREVKPAVEHVDRLLAEHAR KGEVVPAQGDVHRLVLALGEVDSREADDALARYAFDRTIPESIRALALASLVKHDRPG TPALAARWLAEASPPMREYVRKQARDSWGEPGRRLLEELGRGR OJF2_RS21875 MSTRHYHNPPAPSSTRGRCPICNQPVYSRAGIHPQCAMRQADGP RLKSKGADAPAPSSLIEGEIP OJF2_RS21880 MHTYPSDERRISDVERGRSCEAVVPAPAGTTLQVGDSVLFALSS TGPGAEPRYVKGGDSVLVSLTVVTDLGTNDPDTGRPLVRIAWTAPGPEARPDGGERPH ARRSRRAT OJF2_RS21885 MSRKLFVGNLTYNVNESELEALFAPFGTVQSAQIVVDRDTNRSK GFAFVEMASEGESRAAIEGLDGQAHDGRNLTVNEARPRVSRSPGGGDRGGYGRRD OJF2_RS21890 MGLTSWVIASVSTWMVPAYVTAMVLIFATPRAPRRDGEKGEASE AQRDGAGSPADPGRPADAADRPRKGRKAPMRPRTPATAEGLVAGEGPEGGAADLRVDG PEANLDPATDPAEPPAAKPRRTRGRAKRTARPGAEPALAPAPATWIQVAPGKFVRADL HEPRPEAVVPDAPAGAPDVTPEPEPASQAPAEVHEAFAEAPEPGPQSEHGPQPLPFPP PDPTEVPDPIAGPPEAEAPVEDARIEAMPEPPFEPPAPTDAPEDVADPHEDLDAPEGP EPDAEPAAEEYGIAPSALGEDPPSAASPEADDETTPQAASPEPEDDPASRPDEPECGL EPEAATPIEPAHPASFDLVLDPDEGIPGPPPGAADEGLDAGEMDAGGWDRDEEAVEDA AVPAALALDAIAGQGRGDDGRDRASTAGDADLPDMTVPDRGGSRPTPAPRAGSRLSSR ASFAAALRVTNIRTGPPARPRVLKASPPPRGTRTPSRRNAGRRPGVPRQSRPRSPPLR V OJF2_RS21895 MSTPSPVIGPKSRRRLLSAMNLGGLSFREACVRTWKKMNEHEIL TRAAAVSFYAIAALVPFLALVISLMAGSFPLIAHAVSFVVPSAGAGVTQATSQSDKVF QVLQNLLPADAASLVDREIKTIQDRPKTGLVSFGVAALIWLSSSLFVAVMDAMNRIMG VSETRPFWRVRVTAMLMTLSQAAILIVAFVTTLAWPQILALIGLKTAAAALASVIQGV AVFLLILLSFAMAMYFGPDAEQRWEWITPGSLAGTLILLAVSFAFRFYVQTWGNYSAT YGSLAGVIVLTSWLWITSVVLLAAAEFDKVIEDASPYGKPYGQRHESMATPKA OJF2_RS21900 MATGLAGSDRAGVPAAGVRSRTLLQWLSCNNPFYVLSALLVCLG LWVSFGGQAQAAQTWALMGGLSGYTLLLAITACLLVRWLGVWDDVRTVMLLTVLMFLA TSVTFDEVLAEAPSRGVACYLGGLAFAAVVSEGMLRGTRLVLARAFRIPYYLLLALFY VYPTAMTPLLEGPRTEALSWALFGFSPAAGLVALTLIPAIRRGREYGRPKSSGCPWRW AWYPWTLFGVLGFAVLARVPLLCWSMDHPESAAAEPLVFGAYFLIPFLFAVAILLLEI GLVERHRGVIVVSLGVPVALAVLAAAGHRDEPVYRLFLSQFEGRLGGTPLYLTLLLSL LFYAYAWARRVPGARQLLWYTLLALTFVGPGTLDLDDLTTPRPVPLSLAGGMLFATGL ATRSPGRFFAGACGMAIAWLADVPAREPVSDLRFVIFFHALTLALLAAGAAYGDAFGR WIRTAGTALALLACLTTTGILAAGFPLDPLPAWTPWAYLPAMGGLLLAYGRALGHRPS TRGGQAVLACWGLAAAWRGYAPLRGRVKGLDYLLLGMSSFVLAWLMSLAKGGVIRLPW HHDEGPGAGPGPGPAPVLDEAAG OJF2_RS21905 MTTPASARTATLRIGTRGSRLARWQAEWVASALRERHPGLAVEL IEIRTLGDRDRNSPLAAIGGTGVFTKEIQRAVLDGSVDVAVHSLKDLPTMGPAELVLA AVPPREEVADALIAPAHRTLEALPAGARVGTGSLRRRAQLLHRRPDLEVVTIRGNVET RLRQALDGAMDAIVLAWAGLHRLGLHGHVTERLAPPRFLPAVGQGALGIECRADDEGT RSLLAALDDPASHRAVVAERAALAELEGGCLIPMAAWGRDAEGGRLALDASVFDADGR RRVHAADSGPVDDPRGLGIRVASALRDRGAAELLGGSIRPPS OJF2_RS21910 MLGGPGVISRAIVRGMGACGRAAADLVFPWHCAVCGRDHALRGA FCPPCRARLLGDGAFAEGSTCPRCALPVGPHARVDKGCSQCRGRPLGFDAAMAMGPYQ GHLRELCLKLKHEANAWLAPGLSGLLATARAAGLAALPRDAWIVPVPLHWSRRLGRGF NQAEELARGLASSLGLPLLRPIRRVRATGHLSRMKKAERHEAVRDAFRARRVPDPRLK GRTVLLVDDILTTGATTGAAARALKGAGARRVVVAVVARDL OJF2_RS21915 MSQGELDVLGRQLEARDPDVRLMLQVREGVPGAFEQLVQRYQDR LVGILFHLVGSREEAEDLSQEVLLRVYKARKGYKPRARFSTWLFTIANNLALNHLRSK GRHPSVPMGGNSTSTHNAAPSPGQIPSRDATASAQMRQVELSDVVRDALSVLGEDQRM AVLLNKFEDMSYAEIGDVMNRSPAAVKSLLARARNELRERLEPYLSAGDRPPL OJF2_RS21920 MHPNHDASPEPGTTSSDSRRPLLDASADELRAWVEARGHRAFRA RQVREWVIQRRAESFEGMTDVPLALRRQLDEGWVVFGTKVAFHGVSPDGTDKLLLECL DGRRIECVLMAEDRRHTICISTQVGCGMGCVFCASGLKGVERNLSRGEILEQVIRLRN LLPAGESLTNVVVMGMGESLANLDNLIAALDVVCSPEGLGMGQRRVTISTVGLPGKIR ELAALDRQYHLAVSLHAPTEALRNELVPVNEKIGLAAVLEAADDYFRATGRQVTYEYV LLHGINDRPGDAEALGSRLKGRKAHVNLIPYNPVAGLPYERPTPEAIRRFVEVVRGRG ISVTVRKTKGRAIDAACGQLRRRVEAEATGTGPGSPLLADVPGPAIAVE OJF2_RS21925 MEQGEAENPSQPGTPFDADGPPAILVILVALPISALGGVASELA GMGATKEVTAASCTVHAIGAMACSLIVLVTIFLIGRLHVFSYIMGMVQGMLMTNREVR PATWIVGALIGGLMLGLISRYWPPVDLPDSGPETSDPDAEAGRSIASSSSIAGQQDDD A OJF2_RS21930 MPWQLHAMQRLRDEYGEASLLEYADRLEDVLGQNDMPMPDFPAM VWSLERLQCDEDVAVGIARDGGESLAFVWPADKIQFVGTEEITLVYEADGTRSVVILH RYVPPGE OJF2_RS21935 MKTSFVAAMAAGLAFISGAMSRGEGQEKIPGDVPETLASAFRPP DAFRDDLGTYRSPLLRDDGRPVRDAGEWRKRREEILEAWHGFMGAWPPLIERPKLEVL GVERRDRFEQRRVRVQVAADRSMDGYLLVPEGEGPFPAVLVVYYEPETAIGRGKAGRD FASHLASRGFACLSLGIPPDQIVPGRGYPEIQPLSYLAYAAANACNAMAHLPEIDPAR IGVMGHSYGGKWALFASCLYERFACGVWSDPGVVFDESRPNVNYWEPWYLGWEPGRAR TRGVLTPESPRTGAYRKLVEAGRDLHELHALMAPRPFLVSGGSEDPPERWRALNHAVA VNRLLGQAHRVAMTNRAGHDPTEESNEQIYRFLEHVLKPGRRNRGR OJF2_RS21940 MGGRSVGAAGSELARLMTYGADGAASDGELLRRFAASRGEEAQA AFAAIVGRHGAMVLGVCRRVAGDAADAEDAFQATFLVLARKARSLRRGELLANWLYGV AVRTSRKLKAQANRRRSKEGSMDGQATRPEAAVPAPDAARDEILQALDDELSRLPDRL RRAVVLCDLQSMSHREAARLLGLPVGTVSSRLVRAREALRSRLVRRGFTLSAAGLAAI LESEAAAGAVPPALADATCRAAAAGLLGAGAAGAASSAAVDLSRQVLKSVWISALPSR ILAAGVLIAAMIGAGVVASQLAGTGDPSPFDRAVPDDWSWVDDLPGLDAATRERFKRC ARSANENFANLHRLTCDFDLDVENFRNDGANHLTFEPGHLRGKLYWNEGAVRYDFEGL DPRHRNSRGEWQAGSSGTFSVLRTRDMAARTEEHEVFGVMLKIEPPPKSLAEWRGGFP FRDLDPWVHYATCFRAAPGELKAMMKSMRGTSKEDERFIHLRLDHAGEGHWIEITCDK SAADLPVKVRFGEVRKGEVMTHGEETCEWTNTDGAWCPSHLVKVAIMGLERHPSRQFD LRTSNLRANRSAPIPAAVFTPGDMPLPEGYGGLDLRKQPPINLIRAGGVVRERRPGEP TKSAGSGPIPFPKPGSVDDGVSREPYLALVAASRKRRRAADEAVMKAKGEAQQAAAIE RLSKVQAEDTARFLDLAGTHAGDRLAFEALEEVAVAPSAPKESLRAAEFLIRDHRNDP GMRKVYAELDAPLFASSPAADRLLRDGLVNAPDRESRARAGLSLARNLRWKARTLRKL SSRWADPYLALSTKATAGDAFDALRSESPDAADAQAEQLYQRLVDEFTDVRIGDATIG DEARRELFQLRDLAIGKPAPEVEGPDADGRPMKLSDFRGKVVVLTFNAGWAHDGKYPL EHELLDRLKGRPSVLLMMDVDGEESALRKALADGEITWPCWWEGRETGPNRTLWRANE IPSVFVIDANGIIRGKELEGRDLAEAVEALLPRQ OJF2_RS39375 MQAHVAADTYRMLIYEHAASHPWEALPRIADADGDIEEAPVPGP RPTIPPPPPPR OJF2_RS21945 MAPTDKVADSIGRLFREGTADGVSDGELLDRFLSRRDEDAFAAI VARHGPMVLRVCREVLRDEHRAQDAFQATFLVLVRRAREVRRDRSAGPWLFGVCRRIA VRARADEARRRAREREATEVRSIERDEPEGAGPATGPDAWPELYEELDRLPESQRAAL VICYLEGLTTDEAARRLGCARGTILSRLARGRERLRARLTRRGLEIPAVLLAASSSPE ARASAVPPALVESTTRAAIQFAAGGSAVAAAPAAVAAMMEGARRPMMTISLKVGATLL GAGLAAGALALVQGKSEPPKPITFATSSASRSEAPPPDAVPGTAALTRAATGRILAGN KAPFFCVAFVDGGEAIASGDSDGLIRIWDTATGRCRNELLLKPLKGDHVAALSLASSA NGMALAAACDDMSIRLFDAPSLGRPRSIPRRYFVDALAFSPDGRTLAWAGSYPPHSPA PDAGDWNAISLWDTAIGEVRGTIRYRNRGVHAIAYSADGSTIAAGSDDRIVSLWQADS GRRIATIPLQGTPHNLAISPDGKTLVAAHGKSQRDGDRHSIGMSNFIGPIEVWDVDAR RRRTVNAPEKYMTRGVAFSPDGKALAYALSTNEIVLCDTGSLVPRVSLRGHQGYIHDL TFSHDGKTLASASADRTVRLWDLADLLPAVAGNPR OJF2_RS21950 MPLIHGLRCRRFGRPADVLALEEEPLGPRPAGAIRVRMIAAGIN PSDLIPIAGAYAHRITLPMVAGYEGAGVVEEADPPWSGLVGRRVLPLRGPGTWQSVVD ADPRWTIVVPDDIPDDVAARAYINPLAALLMLDSWPVQGRRVLLTAGGSSCARLLGRW ALDADAAEVTSVIRSNVHAETLSGLGLTPVRMDDPGAVRRAAYRADLAFEAVGGPLAG TILDAMPATSHLISYGLLSGTPFVPVQGKATVHRFHVRDHLPRLDPDSWRACFDRLWP RLCGPGLVPARRFPMGAWREALAAHESAGRGFKVVLDLRESPRRGVCRPGG OJF2_RS21955 MGRMGDVLEVLFGPDDRIRTVRATIRQWEDRGLARRLSGRAWPR VGRMKEGAEAGQDRPRAWAATLEIWLSRPGCVRIERRVEAEDGTEVSLVVTDGDRRWD RDAEGHVTTRDGEAGRREATGDISVDIDVDRHFNPAQIREFLTELAVEARGPARVAGR DCERLRATRRPGRRLWPHWLGNESEEFEFHADLERGALLTIIGRHGGVEVVRYEALAV SFDDPIDPALFTYEADPGDQVEPRPAAVEQLSIAGEVERMPFVVLVPTRVPDAAHALC EFHYFPPSRRQRWPHLSLMYRGSEAVRGLSISESDRPKPDLDRYEWDQVEAGPDAPQS IRISDSGEPGSQRLVAFEQEGTHVTIRSDLDREALIDLARSFVRAGTSPT OJF2_RS21960 MENEKKILQTDMDDNEGIPDPQPADEGIILEAGGTVGEKGRETL GDEGHDELLASYPDRGPKDVGRKETPSDRKKP OJF2_RS21965 MSSRREFLGMSAAMVAAGAFGRPAMAGAARAAEPRSILMLGGTG FLGPQAVEAAVRRGHKVTLFNRGKTRPGLFPDLEKLHGDREKGDLKSLKGRKFDAVVD TSANVPRWVKQAAEVLGPDLGKYIYISSVSVYSDMSKPGADEAAPVATIADPTVEKID GQTYGALKALSEKAAEAAYPGKAAVVRPGLIVGPEDPSDRFTYWPVRVARGGEVLAPG SPEDPIQLIDVRDLGEFLVRLIEDRTTGVFNALGPKDTLTMGRTLAACKEASASDATF TWADAAFLETQGVQPWSDMPAWVPDGGETAGFSRVSNARAIKAGLTFRPIADTAKATL DWFKTLPPERRAKLRAGISPDREAKVLAAWKARAARP OJF2_RS21970 MEGPGRQAVTGCDAGIGMRAGAPAGGAQVPGAEPPPSIAWRRLR GGLRAVADVRDGEEGPLLRGMAFLFCVLAANYLVRPVRDEMGLAAGRAHLPALFLGTL AAMLVAAPMLSARLRRPGRPLLPAAVRATQFVLVASFAAFWWILPEGHRPSARAFFVW ASVANLLAVSVAWGTLAGRFGNEPAHRLFGLIAAGGTLGAVAGSSLAGLLVARVGTVA PLLAAAVVLELGLMAARSLPRADLNLGEARSGRDSQDHPAGPPRGRSPYPMGLGLWTL LFTSSSAVIYMEQARIVDLAIGDAASRAAFFARVDLYVNLLGLLLQVAVAGRVLAALG AGAATAMLPAVTLAGVVLLYLRPGLATLQWFQVVRRGVDYAIARPGREVFCTVLGRDE MLRSKGLIDTAVYRAGDAAGAWAYGALAAMPALGPAAPLAIVPLSVGWIALSLALGRA MNRRLAADRDAPSPATEAPAESSP OJF2_RS21975 MRDDDLRAATALRTPAATPTPAPAWASAVRRFTDFITIDLGGGP RPWKFAWVINFQKTGTFPFLALLIAWYRNHDVAAWIYLAMHGSYGLAWFLKDMAFPDP AWQRRITILGGLNVFFGVLAWYWAFGWLLISGTSRPAYPLPDHAWFCLCISLCLVGTA IMIAADAQKFYTLRVRRGLITDGLFRYVRHPNYLGEMMIYGSFALMVWHWLPFVVLAV VWTVVFAVNMIAKEASLSRHPEWAEYRRRTWWLLPPIL OJF2_RS21980 MAEEDRIAGALLGTALGDALGLAAEGMSARSIARRFGRVERFHL LGRTGFVSDDTEQSALVAQSLARHPDDVERCVRAFRRSLLGWFARLPWGVGLGTVRAC VRIGLGMRPSGVMSAGNGAAMRAAVVGCFFDARPIERERFGRALAEVTHRDPRAVEGA LYVAELAAACADLPPGTPAEACQERARRIVTVPALGEALDRARDLALRGASTAEAAAA CGTSGFVVHSVPFATFGLLRHGSDPLHALAEVIGAGGDTDSNAAILGAWLGALHGASA LPAALIGAIHDGPFGPTHLRALARSLARIRGGEPTPAPDYSPALALARNLALYPVVIG HGLRRIVPF OJF2_RS21985 MRHARAALAAILTLGLASASASRAGTVTSTFDDLGLAAGTYVND AGPGGHFTIDGNAYNNSFSPSFGGIWSGWSLSAMTDKTDPSFTNQYSSITGGGADGSK AYAVGFTFGGDTDPFHPAGTTITLAPGATPDSIAITNTAYAYYTMRDGDAFGFAHAFE HGDYQLLDVRGYDASGKLVGTVDFYLANFLSDNKDDWYIVNTWETVDLSSLAGSSVLQ FGIQSSQDDPTFGVNTPAYFAADDFTFTTAAAAVPEPSGLALMGLGLAGLLGLKARRR VAALLPCVLLATSALEARAGSFAPQVGQAGSLGIARSSPLFQEWASSVVSITRGPQDI SNPNSPLASFGQPTDALGSAGGIVSLGDGGSITLGFDAPITNGTGADFAVFENGFLSG GNGLAYLELAFVDVSSDGVHFFRFPSISETQADTQVGAFGSLDASNLHDLAGKYVAGY GTGFDLSELAGVSPFLDVNRVTQVRITDVVGSIDPRYGTRDSQGHLINDPFSTPFASG GFDLSGVGVIHAAAVPEPAGLAMAFSAASLVGAARLARTPAGRRRA OJF2_RS21990 MTAIRWLSLAALAAAVGCSGGAGRSAGPSAGAEEARSALFAALD AWKKGQPKALSDRDPPVRFVDDDFAAGMRLTGYAIQEPDRPIAPDEDIPVTLSLRDAR GKSIRRVAAYRVSTSPAPTVERSGR OJF2_RS21995 MLEAVGLSKSYNGSVALDALDLRVEPGEVFCLLGANGAGKTTTI NLFLNFVPPTSGVARVNGLDVAAHPLETKRSLAYIPEQVMLYRNLTGVENLAYFAALS GREEYSDAQIRAFLADAGLPDEAADRRVSGYSKGMRQKVGIAVAMAKAARALLLDEPT SGLDPKASNEFSVLLRRLSDAGTAVLMATHDLFRAKESGTRVGIMRHGRLVETLRTGE IGHADLERIYLDHMRD OJF2_RS22000 MLARIMRHDWRCLAADRTARLLVAVLAAFVGYGVYNGSAWVRFQ RGAVAAAMEDQERRLAELRRDAAAIDPKAEPPVSFLDPRTPGVVAGSRGQRFAAAPPG PLAAMDVGQADLYPFCFKMTNRTKQTFITTDEIENPSNLLAGRFDLGFVVVYLFPLLI LGLSYDLLSAEREQGTLAMTLSQPVRLRTVVLGKVLARAALILALAVGMSVLAGLLAG LDLSGPEVPARLGLWALVVVAYGAFWFALALAVNALGRGSATNAVILVASWIALVVVA PALLNVAVTSLRPVPSRVSLILAIRDASAEASARGSNLLARYYEDHPELAPAEAAPVP ADFLTKLQAVQGSVDRTIEPVMARYDDQLARQQDLVDRLRFLSPAVVTQEALNDVSGT SLARQRFFLAQVDEFHRAWQDFFIPRIMRRERFAPADFDAIPEFQFREQPLASVGPRV AEGVIGLAIPALALAALGLLALRRYRITG OJF2_RS22005 MIARIARKEFTEMARDGRFRASAAVVLVLLAASIAMGWAHRREV AAQHREAGRATRRQWLEQGAKNPHSAAHYGMYAFKPKMPLSLIDPGVDAYTGVAVWLE AHKQNDFKYRPAQDATALARFGELSSAAVLQLLVPLLIILLSFPAFAGERDLGTLRQV LSLGVRPRDLALGKALGVATALALLCVPSAVLGVLAIGLASDVYSLPDDLPRLALMAV GYVLYLGTFVGASLAVSAWSGSSRAALLTLLAFWVANGLIVPRLSVDVARRLHPTPSS LEFGQAIDRDIKQGMNAHDPADRRLADLKARVMKEHGVGRLEDLKVDFAGIALQESEE YGYRVFDARYAELWGTYARQDEVQRAAALASPLLAVRSFSMAMAGTDPEQHRDFARAA EAYRRVIIKHMNDDIAEHGKGPGVEYIAGDDVWAKVPDFEYTPPGVSRVLARRWPDLA VLGGWLALSSAAAVAAASRIRVS OJF2_RS22010 MRRRGFTLIELLVVIAIIAVLIALLLPAVQSAREAARRIQCTNN LKQLGIALHGYHDALGRFPYGAIVAQPGNYWYPTGVGGEHYRYSALAMLSPFLEQTAL FGALNFQFPVYMPDGSIAPPNTTIFAAQVGVFLCPSDEPKVVQPGFAPSNYMACAGNG LPGGSGLYENPNGCFFYNSGVGVAGITDGLSQTVAIGESILGQAAGAFAVAAGTPVNP RQFMAQQVAVDINATPPADLTVAECASAAAGSSGYYNAQRGGSWAQGDFRHALYTHYY PPNSKTYDCLRGSDYGWKGPRSYHPGGVNALFCDGSARFVKDSVSVPTWQGLGTRAGG EVLSADSY OJF2_RS39380 MINNASRRAGKRQVVRLRPGVQVLEERALLSTFKVNTTLDTVAV DLKKGKDANGQISLRSAIQAANARGGNNTIILPSGTFTLTRPGADEDASATGDLDIND NLTIKGKGAGSTVIDGNNLDRVIQVLGGKVSISKLTIQHGRAGTGGGLLNSGGRVSLS SVAVANNLAVGNAGAAGAAGANGVNGTAGGNGANGSNAAGGGIFNAAGSLSISGGIIA SNQAIGGDGGAGGRGGDGTGANGGAGLAGQDAKGGAGGNGGAGGQGQGGGIFNAAGAS LSLTGTSIGSNVARGGLGGKGGAGGFGTGGKGGSTVGGGNGGGGGSGTGGNGGAAGNG GEGDGGGLFNLGSASASGKSGSLGGNQAGGGAGGVGGSGGTGTSGLGGNGSAGGNGGP GGIAVAGSGALGGRGGNASGGAVFNGGGGTFLNTSALDLSANAAVGGAGGNGGLGALA LAADGGVGTPNNGVGGDGGTAISFLIGIGGDGGNGGGGNGGALFNASGGTVAFRAQKP SKPPAASAFSGNRAGGGAGGTGGSGASDFGADGGRGSTNGAGGAGGFGAGGLGGGGGA GANGSGGAIFNAGAATFTGITVNITNNLAAGGAGTVAGNGGLGKGGNGANGKVGGDGG NGIGGNGGNGGAGGSGLGGGAFNAATGNLTIAPRLGTKKNSKQSRATDVISGNQATLA AGGAGGSAGTILVGTGGIPNGKAGTAFPGRAGAAGTAGQGVGGGLNLATGGVAVIDDA TPTGNTASTNSNDVSGTFTA OJF2_RS22020 MTESDVNLENCDQEPIRIPGSIQPHGALAAADARTLCITHAAAN LAAFFGIEAGAAIGQPLSILLEDGDFARVREGIAGLAGDASPRSLRTVRPRGGDESFD AIIHRLDGTVILEFEPARGDGGPNSAELYRLTQEAISRLRKASQRADAKQLCAEWVRE IAGFDRVMIYRFDADWNGCVVAEAKRPDLEPFLGLHYPSSDIPAQARELYAQNWLRFI ADRDYRPVPILPPSGPDSPAPLDLGQAVLRSVSPIHLEYLRNMGVAASLSISLLKDER LWGLVACHHGSPRYVPYDVRTACELLGQVMSMLLTDREDQDLARYSERMAAVRAAIGE RLRRMDQVGIALSAIEPTILDLLDSGGAAVVIGGEVTRLGLTPAPEEVLEIADRLAAR GAGPVYQTDSVGTALEVRSIAAVAAGLLAVSVGKGERDWLMWFRPEQVREVDWAGDPS KTVQKGDGGVRLSPRGSFSLWKQLVRGRSQPWSPAEVAAAADLRRDLTEALMERASDL LRQNRDLVRGGVEKEEMLASERAARSEAERASRLKDEFVATLSHELRTPLNAILGWTQ ILRRVADPNAVQQAVEVIERNARAQAAMVEDLLDASRIASGKLRIDVQQLNLVKVVEA AMETIAPAAGAKGVRIDRLFDPLLELKVSGDPTRLQQVFWNLLSNAVKFTPKGGRVQA IVERVDSDVVASISDNGQGIDPEFLPHLFDRFRQEDASTNRYHGGLGLGLSIVRHLVE LHGGTIFARSPGAGGGSTFVVSLPLRAVSAEGRRGGVHPLRSDGPVEADPPDLDGVRV LVVDDEPDARDMLRLVLEDCGAEVGVAGSAEEGVEAYRGGTWQVIVSDIGMPGIDGFE FIRRIRRVETESGLPRCPAVALTAYARADDRRRVLLSGFQIHVPKPVEPAELTTVIAT LVDKV OJF2_RS22025 MGIDESELRDANYAANGIRIHAAEAGPPAGPAVILLHGFPEFWY GWRHQIGPLAAAGFRVIAPDQRGYNTSDKPGRVADYALDVLAADVVGLIEAAGLERAS LVGHDWGGLVAWWVAARHPERVERLAILNAPHPAAYRRVLRSSPKQWLKSWYVAFFQV PKLPEALSRLRGWRAMADGMRASSRPGTFSEADFDRYREAWSRPGAITAMINWYRALV REGPPTPEDARIRVPTRILWGANDRFIERRAAADSLALCDRGELEMVEGATHWLQHEE PELVNRRLIEFLSGDAPPRG OJF2_RS22030 MGRAILTSATAGCVLLVAALGLGGRLAGPAARADDGPGAGKAAR EDAAPARPQEPRGGPHDFDPRRVDRAKLPDSFKYYGPNGLWARWDSTQKLGRDTWIFS TYGNQKFYRILGRFGGSLGLSIDFYRLLDSRRRGERFKEIGLINEPNFQGGTQDEWGF YVDKWLGDPIPEEYPRDPRWYGEPTGIIGLRMFPNPAFTPEMARAWKADPAGSVRRYL EAPGKVEPPRVVGITCALCHIAFDPLNPPKDPASPRWENLAANLGNQYLREGDLFFGK GRVAGGGANPRHPGPDGRPDDPYDTEGLKADSFLYQYGHTQQPGTSETSRFSYDFINN PNTINQIFYIGNRAPFHETTRDGVKLITNHILKDGADSIGLIGALLRVPINIGAEGDY WADHLWNPATGEQQKPFSIREVKLEVPEARRKELRAKYPEIGEAWKENERRAPAVASY LASYTPYHLADIRDDQGRPRHISRDADQLRRGALVFADQCARCHSNKQPFYPLTSEDD RRRFFRELVTLDQFPVGNTFSDDVRYPFNEPGLGINAARAMATNAVDGDIWADFSSTD YKALPALRFLTFENPLNKLDPARYGNSPMVTEFVAPGGGRGYYRTAALNSMWTSAPFL HNNSVGKQPLGPDGELDPRYITVEGRLELFESAMDELLNPEKRPLFIKVTSADSTLTA GMPALKEQVASIVRDLAREKLSEVIRRAVGEVVAAADVPAELKPTLAIALQDLADRLA PELEKAYGKKTLEEVKQKAVESVREKADAFVAEKLGDRPALRELVGKFKPKFEQVLSA EAADLKDLLEPDVVIPKGTPLNLLLNLHSSKFPYALKAIVKLKHDHRALAEALLRLSE CPDLVENRGHTYGSELSSQEKRDLIEYLKTL OJF2_RS22035 MFDGNETRFDYVVVGSGAGGGPVAANLAAAGFKVALVEAGSYHK SLNYAVPGFHGQATQDAAMRWDFFVRHYADRAQQVRDSKYRADYQGQAVDGVLYPRSA TLGGCTAHNAMITVYPHDSDWRGLSEIARADDPSDGSWEPAAMRRYFERIERCGYIAD DDPELRAGRHGDSGYLGTSMADPRLLLGDKGLLKQVIGAFNQTLIDLFPRSPDQALDK LERVLRLAPILKELLDPETLHDPEATVRSLWKLLDPNRDGVGRQEGVYLIPLAVAQGL RNGSRERILQQEMRTPDLLHIRTDAMVTRIVIEGGRAVGVEYLPGGRRYEASPAPGGG RPAGGAPVTLHADREVILAGGTFNTPQVLMLSGIGPRADLERLGIPVVLDRPAVGRYL QDRYEVAVVSKFPKPFPLLDGLTFRPPGPGEEPDPALKQWEESRSGLYATNGAVLAVI RRSDPDLEDPDLFIFGLPATFKGYYPGYADAIAGDHDAFTWAILKGHTENQGGRVTLK SARPEERPEIQFHYFQEGTDKAGADLEAVVQGVRFVREFNDKLGQPCRLVVRRNDRSF GTAELDINSDDQVRQWVKDEAWGHHACGTCRIGPKEDVTESVLDSDFRVKGLDGLRVV DASVFPRIPGLFIVSAIYMVAEKASEVILRDAGRALPDVDWAPLPDSTWQP OJF2_RS22040 MIEEERRFVATPEKGEVSALLVRPEGATHGLVLGHGASTNMRHA NLRAIAERMAEVGIATFRYNFPYMEHGRGRDSQAVCVETVRSAVAAAREAMPGLPMLA GGHSFGGRMTSHAAAESPLDGVVGLVFFAFPLHQPGKPDSKRADHLNAVTVPMLFLSG TRDELADMSLLRPACEALGPRATLHALDTADHGFKVLKRSRATAEDVHAEMARVVRDW APPAPVTAATSNPAGAPSPRPGARGRRRGG OJF2_RS22045 MSGSVVFRAVGPIGETDTNALPVKEIGPAVGYYTQCLGFTLVSK GRTSAVLERDDVTIGLAVNGADPEQASCWFSVSDVDALRRELEARHVEPGAIDEQSYG GRRHRVFFAKEPYGVCFCFTQPL OJF2_RS40705 MTLHRKKSLWLALASTLAPAYGLLAQEPPRPQPAPGPKPAARPA VASEKKDDEGKDAEKKAAEPEKKAAEVSTDPIERIKDEGLNRSQVMATLSYLTDVIGP RLTASPGLKRANEWTCQTLAKWGMSNAHLEAWGPFGKGWTLKRFSAQVIEPQCIPLIA FPKAWSPGTDGPLKAPVVYFNAKSEAEFAKFKGKIKGAIVLTGAPREISSGFEPEASR RTDSQLLELANSDGQRPSRAGAPGGPNANRGPGGPNAPGGPRRGDQAAGPGGPGGPQA RPSSRFGPEMIAQMQLAAKKGKFLADEGAAVLVDCSSQGDGGTLFVAQASIPGVSSFA LPGQPRPAKRASVWDKDAPRIPVQLTMAKEHYNRLVRMIEQGESPTMVVDLSVQFHDE DLMAYNTIAEIPGTDKKDEVVMLGAHIDSWHSATGTTDNGAGCAATMEAMRILKALDL KPRRTIRIGLWSGEEQGLFGSRAYVAQHFGKNYDAMAAMMGTPQPSESAEKDEEKAKS PAGSSDPKGEFDRFSAYYNLDNGTGKIRGVYMEGNEAVRPIFRKFLAPFREMGATTLT LSNTGGTDHQSFDGIGLPGFQFIQDEIHYSTRTHHSNQDVYDQASADDLKQAAVILAA FAYETANLDEKLPRKPARPAPARRTPTASAAGAPGGPAAP OJF2_RS22060 MVRSFCLGVVCATLVLVYLGRGVTTAAPRPAGDPPASAADARGL EQGLPSLPFLSHARTRSVCAENPTGGKGQGGRAVPNRAEVDPPASGRAADELGQGWKV RPFLRVNKGQAATLMDVDGPGMIQHIWMAGPMKWSRAHVLRFYWDGEETPSIEVPAAD FFAVGHGKFAPVNSLAVVTNPQDALNCYWPMPFRRHAKVTFTNDSPTDDVTLLAYQIT YAETPIDAAAGYLHAQFRRARTDRDNPYVILDGVRGQGRYVGTFLAWVQREKGWFGEG EIKFFMDGDRDFPTICGTGTEDYFCGSYGFSRPYTGPYTGTTLPASESAEPPSFWSLY RWHIMDPINFEQDLRVTIQALGWKQGQPLYKKLSDDVASVAFWYQAEPHAPFPKLPPL ADRTATVLPAPAE OJF2_RS22065 MEPATPEVFVGIDVSKARLDVAIGDEPPFAVDNDPAGHAALAGR LAPRRPRRVVMEATGGLEAAAAAALAAAGLPVMVVNPRQARDFAKAMGYLAKTDAIDA KALAHFAAAIKAEPRPLPDEAARGLDALLDRRRQLVGMRTMEENRKATARGRVLRDLE AHLRWLGEHIEEIDRELDERIRSSPAWRERDDLLRGIPGVGPVLSRTLLAGLPELGTI SHRRAAALAGLAPLADDSGRRSGPRRIAGGRGQVRAVLYMAALSARRFNPALRALADR LEAAGKRPKVILVAVARKLLVIANAILKAGKPWDPEIAAKLAQNT OJF2_RS22070 MRRLLAPLTLLYALLGGLAIAGDGPPSSPRPPRPPMPKIDRPVM FDTPEADRILAALQVYPPDNPWNEDISGRPVHPNSRKIIASIGADKPLAFNSDMGFIL VPPDQKRVPVVLGEYPGESDPGPYPIPGNAPVEGWPMNGEPLEKHQRGGEGDRHVLVV DPVNRKLYELYHGRKTARGWAADQSSIFDLASNRLRPDGWTSTDAAGLPIFPAVVRFD ELERGRVEHAMRFTVRRSRRAYVYPATHFASPLRDENLPRMGERFRLRRDFDVSGFSP HTKALLVGLKTYGMIVADNGGDWRISVAPDRRIVGLDDELRRVKGRDFEVIVPSGPRE GSITPPPAAGP OJF2_RS22080 MDQATGGFARTYGVELLFEWEPTLDADELLRAVRERRPSAELMG RPDEATTLGFAHPDLPVRMKDGSIPAQTHLLWTEKPFEFTEIAEEDLGQSWQFPEARE VVGLCRHRLLLTDLMSSPLPPADRLGVFEDVLAGVLRVLPASAIHWRPTGQFIDPDAY LQGYDKGGAARFFAGALNVRFYNVQDAPGDVLMDTLGLGALGLPDLQCHYRGLEPGRV AAMLANTAYYVFERGDVIEDGHTVEGPAPGSRWRCRHEESLLKPSRVVLDIDPGPPHA AGRR OJF2_RS22085 MRTGAILGDRSRAERAMIAAVDVDYREAGAVAACVCFRDRADER AAEEVVLPIARVEPYEPGRFFRRELPCLLAVLGDLDVGPEVVIVDGYAWLGDGGVPGL GAHLFEALGRRVAVVGVAKTRFRGALAAEEVHRGAGHSPLYVTAAGMDLQEAARFVRE MHGPYRIPTLLKRVDQLCRGLAGPIPCPDVPPRMRPRSSPGEVEFDPRGAKIAEHGAS KE OJF2_RS22090 MDRRRFVPSADGLEVRQMLSTATSGLSLFGSSVNTTQNIPVTYA QKQLRIEKLPYNMRALQQNRYLPPALITQIQLGLEQSINQMTKPPSQALTNYNNVLRQ ITFKTSLSSGNAAKLNHAFEAVLRSAHTPEPALTTLVSSVSQLITQVDTASVQPNFLA TNDSAYILQAAIILGQKMPAPKVPNITKATGTQVNTRVFTSPLSNPAFAGSYESGTVM QMVNVKTGEVIGSAVVSRNGQYALHVTTPLAVGKYTLAVQAVDEVGNVSNASRQFGLQ IVTPKHAS OJF2_RS22095 MSRDARALLDRLDRLFGGGSVAGAGEEDLLRRYARGRDEEAFAA IVARHGPMVLGVCRRLLRDERDAEDAFQATFLVLARRAAAIGDASRLGGWLHGVAHRV AVRARAQASRRFVYEQSAEEPVEPAAEDPELGAMERRELAAIVDEELSRLPATLRDPV VLCYLEGLTHDEAAGQLRWPVGTVRSRMARARRVLRARLARRGVVVDEAAIAPGLALA RATVPPDWLAATVRGALEFATRPASAAAAIASARPEALARRTLQAMFITKLSTIGAAG MVTALTLAAAGAMPAFRAQEPPKADGDAPPAVRPDAADPPKPQAGANSPKLGTAEGEI RSLKAEEANLRDRLGTVESRLAELQAARQRSTPKAGEPGAAGPGDEDGGGRPTYAELG SGYLVISPDGSRVATMQTVRNLGRESARSVSPVSLPPIRGVRREVLIPDQAKLRPRAT PEKVASASESGWFLALDLDRDRRVTRILTFNPRFKDGAVSRDIDPPIERSTFRAISES VCILGRTVFGFSETARRWGTVTLPPGVEPTARVSWNRVWGEGDRLFVFSEESAAWEDI YARAMEGERMPGRAPAEAPAGPPPR OJF2_RS22100 MALAVENADLMRRWFEEVWAKRRSEAIDEFVGEDSVLHSETGPM RGPAEFRDRFHAPFLAAFPDLSIEIEGLVASDEEVVVRWKATGCHAGEGLGMPPSNAA CCFRGITWIRPRDGKFAEGWQASNIPEVLRGLGAAND OJF2_RS22105 MNFLHQEFEAGPDDTVEVVLDGQANVMLLDPANFENYRNGRSFR YHGGLARYSPTRLVPPHQGRWHLAVDLGGQGGSVRAGVRVLRGMTATG OJF2_RS22110 MYAPLGELKVYELSEAEFDRLAEGASGQLHLNFALAMLPTALSV LISLLTTTIESNRVYLAFLVAFWALLVQGLISLLRWWLYSRTHRKRIEGSRARMPVRP VIAEQITPASPMLDVEPAGPPAAGDAADSRP OJF2_RS22115 MAIEAMGSVAGPLNRLIGAGSLVGLGDGELLERFLSDRDEEAFA AIVTRHGPMVLRVCRGVLRNASDAEDAFQATFLILVRKARSLRGYADVGGWLHRVAYR VAIQANADAARRRTREREAGAMAEVMASGPAEVDEVTPAVHEELARLPEAIRSALVLC ELRGMPQQEAAAALRTSERTLRRRLSQGRERLKARLARRGLAGGEAVILAARLHEAEI IVPPAWREAAARAAVEMLGATVSGGVASAAMRLAEDVSRTRLALRSAFAMICVAGLVV TAWAAAVSRGGQGRPTVAPAARREEPAAGLPPVPYDGSIEVKGRVVDPDGKAVGGADV RASWGYLDTQPILVARAGADGRFVVNAPWPRAHRATGAALPLTLAASASGFGPGWVRV EVRPGAPGMPELRLVRDGPPIEGRIVNRAGWPVAGATVKVETIWYGANEPFDPVETGD LGPWLRDVRSPEPGRGEVDHLTHGGDPRLQPIELPAACVSPVVTDADGRFRLSGVGRE RVATLSVSGEGVATASIPAMCRDEPDIRIDNHFLLVEPGPVVHGRRFEHVVEPGRSIG GTIRDAGSGRPVVGLPVCVGIMRSKGVDAVFRQVASDDRGHYRFDGLPEARECDLMIR PGEGQPYVSARFKVAPAGPAKGSITFDLSLKRGILVRGRVTDRGTGRPAKGIIYAFAL RENPHLGEYPGFGNEGPNYTEAGEDGRFQVVTLPGPGLITCLSGDGRHVIHHKGATIP ATVPEHNGDFHAMARVKVDPDAPPTVDLQVIGRKTLDVRAVDDRGQPVSGARMWGPGF AEPTPEQDSPTFEAVVPNEESSRRVTILHDGRKLIGSVELKPDEAGPVTVRLVPWGMI TGRIVDQDGRPGGSLSLLCFPDAFDPRQPANSVLARAIDGNFIRRKQGNPRIKADADG RFRVEGIVPGVEYQALLSGEGEIPVFDILQNFEVQAGEVKDLGDLVVQPRRGLLGTTR KAEVR OJF2_RS22120 MRSTRAAMAAETVAIVERGSYRSASGTDIGLADAVRACLDGTRF LTPEHLDAIRREVLARPSDGLDTAIEVVNEATLAGASRLLAEGRGPVAALNFASARNP GGGFLKGSHAQEESLARSSALYASLLRAPEYYDRHRASPSLLYSDAMILSPACPVFRD DAGTLLDAPSSITFITSPAPNAGAIRDPRERARVGEVLRRRSELILALAASQGYRHLV LGAWGCGVFRNDPTEVASAFAAHLLDGPWSGRFERVAFSVLDTSASLATIAAFEDALA RGR OJF2_RS22125 MRRLPGCSLWLGHAGDARDVPALRAAGIEAVVELAIDEAPAALP RDLARGRFPLVDGAGNPPWLLRAAVDMVALSLRANVPTLVCCGAGMSRTPAVAGAAIA MVLGCSPDEALAIAVEGGPADVSPNLWRDLSVLLDGGTGDVPDSGLGP OJF2_RS39385 MRVPFQVRRRPDAGPADAAFFAGRDPAPLLALCAGLGLDPGGRV HGLADGLLLKLGTPAGGPLPGALRLRAIAPDLFLPADAELVPALLDDEAKGLTRDRGL VFLPGGRALAYDPRRPIPLAAMLTAGVLPRRDWQPLPARPALADRIASILVDFPDETP ESALDAGSGGDVGSEGMPQGPASPDAPKAGASGLARLRDMASSLLRGAIGRARGEGSR EGAEATAPDAGLVARQAAALRDLLREFREGDVEKALRRSIPASSPGELRGAGGHGGDR LPDRDLKYRLEDLLGGKGGSGGEAWLGGGDLMAALLAEYRKAAQEATRRGDHRRAAAI YGKLLRDDRAAVQALLRGGLYHDAGVLLLARLDDRRGAARAFASAGEADRAVALYREI GDHEAAGDLLRGIGEEEAALAEYQTAADQLAAHPGGHLAAGSLLLKKAGRADLAMEQF AEGWRRRPVANAVPCAVEAARLLAARGDVPALRSLLDEADAFFAAAPGAAEPGRFYNA VATFGDGEGLGQARGELRDRALLGLAAVLRRRIAAGEKAPPLVSSLLGGSGSWPAAVV SDASHAATAPRRQRRDGPSSVAGAGPRLRVCSGTVTAASGASESGDVVLGTATGEVWA FRPETSEVVAVSSYDLPVAALASDPTGELLVVLRSHPGGRGAISSYARRPDGRYEVLA GTTMEALSSPWLTPVLRTAIESLVGLWDGESFQVLSVGSLTSWGTLHLPAGEAPPPPG LLLDSEGGEDGEFDVLTHDGREWCRVDPWGGSLRPTGLQWRPEPRGDALRSLTPSWIA AADGGLELAGPGEDGSLHWGRLQDGQLIARNASPGPADGGYLAAAVVREGVVAGVSRS RVDWVRCGARAFQSWRATPEPIPAAVAAFPSRPTGELIVVARDGLVVRLPIPG OJF2_RS22135 MMRVDDYLAIPEDYGRWLGGLRWGEGGEVVEYAADDPEIGLTFA LNAEVALFLEGVAARGGFPHFAFVLHFLHLLGFGSRHAAGPGTARVAAHEELARAFRE TGRSLRNAGALCAVACRDIPAVADPPRWDRLQVRLKERAPMGFAILRRYSGEEPPLGP EAFDARARAALREWSADELRHWLRHGTGPIPRVGDAIARALPVPLAEAIAALEDRPRL KGVGGLVAHLDGALALPPRSLTGAELPLGGYNDVANRGLPEHILPGQLALDPDEFLRR FAARELLYYHREEPHAPSARELVLVLDQGVRTWGDVRLVLAAAAVALARQGERRGLAI RLATTGDDAGPTAIEGLGVEALGEVLESSDLSPNPGSALARALHPTGEGPVARDVVLL THPRSLTEADVLAASRAAVGDSRLFAVAADAEGEVGLSEFRGGAPVAIARCRVNLEDA RSPRPEAVPAAASPSRWRGDVEPIGFPFSFGAMARVSDSHFAFDDAGDWLLLASQHGL LHAWRADGSASEMLPRARAEGQVLDKVHAVVGVAGGFLVVGTAGHLSVAAHYDWRSRT CTATQVDVTPGLELGWHYFRQLHSVVGGIGRQPFVAFDLGKLGRREPEGHEAPSSPRE SQAMDLFRQGTAELVVVRNGRIPPPPSRAIELHHDTGEVAARDDRGSWHFHVQLSEGR RELAGGAIVQARWNEQTLALLVGAPDGRRTLYVFSATRPWRLLGEFPCPRDVRDFAMS RDGRRIAWRQGERQIACRVVGDVGPPSLVTPRGRSHPDLKVELGPQFLTVQAGRHAHL VRWDRGPLQLTRTVGDVDTLLARAFVHAPPRAAATGGPARDAEPAGGRRFVASADTPN LKVAVDRFGQVAILDRSGEPACMLLVFRDLIAAWSPDGTRIGPIPMLGGPPTPGGEER IGAAIRAAAAPRRAPR OJF2_RS22140 MMPPETEPIECARLPAASLALLAGLRRVPGVTVTVTTAGERAWV RWDPASTEVLESIRPIPGAELYVRRGGLWYRLGHRLPAFDVPADDAGGMPLHRALVPL PVRPESPGDAAIRAVELALVPDATARPATAMECSLGELAAWIEMAPAARIEPLRAARA GDRVLVLGRPLPPMPGARRFWGRSVLTPLGLRPEPALPEPSLCEALGAAGGEVLILTT EGTEAVPLAAFRPLTRAGIRLAHGGHTP OJF2_RS22145 MVGSGDKDTVGRLGREVIDALKRRFVGRDEVVDLIALAVVAGEH LFLHGPPGTAKSALIRRFATAVQGRYFEYLLTRFSEPNEVFGPIDLVRLREGTVATVT AGMLPEAEFVFLDELFNANSAILNNLLSVLNERVYRRGAEVHRLPVLSVFAASNHLAE DQALRALFDRFLIRCHVEDLRREAMPRLLTAGWELEAAGADASSVTAADLRELGGRVA EVDLSSILDRYADAVLKVRDLGIAMSDRRAVKVLKLVAASAVLCGRSTAGPTDLWVLR YVWDREEQIAPLAGLVAGLLEPHAGEPDAHPLAATPTRVDGEEVARQVDAAEAEAKDG KASLAAVARLRERVADLADRAAWVPDEAARAHLLGRTEQLLRRLG OJF2_RS22150 MAQLHEFLDGLLREGNVVFRSRPGPAEGPSAEVTALLAEAYETH RLDVAGPAIPFDAGVACEAAEVVRQACWAMVNQGDRVEDLAARVAMRRTPGGPSQHLS ADLLLRYLPGVHRRARASGPDDPLVGMLAEVLRRWPLSGVLAGLEEPPLTPTNLGGHP GLLMLYAERYAARGRPAWEPERAGKDYLELVRQAAGRGASPEGGGGDGRQRG OJF2_RS22155 MQIDQARFVHSFVEDLRGEADAVNRPRQVPGYCYSAVAPTPVRA PRLLAWSDELAAMLGLERPAGRGPGADLLAGNLVTPSMRPFAARYGGHQFGSWAGQLG DGRAISLGELEARDGSRWEIQLKGAGPTPYSRHADGRAVLRSSLREFLCSEAMHHLGV PTTRALSLVATGEDVIRDMFYTGDARPEPGAVVARVSPSFVRFGNFEIMAARDEHDNL RALAGHVLRRHYPELGEPSEAAYLALFEEVCRRTAVLMARWMTLGFVHGVMNTDNMSI LGLTIDYGPYGWLEPYEPGWTPNTTDFGSRRYAFGQQPGVALWNLSKLAAALTPLVTG TDGLLAGLETYRSTYLRAYGEGMFRKLGLAPRDPEADEALLDGLHAAMEQSQVDMTLF FRGLSTAAPGLMPAEGSPEPAFRRLVAEASYLAPDAPEHAAMLDWLGTYLGRLRAEAA RPEEIRDAMLAVNPKYVPRNYLAQEAIEAAEAGDLSRLEALMRVLRRPYDEQPEHAAM ARKRPEWARTRPGCATLSCSS OJF2_RS22160 MALRDWFSRRTPLQAALDRGTRPGGDLAAELNRLEDYTVTSRAD AEAICRVLERVKPGDSDGGLWTAFHSLVGLFQDVEGPECPAFDVLAEKGNGLLAGIVN EALDDPSRAEAGADDILFALKILALYGTEEGTDAVLRAARLPLRPDAYMWSVILHAYS PSHPELERVLEALGDPPPADFLAVSLLDCANVALREGAECRHPFDSEAGRRQLRSWLA DGDEEHSSYAVSAAAALPFLDEPGRDELLAAALDHPSADVQLEAAWAAARLEDEDGIR RLSRCCLDVNLADRARRYLEELDRADAIPAEAEDAAFRARAEFAQWLAHPNELGRPPD EVEVVDHRELEWPPERERGPFWLVRYRVKDATGLKPDDVGVGLVGSMTFCLFTYKLEE RPPEDCYAIHCYWEMTCHNLIEEADVADPAEYESLLQRCRIDGLGPARVETVVELSPE LKYPQRLVGLGRATRHDRPGWVVVDGPRSRWYAADEMPAGTPDKLVVMVHVGRELLGF RDEPDRRRYLKEPEPARPPEEIDAAYEALLEKAGREPGQAERLFGSGSVLTSAFNDYA GALSATRSLPRAACVCLAYESILDAARRAESSQGGKAFDVFSPLGGTFDSYVDALIEL GRRDEVPALVETFRPHWDHNLGRARLAAAAFRSGHDAIAEPLLLTLRTTLESWGRDEA VAQLAAIWKRQGRADEAHALFLDALKGLVAEARQASGSDRDDVEEWLREQRSRYLDLF PERGEAELERLGIPPTTRPGTP OJF2_RS22165 MTTAPHPPAFEIRVARVGDAPEISRLLAELRHPTSAESIASRWA AWSAEGNSALAAACPDGTLLGVATLHRTIVLHRPRPVGRITVLVVDERYRGRGIGRAL VAAAESALAAAGCGLLEITSNLRLVEAHAFYEHLGYARSSFRFVKELA OJF2_RS22170 MASSKDPGHAGDAHDLSRFVDAQRGDYEQALAEVRAGRKRSHWM WYIFPQYAGLGFSSMSERYAIRSLDEARAYLAHPVLGPRLLEICEAALGVADRSARDI FGSPDDMKLRSCATLFTAVSQEGSVFERILAKYFEGRPDEKTLGLLGIKPPPE OJF2_RS22175 MRRREMLGALGASPLAAAAATAGQGAAAGDDGPPAGRGVGAGKD PRTGCVEQCERLAKLCLAVSYTLLDDLKAGRGDRDALIRLHRALVDVREFATLAATMV LRDSPFRAPACSSCAYVCDRAAAIAGGAAFEAKGQVVEALEECATSCRDVTHMKQARP OJF2_RS22180 MSWDPEKYLKFRGERTRPSVDLVGRIVAEDPATVIDLGCGPGNS TAVLRGRWPGASITGLDSDPAMLRAALRSDPYMNWMQGDVASWHADGAFDVVYSNAVL QWVPDHAELIPRLFRAVAPGGALAFQIPTRVDSAIYADIDEVANDPRWRAATEAARNA LVDHEPAFYYDLLCTKAERLDVWTTEYQHALDGPEAVLDWMRSTRLRPFLDALPDEAD RRAFEAALLGRIAAAFPRRPDGKVLFPFRRLFVIAYRGRD OJF2_RS22185 MSFDDAESIRLAEDARREKNWKRWGPYLPERQWATVREDYSPDN SCWTSFPYESSIARAYRWGEDGLLGITDRECRLAFAVALWNGADPHLKERLFGLTNPE GNHGEDVKEVYYYLDGTPTHSYLKALYKYPQGRFPYERLREENRARGRGKSEFELVDT GIFDEGRYWDVTVEYAKASPDDVLIRIRAVNRGPEPARLHVLPTLWFRNTWSWGVGYE EGRWSKPALSPVDGGVLAEHETLGRFVLLADVPDARWAFTENETNARVFEGPPNLDVL DGPGGEGRGPCKDAFHRLVVRGDRSAVVEHGGTKAALVREFEAPPGGEAVLRLRLVAE GEANAGASSSSFADFDAVLEDRICEADAFHHLRTPGPLHPEERLIARQAYAGLIWSEQ FYHYIVPDWLEGDAKHPNPPEVRDHRINRDWTHLFSRDVLSVPDKWEYPAFFAWDLAF HMVAMARIDGAFAKQQLQLLLREWYMHPNGQLPAYEYDLSNVNPPVHAWACYQVFRRT GGDDFTFLERCFHKLLLNFTWWVNRQDPEGRGVFSGGFLGMDNLGVFDRSQPLPTDGR LQQADGTAWMGFFCTTMLQIALELAVRDPAYEDVASKFFEHYVFISDAINKTCGSGLW DEEDGFYYDKILRGDGSSLPIKLRAMIGLVPLLAVLVLDEQACGRHLPGFQKRLDWFL ANRGGRMKRVEELETRGEKPRQRLLLSIAGRRRLERSLHRLLDESAFLSPFGVRSLSR EYRDRPYELRIDGRTYSVPYVPGDSATGDFGGNSNWRGPIWMPINVLLIDALREYHRF YGDDVCVECPSGSGRLASLGQVADELCRRLTLLFLPEDGRPRPCHGSLGRFASDPAWK DHHLFYEYFDGDTGRGLGASHQTGWTALIATLIEDRARSHHRDRPEHGHHPHGRAVAP SRSPRPGAAEADLDGSPHRSRGEPNPIPTSRRGDAGG OJF2_RS22190 MRIRTKPRGRRGTIIPFVAIGLVAMMSFCALAIDVGMLAVARTE AQAVADSAALVGARTLNGSAASNNNYSAAGPAAVATAANGTILGKSVQASQVQTTIGK YYYDTIKSKFVAYPIDAGSVNDAGTNWSLASCTVSAAGNTAFSAIMGAGRLSIKATGT AVHRPRDVAIVIDLSGSMRFSSLLGIPYSGDRSTNNPDPAYPKFGHYSSSSAGMYQGQ SVSTVSGYTYYASNTTASNSLSMNRPAIVADFFDGPNSSNPAFTPAGAGDSEGFVSGD RPLRKQGNGSGQAYATNFQDVNNGSTSKSTTLAAAFESTGYDSAALGTGGFKGYTQGP GYWGKTFFLWPPDPRGATTTTTASQHNNGAMDWRQRFFLKSDGVTPVNDNTLLWASDG DWLSPGSSSTYKINYRAILQWIKNTGPNPFPAKLQAGRILYYNKIPDPADTGLNTRMW GQYPVTDPDERFWKEFIDYVLGVCQKGSSSWLTSSASGSNIVSYTGYGDDYNWGTVRI SAKPSGQSMDYRDNPSRPRAHFWFGPMMLVDFLGSYNMTNNFSDGRFAWMPGTAHEAP SYACKLGILGALSDIQNNHPNDQVSLIFYSTPRESSNDAGARFNNVRVPLGRDYSRMK DALFFPPSTLADGGASGLPRMFDAGSIEVPRPGGGTCFAMGLMLAFNQFSSEPTLRTF NPYPAPTGDAGGLGRSGAYKMVILETDGIPNTSATASLVSQSSGGANCSYYRIRYNST NPSSSEYPSVANSGDNSSQVTSQIYDIAAKLCAKDTDPTPGFATSRKPVQIHCIGFGP VFETTSTNRAAALTTLQQIERIGNTQSSTTPNAWLPDYKIVTGSDDAVVQKLRTAVIN IMQSGVQVSLIN OJF2_RS22195 MEVNVGSMVDRRRAGRGVRRRRGAAVVETAIVLPIAIMLILGVF DFSRVIAMRQVLQNAAKDGGRYAVVRAVDATTTDGQVIQYVQGYLAGVQGQLQGFVSS RDIQVFKADPATGANIGSWKDAGFGEYIGVRISGTMKLAVLKQVTLTVQSTQYSEAN OJF2_RS22200 MIARGRGRKRRRGIAAVEAALTFPLLLTILVGLWEVGRLVEVKQ VLVNAAREGGRQASIGTKTAAQIQADVLNYIRLDGLSTQGAGVTVTNLTSPSVVDPSQ AAQLDRIRVTVTIPFDSVKLSLLNRVYAAPTLSASSEWKSMKDLPVDFSAVIPIY OJF2_RS22205 MTATIAAELRDAVKVYRSGLLGRRELRALDGVSFRVHAGEVVGL VGPNRAGKTTAVKTLLSLSRPSGGQAFRFGLPIADRRTLSRVGYVPERPSFPPYLSAA EVLDYYGTLALVPAGERRRRIPALLERTGLADRAGEPVSRFSKGMVQRLGLAQALIND PELLVLDEPDEGLDVSGRELLHEALAEQRGRGRAAILVSHAIRDVEIACDRVIALAEG RLVRRPEPHPAGGPHRGAAGALEPATPATRTGALP OJF2_RS22210 MTGRARARLAAVAWVVRDTFRQAMASRLFWLMLGATGLCVALCL SLGIEGEKPIRVPGEAELFGGDGRPRSGPDPSPGRLTLAFGGFRVLLFRDGEAMARFL ESLFAGWVAGGIGTLLAIVWTAGFLPDFLGRRAAPLAFSKPLPRWGLLLVKELAVLAF VAFQATLFVGGTWLALGLRTGCWAAGYLWSVPLLLLHFAIIHSVSTLLAASTRNAVVS ILGSVGVWSLCAAINYGRHAARTLAETAPGVAAGSAHLNAAIEVAYWLLPKPADLMLL LDRLAGPAGNLPEILDRASYSPALSIFSSLAFVAAFLGLAAWEVERIDY OJF2_RS22215 MPTLQFSCPYTSRVGHCPGANGWTYHHILPVRYYWCAAYIMVKL IRLRNSPQDADLRGEFGIKKKSDFLTHLEDDIPEKTLRKNLVRLHNTPNAVAIQNLNQ ALSADLSQPDNICAAVDPLTGPKFGGFSGMNGSSHRCDDPGSLIEKTKPASFDQDRWD QIQMVGSILNQCIKKIAHQPNGPFDCVISDKQLVCLIASLSSLADNNPANAHPFFASD WKIKDAAGWCFLAGVPPGHQMVREGLCGNVFYLDANGGGGANQLPANPAQGVPLPPCV ARTQDDPQKVQWVRIVPAQGG OJF2_RS22220 MPDRLARLLIALVSGFALGAAPDRDAFLSRNGEGQESALIHDYA NVWAEYVDGVDRYRFVETSRSADTVELLDPGRDIGLRVHDDSAEIRMRGSKAWRAWAR GRWIEKAALPASIRFMPTDWKVRLAYFVPTDREPIEGYERRIRVVMEFVNDAYRADLA AKGHPTAGLPFEADAQGRPIVRLIRGKMPASHYNKAPNFNTTFEHFDRIAEEVPAALA SPGRHLIVLFPETYDPGPAPIEWNGSVGVGSNASTDGGLAIMSAWMLRDEFCARTFED EKKYLRDSTPIRGRAALGTRRMNSPRSEFIEDGWGATVHEIGHALGLPHDNRGPNDLM GQGFRRLKLNYPSTPPRTRPVAFSPENARLLFASRHLFPDVDRTDNFPPTAEARLQAA SSPSASAVVHLKAADDRALRAVVFLDQVRDTVLGGAELKGRSQTLDVKLPLRPAGARP RLLAIVADGGGNLTRVEAATSPR OJF2_RS22225 MSRANWMQISPDGKNALGGLHHFVTSGTNLPSQLIHLVFLRVSQ VNGCAYCIDLHSRDLIKGGMTVDKVLLVPVWHEAEYLFSDQERAALAWAEEVTRVGET HASDEAYAAASAAFDPKDLVDLTITIAAMNAFNRMGISFRQKPAARA OJF2_RS22235 MAVAETPPRIKQAARVETEAAAYARRADRIAIRHGLGRVVAMIE IVSPGNKGSKHAIASFVAKAADFLRNGIHVLVIDPFPPGPRDPSGIAQAIWDELIGDP LPPRPSDQPLTVAAFDAGEPLVANVDTLAVGDSLPEAPLFLAPGWYVNVPLEATYLAS WRVTPRPIRDLLDTPAPRGKPPA OJF2_RS40710 MCMTVAAYTSVTLCCRKLLMNIAVTEGAPSNGSFVQYVNFLDTN NYIPPKGKAWVDYIRLKGNEATHEIHPMNKEDAESLLTFVEMLLRFVYEFPMKTPPAS P OJF2_RS22245 MPYHANVIRIFIASPGDVNDERRVIREEIHEWNVRHSERERTVL LPVGWETHATPEMGERPQAIINRQVLVGCDLLLAVLWTRIGSPTGVAQSGTIEEIQEH LLAKKPARIYFSSRAIPPDLLDYKQRGALDKFKARCRANSVYDTYSTLEEFRSKLNRH LAIDIPNLFPNPWDAPKAQLGETRLPPPTATLSERAIMLLKKAAMTDDGQIMAIQMLD GYFVQVGNENVARACEGREKAEWKAALSQLERNGLIEAIGYDGDAYEVTAEGHRLADQ L OJF2_RS22255 MLGKGEAIAHGDDKSRGRASVGSPSNYQTLVVEAARRILLKYVA TATAILALLVVAGVAGTYFLLMTSVNALIIGRLHDHDETIKNSFDASIRSIELLHETA LKTYAESVRQSTLLVANNSAKADEIGRQITDIENRRAAAQSRLEQLKGDLDKFEKLAG TLDGGIDRIASNKELRQAVAKSVAEKVGNDVTQLQELRHIESDFVRISHIKEEAGGIT TFVKGDQPFEKQINFQSPYSAPPQIVLSVTQSNLGGAYHTPLPNQTTTDENLMRLRPK IAHELGVKDVTTEGFTIISKPFDGSERYTLMVNWVAFPRPAVRK OJF2_RS22260 MSTIERSEPARGRPPQGRMSVEQYEKLIAARVIDADAPVELIEG RIVGKMTKGDAHCGACDLMQQALIAALAGSGWFVRIEKPVAIPGKKSMPEPDFSVVRG TPADYFGGQPRPDRVGLIVEVADTSLRRDRRRARIFVDGGIPECWILDLVHGRLEVIR PGTDPRILAPGDSAELVLDGVVVAQIAVAGLLPR OJF2_RS22265 MQILLLSISGMTWAVFLACWLRPWADERGGTEGESPLSRAFHLF LFWTALALSLLISYGPLGTRWLPDWTALSIAGLVLQWISIRFAVNAREIMGASYSGRI AETDGQRLVEEGPFRVIRHPIYLGILGMFLGTALVSGERHGLLGLTLAAVAFGRKTYL EERGLSKQFGDAFRAYRGRTGLLFPRPWWWRELLGRVIARLLCRVRVVRRQRRDFHP OJF2_RS22270 MQPRGPHFPHATSRRAFLREAGGGFGALALAWLLEQEKARAGTV PGQADGVMEPHFPAKARRVIYLFMHGGPSHLETFDPKPALQRLAGQPLPASFGHVATR RKVARNPLLGTKRTFRKCGGSGIEISDFLPHLHACADDLAVIRSCWADSVNHPQAVYQ MNTGSVLMGKPSLGSWVSYGLGTENQDLPAFVVLPDPAGGIKGGPPAYGAGFLPASHQ GTVMRGGASPILDLRPPEDTSLQEQREMLDLIGRLNAKHRERHGEDGELDARMRSYEL AYRMQSAAPEAVELADESAETKALYGLDDRRTAEFGTRCLLARRLVERGVRFVQLYSG DVNGWDAHDDVEANHAAMCARTDRPVAGLLIDLKRRGLLDSTLVVWGGEFGRMPMSEG GRGRDHNPHGFSCWLAGGGVRGGVVHGATDEVGLRAAVDPVHVHDLHATILHLMGLDH TLLTFPHNGRDERLTDTAGKVVRSILA OJF2_RS22275 MMPVRGPFTGLLLVLVALLGGEASADDPGHWAFTPPRRPPVPVV RSTARVRNPIDAFVVKKLEEAGLEPAPEADRATLVRRLSFDLTGLPPSLELQDRYLND PAPDAYERLVDRLLASPQYGERWAQHWLDLARYADTDGFEFDQARPDAWRYRDWVVNA LNRDMPYDRFLRLQTAGDEVAPGDPDAFIATGFNRCYPDMVDLNDQGLRRQNALNDIT ETTGLVFLGLTIGCARCHDHKSDPISQADFYRLQAFFSPARFRDDYPIASPSARAGHE ARVKAWEEATADAQAAILRLEAPVRAALAPGDPPGLTDEAAAAIRKPEAERSPAEVRL AYEAVTKDGRIKPEVLAAALGPVRVASRRALLAELGRLKAAAPPPLPKARGLDEAGPD APPTFFLRRGEFSAKGDEVHPGFPEALRSAADASPAIVPMPRSTGRRKALAEWLTRAD HPLTGRVLVNRLWQHHFGRGLVATPSDFGTMGEEPSHPELLDWLACELVARGWSLKAM HRLMVTSATYRQSSRASAAALAADPDNTLLWRHGRLRLDGEAIRDALLAVSGRLNPAL GGPPVFPELPDELTKLSNKGAVWPVSDRRLDRDRRSLYVFIRRNLRYPFFEAFDRPDT NASCPRRPTTTIAPQALTLLNSRLANDAAHALAARIASTAGADRDAQVELAYRITVSR EPDADERRTARSFLAHGGTFRHFCLALLNANEFLYVE OJF2_RS39390 MKVKTRHRKGSKWKRRANSSPVAKGRRVKIHGVRNPKKRKHAGG KGL OJF2_RS22280 MASSRRKRYRPVRTPVVLQMEAVECGAAALGIILEYHGLYVPLD VLRSVCGVSRDGSNAYHIKEAARHYGMDVDAFRKSAAGIDTRQPPFMVLWQWNHFLVV EGFARGWVYLNDPACGRRRVSREEFARYYSGIAFTFRPGEDFRPGGRRPSTLPSLAGR LAGSKAALLFVILAGLALVIPSLAAAGYQRIFVDEILIQGRRDWLGPLLLAMGATAAA RLAAVTLQQVYLTRLEVRLALTEAVRFLRHVLRLPIDFFQRRYAGDIVGRVVSTATVA RLISGELATTAVGFLSLALYVAAMLPQDPVLTAVGVGIGGLNLVALRWFSRRRIDQNR VIEQVRGRLAGGIMWAVQVIESVKATGAESDLLVRWTGEQARVLTAEQELGRFDAVFV AIPSLLAALTTVAVLGLGGRRVAAGVLPIGALVAFQTLLASFHQPFRDIARLGSQVQE LRADLDRIEDVRHHDADPLLERREEAAAGGPAASRLPEGVSTARPASPRLSGRLELRD VTFSYFRTAEEPLIRGFSMVVEPGQRVALVGSSGSGKTTIARLVAGLYRPQGGEVLHD GRPIAEIPRQVFVNSVAMVDERIAMFQGTVRENLTLWDDEVASGRLIQAGLGAAIHLD LLQRRGGYDAAVAEGARNFSGGQRQRLEIARALVRDPSLLILDEATSALDPKTELIVD DQLRRRGCTCLIIAHRLSTIRDCDEIIVLSGGRVLQRGTHDSLIEDADGEYARLLAGQ GLPAARPGRVAMHRRAPGRAGAKGIAPRAAAPAGVPAGPLAPSPPATAAGEGPRFLID ELRATGRAETAAANRPLPLDDPESVWWVSSGQVDVFFTVPGPDGGPGRRRHLCRVDEG GSIFAISGVRGRLGGRLIAVGAGDADLIQFHRGDLIRLSFEESLAEQVAILLDDWIFR VGRALGAGGQVLGRHDLAAGVPAELGGGDRYGIRGGVAWIRHLDGHSRFLGQDPLPVT EHRARFPISEHLWLTCDGPCRVVASDTLAMIQSGDPWQGLMDFHRAALDHLAWLQDAE VSRRWGELHAATTGDRTLVGRISAALAAAANEADLLPPVEEDPLLAACRVVGGELGIE VHAPRKATDDDRPGGGTTLEEIARSSGFHARQVVLPRGWTGRGGEPLLASLDDAEGRP QPVALIPGLDRGRGRFAARRYDLYDPATDRREPIRRDQAARLSRTAWTFYRTLPDGPL TLRDLARFARRGVSREVRLVLAMAALGGLLGLAMPVGAGVLVDQVIPEVGISAQGRVR LASLCLLLAAMAVATAIFEVVEGMALLRIEGKVVPAVLPAVWDRLLRLPTRFFAGFSS GDLAVRTLGLGVVLRKVSGAMASALVGGLFSLSNLALLFWYSWRLASICTALIALMAL TILLLLAGQLRQEARIRQVEGSIIGFLFEVVGGIAKLRTAGAENRAFARWSDLYGEQL GLMIKARRFANRLHRFLAVFPMLLAMVVYYGAIRLDPDRLSTGDFLALSIALSNLIAS VLAVAYTALGLLDVPPLYERIRPVLETVPEFPAAAPEPLRLNGAIALSGVTFRYPGQE TAARVLDGVDLQVRPGEFIAIVGASGSGKSTILRLLLGFEAPDRGSVTYDGRDLATLD IREVRRQIGVVLQDAQLMPGDIFSNIVGFAPGLTMDDAWRAARLAGLDAEIRDMPMKM HTVVGEGGSSLSGGQRQRLLIARALVRSPRILMFDEATSALDNLSQAIVTQSIARELR GVTRVVIAHRLTTVVEADRIYVVKQGRIVQSGRYEQLREEPGAFQELISRQVV OJF2_RS40120 MMRTRSARMSFRPSLGGIQLEERVVMSTATAAATAQATVTRREL NQAYRAQLRSAANDLRTYVNTQIAGAYAGGTPSAETLAGLRSQIEGAVNATAFRVSSQ LALLPRATDRLVARVQDQLLGGGRTSLTGRIDSLISSPRTSRSANALTAAVGRAITRT TALETAQTSNFVRTSPIFANAIDTTSGARLPIQNYMAQQVVSQFANTFGALGSGFGNV ANTALINNGAMITDPAVQQTFANQFNAAVGIAANQLGNNLAVFPNVPNLFLSTTTAGA GATGGTGAATGSNPLTGVGNLLFGTTAGGSPTAITTFASALSSAPTTATDFLPAVNTA FNNTFQNIGSTLGSYFGLSTPAGGYTLPTSNFSSVFSPTFANLGNGFNGGSSIGPIGF GTFNASTGTSTGTNALNNYYSSPFGSGFYGATLAQNTTLGFPTSTFLGFGLNSTGTGT GTGIGGTGTGTGIGGTGTGTGTGIGGTGTGTGTGTGTGTGTGGTGTGTGTGTGTGTGT GGTGTGTGTNMGM OJF2_RS22290 MTEPAIAPQSPADAPSDASTVALEQLDTLVRVTTIQAWISLGTL FAVCAAALAFAFVYRVPKKVTGEGILLIKKDRLAQVRALGTGRIDELGVVLGAEVTEG QALGRIIQDDLQDTIREAAERIRALEREDQKLTEFEGDERATQERAIGRLRDAINATV ANSTRALDIARKIVQGSERLRLIRQLSNLDYLKDRQQVYSIQKDVDDGQSRLKELELT QLVAENQRAKLKLQRQLEISKLKTKLTLDQAKFDRTSQIVSHASGRVAQILSARDEYV REGQPVILLSSPKGTRPGLDDVGKPYESIVFVPAGEGKKIDEGNAVEIMPATVKREEH GFLKGKVVAVSELPATRLAMEAALQHPDLVETFLKRYAPGVLLRVHVELERAPAQDAA GVGHDSTPPDRNNPFVWSSSSGSGQHLKTGTLCDAAIVVEEQRLISLVVPWIKKTLGW H OJF2_RS39395 MSTNKPVQEQPDKKKKEDETVHLSADDLRKISGGAGVATGPAPA PPKAPLPPTTH OJF2_RS22295 MQEINEDLLLAALAVLTGALRRDELHAAMAALSARPEGRLEEVF REQGLLDGPRIGALRVLAAGHLERHNGDLLSSLQAWNAETLTHEILTEIEDAAPRTLL AQTLAEALGSTIRAPERPPGDDPEATAALGAGPAAGAGAAAGAGPGAGRARELPRLTQ EQRFQRINLHARGGIGQVWRARDLELQRDVALKEIQPEFAGRADQNDRFLLEAEITGN LEHPGIVPVYSLGSDARGRPFYAMRLIRGESLSEAIRRFHRGRDEPAAGRRRGRSEWG VEFQQLLRRFLEVCDAMEYAHSRGVLHRDLKPANIMLGEYGETLIVDWGLAKVLGRAD VAAGAPPAAGGEPAAGEPAGGDGEATSPPPPPAEGIGTPPADRTMQGTKMGTPSYMSP EQARGDIDKLGKASDVYSLGATLYELLAGRRPLADLKPHEILVRVASGDVPPPRAVLA TIPPALEAVCRKAMAFLPEDRYPSARELALDLEHWIADEPVSAFPEGRTQRLSRWLRR HRTWTYAAAASLVAITLISTAAMIRLDAARNDAEANFEAALDAVNRYLTGVSENELLK KYDTLDVSELRRELLGTALPFYKEFVKKRGQDPRLREQLAGAYYRLGDISRFVSPTSE ALAYYRSSLDLWRALAAAAPEDPARRLRVADCLFAIGEITDAAHSEDAVASLSEAMTI YERASEARPQEPRFRRMVASCLSEIGYRHSLASRPEEAMDALHRAREILEALIAAAPG DDLDYKKALAFVIDRMGFVEYHRGRGDAAMRSYGEFQKVCREIFDGVKEGPKPLELQH MLATSYENIGAIRVDQGLRESALRAYREAESYRLRLVELAGSVREYQAALARNEWSMA HVEHDLGHPAEALALAARSRAILEKLRGDDPDEPSYRRQLARVATLEGVIHDEARRND LALKPFEEALGLQEQIEPGAGDDPELKNEICVSLSNLGETHADMGRPDLAMPHFREAL DRRIALLAARPDVPAYAAQLAGLAIAMGDIERQQADPSSAAATFARARDALDRIPAPA PDEGVLAALRAQLLDREARALAERGEAPEASGRLERAATLAREALRREAPDGAAAGSL RGALSETLRDLAGLPRPGPHGPRADRLRAERADLWKARPPREPLDLAAREAASANCIG YGETPLPPAGEEARRSDRGVAISHLRIAIRRGLPDPDALRSQPDLGPLLRDGPAWPDE EFPDIPAQPFRL OJF2_RS22300 MAESSDRDLLDLIRRRGPMTVPEMSDALGVTGTAVRNRLGRLLG TGLVERKAEHVGRGRPRHRYGVSVEAHRRLGQNYADLAVALWEEMMAGVADRKLRRIL FTRITDRLAEAYRSKLTGDAWEGRLVQLSRVLHDRGVEAEVALDGAGLAAFLRQHSCP YYELAEADRAICSLETKMLEKVLGRALRLSQCRLDGDRSCDFRPKADPPALPEAAAG OJF2_RS22305 MTKELRIEDLRVGIDGKEILRGVNLTIRQGEVHALMGPNGSGKS TLSYALAGHPNYEVLGGSATIDGADLLGMEADERAKAGLFLAFQYPTSIPGVTVANFL RHAVTNVRNPDRKEGEELIPMRDFRKELREQMAELGMDQEFARRYLNEGFSGGEKKRA EILQLAMLRPAFAILDETDSGLDIDAVRIASEGVNRVAAKHSTGILVITHYERILTYI KPQFVHILFGGQIVESGGPELVTHLEKEGYDWVREKYPEAARNEQAMEDSARQQLQGA GQGSA OJF2_RS22310 MSTDLNLQVAGIKDEYKYGFRDSDEHYSFKSGRGLTRSIVEQIS EMKSEPDWMRRFRLEALEAFQQKPMPNWGGQLSELNFDDIHYYMKAADRQGKSWDDVP ADIKNTFDKLGIPEAERKFLAGVGAQYESEVVYHSLREDLQKKGVIFVDTDTAVREHP DLVREYFGTIIPIHDNKFAALNSAVWSGGSFVYIPAGVKVDIPLQAYFRINAQNMGQF ERTLMIVEEGAQVHYVEGCTAPMYSTESLHSAVVEIVVKKGGRCRYTTIQNWANNIYN LVTKRAVAHEDALMEWVDGNLGSRLTMKYPAVYMMGKGARGEILSIAFAGKGQHQDAG AKVVHAAPYTSSRIISKSISKNGGRASYRGLLKVADGAKGSKSNVVCDALILDPLSRS DTYPYIEIDEDDVKIGHEASVSKIGEEQLFYLMSRGLSEAEASTLIVSGFIEPLVKEL PMEYAVEMNKLIQLQMEGSVG OJF2_RS22315 MSSASVSTTIPGGFAEPAFEAFLGRRDEPAWLVARRREAFARFR AFAWPTGRDEEWRRTDIRALKLDQFSPVAGDAAAGAAAPALDRVWEGLAAHYATGIQH VNGEAVRHADAGKLGGAVFLDLGRAVRECPEVLERHLMTTAVKASDDVFAALHGAFWS GGTLLYVPKGVRVEGPLFSVAGMAGAGNVDLGHTLVVLEEGAEATLVRETASAGRGEA QALHVGALEVIQAPGSRFRLVNIQNWDDSTWHISRERAVLGRDASIQWTVGAVGARLA KVNQEVALAGRGADAQVNGVMFTAGRQHLAYFTRQDHIAPNTTSDLLYKGGLKGRSRV VWKGMIRVEKDAQRTDAYQKDDNLILSDAARADSIPGLEIEANDVRCTHGATAGRVDE DMIFYSQARGVPRAEAVRLIVEGFFANVYDRITLEPVRETLRQAVAAKLGIAD OJF2_RS22320 MAESYAHPEALVSADWVQEHLDDPKVRVVESDEDILLYDLGHVP GAVRIDWQGDLQDQIVRDYINPEKFAELCSRSGIAPDTTVVFYGDKSNWWACYAFWAF TLFGHERCKVMNGGRKLWMDQKRPTSTEVPSYPPTKYHVAGDREPQIRAFRDEVLAHL KAKRPLIDVRSPKEFTGEMLHMEDYPQEGSLRGGHIPGAKNVPWSRAVNEDGTFKSAA ELRAIFEQEAGLKPADDVIAYCRIGERSSLTWFVLTYLLGYSHVRNYDGSWTEWGNLV RVPIATGA OJF2_RS22325 MPAALDAIVAELSESDRQERIDLLIDFARNLPPLPDRLAAHKDA GHRVEECQSPVYLFVELVGDRAALYADAPMEAPTVRGFVSLLLEGLNGSTVEEILEVP NDLVARCGLQEVLGMLRVRGLAGVLRRIKAEVTRAAMGQAQPQAAAGPPPGPAAGP OJF2_RS22330 MPGPVKVAARADIPPGGKLLAEVDGRAIAVLRVGDDFYAIDDVC THDGGPLAEGELRGCEIRCPRHGARFDVRDGRALSFPAVEPVPTHRVEIRGDDVLVHV DD OJF2_RS22335 MAADQEALVSALKTVKDPELNVNVVDLGLVYSIQSREDEVDVEM TLTSPACPVGPEMLRSAVTALEKVEGVSKANVRLVMSPPWSPDRMSDDARDELGYF OJF2_RS22340 MARKKTPKVRVHLKASLSRRLREIRQEIFGEHGGPELARRLGLP ARTWYNYETGVTVPAEVLLSFIEQTGANPMYLISGEGPRYRRAESPLADLSPLAMIRR GLEELERSPAEAVFIPRADNLPSEHAPDFAAIPVYPPEAIGRSTPHPSQAEGYVMAYR RWLPNPSRTIGLRIRDDSMSPILPPGSVAAMDASSSDPYQLHGRIVVARAEDVPVVRW LEVSGRHIILRPNSTSREYPLIPRDLDGPLDDVILGQVVWSWSLFRET OJF2_RS22345 MASARKDVRGFPRRVRQTVGQALFDAQAGEKHPDAKPLKGFGGA GVLEIVEDHDGRTYRAVYTVRFAGVVYVLHAFEKKSHKGIKTPPEEMDKVRRRLKEAE DDYARWISQQEPGGQGRG OJF2_RS22350 MPDGSRSKSRGGKAGAERIATVERGSGNVFADLGLPDPDLALAK AELVQRIRGLIEERRLTSAGAAELLGLDQPKLESLIRGGTSRYSMDRLFRFLNALGQR VEIAVRPDSRREGIRSVIVT OJF2_RS22355 MRCRFALAFPLAMLGTLSADAGAAVIPVSIYQGTISTGPYTSDV GGLGSVSLPPWLPTTLVESPQGGGSIDLPITSSLFSPGKAYPDQTYPIVGQFRLTLRL LTPGTTDQFGGPEVVLAGIMSGSLDGPKGGDLAGGWSGGYGGTATFVTFNAVPGLSQD TSQLPAVLQDALKHPDHVHVSVLVTGGFPNYLQASVTFDPTSANEVPEPSVLLTLVAG LGLLIPRPRRAGARPTARSQAAYDPSGLVEEALQPGDNPDEKGAFDVHWLGAQEARQR DEETPRRGPSHRRGHVTMTDLIPSLLESGRTAISTR OJF2_RS22360 MAVTRGSAFRPEQLWQQAREPMFWLDPALRIVWVNRAWEALTGQ SAEAVIGRTCGAHGPDRGGDPIELAASLSPPPEALAGAPAGTTALVPIAGGEPTWRRL EFWPFRDQQGATLGLLGQVRPAEEAASVPESRAHALRVRLMELRERLHRDHGIDSLIG SGPAHDRLMEQVKVAGASDAPVLLVGEPGTGKRLVARVIHGLGQRRHRPLVPIDCEAL PADVLERELFASPRPGAADDGADGPPADGPARLAMPEGSALLLGDILATPRDLQARLA AAIQPGGRARDPLAGAHDGPAEGPEVGRGPRGVRVIATTAGDFEEASRRGLIRPDFSC AVSVLVLRLPPLRERAHDLPVLAQHFLERANRRTGGLASGFTARAMAALRAYDWPGNL RELDRVVAAAERRFGERQEGAPASAADGGPALVDVADLPASIRGHLAGSYLPPAAPRG IQPLDELLTEIERRLIESALSKARQNKSRAAEILGISRPRLYRRIKELNLPDADDADP GVGEKAEGREVKIEK OJF2_RS22365 MKVSLCVAVATGLLAASAMAQEPAAPAQLKSLKDKASYGYGYSM GRNMKTQGIDLDPAILAKGLADGLGGSEALMKDAEIQASIQEFAKQIQAKQAGMAGQA AASNKAEGEKFLAANKKKPGVVTTPSGLQYKVIKQGTGPKPKASDTVTVHYEGKLLDG TVFDSSIKRGEPIAFPLNGVIKGWTEGVQLMPVGSTYQFFIPSELAYGANPRPGGPIG PNAVLTFEVQLLKIGE OJF2_RS22370 MAPAAAGTEEEPAMRHCAATASLWVGLAAIASSFPCPAQEADPS IWGRTIMAQPFDSGPFREVRVPDWLEDVTGCGYTLSGMDSKGRERAAALGVGISELGF VDPFYAYYDSKLLKRRSPHVPLDRIDRDIAEYRRLGVRILGVYPPCLQGEVYELHPDW RRIAEKDGPIPQVDMKKYPHGGMLCLLGPYGDFFIDVLAEILAKFPDVDAFSFDGLHY AGVCYCGNCRAAFRRATGGEIPKADMDDPAFRRYQHWADRRMEGLIVRMQARLKRIKP GVALVTWTTNAGRFGHFLSIPRNMPARMNLLLDAPDQEFWLDETNRGATIVPALANAT IWAMTGHRVAFSEPYLMSHGNPYGKDSFPAHEVLRRMMLALTYGASPSIAVGQPETLR GAVEAGLREVKRRKPWLGRKRPEPWAAIALSDNTRNFYGRDPAKVEERYLAHVLGAFR AAVEEHLPSTIVNDWDLNARDLAKFKVLVLPNTACLDAAQAAGIDAFVRGGGGLVASL DASLFDEFGEPRDGFALGPALGVSYRGLPEFGGGAAAGQARREGLDVNFAKAIGPDYW EKRKDVFDYRQDPSSFLNRGRMEAYVGREPVVFKGPAVRVVPSGDGASAIGTLHPRPG EAPGAADRPIPAVVVRRHGKGKVVYLAAGFDAAYYLYAYPYHRLVLASAIRWAASAPP PVEVEAPMCVHASLMRQVKDGERLVLHLFSDLNTTAFHALPDRDVPLREEAVPIPDIR VTFGPDYRLGRVHLEPEGIDLAVERVAGGSRVVVPRLEVHSMVVGELEPGRSPGP OJF2_RS22375 MAETAKGAALRRLEAVFEIGPAGDLTDGELLGRFASGRDDRAFA AIVDRHGPMVLRVCRGVLGDRHEAEDAAQAAFLVLARRAGSIERRGSAAGWLYRVARR IAVRSRVASARRREVEALAGGARPPSGADGAQDVPAAELHEELDRLPERYRAPLVLCY LEGLTHEQAASRLGCPRRTVETRLARGRARLRETLLRRGVVPSAALVAASLAAIPEAP AAWLEATILAGSAYTKGRSAAAGVASAAALSLAEGALTTMIAKKALVAAAASLVLGGL ALGGGLRAAARGPEPGPGVSPPAPATSAPVPSPTQEITLPRAGSKPPAVASDRKDPSR ELALDDGKMAGKRSIAGGGHAVRFEAPGDDWTLTSVRIHGARYGYPRAPRENFAVFLC DEKFQKIAEFEFPYARFERGDSRWVTLEIKPTKVPRRFVLGVDFDPAQTKGVFVSHDA QSGGTSFVGLPGEEFRPFKQGDWMIRPRVEPGK OJF2_RS22380 MSRSTLLRSVVALLAGACCLAGPASGDPPGPGGRAVLSGKIVDG SGAPVAGARLTLHHLVLANGRWGRFRVARERPATDASGAYRFADLEDGYYMTSVEKEG FARVLRPASIQEGASQAADVVLRPPASPVFHVEDRDGKPVAGARVRELTLRGVNGECK LTQLWMPSLGVSIPPSDERGDLRLPAVPSGDLISATIEHALLAPARTGELKAGPEARA TVRMQPGVPVTLHIPIDTSADRVSTAVVDLRHEPFDDPSTIIQYEVSFDSAGTARLTV APGDYSWFLLQNERAFLTPVYSANHRKKDWLRIEPGRNQDLHVEVRRKVPARGRVVDA ETGKPVRGMAVMGELANGDPQGWADPPGRWSFAGWGESDAEGRYTIDLAPGLARLSFE GEKRIPERDKYEVTVASDGSTVLPDIKVRPLAKVVGIVRNPDGSPAARVLVRPRGLYM KGVQPVLTDDAGRFEFQPEFLPVDAETGERLVFHPVVAMDPYRPLAARGEFRLDRPGP LVLTLEPHEPGWPLSAFPSELSEWERGVVDPARAAKGAAVSLRGQAPPEIDAAAWLNT DGRALTSADLRGKYVLLDFWFIGCGPCHGDFPSVKLIHELYGDRGVRVIGIHNNSSTP DAVREHVARIGLPFAVAVDHADGRTVARFEEHGLPNGYPDYVLLSPEGKVLLDDRTIP HPTLRGYKLEVVRRFVLESQAKGK OJF2_RS22385 MTTTRGPGSGQADGKAEATRRSFLKSTAVATALPAVATTALGAN VFEIRREGSAAGRPAGPNDRVRIATVGMGIIGFIDTRTALKVPGTELVAVADLYEGRR VHAREAFGDHVKAYVDYREVLARPDVDAVLLCVPDHWHARMSIDAMKAGKAVYCEKPM VQKIPEGREVIAAEEQTKSVFQVGSQFASSLVYEKARELIAAGAIGAINSVEARYNRN TPLGAWRYTIPPDASPETVDWDRFLGSAPKRPFDAERFFRWRNFKDYGTAVAGDLFVH LLTGIHKATGSLGPNRVFGTGGLRYWKDGRDVYDFIMGLLEYPESKEHPAFTLALQCN FEDGGGDGTLFRFVGSDGVLRVNFTELTLDRTGIKEPTREAVLKGYNSVVTFSDAMQK RLAETLKVDPPFVAPRTGAASYPEKFRVPAGYDERYDHFVNFFASVREKTPVYEDATF GLRAAAPALLCNESQATGAVKGWDPVAMKVTGRVTVS OJF2_RS22390 MVQHPPYARSPAQVGVIAAITLGSILALAVGAGHLRGSRADERG VRHDRAGDHGGPRHPDGSRPVYRPREASDMALIGPLAESLDAWPPGASLREVADARRR LAPALLSKISPAIDDARARGDRRGLVAWLICRSMILQGEGDPVRAYADLAEARSVAER DLALAEERLCTILYYQGLAAMRRGENENCVECRGESSCILPISAAAVHRKTAGSEAAV RHFTEYLEHFPLDLEVRWLLNIAHMTLGQYPDRLDRRYLVPLDRFRNSEFDIGRFRDV GAQVGVNRFNQAGGAIMEDFDNDGLLDLAVSCYDTAQPLSIYRNRGDGTFEDRSEAAG VTGQLGGLYCVQADYDNDGLMDIFITRGAWFTSPIRPSLLRNKGDGTFEDVTEAAGLM DPANSISASWADYDNDGWLDLFVCCERQPQRLYHNRRDGTFEEVAARAGLHAGSAAPF VGKGSAWIDADDDDDPDLFVNNLEGAPIFFRNNGDGTFADATREMGIAGPMHGFSCWA WDYDNDGRLDLFATSYAHTIADAVKGLMGEPHAVPTSKLLRNLGGGRGFEDRTAEAGL DGVYVCMGSNFADFDNDGFLDFYLGTGNPSLASLVPNRMFRNVAGERFAEITGTSGTG HLQKGHGIACGDWDRDGDVDLFLQAGGAVDGDKYHNVLFQNPGQGNRSVTIKLRGVKS NRAAIGARIKLVTAGAEPLTVHRLISSGSSFGANPLEQTIGLAGADRVATLEVHWPTS KSTQVFHDLPAGGIVEITELSDDIKIAPRKPLPQPPAE OJF2_RS22395 MTAEYIGSILKLVLIDLVLSGDNAVVIGLAAHLLPPRHRRKAML WGCGVAILMRVSLTLVVAQLLLVPGLRLVGGLMLAWIAAKLLQEGADAGEEPDESPTT LWKAIVRIAMADFIMSLDNVLAIAGASDSDPARVMIGLVLSISMLLLLSAVIMEVMAR YRWIAFLGAAVLAWTAADMMAKDFSLMAGGDGHDGTSAFPAWAAWLLRFAVVALCLSV NMWRPRKPATVPDRASPLPVPRASATQQADAARVVAE OJF2_RS22400 MLILPVMLACSLGLAGDPPSPPAEAREWSFFHEDVMGTSLELRV RADGEAAARGAEARVLAEIDRLSRVFSSYDDSSELRRWLAGPSGPVRLSPELRAMLAA CDGWRERSGGAFDVRAEVFSRIWAAAERRGSPPSAAELAAGRATLGRPAWRLDPDAGT AERPADVPISLNAIAKGHIVGRAAAAGLAAGAGAGVHGLVMNVGGDLRAMGEIEAKVG VVAPWNDSEGADPLTVLSVRDRAVATSGRSQRGFRIGGRWYSHILDPRTGEPAREAAA ATVVAPRSDDADALATACCVLPPEDGLRLVAGVPGAECLIVDRDGAAVRSPGFSRYEL AMASQEGEKPAAKEGARPKDGAAAAWNRDHELLIDFEINAPEGSGRRYRRPYVAIWVE DADGTVVRNLTLWVSMGGAGPFQWMPDLKRWYRAEQARKKIEKKDLFFTLARPTRPPG KYKVAWDGKDTQGRPVPRGEYTILIDAVREHGTYQHLQKKVELGDAPFREELKGDVEI KSATIEYRRKDAAKPAR OJF2_RS22405 MATTHSQHVRRQLSLRVVKVARWLHIYASMLGLAAVLFFSATGI TLNHPDWFFGERASSTSAEGTIDLALLHVKTAAPGPARPPSEPSDPAEPAEDPPPPDL SAEVAKLEVVEALRTAHGIGGALVDFKVDEQECSVTFKGPGYSADAFIDRESGKYTLT ESRHGVVAVLNDLHKGRDTGPVWSAVIDVSAVVLVFISLTGLILLFYLKLRRVPGVVV AIAGTLLVLALYYWGVP OJF2_RS41225 MDARPKSNKQKRQELKEKQARRAVRAAEQRESPRAKAAALTARA DWSLRRAKGMHRNLPRFLERLEAETMPSTRAANGLEHLAALYGTVNRAKPNQYPLVPD AAAFRRLVEVCWERTDFLRGQDAGTFGNALLALSAHAGAWVRLPGPWTPKTHNASRQF HSLLRHLLALYDVPTFLNSAWTEGLTPAGVVHQRWFIRVAQGRNLRDAEGLPFPLTKK QAHYYLQAPSDFDAMRAFRWAQLRDMGADERFIRHVVATRLGQSFDHEDFWVTVLRWL VDQPMLDHTQYGPIVDYLHNRRFVANTPNPLGNQPGQPLLVPSQPNLSMKGRDAEGLL RAVADWHRRLGGAGHGPDFSWTPVMLPAFQHEEGEGKSRKVYVITQLTSAHALQGEGR VMGHCVASYAQSCRAGRCSIWSLRMIDAMGLETRLVTLEVDNASRQVVQARRKFNAMP GEKELLLLHRWASAGGPTLSRWATR OJF2_RS22415 MPAPHDATPSVYPCLRYRDAVAAIAWLEEVFGFEERMAMENPDG TIAHAELKLGPGYVMLGSAHKDAEGNIPTPPPGPREAWQSVYIALDDVDGHHERARAA GAVVTAEPYDTDYGSREYSARDPEGYLWTFGTYRPAR OJF2_RS22420 MPILRQIAQLGQPVLREPAREVELPAGEEVRGLCQDMLATLREA NGVGIAAPQVYSPLAIFIVASRPNARYPDAPQMEPEVVINPEIVERSAEMAKDWEGCL SIPGIRGQVPRHRRIVARYRTLDGEHVERAFTDFVARVFQHEDDHLRGIVFLDRLESP RDIITEREFQRLIAK OJF2_RS22425 MPPESSESSESNEPGLPAYAATMAAYHRAFEPELVEIVESLPIR RGQTVLDFACGDGAYAGWLARRVGASGRVIAMDLSPAYLGAARRNLAARPELAARVRL VRADAGRSPLRDGSVDLTWCAQSLYSLPDPVEAIRRMAAATRPGGLVAVFENDEMHHV LFPWPAEAELAFRRAELAGFSAESDRPWKFYVGRRLLRVFREAGLADVALRCFAFTRQ APLDAAAREFFAGFLENLRDRAGLFLEPDLRTLLEGMTTPGSGRFLLDDPDLCVTCVN HVAVGVRP OJF2_RS22430 MALRLVQLSDIHVWRYTWNAKRLFGLRILGITELLMGRARRFPL GRFGEVVDRVRSLAPDHILITGDLTTTALPSEFQEARRLLGPILADKSRVTMIPGNHD RTTRRSYLTRRFEATFGEFMPSANFPWLRWLDAETAILGLDATRWHWHFSPRGYLPDA QLAAARVLTSDPATLPRRLIIACHYPVAAPPLYRVELAFKRLENEPAVRAWLKELGPH LYCCGHVHAPWAFRPPEIPNQLCVNSGSPTMIDPTGLRQPGFLEIVLDGIRVEVRHHA WTGSDWKVVPMTEDVLWPMATPSLTA OJF2_RS22435 MASEVETSRMFVVINVKSGNASPDEVRRAMREGWKGRPEDQWIW QPGEGEDIVEAVRLAAAEGYDTVVAAGGDGTVSAVAGALVGTKAKLAIIPLGTANVLA RELGIPIEVQGASDLVNGPHEVDAIDAMAYRNKHYFTQIGVGLDALMIRDTKTEDKKR LGVLAYVWTAVKKAVGFQPHRFSVSVDGKTSRPKAVQVLLANCGALGTSGLRWGPDIA INDGRIDVLVLRAASLLSHLRVASNFVLGRHRQDPATSYQKAARVVAIQSERPLPVQG DGEVVGETPIEVQVVPRALRVVVPPKAG OJF2_RS22440 MSHPDGIRFLGSNQGEIREVGLLAGDSWSLDIRPDGLARITDPG RFDASAFAPPGTFDFQDPPGLG OJF2_RS22445 MVHRRAFAVVLAFTFPAFAIPARADALTSKAVLRLGSDESANLL SDDRWRTEGPGVRREGDAFVCDGGAATGKRLGVLQRVELNQPEPRPIVAAAWSKAEGV SGSADDDYSLYLDLTFADGTELWGQTADFRVGTHDWQEAQVAVFPEKPIKSVTVNLLL RRHAGRVSFRGATLRSPTLPAGAVLFDGVPVVPKGPAVEGFQVRDVASGGDYVRIGEE APGLKLEARPAIRAGVELQDVTIRDTTGKDRAITLLYALPIPPAGASWLDDPRTTRPV AAGREYVHARPTRAGSGRLSTYPFAAVATGDGKGAAIGLDMAFPALYRAGYHAGTGEL WIAFDLGLTPEKPEAHVRICRFGFDPSWGFRAALDSYYKAFPDAFTRRVKEQGLWMPF AKISAVPGWEDFGFRIKEGNDETAWDDAHGILTFRYTEPLTWWMPMKKDAPRTIPAAV AEAKRLAEQGRREARAFASSVYHDADGNPPALFRDEPWNHGAVWSMNSMPGLSGEHTD FRTKWNPAIKERLYGEKRKGDLDGEYVDSSEGYVTDELDYRRDHFAAADTPLTFAPGS FKPAIFRGLIAFEYVRAIERDVHAMGRLMMANATPDRLCWLAPLLDVMGTETDWNHGG RWRPMDDADMLYRRALCKGKPFCFLQNTDFAKFPPELVERYMKRSLAYGFFPGFFSQN ASEGHYFTRPELYDRDRPLFRKYVPLCRRVAEPGWEPITRARSSDPEVHVERFGGEGG EPAYLTVFNDSRERRTATIRLERTPPASSRDLVRDLPIAWKDGAASLTLDGEDVAVIS LDR OJF2_RS22450 MGAMTMRRLVGRAASAVALCAAIAGCGPAQIGPDRDTFKAVDAL YTAVSLRDAKLVDGCAGRLQELRAAEKLPEAPFRSLVAMIDEAKAGGWERAQERLASF MEAQRR OJF2_RS22455 MCPADRARRAFTLIELLVVIAIIGVLVSLLLPAVQAARASARRI QCVNNLKQLGLGLANYESAHRAFPPAYLGDPKASGAAFGVSYPDPNGNTLSGFAWGAL ILPQLEQAPVHAAFNFNLPCWAPDNTTAARTKLSVFLCPSATGGDDGFAVHRYTNGDS QAPDDGGPFSPEIRFGHSHYVTNAGVNQPWGRSPAYSSDFDVPEPLPNGLSAVINGPF YRNSRTSIAAVADGLSNTVFLGERTSKLCDGTWVGVVPFASVWPKPGWPSDPNSAGDL VGSHSGPDVHDHPQVIIHAPNHPFGHTDEMYSEDGDGSNILMGDGSVRFIKKTIYPWT WVSLCTRNGGEVISADQ OJF2_RS22460 MSDHADRPANRLAGETSPYLLQHAHNPVDWYPWGAEALERAKVE DRPIFLSVGYSACHWCHVMERESFEDPDIAALMNEHFINIKVDREERPDLDQVYMSAV QAMTGHGGWPMSVFLTPDLQPFFGGTYFPPADSRGMPGFPRVLMGVHQAWAERRDQIL SSAAAMTEQLRSMEALAPGRPGGLGFRHVNAAVKKLLGEFDARHGGFGEAPKFPHAMD LRLLLRQHARTGDDRSLHAARLTLEKMARGGIYDHLGGGFARYSTDERWLVPHFEKML YDNALLATTYVEAYQLTREPEFARVAAETLDYVLGRMTDEAGGFYSTEDADSEGVEGK YYVWTLAEVLEVLGPDRGKTFAEVYDVTESGNWERRNILNLPRPASQAARVLGRDEAE LAAALAEDRARLLAVRDRRVPPGKDTKVLTSWNGLMIAAMAVAGRALKAPRFVEAAAR AAGFILDRLRGEGGRLLHTYKDGTAKLNGYLDDYANLIDGLTRLYEVTGEPRWIDAAV ELSGTMIAEFADPEQGGFYYTGRSHEALIARTKDLFDNATPSGNAMAATALLRLAALT GRDDLHDAGRRALDAVQVVIEKVPAASGQSLIALDFDLSPVRELAILVADEARELAEA LEAVYSDAFLPHAVIAPATAARAAALAGRMPLLEGRGPREGKLTTYVCEQFACREPVV GLDALVGAIANLRPDGGRGD OJF2_RS22465 MPDQYIPSDDPPRVLGRAWMGIGPRHQEQWAFTLLLGRPYGSPE DIDWADLLPPPHVTKWLTVDPRRKHLTIEPTAAVPDA OJF2_RS22470 MRWHRLSMSVRRILVGMVCGAVVGVLLKYAAYGGPQGPVEEAKE LATVAGFACTVAVLGGLAGGMLTASGWVVFAGGIVGAVVVGLLGVVATHHLKGLIYSV LGGPLGALFAFVHVVNCRTEKPSDLTKVSPPSAGIWDDELDR OJF2_RS22475 MSRRNFLALAALVVSSGLFCWQATQGAKPKDEMLELYGLFVDAV EKVEANYVKPVSRRELLESAIEGMLQNLDQHSTFINTSESRLFRRQIEGKFGGIGIQV GIDPETNRLRVIAPMVGTPAYEAGILAGDQIMEIDGTSTEGMGPDKAVEVLTGRPGTD VKLTVLHEGTEAAETVAVTRAIIEVPSVLADHRVEGDKWDYFLDKDSKIAYIRISSFV QNTAEDLRKALDEIKEQGAAGLILDLRDNPGGLLTAAVEISDMFLEKGKIVSTEGRNT IPKSYLAQKDSPFEDLPLVVLVNSNSASASEIVSAALQDNGRATIVGSRSYGKGSVQN LLELEDGTSVLKLTVAGYHRPNGNNIHRFRDSKPTDKWGVSPDAGMEVKLTPAEYIQW FIARRERDLNSSAKGRKHAAPDSDKKDEKKADDKKADDKAKPEAAAKPEEKKADPKDR PVVSKARKPGEDAGPFVDKVRDRAVEVLKAKIAKAPQAKAA OJF2_RS22480 MSTAGSPDGEELTFLAIETTCDETGAAVLAGPRPPLRGVPRVLS SVVSSQVGLHRRFGGVVPEIASRAHVQQVLPMIDEAIRRAGVTLDDLGMVAVATRPGL VGALVVGLTAAKALAMALDVPLVAVDHLEGHLYACQLASPDREVYPCVGLVVSGGHTS LYHCRGPLDCELLGGTTDDAAGEAFDKVASLLGLGYPGGPEIERAAKAGNPRAFAFPR SFLHDARPIFSFSGLKTAVLYALRGPNEQLGPVVPTPEMVADLAASFQEAVVDVLVAK TRQVLAMTGLRRLGVGGGVAANGRFREKIAGMAAEQGVELFIPPMALCTDNAAMSGIA LAKLAAGQTAELDVDVAAGLVRPGRKTAP OJF2_RS22485 MNPSRLPRRRGLTLIELLVVISIVALLIALLLPAVQAAREAARR ARCVNNLKQIGLAMHHYHDVVDAFPPGYVSLAPGRQPNDVELGPGWGWGAMILPFLEQ APLHDAINFSRPIADPGSRTARASTLSAYLCPSSVGSGPVRLRDGSGMSLVDDLSPGQ YVASAGQFRVADSPADNNGVFFRNSRVGLRDVLDGSGLTLMAGERSRTVSDATWAGVV PSAMFCTNPPRAYEECRPPYAMVLAHTGPTPPGGHGWAVVPNNHWAGVDNFWSLHPGG CHFAFGDGSVRFLKETIDAGVFSALSTRAGGEVVGADQF OJF2_RS22490 MRWIQASLALVVLHVALAVGAAEASAPGAKRLGPAPDHAGCAAV RREPVAPDAGRESLPSFDAGDPLDGLSPSQLIPAAHPDARPWPPLDGLPPAIPAPIQG RPAPILGRDHGPPAWPATTVGRLAWLQRLLF OJF2_RS22495 MPPLSLTLLLAIAIDGPTISLAGRVVDSAGTPAAGAAVVLAGLP TSDGVPTVARGTTDADGRFSLERPAGLAGEGAWRAVRLWASRPGDRLASVAFPGALPG AGAAVRLALPPRGGTELLVEGPDGRPVAGARVRVGALAPHAAAVPKELASRLEATTGA DGRATLDAVSGEQVGHVEVEAEGLGIQPRFFDPPLAGPKRIELLPAAALEGRFVAEGG RPPRGWTVSATTATNDGPMGSSRPAGRTVSVPLAEDGSFRFPAIAAGMLDLWVVWPEG PDPEALPAWPQGMRVQEGRVNRVEIPVRPAATITGVIRERGTGAPVPGVRIYLFRPGE SSGANATTDAQGRFAYRSLPGRARAGIGDVPPTHVPAPAAFRDDFQVPPPPGRVELPP VELARAAPPLRGFVRDAAGAAVAGADVEATWTLLEQGAMASGAVHARSDAAGRFAAGG LAPGATVALTARKEEGLATRVPVAAREGQDQPVTLTLEPRQVVAIAGRVVAQDGTPLA GAAVRLQTRTRRPGDGSVQDWRPLSFQDGPWIYTGADGSFRTPGEPRAEGVEFQAEAA ADGYLLFQGPWTAAGPADVARLPDLVLRRESGERAVAGRVIDAAGRPIAGAKVLQRGD GPRPTESTTDADGRFRLPGVAGGRALLAAEAPGFRTGGTIAGPEGPVEIRLARADEPV RPPGRAPEPLTRAAERELGRALFMPKFDEARALPEVAGLPSPDAILARLDPDRAVAML EERVLRQPAESLIQVALARFEDDPAAAPATLDADRSGTARARGLIALADLAATIAPDR RAGLLDRAVAEARRLEDPEAKLVLMGEVADRLLESFELDRAAPILREGRQVLKDAKPG LFAYQVAPFGEAMAAIDLPAAEAFLARRAPGGSDDEGVRMRDRGAMAIRAAVSDPAAA GRLARELRHVPNFSESQAVLLRVARNMARRDLPGARAVLDLLDGAATPGATASGSLRP YGLALLADARAAIDPDGARGLLDEAFAGLRAAAEADLTRPTYPPVPSVMAGLLPLVER LQPDRVAERLWLALACRPTRIEPLEASRVSTTLDLAMLLSRYDRQVAAAVYEPAGARL PELAGQEYVGGLFNYGGSGDPLKVLAAYDPRALAALIERLPESARVTGDNGQGWATPS LEIQARLAGAQMLGLPPEARRQAALETPLTTWPAEGNGRPRRWWP OJF2_RS22500 MTLIVASAAAAPPAGDNRADRRPIPDPPRQGTPWTPPETRLPKF LVSATDALFAQGVADPRGCEYRAVQPASPSGRWGGRPGRGHAFVLPAIAGDDRRFAVG WDGILIRLEEVGPEADLEADVRARAEALRKGREAAREDRFQRPGTSFWRTGPAEEGVA AEVVAPVQVCLLLRLGRADLAERLFAAATPWNPDGPRPDLTDYGVSYLTLANEWADAI YVRGVDAHGRGDDGPALDAFRRAAAFRKLAEVKAAAMGFPRGRSNGPRGEDRPTYFPE LGQLDALLADQERRAAEPPRGPIPGRDADPAARVAGLILNLDQIAEHQMSVPGSASPG NSALVRDLIAMGDPAVEPLLHALVRDDRLTRSISYGRGMWEDRRVSPTLEAIIPALDG LMKTRVPGITYEMRYNPDGRARLALAAAYRAFWEANRAVPLVERYYRLLADDAAADRW QEAASGLVAPAPDASGRPRYSPPGRTPSPPLGESIRGRRDPGVTALMTRRAEELARRA NDGQGLLGACGLAATLARWDAPAAIPVLHAMMHRARLQFARDAEANSSSREELARFLA RSTLTRVRAGDRAALDEYAAWIRTTTPASLEDARLEAFEPMWAEPDHPAIAAAARALF ADPKSPWLPLTGPGRDVGPFWRGGLENSPLVRVSAFRGAVLAGLADLAEAGVVRRTTD QPGSLSVEVKGGQPGSFSMATMADLDELPIGRERPVRACDWLAWRLAMLDGTPRFELY WEQPRRDATIAAIAAFLRLYGDRYAATPAAELSGPFENNTRLAFPPLGRPATPADVAA GRALFSLEGVGGEARVVPLPEVPLPALWTTLEDVPLVTQGGPTRARRGFDRSGRVWQA EEVRVGDRWDRYFGFVGAGTLGRAPADRIEFAEAGYFAGPSGRKLDVRVSLADPPESF EASMRPASPLVAIVRLRNRTGLDQSVPTEFLRPGPDGGPSLRAGIVLKLSRSDVAPSV PAVAGAAGRQPEELTPTREARFTPGDAARTLGPAAAFEAFRLDLRDWFDLSRPGQYHL TVTFGDASGVGTSNAGELYFRLGE OJF2_RS22505 MSGRTPRGDRLAFYVTSHGFGHLNRAVAVINKVPAKVPVVIRSH PDLFPHWQERLTREAELSAHVSDSGAINPPGDSTATDGPATLDAAARVYAEAIARLDD DARWLAEHEVAAILCDAPALPLLAAQRAAIPGACLVNFTWADIYEPYAREAGGEALEL VAALRRAYRSATTVFRCEPALAMSWLPRQVNVGIVANPARDRRRELRKLLGLGTREKL VYFYVGRYGQDGLDWERLERCGDRGVHFVGYHGAPVGPLRNLHVIPAHDWRGSDLIFA TDALVAKAGYGTVSEAMAYGRPMIYPPRRGFSEFRALDRSLRAWGGGIPVSSRDFSAF RLEDALDRAFAAGPLQPPYPTDGADRVARELAGQVGIASRAGVRRGGASSA OJF2_RS22510 MSVVTSRPMKVSSEPSGPDRPRPTPEGRDANEEGPGPESGQDRP AASRSSPSARPDLRGYIYLALMVLIGSTTSPFATVVVRELPVSVLPLLRFGFAGLCLI PFLADRGALRRMLRDDPLRIAVVAACCVPINQSFFLNSVRFGPNSHVGLFYAVCPLIV WVLAWAIGEERLDLGRLWSVLASIAGVLVIGLGNAWGADGGTPEQTRSIMTADLLLVG AVISWGSYLTLSKPLITRYGALPVLAGTFLLGCLMELPIALATLPSWLPTLGRVSPSA WTCLALLALFITPVNLALQNLSLRRLDASQVATFSNVAPVLTVVWGVWFFQERLSPAL VVGGALTLFGVYWASRPGPKKRAPGPEPATAAPARASA OJF2_RS22515 MGNLAGKAALVTGGSRGIGAAIARRLAAEGARVAVTYTKGEEAA AAVVKEIEAAGGKAIAIRADGTDAKAVQGAVERTAATFGRLDVLVNNAGTAIPRPFEE SPLEEMRQVIDLNVLGVFVATQAALKHMKDGGRIINIGSCVGERILAPGLAAYAATKG AVKMFTQALAREVGERGITVNNVQPGPIDTDLNPASGEWASSQTPVTALKRYGKVDEV AALVAFVAGPESSYITGANLTVDGGTNA OJF2_RS22520 MARASHREKLLDEGLKVVLEHGFNGASVRDIVRAAGVPQGCFTN HFRSKEAFAQEILDRYFSGVCTSIRDTLRNEALTPTGRLRAWIDAQVRFLEQSEFRGG CLIGNFTLESGGQSEAIRARLREAIEGIRQAVTDCLDAAVAAGELPASTNTSDLAGFL YASWQGAVMQAKVEGQARPLERFKAVLFDRVLR OJF2_RS22525 MSSVPIDLHQKVREILQHVEDLPAEARIPLTHYNRSSTELWNLL LCIEQAIATEQSIVEVPRPPAAIRSHMGQLHGMILVNLVETFERYLKEVAAACVDHIA PYVLDDRFKEFKIQGSALAAHFGTGTLGRSLCESATWLDCRDVSDRFRHLLAGPFEPG KFHLFAKQPAAELERFETLSVIWQLRHTIVHNVGVITQSDAIKLRLLVRGPVPREKIL APSRDDILYLKRFLDETAETSKPADRQTSRRTVDLSACRRRKPIRRARHCRRDLADLR PGARGRRMCRFRSPLTPSLRGGRGGAVVSSGPRPAFTAGPCRTGRP OJF2_RS22530 MSLRHERHYKIAASELASWIESQGTDLWWNVDGDPLLTGQLSLP CPGDELAEELRRIDRPLLVQDRRAAAQGGGEEISARELNDLVTRLGDNLHVRQGAKRP PWADDRLFFLCWEGRADEWMLSEDRETTESIRADAPVAPGTGK OJF2_RS22535 MLNQEQLTRWRLILGKDAQEDMARMSPAGCPLTSEQLEMDEALE AIYAGDSDQELSRDDWEAGKKTGPHSPVKGRSMPKVARWLDQIRNFFPKDVVVLLQQD AIERRGMKELLFEPEILAKVEPSVDLAAAVLELKNLVPEKAKAAARDLVRRVVEELRK RLETRFSQAIRGSIDRSEHSPLRSLPNLDWPRTIRRNLKNYNATVKTIIPEEISFFRR RHRQNEWNVIIAMDQSGSMASSLIYGGIMGAILASMPAVETHVVAFNHQDVVDLTEQC SDPVDLLFGVQLGGAEDYWKATSYCERFMHTPSKTLYILIADLYDTSPNEGRFVKKME FLLESGLRAVTLLAISDQGQPSFNENLAGKLTRLGMPCFGCTPDRLPDLLAAVLKGQD LQQFAENVRLK OJF2_RS22540 MTWQIPTFGVRHLSPMGAWQLRAFLEQARPSLVLVEGLDDATGL LADVTRREAEPPLAILAYTDSQPVRTLVYPFARYSPEYQAIAWAHANDVPVEFFDLPS DIFLGLQEREIGRLERARREARETKEEPPAPVGVPEPRPSLYNRIADLAGERDYDTYW ERHFEHNADPGSYRGSALELGRALRELEEDEPLWRAENLVREAYMRRRVGEAIASGHA PDRIVAVVGAFHAPVLNGELPAMTDRELASLPRRASKLTLMPYSYFRLSSQSGYGAGN HAPAYFELLWQSLEEEAAGVGDLSRRYLSLVARHLRDAGTHRSTAEVIDAVRLAETLS ALKNGLAPTLADLRDAAVTLLGQGEPVAVREALARVDVGTAIGRLPKGVSQTSIQADF DRELARLKLEKYRSAVQQELDLDLRENRRVKAEDAAFLDLNRSSFFHRLRVLGISFAR PAPTRQQSATWAEKWALQWTPESEIQLVEAVLLGETVELATAYKFKSNLEACMSIAEA AAMVRDACQCGLMKSMDLARRRLQQLAATSHDFAALAAADWNLGLVVRYGDVRRFDPT PLLPLLEELFVEAALSLFSAASCDDKAVKPMMVAIDEVNKVGLEFHDRVEEPLWIEQL RRLSDADDRNPSLSGFACAILLERGLIENDALAREVSRRLSPGVPADLGAGWFEGLAR RNRYALLARQALWEQLAGYVESLDEEQFRRALVFLRRAFGPFSPREKRHIAENLGELW GLNADVASEMIEQPLTEAEEESLKDLNEFDFDL OJF2_RS22545 MAKSSTPAAEKATRNGSGSGEVLRAPAEELFAEEIEALIQEDEH DRPAGWKMSPRAVHTYICGGKAGRREITPKYLGHDRLVEIAIATLVTDRALLLIGEPG TAKSWLSEHLAAAINGDSTKVVQGTAGTTEEQIRYTWNYAMLIAQGPSPAALVKSPVY RAMESGTLARFEEITRCASEVQDAMISLLSEKRLSVPELSTELAAKKGFSVIATANTR DRGVNDMSAALKRRFNIVVLPTPKTLEMEIAIVRKRVGELASSLSLTAKVPAAEAIEK VVTIFRELRTGQTLDGKNKLKTPSGVLSTAEAISVLANSMALAGSFGSGEVTAHDLAA GLQGSVVKDEEKDRTTWQEYLTNVVKKRGEDWRPLFKACSEHNE OJF2_RS22550 MSIPVLVQAYDEVRRLAIAGSMVAPGDFRLKKLLPPLEQAGKKA PVFAKLAEAVARLVESKEQSSAAALLDLATMINSILYTQGETGMEGELTPLAAADAGR FETRASARMLKPLLEALASKGSGRFEVIRDAFERGAFRDLRLIAPALAAIDDGYAEIA DLIADKILPLYGRAIFPGLEAGFDPKGRGGHVRRLVLMHRIDPHAARPHVLRAFEEGS QEVRVAAIGCLGDSPDDLPFLMQNAKSRSRDVQAATLKALARSGADEAARTLCEAIRT GALEQAVEPVRESRHPIVAGFLIDEAEAQFRALLEGKEKDAKKLGKQNERMDLLLRCL RGRDDARTEALLLGMFGERERLDGIKGTPGGKDVIERLQAVMAEGPPRVQSALIEAHA TLSPQGLGHALAAADASRPAAEVFDLFSPYLTARVDEKKKDRDPAWRKRETVVAHFLL PYRSRRPAGYQAPDATKIDPRWLDLAVELGRADLIEAIAVPGHAGAREFLTRRFREKF GRRGKEFEMAEVLDAMIRAGHPDATDLVIELIRTFSTKKSPYGYTGVGYWLGDLIREL PRAEAYPKLEAILPTLPEAMIDDLLGYVTDLKRPEATPAESAATT OJF2_RS22555 MISITEDFIEAAAPNAEAARNGRGLVLKKKYLSLNRSEDETLWF GKCQGSGKTPYLCSADFAVPEKPVYRCTCPSRQFPCKHSLGLLYAIADGKPFTTAEVP EEISSKREKVAARVEKKKAEAEKPVAVNKAALAKKIKAQLDGIDLLEKLTLDVVRLGV GNVNAKTARELEEQARQLGNAYLPGAQAALHGFTKLFREAGGEERTGPGREAVYGEAL DQLCRLHALIRKGRAYLQSRLEDPELAPEADTGIAAWLGHAWQLRELKDAGLVEPDVE LVQLAFHSYDDVARKEYVDAGAWMNLKSGRIVLTQTFRPYQAARHIKGEDSFAQVAQV KELCVYPGDMNPRVRWDGMLARPIEARDLATVRGHGRAEFAAAVKDVKSVLKNPLADK YPIVALSFRRIGAIGRAMVVEDRTGDRLVLTDAGLPEEPRSTHLLSLVPPEALEDQTL IVRFRHDLDARRLEVKPLSIVTESDVIRLTL OJF2_RS22560 MNVRTPAVAGRFYEAEPHRLRGDVRRMLDEAAPAGGGGAEALIV PHAGYAYSAPVAASGYAWLMPRASEIRRVILLGTCHTAGVEGLAASGAAAFETPLGAV PVDREAVGRVAGLEGVSVRDDVHARDHALEVQLPFLQVVLDRFAIVPFLVGAASPGEV AGVIDALWDAPGTAVVVSSDLSHYHGYEEARRMDEATARAIEAMDESALGPGSACGRF AIAGLLRAARERGLACRMVDLRSSGDTAGRRDRVVGYGAFVLLDDAEEEG OJF2_RS40125 MRSVHGAGRILFAACLATTLGAGERAGEASEGGPAQSQRTLILA HDMPWFVAKPASPSWGWHWTMNAFDPDRVDAATGRRPIASHFQPLIGPYDSGDPAVLE YHLLLMKLAGIDGVIADWYGLSDLDDYPIIHRNTAALVAAAEKLGLKFAVCYEDRTIT RLVEAGRLAKADRVKHARETLAWLRDHWFRSPAYLRWDGRPVLLSFGEDGLSDREWEE ALPQEIGAPVYLSEHRRRPRAEGGFDWPVPKEGLAAFDRFREASAGWPVRMPAAYVRF KDIYAEAKVRESYGELPDRGGGMLETTLGEALAMKPPFVQVATWNDWGEGTQIEPSAE FGYRDLETIQRLRRERIDRSFAPRGQDLRLVYQLYQLRGLQASRPGMKARLDEVAADL AAGRLARAREALGTLEAASRTPPVEDGPFLRPADEDGAEPTWGIKGGIAIGLWPNRGP RGLIRIYTPYLNQPRLTPMNFIAVEPIVGNARGLSELEPSRFDPGMTGKAMWSADRRD LAVSRRDPRRPARGVISTEDGGKTLHVSIGIEPFDNGARPIIGVTFREGRPHEVTFVV EAVEGSAAIRACILTATMGNYARLRTLGLKRGAVTAASLYTPFRPVFAGFAAHREWPL EDLIVRDDKAFVAAWPDEPDPSHATYDRDVFRGWHYRGDVATQYWSTMATPGLVARVN ARETYWASTAKIPGGVAFENFELEVPFAPVREFRFGIEPGLIREPAGPDPGR OJF2_RS22580 MKRSCRLLAPACLAAAAAILTPVAEPLRGDDSPDIVTRHAVCRR AATPPVLDGKLDDPCWKQAVPIEKFASFWDKTPREGTRVYLAWDDEALYWAGTMTDAE VRAFGNKRNDHLWNGDVLEFFLKPSAERPEYFEFQGNPNAAVFELAFPKPGHGLKDTH DGPALGTAVAATVDGTLDHPGDADRGWTLEGRIPWTAFASAGGRPRPGDTWKFAVCRY DYGPDGTKPVLMSSAPLSQPSFHRHGDYGSLTFEGPRAAGK OJF2_RS22585 MSPSTPAVSRPDFRLPKSHEAFARANRVIPGGVNSPARAFGAVG GEPPFMAKAEGAYLFDIDGHQYIDYIGSWGPMILGHGHPHVREAAARALELGTSFGAP TVREAEIAEAVAAAVPSIEKVRFVSSGTEATMSAIRLARGATGRDKVIKMAGHYHGHV DALLIQAGSAATTLGTPNSPGVTAGAAKDTLLCPFNDADAVAALLEQHRGEVAAVLLE PIAGNMGLVPPGPGYLEALRALTERHGVLLVFDEVMTGFRVAYGGAQALYGVTPDMTT LGKIVGGGLPAAAYGSSAKVMDRVSPAGPVFQAGTLSGNPLAMAAGLATLETLREGHA YERLEALSARLAEGLGRAARDAKVPHVVQRVGSMLTLFFHDGPVRNYDDARRSDTALF ARFFWEMLARGVYLPCSQFEAAFVSAAHTEADIDHTIDAAREALAAAAG OJF2_RS22590 MTPSATWAWIALGVVAIVIALVESAKQFRAILRDADRWADRSKQ EQKQARVPRFHHKDQV OJF2_RS22595 MAKPFDAILKEIFGEAPGPLLEVLLRRPVGPARVQSAELSTVTA EADSVIRVDEPEPWLAHVEFQTSYNASLPLRMLRYNVLVHYRHELPVRSVALLLAREA DGPAMAGLYRRELPGGDPCHDFRYNVVRIWELPAEAILSAAPAVAPLAAIADVTEERL PGVLQAIRRKLEAGAPEGQFKALWTATYILMGLKFSDEIVDRVITGVENMRESSTYQK ILREGRAEGEAIGLRKALKRIGSRKLGIISAADEKLLDGITDEAALEALTDRLDSATN WEDLLRDVPRDSANPSVNL OJF2_RS22600 MAKPFDAILREIFGEAPGPLLEVLLRRPVGPARVQSAELSTVTA EADSVIRVDEPEPWLAHVEFQTSYDPALPLRMLRYNVLAHYRHRLPVRSIALLLCKEA NGPAMTGIYRPAPLGDDASLEFRYNTIRLWEWPAESLLSADPAMAPLAAIADVSADRL PGVLHAIREKLEAETPEDRFRKLWTATYILMGLKFSAEVADHLISGVENMAESSTYLK ILNEGVVKGQIQELKRTIKRLGRIKFGAIEPAHEAAVDRVADLETLERLSERVLFTNG WDELLGELPRDSGDRPEPR OJF2_RS22605 MSKPFDAILKEIFGEAPGPLLEVLLRRPVGPARVQSAELSTVTA EADSVIRVDEPEPWLAHVEFQTSYDASLPLRMLRYNALAHYRHRLPVLSIALLLAREA DGPALSGHYGAAFPGAEPRLEFRYNVVRLWEWSAEEVIAAGPSAAPLATLADVTEQEL PGVLQAISQTMGREIASDKFRKLWTATYILMGLKFPNELVDRLITGVEDMAESSTYLK ILRQGGIQELKRTIKRLGRIKFGAIEPAHEAAVDRVADLETLERLSERVLFANGWDEL LGELPRDSGGPAETR OJF2_RS22610 MTFQPTAGYPLHRPRRLRAHPRLRDLTRENRLGVDDLIYPLFVY HGTNLRREIPSMPGQFQLSLDRFREAIDEVVELRIPAILLFGIPDSKDAFGSAACRDD GIVQEAVSIAKRQAPELLVITDLCFCEYTDHGHCGPLSDRGGRLDVDNDATLPLLARQ AVSHARAGADVIAPSGMMDGMVKAIREGLDAEGFTGTPIMAYSAKFASGYYGPFREAA ESAPAFGDRRSYQMDPANGDEAIREAALDLAEGADIVMVKPALAYLDVARRIKDTFGV PLAAYNVSGEYAMVKAAARNGWIDERRIVLETLTGFKRAGIDMILTYHAPDVARWLRE G OJF2_RS40130 MKKEMLINVLQPEECRIAIVENGVLEELYVERTSHESYTGNIYK GKVVNLEPAIQAAFVDFSVGRNGFLHVSDVEPQYYQGAASAEDEPEPGAAQLPAPGAR PREPRRDREDRRRPRPDAFGEPLPPSLPPPRSEDRPPRDRDRDRDRERPDRSIRGRRD RSEAPRSFGEGLADELGPLPQPPRAPEPPRPEPREPAAERREEVERASWTEAVERGWE YEREREREREAAPEEPAEGRWRSARPADFFDPDLPPSRTQRSRLPQPPAAEAEAPERG RYRPAEPPEAEPPREARDEEEIESFAPREPRRDRGRGRGRPSPPAGRPPRAPSAFDAE DLEAPDERAAAAPIEDEPDAFEPGPRADEPRRRGGRAAIRSRGAEGERDRDRDRERPR EREAAPPEPAPAPPRYARPQPEHEPERVRPAEPAEPPRERARPHARAGEPGYVPRRER LRAADPSPEAAPDAFAWPEAARDDVPDIESREFLGADDEPFGPPEAAADARGEGRDGK RRRRRRGRRRDRDRIDEPGSPAQDEFAAELDDEAAEADFGPSFGRDADEEDVRRAGVR ELDIEEIDEIEEVAGLDVDIDDHEDLLHDDDLADAPRVAEEEIDPELEQEIRKEIEEI EALEREMGLRGPAEARPRREDAAGRGGRAGRGRGASKPPIQEIFRRGDEVLVQVIKES IGTKGPTLSTYISIPGRYLVLMPGLNRVGVSRKIVDEGQRRKLREIMHELNPPKGLGF IVRTAGLERSKRELARDLAYLLRLWKVILRRIKKTRTPGAIYQESDMITRTIRDIFTS EIDTIWIDEPAAFERAQEFLRVVMPRFVNRIKLYEEKVPLFHKYGIEDEIAKIQRRHV PLPEGGSIVIDQTEALVAIDVNSGNFRVEDDAEKTAYEMNLRAAREIARQLRLRDLGG VIVNDFIDMREERHRRGVERALREAIKRDRARTKILRMSAFGLIEMTRQRIRPSLKRS VYEDCPNCTGSGVVKTAESMAIDVMRLLALASHREEIRRINVTVSSSVATYLNNRKRK EIARIEADSNMTIQVGFKENVAAEHLHLDCYDANNTEIRLAPLPPPGHGKRGH OJF2_RS22625 MTTATKLRLRFAKRGDLRLISHRDLLRCLERMLRRARLPVALSQ GFNPRARIVFAMPLGLGIEGRSEIVDLELSRPEDPEEVLGRLRGVSPPGFDWLDVEAL PDRSPAPRPVAAEYHLDVPPERRQDARSALQALLGEASRPVIRRRHDDGREQPIDVRA ALLDAELTEDGTLKASLRVTPEGSARPEELLETLGLRDLLDRGSVLARARIELARSDE TRG OJF2_RS22630 MPPPAPPHPTDGATPAAPPMQVLRGLAVSPGIAIGPVVAASPLG RRLPPRDIPREAIDAERARLDRALRDAGLEAEQAGIEARDRLGPQYADILGAHARMIG DVTLRDGARLRIERDAISAEHAVIEILEGHASRLEQLSDSHLAARAADVRDIEARILG QLQGRRPGGLQDDLTAPSVILARDLSPSEAAGLDPRRVLGFATEAGGRASHTAIVAAA LEIPAVVGLGRFLERARHARTAIIDGDEGLVILDPDEATRGRYRAAAEERSERFQVLS RQAGLPAVTLDGTRVELWGNIEFAAEVDACLSLGAAGVGLYRTEFLFLTAESPPTEEQ QYEAYAAVIRSLQGRPIVIRTLDLGADKLERYRASAHREANPFLGLRSIRLTLRDPEL SRPQLRALLRAAALGDVRIMFPLVSTITEIRRARALLGEAAAGLAAEGVAFRGDPPVG VMVEVPAAALVADHLAKEVDFFSIGTNDLVQYTLAVDRTNEALADLYSPADPAVLRLI ERVVRAAAARGIDVSVCGAMGGDPLYAMLLLGLGLRHLSMPPHQLPEVRRVIRGIRDD DAAALAAEAMAMETAAEVAALLERRLREALPDTPRPGRDAAREADAGGRAANTTSVP OJF2_RS22635 MMSDEPATFRRPVEISNALGLHLRPADKFVKLALRYRSEVWILY NGNQYNGKSILDLTSLAAERGTRLEIEARGPDAEDAIKALEELVVARFYEDEEGEAVP EQPREPAR OJF2_RS22640 MKLSDFVIRDSIIVDMQATTKEAAIREMVQGLNASGYLPDSEVE SVIRAILGREELGSTGIGMGVAVPHTRHATLTRLIGTVALSRRGVDFAALDGDPVNIF FLLVSPQNQPGDHLRALENISRHLKDERFVSFLRQADDKAQVVQVLEDADQNTL OJF2_RS22645 MQIEISTRHGELTAEQHRHLHEKSEKLLKYFGRLMAVEVAANHA KQLWEVEILVSAEHKHDFVASDVGPTPEAAMDLCVHKVENQLRRYKEKVQKHKGDASQ GGVPPRSSDQAEPPGSA OJF2_RS22650 MWEQRYDPLGAWPLSTAAAALPVLLLLGLLASGRVGAARSALAG LVAACLVAWGAFGMPAPMIAQAAGVGVAFAAFRILWLIVSAVFLYDIAVETGEFEVMK ASIASLSGDRRLQAVLIAFCFGAFIEGAAGFGAPVAISAAFLVGLGFRPFQAALLCLI ANTAPVAWGGIGTPLRTLGAVTGLDVEALSATAGRILPPLSLLIPFWLVRTMTGWRET FAVAVPLAVIGGTFAGVQFLWSNFLGFELVDIASSVSSMAAGVLVLRVWKPSRAWRFG HESPGDDGDGAAGVDRDADEAGAAAPAPAPTPRQVARAWMPFLLLTVTVMIWGLPAVK PLGLPAVKDWLDARLSWKPEVPGLHLKVARGEAVTGHARPEPQDLEKAVLEVVPLSST GTAVFLAAVLGGLYLGVSPARLAVLLGRTVRRMVPAILAILCMLALGFVTRYSGMDAV LGLAFTRAGRLLYPVFGTLLGWLGVALTGSDTASNVLFGNLQRITADKLGLSAILMAA ANTTGGVMGKMIDAQSIVVAAAATGEGGREGELLRAVFWHSLALALIVGAIVWAYAHL MPGVVVVPPAPAG OJF2_RS22655 MATATRTRPKTKSVRKSNSRQDHQIETQLPTPPTSRDREPLERA QRPHEAPEAREALQEGPDAGYRSDPSELDTLPSLRGRN OJF2_RS22660 MLIGLFSDTHDQVERARAAVRQFRDAGARAIIHCGDLTTPAVVE ACEGIPGYFVFGNCDYDRAALAAAIGRIGGTCLGDGGLVTLADRRIAVTHGHLDAEVR RLTAERPDYLFYGHTHRREDAMRGPTRWINPGALHRANPWTMATLDLASSRLEMLTIC N OJF2_RS22665 MRLCLAEDIAAAQLEPLTLTRPVHELWLGCSTLGAKIARAFGIG EGPQRRGAVIRGHLKAVQSRRDPHVVLNDPDWLARGPLVVVNSRWVPPARFEAPGLAA PCVGFCEGEPAFAVVAPDDAASTLTSHGMGAWFDRMAERHPGSDVGGEWIRRPWDLVA RNAAHIERDFEVAGRRGVTNRQLATLALVGPSDRLRIHETARIDPYTVFDTTNGPIVV EPNVWVQPFTRVEGPCYIGAETQLFRANIRGAVSIGSNCRIGGEVEASIIQGYSNKYH EGFLGHAYIGEWVNLGAITSNSDLRNDYGEVCVPLQGDPVPTGQAKVGCFVGDHTRTG MGSMLNTGTAIGVMCNVLPAGLLLPKHVPSFTAVLYGRVGPGFSLDQMFETAKIVMSR RGKTFGEAEEQLYRGLYEQTRLERERAFQRSHDRRADFWPVAQAGRM OJF2_RS22670 MSTAFANQALYTPDDLLSMPDAKGFELVRGRLVEKAMGLESAWV SGKLLGRLDRYAETHGTGWFFPSEAGYQCFPHDPRMVRKPDVSFVRKERLPGGVLPTG WSAIPPDLAVEVVSPKDRASELEEKLADYRIAGIPLIWVVYPESRIVMVHRRDGSVAR LLEADSLSGEDVLPDFVCPIREILPPAKSAEMAPPATSAPDGPR OJF2_RS22675 MRTIAGLVGPAWASALGIWLAWAASAPARGGDDIPAIDAAGLPP RSVPAPLPKRPVPGWLRTNLRIGHLPPGLERMPEAFAAAGYNVITINALRKWDVVGPT ANLYPAEEVRQADDYLRRFVALVHGAGAKAVLYIGPVQVPMFSPEFARAHPDWLRINP DGRPDPSPNFANIRSPYAGWMLAQMAYVVKTYEIDGFWLDGYAPDHLHTYDPATRAAF RAASGGAEIPARAGGGFDVLRDPIARRYLAWHEAYFLDLADRMRGAIRAENPEAAIFV NHSANRTWYFPEAYMGEYPLRYCEAVDVSAVELHWDVPGDALYHPFVYAFLASLTHGR GATSWLQPQAHGISGVSPPVEFRLRYFEGPPWGVYPEFVEPTGREDYLRTWAADAKAR DPWWVGSEPVPYVGIVASDQSRTLAAKAALPAYVSHVLGAFRSFLEAHVPVRILTELD LEDADLRGIRVLVLPDTSVLSDRSAEVIRRFVRGGGGLVATYEAGLHDPEYRRRDDFV LGDLLRARHLSSRVVTRRDEALQVDLGDAHPILDDPEILGQQNTSWRNPSGEPPARGP LAVIASATIVEALPDGRVLATFAGDERSPGKRFPAAIASEYGKGRVVYFPVGIDKAMF FYPNTFLRRLIVNACRWAAKQEPPPVEVRGPLLLCATFRRQPEAKRTVVHLLNHASSW GMHSIYQKVAPLPEELRKQYGFPDRSELRGTWPVREEVIPLHDVRVLCRVPGVRRATQ QPEGRDLPLHAIEGGVEVVVPVVEMHSMVVFE OJF2_RS22680 MDRMPGLLARAVACLLLAPFAAGAAEPGTWALKPVARPDMPSGS AAANPIDLFLAADHRAKGLTPAGPADKRTILRRVTFDLTGLPPTIEEQEAFLRDESPD AYQKVVDRLLASEQHGVRYGRHWLDVLRYADMDERMVAAPGIHLWREWVIRAINDDVP YDQFVRAQLTGYRTAERTQMSATGFRSRKEPRPDDLFALGLLARGAVFRDGKGDGELA MAAVETVSSAFMGMTVACAKCHDHMYDPIKQRDYYSMKALFDPLVVRKVTLATPAEMV AAGKAADEADRRRAAIQGPIDELVAPYRKRLHDERVAMLPPDVRAIILKPDRERSAAE RKVADDYFPVLRIDTDKILEIMPEADRKRYRALQAKLDSGGGDPGRRGPSLPAFWTVE ADPVRAAQPSYILTSGDPDRPEKKHPVEPGWPFGPEKPDLSEGRVEAFSDWLTAPENP LLARVAVNRLWQWHFGEGLQKTPSDFGKLGGTPADPALLDWLASEFAARGFRMKAIHR LIVTSDAYRRASEPPAEFAGSNNAADPANAYLWRFPLRRLEAEPIWDAIWAAAGGLDP AVGGPSFDPAGGRGGMGGGRRGGPAASPGTSRRAAFMVRGYSTSRDVVPVFLQAFDVD DGRVPCPVRTRTITPPQALFLMNGEAIERATERFAKRIEDESGGDLAAAVDLAYRLAV ARPPSPTERERSLAYLDRDPARLKGLAWLLLNLDEFLFVR OJF2_RS22685 MPADPIYPCGRVSRRDFLRRAGGGFLGVALGGMWAEAGDIDADI RGPHFEPKAKSVIFLFMCGGVSHIDTFDPKDNKWAGKLIDAVGFGDNSAEMRRPVIYC ERKFTRYGESGIPVSDWFPHVGSMADEIAVVRSMWCHEGNHFPAVIETCTGHRGRPFD HPTFGSWVSYALGSANKNLPTFVNIGRPSSPVQLTGGYLGASVSATPFQAGESPIPNL RPPRGTSGPDRDRRMEALEDLNREFRDRYALESDIAARTKAYELAARMQLSAPEAVDV SNEPRHVLDLYGIGDPATEDFGRQLLLARRLAERGVRFIQVCHAGGGNGAWDAHGDIR THAPLCRATDRPIAGLIRDLKARGLLDETLVVWSSEFGRSPWSQNTTGRDHNPRGYSC WLAGGGIKGGTVHGATDDVGYKAVENRHYYSDLHATILQQLGLDTARMELPVLGRTMR IVEEGEPIAEILA OJF2_RS22695 MLSRKVIWFACSALMLMSAPARAGVVFTLSSPSDLSALTVGQEV EIDLSISGLPSPNLTNFIFNVNTRILFDSSLFQAIPDPNSTSGLTAVVAPGSVFDNNV QGPLQVANFNAQSSLTAGAAVGNFSESPNVNSGAIGLNGLYYSFLLRAIAPGSGTIAF DPTPGANQYAANETGFNFAPLNTSGNLSFTISGAAVPEPSSIGMLAVGVAALGCRRLA RRRVAD OJF2_RS39400 MPTPCKELGPARSRSRRHGSAPAAVLTGIVFGAYPAGCAALPGP AEAMRAE OJF2_RS22700 MAKRSADVTEAELAVLQVLWEDGPATVRRLVERLYPAGGPSASP TVLKLVERLEAKGCVARDRGGPVQTVRATVGRSELISRRLKGLADELGNGTLASLLSQ LVKDEGLGPADRKALRDLVDGWDERGPGRKG OJF2_RS22705 MEGFLQAVMGNAVAASILALAAAAAGRVDRRPQVAHWLWVLVLL KLLTPPLFVVPLSWPEGERRPAASGAARPDAKPGEGARPEDQAQGASPAPAPASVTMG VGPRAVPAVPASEDVPRRIVAGVPRGLEWYAAIACLGVAATIWLRIGSRCLRFGRLID ACEAAGPDVHAAIAALARGMGIRRPPVVRLCPAAISPSLWGVVTMRLVVPAALWEGLE PERRELLLLHELAHLKRRDHWVRVLEVLAIGLYWWHPAAWWARRAVREAEEYCCDDVV LRMASGRGKAYAHTLLDAIDSLAEAPRLGPQEAIGFVEESQLRRRLVRILGGSGTFRL SRGGSMALAGITAAALGSGPHLPPSPRCYRALDLGSLGGRRTEGIKFGASGEVVGTSE TGEVDPRMESMPIVHGFRTSPGQPIDPRTDDLAPRMLAQGPRWVTARPNGINARGQIA LSFEEPVHAPHSHYHGFLLDGERLVKLAWTEAQDEQPDAIGINDRGQIVGMGGRLRPS PQPWSQPSPGMERFAFRAPSGRAIDPARDDLGHLGGRDLSRDVLQTMPWAINASGQVV GNSLAPDGWPHAFRTGPDRPIDPETDDLGTLGGNASDARGINDAGQVVGSASIGGVET HAFRTGPNRPIDPATDDLGTLGGYSSAALAINNRGDVVGTSFAEDWKPRAFVYSSGTM IDLNRRTSLEVGWVLTEARDINDRGQILAIAEDGRHDDPTVLPRLRTYVLTPVPDPVP MGVVGLGMVLTASGIGLSRIKK OJF2_RS22710 MPSLPKSRSRARLAFRPAVSPLEARPLLSTLVVRSLADAGAGSL RAELAASADGDTIRFAPGLSGTIHLTSGPLTVTTGVSIVGPGADRLSIDAGGSGGDLL VESPLGDPMPPPIMVGLSGLTLTGGGNYAPALTNFGATMTLSRVAVAGNSAGGIANYG AMSIVGSTIAGNTAGAGSSLGLGAGIFNSGGLGGGTLNISGSTFTGNVVQGSTAVGGA ILNTSGGILDVSGSTFRDNHAIASYLASGGAIHADNTDFINPITVATITNCSFLDNTA ENAPGTVGLTQGGAVNADGTLTVSNSDFAGNSALGGSAAFGTQVVGGQAFGGAIHTDG GPASITGTSFTGNAAVGGNGGGWGIGGAISSEGAAGLTLSSSSFVGNSARGGAAAGAA PGLGGWGLGGALEILFTPATVTNAQFLGNRAVGGSGVGSGTTAGPAYGGAIEDNGATV TISGGLFLGNAATGGSGASGATGANGQGGAIHSDGGATLVLTGSVLSGNLARGGSGGG SGYGGGVYSGDSATTLTDVVITLNVAAGGAGGGQGIGGGLYIAGGTTTLKGKTRVVGN LATTSNGNIYGSFSS OJF2_RS22715 MISDAKLAANRRNAQRSTGPRTAEGKAASRLNGMRHGRRSKLLP MPALPQEDPRELALFVDRFVRDGDPADSMERSLLEHAARLTRAIERSDRAESAYLADA VRKSAVDRADREGATEERSRRVTRLAAELFHPLSPHEYRDADWRDDPAAALAGLEETA EGRRWLLEQWRSIRAYLVAGGDMPVGDFYRFIRLHGRRVTDLSWDLDLNAVMAAVEVA WPWCGRAVYKRFLAELHSEDWRLFEQQRQWRTFAPLPATPEEAMAVLLRDAESQMARL AALLCEDAEGPDPDAVAFAAELELGGHRRAAAARTRELMQVLDQLRKLRKDRGAGPRG QSGEPSPADEPVGAASVRRSGEHSPADALPDEDGSDEPGLTRSPHGGGSHGEAKIEAE AEAEAEPEPEPAAEADLWERAPIVIIEDEPEPPVPEDDGEGVQEPEGEPPAPGTFEAF ERWFLEAKAARVPDDRSHGETLAQAEKRKFAEMLSIALDTPMGRAPDYGKYERRRAKQ KQKEKERQEQREAQPPPMQDGS OJF2_RS22720 MKRALRSLALGLAWVSIWPTYLVLLAQAARLGPWPRNLGILGST VLHGLALGALIHAVVSWLTRRDGWAERFLDVPPSVCRQVNRAGKFLSAAAAACLIPAY LLTTGEIAPDGRPVAAPAFARLFVLAFELAVWGAAFALLRRGSPLMRWCDLDCRDGEA EAAAGLEGPPDAAAAAPPPAGRAAGPLVAWISRRRALVAWTILASIAGILALDARGYR FTARRLASGATESLLLFVACWATHRGLGRILARHARGALRPRRGRAWASVLTTAARFR PAGRVRVAEGAAPGDEVEGEADDAEELAGRLRQLAGLAVGASFAFGLAWVWELDIALL RFLAGQRLWSVAGDPVTLGDLVRSAVIMSLGGLAWRHMGPLLSVTMLPRIQDDPGVRY AIVTLCRYAALGVATIAALGAIHVGTAQIGMVLAALGVGLGFGLQEIVSNFVCGIILL LERPIRIGDVVTVGGTNGKVDRINIRATTIINGDNQSMIVPNREFITGNLVNWTHKDK ILRVSVRVGVAYGTDPERVVELLLAIARDDPEALRYPVPSALLEELGDSALKFVLHAY VPDPSAAGRVKHRLGSEIHDRFAAAGIAIPYPTQELHLARIPDGLARLLGQPDRPPTE DLSTSPAPRRDPAATTPPPSHLADRPARPAAATGDGPLDETADAGRRPPG OJF2_RS22725 MAACGLGLALLCGTPAASPGRADEPRDGARATRPATVAAEAFPL EPAPGQPPPPPPAQADLPPLPPTGQLSRPQPRPPAQAAPPGPSKRASRPRGDRPGATQ AGPVEAQPRPEASDAPLDIAALRAETRERIKAIDAPPAAEGHAAEAASPPPVPRDLLL ERQARLDEHEKAEATLRELTHPEASPEQLAELARDELRRAREKAAAEPALPAAFRDAA AGTTDAARAEMSRAIEAAKAELKDAQAGLESARVEASKAGPAQQALRGERDSLFQQVA ALRAAAQDRSSAVASAASPDARRLARERLTNDRLKAAVAEVRLKIAEARLDRERKLAE VRELNLHVQEARAAASRRVVDRMQARYRTLAESQQRDLKREAETQEARAHQSGDLLDR YRAGRLSELLELEARVVRNEQALADGTKPDFDEQKALADRALDDFEEIKRLLDDHNVS RLDALRLTNDFRRIGPERERLLRNELAQIEAQLQFYENGLSNVELELIEDAEADQAER DALLERLEPSRHPSAREAFAALDLRRRDLLLRRRVALTGLVGRASQTLEQVLRRRRVL EDEYGFIRTHIFWVRDQEPVGPTVVSQAGREVRRLSAGLLHLAEEAGDRKLWGTPSTE FLCATVAALILPLGLFRLRRLLRRRVAHALPPSHLHGDGHGHAAGPAAPAATTIRPQG PGYFTASTTDAGR OJF2_RS22730 MMSLALMAGLAGSHRRVQHFRTRACPTATELVGQVTGLPGRHLD SWLMPEPVCRALFAGGSRGAQMSIVEGTLDPALAGPACGSSDLPGSLEEVARMLDLPT VAVVAAPDRQAGVLHIPRLPDGIDGVLIDRLADPEDLPRLRRMIRLATGVPVLGALEV LPSVRRLLEGPYRPDYLPESAVEALARNFLRHSSLEAIRDVADGRGPLEAADLLCACG LADCCRCFRVAYAQDEAFGRYFPDTFEALEALGAELVEFSPLRDEALPEGVDLVMIGC GIPDEHAERLSSNLSMMAALRQHVCRGHRIYTEGGGTAYLGRWLVVGGRPYRGAAIFP FTAERTAETTAPTPVSRMLTRDCWLGTAGTTFRGYRSGRWRLTPSHEPLECPGSLGTL CEDGDIYYHHHAVGGLIHLHLGSLPQVVAAFANPHRPSLRRPSVRG OJF2_RS22735 MIGPRPRRPRRRTDRPTRGGRAPRPGWSALLLVAAGLSLDAATS SDARARGPAGPDPTRLGWEASGMWESRASSLLVDYYEAFLRDRNIDDFRDRVMARYAE ATLGRVLLTSPNILARRGAVLALGIFGHFEASNEPLGRALADADSVVRNMAESALWAV WFRADSEENGRVLERVRRLLNDHNAEQAADLASKLIARAPRLAEAYNQRAIARFLQGR FADSAEDCSRTLQLNPYHFGALSGLAQCQIQLGEPRQALQTFRRALKVQPHSASIRDA IQVLEAQIGTDEPR OJF2_RS22740 MATSPPPGRPALNVPLLRRLRAAAGGHVPLAELGRDRARVAEDL EALGRFGFQIEDHPYLGVAYRGPSPRLCPDQIEHELPARRIGRRIAVWNRVTSTNDVA ARAADSPANDGLVVLAEEQTAGRGQRGRSWTAPAGSSILMSALLFPPPRLTSGPDGSG GPAGNAWLTALAAVATAELVAACTGRPARIKWPNDVRVDGRKVAGILVERALPPAGPG GGPSPARPGGVVIGVGLNVSLPAGALPEELRPRVASLDELGAGPDPDRSELARELILG LDRWYDAVSSAGPAGLAAAWSALSEHLGRAVRVSTPDGDVAGRLLGLSLERGLLLEHA GPAGESAVRPVPLACVLGLSDPA OJF2_RS22745 MAEQDQGRPAFGPAEARDAEALIGLALREDLGEAGDITSAATIP EDAAGTARFVARAPGVLAGMPVAALLAGRFRLGEGWRPRMADGQRLRPGDLIAEVSGP VRSILAFERTALNFLQRLGGVATLTARFVDAVAGTRARVLDTRKTTPGWRALEKYAVR CGGGENHRMGLHDAILIKDNHLAWLGARADRPGGAIAAAVARARAFRPAPAFVEVEVD SLEQLDEALRSRPDIILVDNLGAGALAEAVRRRDAAAPGVELEASGGINLETIRALAE SGVDRISVGALTHSAPALDVALDLDMPGGR OJF2_RS22750 MSGLLGVRASARAALGKVGPGVLPRLVLVGLATLAGGCDSDSFV PPRPPELGGADVPTAPGVSEAARPLIVVEARPISEPESEAIRGIARSQAGLEGVRVEV VAAGASAASAAALVDEASGRKPLAILLDVAEPPAADLARAIASARGKGTPVILIGLPA GAREKAAGDATPPAGAAPLVLVAPEPFETVSAQLVEATLKAARNAGYKPEAGAILLVD PTIDALSAARAQAFRDALGKAGVSRVEEVRFARELADAQPKLDAALKAHPEIQLVLAP DDRGTAAALAALKDQKDRGLYVLAGYAASDSVASMARAGDAAGIAVYSEERLLRKAIG VAVATARGQAPARAELIIPVHLAGPKAGEPRAFRAYTEPAKAGSSR OJF2_RS22755 MPAYQLVSPYRPAGDQPQAIEKLVEGLRQGRDNQTLLGVTGSGK TFTMANVIAQYGKPALVMSHNKTLAAQLYAEFREFFPHNAVRYFVSYYDYYQPEAYIP QRDIYIEKDASINEEIERLRLASTSALVSREDVIVVASVSCIYGLGSPDDYRKMMVRL TRGDIVDRDELLLKFIDIQYDRNDVSFERGKFRVRGDVVELWPAYEEIGYRIELFGDE VERLATIDALTGNVLETHEEMYIYPAKHFVLPEERIQSSVEAIGKELDERLQQLKEQG KLLEAQRLEARTRYDMEMLLEVGYCSGIENYSRHLSGRKPGETPSTLLDFFPKDSLLI LDESHVTVPQVRGMFAGDHSRKLTLVEHGFRLPSALDNRPLRIDEWETKFHRRLFVSA TPADYEIAMSGGEVVEQVIRPTGLVDPIVRVEPARGQVPALLAEARARAERGERVLIT TLTKRLAEDLTRYLKEQGLRCKWLHSELDAIERVTILRELREGAFDALVGVNLLREGL DLPEVSMVCILDADKEGFLRSETSLIQTIGRSARHVNAEVVLYADKVTPSMQRAIDET KRRRELQLEYNAKHGITPETIRKAIRRGIEEEIQARQVVRKAVGRDEVTEANEEFLAA LEAEMLEAAEKLEFERAAALRDRIQQLRGGGDGKGRPSASPQGQSARGKAKAKARAGR RARN OJF2_RS22760 MTSLPFQRDQLAMIYYAFKNGRGIYTESDIFEAASREAAQLRAA GSSSDESPEAVQVPFKEIGIGLPLTISIREVYTGEHPAVPWWMGARKDMLVTSAVKSV ATYAAKPMALNFLTRGVSPKTRMRSSDAASVGTPILFYSPALTERSLTLDLSMVFDAF PEELFQGMSNAFNATAGVPIFLTSSAYLIAAGSIVKIAGRIGESLFDGKPAFEASEPI HIDWPGEVPAPPGFALITPRDIDRQSPGFRRKHSIKDGTLVDHQGRQYAGEIPYIIIS LDGKSDDALAGFTPTAASAAILSRFFGLRDGQSRPLDTLVDALKVYNDLHFRQEVDRI DEELGQIRGSSDADKKRREELQGRRRTFLKNISSKELRPPDAPAAGDPPAPTSGGTTP SQ OJF2_RS22765 MPTHLNKIDPYLPDTFQEIQNQGADDLDIESVTDKGVVALPVVI RLAGQDEWVPPAGFEEYARVGNVASGRCTLPGLQQLNLDPRVVSVEASRHAGVEECHV SIPFLRVNQVHSAPISEEGDRALVAVIDSGIDVEHQCFLDASGVSRIVEIWDQRDPAG PAPAAIYPSLKLNYGTVHTAASIRGYATGAAALPAWLGPPVGGGADRRRHGTHVASIA AGRAAGAFAGGVAPRAGILVVIPRMTSRASIGYSSSHVEALAYIKEAASKRKLPVVVN VSLGKNAGAHDGTSPLELAFDEFSGGGRLPGLVVVKSAGNERGRNGHARLSLGSMSRD ELTWDASNVARNEDVLEIWFKACDELRFRLHDPAGSPPTAWVDWSAPGTNGTFANSSN TYSLNYSRYHVDNGDSQLLVVIRRGVAPSIQPGGWKLEVESGTVYSAGQLDAWVERDD SRAIAFTSHLNEEMTLSIPGSARCVIAVGAVNSVLPSTNTRSSSYGRTRDLREKPDVV APGEAIMAAEAGNPTGAIAMTGTSMAAPHVAGMVALLLSRVSKKPGSPTLPNAAQVRA ALTQLTQHYSGQFTVSRGYGLPDAEKFVKAFD OJF2_RS39405 MSRVACSSVPPVVRRVSNLVRILSATGLAIWLVAGAPAFAKGGG GHGGGGHGGGGHGGGHGGGHAGGGHAGGVHHGGGGYYHHPGHGGYYGGYGGFYYPGLL WGGYGAGYGYSGYPYAASYGYSSAYPTYAAAAAYPYAAATQPAAPSTYATMPQGPYLG IDEVPVVDARGQGMRVERVYPGSAAERAGLQPGDVIHAANGYLTQVQGNLAWIIAQQA PGGLLNLDVRRADGRDLAIAAQLP OJF2_RS22780 MSDDREHAPDDSGPARAASGGAPWAWPADVRALLAAILVAAGVA LRAAGSGEAPAGGGPPAGSIALRVDPNTAPRSVLEALPHVGPSLAGRIVEQRAIRPFL SAEDLRRVRGIGPATLARILPYLRIDRTPEGPVAGAGRAEPLRLARVRPDRP OJF2_RS22785 MSIVTSPATPSSDPRLGAGRDVGDGAAIEERTREIGRDLFRRIG RGPRPWQRGWWDDRLMDNTLSDPQVRVQLFRFIDALPALRAPASVRTHLEEYLAEAGD RVPAWLRLAVRLAPPGTEREAMLAWSARTAAGVMARKFIAGSTPEQAAQTVMALRRKS VAFTADLLGEAVISEAEADVYQRTCIEILEGLSGPLAAAPEVPLIDRDDRGPIPRVNL SLKLSSLTTHFDPIHAEATIDAVAARLRPILRTARKLGAYVHVDMEQYSYRALSYDLF RRVFGEPEFRDWPDVGIVVQAYQPDAESELEMLRDFARSRGAPITIRLVKGAYWDYEV LMARQLGWPEPVYLRKWETDACYERCSRFLIDHHETLRPAFAGHNVRSLSYAMALAEA RGLPKGAIELQTLYGMGDAIQDALVARGQRVRVYTPYGAMLPGMAYLVRRLLENTSNE SFLKASSARDVPVETLLRNPEEIGSMSLLSRKAAPPAAAPPAGELPPFRNAPTADFAK AENRRAMVEALAQVRGRFGKDYPLLIDGREVMTESRIDSLDPAQQTRVVGRSASADAG HAEAAIAAAKKALKAWSARSPRERADVLLKAAALIRERRFELIAWMVYECGKPWREAD GELVEASDFCELYARDMIRIAEPRRRDVPGETNACTPIPRGVVSVIAPWNFPLAISCT MVAGALVAGNTVVYKPSEQSPVVGWHLVKILHEAGVPAGALNYLPGVGEAVGPTLVKH PDVNMVAFTGSRDVGLLVNRLAAETPPGQDHVKRVIAEMGGKNALIIDDDADLDEAVV GVVNAAFGYSGQKCSACSRAIVLDGVYDAFLARLVEAARSVKVGPAEDPETLVGPVID ADSRRKILSYKEVARREGRVVLDTDVAEKAVVGTYVGPMIVADVPPDARVAQEEIFGP LLSVIRARDLDHALEIANGTPYALTGGIYSRSPVNIERARREFLVGNLYINRGNTGAM VDRQPFGGFKLSGIGTKAGGSEYLYEFLIMRSITENTMRRGFAPEDPAAPEPAAAASG RL OJF2_RS22790 MPTVQDRPKVLVVDDEADVLRSVHALLRRSYRVVTREDGPAALD VLREDPEVAVILTDQRMPGMTGVEVLRRARSIRPDATRLLFTAFSDIHAVVDAINEGN VFRYITKPWEPGELESALRQAVERHDLIVEKARLLDELKASNARLEEANRLKSAFLEV ASHELNTPVAVILGLADLWKLSMGAGATEQERQWVDRIGAAADRLGRNVRRMLDLVDT RSFGRTMAREEVDLGRIALEAVETLAPHLEGRGQWADVRAEPAAKDVTGDSSKLMDVM INLIANAIKFTPDGGTIRIRIENAGRPEGWTRVAVEDEGVGIRDGEQPYLFEPFFTGF DTLHHSSGDFQFCKRGMGLGLCLVKAFVELHGGRVECQSQPGRGSTFGFIMPRQPAEP TPAAGETDARDGAATQ OJF2_RS22795 MEDHPDQAALVARILRMRDFEPLVAEDGTTALRLARKHVPDVLL LDLMLPDINGFDVCRQLRLDRATMLIPVVMLTALDDMQHRVHGFRVGANAYVTKPYGV EELLDAISAARAWRNSIHQRALHGEVSVELNSEISLLKDLNDFLMHVCQATPLTDEQV MQLRQAVMEMAHNAIEWGNQHQPDRPVKITYRIHDDYLEITVRDQGAGFDRSHLPHAA MPDDPFSHLDVREQLGLRAGGFGLLICQGMVDEMRHNEQGNEVTLIKRFAPSKAS OJF2_RS22800 MPANLPPPYLKAEEEFRRASTPADRLEKLREMFRLLPKHKGTEK LQSDLKQKISRQKDEIEGGKAGAKKGGVSHAVPREGAGQVVLVGPPNAGKSALLAALT NARPEVAAYPFTTRAPQPGIMMWQDVPVQLVDLPPISPEFLEPWVPGVVRSADAALLV ADLGSDDVVEAVDAMLRRLAATKTELVGTLPFDDHDESLRHVKTAMIANKQDDPGAGD RLAVVREFFEPAFPVIPASAEGGQGLESVRDAAYHLLGVLRVYTKIPGKPVDRSRPFT LPFGSTVLDLAREIHRDFEQGLKSARVWGSGVFDGQTVKRDHELQDGDVVELHVA OJF2_RS22805 MNRVVRNALLAAAAGAGAALAGRELLRWRRRIDLAGRVVLVTGG SRGLGLVLARELAARGARLVLCARDADELDRASKELSGRGARVVAIPCDVTDREDVAR MVRLAREACGRIDAVINNAGVIQAGPLEVTTLQDYEDALKTHFWAPLYTTMEVLPEMR ARKSGRIVNISSIGGAVSVPHLVPYSASKFALRGLSEGMRAELLKDGIHVTTVLPGLM RTGSPRNAQFKGRHRAEYAWFSIGDSLPGLSIGAEAAARAIVGAMEHGDPELIVSLPA KVAAVFHGLFPGVTADLLGAVNALLPGPGGIGTRAALGKDSTSRMSPSWITALTEAAA RRNNEVAPGES OJF2_RS22810 MSRMTNTAGTAQNLGNHPGHPARTDHTEGVVARTIEEQTAKLPS DIFLWAAGASILGSLTLKATGRAHDALFVGQWAPTFLLLGIYNKIVKVAGSDAFSAGY QGGPR OJF2_RS22815 MLTTEQEVNMKKLASMIRGIKVAMLTTQGRDGVLHSRPMATQDV ELDRILWFFTAAHSDKADEIRQHPRVNVSYVSAQDHFYVSLAGRAEIVRDREKMAELW SPAHRAWFPKGLDDPDLALLRVEVESAEHWDMLSSAMVRLVELHPEPQEELEARML OJF2_RS22820 MPLQTRRGIIVKLTAGLAGLLFVVGAAFADELLGTIIKADAESK TLTVVAKDTDKEVKVKVTDKTEYVTKKGSGKVDFEKVEKGIEKAKERGRKGIMAKITH EDGVASKIEAVAKKKAE OJF2_RS22825 MRVIPLRVLSLGLLAGLTIVAWAPGVPPAFGDDLIPAATIDGEG PGWRALGPEDFEDVNLGADAWTWKDGLGHCRGTPVGVIRTRKQVTNFELVAQWRHLAP GGNSGIFVWAPAKALEGLKPGHLPPGGIEVQVLDNGYTEQFEKQTGKKADWFTTHGDV FPVGTSKMNPFPPLSPDGSRSFPRERRSLGVGRWNHYYVRAVNGEIRLWVNGKEVSGG DRCEPASGFLCLESEGSPVEFKGLRIRELP OJF2_RS22830 MTDEFSKIEEAIAALKEGRLIIVVDDADRENEGDFVVAAEKVTP EIIAFMIAEGRGQVCMPILPEVADRLRLPMMVDHNTALHKTSYTVPVDHVSSGTGISA EARAITVRAIIDPATKPGDLTRPGHMFPLVAKEGGVLRRAGHTEAAVDLARLAGLTPA AVICEITDGIRMAGREKLREIARRHGLPIVSIEALIKYRRLREKLVTRATEADLPTRY GNGRIIAYTVQHEPGNEPVAFVMGDLSSAEAPLVRLHSSCFTGDLLDSLRCDCGDQLH MALAMIGEEGAGALIYLPQEGRGIGLIEKIRAYNLQDGGMDTVQANLALGHRADLRDY GIGLQILKDLGLTKVRLLTNNPKKTDAFVYYGYDLAVVDQVPIIAPVVAERRRYLDAK RDKMGHVLPTRPCCGEGAEATNGSPQGLRAD OJF2_RS22835 MQLIWFALIGLAAGWLAGQIMKEGERGLVGNLVVGVIGAILGGF LIGILGFAATGLLGSLITATLGAVVLLSVLRQVRKRS OJF2_RS22840 MTGISITPYLFFGGRCEEALAFYGKAIGAEIDMLMRYDESPQPA PPGRLQAGFEKKVMHASFRVGDVPLMASDGCDDRTNFGGFQLALSVPSEGAAREAFGA LGEGGSVVMPLSETFWSPCFGMLTDRFGVTWMVSVPGAAG OJF2_RS22845 MTDPETNRDRSRALALSLGALCVATAVAAAAAFLPHQSLWNDEA TQLSGLSLSPASQAYWLAGMERHDFGVSDDRMPPLSYWIGWAWSRLFGLGEASMRSLG VVAVAAATALVFAAGTRAWGLGAGTAAALLLGASPNIVGTAVEIRTYPLFVLSSAGLF HSLVRLLDDPPERGARWLAAMAAWSIAGAYLHFFGLVAAGAAFLAALILVPMRGGRLV PVLAACLVVGIAACGLWPFVSVAVRRLDAASVAGEPAAVRPSISVKAVARLAYRLVFH AAMRTSRVATAAGALGLVLASLACLPSTSRGREARLGLWVAWASGTLVVLASAFVTPL DVLASRYNVWMYPATALILGSGLASRLPAARWATAAGVSLLVASMLWGDVVLATRGDD FAHTGFALLDDAVRRLGVDRVAVVFDETDPEAAWQLYAPLRYRYRGRLRQYIRDQPAA DGVRVRGYPKGDGPIDPDSIPAEELIVVRCGSINADDLIAQLHGGYREFGDGPVASRL KASPGWVLEEEGHTLTYFPAEIDILARKAGKPAAAAPPAP OJF2_RS22850 MSPSSLIPAPRRKGAALLAGALALAAGTVVCLRSSILAQPPGVE PKAKAAAGDRPADPKAKALLLDVAKAYKALGAYSDEGQFVAAMTIGGKANKQEQSLKI AFVRPNKLDIDAGPVHMVSDGKTMTTAVAPLKRYTAVPAPEAINFETFRQGPTGSVLF GGITGAPMFIVLNMLTADDPVAALDQLGGSLQLDPKSPGNLLIDQQDAPDLKLVIDPA TKLLSRIDFDVDPKLLARNAPEGQAVTIDRFGWAAGAVKTDAAKDHKFALEIPKGYSK VDDLRQGQGGGEEEQKYAVSEKVGSLAPDFTLTVLDGPGKTKTVTRSELAGKVVVIDF WATWCPPCMAELPEIQKLIESLAKDKKNVAVVALSEDSDPSELGEVRKLVEKTLAGKK ITLTGNDVGLIALDPSGTIAKAFDIEGFPTLAILDAKGVVQSVHVGFSPDIRQKLEAE IDALLAGKPLAKGAEKKAAAAKD OJF2_RS41230 MTERRNTRLDALEARLTGPKRIALFGHRNVGKTTLLAMLYREAA SGQVPGVRLAAADAQSAEYLAEKIAQIESGQPMAGTLAETELHLRLYHGPARFDIILK DYQGEHVTLGTDEPIQEFFAGCDAVLFCLDPEGSADPSERRRRQQEVENLLERYIERS EDLSTDRPVALLLTKFDRVLAEHSRREGQDEPPVLSGAYVERIVDERYGMTRHALRQH APDSAIFGVSSYGPGSSGNRPPATLHPMGLEGPLAWLAERIEERDRAQMEWLWEIAPR DVPRLERCVAAYERRYPRSNRSYEFRGRLKALARRRGRARLARVAVAAAGLAVLAAGY DFWGYRRAEAFERQAGNSPPSIARRWTQLAQDHPLLPFFFPSLARQAALRKAEWAVKA ADTQVAVGTAPADLERDLRGIKEQAPQLAPAIRRVEEAREQSRQEMRWKEVRAAALSL SAVDEPEGPLADLDAFVREFPDTPRRAEVMELARTLKAHAASRRSAAERKLVDDLARS ESLPNASLPDLIEKARQFLADHPDSGYQSEVRAKLDDYVRRLDGRDIERAREYSRRYP TNFATRIERFQDYLKAHQAGGLFLGEASEARERILGEWDAYAYRQAYDHAVAHPDDVP EVARRLRDYLRDHPDGRFVADARAYLEWWDRISVPGEYRVTLRRGEVEPKVGKYLSGG APDLGVVLDVGGVTYGPSPVIRDSHRPIWDYTFPRPIAWKYGDPVTIRIIDYDWSASE VYTFNTPHGDPLAMRLLSGTVRPAKGGSTMLVFTSDFAVPTLPRPD OJF2_RS22860 MSPSGSLLYIETNFLMSIATGRDPSAASLLAGRGVAVRLAVPQV CLLEALAVLNDIHRDRNQFENTLAFQVGQLRRDITSRNARALWQLLIQARLHNDKLVE DTDRRLRKAIRVVTRNAQLIPVSRTVIRSAYKHPLISDPTDNLILQCILEHARGESSG NKAFLSGNTNDFSSPEIRGELAKAGIAKYFPDARNALGWIASLPNP OJF2_RS22865 MNADVIGYDRNDEPFLIVEVDVSVHDDIRFDRFVARFLDMQPPL PFGIYADLEWITLLGRDGEEGAMPLCRLDARTMLGRYDPDFRGKHSTYGTKLIFGDYL TTLVEVWLQDLAFHWKSEEPPGSSELAGTEFLRRLEGGMTKRDVTVGIAPLH OJF2_RS22870 MRSLSSILRRRSGAFLGACGVAMLLSTGGTARAGKLSWLDDVVR EVIAETKSGGKALARGGEAARAEARGAGRLFLRHDAEEGLEQLVKRSDDLARAGRKIE QPSEALLQGRFTRLLGHDADAVRSFEALRPAEKRLVVEMGEAAQRLARRHPQEAEAMA RQLGPEGLTAVRVFGDDVAEVIAKEGTESLNVLRKTGRGGWEFFTGQVLPHKKKLLAA GVLAAFLADPDKFVDYAGQATEYAAREFARAGIGLAAAVGSGAAQGLESSLGQALAAR GLDHPAFRTVGMALASLVAIGALLVLVGLPLRIMVRPFTAGLGIVHRLSKSLAGARSS H OJF2_RS22875 MDWGDERYPNPTRQGYDVAQVCMNGHMMNSASRGMPDGNKSFCD RCGEKTITSCPSCNNCISGLDWDGPSPEPEPALHCEHCGKSYPWTERKKAAALELFAE LLDLDNKQKEQLSQDLDAVASDVPRTKVAAVRIDTLLAKVKDQGADMLKGVLVEVMSE SAKKMMWPNQ OJF2_RS22880 MSVPESAVRLLVEGVYQKEQEQQEATIQQLAGHFGSDPDLAVQS AIRVAEECKSRKLLSVPINYQGLTTTTAGRAYIGKP OJF2_RS22885 MGLQEEIDEKRKEIYTNKYSISIGELLHLYADEELDVHPEYQRF LRWTDQQKTDLIESIVLGIPIPPIFVAQRADGVWDIIDGLQRMGTILQFIGVKRDEKG RRLQPLVLRATKALPSLEGMKWENLDDPTDEKVFSKAQQLLIKRSSLDITIVLKESDE QSKYELFMRLNTGGSLASPQEVRNCLLISINRDFFKWMESLREDRDFRETTALTDRKE NEQYAMELVLRFVTLRNLPEERLSGLGLIGDFLTDRMIDLARANSLDYDREANAFQST FRLLNQALRDDAFRKWDGTRFTGGFSISAFEGVALGIGHNVDKEGFNGDQLPEKVKAL WEAPEFRQWTGVGKPAGSRIPRTVTIGRNHFKP OJF2_RS22890 MMFNRTDGDEAFHDWLDSEFSWRRIELSYYERAVRAANPGAEAM TVRGAIPILYAHWEGFVKSLGTAYLEFVLSRNKNFDNLKPNFLALGMKKHLHDATSTL KGRVFVEACDLILAKRSTRAYFLTLDAVETGSNLGYDLFANILHIVGLPFRPEYETAR NTIIEPLRQFRNTIAHGKELVVNTVRYNELHQKTFALLALLRDDLDNAVTLKSYLA OJF2_RS22895 MSNPHETKECPYCGIIFSLEGVNAEHSIFNFKRFTPGGGPSRFV SPDVYISYSSTNHLCPSCKGKIIWLNEIENSRIGDHHMSTIKSITLLWPKHPLCHVPT GVPEPLASDFREAHDALPISPKASAALSRRCLQTIIQDKEGFSERNLIDQVKKLLALN KIPSHLAIDLDAIRNVGNFAAHQQKDQSTGDIIDVQPGEAEWTLEVLRALMTFYYVDL PESQARRDAFNAKLKAAGQKPML OJF2_RS22900 MKRTFALVVLLTALGVLSKLTLKDRPARPEPAPGEPGAAAGPAQ SPGPADIRATLAAKYQASPAGDRELVARVADRFRQTAVSVERTDGLRGLRLLDRLDLE AVYLYDKHPAEFRRLRDILDDDAAADVLLHWREYFGLKRADDTDRGILIAELAGLSAS QRKLAARYPNALPLILADSSSLADLVESFRDDERDLGEALTLLSLISLENGASDLRSA VRTVENHRSLALEAFRVQGLEGFALVGLYGPVLEAAGGSPPLDQALILLQVNSEYVDE LLRTHRPETVAGHLGHVAARGLVAAAGSSPNALRLAVEFGEAGERALEKAGPDAADVV FSAYSDPALRPRAVAALGEHGAMALVILDKYATDPDFQQILKAYGPAVIPPVAAADTG PEALAYLQAKEKRTLGESLAKLALLATGDNGQAVIRTIKEDGLSRVESLASNQVRFYQ FLPLYDVTHLANVLASGHTPTSGEMTWALVDGCFVVADVLSLAAIQPEGAAAAELARA EVKAAVREGSRSVGRDLAEAGAVGSGKAAGSAAAQAASRKLGQWWAVRSAGGFFRILE RLPEALPKMSLEQVAAIGRPLCAKAGLRLSAWKPVRLLRDGATVVFQIPPRKGLKYLG AQVLQASVGVVGIQKIEEHLASRRPKTLPHSDLQP OJF2_RS22905 MTDSFLEPLAQVRTKIVATLGPASRSPEIIRKLIDAGVDVFRLN FSHGSHDDHTESLHRIRAISDEMQRQVCILQDLCGPKIRLEDVPGGAVECDFGAEFVL AREPGGEHDPRELTCTYKQLVDDLEVGQSVLFADGTVAMDVIEKAKGRARLKVTLPGR IRSHQGINVPSAALSVEALTEKDLADLDWTATHQVGYVGLSFVRRPEDVTRLREELKK RGCRSRIVAKIEKPQAVANLEAIIDEADAVMVARGDLGVEIDVEKVPSVQKQIIDACR KARVPVITATQMLNSMETSSRPTRAEASDVFNAVLDGTDAVMLSGETAIGAYPVEAVS TMSRICREAETLIFSRNSGGVPFTCCAVREAGKAGGKDAVARVSQVLPVTDAIVDAAS TVTRKLKAALLVVATHSGRTALAVSKHRNATPTLALTDDVDVARAMSLYWGVTPLHIP ELFQTGQVLAWADEWCRTHDLIQSGDYLVVVRGVIPNNPNHNALLVHEVE OJF2_RS22910 MRASLSRPSLAALVAAGLALATSARGEPVVKSKGEANRVVEVAL DAGHPIADPFRSVELDATFTTPSGKAVKIPGFWAGGNTWKIRYASPEAGVHRFVTACN WADERGLHEARGEVEVVPATGANALYRRGPIRVAADRRHFEQADGTPFLWLGDTWWMG LCDRLRFPDEFRTLAADRHAKGFNVVQIVAGLYPDMAAFDPRGRNEAGFPWTEKYETI RPEYFDRADERLDELVEHEIVPCVVMAWGYHLPWTGIDAMKRHVRYVIARYGALPVVW CLAGEVNLPYYLEKGFPRGGEKQTRDWEEVIRYARSINGLGRLITVHPTGIEPLSGRL LYADQGLFDFDMLQTGHGRREVLAPTIHALRASLDARPIMPVVNGEVAYEALMGTIPA DVPRAMFWSCMLSGAAGHTYGANGIWQLNRRDRPYGKSPHGGTYGPIPWDEAMRLPGS GQIGRGKAFLERYPWQRLEPHPEWASWAGSEGHLPAEVPYTAGIPGGVRITYSPLPRA VALEGLDAGRDYSLRYFDPSQGHTTEGKSFRPDPGGAARVDPPQNATGDWVLAVRPEP TGPR OJF2_RS22915 MGFIRRRFTKEQRQRLRGIIADAFTRADLNQLVEDAFDEKLENI ASPGNMLEVVRELILWSSKRGRENELLCALRAARPSRADVQAIAGELLPEDYDCLKSR DSSDQAITELPLGVLAHYKPWTGMSGIESATWLVLVLSLFALGLASRSEILRQQNKEP EKSLSEIDRVRGRLEVRLDARERPLFKFHGGGLPVADGRPGMVAHDPEIERLSKDLST LLDIFDRAIGSAGLSERDQLRLDLAETALNWKNRAGTALSWGNQYSISDLLKDRCLMP AARDIDPGISLSSLLAPGNDCGRFDPLRAASIEGYVVAVRDGDLVGLVRHFHHPRPLP VETIIEISLTKNAQPNKRVRAILTPRSRALSSIRGDVWESASLKKSLVGHRVRLVGWV VFDPVASSESENTNPGNTQNSAATAWRICPVSQIRIGP OJF2_RS22920 MKRRRSARPSWHRLPGSGQIGRGKAFLERYPWQRFEPHAEWASW AGSEGHLPAEVPYTAGIPGGVRITYAPLPRAITVDRLDPGGGYSVLYFDPTEGTTLKG QPLRADASGKARVEPPNAPGGDWVLVVDPEPAGPR OJF2_RS22925 MGTTGTMGLLLAAALLAAGADRRDEVKPPPGGYRTSWVGNSFPG DGGPNGLGYWVQNGADEVEVTPDGTVLAGTDWDEAGRCVGLYRDGKVNRVLLKQEGPG VKETAWGWNTGNGALAVDGPDIYVANKGKRLLHFTWKPGDIDSASFADERGLPAEAVG LSARGGRIAIAYAGRLEVRDARNATLIREATVPGLKDVALAPDGTPWILAGDAVGKLG PAGPVGPCEGVGRPSAIAFGADGRLIVCDDGPDQQVKSFDVSGDRPRLVATFGEKGGL RAGTPGESRPAKLYSPRGAGTDSDGNLYLALGFNGAPVGTLVLRSFDPKGALRWELAN HAFVDTYGFDPESDGRVVYSRTAIFEVEADATAWPPWRQRATTVDHVTFPADVRASTA MSVQIRHLRGRRLLYGIGQYGGGFQLFTFDGPDAHLARPAGKVTAEGETWAWQVAANG DVWHGDAPNRTIRRHAFLGWDADGRPRFETAKPESWPWPEDFELVRRVHYEAAADTLY LSGYLKGESIDSWGVAGKTLRRIDGWAKGPRKDRWTTKLPVNPEGEGPGKPLSPSSLA FAGDYVFAGMVKPDEGKQHVHILRTDDARYVGSFVPGPEVGGNAGWLDMPYSMDAIRR RDGEYLVLVEEDWRGKNLLYRWKPPVP OJF2_RS22930 MVWDHESSRPGPVPDEPGSLAHRAYEDLRGRILSGRLAPGAMLS ERRLAAEMGMSKTPVHAAIERLAADGFLSVAAQRGIVVREVSVREIADHFEVREAIEP FLVARLAGRLGADHRKRLSWNAREHRRVARAGDVAAVVDRDAEFHLLLAEFQGNREFA RLMAQVRDRVRASIHELSTRHPERMLQSVEEHDRIVEALVAGDGAAAAERMREHIRWG MQRLCDRGS OJF2_RS22935 MRAHRSGMIHAQACAAFFGLAAWASLTFPAGGAADLPTPDAVKP AVGLKAVPFPLEEVRLLDGPLHHAMELDEKYILALDVDRLLHAFRVNAGLPSAAKPLG GWEAPKVEVRGHFVGHYMSACALLYASTGDPRFKEKGDRLVAGLVECQEKMGTGYLGA FPEEFIDRVEARKPVWAPYYTLHKILAGLLDMNTYCGNAEALGAARKFADWIKSRCDR LSDAQMQGMLGNEHGGMNEVLANLYARTGEKKYLELSERFNHRAVIDPAAVGQDRLTG LHANTQIPKFIGTAEEYELTGNPAYASASRFFWDTVVKERSYVIGGHSDGEMFSPKET LSKAFGPSTTETCNTYNMLKLTRHLFEWEPKAEYADYYERALFNHILPSQNDQTGMMV YYLPLRPGMRRVFNEFDDSFWCCTGTGVENHAKYGDSIYFHDGGGTLYVNLFVASELG WKEKGLTLRQETGFPAEQGTRLVLSCKSPTTLALRLRHPSWAGAGFAIKVNGRAVAAE SRPGSYAEVSREWKDGDAVEVSLPFALRTEGFRDNPDRLAFLHGPLVLAAPLKQGQAI PAIVGDRSATLSALKPVEGQPSTFAASPELFRTSSAGADEPLRLMPLYRIPAESHYTV YFDRFTADGWKAKEAEYAAAQARLRELDARTVDRVHPGQDQSERDHRFEGEKSNAGLF GDRPWRDASDGGFIRYVVKVLPDRPQVLSVTYWGSDSNGRVFDVVVDGTRIATEKLER NHPEEFYDQAYPIPQDLTRGKDQVTVTFQAHPRNFAGGLFGLRVLRQEK OJF2_RS22940 MKRVLAFSLLVLAAVSPARADDWKLVWSDEFEKAGAPDPAKWGY EHGLIRNDEKQFYTRNRPENARVEGGHLVIEARKEPWEEGGKKAEYTSASLTTEGKHA WTHAKVEVRAKLPKGRGTWPAIWMLGSDIKKAGWPACGEIDIMEFVGYDPGLVHANIH TKKYNHMNKSGKGSSLKLPDASEAFHVYGVEWDAKEMHFSVDGKVYFTYKNEGSGPAA WPYDKPQFLILNLAIGGGWGGQKGIDDAIFPQPYVIDYVRIYEKARTATAGRRAGR OJF2_RS22945 MRTSHRDENDPPRGGGGAGRRGDGEGPDPSGDEDERLGEVIEAY LELVEQGEAPDPDAFADRYPDIRDDVRAALEGLELVHGLVGGGSTPGGGPGRNLESGR RIAGYRVVRELGRGGMGTVYEAVHVGLDRPVALKVLGTHAAPDSSARRRFLNEAKTAA GLHHTHIVPVFDVGQAGGLCYYAMQRIEGSGLDRVLRHLRRTRPTASSAGRSSIHGGR HDGSGYGSASDISSRFNRLWLRVSTGLPWLRPQETPGGAEAAASFAAGLGGDEPTGSW QGRGNGPLEMGRSRVLELELPAPAAGRSGSLMHAHEAEDDAASPFEPPRGSAYFRWVA AVGLQAAEALGHAHHHGVIHRDVKPSNLLIDAQGNIWVTDFGLARRLADPGMTHHDSL LGTPRYMSPEQARTGRIDARTDVYSLGATLYELLTLRPPFDGSSAAELLDQIGGRDPI HPRQLNRRVPLDLETIVLKTLAKRPGDRYAGAAALAEDLARFLNNEPVKARRISPIGR AWRVARRHPGITTVTAVASAAVLAIATYAYVRILAERDEARRAGKATEVALGEKKEEA EKARAAARWALSANAANLLVSDLPDRRSKGLDLLRKMGDPAAAVAADREPALTASKLR EQAVEFLMLRDVEAMPSFPTGFSRGIELGPGASVLASLSEDGAEISLWNVAQRQRFAS IDLASAPAEGPGAAGATGTPGAAPGAGRPGPTGGRGFGAGPGGRGGGRGWGRHMTLAR NVLFAVLPDDGGPRSDDVLRIYDIRNGSVLQDIVRPGRRIQSVFAGPTGDRLITIEEP ADPRGRPPRRDGGGPPPGRPDGPDPEALLWDTGRLDEPLATLQVPRGFMPWPAFSPDG KSVAFAHNNGTTVSVLLYDLADGKLRNQIDTQSETAMSLALGANNVMAIAANNAIQLW DRDRESGRLITSLASPRGTPRLMRFNQQGTLLAAATFNSLELWDVASHKVLAALPVAD LVTDVGFSPDGMTLFASGRMPTTQAWRVNDSAARVQIGGFDSWLASMDFRRGGGLAIG CFNGDVWFYRHGGNRCTGGRSEPATPPDPAPRGADRGRDRNRGRESDGKSTVRFDSEG RLVALDARSLRIWDDGTDPGRPPRVLDLPAPHRVTSQGLLARSADGRRMVIARGGSLW LWDAARPDAVRAVIPPPPAEDPAAGPAPGNPPPREPGGRGGAGDRPGPGPGRGGMMRR DMLSAIQISPAGDRIYFLGDSLRLNAWEIDPAGEKGEDASGPVAARRLDVPTLPEGLF SLALRPDGGLLAIGDHTGRVTLMDTGRRAVVGRFAPPEGENQGVLPGLAFSPDGRRLA VGSHQGRVIVWSVATPSRPSPDLKLPGSGNGWSLILAFDETGRRLAVSGVGGGSEPTV EIWDLDLIDRELARLGLGKR OJF2_RS22950 MADGPIELLSRARAGETEALGELCALYRNYLRMIVRTGLGPRLR ERLELSDVVQETLIEVVRQFPQFTGQNEAALVGWLRRLVGQKLADLGRYHSRSKRTGG TRTLALEAPLDFEGPGHLSADGGGGRLLDMLALSQTSPSEVASRRELVVLLADAVGAL PVEEADILWLYHAEGLSFEAIGERVGLSRKSVRGIWARGLKRLRRTLEGPPGGSLRYE DEPPGRE OJF2_RS22955 MRRTRGWTGLRNALLALSFAGCAATGASAAAISSDPIMKYSTAV QIDSTGITGNNVISITPATNSGIEITGKDNLALGTFVIAANNGGTTTYKNTPFSITLI PTSIGDTSLSDVAPIKITGVLNGKVSGNFHSTVEATFDSLSASSFKLGNGTANLSLVD NPKLLVPSSSNGGQTTIETQLVSNNVAPPAVPEPSTVAMFLTTIGGLGLRRHVQNRRR AAA OJF2_RS22960 MFDGDDQAGESAPDERPGAWFGADRVPPRAAAGPYAGIVVNRPI DQVLTYRCGPRVAAAIRPGQRVRVPLGKGNRLAVGYCVGVEDAPPEGLDPGRLKEVAE VLDPAPLIDSRMLELTRWMAEYYVCSWGQALDSAVPAGVRNQAGTRVGTFLLVPEETR QALKDQTLKPRLSPKQAAAMETLCRATGPLTISDVCRRAKCTAAPILALRRQGLIHSV KRRVGLGEAFGADEGDGESDLPDLARPPGPPGGVGPAKARLTLTPEQDAVMAALRPAL AGDGFAPFLIHGVTGSGKTEVYLSAIEQVVARGREAIVLVPEISLTPQTIRRFRRRFD RVAVLHSHLSDVERHRHWQSIAEGEVQVVVGARSAIFAPARRLGLIVIDEEHESTFKQ ETVPRYHARDVAVKRAQLERVPVLLGSATPALETWRNADRGRYVKLAMASRVEGRPMP AVDVIDLRREKKFTGGLSESLREAVHRAVDDGGQVILLLNRRGYHTFVICPHCGDVVK CHACDVAMTHHKGRRLLLCHTCDAERPCPPACPSCGKPGLHYGGIGTERLEKEVIGAF PDRVVRRMDSDTMRRPGSHEEVLAAFKAGDVQILLGTQMIAKGLDFPNVTLVGVVNAD TALHLPDFRAAERTFQLVAQVAGRTGRGDKPGRVLVQTYCPDAPAIVHAVRHDYEGFV AVELPEREGHGTPPFHRIVRVIARGPEESVVAKYMERLGVELRGAAEPSVRILGPAPA PILKIRNLYRFHLQARCPTARPLQVLLRDVPGRVPAPGGVELAIDVDPTSML OJF2_RS22965 MRLGLFGGTFDPIHLGHLILAEHCREACRLDRVWFVVAGEPPHK RGDRTPVAHRLEMARIAVAGSESFEVSDIEARRPGPHYSVDTLEAVRRERPEDELFFL IGADSLVDLPTWRDPAGIAKRATIVVANRPGSTPPDGGKLPDFGPGSKALEAVAIPSV GIASTDLRARLAAGRSVRYMIPRAVEAYIEAHGLYRA OJF2_RS22970 MLSKLFDLTGRVALVTGGSKGLGKAMAIGLAEAGAGVVIASRHE EELRAAAREIRSAGTAGVEYVVSDLSLRGEADTLAQKAIEAMGRVDILINNAGVNTPQ AIDEMKDEVWDRVLELNLTSCMALTRALSPYMKERKWGRIVHLSSIMGLTSAAARNPY SATKSALLGLARASANDLGSFGITVNCIAPGPMLTDMPMSVLSKEKQDEFAQGTALLR WGRPDELVGPVLLLASDAGSYITGSVLVVDGGCLAKVF OJF2_RS22975 MRILSGIQPSGALHIGNYFGAIRQYIALQEEHEAFYFIANYHAL TSLRDAAQMRQNTLDAFVDLLALGLDPAKATLFVQSDVPETVELAWLLTTVTPMGWLE KCVSYKDKIQQGLPAEHGLFAYPVLMAADILLYDADLVPVGQDQRQHLEITRDVAERF NHVYGPGEVFRLPKPYILDDTAIVPGLDGRKMSKSYGNTIEIFDEPNVIRKKVKKIVT DSLPVEAPKDPDKCSLMALYRLFATPDELSDVERRYREGGIGYGEMKNRLADAIVARF DAPRAARAEWIANPDRVAKVRAEGAERAHATARPILDRVRKACGMA OJF2_RS22980 MKPHLPARRPSPGHQAAPSLASRRRPRRHVGRAVEVLEDRRLLS TFTVTNRHNSGDGSLRWAIVSSNSSPGADTIRFGVSGTIRVGGPSLPAITGAVTIDGS SAPTFAGAPVVTVDFGGTRGLRFASGSDGSTLTGLSLVRAGNAGVTLDASRVTVQGNM IGIRPNGQVAGNRGDGVRINASSHGDLVGQADPVAGISYYSADSVPTYPVSGWQGIRA GSLPGQYLMTGTSGSNGLLYVGPISGVGGTSYAVNVPWGTSSSLYGPNLLSDGSLQLV GTYRAGSDVVNGFLFQGTTSELSQSANYTTIDYPGAQYTYVHSTMGGLAVGNADGPEG DAPLGTGHAFVYDIATAAITDIVYPGSTTTTAYGIWSNGQSKFTIVGGFSAPGETPDG LSHAFMVDYDASTGAYSHWTPFDYPNGVVGKDYVTHFQGISGEEKGVYTLAADSAQRG TADPAQGSWVSVRRNTDGSFGSGVWVDLNAPGVDPSKSITSVDSVAGNAVVGFVAAAS GAASYQAEVHTGFRLSNVISGNGGNGVGIYGGSDNVVAMNFIGTDASGTVRRGNAKNG ILITAGASGNRIGGEATGGNDPTGGVFVRPPMGNLISGNGGNGVLITGGATRNQLSGN FVGTSASGNWALGNRLDGVAIDGASGNSLLGCTFQQDPFVFYNVISGNGGNGLRITNS NDTTVQANFLGVGANNATVVANGGDGLLVSGTSANTQVGGVIPLGNVISGNNRNGIEV KDRASGLVSFNTFAGLFAFSTAAPNRKDGILITSTGGNNLIRTCIVSGNLGNGIEIGG HATGVQVTETAVGTNTAINTALPNGGDGILITGSAHGNAIGGFQPSIEPQVTVSANRR YGIEVAGRARDNRIVHAKIGTSALGTDPLGNGLGGIYLSAGTSSTQVGGASIPLQNLV RYNLGNGLTMKSTRAARVVGNTIDRNRAVGLRATGNCAGSTVASNTIVANEGGDVDLS GSRGIDYSS OJF2_RS22985 MTIETFEDHGLRFEYPAGWELDVTDHGQVLTVAVQEPGGLGFAL ITTDGTRPDPNDVAEAALEAMREEYPDLDASPVLETINDHCTTGHDVEFFAMDMTNAA TIRCFRTPRHTVLAFGQWSDAGEDRLPDQVRDVLRSIEDLDE OJF2_RS22990 MALPRIRVGLGMMVLLVASGSPSTPAGSVNDDRDRAFRLYDAGR FAEAIPLLDRVIEAHHRDVQGRIKRGNCYLRLDRPERAVPDFEFVARFSPFNPSAQTD LGIALLMIGRHQDAQACFEQAIRYWSNPLNGAGALNRRSAREIRQGRGASHCGLAQVY HRTGRNEEAIAEYNRALAIFAEDPNTYIGRGDAHASLGEEDEAFADYAEAIRIGPAYS RAYVSRATLLARLGRADEALADYERALAIDPNDALALGLRGGLLSQRGQNDRALADFE AVLGMRPEDAGAHKDRGGLLVRMGRNEEAIADLDRAISLNPRSAASYLNRGAAHSNLG HYEQAIADLERAIDLDPKDPKSHTNIGLALFMVGSYDRSIEELSEAVRLAPRNAIVRM NRGNVYAKLGFREQAIADYGESERADPRLMASLGGPSRLLETMGRQSLAMLDQKRVTL SKPGAGEASAALERGHALRARGDWKAAIAEFDRAIGLDPGRPEAYVARGWSRFCAGEP DAEADAQAYLKLRGWDDRFSPYMALLGVLSARRAGHEEEGRRALDEAIAGVPPGLWPL PLLHYLRHDITGTAVLEKAKGETQQAEAHAVVGLDLLRRGDRKGAIEHLRWVRDHGPS KSVFFDVAGATLRDLDTPLDPLSRAIRARRAN OJF2_RS22995 METPTPVDRSRIDALVDLFATTWREGEPPRLELFLSQVPPGPGR DELLLALLEIEIRHRRAAGDCPRVEDYASRLPGYASTISATIDRLNTVEAAPPPPSAA SVSFAELPEYEVLDVLGEGAMGVVYRARHRTLGRPAAIKVILPGRSTDRFRREATLVA AIRSPHVVAVHDFRGLADGRFALVMELVDGSDLRAVMRANGGRIPEEQAVRWMADVCE GMIAAAERGIIHRDLKPQNILIDDRRRALVADFGLARGEGVLPDVTTADTVMGTPQYM APEQAEDPRGVDTRADIYSFGAAFYHALTGSPPFDGASAFSILCKHKSEPLASPRSRN PDISERIGAVLERCLAKAPGDRFPSFAELRRQLTTAPGPFSPWDMTEDPELLAHLERY RARRDAYLDPESDLPAEGEAHAFPGGRRVVILRGDITRQDVDAVVSSDTHRLTHNFGV SLAIGEAGGRQVVDELARYGPVRPGRAVVTSGGRLPARYVFHGVTVGITGDGIVEPSR DLIAEIMASCFYHADSLQVGTIAFPLLGTGAMGFSREICLDTMFQSLARTFLRGLGPL REARIVIFPEEAPDWGRRPAR OJF2_RS23000 MARKSPGASPGDDGGTPKSASPKRASKAAATKAAAPKATKAKAA RGKAAAPEDAAPASGGGRALVIVESPKKAKSINKFLGSKYIVKASMGHVRDLPKRKLG LDVADGYAPSYEVVPAKKETVTDLKREAARAGVVYLATDPDREGEAIAWHLQQALELP DDRVRRVTFHEITERAVRDAFTHVGPINVDMVNAQQARRFLDRFVGYQLSPLLWSKVA RNLSAGRVQSVAVRLIADREKEIRAFVSEEYWKITATVSPAGATAEADRFEAGLVEYE GAKFAANNEADAHKVRDVLASERFLVSRVDEADKQDRADPPFKTSTLQQQAAIRLRYS GKKTMKIAQELYEGIDVDGSGPVGLITYMRTDSLSVSQEAMEAVRGLIHDDFGDKYLP AKPIRYAAGKNAQEAHEAIRPTDLKLAPDKIKGKLSHDQFRLYQLIYWRFVASQMAPA VFTVTDVAIAAGPGLFKTQGKVLKFDGHKRVWPPGGKLEDALLPPLKAGQELDLHELA PTQHFTQPPPRYSEATLIKALEKENIGRPSTYAPIIQTIQDRMYVEQKERRFFATDLG MVVTDLLVKHFPKILDLKFTAHMEDELDDIATAKEDMVKVLDEFYYPFQDALKTAQTQ MERVSIPTDEVCHVCGAPMVLKFGRTGQFLGCSKYPECKATRPLGGQPRAEAVESGHA CPKCHKPLLIRENKRGEKFLSCSGYPECKESFNIDENGNPVPSRQETEYKCEKCGKPM ALRQGRRGPFLGCTGYPKCRNVQDVDAEGKPVQVVDLGINCEKCGKPMKVRQGRRGPF LGCTGYPKCRGTAPIPEDKMEQVAAMAPAPAAAAGPDLKTIEVDETCDDCGGAMTVRR GRRGYFLGCKGYPKCKGTKEPSEATLEKITSVMGA OJF2_RS23005 MPFAVFRRHQKVLLVTFGILAMGAFVLSDSVPRLLSSNAATRDQ KIVELHGKSVYQSQLNELARQRNRANLFVSGMIPYRGGMEFFGTLKQRDLVDALILQE EAERLGIPATPEMAREWLSQFALRSRTRMTNELFNALYARFSNDVSEEHLLSDIANQV RILKVRDLLGSPVVTPYDVFRTYREQNERVAAKLVEVPVDSFLGKVGEPSDADVRSLY DKYKDVLPDPASETPGFKVPREVQVEILSIDGDALARELRSKVGESDLRTYYENHKAE FPVPSEFPTNLFAGRPELTPPILQPFSSVREILAPTLAGDRAAQEIQDKFDRLKEDVM IPFVDKYLAAIDEQGEARKQGGTPASKSLPTPEDLKDVAAREGMTYEKTGMLTKEAAG QLGQVSTSEVGQKYQGGGHSFVDELFDPKAGLYEPIELVDYANRHYLVRKVKDEAPHV PALDQVRGEVVRAWKIAKARPLAEKAAEEIARKLGAAGGAIKDSKVEDYRVVTVPPIT RSTSGLMPNSYFDPRPVESDIPGVPLVGPAFRNAYFSLRPGQVSVAPNEPKTVYYAMG LDRQEPAKFASLYAPNSDLFRYRQTAYMEAARSQDERWMNSLREKAGLKPDWVPPDEQ KKDDSARG OJF2_RS23010 MDSHSYFERLIASVERIARHAYYPGIEQTINLVLQDVEDLGIAG QISAEQCEALRFILLGMTMNVPSAANAA OJF2_RS23015 MRVALVGWDMDAQVAGELARLGAEVVGFTRWFPDMPVHEVRDGW TLVRCPHRIGGMDRDEAGAFAIAVVREASTSGTGFASDVVHALDRRSRAAAAELASRI PGAALLASLGIAEEGLEDESGFGRRMQPRGWICDHPWVADRLRDRVPPGVAVRLALRG EVDGEAEAGRPATEEGAAGGAGPRIFVSISRRPGVSPRLAALAFDELRRSMPGLSVAV FGVGPRAELVRRALDRIGVLAGESSGSATGSAAAWGRALHAADVVGVDARRPSEDGAA RLAWLAGRPVVRLSGLDRQALVRALHRAIREPGRFAEDVEAGRALALRDLEPSAVAAR WLGAYLDAVAGGPAAPRVPGRAVGRGPRLAFPELRSRLALTPISPREVLASWSLRRED WEVALEWAGPEAVRSRPALRLFDVTDLSFDGRNAHSSWDVELGYGEGHRAIPVPRDGR SLAACLGLKTRSGHFHPLVHSRLCHLPREGLAPALPTRRMKVVPRRDPP OJF2_RS23020 MLSLALILELHHPLPGPDDVPGLDWASAAIDGYWPLLRAVSDFA GRPAGAAITIAVSPSWTALAADAGARNAVEIEWRRRDQEAPGWGSRGPVRDLIDRWDG DAVALLRELGESGAVELIATTSTFAWLPSLAGEPAAARAQVCLAAADHARRFGSKPAG IWLPFLGYAPGLESYVGEAGARYFGVAGDALVRGTVLPPASLSAPLITPPGVAAFGVN PAPAESALDAASGYRRDPRYADAAAAGRAAEEQAEHFVSTWTSLAMRDRGASAASDGP VSVAALPAHDLGRDWPAAAWLGEVLSRLSSSPDARAVCLGRHLDLHPTGVVGRPGPSA GGMMAARPGDSDLYDRCRVAAEMLSHAVEHRGDLGPTGRRAVAHMARALLRAQQVDWS LPPGLGVSPEVGLRRAFEQLDRFHALAGMLMTGRPDRDLLDRLDRGPAFLPSIDLDHL AGARL OJF2_RS23025 MHRPEPAPSPPPGRPAAPPEARLQLIDLAAVVVGYSLAAVLFRA FWPRSQVSPHLVAFASGFYLWLGMAMSGPLLLFRRRGEAAPEGPARAGAAASAADRRT WAENAWLVIGVYWIIMGALVLPGRLHAFRFGDTILFGAMPLVASVAFRMFGRRPVLPA SAEAWTHLAAVALIVTWPFAWLCLIVVGQAIL OJF2_RS23030 MQGINDGRDLNVLDVLALVMGSAIACVHVLRIMRGGLSSAGWVM ACLMFAYVSLTAAGPFVFMERKYSRRLPGYPRTGDILWAMLGLPWVVSALLQAAMSDE DPRQNPLFFSTLTVGLALACTGALAIIWGKWVVAPPHRAEAFESGPWTNRVGLFLAVA WPIQCGLGMAALN OJF2_RS23035 MPLPKVVIVGRPNVGKSSLLNWLAGRRISIVDDVAGVTRDRIGA LVQLAEEPEPRFFELVDTGGVGMVDRDDLSDDVDRQIDTAMSEADLILFVVDIREDLM PLDEEVAQRLRYLKTPVILVMNKADYPDLDQRGGEFYKLGRGKPIPISTRENRNRKEL LKLIDKMLPDVHDALKPADAAMKIAVVGRPNTGKSTFINTLARAERMIVSERPGTTRD SVDVHFDLDGLPFLAIDTAGVKRKAKIKESLDFYSIHRAERSVRRADVVLMFLDPTQG ITRLDKQLADYVAKEYKPCIFTINKWDLMLADRDDPSQANMARFANVVQHAFRNMSYM PLAFITAQTGKNVKAVLNLAQSLFKQANRRISTGTLNRVLREAVAAHAPAMRENRAPR IYYATQVGTAPPTIVLFVNSPRLFEGTYQRYLLNTFREKLPFRDIPIKLYLRARKQAE PGSPRPRADGDGAAVDPDDLDLDVMGDEGGHPGQGVRYLDHEVNELLSDLDG OJF2_RS23040 MARLDRRAFLGRGALAAVSASAAGILGPSGRASAIPPIGRTRPS HMKLSLAAYSYRDLLTAKPPRMDLFGYADLAADMGLDAIEPTSYYFPADAAAEYLRRL RRHAFLLGLDISGTAIGNNFCLPPGPRRDAELAHARLWIDRAAELNAPVIRIFSGSVP KGSTEDQAVDWAVAGIKESLAYAAGRGVMLALENHGGITATPAQLLRLVKAVDAPNFG VNLDTGNFHVEDPYAAIAELAPFAINVQVKTEVQRQGKGKEEADLARIVAILREARYS GYVALEYEADEDPLAAVPRHIKTLRQLIG OJF2_RS23045 MAEILRITPREMWEQAVAAGEFRSDDLAAEGFIHCATLEQLPFV RGKFYRGRTGLVVLRIDAEKLSSPLVWENPHPVMKLFPHVYGPINLDAVLEVVPLEEL ASGDGDG OJF2_RS23050 MATHLQDRRDPELDGWAKPPRWTSRSAGWVEVLVGVAALSLGWA VVSVYSFLRVEAPHLRPSEGPAPAERPSPLRPLLILVPPSIRAKGLPASELSLAADVR AAEERGGEMLWGTSYATGDGPGSAHGSATDSLGFQAPPGRKMDGWLMRVGWANWLDTG GIPRYLTTPAPPGRELLLEFPDSLAGHPVYLMGYNFDLPSRRFACDDRQFEAIERHDW VKVSGVMRTGSRMRWEAGKPDEALCLGDMTITGIEKVGADSPQRHDRPEGKPRPLPP OJF2_RS23055 MDAMNPPPGSPPPRPEADPTPGLARRMVEEARRKGDRAGEAAAL VDLGVFATNDSPQGAAAAVGFLQQAARIFRDLGDTRRQADAQGNLGLAYLGLGRPADA AQVLASAMDLADRSGDSYLRKIILERLAMARTNLGDPASALGILDEAVQSSRAVGDRQ HEARLHWLRAIALADLGRRDLAAAAAEESASLMRALGKPEAAWYEEQLRRFRDEAAAL PAAMAPGAILAGAGPPVAPAGPGLLRMAISATRAMAAFVASGMKATPAEVRDDRLATC RACEHHTGLRCRVCGCFTEAKSRMAHERCPLGRWPG OJF2_RS23060 MIVGVPREVKDDEYRVSMLPVGAEELTALGHEVLVEAGAGLGSG IVDSEYAAAGATIVEDVREIWAKSDLIIKVKEPMPKEWPLLRKGQVLFTYFHFAADEK LTRAVLESGITAIAYETLRDARGSLPLLTPMSEVAGRMSIQEGAKYLERPQEGRGILL AGVPGVAPAEVAILGGGIVGSNAAKVAAGLGANVRILDINLDRLRYLDDIMPPNVTTL YSDRHTIRESLERADLVVGAVLITGARAPRLVRREDLPRMKHGAVIVDVAIDQGGCIE TSRPTTHRAPTYVVDEVVHYCVTNMPGAVGRTSTYALCNVTLPYLLQVVKHGWKACAA ADLGFAEGVNIDAGRVTNKAVAATFGLPLAPLEPASA OJF2_RS23065 MAHEHEHDHDHDHDEHEHEHEHHIDEALEEALEMDTQSEIFLEM RRQNLELLRIATDVAGYSGEHGPLKTGDVKNAMRTIWEVFSEFYAWIDPEEEDEDDEE EDEDDDEE OJF2_RS23070 MPRREESASYRTLGWVGDEQTGHLRLIDQTRLPTEFIEIDCRDV PTVWEAIKLLRVRGAPAIGVAAGYGAVIGARSQGVKDEGTVRKALAEATAYLRTSRPT AVNLFWALDRMDAAAAATPPSNGPALLKRLLHEADAIAEEDRAMCRSIGKFGAELVQA GEGILTHCNAGGLATADYGTALAVIFSAQEQGKHVHVFADETRPLLQGARLTAWELQR RGVPVTLICDNMAAQVMKERKIQKVVVGADRIAANGDAANKIGTYGVALLAKAHGIPF YVAAPSSTFDLSIADGSAIPIEQRDPQEVTHGFGRQTAPAGVSVYNPAFDVTPAELIS GIITEKGVIRPVNAGTIREVLAG OJF2_RS23075 MLAHSVFFTLNDNSPAAVRALVDAGNKYLRHHPGVVFFAIGTLN PDLARPVNDRAFEVALHVVFDSKASHDAYQVAPDHLKFIEECKPNWKQVRVFDADA OJF2_RS23080 MIDFATPPPTDELPAFDPERGLQDPRTIHPSPDDIFDDPAMPAV DESADRSDAPPREEGSPVAASPGIVDPRSEPAGPDI OJF2_RS23085 MSEQIHRRQDADPGRGEKEYGDVEFADPVNNKYPIDSPKHVRAA WSYINHKDNASKYSADEVERIKARIKKAATRHGVEISED OJF2_RS23090 MSPTMILGRVALPAAGLLIAGWLLIRAFGGADADPRWKALPASL SANAGGDPSPSPPATAPDTTRILAEGHVAARPGAEIVVGAEMAGTVARVLVQEKSAVR AGDLLVEFRGAEIKAAAEEAVAKVSEIDAELAGIEREQARVDKLPEKQPGRDEAKDRL RTRREATRARRAGAVAAYRRIESEFARTKIHAPIDGTVIARHVDAGETVSLGAPLLKI ADLNRLRIVAEIDEYDIPRCAPGCAVAITAPGHAGRSWRGTVEDIADFLTPRRIRPDD PGRLTDTRVLAVRIGFDPLTPLKLGQRVEVAITESAGASATPGPILPPTTTPRVGRGS SDRSERH OJF2_RS23095 MLVLEAQDVRKTYREGPHEVPVLRGVSLALSRREVVAMEGPSGS GKTTLLCILGCLLGASGGAVRIDGREVDPRRPGRMWQIRRRSIGFVFQQYNLFPSLTA RENVVYSLNIRGWRGAKARREADRVLDAVGLSQRKDFRPRDLSGGQKQRVAIARALAG SAPLILADEPTGNLDAEATAHVLEMFRRLAREEDRALLIVTHDPSVRTIADRVVSIRD GALAGVEA OJF2_RS23100 MVDLAIKMLLDDKARFLATVLGVGFSVALVLVQVGLFFGLLENA SITIEKLDADLWVMARNTPNVDFGNPFPETQVQRVRSVPGVELADNLIVWYAVVSLPT GAKESVIYYGLDDFRRWGFPWNVSEGDTADLRRGRFVILDESAERRFGRFAAGDEREF QGRRLKIIGRTRDARSFTTNPMAFLDYRLAQQLSPEELGGQTTFILVKVAKGASVEEV RREIRARLPHNDVHTKAEWAEISRKYWIESTGLGMTIFLTVFLGALVGVVIVAQTLYA STAEHLPEFGTIKALGGRDLDVCGLIGEQAMFAAALGFGVGLALSLGLGPFLETLDMK MAITPAMGATVFVGTQILCLSAALLSFRKVAAIDPAIVFRG OJF2_RS23105 MTAPVAVITGASSGIGRALALELASGGYRVGLVARRREALRELA EGIASRGGAAFAAAADVADREALCEAIGAIEAALGPVDVMVANAGVGVPTRLDPLNVR DVEETFRVNVLGVVYSIEAVLPGMIARGRGQLVAISSLAAFKGLPGESAYCASKAAVN AYAEGLRIALRKKGVAVATVCPGFVATSITPMDSAAPFEISAEAAARRIARVIARRKS GLVRFPWPMALLMGLIARLPDRLVARLVGHGPEMPAGHADAAGSLAPGAGGG OJF2_RS23110 MSENEPVWITGVGLATPLGHDAGAVESALLAGRSGIARVSTFGT DDYPSRIAGQVVEVPCPAGMGPSAFRRLPRVEQAAAWCVESALRDSGLWGRHRGLRIG LVLGIGAEWMEAWEDDHREGGDRVREPARDRETTLDRVARAYGLSGPAITLSAACAAS NFAIEIGRNWLRRGLADACLAGGCEMAVTPIGLATFGNLKALSRRNDEPARASRPFDR ARDGFVLGEGGGVFVLERAADARRRSARAYAEVLGCGSSSDAHHPVIPSPDPSSAGRA VARALADAGLRPDQVDHINAHATSTPVGDAAEAAVLRLVFGEDLGRIPVTSTKSMTGH LLTAAGAVEAVACIAAMRHRAIPPTINLDEIDAPLDVVANVPREHAVEVAVSNSFGFG GSNSCLVLKAVEEPIGR OJF2_RS23115 MMANVGTTGPPGLRIHGERRVRGGLEAEVRSHAFRRMTVEELAA YRRRPEPAPGWPPMPAGLLRQSDDQTVAAIAAATDAIRRIDGCAPGYARDWGLLAAPR YLGRAKLAQALARFDEENVWGVSPHLIPHFALHSPSGTLSLALGIRGPNLGIGGGHCA AFEGVLAALSWLDAGVVPAVLLAITGWDPELVPDDRGEAPPGLACEALALVLVPPGAA GGPAARLGVVSRDASARPPSHGPAEIAGLLDRVDARPARARIIAHGPHDEAVPRPHLA REARPRGAGPAVPILADAAGRMVVELDILAGRGPHAETS OJF2_RS23120 MAAPSPSPRAIDKLTAALKGNTLVRILEDFEARFPDAHMKDLVV DDVRGEREVLIEGKWVTNFGSDSFLGLDRDPRVLAAIRSGLHRWGSHNGTSRAFSSVR SNADAEDRLADWLGIEATLIYPSVTLANHGAIPGLVGRKDVIVLDELAHNSMQEGAKL AQAGGTRVATFPHSNPEGLEAALSKLRPYRLALVCVDGVYSMGGDLAPLAALREVASA RDGVLYVDDAHGSGVLGPHGRGTVRDALGNYDDAIVVGSLSKAFSCAGGFVGCTAALK KLLKIRSNTYIFGGPVVPAYLEAIGQVLSILESAEYDRLRSRLDGHIDRLTRGLEALE LVVSGGAAPIVSILVGDEADTLKAGRFLFDRGYYVQSVLFPAVPYHGGVLRVQCNANH SDDAIDGLVSAFDALAHSRIKLPRKGDRRGWGTAVLDKVAAYCAEKLVG OJF2_RS23125 MNGRDALRATLLELLEEEMGEDFGPIDEEADLREGLGLDSVDVV GLVMRVERHFRIRLAMEELMDVRQFAQLLDLVQSKLDGRGEEAGARGPQSHAA OJF2_RS23130 MFARLAATIAGLATLLGPSATPAADEPKQVLKIEGDLKKMQGDW VTIDEKTSSESIWKFDGEHVTLKTPTRAYDMRIKVDSEGKPEKKIDFDVSDSSPNAKG YKAQGIYKFDDDGTFKICFSDGEAGRPKEFKTDFGKSFAFDLKRKK OJF2_RS23140 MADSDPKKLTDALKGGTGQAPMITLVLLLATTVSVFNLSSGKPA GSASGTQPAEAPAPAAPPTAAAPAVDDADALESLDPLIRFLNLGSTPPTLEALGNALD GYRVTTLIATLSDPKDSRLGYDFDMATEAIQRAIESEGYSLDRFRYPWLDPGPAPAAP AVAAVPAPAPAAPAATPAPSPTPATPKAATPAAAPAPAPATVHPVAVIVAPPAAPAKG PRYERQPGVILFRIDRPAPEPDKAPAPQELLLLLLVGETPTWGIQQQALSTSLDIAWE LDFKRNLKDFDRDPAIRILAPTYSGTADSMTRVFRAWGARPGRELARIWVCSGAATTV DKVAFERNSLPAKVLYAATVIPDEILLRSLFRYMAHPSGHEDPTAPPLPRGKIALLVE SGSGYGISVGQGYGTSGKTEQSRQIISIPFPSQIAQVRASQAGADAAAAKGRVSIPFD PPTGRQNDRLPALSPKMTIATDSLILANILATISSEDIRYLGIVATDILDVIYLTRLI RENCPDVQIFLVGSDLRYTDPSFTLDFRGTIIASSYPLDARAQVWSFPFEGSTERRLF ANEFDLGRYNAGLVLLNGVKDPNHEDRLVVDSSKAEDLLIYGRPFVATFMDSVNRRPQ VWINQVGQFNVWPLQVLSLNECDTTLRAQAEDLIPAVASLDPMAESKKDLHFRYDFPL VWKLFFCAGTFLVYAMVGLFLYTHTRGGRASSRTSVFDPLLQRLDATRDDRPRKRGFL VALALTSAAIPYCLLAAPVDVALPPRVAPAGEGEVRLVVGMDIYALAAVGVLTMLGML VPLSLCAYESLRRRRGGRPEGAADPSATPPAQAAPPARDGDRWLTAEGGAYRGMTFLV AATGIACILYRLYQFAVLRPSMPNDWMALDRSAHLLGGISPIVPVGCLGAAIFWWAYL ELKRIYSYPLLRRGVDLLSLEGMKFSKDSPWRQIIPRMNARFRFCVDLLEYPVSILIS KNLPLAGLMLAAAGGILAFVWGVVWPRFITTPDGRLFDLLVMLGFMGYLLLLLYSQVR YLWLWKSLMQLFRQVSLLPMADAFGSIPPRVAAKFGRFLRTSLHDDADLEIPLQQCRL LLGTSGGAAGTPGNSSAQEALRALVAIHEPGTDMERFEIVSTGCVRPVISMAWPHRTL EQSYGGSMGGPAAPAPPASADEKADEKAATAGLDPATIQWLSAAEGLLALRIVYLVSQ FAAPLRSMSSQLIYGPILLLLAIAWYPFHPQSLMAIMIWVFIICGVLATLLVLFQIER DDFVSRVSRTAPNALKLDQNMISNLAPYVVPVAGFLFTAFPSLGFWIGSLLEPIGRAV K OJF2_RS23145 MGSDREAGDDVAIRAVEVRKIYGMKDARVEALRGISAEVAHGER VGLLGKSGSGKSTFLNLLGGLDRPSSGGLHVGGSDLHALSKREMARFRSTTVGIIFQS FNLIASRTAVENVELPMVFAGESPRRRRAAALAALEAVGLGGRLDHRPHQMSGGENQR VAVARALVNRPRVILADEPTGNLDSQTARQVMALILDYVREHDATLVLVTHDEELAAA CTDRIVRLVDGRIAAEGIV OJF2_RS23150 MRWIDLLRFPLASLGQQKMRTCLTTLGVVFGAFVLAASLSIDEG VQRTIERESSRGDVARKVTVSSGWKEAEAKPADDAKVSGRMSPDRRERIRKVLAQRPR QGGAAAELIGLTPGRLDSLSRLPHVERVIPVVGEGGVATLGNRPEGASVSSGAGEAPE FRKRLIAGRAFESDDERSVLLSEMFAYRIGLVDDADLDQVLGRPLRIELRGREDGPSF YVSLADRSKSGGGREEQSALRQLAWQIPGVLDRFSLTGEEAASLRKAIRPGSTHVDPA VVADDFRVVGIFRGMTDEERKEAWAQFAANSDLVLPRRTAADLAFRDPTRREQGIDQA VLLVDDMRNVKEVVDRVEALGLRSHSIVNFIERERLTYLLIFGGMTCVAGVALLVSSL GIANTMLMSVLERRREIGIMKAVGAADWQLQAVFVLEGGLIGLVGGALGLLLAWSISF PGDAWVRSMVHSDMKIHLSGSIFAFPARIGVTVLLFTVAVTIVAALYPARRAAKVDPV SALRHD OJF2_RS23155 MGVMGWIGILAAVGLGGAGQPTTPAAGFRLQDHRGAWHTLDEAR DRKVVVLAFLGTECPLAEAYAPRLAGVARDFEARGVAFFGIDANPQDGPVAIGRFAEK HGLPFPILKDTGGELADRLGAERTPEVFVLDGSRSVAYRGRIDDQYAIGVHRPSPTRN DLIDALDALLAGRPVAAPRTEAPGCKIGRAAKPAEDAGVTYAKQVSRILQSHCVACHR PGEIAPFSLMDYRQAAGWSSMIAEVVDEGRMPPWHASPEHGTFANDARLSADEKKAIR EWAAAGAPEGNPADLPPPPRFVEGWQIPRPDLVLEMPRELEIPAEGSMPYQVVELDPK LTRDVWVRASQVRPGNPSVVHHVVVYVLPPGVEKIDEAGGDFLAAYAPGMPPRILADG VAKRIPAGSRIALQLHYTPRGTKQVDRSRIGLVFADPATVRKELMSGMALDVRLQIPP GTRDYVSRAEFRFSRPSLLLSLLPHMHLRGRSMTFVAEYPDGRREVLLDVPRYEFDWQ NLYVLDRPKPMPEGTILHTEAHFDNSAENPNNPDPRRAVTFGEQTWDEMHVGYINFTL ADQDLTLGMPAAKRLESGRYEVTFRHRPAGPAKAVAVVGTFTDWKERPLAMAGPDASG AYAATVELAPGAHEYKFLIDGETFREDPGNPHSAGFFRNSVIRLP OJF2_RS23160 MPRELGPDSLPLELAARVDRACDAFEAAWRDGRRPRVEDHLAVA PEADRPALLGPLLIAELEGRRAAGEAPDPTEYHARFPGLAAAVDAAFAAAGPPPVTLA GLTLRLVEPDRPARPPFALPDYEVLAELGRGGMGVVYKARHRRLNRVVAVKTLHAGSA APEAITRLLAEAEAVARLRHPHIIQVHGIGDCDGRPFLEMEYVPGGSLADRLDGRPRP PRAAADLVEALARALHEAHRLGVVHRDVKPANVLVAEDGTPKLTDFGLAKLLGDSDNP AAVTRSGALLGTPSYMAPEQAAGRTREVGPATDVHALGALLYELTTGRRPFPGPSVAD ALEQVRSSEPPRPRSVAPGVPRDLETICLKCLEKDPRRRYDSAAALAEDLRRFLDGEV IQARPSGVAERAWRWCLRRPALAAMGAAVALLLAVIAVGAPLAALSWRHQRDLARDAA RDATEKLWRSYLNEAHATRLGGREGRRTEALDLLARAAAIRPSGELRDEAIACLAVAD LRVQREWEGLPVLNTGINFDPELARYARSDARGTVSVRRVADDAELLSLPAPEPPAPA TALSFSPDGRHLAAIHAGRGYERLLHRVWDLADRRLALDLPTDLPGAASGFSPDGRLV AVGRPGRALEIYELATGGRVGGFSATPDLADIAFHPLGRLVALSSAADRVVQVRDVQT GAVAASLPHPTGAVGLAWSPDGATLASGGGDHRIRLWDVATSRLRIALEGHRAPVTRL SFRPSGEGLISGGWDGATRLWDTASGTSLVTATGVPLRLDAGGRRLAYYSAPRVGIRE VADGDAFRRLRPERAAAEDAGPARVLGVDVDPEGRLLATAGNEGVRLWDLATSREVAH LPLAGSGAVLFDERGRSLITDGAPGLKIWPIRPESEGTAAAIRLGPPVEVPRPADRSD TPFACLSLAGSWLTAAHRPRQPAIVLELGRPDDCRPIPDRPGVDAVALSPDARWIALG GRGEPGIRIRERETGRLVTTLPGGVEGASGVRLAFSPDGGSLITGLQADYRCWRAGTW QLLWTSDRGRLHERPGPVAFAADGRLAAITPTSDMVRLVDAATGRAVAALESPEPEGV HALCFAPDGGRLAVATDGRAVAIWDLRRLRRHLAEMGLDWDLPPLPPPAAGEGGGGGE PIVVRVSEGESS OJF2_RS23165 MNLAALSLALIAALPADGPEPPAVGAPAPRLSPAELLQAPKGAG VAWADLKGQVVVIEFWATWCGPCVEAIPHLHDLAERFRGRPVRFLAITDEEPRVIRRF LEKTPMKAWVGLAGEATFEAYGVRERPLTVVVDAAGRVAARTTPNNITPELIDAVLAG EAGAISRPIARLEIRPYLGKAGVHREEPAGGGLRMTNVGLRPLLSYAHRVPLTRIDAR MPLPDQAFDVDIEVAGADRKALRPLLSATIEATFRLRATLQPREADVLVLRRAPGKEP PPPAAGELDQLRSSPGSYSATRRTMGEFALDLEGMLGRPVVDETGLAGACRVDVRWNR GELESTAAALRDQLGLDLVPETRRLPFLVVEPQDGAGR OJF2_RS39410 MFIPLRRAFPALALAILAGGTGVARAQAMALSASRLLEMSGPDL DALYRQGRSVGLPAGRVRGTAILAPGTRRNEAMAAGTRLVWQGKVYNPAEAVAVNRFF GLPIVKARVYQAESWLDGSPSLILDYSQTSRIYARNRDEIREIAPGLYLGLMYARTSP QPTLKMYFVLEAQP OJF2_RS23175 MIRFGLCCIFCEQPIKFFTTTAAALSRMPRADALRKVEALCLAN AESLMAALKYCAGAGIGCFRIQSQILPVKTHPGQGYAIGDLPEAAAIEAAFRACGAFA REAGLRTCFHPDQFVVLNSPRPDVIESSIRELEYQAEVAEWVGADVMNIHAGGAYGDK TQAMAEFARGLSRLSDRVRSRLTVENDDRTYTPADLLPLCRAEGLPLVYDVHHHRCKK DDLSVEQATADAIATWDREPLFHLSSPIDGWEGKAPHRHHDYVDPADFPACWRALDLT VEVEAKAKELAVLRLMEDLRRDAPAARGRKVKAAPRARSTS OJF2_RS23180 MDRQQREDELKALLTTQRGKEELLETLKKHAGIEAGNLPSFGTL LVQTILNYEYPDAAEPADRTVARAEAESRPGPEPAGTTPETQSAAGSPTTARAKEAPG DTKFDQPPGQESPGG OJF2_RS23185 MSPATPSSVEDGPRGVSQSLLEDSRVIDRIVQRMGGARRLLFIT GAGMSADSGLPTYRGRDGIYRAQQATPHGPSIEQALSGSMFEERPEVTWHYLLELEKS GRGAGPNRGHRVLAEMEDYFESIWILTQNVDSLHQRAGSRNVLDIHGSLHDLICPECG RHRVVPDFEDLDLPPRCPDCRAIVRPRVVLFGEDLPYDKLARLWQELRDGFDLVFSIG TSSLFDYIVEPIRRARQRGIPTVEINPEMTTVTPLVDYKVRTGAAAALDQIWERYLAF WPWS OJF2_RS23190 MSTRPQTGRRRLARSLVVAATLLGLSPQFVGCSASRIAGRKPSV LAPAAAAKTAAAESAGSKEVALASVEAAEGRTEPLRTWWRGSKLRRDIRRGTHAGKEG VKEATRLARIAAVWTMVGSVIAGLLWLNGEADDGNLSPGPDDGTSLVGSN OJF2_RS23195 MMRLGEVVGWVVLGTVAVQGASACTNIVVGRKATRDGSVLITYS SDIAIMPRLLRVPGGSHAAGETVEVKGWEADDVRGRIPQASTTYTVLGLVNEHQVSMG ETTTGGRRELRDRKGLLDYDALMLLVLERARTAREAIALVDALCREHGYGSSAETFSI ADRHEAWIMELINKGPGQKGIVWVAARVPDDCLTVHANMSRITTFPLDDPNNWLYAKD VISFAAEKGYYDPKSGRPFSYRDAYHPDHGPSARRACAGRVWSVYRRAAPSRDFSDAW FRGEPGAEDYPLFIKPDRPLSVGDAMALMRDHFEGTPYDMTKGADAGPFGSPLRLRPL VFKVDGKEYMWERPISSPHAGFTIVNQTRASLPDLVGGLTWFTPDDASTSCFTPFYAC MEALPEPYTTGDYRTFSWQSAYWVMNVVSNATYDRWSHVIPDVRAAQAEQEGTLLKMQ PAIEEAAAKLAATDPKLARSFLTNYSLSSAETVFDRWRKLAEAIFAKHVDGYIKDAHG EAHETGYPEAWLRHVIESHPGRFSPPGKIGLTDY OJF2_RS23200 MGYKVIEGTWEEVTRNGRDLAGHRVRVTVLDDAEEPGVPDALET DGTAGPLPLNPTSEGRSPGPFLEEEAIGIPFELPRLGTTILRSYVREQRRLPDLPADE OJF2_RS23205 MYLTPDGLRTAVPAGPADVPLSQGDILDDCQLVFWADETSEIAE QDKPHSTLARVIILTQACDLANEKTSRAVVAVVHDAGELVRTGRVKEKFIRDNVRRGQ VYGWYFLPAHDSCPSFPESLVDLRDLHTIPLALLRGLKARGKHVCRLVTPYREHLAQH FSTTYSRIGLPEPYGTLD OJF2_RS23210 MEPDIPRILREVLKDYALPWDGDHGVAHWARVLENGERLAKATG ADLAVVRLFAVLHDSRRVNEHGDPGHGARGAELARELRGLLFDLDDPRFRLLHRACAG HTDETTHPDVTIRTCWDADRLDLGRVGIEPDPQWLGTDAARDPEMLKWADGRATFGVI PQLVRDEWGIDLANLSRTRRGRAAGW OJF2_RS23215 MNDAALMEDRIAGGDAAAPAAAPAHVHEHGEEPPPRKPPFTRLL GLGAFLAVSLAGLSWAGIQGREHQRVERDAVQHVVRDEKPRVLTVVAAKAPGTVDQVL PATASPLYQTSVYARTSGYLKRRLVDIGDRVKEGQLLAEIETPEVDSQLLRARATLNQ SKATLERDRASERLAHLTLMRTRRLHGTANVSHQEYDDAEAAYKVAVATTKVSEAVIE ANAADVKRLEDLQAFQKVVAPFDGVITARNYDAGALIVADDAKSAELFHVARNDTLRV VADVPQVYATAIRPGLEAPVFRREAPGREFPGRVVRTTGRVDPSTRTLRVEAEVPNPD DALLPGMYLLVRFRLEAPSNVVQVPGAAIIARAEGTKLATLDADGALRYRKVTVGRDF GNTVEVVSGLSPGETVVIRPGDDLPEGAKVEPVPSAAL OJF2_RS23220 MWIVRLALDRTYTFVVASILIAVVGLVAIRRMPVDNFPNIDIPV VTVVYMYSGMAPEEVERRFILVTERVLTASVNDIEHIESQSMSGVGVIRIFFQQGAKV EAGVAQVTATCQTILNNMPPGTTPPFIVRYSATSVPIVQIAVSSDTLTEAQIYDYAAN FIIQRLGTVQGARVPQPYGGKPRQIMVDIDPDKLYALGLSAAEVSAALNSQNLILPSG TAKIGETEYNVRVNSSPEVVEAFNEIPVKSRDGVPVYLRDVAYVRDGYAVQTNVVRQD GRRAVLMPILKGEGASTLDVVERVRAALPNIQAQLPPEVHMELLFDQSVFVREAVGGV LKEGAIAAGLTGLLILIFLGSWRSTLIVVTSIPLSILCSILSLWAFGYTLNVMTLGGL ALAVGILVDDATVEIENVHRNMAMRKPIRRAILDGAEQIAAPAFVSTLAICIVFVPVV FLTGPAAYLFVPLALAVILAMMASYVLSRTLVPTMVLYLLKGEAKQLAAGGHHASSGF FGRLHAGFERRFELFRQGYRGLLAFAMSHRLAVAGGMLAFAFGSFALAPFIGRDFFPT VDAGQIRLHVRAPAGTRIEETERIFGQVEDVIREVIPAHEREMILDNMGISPFFTNTA YISNELVSVSDGEVLVALKEEHRPTANYVRTLRRELGRRFPGCHFDFLPADITGQVLT FGKPAPIDVQVVGVRREENLVVARKLRDELARVPGLVDVRIRQVTDSPDLRVDVDRVL ASGLNVTQQEAARAMLVTLSSSNIVSPNYWVNPKNGVNYRVAVQTPQREIHSVDALMN TPVTTPGGGGPPQLLANLASLRRAQSPGLITHYNVQPVYEICANVQDRDLGGASADVS RIIDEARKTLPKGSLIYVRGQVESMDESFMGLGLGLAFAVLLVYLLMVVNFQSWLDPF IILTALPGAAAGILWALFGTGTTISVPALMGGIMTVGVATANSILMVTFANDRRAEGR TAYQAAMDAGATRLRPVVMTAFAMIVGMLPMSLGLGDGGEQNAPLGRAVIGGLLVATC YTLFFVPIVYSILRRREPSAVANDAEEDDAEWNRPEIDLVGAHA OJF2_RS23225 MKVVKKDCIRDQIRRVIAERILGGVYRPGDRLVELQIAREFGVS QGPVREAFLELEGSRLVECGSYRGTRVRSICLRELREAYQARAMIEQEAAPAAARHFR GNVEGLRKEHEAIMRAAEAGDLAEVSKRNSALHRLILEGSGNSVLLRLWESLSFETLT FVRVSRPDGPECLMRTLANHVPIIDALEAGDGELAGRLLREHSLSILPPDEGPCDEEA PAPIEQESRPPARAASRPKRKAKA OJF2_RS23230 MRTDGMEGKGTGPAPAIAVRGVGVVRDGRWILKDIDWTVPTGAC VALLGPNGSGKSSMARVVSGYLWPTEGEVRVLGERFGEVNLQELRESLRLVQPHGQFE PPPEMTAIQVAMTGAFGTVGLYREVSPELRAEAERLLEIVGLRAVMTHSYQTLSNGER IRCLIARALVCKPRLLLLDEPTAGLDLLAREQVLATIQSLHQSGEDPPTIVLITHHLE ELPPAVSHVTILDEGRVAADGPPGQVLRGELLSKVYRCPLEVAHHDGRYYTRVSPGAW GGLLKRG OJF2_RS23235 MEAEHCPACGKTLSMLGVARTLGEAAASQFVPNYVRSLRSWRDG VTLREGARFRCCLSCGHVWANLKPEELRAFIVAHGDELAKQALARGDAEAWYDLPDHP EARRAAEAVGEIDALFLAEKTAEAVRRFRELSGRTWDEAIEATRNWRAKRRAEKLALF GWQSKSPSGDVGERWAVHPLHDPLLDG OJF2_RS23240 MSPRMRRAALAAALFLPCAPCRVAPAEVLFKADFETGDFSQFGG RSKGAKPGHMAVVTDVVHSGKYAGRFTIHEDDVFNARQLRVQANGPVVAVKEGTDTYA SFFVCMKDAPKDRDNFFYWEGSPPPRWNNVMTWWVEPKKDGQGTLIRYGTGNLGRKGV LWEADFTTGAWHQLGMHIHWSEDPAKGNVRLWWDGKLVLDAKAQTKGPQASYFSQPGI HRDPHSRTVDTIYFDDILCATTLEEIRLVKPGDAKAE OJF2_RS23245 MRGWSRATLFAMACGQFAGHADAQQAAVAESAAATVAGLQAKDL EARRAAAVRLRDAAVDGRRRALPALIDLLMKEKDGQVRLAVLDAVTSLGPDAAPAVPA LVHTLRTDYGGQRSEESHQDYRSAIALAAIGRPAVEGLRGLLKERKESVRAAVVMALG RIGPDAAQAIPDLVPLLAEGSERIGREATEALGRIGPPAIGALLAASAGKDGPGRVRA VEALGYLPAADDRASVALAGLAADGPPEVRAAALGAMARLKLPDEAVRPALVANLRHE DARVRLAAVDLLQGRKALLTGLTPELAGLLNGTDEGVCRHAAFLLSAAGPEAVPRLLD SLRRDGGRVGPIAEALARSGRAGVAPLSQALAAPQPAVRRGAALALGKIRPVDPATAG KLAAGLADADRDVRAACLTAIGELGPRGADAAPAVRGLLNDPSAEIRLLAVATLARCS PRDERLVASLAPLVDDPDPRVARRSIDVVRGLGPKGIRVLPWAVARLDDRDPDVRLAA LEFVESHGSAGAAAIPRVTAMLDDAAPRVRMTAARTLGGLGKAAQPVFPRLTPLLDAP QPEVREAATSAMANLELEAEALRPHIGKVLRDDSPEVRRAASRAIQRLGPQGALFIPD IILMAEKKETAQAAERMLRRFERGRPDARSLPELVKQLDHKQEKVRLLAIKFLALAGR DAKDAIPALERMREDASDQVRKQAEAACKRIKETKPEPASKA OJF2_RS23250 MSHRVTRRTMLRGMGAALALPWMESLAAAATKSPTPPVRMCFWY VPNGVHLPAWFPQREGTLVDLPETLRPLSFARDYLNCFQNLTHNTALTNGDDEGCGHG QGSASFLTGAQALKTQDAVRVGISADQLYARHVGNETRLPSLELGCESARSGNAFGYS GTYKTHISWRTPTSPAPYEMNPKIVFDRLFTSRNTSLTQATVADRDFYRKSLIDYVLD DANRIKGRVARSDQQKLDQYLTGVREVERRIQNPASPGDDRPADFPRPAGIPEDFDEH LRLMCDLMVLAFQTDTTRASTFMVTKEATDRNYPWLGFTDGHHELSHHAGDAEKHRKL REIDRYHIAILGYMIEKMMSVEQPGGTTLLDHSMVLYGSGISDGDLHNHVNLPVIVVG KGGGGFRTGQHLKCRPETPMSNLLLAMLRQGGVPVDRFGDSTEPLPGLLST OJF2_RS23255 MPRSSSVRKAVKGAASLAIGVLLASAGVASARDDGGAAAGGPRP PDAFRERVSPLLNRYCVECHSQDDPQAGVSLDRYADQEAAFKDRGRTWLRVRDAVEGH LMPPAESRQPTAEERARIASWVEDSYLPAQCGQQAGSASVVIRRLNRQEYDNTIRDLL GLDLHLSEAFPADEIGFGFDNVGSALNISPIHVEKYLDAAEVALQKAIVPPDAEGTPP IELIGLKTYPLPRDKPVEFAHSLKPGRYLADFSLVRVGIDESVPPPRLVIGLGKDRRT VEAARVQDETVVYRYWLTVAEGDNRVHVSLAPDQAGSRHIARPAEVAANVSGDQRYGG ERGLHVDSMVVHGPVPARRAGLPPSHDAILFRTPGFGDGSRLDCAREVIARFVERAYR RPVSPGELERVLDVFRLADDRGESYERSVQLALTTVLASPHFLFLVEPEPTRDDRPLT EHELASRLSYFLWSSMPDEELFREARGRTLRANLRRQVVRMLRDPRSSQFVENFTGQW LQLRRLGGVTPDRDRFPGFDEPLRAAMKRETEDFFAYILREDRSILDLVDADYTFVNE RLARHYGLDGVRGDAFRRVALADRRRGGVLTQASVLTLTSNPNRTSPVKRGQWILQQI LGTPPPPPPPDVPKLDDSSQAADAASLRERTELHRSKAECASCHQQMDPLGFALENFD AVGRWRAKDGGFPIDPSGELIGGQKFADVRELKRILAAGSERKFARCLIQNMLTYALG RGLEPRDYCTVEDIRKRLVAGDHRIHEILFGIVESQAFQHRGTAL OJF2_RS23260 MARILIVEDEDKLRGALLRGLAEEGYDAAACEDGEAGLAAAMGG EFDCVVLDVMLPRRDGIDVLRALREAGSRTPVLLLTARGEVEDRVRGLDAGADDYLTK PFAWAELLARLRVCLRRREDAADPALHAAGLDLDRVRRRVSAAGQHAELTDRECALLE YFMRRPGRVIGRDELARDVWKDPQAGLTNVIDVYVNYLRKKLEKVGAGGRIRTVRGAG YELRG OJF2_RS23265 MPFASIRARLTAWYGVVQMVTLVGLGVAVYVLMARSLLGRVDAT LDFEIEEAADRLRSGRPEVFPADLPAAFHETYLMCVRGPGGDVVERSPSPVAAGFPDP PPDEGGGATSHATADLGAGGPFRVASRAVGDGESSRTIQVATSLAAYEREVADLRGVL WTILPAGLLAATLGGYALAGRSLAPVQRITESARRISAANLGERVRPSDGRDELGRLG ATLNDMLDRIDRAFVATRRFTGDAAHELKTPVASIRAEAEVALISRRPADEYEATLRS IVEEADRLARLSERLLLLSREDLGAFAELPRQPVRLDELVRATAADAAELARRAGVEL RVEALPASFVEADPVLLRQVFENLIENAVKYTPSSGAVTVRGRAQGDGAVVEVIDTGI GIPAEALPRIFDRFYRVDPSRSRRTGGTGLGLSIARALAERHGGSIEADSRPGAGSTF RVVLPALRTGGPA OJF2_RS23270 MNRQTAEAPRRADGAAFTLIELLVVIAIIAVLIALLLPAVQSAR EAARRAQCVNNLKQIGLALHNYLSANNTFPPVTVMPQGRTSQPWSGLVRILPYLEQGN LYNTVNWNSQYEFTSSPTLAMTRVGAFICPSEVNDTPRVGTSLIYYPLNYSFNQGTWF IYDPASNTVGDGAFVPNRAYTPAAITDGLSSTLAMSENKAYQANYWDTKNPSTLGVAP PTTPQDLVQYVGGTFDTNGHTEWVEGDVHEVGFTTTFTPNARVYTLVNGLQTDIDLTS LRDGESFTLPTYAAITARSYHPGTVSTLMMDGSVRSVKSTINLLVWRNLGTRAGNEVV SADAY OJF2_RS23275 MRHRDWLMIIPALVLASPLAGCGRDSSKAGEDGRALAAEFLDDL RAGRFPEAFARTTTEFKSLMGLDTLRDYVKAHPALKGTPELADAKPSSRNGIKVTEYT YKATAPPSAHARGKPSSSSSSPATIKVLVVAEGDGWAVESLTAE OJF2_RS23280 MILAEAYPTYTDGEIEGVQIRNLKSHHDNRGWLIEIFRHDELDQ DLWPVMTYVSSTLPGVARGPHEHVDQTDGFAFIGPSDFRLYLWDTREGSPTKGNRKVV TLGVSRPAAVWIPPGVVHAYRNVGTEPGLVFNAPNRLYAGWGKKEKVDEIRHEEADPR MFPMD OJF2_RS23285 MVEASKAWGGRFASATDGRVERFTESISFDQRLFGHDIRGSIAH ARMLAKVGLLTVEECRQIERGLLEIRAEIEAGTFRFLLEREDVHMNIEAALIERLGDV GRKLHTARSRNDQVATDLKLWTRDALDRIGERLVALQAAFVAGAERHREVIIPGYTHL QRAQPVLAAHDFLAHAEKLERDRSRVADCRKRLNVLPLGAAALAGTSLPIDRHEVARE LEFDDVAANSLDVSSDRDFALESVFVLAMIAEHLAGWAEQWILWSTQEFGFLALPDAI CTGSSIMPQKKNPDVLELIRGRVARVIGSLTTLMVLVKGLPLAYNRDLQEDKEPLFDA FDTVEACLELAAVVVDGARLRGDRIAERIEEGFLDATTLMEHLIERGVPQRTAHAVIG RLVGLCERKGLTRLADLTDADLAEADPALGTGARDRLGVANAVKAFRSYGSTAPAEVD RQIGRWKERLGL OJF2_RS23290 MESFHYRDSRLYCEDVSVADLAARFGTPLYVYSEASILGTLESL QSAFVELDPLICYSVKANSNLGILKLMARHGSGFDVVSQGELYRVGLAGGEGSKTVFA GVGKTDEEIAAGLDAGVLMFNVESEAEMEAIARVAASRGRVAPIALRVNPDVDPKTHR YISTGKKQSKFGMDIERALRAGQAAAGMASLEMIGIHMHIGSQITTVEPYAGAVAKGV EVIRRLREMGHPIAWYNMGGGYGIAYKGKEARPVAEFASAIVPGVKAAGCRLAIEPGR VIAGNAGILVGRVVYTKQSGDKRFLIQDAAMNDLIRPALYESFHRIWPVDVPAGLPAP PEDYESEIAGTEPWDVVGPVCESGDFLAKDRSLPPLDRGDLIAVFSAGAYGMVMASNY NTRPRAAEVLVAGGEARLVRRRETYEDLVRQEVGI OJF2_RS23295 MRGNRQTSSDRRGRGLPGGRAPSRARLRPRSAAARLLLALLVSG PVVALLTAVPAPAQPPGPELFAVDPRDPQELWAAIDYLVQTRQAAKAVPYLERFSKAE VSDEALAAIRERYGIGSILRLADDRATARYAEPLVARFAEASRRYATMPERLAALTAE LTGSPQEQNFAVAGLREAGPYAVPAILEAMQKPGVPPEARALYVKNLGRLDRSTVPAL LAAVEADDPAVAADAADALGRIGEPTAVPFLTYAAAAPGTPAPVKEAARAAIARLTGR PYGSRGHAPERVLSDAAWRFHRHEVEFPSDPVIVWAWDADRKVPAPRAMKKADAERAL GLKLADQAVRLAPGDLDARAARASLALEGAVERVGFNDFPGKEQAAFDAAAKEGPGVL AEVLRRAAADGKDDLAAAAAMALARDIRPEDLARDGRPHPLVDALVAPGRRTQLAAAR AIANLDPKGPFPGSSRVVPALARFLAAEPPPRAVVIDSNANRGSQVAGALSGLGYYAL MELEGGQGFLAAADSADTELVFVAHALEGNRAWTLTDVLTNLKRDARTANLPVYVYGP LHLDVERPSIPRNFPGVKFIVQPVSPEVLGQLLGGRPSRLTPADRSRYAAEAASLLAK IAGRPDGPFAADLAAAGPALIFALNIPESRESAAAALAEVPTTGAQRSLADIVLDPAY EAPYRAAAARHLARSIARFGPLVSGDQETQLVADASSEPDAAAREAIAAAVSSLRARA SGNTRTKSDASAPAPRAPGR OJF2_RS23300 MPIDTRSSYGASPLAGLSSGGLAGAGASHPSMGLPGVLGESQGM REVFRTTRQVAPSRACVLIVGETGTGKELIARAIHDLSPRSTGPYIRVNCGALTESLL ESELFGHVKGSFTGAVDNRTGRFEAAHTGSIFLDEINSTSPKLQVKLLRVLQEGEFER VGDNNTKKVDTRIVAATNRDLLDEIDSGRFREDLYYRLNVVPIYLPPLRERREDIEPL VLFFLKRYGEQNRREMRKVHPEAMRKLREHDWPGNVRELQNYVERSVILGTGPELLVE FLPPQLRGEAPPRPIRHRGGGADFPSLTVELVRQGIRAAGANANDLHDRIVGQVEREL IQQVLQACDRVQIKAAARLGINRNTLHKKLSEYRIDENAPGGPARNGDGGEAGDAPSN PRADDPISDDE OJF2_RS23305 MKAVPKTSAAPAEVPPGIELDRLHQGDCLKLFPTVATGSIDLVF ADPPFNIGYEYDVYDDRRADEVYLDWTKEWGREVVRVLKPDGTFWLAIGDEYAAEMKV LFHRELGLSMRSWVIWYYTFGVHCTRKFARSHAHLFHFVKNPDRFTFNDAEIRVPSAR QLVYLDSRANPAGRLPDDTWILRPQDVPGGFEPEGDTWYVPRVCGTFKERAGWHGCQM PEQLLGRIIRSCSNPNEVVLDPFGGSGTTLTVAKKLDRRFLGFELSPDYAAAINARLD ATKVGQPLEGGADPMAGGKGRGNRARG OJF2_RS23310 MAERDAGRNDFWASFLELSGFTRAWMALGLTDDDLRSLQLAILE GPTRYPVISGTGGLRKIRFAPTRGGRGKSTSHRVCYACFLADGVVVLAMVYSKDEASD LTARQRREIAAALTMIEEELKRGER OJF2_RS23315 MSEKRIRRSGTAWKKGEEIIDGLRELADVLRTGEPLEARFTVRK LKIAPPPQFTGEDVRRVRALLDASQAAFAGFLGVDASTVRSWEQGIRTPSVLACRMLS EIEADPGHWNRRLAACLIATDTREAPFKSDLGGQPTSKRRR OJF2_RS23320 MPEPQPPVATVRPVDPSEATGKVAEIFEDIKRTKDIDFVPRFWQ VIATNPAQLEMVWSSLKAIMHPEAVGRTSRLDAVTREIIAVAVSATNGCPYCVNSHTA ALRKLGLDAEAIGEMMAVVGLFNMTNAMANGYQIEPDVRPKQD OJF2_RS23325 MTATPESSGPDAEGPTTTSPAAGPRRRRRHARGAAAALIVLAMW MAASCLIAYRLTRRSRARFDEPAPAASWGPIEGLRLATSDGHDIGGWFADGRDPAAPS VLLIHGNKGSRGNSLKRAGFLAAEGFAVMMISLRAHGDSSGDSNDFGLSARRDVVAAV ESLERRRPGRPIVVLGTSLGSAAASFASGELGRRVSGYILEAPFADLKTAVWNRTHTY LPPVLAPIAYAGLRAVGPLFVPELDRISPLDAIRGVPSDVPVLIIAGEADTLATPDEA RAFLRAVDGHGELILFPGAHHNNLYSSDPGRYERAVLDFCRRAGRAG OJF2_RS23330 MIDLIKKTLLTGVGLAVMTKDKVEELGKELASQAKLSENEGREF VDHLLKQSEAARDSLESRVNAAVQKAISALPLATKDEVAKLTARVEELSTRLHEHASH SE OJF2_RS41235 MTSPDEALSTPAAIARAAVDVHRDRWRRGDRMPVEAYLEREGIG RLGSTELLDLVYGEVLLREEDGEAPQLDEYLRRFPDHAQALRDQFEIHEAIRAGGSFA MALTSPSLDRGGVAPADLTGTVDASEAPGPPPRPTAEMPAPVAEGIPAARPGPAPAPE DAPEPGWPRIEGFDIHGVLGSGGMGTVFRAFDRKARRHVALKTMNRAGAVALLRFKRE FRTLLDVVHPNLVTLHELICDGRNWVLTMELLDGMDFLRHVRAGSDPAERERRLREAL RQLAAGVSALHEAGKLHRDIKPSNVMVTPKGRVVLLDFGLAAEQEADGRHRSTEQHLV GTAAYMAPEQAAGRPVSAASDWYSVGVMLYEALAGRLPFRGGILQILMDKQRAEPSPP AAVAPGVPEDLDALCLDLLRRRPEERPSAAEVVRRLAGIAAAPRPEAGGGDAAPDPPP VPAAPALVGRDRHRRALDSALEDRRNGRPVAVYLHGSSGSGKTALLQSFLDDVNERGG AVVLSGRCYERESVPYKAFDSVIDALGRHLGRLGPAEVAGLLPRDVGSLARVFPGLRR VPAIEEAPRSGFETPDPQELRRRAFRALRELLARIGDRRPLIVAIDDLQWGDVDSAAL LAELFRPPDEPVFLLLATYRREDRGRSPLLQALAQMGLLGGEATAAGAPRGDCRELAI DPLGTDEARALAGALLGGAGPGDAAVRAQVVDAIAAESRGNPFFVAELARHVLADGAA AAGEFPARADAPPGAASSGLALDNVLWARIRRLSPEARRLLELISLAGRPLRVAELVR CVEQAEDERVSLATLRAGRLIRSTGRAETDEVETYHDRIRESVASRIGPDLARSHHRT LALVLEASGRTDPEVLGEHFLGAGLPERAADHFARAADQAAEALAFERAAALYRRALD LRPGGRADDWRLRAALGDALANAGRGEEAAHAYFGAVPDAPASESPDLQRRAAMQLLV SGHIDEGTDALRTVLAAVGLALPDTPRRALASLLRSRLRLWLRGLRFRERPEGRIPAA ELGRIDVCWSAGVGLSVVDTVRGADFQARGLLLALDAGEPSRIARALSMEAAHSASIG GSRRRETGRLLAIAEELSRRVDSPHALGMVTMARGVAAYLEGRWAEAQRGCDEAEAIL RDRCTGVTWEINTANAFSLWALSHRGALGELSRRWPILLTRARDRGDLYAAMNLSSYL MSIVKLAEDDLAAARAGLEETAARWSRRGYHVQHNDALWAGVQIDLYRGDGMAGWERL RASWPALRGSLLLRVQFIRTSMRFLRARAAISAAAGLLRTEPSRARSLLAVADRDARG LARERMPCPNAFALLVAGGVAATRGDRDRAVASLRRALTAFEAVDMGLCAAATRRRLG ELIGGDEGAAEVRRANDWMAGERVRDPSRMASMILAELPGRGA OJF2_RS23340 MTGTEAPADSFESLIRRVREGDQVAAAELVRRYEPAIRRAARVR LVDTRLNRLLDSMDICQSVLASFFVRAAMGQYQIESPEQLLKLLATMTRNKLAGAVKG QRAQRRDFRRVEAMGGDAAGDSASGPGIDAIPGRGASPSREVAARDLLEAARRRLLPE ELALFEQRQQGREWADIAAERGASPEAIRKRLARAVDRVAEELGLD OJF2_RS23345 MSKSMRFRSRVAASFIAAGLVLMIGGTRAGAAAPGQHGSRGGGH AGAGPRMNAAHGHGPNVRYGGGHGYGHGYWRGRGWYGGWYRPYVYPVRHPYPYYPPYP YPYPTTNWYPWVPGY OJF2_RS23350 MPGYTIELNLEGRTAVVVGLGAVGRRKAAGLLAAGARVVGVDPM ASTTGGEPLAGIEVLAEPYRDQHLSGAFLAVAAATPEVNRRVVRDAKGLGILGCSASD PGEGDFVVPATWTSGPLVLTVSTSGASPALAAALRDRAVEALGPAAAGLAAILVELRP LVLARVPDSAARRPLFLEWADPKWLALWQEEGPEAVRRDLVRRIEQAAASGPADSAHG REDRPEP OJF2_RS23355 MSVASETKTRRHTPDDLLDLPDGDHYELVDGRFVEKPMSMRTGG VETRLILALGNYCEGRDLGSVFTASCGFQCFPDHPDRVRRPDVSFVRKDRIPADESLD GFAKIPPDLAVEVVSPRDLAGELDEKLDDYRSAGIPLIWVIYPASRKGWVYRVDGSVT LLREDDELSGEEVIPGFRCKVGSILPPSPTGKMPTLPEAGNGKRKPGEPRSRGGRRKS S OJF2_RS23360 MSVASQTKIKTKRYTPEDLLGMPGGERYELVDGGLVEQVIGTES SWIELYLAALLLFYCKEKDLGWVLPGTTGLQCFPDAPDRVRRPDVSFVRKGRYPGERL PKGFTPISPDLAVEIVSPRALAGELESKLLDYRQAGIPLIWVIYPDSRSAIVYRADGS TSVLREDDELSGEGVIPGFRCKLREIFQAREDADTAAEPGNGSRKPGGGRAKSGRRKP S OJF2_RS23365 MPLLVQKFGGTSVADSNKILAAARRAIQAHQRGDRVLVVVSARG HTTDELIALAKEITDRPPAREMDMLLSTGEQVSVALMAMAIESLGVPAISFTGAQIGL VTDSYYTKARIKNISSDRMAQALADGKVVIVAGFQGVDENYNITTLGRGGSDTTAVAL AAVLGAGACEIYTDVDGVYTTDPRIVPEAGKIARISYDEMLELASLGAGVMHSRSIEF AKKYGVPIHVRSSFSDAPGTWIVGEDDARRLGVAVTGAALAKDEARITILGVPDRPGA VHSIFHRIAKANLVVDMIVQNVGTDGETEVSFTVAKGDLAETLVAAEAAARAAGARGV THDAEVSKVSVIGLGMRTHTGVATAMFRAIADAGINIQMITTSEIKISILVERSSAVA ALRAVHRAFQLDQVHAFPQPDFSPERSTTRAMTLVPLVEVDSGGNGGQGGGTVREQGM EDLVVAGVELDETQGRITLFDVPDRPGYSARVFAAIAEAGVFVDMIVQNVSEDGRTNL SFTVPREAVARAEAAVRPIAGGQVSVEPAMAKLSVIGVGMRTHTGVATRMFGALADLA ININLINTSEIRVNVGTDIDTGKAALECLRQAFDLKG OJF2_RS23370 MMGDGEGLRGLATGSEWNNVTEVVIGAAFKVLNAMGTGFLEKVY ENALAVEPTSRGVSFEQQKAVPVRYRGVVVGEYFADLIVAGTVIVELKCTKEHHEVFV AQCLNHLKATGLPLCLLLNFGKPQLEIHRLRSPHFKDQTIT OJF2_RS23375 MARDKIVLAYSGGLDTSVAVKWINETYNMDVIAYTCDLGQGQDI QAIRDKALRTGAIDAVAEDARNLFIDYFCWPSLMAGALYEGKYPLATALGRPLIAQLM VRVAREHGAVAVAHGCTGKGNDQVRFDVTFQTLAPDLKIVAPVREWKWTRTQELEYAA SHGIEVEATKKSIYSTDQNLWGRSIEAGILEDPWVEPPADAFQWTTDPREAPDEPEEV EITFDRGRPSAINGREMDGIELIDAANKVAGRHGVGRIDHIENRLVGIKSREIYEAPA AVLLHQAHRELEFLTLSKESIRFKENVSQTYADLIYNGLWFSQLHQDLMAFTVSNQQY VSGTVRLKLYKGSAMVVGRKSENSLYRHELATYEEGDQYDSTAAMGFIKIHGLGQTTQ AKHQLLKPGSGTRLELPSIIPPDGSKKP OJF2_RS23380 MIDTELPPARGPATADRALDLIGTGFTVMASLCLLGGAIGLLAT CRDLMRTGGGPDEAARTALALAIPAILGVVPAWLLVRVGQGLRARRPGARRAAVVCLA AACLPFQTLAAESLLAREAGWLAAVGLEVPFLLALSYLMTPGAVSRFFPKGSPPADGP GARRSPWPFVAIMAAVTVVPFLGAQGLRRAARADLEAARDRIARGEWEDAKRLLDRAL LLDGYLVDAALPRARAIDGLIASGARGPSAGTRAEALRDLDWYLRHHPRSGEAHYQRG LALAGDAKVEPARAAFATAIPLMDDPTPALVERAGLSFHVGKYAEAAGEVSEAIARHP LLPDLYESRQLYRRMAGDLPGASRDAVRAGAIRERPGLSAEAMEAIVEARGRPIAADQ AAPRVAAERARLLGRWDVVERETDGESVDTTDRDFSLVFRPDGYRMVLDGKLQQDAPY RLDPDANPARIDWTAEAGGETVRLLGLYRLEGDRLTIRMGRAGSVRPEAFAGGDAFEP PVTYSLRRSAVRASSNHPAG OJF2_RS23385 MAEMMTTDVRAIMDRTPFDVSAVADLRELLNRDPSRYRTLREAV ANIRERDKKDFKPETHLRIGVGEVLLGRYAAGYEQLKKAGEVGMAYFFQGLALENLQR PEDAANAFAHAGKLGYDAKASELHRAGALRLTGHLDEAKKALQALQKQGASSAEFHFQ NGALLAAEGELALAAAEFEKALAGDRDHNGALFQLAFINDLFGNDDAAVDLYKRCTER PPVPLAAWINLGVLYEDEMRFREAEQCYRQVLAHDPNHPRARLFVKDCQASKGMYYDE EAEKGYVVLKQLLEIPVTDFELSVRSRNCLRKMNIRTLGDLTRTTEAALLASKNFGET SLAEIKEMMTSKGVRLGMALDGGERGPVTHDHRAEPPQEVPPEMQALLNRPISELSLS VRARKCMSKLNLQSVGDLVKRSGDDLLECKNFGVTSLNEIREKLGALGLKLKND OJF2_RS23390 MVSTRPVRFTTLSRDPATSARVGRLETPHGALETPAFMPVGTQA TVKGLTPDLVRESGTRMLLANTYHLALRPGEETVRALGGLHAFMGWDGPILTDSGGFQ VFSMADRSKITDRGVSFRSHLDGRLLELTPERAVAIQEALGPDVAMCLDHCPALPASR EDIAAAVDRTIAWAGRCKRAHSRPDQSLFGIVQGGSVADLRGRCAEALVAMDFDGYAV GGVSVGESREEVRKALEVTTHLLPADRPRYLMGVGRPQDILAAVATGIDLFDCVLPTR NGRNATCFTARGPVKLRNAAHARDPGPIEEGCPCLACRRFSRAYLRHLFNAREMLGPI LATIHNLTYLHRLTAAIREAIRAGRLVQLHREVLEALGP OJF2_RS23395 MPGLLAEIGVLFAQDAGGGSSLLIYMLPIPFLFFFLIWLPQQQQ EKKRKGLLDALKKNDRVVTIGGLYGTVISIDPAGDKLVLRIDDDKGVKVTMSRSSVAR VVEGAAQKGADAS OJF2_RS23400 MKQEPWKYFVIIGAVALGFLAMMPPDKKLKLGIDLSGGTILVYE VAQENIGPNFNMDDLIAALKQRIDPQGVMETPIRKIGSNRVEIILPQAGDEEVEEVKK MLTDVGSLEFRILANRKHDAAAIDRALGPQGLSKPPPRYKWARLGEISTGTAPAFTAD TITDRSQKWKRDIYADAEVVLTGKTPGETVSVPIKVNSANTLTLKRPHGLSSIASYRV EYNPSGIRVPDSSNPRPTDNIVREEKVSPGRTELWILCTLDRQNVTGSYLSRTYATSD ERLQPAVGFQFNRQGARRFGDLTRSHLPEEADAFKYQLAILLDNLVMSAPSINSEIRD QGIIEGGGQGFKAKEVDHLIKILQAGSLPASLNPTPAQEEKVGPTLGEDSISKGWRAI WVSMLVVPIFMVIYYRFAGVVAVIALVANMILLIGTMAFLQATFSLPGLAGLALTIGM AVDANVLVFERMREEKERGASLAQEIRNGFSRAWVTIFDSHVTNLLAAIVLYAVGTEE VKGFALTMIIGMLWNLFTAVFMSRVIFELAYTRGWIKELKMLKLWDKTNIDFIGPRYV CMAVSLVLILLGLGAFFARGRTMYNIDFTGGTLVTIRLNDADPTVQALSESRRAEFVR EKAGVLPDVTVESLRVTKDASLTRFNIRTTEQKTDEVKAKILDAFGPSLAKVDMTVGD PRPIAAPATPPATAKAATPALVGRFAGGREYPLSFNTAAFNSTQSPEKLVASEFEKVL QAANIANPGTRFEVVNAAEDESARGATRLALRTDLEPDVARAQLANLKGSLASNRDLL FERVANFGGTVASETRTLALIATVASWVIIIVYLWWRFHSFTYGLAAVLAVVHDVLVT LGAIAVSYWLARIPVLSSVLMIDQFKIDLPIVAAFLTLIGFSVNDTIVIFDRIREIKG KTPHLTDKIVNDAINQTLSRTILTSLTAWLVVVILYILGGEGLHGFAFALVVGFLSGT YSTVYIATPILIDWVGTKNELPAAKPGEKRLATSK OJF2_RS23405 MAVLAGVVAAGGPGLDPQPGLPGAVMKPPAWLGAGSPFDVGAFF RQVPDDRNAAALYLDALFEFDPAMAVCFPPGAERDRREAAARLRSRHFGELLTARQND AGSVSAEQIDAVAGAYDEGFAKLARAQERPDCVFDSGLDITAQIPHATAARQVARIAA LRARRQLEQGSLIHASRELSRVLRLARDLVPRGPLVTDLASIGVERTAVDEIAMPLLA DRNLTVSHCDRLLGILVEHDAKSIDRYAEGLRAEYVFSRGTLRALVQDQDRLRRDWKA LGGDPGPSIAAAIAEPQLISVLAGGGAAPKALAADGPGARPASLRAAADLDAAMARMP PEELARQEALLGAFFRDRLSLAEMPYAERMRRLDSMPPVFVADDVYTRVTKGLTSGAF GAAIASMAFHQARLRDAIGLVAVRRWQLRHDGQSPPSLDAAAKDAGLVSVPVDPYSGG PVRLAIIAGRPVVYCVGGDGQDGGGRAEAKVHQREGDVILRMPESR OJF2_RS23410 MIRLDDEFSRRSLLLWLPGALMSGLAMASPQPPSPPAARPSRTN VPRLPGAVTRPPEWLGPEAPFDVAAYFKAPPDDQNAAPLYLDALFEFGPEMAVCFPEG PVRARRERTAKERMRRYAEVAKSLERGPDAVPPREMNAFLALYDEGLAKLARAQERPR CVFQAGIGMNALLPHLQDARNAGRVLLWKARRLMEQGSVPGALQQASRVLRLVRDLQP RGFTIAAMVGVALERLAMNEVFLPLLQSRGLKAEHCDAALAILREHDSKSIDVYSEVL RGEYLLCRSTLRDLVLHQDQFRRDLGAAGVRIGPSLVESVLDTNRRPGQGTPVTGPGA TSDLDAKMASMTPSELARQEAKLTALYRDRLGLAGTPYAERIRRLAEPPAEGRSADIL TRVALGLVPAPDSVPWSALVQAALRSLQALTAVRRWQIRHSGQLPASLESAARDAGLA RFPIDPYTGGPVRLAILDGKPVAYCLGEDGKDDQGRTAATRPGQRGDVVLRMPDQK OJF2_RS23415 MRDPLPFARTVLHRPVVDSTNWLARSLVLQGVDELPLLVWADRQ TLGRGQRDRSWFSDDGSLTFTVAIDPAAHGLRVDQEPRLSLAAALAVIHAIGSLGWVV PGLGIRWPNDVEACGRKLCGILPERIERDGLEGPSGHLLVIGIGLNVLTRFDEAPDEV SRMATSLAAMGAGPLDASSIPRALAAILTHLDLELRRLRDDSPEQAREWDHLNLLRGK PVRIDLGPRILAGRATDIDPRGALCVDDGSEVHHLSGGRVLRD OJF2_RS23420 MMNEPTAPLANRPFDARKVARLLVPSLAVVLVYFGWQLVPAWLA LGWSSIPRGTRRAVTVGFLEGLLGAYAILSSAVLLGLPVLGLSLARPRPGVRRTKGRG GWLARLLLLDLSLLVSLIGLEVASAAWLAWLHRTPTLSAAAALEREEGLNPKLPTGLA TAGAGLEGSGPRTPLKLLVLGESSGRGEPYHPWLSAGQIAGWKLEQVLGRPVEVDIWA TGGTRLETVHQLLARLDYRPDAILLFSGHNEFQSRWAWDRSVSWYRDEASASAPSERA AWPHVLRASPACRLIFEAIDHQRVDATPPKVVTRELVDRPTCTDEERAEVLADFRRRA EAIAAFAERLRSLLVVFVPASNDGGYEPSRSVLPPATPAAMRVSFEGDVRRARELEHI DAAGAVAAYRRLVAAQPGFAETHFRLARLLEGAGVWDEARREYILAREADAMPLRCPD DFRNAYRDLAARHPSLVLVDCEKVFAPISPHGILDDHLYHDAQHPNLKGYAALARDLL AQLRDRHAFGWPDAAPVPTLDLEDCARHFGLDRERWATVCDRSEWFYHVTAFVRYDPA ERQGKELAYEKAAERIRAGTRPEDAGITGLGVRPADHP OJF2_RS23425 MISLAAIAILCLCAWIGIRARGASPARTGGADGAASRARLLEAL GTAYPRAVLGLLAATLCVFAGLSLRRRLPSRLRPILARGALAGATAIACVGLAEGGAA AYLSWQHRAPRLAMAAGPPAKTGAAGASDADASILVVGESSAEGVPYRDWLSVGKVVT WQLRRLFPTRMFHLEVQARAGWTLEQMHQKLAESSRRPDLLILYAGHNEFASRYGWSA DVPYYDDDPGPGTAAGLATRLAGWSPLCRLMDEARGRALVASRPPIRPADVVTVPSHT AAQHQERLDDFRRRLGMILADLERAGVLTVVVVPPGNDAGFEPSRSVLLPGTPRADRD AFAAEVLSARELERADEARAIESYRRLIARYPGFAETHFRLARLLQRSGARDEAYREY VLARDLDAHPMRCPSAFQDVYRELAPRHGALLVDGQDVLRARAEAVGSGQLDDILFND AMHPSLDGHMALAEAILSALRDRGAFGWPQSLAAPAISREACADHFDVTAATWKEVCR FASGFYRATLPIRFDPAEREAKAVAYESALKRLEAGETADALSVRGVGVGRPGTGPAP HR OJF2_RS23430 MLAAMAMLVGCVLRDATPLQAIGQAIMVPLGGLLAWEVYNRISG RRDGKVILLMAFTLPISRLVSGEAPSIGVTVFQLVFLAISAAVIGAINRNLESGPPD OJF2_RS23435 MVLRLRMRMAPGLRRGLALAAVGAAWIGGCSRAKQAAQAPPPPV VSVVEARRMTVPIMAEPIGTTVALQEVSVRARVRGFLKEMHFAEGGEVKKGQLLFVID EEPFKAELAAAKAKLEQAEASLKKAQDSRSREVAAAQRALSQSLLDLAKVEERREEAL FQRKATSVEDVERKRAIRKRDAAQVDADQASLEQANADYGTALLGAQADVAYAKAQVV NAEIELGYCRMMSPIDGRIGLAKVKPGNLVGPATNAGMADFSELAVIRQLDPIGVDVQ VASAYLEQVTKLVAAGLPIEIYRPGGEGRAGRRFPGKTTAIDNSIDETTSTFRVRSEV PNPEKMILPGEYVKVDVKVGEVGDAVVVPQQAVIETQAGPTVSIVDERNKVAVVPVKA SFTYNGLRVLEGGIRPGQKVIVEGLQSARPGTTVRPEPAPPEIAAVDAQADGNAPAGK GGPGQAGRKP OJF2_RS23440 MVQFLIGRPIFATVLALLMLLVGGISIFILPISLYPDIVPPQVQ VTTTYTGADAQTVADTVTTPIEQQINGVKGQIYFSSDSTSNGLASIVATFDVGYDQDI AAVDIQNKVSTAQTALPPEVKQYGVTVKKTSTSMVCVVNLVSPDGRYDATFLDNYAQI NVVDALKRIPGVSDVNPFGRKYAMRIWLDPDRMASQRISPSEVIQAVQQENRQAASGK IGAEPSPPGQRFEYPVRAKGRLSKPEEFEKIVVRRNDDGSIVYLSNVARVQLDSENYE TAGWLNGKPAGTVPIYQLSNANALDIVAQVRRQMDRVARSFPEGLEYRIAYDTTLYVR ENITEVWHTLVEAFLLVLIVVFIFLQGLRATVIPMIAIPVSLVATFAMMAAFGFSINT LTMCGLVLAIGLVVDDAIIVVENIEKFLERKMSPLEATRAAMAEITAPIVTIALVLGA VFIPVAFVPGLTGRLYNQFALTIVFSFLFSAFNSLTFTPAMARIFLREKHGETKFPPF RWFNRGMKWIEDSYDSFLDFGAHHWWTIVVPSLALLALTGWLIVERPKAFIPTEDQGY LIVALQTPDGTTRGPTSRAAQQVSKIAGELEGVRDVLLLDGYNAVTAINQANTATAFV ILEEWEHRKTPGLRAAGLSRELQRRLSEQVRDGRVAVLQPPPIQGLSSTGGFDFMIED RDGQGAVATAGVAERFMEAARERPEIAGVFTSFSARVPQLEFDIDRVKARRLDVTVSD VFGVLQTNLGAYYVNDFNLYGKTWKVIVQAEAGDRHKPEDIARLFVLNQKGDKVPLSA LGQVRYTLGPIDVPHYNLYTAARITGQPAGGYSSGQAVAAMEEVAAQVLPEGFDYEWT GTTFQEQKTGNMATYIFTLSIVCVFLFMSALYESWIRPIVIILTVPLATFGAMVGLWM LDMPLDVFGQIGLVMLIGLETKNAILIVEFGAELIEKHGMSIIDAAKEASRQRLRPIL MTSFAFVFGVLPMARATGAGAYSRNSLGVVIAFGIAVSTVLGRFVIPIYFVLGERLRA RGRPPAAPGPAPAREAENDLAVAGR OJF2_RS23445 MAMTYFRVNGGPGGPASEAGTTKEVQSLLRDAPVGEYTIDLITA DRAGGEGSSQHWGRAIKHQDGAVHLESDMPGE OJF2_RS23450 MSTATKAHIVYELLDEPSPDVIALVEFVSPEINDPIRGEELGEQ LDSLLRRDIPMRYVLDFGGVRKLGSTAFCRLAGFARGVHQCGGEVTACGLNDNLALGA AMSGLENEVIFAPNVKHAVRLAREYNHQNMEDEG OJF2_RS40135 MRPGRFGKLVVLLNALVPVSLLAWDASRGRLGANPVNFAIRTTG ILALIFLVLTLAITPAIRLTRLSWLGSFRRMMGLCAFSHAALHFSLFFVYDRNADLAD TLAEMTKRPYLIMGTIALALMAPLAVTSTDRMVHRLGGRRWKALHRLVYPAAVAGVIH FAMLVKADLRRPLAFAAVIGLLLGYRLVAHYLRLRADSIAFRSGAAGAATATAAGLPR FWRGQLRVARIFRETPDVRTFRLVPTAGTRLPFEHLPGQYLNVSMPVEGRRLARSYTI ASSPTNAGYCEITVKREEKGSASRHLHDVVREGDLLDVSAPAGRFTFTGADASGVVLI AGGVGITPLMAKIRYLTDIGWPGAIDLVYGTRTEDDVIFREELEHLRRRFPNLRSTVV LSRGGGPAPGMLRGRITPELLSRVVPDIGSRPVHLCGPTPMMDAMREMLRGLAVPEHQ VHVESFVRDAGTPPATEESTGESPHARPGRNGHAADGEPAPVAIVFERSGRSGRVGLD RTILDAADQLGVPIPYDCRAGICGRCKTRLVSGPVAMDAEDALTPLDRSSGLILACQS RCLGDVIVDA OJF2_RS23460 MRGLEPVPSGEITPLPTYLNRRALVQAALAVGSLGATGAIYRRL NRPGVAELDTPELAVVGAPPASRGTPADASAAFRVDEPMTPASSVSGYNNFYEFTTDK EGVAEAARGFAARPWQVAVGGMVQKPRTFDIDALRKAFPTEERVYRMRCVEAWSMVIP WAGFPLASLLKTVEPMGGARYVAFETLLAPDRMPGQRRRVLDWPYVEGLRIDEAMHPL TLIAVGLFGRELPPQNGAPLRLVVPWKYGFKGIKSIVKITVTAEQPPTTWNAQAPHEY GFFANVNPEVDHPRWSQATEQRIGESGRRRTLPFNGYAEQVAHLYSGMDLRMNF OJF2_RS23465 MQEPETRTVVLDVAQELIQTRGYNAFSFRDVAERVGIKTASIHY HFPTKTDLCRAVISRQREELAAALARIDAEEDDANRRLARYVSVFRATLEVGNRMCLC GMLAADATTLDPSIVADLRSSLGDHEAWLEALLHRGEEAGVMAASGDLREEARLILSS LEGAMLLARTFDDPGRFDAAALALLSRFRKQTVG OJF2_RS23470 MGFAVGGKVALVTGSNRGIGKSIVEGLLAHGASKVYAGARKAAS LSGLVEQSGGRVVPVEIDLERPETVEAAASNRDVELVVNNAGVLRAADLLSAEAEDAL EYEFDVNVFGPLRMARAFAPVLAANGGGAFAQVNSVVSVKSFPDFPTYCASKAASYSI TQSLRAILGKRGTLVVSVHPGPIATDMATSAGLQDIAEPPSVVVEALIKALAAGEFHC FPDTMARNVWQAYEGFARSVVEADMAGG OJF2_RS23475 MPRLPKLRPDRCGSRRRYKGAWAAIVLTLGMSLGVSPAQELPPA SSMPAGGPVAPRPAAGGGDAAVVSLVEEGLLDAAFGSVFGDAYAPGRWRPLPLRTFFT EGWGEAWACGPEGRDGTTPRHGWLGAFGGVFYRLWFTSLTYRHDLAATPGGDAYAGDY VLFLPLSRRLEVAFDVPFATGNGTSSPRSGYARNVGDFTVTPRVLMSESRAATQVLTL IVRTPTGSTLEGNRVTSLTPRYEFWTNPVGSWVFRGGLGPPIPLNQGRGSSGPGTSIA GDIALGRYVRPHDVPVGDLVFYVACNFDVPLRGTPEAAHVGLGPGTRFHITNNYFFTQ FWEFPVARSHAQDYILESAIVKVF OJF2_RS23480 MVVVRPDHVPEGRLAAVRNYLENGGGLVMAATGWGWEQVHRRPI REFSGNALLAGTGLAWTGGFAEKTTEAGYSTSGGIPEATNASAVLDALGGGKQPEEAD IPTALESVRLTLGSLPPGPVASKFGSQASQALASLSGRKLDLVPTRRNPASGRDRLRR FAIGIEAALAESAPVDQVRAIAAAADFPGLPDGKARPASRSATIDTRVRGWHSLGLYA APGARINVKVGPEDVPLGLSVQIGCHTDELWHLDRWERLPQIVRRFPIDGTTTVAANA LGGLVYIDVPDGSSPPRSVNVRIEGAVDAPLFRLGSTSKEEWRKDLRNRPGPWAELAG KDLIFTVPSSLIRGLDDPEPLMAWWDAAVRSQAAFARTSKLERPERIVCDRQISAGYM HSGYPIMAPIDDSARLALDLARLRAEGTWGHLHEIGHNFQGDDWTFDGTGEVTNNLQV VHTFDTLLKLPYDAGHEAIRGKAMRTERIRKHLAAGAPFDEWKADPFLALMMYIQLYE GFGWAPFDRVFAEYEKLARGEHPRSDDDKRDQWLIRMSKAAGRNLGPFFRAWGVPTSQ AARDAIGGLPAWMPEEMKGLKP OJF2_RS23485 MAPVSSRSRAALSVLFLVFAGRAKAADEIRPALVDGVREFAATG LPGSVAVYGPGAEAIVVGTAGGGAKVAVVAGARAGRGRVVAFGHDGYFRPDVLGKADT GRLLVNAVRWAGTGNRGKTTTKAPAATRVGVVREAGLLEYLRKSGVAAL OJF2_RS23490 MIGKRIVPAVALLAGCAWAAASEPEDVSGARHYPAAPKSETVEE LHGVKVADPYRPLEDPDAPETRAWVEAENRITFSFLEGVPQRAGIRARLTELWNYEKY GAPEVRGGKYFYSFNTGLQNQSVLYAADSLDGAPRVLLDPNTLSADGTVALAGGSITD DGKLFAYGTAAAGSDWNEWKVRDVATGKDLDDHIKWVKFSGAEWDPEHAGFYYGRFPE PKPGADLKGANYYQKVYYHKLGTPQSADRLVWEDPEHKEWRAGATVTDDGAYLILTLG KGTDAKHRVLFRPYKDADAKPVHLVGDFDSEYSFIDNGGPVFWFQTNKDAPRGKVVAI DTRNPGPAHWADVVPESAQTLESVDVVGGRILAVYLEDAHTVVKAFDLSGKHLRDVAL PGLGTAAGFHGKRTDKETFFSFTSFASPGTVYRYDVGSGAVSAWKEPRLAFDPSAYES IQVFYKSKDGTRIPMFLSYKKGLKRDGNNPTLLWGYGGFNIAVKPGFRPSALAWMEMG GVYAVANLRGGGEYGEQWHQAGTRANKQHVFDDFIAAAEWLIAEKYTSKAKLAIQGRS NGGLLVGACMTQRPDLYGACLPGVGVMDMLRFHKFTIGWAWTDDYGTPDDPEHFKAIR AYSPLHNIKPGTCYPPAMITTADHDDRVVPAHSFKFAAALQAAQSCDNPALIRIETKA GHGAGKPTAKQIEEAADELAFLVRTLKVGE OJF2_RS23495 MARNLAIGLMAAGLLTGSMAAAARAADTTKIVLVAGRPSHGPGD HEFNAGCKLLAKCLAQRPGIEPVVVTGGWPKDESVFDGAKALVFFMDGGGGHPMIQGD HLEKLQALADKGVGIACLHYAVEVPKGKPGDKFLDWIGGYYETGFSTNPHWKADVQSL PKHPITSGVGPFAIGDEWYFNIRFRPEMKGVTPILVAKPDDATRKGVSASPRGPYKHI VEASGRDEVLAWAVERPDGGRGFGFTGAHFHKNWGDPNFRKLVLNAILWTAGLEVPEG GFDAQVTPEELKQNLDPK OJF2_RS23500 MTGPFGSHRRDFLKASTAAAAGLGLLPNAHARGNDAIKVGLVGC GGRGTGAADNICEAAGTTYNIKIHALADVFEDRVRNCRDAIKNSEHGKDKFDVADERL FVGLDGYRKVIEACDLVLLATPPGFRPLHIEATIKAGKNLFTEKPVGVDPAGIRKVLA AYEEAKAKKLCVVAGTQRRHEAGYVESMKRIHEGAIGDIVSGQVYWNGGGIWAVKRQP GWSDTEYQIRNWYHYLWLCGDHIVEQHVHNLDVACWALGSPPVRAVGMGGRQAAPEPE RGNSYDHFAVDYEFPNGVHIASMCRQIPDTESNVSETFVGTSGQWHSGGYRFTGKDKS RVRARGINPYVQEHIDLLESITTSKPINELKQVAESTLVAIMGRMSAYTGKAITWEQA LNSKLDTFPVPLDIKGTVPEPPFPRPGVTELI OJF2_RS23505 MSRPLHNCGLAVAAAVAALACTAPAPAQEPAPASPADLKPYTET IPGTDLKFEMVPIPGGEFVMGSPDDEEKRGADEGPQHPVKIAPFWMGAREVTWDEYDQ FAFQLDLKKKKRDNVDLTSQPETEKKADAVTRPTPPYADETFGFGRKGQPVICITHHA AMEYCRWLSEKTGKVYRLPTEAEWEYAARAGTKAPYSFDDASMIGDFAWYVENAEKPQ PVGKKKPNPWGLYDIHGNVAEWCLDHYVADGYKALPADKPTLGPVVLPDAREYPYVAR GGSWDDDAEKLRSAARRSSNPEWSVQDPQRPQSIWWHTDATFVGFRLVRPVAEQENLK GLKSQVVKGKTTR OJF2_RS23515 MGCSFKLVLYSTGAGEARSASRAAFDRIAALDACLSDYDADSEL SRLGLRSGGPPVPVGADLFDVLRLSKEFHARTGGVLDVTIAPVGRLWRRARRDRKLPD PALLAKARDLVGADMMKLDPEKRTVQLTKPGMRLDVGGIAKGYASQAAIDVLRARGVA RALVGGAGDIVIGDPPPGEPGWTIAVSGLDPNAPEPLTYLLLKNCAISTSGDAERFVV IDGKRYSHIINPVTGMGVVERASVTVVAPDGGTADALETSVYMMGPERGLSLIEGMPG VAAVYVRETPDGPRVVESSRFKELPRTTAKPAAASPTLPSMTPESLGFDPERLARIDA AIDRSIAAGEVPGAVVLVGRHGAVAHAYAAGKRAVEPAAEPMTRDTLFDMASLTKPIA TASSIMVLLEKGKLRLSDRVVRYLPELDRHGKGKITIEHLLRHRAGLIADNPIGDYAK GPEEAWKRIADLELIGEPGDKLVYSDVGYIILGKLVERVSGRSLDRFAAERVFGPAGM AEAHFRPLGAAGPVPPAGRCAPTERESPGGAMLRGVVHDPRSRALGGVAGHAGLFATA DDLAAFARMLLAGGVAENGRRVLSPLTVRAMVDPGGTPTGQRRGLGWDVATGQSGPRG ALFGPASFGHTGFTGTSIWIDPETDSFVILLTSRLHPDGKGASPGDLRARVATLAAAA IVDAPASRPPTAPAGEAAPSAPSAPASRPENPVAVACGIDVLAADGFRLLQGRRVALV TNHTGRSRDGRSTIDVLFHAPGVKLVRLFGPEHGIRGELDAAVADGKDAATGLPVVSL YGAKRKPSPADLEGIDDIVYDIQDIGVRYYTYSTTLGLVLEAAKESSKRLVVLDRPNP IGGEAVAGPVLDPGLESFIGYHALPVRHGMTLGELARLYNGERKIGAALEVVPCRGWT RGRTYDRTGLLWVNPSPNMRSLTEALLYPGVGWLEATNLATGRGTDTPFERVGAPWID PVAFAAAMNASRVPGARFTPIWFTPTERQFKGERCGGVLIMIDDWSAFDPLRLGVSLA VALRQSYPNEWKPEKVLGLLGDRASLESIRQGKGASEIMAMWGPELEAFREVRGRYLI YDRGAD OJF2_RS23520 MPDPLDVTTDAPAALGGRPRKGIARRAARAALLAALAAAAGLAA LLVRPMVSANVGVVDPGVVIRAAQPTAGLPAMIAEHRLASILNLRGGSPRDPWYDAEV RTSSDRGVAFYDIPLSATKRPGRHELLALIDVLEDCRYPLLIHCKAGADRTGLASALY LMVRLGEGPRRASRAFTIYHSHIPLFGPQHLHEPLDEYAAWLDARGLDHTPGRFRAWV RDEYRSDDPHTEPDRPAPGPRRRGRADAPRTDIAAKPQSAPRS OJF2_RS23525 MGRTRKIRRAGLLLTLVVGFASGCQTVKTPEEKIANSNIPSEFK KVTMPEYVIEPPDLVLVEVLEALPGRPISGERLVRPDGKISLGWYGEVYVAGLTISEA KEKIIFHLRKSLSDEALGLYEDEEGDEGGHHKLDKDGKPMHKDPKDSETVFVDVTGYN SKNYYVLGDVAAPGRLPITGNETVLDALEFAGGLLPTAAPRNIRLVRPAPPGACCEQT LPVNIAAIMSGGDSTTNYQLMPGDRLVIYRDPIVRTTVFLDRLAAPFQTVLNSMLQYS FTARSIEFLSLGVRGTAGAANTATTTPTLPNQPGAR OJF2_RS23530 MIVRRCRPQGAAFLGLIAAVGSLASSAHGQSAAGGSTGLGVVPL PNANGSMSLGVVPMGAAGTRASDPMGLSPIYGAAYGGAAVPMTRDQAGLYMLSASQRM LGLGNGQISGTRPAASGTSRGKATDPRSRRSQAGDDRAVPSHRRNANVPGGLASRYFN KAAAPAGGAGREPRFNRPLRYFPQRGQ OJF2_RS23535 MLTRFLDGRTGRVVAALAVFAGMTGLANAQQGGLLPLHPIKRQR VPCPSEDPIYATYRNQYYGYYPTQWRPFPQGWNLAGPETPNVAAEFQRLPLTAEPAES DEDNKPEQEGPEGGNKPAAPSLPPNDTRSPFEMDAPDGGAAPRRPPAARPTPGTVNTP PADRPANATPAPGTADSPFDTPEPKPAAGSPTPARPDLGPPAVPRASRSTRSDRDEET LPARVAGRGPLLEAPDATLPDVEEAGQPGGNSVLGGPAASAPVAQPVAAQPAASRRGR LSALFGGWLRR OJF2_RS23540 MLGRILVVAIRLTVLVAMGGVVAACLVPPINPDQSARRAAARSA TVVVARSVTDGVGLGLQLLDADTARMEKLDLPGGIFLDLASLSPWEARGQRQLVGVGR PRAGAGGFEEGSRLEMIRMTVPGGEVLDRLPFDGDPLPNGAPCWAPGGRARLIYPGSD GRIYALDFEPAGADDGARRPAEICPRELPAEGFPAQAGEAFVSDLSWDATAQPGRRLL ASLRFQGGKASGVSGWQLWWLQLDQDATRITAAGRLLDAEAPSPHVRRRFPTAFRDHT GAPRLAYLSTRVKENVSELRVVPIRLDPVSEAPHAREADATVLAERCLASAPVPSTDR GNLVFLRESGETLDVGRVSLEETRGSREAAGPPRDATAAAVHASR OJF2_RS39425 MHPNPTTPSPGRFPSTHWSRVIAAADPQRGRAREPLGELCRAYW YPLYAYIRRRGNGPERARDLTQDFFARLLERGTLAEADPSRGRFRSFLRTVCAHYLAN LRDREAAIKRGGGVATMPIDAVEAEGRYERELADELTPERIFDRSWALALLGRALDRL RREYEEAGRSATFDALRGGLEFGPGDVHYATMADRLGTTEAAARVAAHRLRRRYGELL RREIASTLDDPADVEDEIRDLFASLGA OJF2_RS23550 MTGTPSCPRCGAPRLGNAPDGLCPRCLLMLGLGVSRDAAADAGD SASLRATPFPARVAARGGGPTGVAWAPEGRDLADAHPSRGGHLDGPCECGHDPGHRDA APSGGAARYRVYEEIARGGMGVVHRGRDVELGRDVALKVLREEYGHRPEAVGRFVREA QIGGQLQHPGIVPVYEVGRLPDSRPFIAMKLVEGRTLAELLHERGAPSGDLPRLLAIF QQVCQTMAYAHDCGAIHRDLKPANVMVGNFGEVQVMDWGLAKIVDEGGIAEAERSNRV RDKSGGVRTPRGEAGGGGSRAGSVLGTAAYMAPEQARGHLDLLDERADVFGLGAILCE ILTGEPPYAGGPGDDLHAKAERADLASCLARLRACEADGDLVAIASACLAPAPRDRPR DAGVVAAKLTAYLAGMRERLRAAELAQARAELRAAEERRRRVLTVGLAASLLAAAALG LGWAVWLSRERAERVEGASRAVIAAAYEASSLLSRARAANDADLARWAEASRAVEQAK AAAERPEVRPEQRRQVMELAAAAARDRRLAEAAIKDRHMVDRLGQIHADFALHFRLDR TDSEYLAAFRDYGVEIDGPDPAEAGALLAASPVATELANALDQWTFLRRRQGGPRSAA AGRLVAIAKVADPDPWRNRLRDTLHDAAADRAQAVEALRKLAATADAERLPEASVTRL AWALAAHRSRGLAIELLRRAQRVHPDNFWLNMDLAGLLAKARQPEEAVRFYSVAQSIR PDCEMARLALAEALRAAGRPEEALGYPQALQPRGGLPVGAPPRAARGPAKG OJF2_RS23555 MSDLWHHGRQTKAEGPITFWELRRLVREGQLEGGDPVRKQGVRR WTPAAKVPGLGARRRPRWHYTHRNRVLGPVTGTRLRRLAADGMIEPTDLVWREGFDRW KKARRLVGLFPEAGSGVAEDAAPAGQRPRPAERAVPVPGGRRQQTRRRGANPPPAPMP RGRNRRFLAVAAVILACVALIAAILHNPRSQVEAPAGGAANAPSGPVVESGRDRDRPR ANPIVRDQPPADQLAVDTRTAIPGPRPGSGSPTTLVPPAPKAGESVGIRRPVVPDSPL VDRVRAAAEPLLKLRGRTDPGYRFFVIDSDEVEVCSLGDGSIYVSRGVFQLVSDDLEL AWIVGHELAHAELRHGPTAPESGHAPSADQEHDADEWVSRRLLALGHSRRECLSFLRR YRNFLQATAAEEPASAIDRHWRDRPAPAARIARIEALGAEPGPPATASRVAAPGHPSD GPPDPQEGGSSRLD OJF2_RS23560 MSRFVLALSLAAALFTASARTAPAQYVVYPGSTVGGDESRGAGL YLMGLGQYNLDAAYAHSVWTDTVLRQEWAIHDNARYWSRVHAERTRNKAAATSRSLEA IRNRLNTRPEPSDIDRGDALNALIREIAELSQMSPSLLNATKVAIPGGSVDGTPWLLA QDGVVLSTTRLTDRKEWPVLLREPAFDGAFAAYHAAIDAAMDAAARGELRPADVDAVD RTLDRLRRQLAGSAPAEHPEAVRDARTYLAGLADSARFLHTVRSGSLLAEIDGYGGTS AGDLVRFMTRSRLQFAPARTPRERELYATLHPLLVRQRDLLRPDGRERLGAN OJF2_RS23565 MRFLPRARAIPVLATLVLVLAAGVVVPVLAQVGLLPGLRAGRIP PIAYVAPGILVAAGLAATLLVRGRIGVGRRAEGAGPEPAPDSVAAAAARQARRAGEFV ERVRDPARREELGKELQRIESAREDEVSTLDVVIFGTVSAGKTSLINALMGRKVGATE AVMGTTRAGETQTYELKSVEAVVRLTDTPGMSEAGEEGMGREALARGLAVRADLLLFV VDHDLVRSEYAPLIELARLGKRSIVVLNKKDRFPDEDLAAIRERLRQRLAGVIEPADI VAVAADPRPIPVRVQRPDGGFDTVLEPESPDIEPLRRRIAEVLRRDGRLLHATNLLVR GKVLEQEAQEQIDRERERQAEAVIERYQWITAGTVFANPVPALDVLAGSAVQLDMIAD LGRVYGMELSMPQIRNLAGQMGQEILRLGLIETATSLIAGVFKRSFVGFAAGGAVQAA TMGYLTRVAGRAFHDYFRRGRAWGPEGVKGVVLRQFQQTSRAEFLQDFASQVVHRVLQ KLLPIPIPGSNTAVTGGKEPR OJF2_RS23570 MNAFKDEDEGIRGIGRAIREGRMSCEEAVQACLDRIDERDDSIR AWVAVDREGALARARDLDAELRAGRDLGPLHGIPLGIKDIIDVRGLPTRCGSPRPSDR PAEADARIVARLREAGAVILGKTVTTPFAWIDPPPTRNPWDLSRTPGGSSSGSAAAVA AGMCLGAIGTQTGGSITRPASFCGVAGMKPTYGRLPVDGILPLAPSLDHPGPIADDVA GLRVLFEAMCPPEDLGEFVPSLRPKLDETPPRLGRLAGFFDDRADADLRWATGAYLRW FEAWDGATVIELEPSDVFEAILKHHRTILAAEAYAVHRERFSLLPEAYPPRIAELIQE GERIRSKDVEAALDARPGLVARFLELFEGDDAPDALIAPATTEYPPDPSTTGDPAFNS PWSYLGFPAVSFPIGRSESGLPLAVQLIDGPGTDLGLLELAEWCEWKNQDAYRRFRDT DDG OJF2_RS23575 MVDSQAASADAEDASPSPVASTEADEVERARDSLEATLAELRLT PEEAKALAPEIDQLRELTRKLDEATIEIAAFGMVSRGKSSVLNALLGREVFQVGATHG TTTGRGAQRWEEGARGASGLDRARLVLVDTPGIDEVGGEVRETLAREVARTADLILFV VSGDMQRREVQALSELRAHQKPIILVFNQIDRYPEADRESIHAKLEDERVKGLIRPED IVLTAARPDPARVKVRDPDGTSRVIWERPAPVIDPLKERILDVLEREGKALVALNTLL LAGDLHEEIVGRKVRIRDEAANRIIWNYAIAKGLAVGINPIPVADLAGGVAVDVAMIV ALSRVYGIPLTKSSAAKLVRDMTVALGAVGLVQVGLRLVRSGVKSAMAGLTVMTGGLA GPLTALGYTALGVSQGAAAASASYVIGQGAKTYLRQGCQWGPKGIKTVINQILVDAKN DSVVQRLREDLKMRLKGR OJF2_RS23580 MSVRARWLAVAGLAALGLLAFEVVSTQPVRGAVRTFNDLVQVAN GVGLPDERRLAMARGLCSRRYLASHALEFSPEGGLVGLPRAIDKNFAAWREGPDVWIC PTKRTSRERPVYRFVREDGRWKFDGPVAILRPGGEILPAAADATTAAEEP OJF2_RS23585 MEESPPRAGFGYDLQPGGGAEPVRGQSGARRREGSPGEARAGRR AEAKALDRSPITDGFLKPLQAPERSLFTALLYPLRSADSLGIAAAIGAAYWMLVALVF EYCLVIQSDAESFNAGPMGWLVNLITAMPSVFLLPLVTIYLLQYLGRVIVSSGRGETV PPKTPDRNFDGFFDGLSRWFIWLALGVVPGFLPLALSASAGVGHGGMAIARVVVLAAA GVSYASVALMRTFLDDNPLAGNPFGVLGAVLGHGPAIVPAAFRVLVLLAGTCGLIAML VALRAMAFWIYIPAALPACSAVVWALIVAARVLGLGYYHNRHSLGWQAPPARARRRDR PKQAEHEGPLAGADI OJF2_RS23590 MATTRLRIQPPKACGKRRHYSKDSALGEVARLCRYDQAYRPSAP PVNVYRCTTCQAYHVGHASC OJF2_RS23595 MTKAKACLSIDGYQNYEVLPDAKLTADEKLLVYYEPLNYKAVVK DGKFVVHMTQDGQIRRKGEKAVLRSKKNLLDFEDKREDGFEWVFLRNSVALKGLPPGE YEYDIILRDEQNPGASATQSLSFRIVAAAVPSEKDRRDSARGRDR OJF2_RS23600 MFTRYILPLIAIAGIVAGVGSVWPKKPAPPPEPLRSPPVQPAGM EKPIYGAGLVEAQRENIPIGTVVPGMVAEVYVDGRPGYEPAHKRVGDRVRKGEPLFRI DDRDLRAELAVRECALRAAESQLHRLVKMPRPEDVPPAEAAVEEARARLKDAEAAYYR DLALFDKKMLAASDFDKDRYTMQAARATLAKAEADLAKLKAGSWKEDIDVQRAAVDQA KAQVEDVRIMLDRLTVRAPVDGQVLQVNIRPGQVATLTWKEPMIVLGEVNQLHVRVDV DENDLFRFREGVPATATLKGRKEPVFPLRFVRIEPYVIPKKSLTGDNTERVDTRVLQV LYALPDDRPAVVYVGQQMDVFLAVETGSDRGQSASANPPASQEAGPGIQ OJF2_RS23605 MPASPSQPPALEAAIPAGDGTAAVAVRIRRLTKHFGSGDQRVQA LAGIDLDILAGEMTLIVGPSGCGKTTLLSVVAGILDADEGEVSIFGSDITRMGDRAKT RFRSAKIGFVFQQYNLLPALTSAENAAIPLVIAGWSKAPAVKKAADVLASIGMGKRIN SLPSQLSGGQMQRVAIARALVHEPELLVCDEPTAALDHETGLTVMELLRESAVKPDRA VVIVTHDNRVFQFGDRIAHMDDGRVVEIETRDRGAA OJF2_RS23610 MTWIALKMLVGDRAKFVGIVIGLTFAAALIMQQGSIFCGLMMRT CAQISDIKGADLWVMDPSVRFVDDVKPMLESNLQRVRGVDGVKWAVPLYKGNGRAKLV FSPADMKARTYPAEQLEADRRAPHGPPSNPFTKVLDFLVPGIESPPAPPARWHDTDLI NVIEQVIVLGVDDSSMVGAPERNPMIGPTPDGKPNGKLLVGDLEDLRRPDSIIVDRVG LRKLFPGCHLDEPFESPRETDEAYLERLRRFVAAAPEIEMNDHRAILVGVCEATRTFQ SNPVAYTLYSRARQYLPMERKVLSFILVQTADGPDGTKIPPAVVAERIQEQTGLGART SENFMYRTIKYYLVYTGIPINFGITVFLGFLVGTAIAGQTFYNFTIENLKQFGALKAM GASNLRIVGMILLQAATAGLLGYGIGVGLSTLFGLRVATGWHGQPAELAYLTPWQLLP TTAAAIIAICVLASLISVQRVIRLEPAIVFRS OJF2_RS23615 MSQDPTKARLIEAAGQEFASRGFEQATVRAICERAGANLAAVNY HFGDKGGLYTEVLHEAHRCGRLEMEEVEALGGSTPADRLRAFIRLFLERVLVLGCQPG WQHQLMLREMFAPTAYSEALVRDVIRPRFEYLKGVLAELCPEADDRRLNALVFSVIGQ CLHYKFARRISEHLIGAEGLAGLDAAYLADHIASFCLAALGEAPTLNRAGEAHPIHPN SPREVEVKP OJF2_RS23620 MRALDWLRDWSSQPGKPVYVVYGDDVYLRRESVTAIRRAVLGEE ADELALRRFEGSSAGLADVKDELRTLPFFSKRRIVLIEDADPFVTRARKDLEGYLEAP SGTGVLVLLVKSWPSNTKLYKLVAASGMPIDCTSPAEKDLIPWLVKEATRHQAQLEPD AARLLLELVGAEVGILAAEVEKLAVYVGTSARIRRADVTRMVEAGRIETVWKVIDAAT TGEPAAAITDLDDLIASGEHPIKINAALASSLIRVHHAGRLRAARIPLDEACKMAGIH GFGVEKVKKQHAHLGPGRVDRIPEMLLKADLDLKGDSKLEPRVILEELLIRLALPRKD OJF2_RS23625 MFRRHDDKAPLRRALPGVVLLLLAAGQARAQEAWDAIFLKDNPI GHVHTFIEKLNEKGRELYRVRQDQVYTIRRLDDAVTMKLMYGTIETPEGEVLRLDTRT LTSDSEIRVHGDVIKGEMKLIMDTGQGHKQEKVIPWGPDVRGPYGAEQSMAKKPMEEG EVRVIKSYVPDLNRVVDFTYKAGGNFEVVMGDRSKRVLRKIDQTATLDGRRQTVLDAV LWADSGGQVLKLETDMMGGITMLRTTREAIDSLSNRRPSNRLDEIRSTIIPIGKIIPN PRATRYVKYGIALKDGDPSEVFPADNRQAIEAGSDKNSLVLSVNTGGPTEGASSPEPD AVYARPNAIIASDDSVVRRKAQAAIGDATTPWEKATRISHWVFENIREKNFAVAFAPA NEVARNLTGDCSEHAVLAAAMSRAVGIPSRVAVGLLFVDNERQGIKGFGYHVWHEVYV NNRWVALDASWDQTSVDATHIKLADTALDGVAPFEAFLPIARIQGKLTIDPIELR OJF2_RS23630 MKMPLLDRLLFVQGNRCFFCEQPLERSEASVEHLLASSKGGSNA EANCVACCRALNALLGSMSLKEKFRVVLNQRGPFRCPGRRGPGEAAPASELDASGPGP GRAEGLLRAAVQSLAAHEDTRPRTEAALRNAMRALLPTMTPEEQDALIASLKSSGKVI EEGGRLAYDL OJF2_RS23635 MSRHFSRGFMWLAGLSIGTTAAIAGADESRPNRPDAIVAAALRS NPVTAPYEIRVGMERGRVVLSGRVGTNVIHDVAVRTVLDLGYPLRDDLVIDTGEVHRV AMEQAIRAGTLPAPGQPVAATSSPYFVYPEPLFGRVDDPFFGMEPPLLSLPPRPSAAP GLDPRVAPAGSRMPAPGRAAAGAPVQRPGPVNGQLRLTVDAAGQVFLSGVVASEEDRR IIEAEARNTPGVSRVFSELRVASRSSDTPPPPPTPYAGPDDAAQGAKIVPAPPDPAPA GQQQPPAAPRPPAPDPSKAGLAMARDTQALSRLVAEALSRRPALAPLPIGVSSRGDVV TISGKVPTAYEAMLTYRAVEQTPGVREVVDQLQFQVPDEDHPNPLRQKARPDDLEPYL TSQVRRHLGDIAHVDRIRIRGDRVELRGTLLRADDRKRAEATIRSMPLLRDFQVDAVF DVQ OJF2_RS23640 MIANTEGGASPGPAEAFLHRMTPRRGVIPADELEAATERTRDWL LGQQKDDGHWIGELEGDTILESEYVLLLAYLGREREPVCKACARYMLDRQLPGGGWAI YPGGPLEISATVKAYFALKLVGHSPDEPAMARAREAILEAGGARLCNSFTRFYLALLG QISYDECPTVPPELVLIPSWLNFSLAAMSSWTRTIVVPLSIMSHFKPVRRIEPGRGID ELFVGGEKGHVHRGEGLLSWSSFFLGVDRLFKLRDRLVPRRWRLRGLKAAHRWMLEHF ENSDGLGAIFPPMIYTIVALDCLGYDVDSPEVVWAWRQLNDLRIDEGDRTRLQPCVSP VWDTAIATIAVSDADLGDDDAIGRAGDWLLTKEIRNPGDWQLRRPGIEPSGWPFEYHN EFYPDIDDTAMVVMALLRSPAADHPPIQAAIRRATNWLLAMQSRDGGWAAFDADIDNE VLTKVPFADHNAMLDPSCPDITARVIEMLGTLGHRAEHPAVARGLDFLWKTQEPEGCW YGRWGVNYVYGTWQVLQGLAAIGFPTSHPAVVRAADWLESVQQGCGGWGEGCDSYDDP DRKGQGEPTASQTAWAVLGLIGAGRAAGEAARRGVRYLLDTQSPDGSWEEVPYTGTGF PRVFYLKYHYYRIYFPLMAIGRYRQAAAQHSTHSSPALATRIPAQPLPLD OJF2_RS23645 MRFPLAMTTRIAGYIARKKVARAEKFPMVLMLEPLHACNLTCTG CGRIREYTSTIKQKLTVEECLAAVDECGAPVVSICGGEPMIYPGIGELVAKVLERKKV VYLCTNGMFIRKKIAEFRPHRRFFFNVHLDGMRKNHDIAVEREGVFDAAVDGIRAAKE AGFMVCTNTTVFHETDMAELDELFGFLTGMGVDGFLISPGYSYAAVGSKEIFMTRDDI RAKFRAAEAMFRKYNFYSSPVYLEFLMGKRELSCTAWANPTRNIKGWKGPCYLITDTH HESFSDLLETTNWEEYGPGKDPRCENCMMHSGFETTAALGVNGRLGDTLKMVKWQFL OJF2_RS23650 MKSPGPQRVAPPPAPADVGIVMALPLEAGYLLDALSKVRKYSAG THSVVEGELGGKLVAVIVAGMGEASAAKGAERLLAGHRPRRLISAGFGGGLDPSLSRN DLVLPREVASLDGDVLEVNPDVPEIPGVRRQGGRLLTVDRVITAAAEKDELRRSRGAD VVDMETAALAKFARDRAIRFHPIRIISDDAVTELPPEVARLMTHSGSYRVGVALRAIW NRPAAIKDFLSLHARALECADRLASGVTRLLEALP OJF2_RS23655 MATFWAFLIYWLVVFVGCYIVIEIAQDQLYDEVTPRVGLKVTAG SFLIAAMLAAFYHRQASASFDTMFTTNFMWTVLQAIVWFAVFTLVFQFHPWHALGLGL ATMLLIQGLATMGVNSMLAPTPATAKSPASAVSKPVRQSLAPAAPPAAAPKEGAATPK AQ OJF2_RS23660 MIVLSAFADEISQDPRIQVETLLEHGIRHIEFRAIHGTNVLDLS DAQHEEFRELLRAGGFGLSAIGSPIGKIRINEPFEPHLARFDRALDLADLYGAPRIRV FSFYIPPGDEPEAHRAEVLARMKELAARAEARDVVLFLENEKGIYGDHAGRVADLLGA VDSPALSHAFDPANYVEVGQDVEEAWRLLEPRVRHFHVKDYDEKARRNVPAGRGDGRI PEILGRAVREGYEGFAVLEPHLIVAEASFGFTGPERFADAANALKSILDRQGITYA OJF2_RS23665 MSDARTSRRGFLKGSVAAGVLAGGGLPLAAALADARRAGEGFKI SLAEWSLNKALFAGKIQNLDFPRIAREEYGIEGVEFVNQFFKDKARDEAYLKELKTRA NDHGVACVLIMIDGEGDMSAPEKADRDRAVENHKKWIDAAAALGCHSIRINTGEHYSP TDVGAVAEACSALNAYGVEHKIGVICENHGGPSSDPNALIALMKAVNHPNFGTLPDFG NFPKGGDGKYKIDVYEAVERMMPYAKGVSAKSYDFDERGNETSLDYPRLMKVVAKAGY HGFVGIEFEGHRLTEPEGIRATKKLLETIREG OJF2_RS23670 MVPRRLFSRTATPLVVLGLFSAGATAAPISFTGNVANDFNPQTN PAVKVISVSDNPLTIGQSDWITNRGWVSGWSIQDIRLSYDQVTDTLAVGINNFKNASG QVAPFGQANGDPTGTATAYDVAHMGGDKSIALAIAGVNASNSSTPGAPVIIAGVPADK SMAGTGTDGFTVSSLNTANSSSGLAYEFGKQLPQYTGNLAYDPSPSHPQLEFTIKNFS QIAGLDLSKGIWLSMYAGSSQDGVAGEAYLDWTKTPALAEQNTPEPTTWLAWTVACGA AAYRYRGRRKDKRA OJF2_RS23675 MVLPDNALVQHPTIAPQISGIVKVSGFDVSGLRVSYDATDDTLS VGIEQPASQNHAGPVIAGDADNNGNSGTVNPAVTSTPGFAGFQDVPDLGGTEHMGIFL DFLGTGEPQIVAGFSTTPPAGQAAKPFQVATAIPTGPNAAPLFGTELPQFEGNLYLVN SINNPNLEFSITHFSQLYQQVTGTPMTPSSVLAMGAFGGSGQDIGISEAFFPEQTFTI GKATLPPPATCPPISPTILINPHEHRIIDTAHRDLVRVYVFGTSGFDVTTIDPATARL AGASPITYFTRKWPHSEFPVETFVFNARDLNLPPGMTTATFTASTRSGQQITSAREVL NIPFAPQRAVGYLRTRIGYESAYKRLDAAGKQSVAGSGGAASSVNLGATSQAAARAVR VNYNSMVSGTGATTPVLTPREVVSVRRSSSAPSQQAKVSRRLNASMSAFLSQAGAS OJF2_RS23680 MADHTPYQKKIIDRYYRNYDAIKHQQLSELATELYLAEGKKKDR LWKRVEESLAKLEFPQSRIDHLMKVRDPSLLVGILGELEGGASR OJF2_RS23685 MTSLAQRVRDLRYSKGWGPDELASRAEISRTALYQIESGKTGLP RAGTLQRIALALDVPMDELLADGETTVATGVRPVRAQSVTSRTEELKDWITAEGASLS PAPNGYNGQGHAATVLLDDLRADSGRFEMDAHRRTSPFACDPLLMREGELMSKLHDLI HSRMGSGVARIIDDLHAFVQRGRTGT OJF2_RS23690 MRSLAMSRIGLAASSLSLAFLTWLAGDARGQQPRTSRPSRAIAP ASAAACEPCGQPAALGTFAATPYIMVRGNWPAGGGYTGLVGSQADQSESLYGPLSPLR SVSAPVTTYTRGYDGRVHAARGTSASTPNLPALTPVVYPTQGSYYYGPREDRSPPNWS TGWNWIDQR OJF2_RS23695 MGALFNEGTTCGLSDGQLLERFVDGRGEARERAFATLVARHGPM VIGTCRAIVGDEHEAMDAFQTTFLVLARAGRSLWVRDSLGPWLHRVACRAAIRTRTEA GRRMALARRYLEAMTEPRRADCEDGRAADVHEEIDRLPGRFRMPLVLCDLEDHTYEEA ARRLGWSVGMVKSRLARARGRLRDRLTRRGLAPCIAGSPHAISRLIPGATRGDVPVEA AARAAMCLVEGRAATGVAGVAEALSRGMTTTMRINRLLQVSIAATACLVIASGAGSLA FRAMATPHPGGPIKGPAAPPGPPELAVDDRGAMGDPAPGQAEARELALKVLGQAASLD RLARFRYRVRYRHGVVDSMRAVDRSINGLRHGLTAPVLEKDWIGWYQTRFAWDEERFI WELRPGETRMNLDARFWTRADAWERHEAHDGSSVDLVRQSGPAQPWKDLVYFDYGYLR VAPHRFWWGRTIGRGNAQTMSTLAPEDTRWDRLGVARFADEACDVVDSPARGQRLWIG RDSRRIRGVLTYWSEEDAARMSTFFKSDAVRRIAGKAFRTQFDYANWRHSEASEDQLI EIARASAALYPPRPSRGIEPNELIQLDDYREVTPGVWLPFRELRCFPHASEAVAGKNQ IVRSELIVEEAGVGLSLADRFAELLPRDGQHVQDQRFAVPIDYEYRAGLDDEALRKRA DAESAKRPRDGRPATR OJF2_RS23705 MAERYDLVVIGAGPGGYVAAIRAAQLGMKVACVEKRGALGGTCL NIGCIPSKALLDSSELYHLAKERFGRHGIKVDGLGLDIPAMMKRKDKVVKELTDGVRF LFRKNKIEPVFGAARLTSPTTARVELNEGGTKDLEAGHILLATGSAPTRLPFLQFDGK SIVSSTEALCFETVPEHLVVIGGGIIGLELGSVWRRLGAAVTVIEFLPRIAGTADAEL GTLLQKSLTKQGFQFHLDTKVTGAKVEGDRVAVTAEAKDGKAVTVSCDKVLVAVGRRP YTEGLDLEAAGVAADPKTGRVAVDPHFRTNVPTISAIGDLIEGPMLAHKAEDEGIAFA ELLAGKAGHVNYETIPGVVYTWPELASVGITEEQAKANGVDYKVGKFPFLANGRAKAM DETEGIVKVLADAKTDRVLGVHILGARASDMIAEAVAVMEFAGSAEDIARTCHAHPTL SESVKEAALAVDKRAIHA OJF2_RS23710 MAAVPIVVPGVGESITEGILARWLKPDGALVKAGEPLFELETDK ASNVVPAPTGGVLKATVAEGDTVAIGATIGTLDPDGKAAEAAPKPVPAAPAAAANAGP EPATAAAPSAPAPSTPPSYGGGTAGTPGHPSQNGGAGTAAPATLSPAVRRIVAEEHVD PSQVAATGPGGRITKGDVLEHLARPAAAEAPAPAPPKVTAPASAAPRAAGRETRQRMS GLRQRIAQRLVEAQHTAAILTTFNEVDVSRVSEIRARYKESFKAKHGVGLGFMSFFVK ASIEALRAFPAVNGRIDGTDIVYNNAYDIGVAVSTERGLMVPVVRDADRMSFAEIEKA IAGFGERGRKGTITVDELQGGTFTITNGGVFGSLLSTPILNPPQSGILGMHAIKKRPV VVDDQVVVRPMMYLALSYDHRIIDGREAVSFLVRIKECIEEPERMLLDL OJF2_RS23715 MTSPTVANRANLELIEDYYSRWRSDPGSVDPSWRQFFEGYELGR SFTGATSPDGDGEAPRQMAVKAVTRLVDAYREMGHYLADLDPLRMVPRRQTYEQLELS NFGLSEDMLDQTFWSKLGPNNHCTLRELLAILRDTYCRTIGVEFMHIQNLEVRHWLLE RMEPVRNRPAMDIKQRRRIIYKLNAAELFENFLHKNYVGQKRFSLEGGEMLIPLLDAI VERAGSHGVAEMVLGMPHRGRLNVLANILHKPYGLIFSEFEGNMPETVAGDGDVKYHL GFSADHVTQDKRTVHLTLTPNPSHLEAVDPVVEGRMRAKQRRYKDADRRLGIPVLIHG DAAFAGQGLVAETLNLSQLPGYRTGGTIHIVVNNQIGFTTAPSDARSTRYCTDVAKMI EVPIFHVNGEDPEAVVYVGELATDFRQTFGQDVVIDMVCYRRHGHNEGDEPGFTQPLM YEKIRNRISIRELYTEQLVLSGQLSSQEAETIAETFAEKMQEVFEEVKVRGVEPRTVS PGYSTGPWASLTPKYSFETVETGVSFEALKAIAAVPTRPPAGFTVNPKLSRIYGNRLK AIEARGEVDWPAGEMLAFGSLLLEGTPVRLSGQDSRRGTFSQRHAVLVDQHNAQRWSF LNHLAEGQPEFCVYDSLLSEAAVLGFDYGYSLEEPNMLIMWEAQFGDFANGAQVIIDQ FIASAESKWGRGSGLVMLLPHGYEGQGPEHSSGRLERYLSLCAEENIQVAVPTTPAQY FHLLRRQVRRNFRKPLVVMTPKSLLRHKAAVSPVDQLVVGSFRNVLDDPEASERARRV LLCSGKVYYDLLARREAVGKQREVAIIRVEQLYPWPADELKKILARYASAQEWVWVQE ESQNMGAWTFAAPRLQELMGSPFQYVGRDASASPATGSKLVHDQEQAELVDAAIGATV PHLVAATPVRSLAMASVAARQGVH OJF2_RS23720 MRGLPHGLPGGEGGVLTTPAGISWLDLTLEPVEANLAADEALLI EADEGRAGAAVRVWESPTFAVVLGASRRRAEEVHLDRCEADAVPVLRRSSGGGTVLIG PGALNVTVVLPSDAAPGLATVEGAQGYVMGRLAGALSAPGRPVRVLGSGDLTVDGRKV AGSAQRRLRSWFLVHASILYQFDLGRIDRYLKVPLRQPAYREGRSHADFLSNLDRPRR ILEASIRAAWMIPPSQASATDVPHDLLESLLSERFSNRSWIERF OJF2_RS23725 MNRKWIGLAATSLLVAACGLVAAQDKKEHDEPETPLGKIMEKVQ KLNGDMTRYVRNAAQYKKSQKDLEKAALEFAKLAKESKKFTDPYVKNVKDVKEPQKKW DDIMDAWEKTSKDLAAAVAKDSTTQKEAKELFQKVKNTCADCHTVFRVEKEAF OJF2_RS23730 MSESLDYRAAGVDLNTYEETMARLPPLMRRTFSPRVMEWKDGFA GLFRLDDQIGLLSRRYRDPIMVASTDGVGTKLKVAVATGRHGTVGIDLVAMSVNDCLC AGAEPLIFLDYVAMSRDDPALTAEIVKGISDGCIEAECSLIGGETAILPEFYQPGEYD LAGFCLGVVDRKQIVDGKDIRRGDKVIGLASSGLHSNGYSLARKIVERSGLALDARVE ALGRTVGEELLAPTRIYTRPLKTIRRNYRVKKTIHGIAHITGGGLIDNPPRVLPEGLS IRLERGSWQIPPVFRWLQALGGVSDPEMFRVFNMGIGLVLIVAEYYADSIVRHLNQKA GVPAWIIGEVIPGGREVQWA OJF2_RS23735 MTLGTQAQPHGKLLDYEQFIDHQLARTRSKIKTTDIVTSIFLLF VGFVGVLLAEVVLDHAFGLPVAVRQLVLLVGSVAGLAYLGYRIVMPLVFRINAVYAAK TIEGADPAFKNSLINYLSLRPQRDRLPKSVLAALEARAVSDLAHVEVDHVVNQQHLMR AFYAACAVVAAFTFYAAFAPKSILDSTRRAFLADVVRPTNTLLRDIKPGNAEVVAGSQ VVFSVDVNRGVRPDKVKLHTSVDGGKFYTIRELEAGPKQYDPWSVTVNNVQETMDYFL TGGDAESLHYTLKVLPAPMVTAISLDYEFPKHVNLPPRMGIEGGEVEAVEGTLVTVHA TTNEPAERGTINLTTPVAPPIMTVDPKDARQLTGKFNVTKDGSYTISFRTTGGQLNPN PVVHDIHAIVDRPPTARFIRPEQPNIKLPANVKADLLMAGADDYGIKDATLHVKLDDE KLFSKNVLENKPVAPQFQAAEVLDLAKLRVKPGQKLKYWMTVRDNYPGVAHSVETPAQ FIEIEQPVAPEEKKQIEDRQEKERKEQEQAAQAQQPNEEGSAEPPNQDQAGGNETGTA DDRTGKGDPNQKQDGGGNAAPGNRGENQAGEPGKPDEGGAADNTNTGNNDPTNKGGGG AQNQAPQKDSTYRKVEETLRQKGMLPQNDKPNADQRPENRGGDQSNPKQGEGNSSGGS QGQPGSAGADRNGAKNARPQGNPPTRSNSGSDNQVQRGERSDSNPPDQTGGNESSNSV TRGERNADRNPGNPSGGEGGRGNSSDTTPGQRDDARPNPAQAQPGKDQAQPGKDRQDQ ARDANKKNQAGEKAGPQTSPPDPRDRGKAGEKPAGDNRDGENPKPNDRQGEQASADGK AGEKNQDMQKPGASGAGGSKDAQGGAPENNKNEAGRRGDREGASGKDSEASGNAGQED ANGARNNDGKGKDSAQGADGKQGDAPRPSGDGGPEGRKDAANDGTNGDQAAGNEKAAG ENSTAPGERSPAGDASNSKGEKNGSADQGGRKGDRSDGNDRGDQAGDQDRREGGQAGK DSQDGSGRPGEMNRRSDQASGEKGGATQPRERNDARSGDPSKAGEDAKASDRAEGGKQ DHSGEARPGGEQAKGNKTAGEKSGEAGSDAGKKAGDGKQAGDGKTAGESSADNQAGAK PGDEAGTGRNTDQKAGSDSAAGRDGKTPAGGQNSDGTNPEKGRTNPGERPSGDASGAK GQAKSSQAGTPRAGEPQGNPQAGQPRPGAGNTEGKDTRPEQAGSQPNGDGSKGGMRND QKTPREGGEPAGPPQDARNPRQDGVNPRPSNPGDRAEKPATEKTDGTTGDPAKDQQAA KDRQAGADDRAMKDQAASKDQPEPAKGRAGSKDEQGRKEQAAAPDEKANGEPAAPKDQ QGRKDEAASDDRQAGKDQAAKDEAGDRDKQGGRDQAAKDQQGGKDQAADQQGRAAADR KDADKQAAGDRKDARQAPGKEKSEANSKDDQPSKDGKKPEDAKKGKRYTDAAEKKAGE KEAPKDAKAPQDDTQRQPQPQDEPRSQERPQQAPDRGMEQRGDNATEADGQQATSGAK QGDQAGKQASEATRSTQQGGEQAPGQAPRAGDQAGGRDNQAGEQGGQEGSSSSKETGK PDAGKSRGRGEESGTGASSKAGESTKGGRGDQAGGSRAGEPGSSPGQGSKDSTTGDSS GGHPSDQGKQGSKGGEHPSQGKQGSKGGGHPAQGKQGSKGGEPSSHDGKGEEGGEHSG SSGAEHSGSSGGEDHGSSGGGGQAPQGGQPTGKSGQGKQAGGAAGRPPVGGGPTSGAG ADPGPAGEDTSKPSPRAQEPEDARPDDLAPDGKPQSDLVIRTVKDLLEKDAVTPDLEK DLGMTRAQMEQFVKKYEGVKSAPAGPGRDIEIKPGEREANDKPSANLPGFQQQNRFTS KNALNRGEMSKDEVRNNNEGLRFTPPPEFRSKFQGYSRSLARSRTSTGGRAASGPAPA PAGNPTPGGQ OJF2_RS23740 MLCPLALPRRYLVGFDPRELPHHFTDVLIVGGGIAGLRVALGVP EEFRTLVITKDELRESNSSYAQGGIAGVLDPEDRFDNHIADTLAAGKGLCNPEVVEMV VREAPERIRELIRWGTHFDEADGQVLLGREGGHSHARIAHALGDETGREIMRAMIGHA RSLKSIRVWQNCPTIDLLTHEGRCRGVLVWDKRRGFALVWARAVVLATGGAGQLYRET TNPPIATADGHAMAYRAGVELRDMEFMQFHPTVLYMAGSARHLLTEALRGEGAHLVDK DGYRFMPDAHPLAELAPRDDVSQAIARQMARTRHPNVYLDLSHLDPKFIRTRFPGIDR LCQSFDLDITTDRIPVCPGAHYMIGGATVNELGRTSMPGLWAAGEVSSSGLHGANRLA SNSLLEGLVYGARVAEDIVHSLDESGPWRLEVPPIKASGRSGKDHRARIDLDDLRKSL GGLMWRKMGITRDAAGLQDAAVQVDHWCRYVLPQEFADPAGWAMQNMLIAARLMIAAA AERKESRGVHSRSDFPEADPSRNTHIAIQYPPMRPSEAGRARGNGGEGSGS OJF2_RS39430 MLSLEHTAITRTAGLGHLDGLRSLDLKGSPVNDAGLSHLGDLKA LRELDLSDTRVTDAGVEHLRKLRQLRKLTVADTGITPEGVATLRRSLPWCRIR OJF2_RS23750 MRWNDRVYGEIGIDDPGALAVIESPTFRRLRGVRQAGPSAIAFP FKNVTRYEHSLGVHHLLGRLGAGPRERMAGLLHDISHTAFSHAVDFLITSDEQDHHEG LKPVLLNRPDLAATLARVGFRPQDFYDDSRYPLLERPLPWLCADRLDYFLRDGLACDA LTRGDVATILGHLAVEDSTIVLTDPAVARRTAALFAEMNRQWWASPTEAYIYNEFADA LREGFRLGAIGEADLLSEDDLVLKKLEAARSPLIDAKLASIRRFDPAAVEGYTPRVIP KQRWLDPPVKVNGTLRRLSELS OJF2_RS23755 MNGNTRSAWRAALVAAGICLCGLASRPAPAAAADSSGSLTKMQF GKTADGTPVDLYVLKNGQVTVKVTNYGGIITEIDTPDRNGKPGDIALGFENLEGYLGK HPFFGATVGRVANRIAKGTFTLNGREYKLAVNNGPNALHGGLKGFDKQVWKAEEVRSA EGPSVKMSYRSVDGEEGYPGNLDVTVQFTVTADNELKIDYTATTDKATPINLSNHSYF NLAGKTTQSILDHEVMLAADKYTPVDDTLIPTGEIAEVAGTPLDFRKPKPIGQDIKGI KADPVGYDHNFVLNGGGKTLALAARVYDPKTGRILEMSTTQPGVQFYTGNFLDGSVVG KDGIAYRQYAGFCLESQHYPDSIHHPNFPSAVLEPGQTFRETTVFKFSAK OJF2_RS23760 MTTSLAWIDYLIMLIYLAFVLGIGVALKRFMKTSEDFFLSGRSI PAWVAGLAFISANLGAQEVIGMAASGAKYGIATSHFYWVGAIPAMVFVGLFMMPFYYG SRAHSVPEYLRLRFDEKTRGLNAITFAVMTVFSSGISMYAMAKLIMLLHLFDAPVLAM GLSRDWIFHAAIILSAVIVLGYVYLGGLTSAIYNEVLQFFLIVAGFLPLVLLGLKNVG GWSGLKATLDGMGKTQFTHTWVGMGQASTNPLGVEWFGLVMGLGFVLSFGYWCTDFLV VQRAMAADSMTSARRTPLIAALPKMFFPFLVILPGLLAIAVPTPAAPVAEASKPGATE APALQGLIPAKVDYYTGELELDKDGKPVPDYDMAIPRMLLHYFPTGILGLGLTALLAS FMSGMAGNVTAFNTVWTYDIYKAYVHPGASDNHYLWMGRFATLFGTVISIGAAYLASR FNNIMDALQLVFGFVNAPLFATFLLGMFWKRASGHGAFWGLLSGTGAAAIFHGLNLPA GSAAGIKGGWITNLFGAGPLYAFPTDMAQNFWMAIAAWVTCFVVTIAVSLFTQPRPDK ELVGLVYALTDKPQEGHLTWYQRPLALGLVVLAMTAALNFLFY OJF2_RS23765 MNLDIRLPIGLLFAILGGLLIVYGLWTQFSDPNMYDRSLGLNIN LWWGLVMSLFGTFMTWLGRKGATEKPQQAH OJF2_RS23770 MMPSFALPSFLPLAAEAVTQKLVTLGVSDILIIVGYFAMVLGIG WYLKKQTTTSEDFFMAGREMTAWIAGLSFLSANLGSLELMGWAGSAYQYGILATHWYW IGAIPAMLFLGIVMMPFYYISKTHSVPGYLQLRFGEDSRAFAAISFAFMTILMSGVNM FSMAKVMEVVLGWDLNFSIWISSITVAAYVALGGLKSAIFNEVLQFMLIWAGAALIPI MGMIEVGGWANLQAKIGASYTHAWSTLGSFQDNPMGIHWTGIVFGLGFVISFGYWTTD FLVVQRVLAAKDLRSAKMAPIIGAAFKMAVPLIVILPGLLGLGVLDVKLVPESVAKVT PGAHSYNEVLPIMMARYMGPGLLGLGITALIAGFMAGMAGNVSAFATVWTYDIYRPFL NKKASDAHYLSMGRWCTIIGIFVSIGTAYMVMRAESIMDYVQALFSFFIAPLFGTVIL GMLWKRATPAGGFWGLLAGTVCSILMWLWVLFDETAIRYVALSPHAKPMAENMYRALW SWIICVAVTVVVSLYTRPKPDEQLTGLVYGATPIPGEGDVPFWLRPIFWAGMVAAVFV ALNVVFW OJF2_RS23775 MTAGSRYSIWYFIGILLTFYGVIIAAMGLYSLYSPPNVKLAEYH ADLWWGLLLLAIGGFYVWHFSPSRVKPREPVAEF OJF2_RS23780 MRAWAACIGLSISLMATPPDAAASGADPPPRLERIRVSVDGTRF VQAGSGRGFVPWGFNYLGLFEHLAEDDWQTPEGWKRIEADFREMKRLGANVVRWHLQF ETFVKAADRVDEQQLGRLRRLLGVARENGLYLDLTGLNCFRRDRIPAWYDALAEADRW KCQAFFWEAVARTCAGEPAVFCYNLMNEPVIAEPKPDEPPWVTGELGGFFFVQRISNR PAGRDAKDIAEAWVKSLVAAIRRHDRETLVTVGDIAWTVIWPGGKPAFASQQVARHLD FVSVHLYPATGRIDRDVAALEPYDVGKPLVVEEIFPMGCSVADLDKFIDATTPRVDGW IAHYFGHTAAEHRAGAQPAGALVADFLDYWSRKGAKIQRR OJF2_RS23785 MLKAETLAIGTELVSGQSLDTNSQWLARELGAIGIPTLFHQTVC DQLEENVSALRIAAGRAGLVLLTGGLGPTRDDLTRDALAAYAGVPLREDPASLEAIRA MFARRNRPMADRNRVQALFPEGAEPLPNRVGTAPGIWMEHGGVAFACMPGIPSEMRVM FFEQVVPRLKARSWGTRSIVFRKINMFGRGESEIEAMSPDLTARDRVPEVGITAHQAT ISFRIRGEGDTPEEALAQTEPTAALIRERFGDLILGEGAEDLPEAVFAELRRTGATLA TAESCTGGLVAQMITALVGVSPYFLGGVVSYANSAKAAFLDVPVEMLDAHGAVSPEVA EAMAAGARRRFGADLAISTTGVAGPTGGTPEKPVGLVYLGLATEAGTQSRRLEIGPEQ PRDIIQHRAAKNALNWVRLALRERPGKD OJF2_RS23790 MGASVLQRPTLVLNRHWQPVHVATVARSLVLLWNEAAFVVDPED YRLYSWADWAKLTPRDGELFIRTVKFRLRVPEVLTLAHHDRPRHNAVTFSRRNLFKRD HTTCQYCGCRPGTEELTIDHVIPRSQGGQTTWENCALACVSCNAKKANRTPEQANMKL RKVPIRPAWKPLYDASTVRIASWSRFLSDAYWNVPLEDAH OJF2_RS23795 MDARAGAAQDADRAAAASRYSADPFDLAAHRIVAWETSGEHWVV LSDDAAVLQGTDGVRSRAAVVRIVEVTIGGEKGHQIDIYAEGDVRITGQDRAPAPSFR TSVRTAREVRLNPYRPAGLQVVKGPPRGLAILDRCGFAGGVQSRPAAAATPASVAPRQ DRQVQRAAAQADPPQSASRAVPAPEPLPPPAAEVVETQATGAAPGSGTRPSAAPDPAA EPDAAMAEPAQPPPASEPDVDLPPIEGSQEFEVPDLNKPDGRVPQVQPLPGPSGDEPA PSIRGESSPRNPRAAAPPTAPILPGTERVITIVPRSNRPLLDHREVTPDGTEVVTVRG GVNIVGRTPKHGIIDAEADNLVIWRHPDPKKKGEQRIGPNGEVIENANDPLEVYLQGN VVIRMDEQKVAGKGDQRTFRAEEAFYNFLTDRLVVNKGEADVFAQGLIAPLKIKAPRI DQFHKIVRRPDGTLVEDTNPEIRAERTVMTGSRFPNPSYQLNNRTVDLTRTSQPLTDP NSGRRLTDPNDPNAPEDLVWQYDARQNVFWMGWVPIFYWPRLKGTADDLETPLRNFGF RSNNYFGQQVLTDWNGFKVFGLQRPQWIDNWNLDLDYLSARTKDFPALGSELGWFGND LINDLTDPYHRIKAPGEHITKDYFGYLDIWGLKDSGNDVLGSGPAVVTNGPPGAGQRG YQRDDVPPFQDFRGRFNARHMQHFLPDDDEHHFEDLRLQLEIAYVSDRHFLEEYYKRL SEVGLDQETLGYMQYQKNNTAWSLWGEANLQDFYTDTQWLPRLDYYRLGDSLLDHWFN YSQHSGADYANTHTDVMVNNPNLFAFMPYDPISNTSGPFSAFRAYTNHEIDMPLNIYD VVRVMPYLQGQAVGWSDQIGGGPFNQQDTGALGRIWGAAGVHTEMSAWKVYPWAEDEI LNIHGLNNKISLSADFRTAYANQNLDQIAVQDDLDDNTYEFVRRYFAMTSWTGGILPG MYDPRHLMLRRTISPITGTTDVQGSMTTLQLGWHQRLQTKRGPEGKRRIVDWMTLDVN GTYFPNAQRDNFGTPWGQTTYNYQWFLGDRTSIVSSGWFDFWPISGSKPLDNYNVQGF NPQGLNIITTGISLSRPPRASVYIGYTVINTGVINTSALNTSLSYWLSPKWYGSFSTS YDFGNKILLGSMFSLTRIGADYLTSVGLNVDPQRQSYMFAVQISPRLSPNMRLGAGVG LNQLDSRYAPTQ OJF2_RS23800 MTERRNPGEAHEGDAAGATAIEYPRGLLPVPEFDERSLQLQLEQ AEELTARADRRNTRGKMLAGIRGLKHAIRGDSSFFAHFYRGTLIAITASMLGVNQWCW CLLILGACLVLIAELSHSAVDTLARAIGNPEEPRLCMAREIAAAGVLVAAFGSGGVTA IVLSWKLAQLMGWVL OJF2_RS23805 MTTTGPNNEKLPARPEVLETTAGDFRLQEYRLRGADREWAVRHV GVVLTEEDETRAIVRKTNRLPYGVSLWPSAIALGHEVAARPDDFRGRRVLELGAGTGL PGIVAASLGAAVVQTDRDELALHLARRNAEANGVQVDHREADWTAWEDAARYDWILGA DVLYGDSLRPHLRRIFEGNLAPRGGILLADPMRPGSFAFLETLEADGWSVSYRKYDVG ETVPRPVGVFELSPPV OJF2_RS23810 MDEPALTVDGLVENPSRLAFADLAALPAGHQVEDVSRFHPKRKG DGVALDAILDRVRPRPEANYLTLHADRDDFHVSIPLQAIRAEAIVVYRLGGRPLGPAE CGPFRFLIRDPSACHTSELDDCANVKYLSRIELTHRRGRDTRPQTDEQHAALHQNES OJF2_RS23815 MSRYVVGIDLGTTNSAIAYAASEAEGSAAGAGPGAATTPIETLP IPQLVAAGDVSERPLLPSFLYLASPREFPAGALDLPWKSPPDRAVGTFAREHGAKVPG RLVGSAKSWLSHAGVDRRGAILPWAAPEDVAKVSPVAASAAYLEHLRDAWNAKIPGKK ADERLENQDVLLTVPASFDAVARELTMEAAGLAGLKQVTLLEEPQAAFYAWLAARGDK WRKHVKVGDILLVCDVGGGTTDLTLIAVTEEAGDLVLSRLAVGEHILLGGDNMDLALA YAVAATLPQGMEGLDAAQRVALNYACRAAKEALFADPKKAAAPVTVLGRGSKVIGGSI KTELTREVLDRTLLEGFFPACKPTDLPARGRRVGLTEIGLPYAADPAITRHLARFLGQ QAGSLHTGGSMVFPSAVLFNGGVFKATELRKRVLDVLSSWAGKPVPELESGDLDLAVA RGAAYYGQVRKGKGVRIRGGVARSYYVGLETSAPAVPGVAPPIKALCVVPMGMEEGTK ADVPSSDLGLVVGEPAVFRFLGSTTRRDDAVGTVLDRWNPEEIQELAPLEMTLPSEDG DAGETVPVRLHSDVNEVGTLELWCQSTRDDRRWKLEFNVREPSAD OJF2_RS23820 MNRFLLSLKAFWRILTDAAFAERVEPLFFPAPTGPDLRILAVLQ RDGRLVDFLQEDIDAYSDAQIGAAVRDIHRGCRKSLKDYLTIEPILNGPEESPVNVPA DFDPAAIRLIGNVDGKPPFRGVLKHHGWRVSAVHLPTLPATRDETPVLSPAEVEVN OJF2_RS23825 MARRPDHKHGIKRGRIREWPDGVGTPAEVAARISYVGSAQHKTY DSPAGPPAFKADKAKCDRYRREDWPLLRGALRDAILAGSLGEFRGQFPSRAWAWINDV LHEARLTNPATGEYHGFPINDPRQYPEPPERLEAAPRVQIPVV OJF2_RS23830 MSRSLSFESDPVDQPAASDALERATWCALRVRVGDRFASRIWDR ELNGERTTLYVPAFPIAEWIANNWWFLFHEPCRWEAVPRSVGRPAEFDWTRRHCLRAA DSALLLPNLFVFHDGHGPRVEWRPDASGSLPNMPGEFIGYGAEPLDAGPTQSALGEFV RATLGRVSHLPDERALELATLWRSIEKADEDERQFCTLAGTMGIDPYDPDTMTEELAS FLEEAATEHTLPLVLDLAKVSGPGTIEERWSWIVEASRELGMLSGPRLRFPDLPSRDL SPAEFGYELARKVRRRLSEELGPLPSVEELGPLPSVEEAANRLLAGRFRVVARNHVPG KEVQAIVGMTLRGEVIAAGPEVPSESGRRFQVARRLFHALIGRESCPRLVTRGFSWDQ KASRAFAAELLAPQRALMARLADDLADAQFIDQAAGEFQVSSMVVQRQLENAGAGLSA D OJF2_RS23835 MTGTLREQKATDSEATHRRPLFYGISHPLSRRLPGAGLDASQPV FVIGLPRSGTTLVEQILASHPAVHGAGELLWACRTFEELPAVVGRPLPPAECVPLLTP EIVRGLAQRHLERLRSLAGDRAERIVDKLPENYYHLGLLAAMFPRATFILCRRDLRDA ALSCYAADFRSVPWASDPEHLACVFRQHLRLMDHWRAVLPVPIHEVRYEDVVSDLEGA ARRLIAALGLEWDPACVEFHRTSRPVHTGSRLQVRQPIHARSVARWKAYEHELPELFA PLATLEPPGAG OJF2_RS40735 MSFEHLPERQARLAQDLYEELRAASDADIRAMAELLATKPDDEL FGEAEFQLRDMVHRVGAKALQAAAMQRKKGGM OJF2_RS23845 MNLQSGQWPTRGMVMVPAQERVAHGDRLREAGRMDDARAAYGEA IDAMAPPLGRALAGLAQTFIRQGQIGEARAPLERAVAVEPANPERWEWLGRVCEWAED YAAAIHCWHRVIALGDGGRALPYIGLGWALQQTGRMDEAEAAFRTAASREPESTEPLV SLGLLEMERARPGPAEAAFRAAVALRADCAMAQYWLANLLGGRLPDADLDALQALADD PGTGEEPRTRLLFAMGHVLDARGRHALAAPRFRAANALQLAALRRSRPFDPAGHERFV GDLARVYDRAFFDRMAGAGG OJF2_RS23850 MSRPAALIVASLLLLTGLPTTATPDDGSVPRAKATRDYRFDRTI SRDVLENYLSRSITMEGLLNGRGDLDDNIRMLKDAGAKLIGRALCLWGNEANLLANIE RAGNQAPKVLAADPDMILQGCIFEIVTTQVDEVPVPAWAFEAFGRPVEARNFRYEDML FPDRRFVDHWRRGESVPDVSRPETKLYFYFLARSFLDAGIESLHLGQVELMDRNDRDL AHYLDLLGKIRAYAAEHARRHIVLCDAHVPGGGLVRDGRLLLDWHAFPLRIKEDSGRP REGVLEVGHVDSLYGRSKGGRTPSGWECEHLPYLVELDNWGVSGHPGEPGQGSFWVWG YDEITWFALQPPEYRGRWLRYAWDWLKEADPAAHLEMPGSRTLSPGRGRRPRWYYAND PSPAVPEGFGDERAIRSVWAADR OJF2_RS23855 MPSPFPGMNPYLEREDVWPDFHHRLIDRMAEAIADQVDPRYLVK IEEHLYVQESPELPHRAGPRADIGVKRGEGAVGQGPGLALLEAPARITIPWPDVEHQA YLEIRDRASRELVTVLELLSPANKVRHRGQHVRERDQVIVSTSHLVEIDLLRGGEPMP SPDRPACVYSVVVSRADRRPEADFWPIRLREPLPIIPIPLREPDRDATLDLQDLLHRV HEAGRYARYIFDSQPSPPLSAEDAEWARGIVAAARDEGADRAKG OJF2_RS23860 MLSIRDLVKVYPGPVAALQGIDLDVPEGMFGLLGPNGAGKSTLM RILAGLLEATSGSATLDGESILDDPARVWGRLGYLPQDFGFFPHLTGAKMLKYLLRLK GVSGPGGLDALCDQLLEKVNLTYAAGRKVKGYSGGMRQRLGIAQAIAGDPRLIIVDEP TAGLDPEERLRFYRLLSELAEDRIVLLSTHIVEDVAVLCPRFAVVRRGRLVANTTPAE ARAWLAGTIYEGSVPVGTLHELLRETGRCVTQSYLVEGRDRVRIHQPEGEPPAGFSPV PPTLEDAYLLMIQGKRPGDRREGEDAAEAGNRAEMPAAVAVSTGEIAGGLS OJF2_RS23865 MNDHPRARHAAGPSRFSPRRFWTVFSGEFAQQARKPTVLVWVGL LVLLAWGMSTGSVRIQSGDAGVGGTKAWLTSEFAVAMQLAILTPLVYSFFLAILSGMT ILHDEEWRLGDLLHATSLRPGEYVWGKYLAVLTLGFLVLAAHLGAMVFFNHVMPNAAA KELRGPLQAANYLRPALLMSAPLLVFLAGVSMLLGERSRRAILVFLLPLALLMADIFF LWEWSPGWLDPRVNRLLMWLDPGGFRWLQETWLKVDRGAAFYNSSPIPPDSGFLASRV ALVVLGLAAVAWTRAHFAAAVHGRIGRRDGSKLVEAAVASETASEGEMPGREVRAGES LASLGMTSVRPGFLRGAMAVGRVELAALLASPGIYLFAVLILLQTIGTTASQVGFLDT ALLITPGRFAMSSLNPLVTCSCLLLLFYSVESFERERATRLAPIAFSTPVRTGSLMLG KAAAQAAVATVIIVAMGLAGYVLILVQGTVPFSIRPFLIVWGLLLLPSLAAWSCFVMA VQSLTKNRYATYAIGLASIAFTAYRLFTGEINWVGNWPLWSAANWSDISVLELDRRAL VLSRLLAVGLAIGLVAATARVFGRREADPVRIIQRLRPRPVVGTSLRLAGWGSLALVA GLWLALEVSWGPGGEAARKRGKDYWRKNLATYHDAKVPDITHVDLDLELFPQSSRFKA SGTYDLTNPNDAPLRQILLTAGMSWEKIEWTLDGKPCEPTDRSKLFVFTPDPPLPHGG KARIGFRYEGAFPGGISKKGGSAMEFILPSSVVLTSFGPAFVPTVGFADQIGVDDENR VESKEYPDDFFEGQTDSSLGPRSPFTTRIRITGPADFTFNSVGAQVEDAVRDGRRTTT WESDHPVSFFNVVAGRWDVRRGEGTAVFHHPAHTYNVAEMVASLDAARRYYSEWFYPF PWKELKLSEFPNLATYAQGFPTNITFSEGIGFLTEDSAEIHAPFEITAHEAAHQWWGN IVSPGKGPGGNVVSEGTSHFSMILLVEQAKGLNARIDLCKRLEASYAKSRRPDSEKPL VKTDGSRPGDTTVTYDKGGWAFWMLMNRMGRDRALQGLRAFFKAYHNNPDHPVIQDLL AAMRPFAADPGDFDRFTRQWFREVVLPEYRLHEPKKAADGDRWKVTVRLENAGSGTMP VEVAATRGKRFDAKGQRSPDYREARATASPGKGESKEIAITCPFEPEGLVVDPDAMVL QLQRKTAAAKF OJF2_RS23870 MHEYDKSSKWLIQHHGDSILRLAGITDIAEWRPLQAELVLPRRF PDGLIEVRRATPGEPELFILEIATYPEPRIAEQALRDAALVYLDRGILPEVVVLVLRP RGGRRIPRSIELRGSRGETVLHLRWHTVELANIPAAELLDAGDVGLVPWVTLARIDGP PEPVFRRCKERIDREAATGEKENLIAVAQVLASLRYNDEGLLQLLGGSAAVIESPLLQ ELKEQWTREAATQARREATHSAQVRMIVDFLSARFGDRATSLRNRLDSIDDESRLDAL VKVAATCTSLKDFRDELGPPSRRRGSK OJF2_RS23875 MGPPSPLRTAAAGAPARVQFPLWVIMLLIATVAIGIAAPPLGVV ALGMAPTAAAYAGSAFLVRTGRLRLAKQLALGLGLGFNGLFIASTLIPIGLEFQPAFY VGYFVAALPLIFGFGSAWATLERRHGEDSRHSPSPPWLTMGVLLGVPLFTLTTLWPLH AFFLMARPAMDRMADQAAAGPWGALSMPAWIGPFRVVATRMSSDADGVALITDPHPAG PAGFVRLRPGDKTGAGGRPIIAGDRLLVPLWGGWSYRMED OJF2_RS23880 MRPPDFEARMRAGECFHDVRMLPAAWAVLRLDGHGFTRFTGRRY EKPFDAAFHDQMIAAAGRLLERFQGLYAYTESDEISILLPRGWDLFDRELEKAASLSA SLAGSVFSIACGEPVQFDSRVWLGARDEDVVDYFRWRQADATRCALNGWSYWTLRKAG RNAAEATAALHGKPVGFKHDLLRAHGIYFNDLPLWQRRGTGLYWERFAKEGFDPIRGV AVSTIRRRLKVDRELPMKREYGLLVGRLMDGAGSGDSRRTA OJF2_RS23885 MEVVLFVGLQGSGKSTFYRERFAGTHVHVSKDNFRSNRRPHRRQ MALIEGALDEGRSVVVDNTNATVEDRAAIIAAARAHGASVIGIVFEAPLADCLERNGR RAGKQRVPDAAIFATRKRLRPPTMAEGFDQLRRVRLERRGDATFAFIEESLP OJF2_RS23890 MRVLVTGGGTVAPIDDVRTITNVSTGRFAASISEAALALGAEVW HLHAPNAALPLLRHARLDLDAPDPAAERRRLEDLRLAWMARRDRLHLIPLSSGTVADY ASNLERLLRTQPIDVAFLAMAVSDFEPDPHSGKLSSSPDELLIRCHRTPKVIRSVRDW SPSTYLVGFKLLSRASTEELIAAAGSACETNRADLTVANDLQTLVAGRHTVHLVRPGH PAETLAPGPDLADRLVERALAWAADSPRERPRPPAA OJF2_RS23895 MTLARNTMIPTDPQARALFEEISTWPIVDPHSHIDPHRPAARNL DEVLGYHYYTELAHSSGMPAAEADPGLDPRTRAGNVAGYLERLDNTVQHSWLMEIART FHGFQDERITPSNLGALYDRAEHGRDGEDWDRQVWKASNLESVCLTNDFDDPLEGWDT SRYVPCLRTDDLVLKLHERTTVERLRRCTGEDVQDLASLREAIGKLFGRFVSQGACAC AISLPPDFVPRPGAPKRAVTPVRRAIQGLDLRPDEHAEIRATVFWMLAEFAAEFRLPF DLMIGPVRNAYAAGVAGGRDLFDRRVSLHDYCELFNHFAGVTFPVSTLSPDASAELVA YSWIFPNVLPHGHWWYSNIPAFIRADLAARIQAVPRVKLLGYYSDAYKLEFVLPKFNM YRRVLAETLAEACVRGQGWGEDRALQLARAVLLDNPRRVFGGNGRGSAGPI OJF2_RS23900 MQEGGPPRAQVTPSFLESIKLITSSYDRCRALMQTTREAILSNQ LVLAHRTLEEASQAALAEPPGLPHDQLLIEAITITGLLTDAVIREGRVQTVAADDGDR PAALPRSRDPRQMAQLVVLEWKRAGVLADSITNPTYRNEFEARVAEGMSRDSSKIIGE VVRRSEAAAALGESEKIDARNVKFYEQSAGSLLEEAARIATAIERPIWRFAALERVAI SAGESRQFAMGMDIASRINNAEARAQAFVLLAESETRANRAEEASESYSQAAEAIARV EQGGLRGVLTGYLVDSLITSGRFEDARASLVLYPTEGEKFVAMGAIAESQGRRGGADR AREWIAREAPPSYRSALYRRVNDGVLESVGNERQNQYYTPPGAR OJF2_RS23905 MARVLLVDDSPTQASEARFLLEDAGFDVDVAGDGLEALESLGRC PPDVIVTDLMMPRMDGLALVEAVRRDHPTVPVVLVTAHGSEDIAAKALVAGAASYVPK RNLAQDLARVLRPIIAMAAPDPDQVRVLGSLEEIRLRYCLDNDDSLVAPLIRRLEGLV LEMGVCERPDLVRLGVALQEAVVNAIDHGNLELDSELRQDDERVYHELGERRKSQPPY RDRRVRLDAGITRDEASFTVRDEGPGFDPSKLPDPTDPANLFRIGGRGLLLIRTLMDE VRFNATGNEIVLIKRRGRPASTGA OJF2_RS23910 MGPDEEERPGERIRELEAANERLRRQVDVLRREVETLRFGELRF RSLVEATTAIVWNTPASGDFEVPQPRWSEFTGQSFEQLRGWGWLDAVHPDDRAETARV WSTAVADRLLYLVEHRLRRRDGIYRHMQVRAVPILDDAGKIREWIGVHTDVTTQKEAE SALREARLLAESASRAKSEFLANMSHEIRTPMNGVLGMTELALDTELTPQQRRYLELV KNSADALLSVVNDILDFSKIEAGKLELEQIPFSLRDRIGDTLKALAMRAHRKGLEVAC DVAADVPDAVVGDPGRLGQVLINLVGNAIKFTEHGEVVVSIRRADSHSGGPAGGDGDR EVSLAFEVRDTGPGIPAETQCRLFQPFTQADSSTTRRYGGTGLGLTIARRIVEMMGGR IVLESEPGRGSIFRFTARLSARAEGAVAVEETVSLRDLPVLAVDDNETNRAILREVLS HWGMSPKLAPDAETALRMMALAAAAGSPFAVVVSDVMMPGMDGFELAERIRDRPELSG AAVILLSSAGARDDDARGRRCGAAAFLTKPAKQSELLDAIMTAVSALPREARPAGSRP VGPEGPAAPGPARALRVLLAEDNATNQVLAISLLERDGHLVEVAPNGKEALDALAARP FDVVLMDIQMPVMDGFEATALIREREGRSGGHVPIIAMTAHAMKGDRERCLESGMDGY VAKPIRAADLRRALEEVVPAGIGEPSSPGPGPSTPAASAVDRAALLARVGGREDRLRT IVRVFLDESSKLLAEMQAAIDAGDAGSLGRSAHSLKGAAGLFEAPDLVQAAVVLESMG RSGDLSGAGDAYLRLRDEAGRLAAAIAPLAQAPGGP OJF2_RS23915 MPRLKELLAEGRAVRVFAAGQLISPKLIQVAGEHGGFDALWLDA EHAGITMRDVELATLAARPYGMDSFVRLPPTDYASVMRPLEAGAGGLMFSMVQSAAQA EQAVRWAKFFPRGERGLNGGNRDGRFGLTPIPEYIAAANASTFLGVQVETAGALAEAA AIAAIPGVDLLFVGPSDLSQVLGVPGDFENPRCLEAIAGIARACEAAGKPWGVWSRGP EYAERMRSMGCRLFVLAADIQMVHLGIRAAKQWYPGFFPAS OJF2_RS23920 MSDTRRHRVLFADFLDENTVEMPVLSDVADLVLGRAFDERDLEG LLPEADAVVLYHDVPHFGEASFARAARCKCVVRAGVGYNNIDLDAAARHGVLVCNVPD YGTEEVADHTILFLLALARRLVTCHEEIRAGTWHYRSAVGTPRLRGKTLGLIGCGRIG TAVAVRAKALGLDVVFYDPHLRQGMDKALGIRRAFRLEDLLGQSHFVSLHCYLDESTR HIIDREAVAAMRPGAYLINTARGPCIDEPALLEGLDSGKIAAAALDVVEREPLEDARL REHPGILFTPHSAFYSVEGFVELRTKAVEEVRRVLLGEAPRNPVRHPPAGLA OJF2_RS23925 MVTLEMDETRTRGWSAVAELVRLAQDGDRGAFGRLVEQFQPTVH AIAVRRLGNPSEALELTQEVFLHVLRRIHQLREPERFAGWLRQVAVRMAINRATRRVA PPTVEDSVLEGAYEAADQPIDELIARERAERLWEAMARLKELDRETLIAFYIRGLSLL EMADELTVPLGTIKRRLHTARKRLRQELEASVADADEWTEGMGADDEDDEGEPELLGV IRDSARW OJF2_RS23930 MATTGTDSVEDLDRHWRLREEAWARKLGRLRLGAEPLAEQLARY RGATWALTIVPAAIAAFFVTLFAAFDRPDVGLVVAGLLFAPVVLGSWIGYARLRRRAR GYLGELAAYHEERRRLAGGAEKATAP OJF2_RS23935 MASAPNDVAAADLGRLFGAGTASGMTDRQLIDRLARKGGDRDEV DLALRAILDRHGSLVWGTCRQVLGNVPDAEDAFQATFLVLLRKAGSLSVSDSLGPWLH QVASRVSRRASSVRARDRARLAAAAGQPGSPGGDPAPATDRLLVLHGELDRLPDKYRM PLVLCHLQGKTHEEAARALRWPVGTLSGRLSRARSLLRSRLERRGWRSSGDIVGVLTP VCSRTIVPPDLVARAAGLTASFQAGLPAPLAIEGLTRGVLMTMLWNRCKGPAAALFTV VAMAGASSLSVRALGAGRAGGPSPDRPGPADAPVLVASAAPQTPSPGPQPEAARPDGV SNEDVTIAGSPAVVVRTVPEAGTGDVDPALKQIKVTFSKEMRDGNWSWVTISKPSFPE ITGKIHYLDDHRTCVLPVKLEPGRTYAIWLNTTKFTNFKDRDRRPAVPYLLVFRTKA OJF2_RS23940 MPRPPIARLAASLLAAILLHEIPGPPAFAQGTKADYERSASFGQ RMAGKVFKARVQAHWFSDGHRFWYRNDLADGAREFVLVDAEKAERKPLFDHAKLAEAL QKASGKPQDKAKLTLERVAVLDDLTIHFGADGKTWRFDPKAGTVAEAPMPADSVPSAR QGASPSPGQGPGRRSRREGARQPGSRRTEDSPDGKFRTFIRDFDVNLEEKGTGGKSPL SFEGAETDGYEGGVFWSPDSKRFVALRTAKGDTHMVHMVSSSPADQLQPKLISHEYPK PGDRLPVSRPHLFDAAARREIPIDDDHAPNPFSIDDVRWSPDSKRFTFVYNQRGHQSL RVVSVDAASGHAAVLVDEASPTFVDYAHKQFLHFLDAKNELIWMSERSGWNHLYLMDA TTGTIKNPITRGEWLVREVERVDEDARQLWLRVRGIHPGQDPYHEHFARVNFDGTGLV VLTEGDGTHEVEHSPDRKYLIDTYSRVDLPPVTELRRAGDGKLVLGLEKADASGLIAA GWKAPERFVAKGRDGKTDIFGIICRPSTFRADRKYPVLEDIYAGPQDAFVPKRFAPTQ PGQAMAELGFIVVKIDGMGTNWRSKAFHDVCWKNLADAGFPDRILWMKAAAAKEPAMD LSRVGLYGGSAGGQNALGGLLTHPEFYKAGAADCGCHDNRMDKVWWNELWMGWPLGPH YDEQSNVTMAHKLQGKLLLTVGELDTNVDPASTMQVVNALIKADKDFELVVFPGANHG AGGSPYGRRRLQDFFVKNLLDVEPRAR OJF2_RS23945 MSERRSAVFGLILMLAGTASAAGPAREETMSSVVDGSNAFALDL YARLRDKPGNLFFSPSSISTALAMTYAGARGDTAGQMARVLHFGDDRAALDRGYRALL EATRPAGDRPGFRLSVANRLWGQKDFRFLPDFLALTRDAYHAELGVVDFAAEPEPSRE RINAWIEEQTEGKIKELLGTGTIDRLTRLVLTNAIYFKGDWAEPFKRDFTKEEPFHVT GDKTTRAPMMHKVHEFRTGAADGLKFVELPYGRGDLSAVVLLADQVDGLPAVEARLSA ASLDRWLTVGAMRQVDLALPRFKVESEFSLADTLAAMGMPLAFDRRKADFSGMSSEDE LHISAVVHKAYVDLNEEGTEAAAATGVVMTLRAMARPAPPVVFHADHPFLFLIRDNRT KAVLFLGRVVNPAA OJF2_RS23950 MSTTFTARDDGDALIIHFDSPAGLNDFRNSSLRDSLYAAVQERE TPQVALDLEQIDYLSSSGVAILVGLKRRIDTRNGKLVLFRVQPVVSDLLKVMKLDRYF PITADEPSALAALRPVPTN OJF2_RS23955 MSFRQQRSDRPASARRRRVDAPEALENRQVLSSGGMFHALYTPS DVFVTNPITHQRIPTSNRSLMQHNNPDSPLLSNQGKIVSGVDRQGNQWTITVHGPGQV IVTDTSPNDGSLDDDISTIQIINSNPRTTYVTGNTVSSNRVLGDGTVLFNRLIALGGV KSIELNGFDLSANVTPAVAQSPGVFLYGGVQTLKFHDIAALIDTSVSEPVYQIVIGDP SIPLKVQPSIYLDSIYNSVFDSTSTSVPSTPLTTPSVQFSVNGTIQNFSVVSVTQSAI SPNQVAASQRGTFQNWTGVPAAGPISGAYQFFYNVVGTTGRTSLQADAINNLNVRGKA TNFTAQRDTTPFTNNLSGLRYLRRASFGANADAVALDVRGNIGSLKFKKGLGDPTYTF TSTKTTTNSITGETTAVYLPASNYGTPAGSEGYPAHGLLGGAVRARQIGSLQAGPANL ITLTAQNPRFVQRTPGRTVYYLANPGTAMTNAAVTAEGSIGKVAVTGNQQNTEIKTGF NYQSYLAGLEGTRAASRIARLRQRGDLVNSVDSATFRPGADSSGNFVYTTATGTAGNG SITGTTTQAVGYGVNAGSYARRIADGGAFATGGRTALGNFGAGYYARRVRGNIRRLHS OJF2_RS23960 MSEPFVNTAASPVAHEERRVLPISNGQSHIVPRTLTLTGVQVLG TGSYVPENVVSNVDLQDSLGFDPEWIVNRTGIHERRFALPHQATSDLCAQAASRCLKA ADCRPSDVDLLVLATFTPDMAFPSTGNLVQDRLKLNCPAFDIQAACAGFVFALVIGSQ FVATGNCKRVLVVGGDCNSRVINPGDQKSFPLFGDGAGAVLLGPGTKEQGMIAYQLGS DGSGSDLLTRPAGGSRMPPSCETVEQGLHYLTMDGRAIFKWAVRILADSTLAVLGHAG SKVPDVRWFIPHQANVRIIHAASDVLGFPREAVFKNLERYGNTSAGSVPIALDEVHAT GTIRHGDQVLFSGFGSGLNWGTVLWRW OJF2_RS23965 MAEHRIRLRGGWDCIDPASGADVPVRLALPAPRDAFPARRVRLV RRFGRPPKETLEAGGPAFLRLDRVPGLVGLSFNGAALDVGEGRGGSAEVPLPRLEERN VLELTVELDAEEAEDAGSWGEVALVLPSGDGPPG OJF2_RS23970 MIDVEREPLRKTYQLCRISFFFLSLCLVPACLHSILNMAGLLGD PRLLFWLAATPIDDWVGTITVWSSLIGTMLLWGRWGQKGWQRRVALLLVMCLADLVLW FMERGAAQVHGRLGWFPQNLGRALGWAEFALLAGLMGDYLVHLGVERADESARSIRSL AATGAVVWMLHFCESTNWNGGWPLQGRMPGRQGILLYLGWNMIWTICLIQVSALAVAA LRHSSRVLGEMEKEDMQDDPFAMTPDSTRHDFYASMPTHGADPF OJF2_RS23975 MHASSMENMVKLYNNYLDPVFADGLDSVRVLDVGGARINGSYRD VFGPGVTYMAADLSAGEGVDIVLDDPYRIPVPDGSFDVVLSGQMFEHCEFFWLAFQEM MRVVNDRGVVCLIAPSGGPIHRYPVDCYRFYPDSYAALARYAGCHLEASWLDERGPWY DLVGVFRKQAPDAAPRPGLRVDGPHRRSRRPALAIAAPADPAPSSIAADSPDQEATSG IEDSVATLGRIHAAIRPELYVEIGVGHGRSLALASRPAIGIDPDMQPSGLGPHVALYH ETSDEFFERHATEAIGRPIDLAFIDGLHLFEFALRDFMNLERRASRRGLVVIDGVFPN DATQGSRHRTTRTWCGDVWRIVPCLQEARPDLLLLPLDCAPAGMLLVAGLNPEDRTLW DQYNPIVRRHLLQAPDAPPPQAVLDRTGALAPSHPLVVGLLEGLGGCGEPESIRGLVE STRMRCRERTGP OJF2_RS40740 MATEAQIQANRRNARLSTGPRTKRGKARSRRNGYGPGGRRKEAG PKVREANGRGLEERVAGFLESAVLADSEEERALLRKAGELSWKIEEAERAECAYLERA VRAAEERHEAAEAGAGERAEELVRRLLEWGEATGDSLGGRAREILGELEGSRAGRAWL IRLWRWVRDWVGRSSRFQPTGRYRLVRMLGYDPIDVDTFPKVNEVFRALNALDANGRA DCEAFFARARDLAGACHPMIRASLAWRDLAGPFASAEEARAFLVGLADERVGRLEMLQ GETDADRADREALAASAAVERLRREASAMSREFFRVLEELRRVRKARPEAKEGEPATP RGIEPSDEAGASRREPGEPRPADALVLPSPERTSGSPPSEAPFIPRCGAGRSVSPPCE GGVGGDASREPRRSKNPPVSPLREEGIDSCLRASLRGERGPAPGGVSVSLAGGIAADS VRQPGEPKPAGGERHGGAEAPSAKRAVDPGRTGADLPDAGPLAPAGGGVAAVGSSGGA ARPTCEAPAKRQRPGSTGCDRPLQRRRETGRDPRPPGWPWRKRSDAAGSPRLGDGRRR RRRPAHPRRRRASATATPLPAHGGRRGSRPPGPACTAMPVVKPPKARMARLGTTPAKR DRPECSSPTLTLRRGMHGHVTTRVSGWFGRAKPPASGVSRIWGAGLGPRQEPRLRLDA PASRVALTCEAGDFARPNHDPTIVVTRACGAVPSVNLPVMAPRSFGTNPAKPFENRGQ RPSRARLASSVSKQAARRLEPGGARGEGVGRGRLPRGQPGARSSDRRQRRREAWPEEA GDAGGPAWRPLGFPGGGGSPTMGAIDDDRNGGGAASPMRIILANPRGFCAGVNMAIEC LERALDFFGAPVYVYHEIVHNKYVVDRFKKRGTVFVESLEEVPEGSPLLYSAHGVSPQ IREQARGRRLLAIDATCPLVTKVHLEAIKYAREGYTIFLIGHEGHDEVIGTMGEAPGQ MILVETAEDVRKLEIGDPEKVAYLTQTTLSVDDANLVIGALRERFPQIANPPKDDICY ATQNRQEAVRELASRSDLVLVLGSQNSSNSRRLAEIADSLGVPAHLIDGVSEIRPEWF DGVDGVLITAGASAPEDVVQECIDYLVRNHGATLEEAYVREENVHFPLPKSLRELLPA GQR OJF2_RS23985 MPNRMISILGRLRQDVAAAISAETIEAACKEVGYRWRRRKLGPV ETIYLFLVQVLLEDTSCRHVVRIGGREFTDTAYCKARSRLPLAVFLELVRRVAAAVRG ASEDSRWHGHRVWVVDGSSVSMPDAPELQGHFGQPGGQRPGCGFPVAKLLMLFHVGTG MLLRVTAAPLRSHDMSGAGAISGGLEPGDVLLGDRGFCSYAHMAMLLGRGISAVFRMH QQVNVDFAPGRPTARRKGPYPRPQGLPSSRWVLAHGPQDQVVAWPKPKGRPGWGPLGA IHLSRGPLGAIHLSRNPDDPVRDRRRAFR OJF2_RS23990 MSTIRGVVRDGRIEIEEPLDLPEGTELLIPIPDPPGDEEPGWDN SPEGIAAWLGWADSLEPLLFNEKEEAEAEDWLKRCDHRAAETLGRDVEGLFR OJF2_RS23995 MRRYLLDTNAVGDWINRRHGVDLRVREARGRGAVIGTCEPVVAE LFFGVENSETRDENAERLQRALAGLKCWPLTRGASREFGRIMAMLKRSGTMIGPMDVL IAAIARTLQDCVVVSRDADLLHIPGLAVENWTAP OJF2_RS24000 MSDLQASGEVEIYLEGDYIPSDYFDGPQVFHESDAVVTFENGKV RISPNVKSCDYDHDAIRAWIARINYMLELRQVISTALYTFNGLAKVKVFADGHRVHDV SAETRIIVRPRVMATGVTLIPGSPQAEDPREMERVAHRTFMERGLRHVSNELAGKLIR SFSRARKDPSNLLVHLYEIRDAVGQFFGGEKGVREKLGVTRAEWSRFGQLANDAPVSE SRHRGTHIELRPASEEEKLAAMGFARRLIEAYFLYLEETPS OJF2_RS39435 MIKIPEACPAPAIAEVRAAFTLYWCDLPACLNRIRNALELVLDD FKVARSGLDKARRKRNRLSLHHRIEKLEKKKPKLKPICERMMAVKNLGNAGSHPGDKV THDDVFDGFDILERVLEDMYSEHPGELAKAVKEINRRKGPRKSKWTRP OJF2_RS24010 MGPVRQGSSRSSGPSACVAALGLAAAVLAASGCPTSGQRRVAQG PAEERPNLLIIVSDDQSGLCLGAAGDPRGATPNLDRLAAQGVFFARAFCNAPVCTASR QSFITGKLPHAVGVTRLGTPLPDDARTLGTWLGENGYRTAAIGKMHFNGPSHHGFDAR IDVGEWLRHLRESPPPGGDHRRPWRPFVDPPDAWLNARCRDEGLPEGSTASTFFIDRA LEFARREDERPFAMVVSLYEPHAPFRFPREWRGRYRPDQFPVPPATEAERRDAPRVFR QLTGDDLRGIQAAYYTSLSFMDHQVGRLLRGLDEGGLGEKTLVVFLSDNGYLLGQHGR VEKNCFYEPAVRVPLMLRWPGKLPAGRKVADLVELVDLFPTLCRLIDIPEPAGLQGMD LSTLARGAPGASGRPAVFSEYNESEEAMIRTPRHKLILGTGRRARRDHLEADAPAAGP YVRLFDLESDPEEKVDLGADPALEALRRDLIGAMHDRFARSWTGPDPIPAGLPPRDAI EWCLSPRDP OJF2_RS24015 MSNAGESPAPPASIVVRGARTHNLRGIEVDLPRDRLVVLTGVSG SGKSSLAFDTIFAEGQRRYIECLSGYARQFLDQLQRPDVDEIEGLPPTVAIDQRAGQA SPRSTVGTLTEIHDHLRLLFARVGIPHCPNCGLPIHRQTPEQMTDGALAFPAGTKVMV LAPLVRGRKGQHAEVFPAIRRAGLIRARVDGEMIEVTDQPPKLGKAKPHDIEAVVDRL VIREGIRPRLAESIDLALKLSEGVVILAAESAGKWEDHVRSVHLACPNCGSGLRTPEP RGFSFNSPYGACPTCQGLGVVDGPDPGTGEKVPCPACRGARLGPEALAVKVGGRSIAE VSALAVEDSIRFFDELRFDPAREPIAAPIVREIGGRLRFLREVGLGYLSLDRGSPTLS GGELQRARLATQLGAGLVGVCYVLDEPTAGLHPRDTDRLVEALRRLQEAGNSVLVVEH DEGVIRAADWVVDLGPGAGPDGGLVVAAGTPEDVARSPDSITGRYLKSGPAKEAGGPG RIREDSRWIEIRHASAHNLRDVSARIPVGTLTCVTGVSGSGKSTLVHDVLAGHWRRHH AGPGPNPGPPASPAVRVEGLDAIEAMVVVDQGPIGRSPRSTPATFTGTFDEIRRVYAA TRLAKVRGYKASRFSFNAPGGRCEACEGQGRRRVPMQFLPDLYVTCEECRGLRFNRQT LEVRFKGKSIGEALELRVDEAREFFASQPRVLPGLNALHEVGVGYLTLGQSSTTLSGG EAQRIKLAAELGRESHGRHLYVLDEPTTGLHFADIDRLLGILRRLADLGNTLVVIEHS LDVIAAADWVIDLGPEAGSAGGRVVAMGTPEDVSRSEQSHTGRYLRLRGIAPAVPDRP KGTPARRPPGKKAIDSRRGDPDHPA OJF2_RS24020 MFLLDTEVISELRKAAAGKADPNVTSWARSVSAGSLFLSTIVVQ ELEIGVLQLDRRDAHQGAILRVWLDDHVLPSFVDRILPVDIAVPRRGAALHVPNPGPF RDAFIAATGIVHGMTVVTRNLADFAATGVPLVNPWDASP OJF2_RS24025 MKTTTLTSREFNQDTGRAKKAAALGPVFITDRGKPAHVLLSIEE YQRLTGGQGSIVDALGLPPGVEDIEVEFPRPRERHRPADFS OJF2_RS24030 MPLYEFRCKSCSKTFDDKETFAEHDKHPELKCPHCGSKDVEPVI TPVEVRTSKKS OJF2_RS24035 MAEHRLATYLNDHLSGSVAAIELLDHLRRLPLAEAITRFATGLK AEIEADRGELETLMSRLEVAESRPRQAAAWVSEKLTRLKLSLDDRKDGPLRQLEVWEA VSLGIEGKRLLWRSLAAAAETEPGLGILDYARLIRRAEEQRDAVEPFRVEAARMTLAG DGPVPEY OJF2_RS24040 MAIYVDADACPVKDEIYRVARRHAAKVLVVSNSSLYVPREDLIE MIVVPGGFDAADDWIAARAGGEDVVITSDIPLASRCLAAGARVLGPTGRVFSEDSIGD ALATRALHDMLRQSGEFGGGPRPFAKVDRSRFLSKLDETLHALGRRRK OJF2_RS24045 MQHRLLAVLAYAATALATCPVARGESPDPAALVRQVREREAWVE RVDSLRIRAIQDWELTPKGLEHRRRAARKQFPGSPPEQDPNTRPRHRTVVEQAFDRKR IRLRVKDEGYSDDLRIWDGSRFVLQNRYDPWPGLAPDQEGSLISEDPGRWLYWLVWTN FACFRGGPHVFWWHGPKERAEIERMAAKPEDFAYEGKADFHGIPCHVVSHWGSWTTLF VGVDDGRLHGIRSGASSSRKFKRSLVELLREAGRQVADERDLERQAPTFTAAESGRLQ RLGAARMTRLIDPVFEYRLSLNKEVAPGCVLPLVQETRFFEVDDDGVAFEAQKEETRI VEVKVNEPLPDSLFAVTFREGEWITDQTIDPPVRYRHKAAMTREEWSRIVEEARTKAR KKPAGR OJF2_RS24050 MKMPVRLVPAMVAAIVVVAAAGDRAALGQLSATDEERLQILSDP EAAKAKAKDEKAKPPFELFRSQFAPFDVLPFLKPWSWSTVTLEVRANDVDYEGGLQFQ PIALPGMPQEVVYARDARLPKEQRSRLNLQVLVPHRAGVKLPKELAAELIRPGAIRAD DAWTVPMKLIPPTQMLVVVMSKESSGQYAAWNRYPCFVPSSADPADAIAVDLARYYRL VLPEHADKPFLSPHPLTWGPISHVIWDGLPPDSVAVSHQQAMLDWLHWGGQLVLIGGA GPAFSIFRDSFLQPYLPADPSGDDALLGEAELRPLSEAYPPGATPPPPPQEGEEPAPP PPKLEKLPDGRPYRPPVAIRPPAKRPVLLSGLRPRPGASKIPLGPGSSRLLAAEARVG RGRITMLALNPTEPTLAAWPGIDTMIRRVVLRRPEEPLADRSGLNPQDLAPPPRGQLD AVDLSWYRIASRDHGGPVQAEADRVRAAELAKAQVGGPPRSISRTPSEAAELAASPTN TEAEEAAMARPGVAEWRDAAPIPRLCRDALEKASGITVPGSAFVLKVIFAYILAIVPL NWLVFRLLLGRREWAWATIPVLALGFAFVVERMAAYDMGYDSACDELDLMEVQAGYPR AHLSRFASLYTTGRARYTVAYPDDPAALALPFNNQRSIGGEETTTAAFRCYPVPALED YAVQPRSLSMYRAEQYLDLPSSSGLEASPSGGRTLTNGTGLELRDAVLVEDGPDGPIE SYVGTIGPNATIELDGGRPPAAVPEAVEGFDGPDPSPLMGVLRKASPDRGPENAGEMR LVAWSPGPRDGQSFEPALDRHRGTTIVLVHLRRGPPPPPDGRRYNLLADAARRRVPEA PAAATDPPARRSRR OJF2_RS24055 MIEVIHFTKRYGEFVAVDDLSFAIGKGEIFGFIGPNGAGKSTTI RFLATLLRPTSGEGRIAGHSVTADPMAVRRVIGFMPDDFGVYDGMKVWEFLDFFAVAY EIPRSARKKIIGEVLELLDLSHKRDDYVNGLSKGMKQRLCLAKTLVHDPPVLILDEPA SGLDPRARLEMKALLNELRRMGKTILISSHILSELADFCTSIGIIERGKLLAAGSIQT IQQQIRSHRVIKVELADDATDRAAGMLRDDPSIRMVESFDHTVTAEFEGQDADMARIL GRLVSSGIAVQSFAEEPLSLEEVFMMITKGIVS OJF2_RS24060 MLIRDNPVLSRELLVTLRSPRSFALQFLYVAALGALVYFYWPAG EGGTRQVGPGVARRLFDIFFLGQFFLVAILAPTFAAGSITGEKERKTYEMLLASPLRP GTILVGKLLSSLTFLVILILSSVPLMILCYLLGGLLLSEIARSYLVLILAAGTFGLLS VACSSYFRRTSSALVVSYLVTLPLAVVCVLMTRAEDPATRDFISIAVLPPWCLATWTV LQILINRRLLRPPDVGSEGKDVVDEEEEMKYAIGVVIDRDLFPDKLFAPAKRADLMPD GTNPVLDKELRSEIFSQGTLMLRVVIQVSMLLSIPLMAALLFLRSDKAPWYVAYVLTF NLLVGPVFSAGSVTQERERQTLALLLTTLLTPGRIIFAKLIAALRVSTVLTFLLTEQL LLAYIMLPELRYRYWTLIVFFLIIAATCLTTSTVGLMCSSLARRTASAMVLTYMTLMV LFVLPMGVKWYLEGLTPQPFSAERLASLTVSSPFSAALGVPMHVSKGDNWNAQTIQVE PTLYVPITDQLRLPLWMVYLILSPPLSLVFFAVAYLAFRYRWWRAGGS OJF2_RS24065 MAEPPPRSEHELEIAAADWLLGGAAAGGPDSRLVADGDSAEFKL VDIPPEAESPAPAPRAPGAGHAAARPERTRRESGPAQAPRTPRVEQAWSRGAEWGPTL ARIALALAVVLGLVYFAFSAGQYGLGVLAFLLGGLAVLALCYPIFITLERPVRVTPEQ AARDFFNALSHRVPHYRRMWLLLSEDGRSSPRYATFGEFARYWDARRRELSGGRAGFF RPLAFHLDEFRAADKSAGKTEIDAKFKVRIRMPGQGGHETLGLIPLSRSFVRGPDKMW YLNDGTLESPRHDHRDDVEG OJF2_RS24070 MADQGTDESAVVVEPRSEGRPPLRWDPEIARVPLERGWDAEACF VDWDGDGVVELLRTCRGGDAGWSSWLHRPVEAAAPGRPPTYNEGRHLPELDGLRCPCP IPRAGAGLFDLAVVDRGRVAILRNEGGAGSPRFDAEAAIEADPGRALPEGHVVQMAAV DWDGDGRVDVLLGMDDLTDYWPDSGRLPVEQQSGLNQQAGNPCYDLDGLWRGRLPVGR LFWLRNVGDADGLRLEVGAEISGEAGPLDLGFRPAPLAISWGGRSGIEVLVTDHRGLL KIHRNFGGQLPPVLMEPRSLRCGGAPLLMPDDRTTLTAADLDGDGRAGVVYGTSRGRL FAIHAASSRDDATNPAPLLQRPSALILGGFASPIAADLDYDGDLDLVYGDAFGGLHLV EDLGDADDHRYALPVALEAGGAPFRMEPGPDGMMHGPAGRPLGFARPTLADWLGHGRP DLVVSGAGGDVLLLPNDGSPRQPRFGSPMVVRCEGAPLILPPRVRPAVASWGDGDGLD LIAIDLQGFLCRYPVVGAAEVDPPIPLVDHLGRLIRLDGGFGLSGRCSLWAGPWSSPD RVDLLVGLPRENRQIVGGILGRSFADLDEAPTALWIEDLGRDVVSPHALTFRRGGPVV AGYEGCSVQAVPRAGKGLPDLLVCGDDGVVTWIERSELDVDSPREHPKGPPDGRTSPQ V OJF2_RS24075 MTNHYQIWRRRDVRSRRAAPAAIRVAGWLLIAVGSARAQGTVQP PDVGSTIPLPPLPQLEADEAAPAPDAAAPKVLTPSREGNRGAVPPPPAPAGEAPRGGP PEPIRPGDLGATAGGLRVIPSARDATGLVPGRPPGTPAGRPADVVRDDLNGMVKLIQV PEAEISVVLGESRIVQTRKDLVRVVMTNPNVADVEILAEDNAGKTGTLLNISGKQFGN TTLTIWDDPDHAVSFLVRVTLDAKELEGRINQAFPGAQVKVRQIGMQLILEGQVPDSK MMSDILQVAQSALMTSRMARGGAGGMSMAGMQGGGMAGGASGGMAGGAPGGISGMTIV NRVIVPGPRQVLLHCKIAELNRQAIRQLGISWLNTKGKSIIGSSAGGVGGVSATAAGS HSTSAANPIGFLAPISSTFSGTGSATSGGQLFGVFDAGHFSLFINALRNNSLAKILAE PNLVALDGQPARFLVGGRFPFPVPQSSSIPGGTAVVTVQLQQFGTILNFLPQVLANDV IRLDVEPEISNLDFSQGTFVNGGQVPAIIERSARTVVELREGQTLAIAGLLQLRTTAD SSRIPGLGDLPLVGPWFSSNQITTIETETIILVTPELVSPLEKNEVTEAPGDRVYQPS DAEFYFLGRIEGKLGREFRATVADKDPLNLMKHFQSEQRWVSGPHGYAD OJF2_RS24080 MTKDRNRFAWWAAAATVSGLIAGGVAAQPPEVPSPPGGKAGCQC NRPLHRMFHHTAHTLHDRFIGYPDAFIEPPLGAYMHEQMAMQVAKADAHRFTLYRSDF LPGTDRFSPTGASRFNLMFARTESALSPILIEWTPDQPGLADARRQAVLATYQRAGRP IDPSRVVLGPSPYPGGMGTEASQTFGSVLMRSQQGQYTYPLSPSVGANSVAGGGATR OJF2_RS24085 MRDSTTIADPPASPTNRVRGPGAALLAALAACVAVAAPPSVAGP PGRKDAQAGPPASTEAGEPRTVSVDVGRLQDPDAFKKDVTPAQRVHTHMDLGRALEIS GEPEAALSEYQQALAACEPRGLGRSRSAEEALAHRRIAGVLGRLGRFAQAEVHYKKAL GLSPKDPKIWNDAGYSYYLQGRYADAERALKAALKLAPEDERASTNLGLTLAASGRIG EAMPLLSRYSGDAIGHANLGYLLAATGRVELARQQYLQALALRPNLAVAHQALAKLDR MADGSPVAAATAPAADPAVTRTAAARPSIPPPVRFADPAAGSPPATAPISTPSRTPPR RFTLRRPASR OJF2_RS24090 MDLSGERWEAVTSFERETIARALAKDLPTGFHFEAVARFELGDA SHHVALFRKDGAVFALIPSAEVTLGFDPDRPWEPNPDELESWEATAEEYEIDRTIREY IAEVTLRPRRVQLPPLLIEVEAKEVGWSPVGLDDPVVREIVREHGTKRRVEMSRGGTS TRVRPGDDGQLIAERSLVATHAELSARLAAGGYRFPTSDEWEYACGAGTSTLFRWGDH APCDRYPTDVSPAEAAWRRRWALSGGKLEPPAEGFRSDWDAHGRPNAFGLSIAADPYK WELVAEAGTTRGGDGGCTICGGVGFFLGWLTLATAYFEEHSCRHDPAEPISQGYTVGR RVLDLG OJF2_RS24095 MDLKRSAVRTAQQSARRAVTRALLVVIAAASGLCGGARAADDRI AFDLTRAIVVTPGTISPRERKAVELLVEDVARRSGVRWTVADGRTALDRRAQVVAVGR LSAAASFPPFAGLRDEAARPAPDAYRILARPSTSAVLIAGNDERGVLFGVGRLLREIR TGPGRVWLDRPLNIITGPAFPLRGHQLGYRPKTNSYDAWDLPRWERYIRDLAIFGTNA VELLPPRTDDSDESPHFPRPQMEMMVGMSRLLDEYGLDVWAWYPALEKDYNDGPTVAR ELEQWGEVFRRLPRLDAVYVPGGDPGHTPPAILIPFLGRVAEVLHRYHPKATLWVSPQ GFPQPWLDEFLGILRTQPLPWLAGVVHGPQIRISAAELRRALPERYALRTYPDITHLQ QCQFPVPDWDVAFSMTESRETINPRPMGEAAIFNYYQPGTIGFLTYSEGCNDDVNKFV WSGLGWDPHADVEDILRQYARAFLADPLADRFAKGLLALERNWQGPLRTNEGVERTLS LFQALEKEATPDVLGNWRFQQAVYRAYYDAYIRRRLLAETKIQDEALAALGTARAKGV DAAIAEAERGLARLYEVGPLDAIRARVRSLGDDLFASVRMQLSEPLHDAIAVDRGANL DTLDMPLTDRLWLRDRLAEARRIRDEPGRLAAVEAILHRTDPGPGGFYDDLGNLEKQP HLVRGLDYAADPDFRRSPYVGFGSRAGWPIAWCQNAQTLHDAPLSMRYEGLDASARYR VRITYAGDSFRNKIHLDAEGTPVHDWFQKPDPPMPVEFDVPASATADGRLELTWRQEP GKGRNGRGCQVAEVWLLRK OJF2_RS24100 MSTDAKARASLPADALSLPGWYYTDSEHFRREMDRFFAGGWVHA GRAEEIPTPGDFVLREVAGESLILVRGDDSTIRAFYNVCRHRGTRLCEAASGNCGGFL RCPYHAWAFDLAGRLVAAPQMDDLPHFCREDYPLIGAAASTWDGHVFVSLAESPRPLE DALGDLPGRLRPWGMDELRLGRRTVYDVAANWKLIIQNYSECLHCPGVHPALQRLSHF LSGENDPANESYLGGRMSLREGIETLSMDGARRRPFLPGLSEADRRLVLYYAILPNLL LSLHPDYVMTHTLHPRSVGRTEVVCEWHFHPEAMSQPDFSPEDAASFWDMTNRQDWHV CEQMQLGVASRAHRPGPYSNREDLLHGFDRLVRVDG OJF2_RS24105 MADLSERVPENVPGRFYVDATCIDCDLCRETAPENFGRNDEEGR SFVLRQPNGPAEEAACRSALEECPVEAIGDDG OJF2_RS24110 MPALMYYDQDADLGLLKGKTVAIIGYGSQGHAQAQNLRDSGCNV VVGQRPGSANYDLAVRDGFKPVSAAEAAEAGDLVNILLPDEVQAEVYARDIRPNLKPG NLLLCSHGFNIHFGQVVPPAGVDSALVAPKGPGHLVRSEYVKGGGVPCLIATADDCTP AGKALALAYAKGVGGTRAGVLQTTFAEETETDLFGEQVVLCGGVSALVKMGFETLVEA GYQPESAYFECLHELKLIVDLMYQGGLNYMRYSISNTAEYGDYTRGPRIVNEQTRAEM RKILAEIRGGQFAREWILENKANQPAFKATRRLEKQHPVELVGKKLRALMPWINAKEA OJF2_RS24115 MATAAGSGSNANPAPGGAAARLPVVTGRSRHVLSVLVQNQPGVL AQISGMFASRGFNIDSLAVGETESPQLSRITVVVKGDERHLEQVRKQLEKMIPVVKVQ DISREDYVERDLMLLKLRAKAGDRPEVQTLAQIFRARIVDVSPDHLMIEISGKERKIE AFIEAVRGYGILELARTGGIALVRGMGHLEDAPEAPAPPADDEDEASVQGHSEL OJF2_RS24120 MTDLFKTLIFAAVALVLTGAAFVTTRDRTIRSDVFNDQGQPFYP DFKDPLECTDLEVVDYDAQLAEPIRFRVMLKNNRWVIPSHHDYPADARDRLSKTAAAV MDLIKDTIRSDRPEDYEAMNVIDPLDTKATTLKGRGKRITLRNSAEKVLADFIIGSEI KGSTSKGADSKDQTTQHYVRIPDTKRVYGVRLKAEPSARFADWIETNLLKLDASHVRK VVFDNHKVSLEQGIEQGPIVTIERKDSSSPWTMEGMPADKELDADKLRAMADALADLK IVGVRVKPAGVTRELKRSGDKNFEFPKNVLQGLAAKGFFPTRDGQMLSNQGDVKVYTD EGVVYTLRFGELAFGTGAELTSGESEDKADSAEGKAKEAPKKDQGAAENRYVMVTASY DPALIGKPKPEEDDPKPITPPGTIPAKPFATDPNDPAVVAKAKAKKERAEQAQKDYEK KLADGKKKADELTDRFGPWYYVTPGDSFRSIKVDPVALLQPKKAPGGEGSMPSGFPSG GGLPGGGLPPGLPPIQP OJF2_RS24125 MTTLETQPTSKPPAARRRASAPGAFRPHVIWALFKRNLQSYFSN PAGYVFITLFVIISSCVAFWQPEFFASNLANLDQLNRYMPYVLLFFIPAITMTSWADE RRQGTDELILTLPAHDLDVVLGKYLAALGIYTVALGFSLSHLVVLRFLGAPDYGAMFA TYVGYWLMGAMLIAFGMVASLLSSNVTVGFILGALFCAVPVFLEWLGSPAAGSLRRLI EGWSVPAQFRDFGTGVIPLSGVFYFVSLAAAMLYLNMMLLGRRHWAGGEASSGRWVHS AIRFAAVLVSLFSLNLMIEKAGLRKDMSAEKMHTLSADSLALVRQIPEDKPVLVQAYY SPEVPRDFVQTKSDLLGLLREFEAASGGRVKLNLVPAELYSDAAREAEKRFGIEPKQI LTEDQAKRSVSEVILGVAFTSGLEEVVIPFFDRGLPVEYELTRSIRVVSRTGRKKVGI LSTDAKMMGGFDMRSMNSTPEWSVVGELKKQYEVSTVSPDAPVPTDLDALVVGLPYSL TQKQIDNLTAYVKAGGPALLLLDPFPAFNPQLAPEVPKMPPGGMFGGGPPPEPKGNLK PLLDLIGLDWPSTEIVWNRYNPIPQLAMLEPEIVFLGKGGGNEDAFNGKDPITSGLQQ MVTIFPGLLRPKAGGAGPEFTPLLRTDESGGIVPWDDAVQQGFMGMGINPRRRHTPSG SGYTLAARLVGPAAGDSATPKEADAKKGDAAKDAKDKPATIKVIAVADMDLIGEQFFE LRRQKIANLDFDNVTFVLNCVDVLAGDDSFVELRKKRLKHRTLARIEEQTRTFVEQYQ KQSTLAEDAAKAKLDDAQKRFDKQVAEVKSRTDIDEREKEIQLNNLQSIAQRRLEVEK ANVEDERLEKVREAKADSEQKTRAIENQVRFMAAAIPPLPPLILGLIVFGVRLGRENR GAVPTRLA OJF2_RS24130 MSTPVMIEADGLCKQFGSFLAVRNVTFSIPRGQVVAFLGPNGAG KTTTMRLLTGFVAPTHGSARIAGIDVQADRIAAAEHLGYLPENGPLYPDMTPMGLIRF FGTARGLSGARLASRVDDVIEQCSLQTVAHKPIGKLSKGYRQRVSMAQALLHDPEVLI MDEPTSGLDPNQIKGVRTLIRDLGRSKTILVSTHILQEVEPVADRVLFIHDGKIVFDG KPADLAHQAGNLEQAFYKLTAQPV OJF2_RS24140 MATAGTGTLDTASLQALLGPQLTAEQAALIFQQGQEAVVFALLT LAKQLAEEQPVASTTPDPSAPSGQTPPYQKPTAKGRAKPKGARPGHPGHRRPAPPRID RHEEHTLSTCPRCHAPVRPCDSSRTRVVEDIPADITPVVTEHTIRRYWCPGCRATVEP VVTDALPGSAIGLRVVVLSAWLHYLLGTTLAQIVDVFNFHLHFELSAGGLVQMWHRLR EVLLAWYLEIQAEALGSAVLHADETGWRVNGKTHWLWCFTTTDVTYYMIDRSRGSPAL KRFFKEEFAGVLVTDFWAAYNAVVSARKQKCLPHLLRDLKRTQHYHNPGGDWPAFCKL LRRLIRDALRLSKRREELSPEGFAARRRRLQGRLHELLGQPWEQRHARRLVKRLRRHE SELFTFLDRAEVPPDNNHAERQIRPAVMVRKNSYANGSDEGAETQSVLMSVFRTLKQR GHNPVSAVLDGVRDSLRTGQLPPLPARVAADG OJF2_RS24150 MILIYNRSGFLLGLAGILAGLAAMMAGGKLAFGIPALALVWLAG GLWWRNTPKDHASKRPYPSLFFIPLPFAAVPLMFLGVFAFFAESAGAARRSDPRVVKL DDDVKSLKADAEGGDPGLAGAVHEALADASGRWSGDADDWHIFARTGGDSVLLLVLVP DLKKIEEPERMKLLGDLEAILEKHPGTAGKKRFLGVRGKYAFGAIRVSPDYTRVATVV ADTPLLEFYGEPTKERDPADKERGEARPSAPASSAAKADVDPITEALETLRGADVVKQ NLALHQLRITRPEASRRDEVVKALRVEFDRRDSSGLINVVPVLTAWATREELLDALTS RLHDKDDGVARSMVLELKEIDDPRVFDLLLTRLEDPGDDFNVVQYIEKRGPAAEPSVL KYAAHRDPVVRARAFKILRNIGGEASLKALRAAQADPDEAARSAAETALSEVEGRVKS RGPTP OJF2_RS24155 MKILVAEDQATAALFLRRTLERMGHEVEVTPDGEAAWEALGRGE ASVLISDWMMPRLDGPGLCRRIRSAGGDRYIYIILVTARGAREDRLLGLQAGADDFLT KPPDPDELTVRLQIAERILAVHGELARRNAQLAELAAVDALTGVKNRRRFEQDAELLL SQARRLGRPLSLVMLDVDHFKAYNDAFGHQAGDEVLRRVGACLLRWVREQDVVARYGG EEFAAILPGASSDDAATAADRLRAAIKAGPWSHRPVTASFGVATADPAGSCTIVDLVR EADRALYNSKRSGRDKVSRAPAPDSRADPCPDARSTVKDERLAVGHSAASIRLSPAGG GA OJF2_RS24160 MIDPKEPSVTSAGPEARARGVALLDGHLRDGYRRSDRLFAALLM YQWLAAIAAALWLSPYTWFGDVSRIHVHLWAAAFGGGVIVSLPVALALRRPGRASTRQ AVALAQMLMGAMLIHLAGGRIEAHFHVLVSLAFLALYRDWRVLATATVVVVVDHYWRG RYWPASIFGDPGAASWRWAEHTAWILFEDVVLIFGCVQSRRELAEVSFRQAESEAIRA RVGRLVEVRTAELERTNAALQAEVAERRRAEGEALERHRFVEGLAEAIPSILYLYDLR ARRNVWTNGQVTQVLGYTPEQVRSMSGGELDGLVHPDDAARLAIGEEGGRFGALRDGE VAEAEFRMRRPDGSWRWLRAREMVFLRDDAGRPLQILGAADDVTERKRTEDTFRVLFE KSSDAHLLFGEEEGVIDCNEAAVRMLRCRDKAEIIGSHPARFSPELQPDGRASMEKCR EMDSRARREGSHRFDWWHLRADGEAFPCEVTLTPVEVAGRAVLLVVWHDLTDRLRAGE ALRLSEERFRGAFDAAAVGMALVSPEGRWLGVNRTLCEIIGYSEAELLATDFQALTHP DDLDADLAQVGRALAGEIGSYSLQKRYRHKEGRTVWVVLSVSLVRDAGGRPLHFVSMI EDVTPRRRAEEALRESERRFRELADNAPVVILMGDRAAGFTFVNQTGLDFLGLSEGTP VGRALDGRIHPDDRPRLEEDHGRVISQRTPLEAEYRLRHADGGFRWLAFRSIPRLLDD GTTIGYLTCCVDVTARKEAEASLLRAKEEAEAAARAKGEFLANMSHEIRTPMNGILGM TELALATELTPRQREYLMLARSSAESLLTIIDDILDFSKIEAGKLTLDPAPFALREAL EEALRVMALRAHAKGIELACRIDPEVPDSLVGDAGRLRQVLINLVGNAVKFTERGEVV LRAGLEEAGDEDVTVRFDVSDTGIGIPPEKVGAIFAPFEQADGSTTRRFGGTGLGLTI SANLVAMMGGTLRVESEPGRGSTFHFTSRLGRQPEASGAPAAPRPTTLEGRKVLVVDD NATNRMILEELMGRWGVRIASADRGHAGLAALRAAADRGEPFDAALIDGMMPEMDGLD LAARIRADGSIADTPLLLLTSAGRPDDDRHRTLGVSAFLVKPVRQSDLMDSLMNALAT GPDPDPAPPPAEPGITPTQHPGGPRLRVLLAEDHLVNQKVAVWMLEDLGHDVEVVPDG RMALAALAARTFDVVLMDIQMPEMDGLEAIRAIRDGEKASGRHQHVIALTAHAMEGDL ERCAAAGFDGYLAKPVRQAELRMALAGRGADAGPGPRPPGPIVGRLLENCGNDAGFAR ELADSFLDSAPGCLEALEEAVRSGDAPAAASHAHSLKGISATVGADELAAACLAVEEA GRRGEAAAAAVGLPSVRHGWERARDAFERLQGTGT OJF2_RS24165 MTLNPHAVRPGGAGSPPGRWPAGTAIRVDPGRPNVLIFLHPLCP CSRATVDELTGALGRAEGRPAVDFVLVRHPSLLGEAGRGIDPRAAALQGCRIWDDLDG AEARRFGVETSGRVLAYDAQGRLAFSGGVTPSRGHRGGNEGLDSVVAFVSSGRATSPS HAVYGCPLASPSKPSCRAQAAGTGRRD OJF2_RS24170 MTVVICTHNARPDWLRRVVDSIREQTLPRDRWELLVVDNHSARP ILEEVDLSWHPAARGIEEGRLGLTNARLRAISESRGDILVFVDDDNVLDPNYLEEASR IAARWPQIGAWSGRVDPEYEAEVPPWCRRYVRHLAIRVPEHEAWTNVFDVEAATPYGA GMCVRRSVALAYLEAVESEPLHRSLDRVGDELMGCGDIDIGMKCYSMGLGTGVFPELR LTHLIPAGRLTEEYMCRLAEGNGYSRTLMLHIHGLWPPEGLDFRLLARLRWLAALRLA RPHRRIVHSLIRGQLRAARDIEAAARAAVRRPDGPVTRHSSPPLDGCTSLQMPQPGKK R OJF2_RS24175 MVCVSCIVIFYQAGKYLREAIASVFAQRYESWELILVDDGSTDV GTALALACAAEHPDRVRYVQHEGHANRGMSASRNAGVAASRGEFVAFLDADDVWLPEK LASQVEILRDRPEAMMVYDAARYWYPRPGATVEGEYGRLRRLGFPPGTLVHPPDLVPL FLGDESETPGTCSVLLRRSAFDRIGGFVESFRGMYEDQAFFYKLCLELPVYLSGEVTS LYRQHPDSACHVAVRENVYDPHGRSLSQDVFLDWLAAYVADRLRSRDAPARHLPDAVK DELLCRLGFAPFHRFRRLLRKAARRAPLWRRIRARLSRFRDKVV OJF2_RS24180 MLDGRIRPARVAAEVRLVHGPTDIPCGQDELIAICVMRNGERYV DQFVRHHIGLGVKHIVFLDNGSTDGTVPAACRHERVTVLRCLLPYSRYENAMKAYLAR RFSEGRWNLCCDIDELFDYPYSDRVALDELLRYLSGGGFTAVVAQMLDFFPEGPLSGA GDDPTIPLESAYPCYDLSGIVATDYEWSRLSYEAVKMHSGGIRRLVFGTENGLTKAAL VRVGDGLRLFQGWHHAVNALVADFTCVLRHYPFTGAFYEKVREAAATGRYGRVTSDEY RAYWMVLAPRPDLSLRLPTARRDAGTGRLLEEGFLVASPRFREWALSPSRRGPHRDGL PPAVDAMGPPGAKDF OJF2_RS24185 MTRPSSPLGRARTWLRRRVFPESLILLYHRVAELASDPFGLAVS PRNFAEQMEVLRRHASPVPLRRLFAARPRPRGSVAVTFDDGYADNLLRARPQLERLDV PATAFIIGGHVGVTGEFWWDELEGMLLRPGRLPPSLALDLDGGTYQAELGASAAFDAD DFERVRGWSFADDATPSPRHALYRDLYRLLQPMPPRTLRRVMGRLRAWAGAPPLTRPD YRPLSPDELVGLGEGGLVEIGAHTRSHPLLPSLGVDRQREEIRSGKTILEEILGRRVE HFSYPYGALSPETVAEVRDAGFVAACTTREEPVRRPGRPWELPRFWVGNWGGDEFAAR VRRWFSA OJF2_RS24190 MTEGALKVLQSSWRLWGRRRSRRALALRLALGPAPVSREWGFDR GTPIDRFYIEGFLRRCSSDVKGRVLEVGDDAYTRRFGGGRVDRSDVLHLEEGNPLATF VADLTNAGHLPSEAFDCIILTQTLQFIYDVRAASGTLHRILRPGGTLLLTVPGVTRIN RGEWPGSWHWSFTTDSAARLFGETFGPGNVAVEGHGNVMAACAFLYGLACEDVRRSDL DRRDPEYQVIVAVRAVKGGGAAP OJF2_RS24195 MDLTPSAAAPLVSVVTIFLDAGAFLEEAIRSVFGQTYTHWELLL VDDGSTDRSSAIARDYAGREPGKVRYLEHPGHVNRGMSASRNLGIRCARGGLIALLDA DDVWLPRKLEEQVAILASRPEVGMVIGRSRYWFGWTGRAEDAGRDAAPEYDVAAGSIV DPPGLLRINYPLGGGTAPCPSDILFRADLVARHGAFEEAFVGDLQLYEDQAFLAKVYL KEKVYVSGETWDLYRQHRGSCVSQVKRAGRYHAVRARYLRWLAEYLEREGCRDPDVLR AMKRALRPYDHPYLDAIRRAGRPFYKALRRSCGKVSRALSRARGATSEVSSPSSPRGR PPRDGRPAG OJF2_RS24200 MAGVDIRAEGLSKRYRLGHARRHDSLKELLGETLRGRGAFLRSA PGEGELWALRDASFEIRVGEIVGIVGGNGAGKSTLLKILSRVTYPTRGMVDLYGRVSS LLEVGTGFHPELTGRENIFLNSAILGMRLAETRRKFDEIVEFSGVEMFLDTPVKHYSS GMYVRLAFAVAAHLDPEILLVDEVLAVGDAEFQRRCLAKIGEVARGGRTVLIVSHNLS TVQSLCPRVIFLRAGRIEYDGEASSAVHAYLRSIEESSALDISERADRLGMGWTRIAD LSVSAAGGAALATGAPACFRFRSEKALPHLSCRFTIYDRVGQAVVTFDSSVRSPADVD EPSLGNELVCELDELPLRPGRYHLDASLYTGPELQDHLEGAAFFEVEPGRMRGRPETA GDDNGSVCVPHRWRQSGRAATWT OJF2_RS24205 MPYSTAESNGSTTPPSACSAPRGRTTPVARVRPRASLSLRDFAE LWSRRELLAILSLRDLRVRFKQTAVGVVWVVLQPLLTVIVFSLLFGRIAGFHSEGVPY PLFALSGLVAWNYFSQSLGRCVSCLVDASDLVGKVYFPRLVLPLAAVLTPLVDLAVTT LILLIVMLAFGFMPTHRLAALPLIVLWGLLTVLAFGLWLAVANARYRDIGHALPFFLQ IGMYVSPVAYPLDIVPDRWRPLYDLNPMVGVIGGYRWCLLGTPWDGPAGSWPSLLAVL ALVVGGLFALKRGEETLVDLL OJF2_RS24210 MSVLMPVYNTRRYVRQAVESILGQTFEDFELIVMDDQSTDGSLG VVEECRRRDDRVRLFPRAKTGYCRLLNEALGLARGRYLARMDSDDVSLPDRFEKQVAY LEANSDCVAVGCRVREIDPHGLHLDVSRNELDHDGIVARLLEGAGAEIPHPGVMMRTS AVVEAGGYRPEFEPVEDLDLYLRLAERGRLANLPGVLLEYRQHFTSVNYLRADEQVRL ASLVVAEAMTRRGQAVPGSFAVPPWRMPTKAESYRNWAEAALRSRRRGVAMEYAVKGV MAGPAEGVSWVVLAGVLAGTLRSFARSARDAFRRMASSPGGSGR OJF2_RS24215 MPILLAMALALAPAPEPTPTPDRPTVLVVVGTPGSEEYGREFHR WASLWQAAAAKGSADCVLIGEGPEGNPTDRDRLRAALAERAGGTEPLWLVLIGHGTFD GREAKINLRGPDATDAELLEWLKPMKRPVAVLDCTAASGPFVPRLSGPNRIIATATRS GDEQNYARFGQYLAESIGDPGTDLDKDGQVSLLEAFLVASGRVAEFYRSKSRLATEHP ILDDNGDRLGTPADFFRGVHATKQAKAGAQLDGPRAHQLHLIPSDRERRLSPEGRRRR DELELAITALRVRKQKLPEAEYYRQLEALMLELGRIYVPSSTGSSGR OJF2_RS24220 MTMPGLTLGAPQWWTAAAALIAVAGAAVLWSYATARARTPIRLA CAGLKALAIASLALILIEPLLTFSRPRPGANAFALLADDSQSLSIRDEGEAQSRGEEI RDRLRQGSGWQARLGQEFDVRRFAFDSRLRSIDDFDRLAFDGVGSSLGASLAALSKRF QGLPLAGVLLFSDGNRTDAGEIDAKSLPPIYPVIPPSHGVVRDIGVRGVAASQTNFEA APVVLRAEVSATGFAGQPIVAAVLDEAGNVVERQQEKSQGDGKPLSFRFQFRPDRRGI RFYTVRAFAAAEEEATKRGTDPKASAEQTLANNGRLVVIDQGGGPYRILYVSGRPNWE YKFLHRALEADDQLQLVTLLRIARRQPKFDFQSSARDRTVSPFFKGFDDADPDTVERN DQPVLVRMGDLADDAELRDGFPKTAEELYKYHAIVIDDLEASFFTQDQLGLLRNFVAA RGGGLLMLGGPDAFADGKYDRTPVGELLPVYLNSAPASLTQESDRYRLVLTREGWLQP WVRTRKTEEEEQKRLASMPPFQTLSRVGRIKPGAVTLAEVSDNDGNALPALVAQTFGK GHVAALLIGDLWRWGIRRERPEETEFDRSWRQTARWLVADVPGRVDVSVRPREDAPAQ AVEIAVRARDAEYRPLDNAKVSVAVTLPDGGTVALDARPDAREPDLYTATYVARQPGP HRFAASATSADGAPVGTREAGWAAQPAAEEFARLAPDREFLASLAAKTGGEVVDADRL DSFVESLPSRRVPVTELRTAPLWHHPAYLLIAIACLAAEWALRRLNNLA OJF2_RS41240 MIRELQQALERVARRTRQVRLWGGLAFCWLGWAMMVAVIAATGT PSYEVMAVLAGVTLASGLACAALATRRPRDPVEVARRIEEAHPDLDAGLITAVEEGLN RPGPLGFLQAAVVENAVEHNRAHDWGRMIPEARIFAARAGHAAGVCALVAAFGYFAMA VRPSPDAPVKASAAAISGATEVEVTPGDAELEKGSPLLVVARFPAAVPPDAKLVVEGS PSPAPMTRSLEDPTFAGRVESVAADLAYHVEFAGGKSPSYRVRVYENPELVRTDATLE YPGYTGLPTKVGEDIRHVTAVEGTRASLSFRTNKEVASATLLDEKGKETPLSQAAAGN PVYGASLTLDESHRYKVRLKDADGRVNKLAADLSVNVTPNRPPTIAMSQPGHDVRVSP VEELKLKAQVTDDFGVTRRGIRLTPAGKDPVEIVLGEESRPGQKKAQVEHLVDFEALK AAPDQLITYSVWAEDIGPDGKPRRTEGDMYFAEVRHFEEIFRQGEQPSASAENEQDEP QGGGNARQAEELAQMQKDVINGTWKILRREAGSKRTESFAADLKAVREGQEAVVEKAK ALGGRLQDPASKAGLEKALKAMADAIKPLNEAADKAAVQPLQPALAAEQLAYQALLKL RARETEVVRSRSRQRGRSSDAQAMQRQLDQLELNNDEDRFEDKSRAKQALSQKEQEQR ETRQVTSRLKELAQRQADVNERLKELQAALQAAKEQAAKDEIERQLKRLREQQQQILR DTDELQERMENQQNRERMADARQQVQQGREHVRQASEALEKGQVSQALTEGTRAGQKL NEVRDELRKQSANQFADALNQMRDQARRLDENQDRLSEKLDAWKESARQSLRDTEDRK QLTQGLQQQEQALDQLTERMKQTVSEAEESEPLLAKNLFDAARKADEQAVPESLKQAE KLAQAGFADEAAKSARQAGEGIDQVREGVEQAARSLLGDETAALRRAQGEVEDLADQI DREIAQATGQDPARSRRNFPPQPGDREGGQRQPGDREGGQEQPGQGEKGDQAGGQRPA QDGPEGQQPGQGPGQERPEGQQPGQGKPGENPGRGQRPGQDRPEGRQPGQGAGQEGPE GRQPGQGSGTESPEGQQPVQGEGGGQARGQRPGSLRGNAADTPQPSNPGGGSPNNPGG SPRGGGSDADRTLERLAEGTRNSNGPGGPITGGGFREWSDRMRDVEELLENQDLRAEA ARIRDRVRGAREEFKRHSKVPDWTKLQGMVADPIRELRNRIAEEVRRRESPDSLVPID RDQVPPQFAEGVRRYYERLGSGR OJF2_RS24230 MSFLTPLYLLGALAVAAPIVLHLIRRTTRGEVPFSSLIFLEPSP PRLTRRSRLDQWPLLLLRAAALILLAVAFARPFLRETAGMSLGRGDRRIALLIDTSAS MKRADLWPKARAAAAEVIDGCLPGDQLAVIAFDGSPRTLLGFEESSKLDPARRAVVAR SLVDQLAPGWQATRLGRALVHAAGVIEDAGDAAGDTSKLARRIVLVSDLQQGSELDVL GDFEWPRDVELELKTVTSEGSNAGLGAVAGREDREAKDEKDAGDLRVRVTNDAASKNE AFTLAWADGKGQPVPAYVPPGETRVVRMPRPAGTPPGHAVKLTGDADDFDDTLHLAVD SAQPAFVLFLGRDAADDASGLLYYLKRAFEGTSARPVTVEPVSPDAPLAMDPGRSIPL VVAAAETPTPNAERLRAYANDGGTVLVVLGAAGNVPTLATLADAPGLIVEEGPTRGDA MLTEIAFDHPLFAPFASPQFNDFTKIRFWKHRRLPADKLAGARVLARFEGGDPAIVEK PVGKGRVVIMASGWRPADGQLARSSKFVPLLNGLLAGPGADPGFSPQRLVGDQVRLPE GTRTVRKPDGSSVAIEAGVGSFSGTDAPGLYAAETAKGRVEFAVNLDPAETRTAPMDA ETLERLGCRLSKDAARVEADREAMRQLQIEELEGRQKLWRPLILAALAVLIVETWLGG WLGRPRATPAEAHAS OJF2_RS24235 MRADSRSLIDPKALLRIKSLQLRARAAVEGFIKGIHRSPFHGFS VEFSEYRQYTPGDDPRYLDWRLYARSDRYFVKRFEDETNLRCHLVLDTSRSMGYRSGE FPKFEYARTAAATIAYFLTLQRDAVGLVTFEDRITDYLPPRHRPGHFRRVLAMLDREP KGRATDLATPLEEIAATVKKRGLIVLISDLLAPADMLRSKLGYLRSRGHEVIVLRTLD PAELTFSFDRPAMFRDAESGRELYIDPDSARGSYLKRFHDHADEVRRACTDLGIEFQP ISTDSPLELMLFDLLKARARRIGAPNRRAATSSSRGGFR OJF2_RS24240 MSGAVEVYESDKQAVAKLREGRARIERELGKVIIGQKEATQQLL ISLFAGGHCLITGAPGLAKTLLVRTLAQVFHLKFQRIQFTPDLMPADITGTEILEETP EGRRMQFVKGAIFANVILADEINRTPPKTQAALLEAMQEHQVTAAGVRYALEEPFFVL ATQNPIEMEGTYPLPEAQLDRFLFNVVVDYLPEDDEVAVVQQTTSRKPEDVEPLFDGR DVLEFQDVVRKVPVAEDVVRYAVRLAAASRPGPDAPSFVTDWVTWGAGLRAAQSLVLG AKARALLAGRFHVSPDDIRALAHPTLRHRVLLGYRAEADGVTVDGVIDRLLEHVKGPS RS OJF2_RS24245 MPRSRRKLLAVAALGLIGVVGGMAIAQPPWGRGPGGWRRQNPLD GIPDDRAGVPDWKVDERFKKDVFTFARVQYSSGYGMGRRGRGGWGGGTWDTDWPDSDL NFSYRLQQLTSLKVNPKSVIVRLTDEDLFDYPFLYMIEPGRLVFSEEEVVALRRYLLN GGFLMVDDFWGEAQYQNFYREIKRVFPDREPAELDLSHPVFQCVYRLKERPQVPSIHT WAYSGETWEDHGPGSREVHYRGISDDKDRLMVLICHNTDLGDGWEREGENAEYFHEFS EKKSYPMGINIVTYAMTH OJF2_RS24250 MPTPIDPPHPGPPAGYRRPAAGRPLLAIVVAWGAFTAAGPALAA DADEAAKLLRRGDYAGCARQAAEAIRDGERDEAWPALKIRAEMAQGKYDEALKSLKAA TRRYPASLNLYLLGRDVRRFNGLAGGEPEAMKDIEEQVQSFPRRYATAEGQVALGRFL LLRGADPKKVLDQFYDSVIRQVPDFLDAYMASAELALDKQDHALAAETLRKAPKEAAE DPRYHYLMALAFADDDRAAAAKSLQEALKINPRYVDALLLTADALVDSEKYADAAKVL DSVSAINPSEPRAWVYRAVLAHLRSDEAAEASARKSALAPWPANPEVDALIGRKLAQK YRFAEAATYLRRALAFDAGYMPARVQLAETLLRLGEEAEGWKLAGEVFAADGYNVVAY NLMTLHDLLAKFRTLEEDGLVVRMDPREADLYGPRVLALLKRARSTLAAKYGATLPSR VIVEIFPRKKEFAVRTFGLPGADGFLGVCFGPVITANSPASQGESPSNWESVLWHEFC HSVTLSKTRNKMPRWLSEGISVYEEGQQDPAWKTVLNPRFREMILGDDLTPLSQLSSA FLAPKSAQHIQFAYFESALAVEFLVKSAGLDGLKGVLDDLGAGRTINDSLPARTRMSL SELDGAFARFARERAEATARGLTWEDVDVADDASSRDVEAWLKSHPTSFKGRQLLATR LIAEKRWPEAKAALTELKERYPEYVGPENPYMLLAAIDRQASDPAAEHAVLDELAARD GDASAAYIRLMELDEAAGNWDGVARNANRLLAVNPLVPAPHRSLARAVEHLGRRDDAI AAWKALALLDDTDPAQTHFRLAKLLGEAGRKDEARREVLKSLEEAPRFLDAHRLLLDL VDNDHAGTTPRPPSGSSHGH OJF2_RS24255 MTSSAESADPGVDPLDSLAEEFAARYRRGERPTMGEYEERFPEL APQIRLLFPALVELEKAGPAAQAAGPPAPGRIGEFRLLRRAGVGGMGVVYEASQETLG RRVALKVLPQRAFGASRERFLREARTAARLHHTNIVPVFSVGEAEGVQYYAMQFIDGP TLAQVLDVSRVRRVGADDPFASTRSISTGGEPTPPGPAKAEGPSEPTHDALKWADPDG RRTPQAFRDLARLTSRVASALDYAHGQGVLHRDIKPSNILLDAQGMPWVVDFGLAKSA DDDDLTRTGDLVGTLRYMAPERFRGRADARSDIYSLGATLYEVLTLRPAFGGADRVAL IDAICRARPPRPRAIDPAIPRDLETICLKAMAREPGDRYPTAADLAADLDSFLADQPI RARRAGPVERARRWWRRDPAEAALAIAVGVLLAALGAGTSGFALWAESAREHAVTLGR QATDAERERTRQLARSLADRARAGRTSRRPGQRFESLAAIREAAALGRQTGEPPAFFD DLRNQAVASMALPDIRYGRPAGWGLGPRMSYRFDPSMRTIALAGIDGRVRVSHAEGGD LAALESYPGDQFLRLDAGGRVLAARSASGGLRAWDLRDGTPAQLLDRAAAVVAHDVRP DGQEVASAAADGMITLIRARDRVPAVSWRAEQPPRDLAYSPDGRSLAAGLLGGVAVFD AATGRRRALLRGAEAVTSIAWHPRGEHIAAGDEHGWITTWDVRRGESFRSGSAHSGGV QVAFAPDGSTVSSAAWDGLWRLHDVAGGQHRLVAGLIGGQELGLRGVLAAGESVETMG FAEYVTGVECRTLLPAGERSASANAVAVDPTGRLLAVARTEWAGLWDLADGRLVAELR VPCSRVAFTRRGELITNGRDGLFRWRPSIGRDGSLALPGPVRLADLEPGGGHALAASL DGRFVAAAMAAGTVVIEVDRPGRLTWPGPQADVRNLALSPDGRFVALASWHGGQGVGV YEAATGRPLVSFPAAERTAAEFSPDGQWLLVGTESGRRLYRVGDWSPGAVVGGVASGF SGDGRLLATATAEGVVRLLRPDDGREVVRLEPPDLERVYNVAFSADGTRLAVSCASDR WVYCWDLRAIRRQLGEMGLDWDAPPLPAEGPVRPLPVTLLDPPPGPLAEWIGEEVRAR LRLLVGGPSAELYHRLAAASIRRRAFDRALDYLDRAVALRPGWAEAHCERGRLLLRHR RDAAAALGAFGRAAEIDPTWWRARLGRAESRILDGHAREALVDLKGLAAERPWDNATN TLMAAAMVEAGRPREALPILDAVLRGVPSNIVARHWRAMARRATGDDAGALDDEPGGR GALDCNALAWLICTGRIEFRSLELALPQARRAVALDPGQAAYHNTLGLTLFRLGQYAE ATTELLESLRLGRGATDGFDLYPLALVRRRSGDPLGGWPEFLRALVWHARGAGSLDST QRDELNDLLIEAFDGMSRPAPRR OJF2_RS24260 MSTSDSDDIERWLALAASGDTAAWQEVVGRYRERLRRMVAVRLN PRLLGRFDPSDILQETFLDASVRLQGYLASPKLPFFLWLRYLAAHHLGRIHRDHLGRQ KRAASLEVSLDRPDWQAVSSEALAGQLLDAGSRASEHAIRAERKRRLLEALEAMEPLD REILSLRHFEQLTRAESARTLGITEAAAAKRYLRALERLRESLSGLPGGLEGL OJF2_RS24265 MTIAKAVVEFIGTFFLIFTVGMTVKTPDAAALAPLAIGSALMVM VYAGGHFSGGHYNPAVTLGVTLRGKCTWGDAIAYWIAQFAAAAAAAGAVLFIKGTGTP APGPAAAGTTYNVAAWFLGEFLFTFALVYVVLNAATAKGTEGNSFYGLAIGFTVVVGA FAIGPVSGGAFNPAVGLGAFLMGLADPTHLIVYLLADFAGGAVAALLFLALNMGGDRP OJF2_RS24270 MDDLDCQMMRRALVLAAEARALGEVPVGALVVRAGVVLSQAYNL RETLDDPTAHAERLAITLAGRSLRSSRLDGCTLYATLEPCPMCAGAVLQARIARVVYG AADPKAGALDSLYRLAGDRRLNHRAEVIGGVLAEECGEILSLFFQERRPSSKLLKD OJF2_RS24280 MADRTYDMLKRCIDLCGALVGLLLFAPVMLGVAMLIRLDSPGPV LFRQLRRGRNGRLFYVLKFRTMVADAERKLKELEQFNESENGVLFKMKHDPRVTPLGR FLRRSSLDELPQFLNVIRGEMSLVGPRPLQLRDSDKLLDLDPEGYDTRLKVLPGLTGP WQVGGRSDIDYAQMVELDREYVESRSLLGDIRIIFQTVLVVVVGRGAY OJF2_RS24285 MSVTAALIDGKALADRVRRRVTDEVALLRARSGIVPGLSVVLVG DDPASHVYVRNKQNASRAAGMTGETLRLPADISQAELLATIDRLNADRSVHGILVQLP LPRGLDERSVIERIDSLKDVDGLHPSNAGLLAQGNPRFVPCTPLGVRELLIDAKVPTR GAHAVVLGRSQLVGKPMALLLMQKGEGGDATVTVCHTATKAPAAIARQADILIVAMGR PEAVTADWVKPGAVVIDVGIHRRGDGSLCGDVDFAGVAPVASRITPVPGGVGPMTVAM LLKNTLLAATLAAGGPASGPNA OJF2_RS24290 MIRLGICNELFEGWDIEAVCRTVKGLGYDGLEIAPFTLAPRIDE LPADRRRELRTIVEDSGLETIGLHWLLARTEGFYLTSPDPLVRQRTGEYLLRLAEATR DLGGSLMVLGSPKQRDLLPGVGLDEATRYALEVFRRIMPSIAGMGIDLCFEPLAPSET NFINTCAQAMELVRQVGQPRFKLHMDVKAQSGEAGTTVPDLIRRYARDAGHFHAQDVN LRGPGMGDVDFRPIMRALVESGYDRWVSVEVFDFSPGAEETARQSVACLRESLKAATA GA OJF2_RS24295 MTPTLVRRLTYAGAEARSFKRAAIVMKQVAGQPVSAKTIERVVR DVGLELARRRDADPRTDDSLARRPEGPPALAVVECDGGRIRTREPGHGPGVHRTSEGW RETKNACLIRARPTTSEEDPEPEPPACFADPEHVAKIAETEALSVASMASPPESPSRA GEPPEGMEMVPPADWRPKRSVRTVLSSMADSKEFGKQMAREAKRRRFPEASAKAFLGD GLAWNWSIRKRHFGEFTPILDFIHVLSYLFLVAKAVHEGPEDAWDRYLAWMRGAWRGE VGQVIEELQAWRAKLGEPPATAPDQDPRKVLAVTITYLSNNEGRMRYPEYRRSGLPVT TAWMESLVKEVNYRVKGTEMFWNDPEGAEAILQVRAAALSDDERLEAHLETRPGCPFT RRPRAPRLTRKKIRS OJF2_RS24300 MEALEGRTVLSTFKASNIGELVADIAHAAQTPGANTILLSSGNY VAPQSIQIRGVQNLTIAPAKAGATVNLIGGVTDRVLTIDGGDVTLKGLNISNGGGALG AGIGARNANLTLDNVRVFDNVATSAAGGVYVQGGSLNLRNSSILNNRVGHATGSTGGG LVAVDAATQISSSVINQNSVFAVDLTSGKATTGVGAGIYTSGGTLNVAKSTISQNVIS SSSIGPTTTALGGGVYTANTAATVSGSTISNNGLSTFSTSTANAQGSAFATSGGSLAV TSSTITKNGPGGWRSFWNRNATVTLTNSTLDGQRISGTRNVT OJF2_RS24305 MRPGPLFRVPGACLAALLVPALGLMAPAKPACAADEPARPAQKD SSPRARPRDVTLSLAVEPAEAKPGQTVTLKVTAKLNPGWHIYTYAKKQEGDGPRNTLF DLFGPAGLEIAGPWKASKEAESHAEPAFENKVFSYYEDEVTWSLPLKVPADAAAGKKQ IRLQASYQICNAESCSFPGRWTLPAATLTVLPRGSTPAGEVRRDLRSPLDAALYASLT GLVQGDAGKSPAPAPKKKDVGVRLRPKGMTVKAAVEPAEARPGATVTYKATVELEEGL HIYAMAKGEAAEDGPVPTTFDFFDPGGLKIEGTWKPERDPEVRPDPTQDNKIVESFEH SVTWSIPLVVPADAAPGKRILRCQIGYQVCNDKFCFPIGQWTLPDVVLNVSGEGGNAA AVAAATPPAPAQVAPSPPATGEPAPSSTPASPASSTAPTAVPAQPASPEASVRSSGEG EKGEATLSEIARKKNEGLIPFLLASALGGLFALVMPCVWPMVPVTVNFFVKQGQSKTS GGKATELAITYCLAIIGIFTAVGVLCSFLFSSSFLQTLANNPWLNLTVAAVFLGFGLS LLGLFEIRLPSFLLNASARGESRGGLVGVIFMALTLTITSFTCTFPVVGGLLVMAAGG DFLYPILGLATFATVLALPFFVLALSPGLLARMPRSGDWMNTVKVVGGLIEIGAALKF LNTAEIAWVTPENAFFDAQVVLSAWIVLSAVCGLYLLGVFQTDHDYEEVKIGALRMVI ASVFLGMALYMAPALFGRPPQGFIWDRLIVGILPPDSSEFEPRPAQLIAGGGTAPAGE VKASSPDPAQAEREQKSFHGVAWGMSLEQAKEQAAQQKKPILIDFTGVNCANCRLMEK SVLPRADVVTRLREFVTVQLYTDRVPINSITAAQRQELAERNQERQLDLTQEATNPFY VVMTPEGKVVATMGGYNEPKAFVDFLTNALEKSRDAAKVAQASPGR OJF2_RS24310 MSSPSGPLILLIHPMKEAGLRLLREAGDVRMATGNDPGTIGREV RGAQAVIIRTGGKIDAAVLDAAGKGLKVVGRHGVGYDQIDVAAATSRGVQVVYTPGAN TQSVAEHVFAMFIGLSKHFPRMTSELAKGNYDARTSLVGREVAGRTLGIIGFGRIGRR VAETARLGFGMSVLYNDIVPAPHDVEVRTGARRASFREVLEASEYVTMHVPLDPSTRG MIARDALALMRPDAILVNTSRGPVVDEAAVAEALDAGRLWGYGADVFAVEPPPQGHPL IGRPDVLLTPHSAAQTEEGLTNMAAMVARDVAAVLRGTPPESPVNDPFEVEHVRRSLG LPPLYEARR OJF2_RS24315 MIAPTPPRLRADWTLLVPLFIGLVLRAAAMSVGSGAFEDPDNYL PLARSVARGEGLAWKGRPTAYRPPLYPLMLAPIVRLADPRPNPAIAVLHLIFGAATTW QATMAARRWGLGDRRALAAGLIVACDPVLVWQSRFIMTETLGALLVAAAVAELARPGF RGLLGGGLALGLSSLCRPSLLPGAGLVVAAALAVGPGTARRRAAHALALSGIILVVLA PWALRNAIALGEPVWTTTHGGYTLALANNPTYFRDVLDSAGAEVWTGDDQWNWWDSVN RATAGMTEPEADRFLRDSVLRLVAERPRVFARACLDRLARFWGLAPAQPVYSARVRVA SAAWTGPLWAALLVGLLNRKAWRWPRIAAPAAILGLTMVHVFYWTDLRMRAPIVPAIA LVAAAAELPIPGRIRRRPPAPSPSGRTER OJF2_RS24320 MPSFSLIVFISSREQEAGLDLAPYRDVLEARFEPESVEIILAGR VDAGATEGRENGVMAIEAQGGEVEAVRAAIKAATGDIVIVLDPRRSYPPRALVELIEG LDASKSDLAVAVPRRSAGRSFGRAMSRRVLGLGGQAALGTSDVFSGLMAVHRAHLDSR APKGSAVGSRIVMDLLAWAPSSHVDVPVDTGSDDRTEVRVSGVNDLRQLKRLLDHRFG TFSRLVQFCIVGASGMVVDLTLYALLQAAFRRIGAGVDSAGVSWSLATARALAILVAM VWNFTLNRRLTFNDSREGSIARQLLTYAMGNALGILVSLTLSLVLPMYVRFFNEHRLA AAVVGIVMATGISFSMSRWVVFIRKPDAALPPIEEPVNAPSGRTETEPAAVS OJF2_RS24325 MGKRVVLAMSGGVDSSVAALLLKSQGYEVIGLFMRTGAHAEDAE RRAKTCCSVADAEDARRVADKLDIPFYALDFEGDFGRIKDYFADEYLAGRTPNPCVMC NVWLKFGKLWGYGKQVGADHVATGHYARIARAEDGSLRVARARDRHKDQSYVLSGLRP EVLRHVLFPVGEHPKAEIRDLARAHGLPVHDKPESQEICFVPDDDYLRMVREMRPDAE TPGPMIDEAGGQVGTHPGIAGFTIGQRRGLGVAVGAPRYVVRIEPASRTVTIGGRESL LRRGLEASRFNWQGPEPSGNTACLAQIRARHEAVPATVSVLPDGRVGVAFETPQSAVT PGQVVTVYQGDLVLGGGWIDSAL OJF2_RS24330 MPQLRQRATAEPRELDRRARRRPRCAAAALGLCLIAGLLPRPVL AQREASAPHWIWHGKAAAGRGYPAGPIYLRKALSVKEPSTLAVDVTADNEFQLFLDGK LVAEGNDWSTVQSVEAKLSTGSHILAVKATNEDEGAAGFLVRGGVLPLGQGVPVQSNS SWKTTDKVPDGEAWKALDFDDKGWSGAADLGALGIPPWGEPARLGSASERFHVPDGFT IETVAQPLVTGSVVAFTFDPDGRPCVSIEMGPIARLHDDDRDGRYERRVEITPGMKNC QGLSFIGDALYAVGQGPKGTGLYRLTDADRDGVFETAELLRDTAGGMGEHGPHAVALG PDGRLYYNNGNHAHLKPPVDAASPVNVAYEGELLPHYDDPRGHAAGIMAPGGEIYRSD DMGKSWKRVVAGFRNQYDFAFNAAGEIFTFDSDMEWDVGLPWYRPVRVCHCPIGAEFG WRNGSGKWPAYYNDSLPAILDVGRGSPTGVTFYQAGLFPAEYRDRFLICDWSQGRILA VKPDRDGGGYKGSATELVTGQPLNCTDIEVGPDGAVYFTTGGRATQGGLFRVGIKGEA PGPRPAATAWEESIAIDSPLSSFSRRKVEELRARDPLAWNAGLREVLMDASGRRAAGE RVRAMDLLFSGGRFPEFHVLAVLARDPMPEVRARAVSLTDRFPGDGVARDVAMVARRD ADPFVRRHACETLMQRRAAEIPVRELIPLLSDADRWVRFAARTAIEHGDVLGNREALL GVSEPRAAVEAMLAIVRATKLDRGAQEDLLRREVAILKANPAPDVQLDAIRLVGLTYL LGPGKADLPGSDELASWLLSKYAPGADSPSNREAGRLLAFLDEPRAVPMILANQAAVA DHASQILDAYCLRAIRRGWTSDAKRQLWAWYETASRWEGGYSFLGYLDGMIQELVNRL DSAERRELLASGDRFPFPTRVLIRELELDREPGQLEELVALDGKLRLRETPGTRGQAD DLRALILEKLGRSKLPAARAVLRDAYRGEPARRDAIVRALAGHPTAADLPILASALAS TDDNTTNLAMNALRKIKEVPEGPEALANLIGLARRGGASRRAIDELASRWTGITPPPA STTTEAALAAWEEAYRKKFPAGPRPGGEAADAKSYDLGHLVSHVLEAPVMQSASPERG RQVILKSRCLDCHKLGDQGAGLGPDLTTVSSRFRPSEILESIVLPSKVVSDQYKTLAV ATEDGKVYNGMPVASDGANLVLLLSDGAKVTIPKSEIEDQKASPKSVMPEGLLNPLSY QDIADMLALFKSMPAPATPEASKGK OJF2_RS24335 MTRSSLMTDLMAVIEDRKGKPESEKSYVAGLLRGGVPKIGAKIT EEAAEVVEAGDEPGDEGRSHLVKEVADLVFHSLVLLGHRDLHWDDVEDELARRFGISG IDEKASRAKPGH OJF2_RS24340 MQPGDIETELGLPIPGRILEEAEWARTAVKRLPEPGPLDWAAIF GRQAPLVLDLGCGNGRFTLGSALARPELNHFAIDVLPVVIRYATRRANQRGLHNVRFA VKDAQTFLRSYVGDAAAAEMHLYHPQPFHDPREAHRRVVTPAFVGDVHRGLAPGGLFV VQTDNPDYWHYMTKILPYFFVFEEHEGVWPDAPAGRSRREIVARQRGLHIFRGVGTRR DDLDHAEALELAASLPEPRFRTRGPWIELDRWEERNR OJF2_RS24345 MTDADLADLGRDELVALILKQAAAIEALRAEIEALKRSGKRQAA PFSKGSRVEDPKRPGRKAGQGMFKRREAPTPEQLSEPPIEVPVDRPACPACGGELAFE RVEEASVTDLPEVIRPRVRLFRVAVHRCGDCGATARGRHPDLAADQRGATAHSLGPRL LAAAHHLHYRLGVPVRKLPELLRALAGATLTQGAITRDALKGAAAAVGSRYAELCDSV RGSAWCHTDDTGWRQGGSPRWLMAFVTDTVTVYQVRQRHRNEEVRERIPADYEGTMIT DRGTTYDAAELSAIRRQVCLAHVLRSISEVTEAKAGRARWFGSELKGLLRRALELWHE RRAGPPTADYAARVGRAKIDIAWHLRDRRLADRDNRRLLEGLGRCYRAGSLVRFLDDP SIEPTNNRAERALRPAVIARKVSHCTKNARGTRAFEAWTSVLATLSRALSGPELLDAV VQLVHPTTPGLA OJF2_RS24350 MQILTYNKATATAGNTTYYKQNNIDYRTARGPNFNGNLVDGGNW NYWYSYNGGQDVNSTVVPASGSIPATGVSAPTTSDAPESNLPNVINGKPVTAASISSN FSMYLMFKSLVQGSVWLAVSQLNWSWSVSVSQQGGAWPNPNPKQQTAPGNSTTPAGAG AFPPWVNTTAAYRDYYAPAPTNWRT OJF2_RS24355 MRQLPARFSTRSLMIAVAMVGVNLAGGSATARLHRGWENHSRGW SWWRWNEGSKRWMDIVTEEGRGLRHLEDPDTAPYVFCYDNGLIEVGGGYGTPRREVKR LVCGPTPPTLRIWSPLIASFLVTLLLVAVPLESSGMRRRGDAGGGRSLATRPWRWRIA GRRLLIATALAGLNLVAATYPRAPDPYDDRLETPMRSTADLFVKPDGGIEPRPVGGHF LVVKPDGFHGVEHFGGRIEPQMDGSYVSYDEQGTRVPFR OJF2_RS24360 MVTTRLRVRFTMQWLMLGVALNLAGAMVTVKEEWSGGGRSLAGE IASSVLTGVPRTVSPVAMGRASNQTGDGARIREEWRLPRAQTHFQVHSLVIASASITL LVLALRFWKWGEVPADAPPDAGVCRRSLPARVWLGARWVVLAAALIALNVAGDVYQPL FDLYKRKMADCMVADIADRAQCPHRFILLITGAFAPSSNETAAPRMHAGVDL OJF2_RS24365 MPRTARKAPAGLIYHVLNRSVGRMRLFRSDADFEAFERVVIEAF ARCPLPVLSYCVMSNHWHFVVRPRTDHQVTDFFRWLAHTHAMRWRVARRTAGYGHLYQ GRFKCFPVQSDDHLLTVLRYVERNPVGPGLVERAERWRRGSLWARLHDDAPTRHMLAP WPVACPDDWIERVNAPLGAREVRALKPSLERGRPFGDDAWTGRIVRRLGLGHTIRPEG RLRKEGSPPPGPETASSSSALPRGR OJF2_RS24370 MPARVDGREPADLRPVSIERGFIANSAGSVLFRAGATAVLVTAQ VSESVPPFLEGKGVGWLTAEYAMLPGSTPGRKRRGADGRATEIQRLIGRSLRAIVDTR ALGPFTIHVDADVINADGGTRTAAITAAFLAVADAVRRQFADRAREILKDSIAAVSAG IIDGTPMLDLNYPEDSRAEVDLNVVRLGKGGLVEVQGTGEGGVFSRAELTALLDLAES GIDRLGLLQREALGVDWPFPDAT OJF2_RS24375 MSQEAKGNPRAFEVGPIPAAGRKRKNGFSAADRRGVAGPSAEPK GLRHFVSLFDVAPAEVADLIERTVQLKADATGLGRASRLQGRTLGLLFEKPSLRTRVS FETAMARLGGASIFLRGKDVGLGVRESVADFARVISQYVDFLAIRTFSQSIIEELARH ASVPVINALSDYSHPCQAMADMVTILEERGDLAGKKIVFVGDGNNVARSLAMASAHLG VEFVLTAPEGYEFPESFAERFAAKFPETPLGFERNPAKAVKGADVVYTDVWASMGQEQ EADQRREVFAPYQVNEALFAKARPDAIFLHCLPAHRGEEVTSGVLDDPRSKVIPQAAN RMHFQMALLLWLLERRGA OJF2_RS24380 MPDVHAAPQSSAETIEDFSRYVIPNYRRYPVCLVRGEGSWVWDA EGRRYLDFFPGWGCNLLGHCPPRIVEAVREQVGQLIHVPNTWYMEAQGAFAKALSERS FGGHCFFCNSGAEANEAAIKLARAWGHAKGRYKLITAEGGFHGRTFAALTATAQPKYQ EPFQPLVPGFGHVPYNDLEAVAKLADGQTAAVLVEPIQGEGGVIVPDEDYLPGLRKIC DERGMLLILDEVQTGMARTGRWFAYQHSGIEPDILTCAKALAGGIAAGVMMARKEVAA FLQPGLHASTFGGNPIACRGGLATVETIEEEGLLERGIAIGERFRARFEALREEMPDR IKDIRIRGVMIGVDLSFDATAVVSACLGRGLLINATHGHVVRLLPALNLSDEQIDEGC DILADVLREVTP OJF2_RS24385 MHEEAIRKADVLIAALGYIRKFHGRFTVIKLGGSVMEDPESLRA LLVDIVFMQTVGMRPVVVHGGGKAITAAMEKAGITPHWVKGRRYTDDATLGIVARVLA EEINADIERHISKFGGRASGLHHKTHQCLYGRRLLLEEADGTKVDLGRVGEVTEVDVE PIENLCLAGVVPLLPSLAEDEDDETKLLNVNADTAAAAVAQALKAEKLVFLTDTPGIL RDRNEPSSLISSLTPAGCRELIAEKVIDKGMIPKVEACLTSLEAGVLKTHIIDGRVRH SLLLEIFTDSGIGTVIGNDLADDVTPRTPAGRKPVLIR OJF2_RS24390 MKKLISALACTGFLASTMAFVGCGDTSSVTEEKKVSGPGGTTTV KETKEVKEKGNNPPPASTTTPPAPKS OJF2_RS24395 MTSPKTPFERAMALAALSGFKVALGPAFLAAKRRSPSTGTWALA ALGEMFLDKVGIFPPRYRPALMIPHALSGAYVAREAMKEEGVHDPSIPVLGAVVAAGV ACVAPLARIALNRGLGMPDAFLGLGEDYLALNLGSEATGVSLGQVSEITRDAVEDLRG QIAPSLPSIPNLPVGTGRG OJF2_RS24400 MKALCWHGQGHVRIDQVPDAKIEEPRDIVVRITSTAICGSDLHI LDGLVPAMERGDILGHEPMGEVVDVGRDIRDVKKGDRVVVPFTISCGSCFFCNRQLYS LCDTTNREPEKARLAMGQAPAGLLGYSHLTGGYPGGQAEYLRVPYADVGVVKVPDGLP DEKVLFLSDILPTAYMAAENCGIEPGDVVAIWGCGPVGQLAIRCAWMLGAGRVVAIDA VPERLRMAEAHGRAEVINFREQDVYARLMEMTKGRGPDRCMDAVGAEAHAAGTLRSAL ESAEQAVGLAFDRPYVLQEAIRCCRKGGTLSIPGVYIGQSDKIPMGALMNKGLTIKTG QTHMPRYMKMLLGKIEAGEIDPSYIITHRVKLDEAPEAYKTFRDKKDGCIKVVITPGS OJF2_RS24405 MTQSFNALTPLNVDGQAGRYYRLDALKAHKLDPGRLPFSLKVLL ENLLRYEDGVTVTADDIRALASWDPAAEPSREIAFRPSRVLLQDFTGVPAVVDLAAMR DAMKEMGGDPTRINPLQPVELVIDHSVQVDEAGTRTAFATNAELEMQRNQERYAFLRW GQNAFKNFKVVPPDTGIVHQVNLEYLARVVFAADQGDGQPPLAYPDTLVGTDSHTTMI NGLGVLGWGVGGIEAEAAMLGQPVSMLIPQVVGFKLTGTLPEGATATDLVLTVTQMLR KKGVVGKFVEFYGDGLAALPLADRATIANMAPEYGATCGIFPIDAETLRYLELSGRPK GLIRLVEEYYRAQGMFHEPGVKEAKYTDTLSLDLSTVEPSLAGPRRPQDRVPLHESKV AFQKSLQEMLAAPTAKAKKALALAAEASASGPQGGGVAVEHGSDGPAAGTLRHGSVVI AAITSCTNTSNPSVMLAAGLLAKKAVERGLEAKPWVKASLAPGSKVVTDYLKRAGLDS YLDRLRFNLVGYGCTTCIGNSGPLPPAISAEIQKNDLVAVSVLSGNRNFEGRINPDVR ANYLASPPLVVAYALAGTMDIDLAAEPIGHDPQGQPVFLKDIWPTSHEVQEAVLHSVK TDMFQSQYGEVFEGDEHWRGLPAPGGDLFSWSDDSTYVKNPPYFVGMPKVPAPVAPIT NARVLAVLGDSITTDHISPAGSIKADGPAGKYLQAHGVPVSEFNSYGSRRGNHEVMVR GTFANVRLRNRLAPGTEGGWTRHLPDGEVMSIFDASEKYKADGIPLIILAGKEYGSGS SRDWAAKGPKLLGISAVIAESYERIHRSNLVGMGILPLQFEEGQSVETLGLTGEEVYG VEEPVGGLASRLSSGRRLIVAAERPDGSTLRFPVVVRIDTPQELLYYENGGILPYVLR QLLRS OJF2_RS24410 MSSQDQTTIRVGHSPDSDDAFMFYALTHDRIDTGGLRFVHQLED IETLNKRALNGELEVSAVSIHAFAYLADKYALLSSGASMGERYGPTLVTREPMKLDDL KGEAIAIPGKLTSAYLALQLCMGKDVPVTVLPFDRILPAVAAGEVKAGLLIHEGQLYY GDRGLHRVLDLGQWWNDQTGLPLPLGGNVVRRDLGEDLVLKVAALIKESIRYALDHRQ EALEYALKYARDLDPKLADRFVGMYVNERTVDYGPEGRAAVRLFLERAADMGSVPGHV DLQFVG OJF2_RS24415 MADLVRASKFLSLVLRHKPEEIGLVLDANGWADVEALIRRSNDH GVRLTRPLLDRIVAENDKTRFAFSEDGARIRASQGHSVDVDLALPPASPPDFLYHGTA TRFLDSIRAGGLHPANRRHVHLSPDAETATKVGRRHGKPVVLVIRTGEMAEAGHLFYL SANGVWLTDRVPAAFIDFPAG OJF2_RS24420 MRKVVLGLLVLTGAGAVGWLIHLDRRNRLVWDHWDTVKPGILYR SGQLTGDQLAAAARRYGIRTVVNFQLPGKEMKAERELAASLGIGFVNLPMPGDGFGEQ SQFRKVLEVVDDPDRRPVLVHCARGTCRTGSAVALYRYERDGWTIEDVAAELKRQAYR DGHIAGYIYAMARNKPSLVLHNPQTIDDRNGTATGPEPLPEVFPAREDANDR OJF2_RS24425 MIAEPNHAATSGPPRSRILRADARLSLPFPAAERWLAPLYATMA IGFVLIGAGNLDLGPADARLGIAAGEPFGPIGQVFGRYAPELWPAKVALSRLAGLFVE RGDAAPGIVHWPSALAAAAIGWMLARRAAATLGTRTGIFVGLAWLASVGAIDHSGAVG LDFVTGLAVVAAIDRLLDEGSDWTAGAWTALAFLAGGWPPAIVVLLVVIVLGRPEAGY SARLFVPPAVAAAAWAAWAIASATPEGMAAALVLPFKHRPDWFMALTLLGLALPFGPF AALAWSPSVRRGWTPGGGLLIKGWTQAALACLVAGTVVPGISQAARIPALAGMMIASG TVLEAAWTGSLSRWSRRAFLAAAFGLSLLWLMSLVYGEYLWLMVFSYYRPVGIAAAVV AVPLIALAWSGAELGNSRRATVALVLLAASLKLVHWGYYVPEANYRYGQGPWGRAIGQ WVLPNWTIHTFHDWPPELAFATGRPVRQLPAPLHIAYPQDGESRHVLLLQSEFENWPE SAPRLIKVASFQELDGSVRVLARTPGKLVAPSGLALPGRAED OJF2_RS24430 MLAKLMEMLTPGSAEAGVYRKRVNIQKRFAILADASSQGSMSKV YKAHDHETGKTVCLKVQLRQKNEAAAARASREEARPPEGAIAVAIVHPHVVRTVEYGE TTAGEQYLVMEFIEGYSFQYIHESRLGKTAQKVEWVAQAAEGLAAVHAAGFIHHDVNP RNFLLNRDHAVKVIDFGLAVPNTPAFRGPGNRTGTLQYMAPELIRREPIDERIDIFSL GVVAYELLTGRLPYSATGSSTTMLQRLNVDPIDPVKVKPKLSDELCDALRRLTARRRE DRWPSLATLASHLRSIPAKRPRPVEQD OJF2_RS24435 MTSDREPRPADEDWTIRRLLTWTTDFLTRRGAESPRLDAEVMLA QVLGWQRVQLYTHWEEPVGERARGEFRELVRRRAEGAPVAYLVGRKEFYSLALEVSPA VLIPRPETEFVVVEFLEAAKAMEAPRAVDVGTGSGCLAIASAHQEARARFEAIDLSAE ALETARRNATKHGVADRIRFHQGDLLAPVAGESPFDAILSNPPYIPSADIATLEPGVR DHEPAMALDGGPDGLRVVSRLVLEAIPLLRPGGHLILEIGTAQEQPVRSLIEEAGAYR LLPTVHDLRNHPRVIHAVRDDAR OJF2_RS24440 MFEKLQADYHRFQEIERSLVDPDVTADASRVASLARERGALAKL CVPYGRYLELGRQIDEAEALAESESDPEMRSYAEGEIAGLRDKQAEVGESLRDLLYDR QAGADHAALIMEIRAGTGGDEAALFARDLYEMYRRFAEAQGWKFELLDMEATELGGFR EVSFSVKGEAAFRNLQFESGGHRVQRVPETEAQGRIHTSAATVAVLPEPEDVEIDIRT EDLQIDVMRSGGPGGQHQNKTESGVRITHLPSGLVVNCRDERSQHKNKAKAMRILRSR LYEQIQENARSQRDQARRSLIGSGDRSQRIRTYNFPQNRVTDHRINLTLYNLDQVIQG SLLPLTRALIDHDRRESLGDL OJF2_RS24445 MKDGIHPKYVDSTVTCGCGNTFQTRSTKPKIMVEVCSKCHPFFT GSVKFVDAAGRVDKFNKKFQGTYGKAKKEKAEPAKA OJF2_RS24450 MKTAIVACSIGLVSFGLLLASHPGVSADEGAAAPGSGVKLERLK WDAFRERLASAKSSRLTLVDAWATTCGPCKANFPHLVEMHKKYGSKGLNVVSVTLDDP TDEKAVAAAEKFLKEKEAVFTNVLLDENFGDGFDKLDINAIPAVFLFGPDGKELKRFT MDDPDHQFTYEDVEREVAARLGSK OJF2_RS24455 MRKVLLSLAVLALAVPAYAADHYNKKVKVGDQAPDFSGIPAVAP NGEQTSLTLGDIKEDVVVLVFLANHCPAVLAADDRIIEFTSEYKDKPVKVVAVAVSNA DEDKLPGIKNHAKEKKINYTYGHDETQAIGKAYGASNTPHFFVLDKERKIRYIGAMDD NVMNETKVTKHYLKDAVDALLAGNTPPVEETRPAGCGISYAK OJF2_RS24460 MAAPSEDARKSARYDDLEVQVGPGGETHQVADAQHPTLTTQQGI PVADDQNSLRAGARGPTLLEDFHFREKMFHFDHERIPERVVHARGYGAHGYFETYKPL TDVTRADLFQRAGEKTPVFVRFSTVAGNKGSSDLARDVRGFAVKFYTKEGNWDLVGNN IPVFFIQDAIKFPDFVHSVKQEPDRDFPQAQSAHDNFWDFVSLSPESMHMIMWVMSDR AIPRSFRTMEGFGVHTFRLVNAEGKSTFVKFHWKPKQGLQSVVWNEAVKINGADPDFH RRDLWDAIEAGNFPEWELGLQLFDQDFADKFDFDLLDATKLIPEEILPVRRVGRLVLD RRVDNFFMETEQVAFCTQNVVPGIDFSDDPLLQGRNFSYLDTQLKRLGGPNFTHIPVN APKCPFHNFQQDGHMAMRNPKGRVNYEPNSWSAEENPREDPLKGYRSYAEPVSGTKVR IRSETFADHYSQARQFYISQTPIEQAHIANAITFELSKVETPKIRSRVVSHLRNIDED LAKKVAEGIRLKQMPEPATAMKPTRKDLPASPALSIVLNGPKSFAGRKVGALVTDGVD ATILAALAGALKEEGAMLKLVAPEVGGVKDSEGIWHDADEKLEGGPSVLFDAVALLPS KAGAARLVTLPAARDFVADAVAHRKFIACVEAAEPLLEKAGVSSNVDAGFIPLKAPKD CKAFVTACRKVRFWDRVNAER OJF2_RS24465 MRVDRRSFVRSLAGGFPAIHVLGSKDSRGEEPAPGRAPAKDEPL RVRIWCERTAPKAVYPDDVDGALAGHLGRGDGIELARSQIGDPQAGLSDALLDRTDVL IWWGHLRHDDLPDDRAAAVARRVQEGRLGFVALYASCCSKPFKELMKTSCQPGGWRED GRPEHVSVKAPDHPIARGIAPFTIPRTDMYCEPFAVPKPEAVVFVSSWEKGETLRSGL TWTVGEGRVAYLRTGPDGYPVLFHPSVRRAVSNSALWAGRRC OJF2_RS24470 MTPRTLETLRRVAAAVVLAGGLASAVGCDPRPFFYFLQPFEPTI PAPGPSLANKKVVVLAHGTSQSQSEFPGIERELTTQFVSNLKKKAKKITVVDQKKVQT WMEGHPNSTDPSDAARDFEADVVIFLELETFQIQEPGDLNVLQGSARVHIKATEMDYP KNSKGKSIKDQPKEAHEIYDNYQESTFPIRGPVPMESGVGRMAFKNKFIQVVGGECSW HFVEHSEDDVIQDVKFNQR OJF2_RS41420 MDGHPIETRRLEGRPRWLLLLLSAGLLGILVLARGLEPDPRGYG THTQLGLGPCAFRELTGRPCPTCGMTTAFAWLSRGDPGRSWGANPAGCVIALLAAPVA TWLLACVWLGRPIGTPRIGEALMRLAVAVVVLGVICWSIRVLGAPVPPGIPGPPPAGF R OJF2_RS24480 MKIQHPLLIKAVGVAGSFLVRRLGDTIDYHFRYEDPLVNPEVAR RLGGRYIYAFFHEVMLFPAHYWAWPTMHILISDHRDGELITQVVRRLGFGVVRGSTTR GGARALREMTTKIEQDNLCVTPDGPRGPRRHVHQGLVYLASRTGLPVVGAGMAFKGPW RARSWDRFAVPRPFCQAACVAPAAVVVPPDADRETLEACRLEVEARMNRAMGEAERWV EAL OJF2_RS24485 MITHAGPMLRKVSRDGCGYSVVELYDVRHVLAVAVPRGGGSLEV QAHDALRTIEAVHAEEGTRGSIVHQAVFIPTPELVPQCREIIRDFYGDEQPATSYVVQ PPCDGKLLAVEAMGVGRGMGEVRIERFGDQAVRVTHNALSWLHCANVTPRDDVTGVYN QTISAMSRMRGVLAKRGIGFDRVIRMWNYLGSIVEDEGGVQRYKELNRARTAFFEGVH FLRGCQAGLPSSAEVYPAATGIGMQGTGLMLGCIALAMDDRPDVVAMPLENPNQTAAF HYGARYSPKSPKFARAMALSCGAFATIFVSGTASILGAETVHPGDAEAQTRQTLDNIE ALISERNLRQHGLPGLGARLEDLGMIRVYVKRQDDYETARAVCRARLGDLPMVFAIAD VCRPDLLVEIEGMAFSRRK OJF2_RS24490 MPSAGEPAKALAAFNQGTALLEQYRYKDAAAKLRTVVEAFPEWT AARFNYGLALLNLPDDADALKKAGEELGRVVATTPEHRPAQFCLGILEAHGGEYSKAV GHFAKVHEADPEDAFVAFEYAEALRKLDRNDEALGVLERVVERDPGFVSACYSLGMLY NRMRQRDKAVAVLKRFGDLKSQELAVGSYGVVKPYAGRGKYYTALGADSLPIPATPMS PAPRLLFAPEIRSIDCVLSAWSWAGGEVALPGIAVGDVDGDGDQDVILCGAGTDGGVV LLANDGKGAFGRPVRLADRGVSPCLGDLDNDGDLDLWLGRDGQDQLLLNDGRGAFKPA PISTAKDGACLTACARLADLDSDGDLDLMSLRIKAGAVPGDASQTASPSTLLNNNGDG TFPDLAGVLGLDLPDRPSSAVVFDDFDDDRDVDLVLVSPKAPPLAWENHRVGRFRILD SKVTRLDLAGARSVTTGNPFKTGKRDLLLFAGKELALYHNRGGWKFERDDEFSSRYGT LGGTSGQFADLDNDGDLDVLIADAHRRDGSRGPAVLLNDWPIKRFIEATEADAGSLLP RWKCAGDAVAVAADFDGDGTLDLLVASMGGPPVVFDNATRGSHWLALDLKGQRGQDQN SRSPSTSIGARVELRSGAVSQQYVVGTPAGGTAMPPTRVHAGLGASPSVDWLRVNWPD SLLQAEVEVLGDRPLTLNEESRRPSSCPHLFAWNGHAYGFVSDFGGVGGLGFRTGPGS FATPDPTEYVAIPNLAPLDGEYILQVVEPLQELVYFDEAKLIAVDHPAGTTILPNEMA AVGLAPPPFEVFCFREAIAPVRATNGRGDDVTDALARTDRVFAGPVECDRRFVGFAKD HFVELDFGDRLESLPTDTRRILCLDGWVEYSDSTSNFAASQAGLRLKAPSVLVERDGK WTELLHEAGYPAGINHTMTLDLTGKLRPGDRRIRIATNMDVSWDRIYVALPGPASSFG LKEVAPRRADLHFLGYPREYSPDGRQPTLFDYSKVSKSDTWHRLPGAYTRYGDVLDLV TRTDDRFAVMAAGDEITLRFPADAFGPVPAGCVRTFLLKSDSFCKDMDLYTGAGDNVE PMPYHDMKEYPYDPATAPPVPEDVRRSRDQYNTRVIAP OJF2_RS24495 MVQVIYLLLAAYVWAIVQAPSAAQTPSSHRVEPYVDFRDRATPY SGPGRELAEPKETKEVLLGYFGPDDSDHAEGGAVWRGADMAIRLANVEGGYRGKPFRL AASWSDNPWKGGVGGLARMAHREKAWAILGGIDGPSAHLAEQVVAKANLPLVCPLNGD RTANGANVPWFFSAMPADHLQAPVLADALVARSGPRGFAFVSTPDHDPRAFLVQLDRA LKARKASPRFSHVLAADNPDFGAAARQVVAEDVGAVMIAANARDSAGIARELRNDSFR GPILGGHWMGRSAFARLAGPAAEGVVFPLVCDPDAMPASFRSEYETRYHEPPDYAAAH GFDAANLLVEAVRSGGLNRARIGDALRSLSPYPGVSGTIAWDSLGSNTRPVSLATIRD GKVDRFTAR OJF2_RS24500 MRTLKWSLLVLSSTYITWQAAAQDAPRAAPQAAAPRDLKTDEIL KAIDAVSPYQVPALPLKNDLRYAHTVKELEPFHHVEPYRTHFRTQLEYTGAGRSVPEP GDLKSVKIGFIGPLYPTVSVATGGKSHEEALGKKMYQGSLLAIEEANADGGYLKRKLP FELVVSNDNGLWGSSGNEIVKQSYKDKVWAMLGTIDGANSHIAIRVALKCEVLMMNTG DTDPTFIETNIPWVARNIGDDRQMNYILADYLYRKAGFRHAGIIRSSNRYGRFGVREI VDASRRLEHPIAVEMAYKVGREDFSLEIERLKQAGLDVVIHWGDDVEGAKILNQMRAM GMKQPFVACDRCVTDEFVRIAGENAEGVVCGYPWDPTRDDAHYREFCRRFRARFHEGP ETYAAHAYDGMQMLIWAIQNAGLNRAKIRDLIAYRTEPWQGVTGEIRLSPVLDDVGEV YLARREGDHWKFYSRDDLGIPRSGGEGKKP OJF2_RS24505 MKYLNALPAWAVLLAAMPAGLLSADDPKPVRPAHGPTRAPKPTP PIVPGQVPLAATQIKDVPDEALPYVYTKWRHFTVKDGLPDDHVFSVKVDGPRVWIGTE DGLACLDRRTGKIRSWKEKDGLPFQAVTAIDVDRNTGDVWLGLFGGGLARFSGGRFDH FTQLNSGLVNDVVYGVTIEGDSVWAATTAGCSRYNTKTREWTIFNEKNAPMEEIWNYS VSHDEGKVYLGVWGSGCLEYDLQTKHWKEYLDPDGEMEIDLYRDDGIVHVIVTGATHV DGTLWISSYFGNCRYDGRNWRGFYSHETGIPSDFTNAVRGRSAAEGWFGTDKGVGVVA DFFTDTYVAYTRDPQSLRGVAKVYRRGKLLKSVDMETGVPHNFIINFDIDGKDVWVAT GKGLGWAMGDDYFPGVRTPDGRPYPAAKDPAARATLIPAQARP OJF2_RS24510 MCRGANDWASERASILSLPAVLAASLACLAWPTTARAQPRKPVY VGAKVCATCHDGPNMGHQATLWMGTRHAKAYASLATPEARSIAAISGVPIEPQRSPLC LGCHATGSDAEPAEKDDTFSLRDGVQCEKCHGPGSEHVESWAPGKDGSARIALTNPLP ADCMNCHKEKPSHTRILPAKPRRPNRAETPFDLMAALKAVSHPTPKDAKPVAMQPPPF PTREGAGASYIGSHACAECHDAAEKGSQFCKWRDTPHARAYAALGTPHAKNVALEKGI NDDPQMSTECLKCHATAYHRDSAGAAETYSVLEGVGCEACHGPGSEHATAAAELKERP RTFKTGLLATSSETCTSCHDENRGKPFAHEEALKAIAHPARPPAVSREARYKTPLRLA FRPGGREVYVTCEASATVCVLDSKSMTKVAEIPVGGQPTDVTFSPDGSRAYVTNRLDD SLSVIDATARRVTATVPVGDEPHGVRTDASGRTLYVVNTASDDISVLDAKTLRERKRL SASRSPWSIALSPDGGRMLVTNALSRFVPFREPSVSEITAVDASREVVDDRHAAPGAN MLLGIAWHPSGEFALATLERTKNLVPMTRMTQGWTVTNGLAVIGADGQVDQVLLDDPG ESFPDPTDVAFTPDGTLALVTSSGSDRVALVDVARLRKVIAVATPREREDVLPNHLGK ASEFVIGRIATGINPRGLAVAPDGKTAWVACALEDAVAVIDIAARKEVRRVDLGGPKE ISRARFGERLFNNAGIALRRQLSCHTCHPDGHVDGLTYDIEADGIGTAPVDNRTLRGI LDTGPFKWNGGNATLSRQCGPRLSVYFTRIQPYTSEELEAVDHYISTIPRPPNRYRPL GAELTPAQRRGKAIFERTATNDGRPIRKQNRCATCHFPPLYTDRERHDIGSKMAPDID DKVDVPHLNNIYDSAPYMHNGIAATLEEIWTVYNPRDTHGVTNDMTKDQLNDLIEYLK TL OJF2_RS24515 MKSRLRDIRHALLLAALAAPILPARGDDPPVPVFTDVTERAGIK ATLSFGDKELSNIVEGTGSGCMFFDYDNDGKLDVYIVNGRYKPEVNDNTGRRLRGKLA NFLYRNNGDGTFTDVTSKAGVGGGDGYGVACSAADYDGDGFTDLLVLNYGPNILYRNN GDGTFTDVSKKSGLDAPGDWSLSGVWFDYDIDGKLDLFVATYLQYDGGKFRNYYAAAG YPGPLSYPGQADRLFRNNGDGTFTDVTRAAGVFNKDGRAMSATAADFLNSGRLDLYVA NDAMESYFYRNHGGGKFTSDGLVLGLAFGEGGQGVSSMGPVFGDVDRDGRLDLYIPDM GYGCLHINRGEFFEDQTNASGLAMICGQYTGWGAILQDFDNDGWPDLFVANGDAHHEY GEGAVMARNAGKGKFVDVAGRSGPYFSQKFVGRGATWGDFDNDGDVDILVINLGDSPR LLRNDGGNALNNWLTIDARGPGGKTPAIGARVSVRVGGLVQIDDLIPVRGYLSQGDPR PHFGLGKAARAETVEIRWPGAGTTVLTDVPANQILRVVQPPR OJF2_RS24520 MPCPVVYARQPAGRGGPGDGAQLVRLDPDGTRRVLTAGFHSAGE PDVSIDGRRILFAGKHDAVDGWEIFEMDADGGHVRRVTRNLGPCRSPVYTSSYYTITE KEPWDQIAFVRPAGDRRDERTGGPATAIWTCKLDGSYVQRITYNLASDLDPAILPDGR LAYASWHRADLGDGRAGRLALESLNTDGSDRASIAPRSGAARRGPCVTPGGDLVFVES VRETGRGEGSLARVSLRRPLHTYERLTGPDDGLFSSPSPLPDGTLLVAWRGADATVRG ICRLDGGARTLAPVCEETGFDLSWPRAVHPRPRPDGRSSVVSPDDREAEIYCLDVSIH DLPDPSWMPAGAVKSIRIVEGMPTGGAEPGSRREASAMSPVAELSPRRILAEIPVQAD GSFHAKVPANVPIQLQALDGRGLAIRSCGWIWSRSHQAQGCIGCHEDPERTPPNRVPE ALRTDVANATVPDPACPTPDFARDIVPIVESRCLPCHGAGNQTPELPAAGARDPAALD RLHAGLLGAAGPGERAIWCGTYIHPGRARTSPLAWHVVGARTARPWDEAEGSRGFKPI PVGRAPELTDAEIGTIIRWIDLGARRGAIPEARRQAQSGSNP OJF2_RS24525 MSLDKSLKKGGGLARARNVLTRAERLAVLQEDDRWKPEQGVFNL PKTKFRRLAPGQSGPKRVEKS OJF2_RS24530 MSKLTETEIAARLPAAKGWERHGDMLTRTWQFPSFRRAIEFVNN VSALAEKSGHYPEIHIQFRDVRLEISTHDVGGLTERDFSLISDLAAIPTDR OJF2_RS24535 MMPVLSLFCVLIVAVSVLGGIFPLARLLDHTRLQVYLSFAAGSM LGAAFFHMLPEAVEGGSPSTIRWTGVGLLGLFFLERFFSFHHHEPQVPSPEGGHDHGH ASHGRHPSRNTPDALSWWTAAFGLAVHSLVGGVALASAVAADHAARGAIGATGWGVFL ATLLHKPADSLTIVTLMLRSGAPRRLAHAVNLGFAAMIPLGVLLFVLGLGGLSADAAS RGRSIALAFSAGTFLCIALSDLLPELQFHSHDRWKLSVALIAGFALMAGTASIELF OJF2_RS24540 MTPREAQLEQRVRQLESMVKQLSAQMQSRQGVGAGGAAPDPPNA ATAVAPSATGGVSGPGQSLPPNPPPSSRFDSPPVLANKKASVKFGPGFEIRSDDDEFI FQFHNLTQFEYRGYEQSNEQGAVRDSFLIPRQWFMFSGRMSKPIGYFVSLANGFDNVT ALDVFMDFDFDPRLRIRAGRYKAPFTYEFLVEPVQGLIQPERSIFFNNFGQNRDLGVM AFGRLFRDTFDYAGGIFNGNRNGYIAPADSKFGSAFVNWKPFANAEGSLLQNFNVGGS VFGGNSLQQPAPATFRTVVPLVGNAVAGVPFLALNGNVRESGPKTFWDMHAAYFYKQL AVIGEWGSGFQDYALANTPARRTRLGVQSFYAQAGYLLTGETRSSVGIVRPRHPFSLK PGTFGLGAWELVGRYQHMDMSSNVFSAGLADPNLWANRVEIVDLGFNWHINQYLKFYF EWEHAMFNQPVEFSPGRYQINSDLFLARMQLYF OJF2_RS24545 MNIASSSRGAVPLLSLSLSFSLACMLAAVSGPGLRADDEPAAAV KLGLHRGPDGRDLDLTAAPDGITVLLFYSPECPISNAYSPTLAALVDDYKSKPVKWAG VCVDPEMGDKDILEHARDFGLKFPVLRDPRGSLARKVGATVTPEAFVIDDAGKVRYHG RIDGQFAKRGVRNANPAGNELKDAIAAVLGGRPVAQPFVAAVGCPIPLPKEADAHPSY SKDVSRILQQNCQECHRKGQVGPFPLETYDQARKRAADIASVAEDRSMPPWKAAPGIG PKFKHDRSLTPGDIATLMSWAEAGAPEGNPADLPAPRRFPTDWVIEGGPDLILDIGTD FEVPAAGGDIYRCFVVPVSLPADQYVSGIEYQPGNRRVVHHVLSYVDVSGEARKRDEA EPGPGYTCFSGPGIEVVGDLGGWAPGNQPTQLEDGIGRALPAKCDVVIQVHYHPSGKP ETDRTRIGLRFARKPIRQVLHWNAALNTDMKVPAGESNAEVQASWPVPTDLLAHAVVP HMHLLGKDMRMTVTFPDGKTQDLVQINDWDFNWQYAYYFESPIELPKGSIVKVVAHYD NSDSNPRNPNKVPHEVKWGEATTDEMCIGFIAVTKKGQDLTRPGEKDDLNDIFKAQSE GYEKKRAEGRRPRRDG OJF2_RS24550 MHPILFEMPAFGLKVHAYGVMILLACTGALSISAWRAGREGLRV NSVYELAAWLFTGGFIGARLLFIAQHPELVHSAADIVRSWQGGNVFYGCIMGGLVGTL IYWKRHPFPFLPMADAVAPGLAVGVMFGRIGCFLAGCCHGAVCGASWGIRFPAGSHAW MAHLDQGDLAPAAAWSLPVHPTQLYGALAGLLILAYLTWFFPRRRRDGEVMFWMMVLY AVTRWPIESLRGDDDALIAGMTISQIISIGLLALAGMLWVRLRRTPPGRLADGARGTM AAPAAAPAQPSRRGLRPSARFFS OJF2_RS24555 MPDARTHRGPDPRDDEAFGPDAVAALRGAVEDLAWLLGRGYAVV SSLKLVGDRWRLTERQRMAVRRASCSDEARASRLARRLPAAALAGQELLIDGFNVLTT VEAALGGAVVLDCRDQTFRDVAGLHGSYRRVEETLPAARLLGERLRAIGVARPRWLFD RPVSNSGRIRAALLELAAEEGWAWSVELSDSPDRELRGAARAVATADAGILDRCGGWF NLARDAIEAGCPTARIVPLWA OJF2_RS24560 MSEGTRTGPWKIERGPGDAATLWFNSTQHSQNVLDAEAIEGLDR SLDEIEADSSLRGLLVRSSKEGGFCAGADLRAFRTCATPEELAGFLRRGLEVFDRLAG LKIPTAAVLHGNCLGGGLELALACRRRIALASNVPLQLGSPEVHLGLIPAWGALVRLP RLLSPRDAMLLVLGGNPIGFLQAKSQGLVDRLVSSDEPDRIAEAISAAEPAGESPLDA DAWRPELEFAAAKVEEQPPDHPEAPQQILELIKLDIAEGPAAARARAIEVSSELAFHP AARDAIDDFFGRRTRARQAGEAVPVMPTGGLSSLSDSPPQSRPSPG OJF2_RS24565 MTPDSRRVTSNLLGLVGALVGGTAGYYTFHWILDHGFYGLMIPG AMLGLGCGALSRHPSQTRGVLCGIAGLILGLFAEWTYSWFKADSSFAYMIAHLHEKET LTLIMLGLGAFFAYWLGKDAVLGAAASGGTQAVSAERREKPAE OJF2_RS24570 MAKRPAKPLKSRDIRGVKYVERLLPLLDALHEVGCDRDRAGNRC LFYDQYCMLVLLSMFNPVVRSLRAIQQVSGLRNVQRKLGCSRASLGSLSEAVEVFEPG RLLGIIDALAADAGPVRDVRQGHLAHALTAVDGSVVKTLKSITEAAFMGDKNGGSHSG WRLHTHFDIDRGVPVRIDVTRASNSGKDDEKNRLRERLEPDHCYVMDRWYAQFTLFRD IVAAGSSYVCRVRDNTNLTDVVEERPVTEAAKAAGVIRDVVVNLGGDRKEGERPGHPV RIVMVRTTPHTKRGGREGGTAGPSSDGILRIATSLLDVPAEIIANIYKHGWTIELFFR FFKHVLGCRRLLSTHEAGIEIQAYCAIIACLLISLWTERKPTLRTYEMICHYFTGLAG LDELVAHLEGLKRAEEAKRAAS OJF2_RS24575 MPDKILALFSSDSRELYMADAYRVLALPPGYSIQLRYRRKHIEG ATLARITTLRGRRGIVFFVSGNKPDSGLEMRLTSLRRFEVADVQKDSNIGTFNFYIKL GDFADAAPLHETAAALLPPAAFVSELSVQEGPNNAWLDRVNAVAGFFPNLTFFLINGI YHGQKPVPPFFNADTRASEFHLDEESRYECRMTLHVPEVRPTGISTSNSSTVAQLNVP ANHRVGAQNDTAVFEIQTQALQQRELACSTYLWDRCGEVGGKSEPEAWRIELRWRVRR GRAKAVLFGGLMAAAATGVAIAKYAADKIAVTPSSAKDWGLAVVGAVVIGVCAGWLYE FFNKK OJF2_RS24580 MERIIIFDGDDTLWSTMPLYDIAKARFARLVADLIPAADEAIRR LDEVDHANVARLGFNTERFPGSMVETYRVLCRETGSRPKPEIEAQLLEAGRAVFTSAV VAYPDAAACLARLAPRFRIVLATKGDPTVQAFRIEQSGFGHFFADIRILPEKTDRQFR DIVSAYGIADAAGWSIGNSVRSDINPALRAGLSAILIPRSTWQYEDESPLLSPRLFVR DSLAEAADLIIEMSD OJF2_RS24585 MHFDQNKSIGALGTLIVAKWYRSVHQQNLLISLDSAETRRWMNL EEDARRADLLGLSLENNVPIIDVLESKSGVDATSVYSIDGAGKISGKPVEQLVNTGRS VGAIFGLNEWKDHVLTPPRREILRNHLYRQGFTGKRTPQEKQYWERVLNSLFRGETKP VIRLNLILVNLGLNQQPLNRIVESEGSKIRLVHLNEESVSFQLGQPPLSPAVVAREAE PTSSEVDQEVEIEDQSAGLIEPEPAELEIEVSPNLAEQIKTTCGRIKAACQDFGIKVT EIDPEKVDIGPSILRYKIKLAPGEDSARLRRQAENIARQLAASSVPIIGFLIGTNFEY LDLARPDRQVVSLEPHLKSVALRDVNELPLHVGVDPAGSQYRLDLGDDRLPHMLVAGG TGSGKTIFLYSVVLSLVTAHTSKTLELVIIDPKQTDFTVFGGLPHLRNGEIIIDADRG VEAVKAIAEHDMQERSELLQKAKCRDIKAYNLANPKKIIRPLVVVIDEYADLVSVLSK KERDDFERVISRITARGRNVGIHLILATQRPTADVVTGNIKANMAARISFSLPSSRDS LVILDEPGAERLLRNGDMLLLLEGRLTRLQGYYVDPARLEKLIPKR OJF2_RS24590 MSQVEDFLGETVAKVVGDLIDRHHPGIRIQNVTNFNPETFLKQI DADPRPRVAVAGASVQELARKTSFPAKLLTSDLSEATEWRNDPEVTQSVVVIALGEEE RLGSFHRFTEIRDRDIYLEICRLAEETLCPNKVQIDWWSVLRKVDVMRQVSVFRLASY YLYLKSKSKQVPEASRAGLFHLGLLPSKEFFEHASPAQLLRNYQANRQLTNRIEILSN ADRDRLNRGVEAASEEDKPGLRSILGKVLKYNRSGGDNDRAVLLAEDVKALFEAKKKV EKPKSSRSIPIERAGIDAILGGDDDELTQLGEKLRDTIKNFEENETPNVALDLTNRSE QATARIPPLLVRVLARTISADLFGGKFSSPNSETLEAALDDLDNADFTPFSSQGEKSC QGLLKRIVEAKLLEPEVYGMWEAFAKARATLAEQAVPIAISPMVALASDKKLLAAGKK YLDSYQDLMAAIRDRYETMSTQSAKGARHLCAQLLVLDTILFETAGGVRAILSPLHPL HLWKFVRLAEQLRDERKTLSDDYKQVLGDSAEKLPNFVTALFVPEGLASNLSPLVLPE SHQIATLPCYQQENPHYAGTEGQDRLLRILRKFLVLYPHAKKSMRVCLVDPPDFPGLM EQVANQIANEDLPLDGMHLSVYRTLDRTVTLGNEDQQLEAIAGIFAAENNPRFVLNVY QARTTYQDILNELRQDPVHLLAIFDPSRSQVGQFVSRDTGFIHPLVLPKEFQYDPYED QLVITPAATGDLFDLYYSLQNRLNNSLTGSHFGISSSLGPGFPSAGELLKHSTWLVLG DRLMDSLPLNGGHMISFEPGLRRDIIVLSESLTKFERAFGYYLRKVNLDPTDEALREL IASSAELVGEGLLGLIRPDGDD OJF2_RS24595 MSSLKETFEDLNRLECFGFSPTSSSTKPAHIANGWFRRVIGKRY DPVLLNQTVIHWTQNGEINPSEKLLADNPSVFEPFQQSGRLREFKEFRSDLKLLVSPA GGAVNKGNRQSSYNITCEQHLTEDYNDRSVGAFLYHLIATELGGARSPVIDLLVDILK KPKDEVSAITAPLICNAATADVVVGNYPAESVFKKRGKQFQSAVLQNLRVGFDNIADF EANYGGGLEALRRLVAFGVFSVLIYMANRRAELAGTAEIVPQLLYFPDRQRNTAYLAS HYTYNFTRQAIESLYTERLRVWLEPRIGPKPTAKKCENFAGELDFGPASTLRQEQFLM SYQSYVSQLTSLNAMAEALRETIFRDLSGTPLDFYRGLGVKLGYLRPAGNNAVRKYYT LEGVLLEAVLASVLPRGETTFRQFLDDIYARYGLITGGRPEDSELLMSNGIGHATVQD LRANAGEFRQQLLSLGWARQFADGVLVVRVPEGFQ OJF2_RS24600 MSVSVDFLSGLYNKHSCYADRLTDEQIEAIHVSTRLEDSLRKWL QGKKDIVLLGNPGDGKTHLLRRLQEIITKVKAVVVPDATAEKQYEGIVRKWKNASDNR RPFCLAINQGPLNRLLALKGHRLPQLEEVSEQLHSLIYYDEPPKTPKKVVVIDLNLRS VLTPEIILRTLKNLLKGEILDTCPECFADESSDVALNRRAMLEPQVQDRIVRLLTAAS YSGRHITMRDLQGFLSYMLLGGRTVSDMLKNPSNRDYRYFNLCFDGEGELFDAVRDAF LPERATTPEVDEHLWENTGVRDGWLFERPPLTPDHLVDAMEQFVTLKRQYFFEHTDGE KLLSLNRDDNSTFFASVNAGATGPERNLPAVLRAINSFYCPLRTEEGQYLRLWGSQQY DGHEPRVLVSCYQVPRDRFELQVPKLAPWLAEAMDHSPDHVLLRYKGKSDHPIGLRMD RGFWRALSLAARGLPTSLRSPQYSQSLQTFITKLYRVEATPQQFENIYVYNILSGRQP MRVTADRQNGVYIPS OJF2_RS24605 MGTRNATLRTDSILPSFRGVYLERFLRLVESIDALNPLEQREAV GRELGWCRGLQHATLDRLKYQAMLMVLSDLMRQGWQTQFRQRSIFLTRPDYTRGKHLR LDHAFVKEQIRNAFREERLAKITGASTVRFIQSMENPPKNKLPVLELITNGRDLADEL RRLPAEPSLGDLRKIVQPYLQLARAEARDAFTGLKLLDIWRYFRYLWAIPYQPTPGRN LFYLVRDAAKPNHPVIGIAALGNCVVQLSERDSAIGWSLDGIEANLRRRQRSIIRDRP KGASVPRITDIEYLETERAYLNRIQRYASALAETLARSLDGELAILNLEGLATAEECA APTEATIRRLLSIAENSERERQDELRKTHSRGESAKRTESSATLSEETTSPLYVKKRA QAISDILFARLVFQRHRLSETPLESLQRLLTVDDGRKALRIALHSNKKTKIGSSMMDI IVCGAIPPYSEMLCGKLVAMLMASPQVVSDYRELYGDQPGEIVSRLAGEPIVRPADLV FLTTTSLYHVGSSQYERIRIPGPRKREVAFEFIGHTEGYGSTLLSSETTDCLRQVAVQ THGMRRVNNVFGEGVSPRLRMTREGLALIGVPQDLVLRHNCPRLIYGVRLAKNAFEYL RGEAGEADYIFSPAKSREGTESIVDHWLQRWFLPRSRREESLGKVERFDKEELRLSRE TIAVGASGDNFKPGELPHVRIG OJF2_RS24610 MIYQRSFAIESRLDTLLSLIRAGSHSTPALAELLGVSVPTVSRC IRALRDRGYAIEAKRVAEGWSYRLSSEHPEGRVATSDQG OJF2_RS24615 MRIIVTGDRNWYAPDLAEQVLNRLMVRYGPDLTIVHGGATGIDR SFAEACGEIGIEQEVHTTRWEELDHPEAVIRYDKRNRPYNANAGPIRNQAMVDAGAKM CLAFHRAISASKGTKDCSRRAIAAGIPTYLIASEAAEPKRLAAGDARLR OJF2_RS24620 MTPAEFAQHAEQIAGPRWRTALGPMIGKGRTQVWEYASGRRPVP ATVEKLMRQLAKLKLAKRTPPR OJF2_RS24625 MTFAAFLTCMLIILFLFALSCVVFGPPVPYYGYADDEEIVTTTT TTTHYDEPTRPNIVGDLRVRYTEGDTPQRFVIDPVDGQKFWLNSNDDMYEDADGKIWR LIA OJF2_RS24630 MARGGFSSGGGRGGFNSSRSFSSSRSFSTPSRSYGTVTRSYSSP TRTVTRSTTVVRSTPYFGGRYYGGYHYGYGMGWHPYMFGGPFGMGYMYSNGLMEGIII GSLMHPAGTTVYSGPGYGQPALLYPDGAVVNQQGYQVGSYANGQFSPMANGQMLAQQA PSRDEAAPVPQAQAVQPTPVIIQQQSEGWSEGQIAVAITLSILVIAVFLAVFF OJF2_RS24635 MRGIPLGWESNSPSVFGFDRPASSSGEIICYDGDAPLCAIAPTG SGKGRDLLIPLLLTYPGPLIVVDLKGELSAVTARARLELGQSVHVLDPFGVTGRESDR LNPFDLFSLEGSMLEPDAEMIASLLGDGHASKDPFWSDTASGLIAGLIAYVATCPPPE PRNMKALRSLLYSDDTTYALAVLLDTKGKAMPSYAYAEISAFLQHADPPTRPSVLATA RSYLKAMNTDQVSACLGDSTVSLRGVVEGSPQTVYLTIPPEKLRSHRCLLRAWVAVLL TAVMRRREIPDRRTLFVLDEAAQLGTFDPLLTAATLLRGYGVQLVSVWQDLAQMKSLY PQDWSSILNNSAVLLAFGFGHYSACRDYAEVLGLDAGDLMRLAPDEAALSVRGEGTRK VRRLNYLRDAMFQGLADPNPYYRRHSR OJF2_RS24640 MAIPDETIRRLTEPPSAELLQRVARELYETEPLRGSMPYGGGDF VAEWDSPMLSDGARECCRRYAATVIASWQRAVLEG OJF2_RS24645 MARKKTAAPKHVEPEDESQDVEEPDTEDQDDEPEASGGKGMSKA EAIRRVMADGIDNPSVGSQEIRKRFGIDVTPQHFSAARSQMKSRDAKKSGAPKGKPGR KPKAASQGVEGYLAPPPKQQGTGGEPDLLEAMEAMKPLVNSLGADKVKRIVDLLG OJF2_RS24750 MSKQFLGKEAVPIYGWTEGVPVDQKAVDQLRNVARMPFIHHHVA VMPDVHWGMGATVGSVIPTVGAIIPAAVGVDIGCGMMAVRTGLVASDLPDNLFAMRSD IEAAVPHGRTDNGGPNDRGAWGEPSPDADWTFSTKLMERLQAIVAKHPDLEKRANRAP HHLGTLGTGNHFIELCLDENQAVWVMLHSGSRGIGNKIGTYFIERAKEEMRRWFVNLP DIDLAYLPEGSALFDDYVEALHWAQVFAQANREVMMRSVLAVLDRHFPGKLGNVDEVA VNCHHNYVAKERHFGKNVWLTRKGAVRAREGDLGIIPGSMGARSYIVRGKGNQEAYCS CSHGAGRVMSRGEAVKKISLEEHAKATDGIECRKDAAVLDESPAAYKPIEAVMAAQQD LVEIVYELRQVICVKG OJF2_RS24755 MREGLNDLPALALGFAKCAHRQQKRKYTGEPYANHCRNVASIVA DYTNDPDVIAAAALHDVLEDTQVTPAEMRDVFGERVTLLVIEVTDVSRLEDGNRDERK RLDREHLARSSAEGATIKLADLIDNTSSIVKYDKGFAKAYLKEKELLLDVLQHGNLDL WTRAFLTLQEAQRELIHASLEPGQA OJF2_RS24760 MTPAELRAICDSLNGKYGKGGQTRLAERLEWDDSTIRRKLAGKS RITKVDELAIKHVTECQPASEQP OJF2_RS24765 MNDHQDLPPTPASTGEKAKARDILAAIRALKAVEREQRPATDDE MQALRRFGGFGPVALSIFPDPKTGQFKPGWQEIGDELRSLLTPQEYDSAKRTTFSQFF TSPAVMRAMHEALGRLGVRQDATVLEPGCGVGNFMGHAPAGQRFIGVELDGISGRIAR ALHPEADIRIENFRDSKLPQLDAVIGNVPFADIKLEYQGERLSLHDFFIAKSVDALKP GGVLAVVTSHFTLDKQNAAARELLASKADFLGAIRLPSNAFKAEGTAVTTDIVFLRKR APGQEPSHADPEWLETSVLGIQGADLPVNRYFCNHHEMVLGDWSREDTLYGSGFSVKA NGDLAQQLREAIGRLPRVEQSEPERPNPPPSAADTNVGRKSTPSEPAYIPPPFLPHIA EGSFFVGEDKVVRQMENGAAEPVTYGGTLLRSDGTMTGKRLAALIGLRDQARRVLQSQ NEGWPQANREQARNYLNRIYDRFVAAYGPINKTTITETKDGTIRRMPNLVKFREDPDA MLVMALEEYDEATGKAAKAPILLRDVVGPKPPVTHVTSAEEGLLVSLNDRGCVDLAHI ARLYGKPEEAIIAELGDLIYRDPETKQWETADAYLSGNVRAKLVAAEKAGPEYARNAE ALRQVQPEDVLPGDIDANLGAPWIPASDIKAFAEGLFGGSFTVGHLKKDAVWSAEPDY RAISSVAATADFGTGRINGTELLAQALNLKTPVIYDIVRGANGDERVLNPTETAAAKE KQKLIKERFKAWIFADPDRTERLVRDYNDTYNNIRPRLFDGSHLDFPGMSKAITLKQH QVDAVWRCMTSGNTLLAHCVGAGKSFEMAAAAMKMKQAGIIKKPLIAIPNHMLEQFSR EFMQLYPNAKILVASKDDFTKERRKVLTAKIATGDWDAIIVTHSSFERIGMSRDYQEK FLREQIAEYEALLVDKASAGRNIIKNLEKQKANREERLKNLLAGDKKDDGLVFDELGV DQIFYDESQAGKNLETATKMERVAGIQTGGSERAFDLYMKARYLSEEHPGHGVVFASG TPISNSMVEMYTQCRYLDPEGLRSRGIEHFDAWAATFGEVVESMEISPDGASLRPRSR FAKFVNLPELVQMFRAFSDVQTAEMLDLPRPKLEGGKPITIACPMSEEQAEIQAGLVK RYERLRNEKVDPREDNALAITTDGRKLALDGRMIQPGPDFPGSKINALVRNVARIWEK TADRRGTQMVFCDMGVNPTPWGFSAYDEVAAKLVEAGIPRQQIANVGDADTDAKKQAL FEKVRQGTVRVLLGSTAKMGTGTNVQKRLVALHHLDAPWKPAEVEQRDGRILRQGNDN PEVAIYRYVTEGSFDAYMWQALETKARFIAQVMSGDCAVRKAEDIGGQELSYAEVKAI ASGNPAVLTLAEADAELQRLAILKKNHLDEQYLAKKNLRDLPDDIRRLEKRLEGLTAD MATIAANDGVPSQEAVADRMKRMPEKVSEPYRTKLGTYRGLECGMILHPLGGTEVYLD GTVRCREQLIRDNPGPRAVLNALQRLADGYDYDCRSLKAEIGVKQGQLRDYESRVGKG FAHADYMSQLSDLRDQLKVGLSENPPEGLPPVAELAEKIKALRESNTVEAAPERTVRK AARAERPVTARIRERMGPVEAAQEPVVVTPTPEPAREPEAVQQAVQEPVVIPMPEPVK PMNGHAKAVAKRRQEKEAQLRLF OJF2_RS39440 MAMQEGNRKLALAMSVLSVVMVGTMVFRELKHCVQEQIREHDRN CEHSGGRCR OJF2_RS24770 MWTHWSDIQNQWAVVLAAFWTLLGAIVTLASMITPLTKTPEDDK IVDKLKGLLHQFSVTNPRQPKP OJF2_RS24775 MSIESLLQQAEDFFHSLKSHLSPAVQADIKAIQNAGHDLVNAAQ SYIQKNGLQDLYAIALTLVGAMAPGASWSATLAAIEAQAVADGKSLIQGAVAVVAAQA QADLLAAGKSAGLPTSAA OJF2_RS24780 MRLLSFLLALLFTAQAVAFPHGGSSAYTSTIPSPTKVWDWKAGV PAGVTFTRPSPESCFDSNGKLQTVGNDTPCIDYSGPAPNFCGTLFQKARTNYVLWNRD LTNPVWTATGMTVAKDQVGIDGASNSASSLTATAPNATIFQTLAVSGTNQRVTYYVRR ITGTGAAQITGNGGTSYTNMSLSGVYGRFLVTATGTNPTIGIRLATAGDKIAVDAVQD EVGTDATSPILTTSSPVLRSAESASASTSGWFNSSAGTLEAEVMRSSTASDEVDFAAS IDDGGALNNISVTTSTNLPGGRITKNGTAYNSAGMLPSYAANILAKVAVSYNSSGVSS AYSDSNQDLVSTQFNSIPATDISNAVVPTGMTTIRFGNSQDGTRSLNGCLQRVKYHTG NLTTSQKPATTYPDTAGTSVGTVTANLASTGVTIPIDYMGWSLETADLVNTSFYSGSN TSLQNLVSTWLGPNCYIRVGGNSQDTNPAPAITQTVIDNFRDFQNAACPGGGISWGLD GGVNDSSLAVTHAGYVLNDFPASKVDFAASNEPNIEMPGNISGWAAIFNSYYTALKAA YPSIKIEAAESDNLTLDTYIKATTAGISNLSMASFHSYLQGPSPKPKVSEILAQVGSV NWALNSSYGSKLALTETNMIYTGGAQGLSDRADAPIWILQNAIAQAPLGYKHLLQHNV LLAGDSTSYSHIGYYNFATQANCGGGWCPTPILYGMEEISKISGQQIVSNSISGLNAN VQALCVKKPSGNARCIIINADQSNNALVYPDQSNPWTTANTYLTAGNGCTDNSVTMNG VAIGAGGSWAGSPVAISKGMPVSLSPCSFVSVDFQP OJF2_RS24785 MLARLLLALLALIVSQPAWATGGATGFGSTFGAGATDSVQTGYT TGPAAQTSVSVWLFINGAAGTNRVFDQTGSSAHSNVQLQPASTTSLSYTAGFTTTDAI FTFPIGTVSRWHHVCLTYDNSSTSNKPTVYVDGAAATVTTSTTPVGTAVSSNAKPIFI GNRSAANRVFDGKLADFAYWNGVLLSANECAGLASGSSPLKIRPASLSMYLPLMGIGG AQPDWGPSHVTQTVVGTVAQPGNPVSGYPLQELGK OJF2_RS39445 MLAKFKKSIWSTIAVAALFSGVAAQSFAGGPLYQLNSGGAFNPS TDKVVTIRNGGGVNNPDVQTTLGSAATQPSSAFLNTANNLSDLASASTARTNLGLGSA ATQQIAAFLQPSSNLSDVGNVATARTNLGLGTAATQSAGLFAQSITLNTPSLLYSSPI NFTNTSGAFSGTLSLLTQSANTVLAGPTSGSAAAPTMRSLVAADIPDLSGTYLKKTSN LSDVSNSTTALNNLLPSQVGNSGKVLGTDGTNASWVTAGAGGGISSVVSGSSDIAVDT VGGTATVRSTQLINAQGTAASYTVVASDMGKIVTHNRSSSVAQALPQAGTTGFETGKA YSVVNLGSGTVTITPTVSTINGNSSLTLAQGQSAYITSDGTNYVAFLGASSGGTGSGT VNSGLTNQLAYYAANGTAVSGQSLSAFMDAVLGSTQGSILYRNGSSWVPLTPGTSGQF LMTGGAGANPSWSTPAGGGNVSTTGTPSSGQLAKFSGSSSITNADLTGAVTTSGGLAT TLSNSVVGVANLSATGTPSSSTFLRGDNTWATPAGGGGGTGGPVIQPASYYTTDTAGN LYPGVYTGSGGNASAHEAGWTIPASLAANATLELRFQMPSTIPGGTFKLVSYCLANAS TGTAKYTVSDANVAAGASPSAASLTAETQTSITWAAADQYVVTKTALTSTPTADGVSV VAVTFNTTGWTLAQPVTCRWVELWE OJF2_RS40140 MTALHQDITIEQGADYDHPFFLTDDAGEVDNLTGVAFVMQIRDS FGNPTALLTLSTADGSIAVDVDSGAVRPVIGYAVTAAFLPGQYVYDLKSLETNGRVRR RRQGKVTVSPQVTTIPVPAPAPSPSPAPAPAPSPAPGPSPSPTPAPSPTPYTDAAGNA YTDAAGNPYTS OJF2_RS24795 MILTLRRISQGHFGTFGMLLAGDKPLCVTCEEPWKANAPNVSCI AAGTYSCIRHDTPKFPNVWEVTGVPGRTGILIHAGNTIKDTRGCILVGQEFLRNSDFT IYGVGKSRAALDMLRQTLLDAFTLVVEAVPPDGPGGK OJF2_RS24800 MTLDAETIRWLLSGFFILCGAIISYLLNREITRVDASLTEQKQR VEGNQQELNDFKLDVAREYVSKIDLEKQLDTHLSPIRDDMREVRGDVKALLQRP OJF2_RS24805 MFLNTNQIAMVALGLLAQAVLGTNTVADGLACTPTTPASLSVLV GPGSIYSVANLEATAYGSLPADTTDQIVKQGIVLGNTTFNCPAPVTSGQSVVYLVQAQ YQDTDGGSTVLPYYNASNPAVPYSGPSNSGNPQNTIRKGVCFLSLKTGVAATTGTQTT PTPDAGYVGLYAITVANGQTTVTSGNIKQLATAPIIGKKLVDMLTAIQQDTPNFALDT SGVANTITVALTPAPAALTDGMVVRVKVANSSTGATVMNVNGLGNVACKTTSGADFGT NTVVANGIYTFVYDANGNRLQLQGFTAAAATGLIPANNLSDVSSVSTSRTNLGLGTAA VKATSDNTKSTVAAVSGSITAGHIATFADSSGTVQDGGSPVFTKSYSTTGQAISLGGT LTLTHGLGAAPKFIMTSIVCTSAEAGYNVGDELIVSLGGPYSGTSVTATGGAMTVDAT TINIKWSNGVAVFNSMLNKSTGAVVSLTESKWTLSVKAFA OJF2_RS24810 MATGDQKDIVSRLKALLPDAWFQGETPVLNALLSGIGSALAAIY GLTAYARRQTRIATATDAFLDLISFDFLGTGFPRKTGESDDAFRARIRAEILLERGTR KGIIRALEILTGRTPIVFEPSRPADTGGLNTGSMGLGLAGGLGSLALPYQVFVTAYRP IGQGIPYIAGLGNPQGALNTGSQTELASLDMIAGAVTDASIYATIDAVKEEGTIAWTR ILS OJF2_RS24815 MALQTFSFSQIVSNIATAMQASATAALNFTKGSVFLAISQATAG VVLWLQAIILQLLTLTRAATSVGSDLDSWMADFGLTRLAASYATGQVTFSRFTPTQQA VVPINAAVQTSDGTQRFFVTIDPTNSAYNAGLGGYVIAAGVSSVNVPVQAVNAGSGGN VLAGSIAVIATAIPGVDTVTNASAYQNGVDAETDLAFRIRFVLYLASLSKGTGTAIGY AVTNVQQGLAYTITENYNYAGAYQPGYFYVVVDDFTGYPSASLLTNVGNAVEATRGLT ISYGVFAPAVVTANVGLTITSAAGYTHSAVVAAVATALTNFINALPLGTGLPYTQISS IVYGVPGVINASSILLNGGTSDLTANQKQKLLAGTMTIA OJF2_RS24820 MSDAFHYFGSDLTLSATGDLLTAEAADETTQRILRRLLTNIKGY LWEPGYGAGLPGMIGQTLEAAELSALITSQMYLEADVAHDPEPQIAVQQIPNGVAVQI TYVSNATGETDFLSFDVTP OJF2_RS24825 MIQQMLNIARREAERVMARRAMPKTGIVTAYDPDHYCAKVELQP EGVETGWLPIRTPWSGNEWGMFCPPTPGDEVEVGFQEGGKQAGYVKLRAFGDRLRPLS VPAGEFWVVHKSGSFLKFKNDGSVELHAAEDLNATVAGQANLAVTGKVVASATEFDLT GNVKVTGDITASGNIYDQNGAKDHIGHIRDVYDGHTHPAPGGTTNIPNQQL OJF2_RS24830 MLLPDGLTSLNNPRGPSGIARSPRPYVLLNGVRLPVQAVESLEV TNASHFTADTFRLQLAVGGLPADYGPAYWADSQFDQLSIGVSMNGETPRPLIVGQVDD IDWNPVGTEITLTGRDLSAALIDNKTAEKFQNQTSSQIAQTLALRRGLDSDVQATTTL AGTYYEIDHAIATHEETEWDLLTYLAQREGFDVWVSGTTLYFQPSPSETNPPYLLLVS RNADGSFVSNGERISLRRSQTLARDVIVKVRSWNQKQQRAFTVTAKRSQAKKGQRVGG EAQTYSFVRPNLTQEQAQRVAESLAEDITRHERVLNASLPGDNELVTRSMVRLVGTGT GWDQLYYPDIVTRRLSMAEGYRMELKAKNHSTQDTVLA OJF2_RS24835 MRTITVAGGNLYQIALDQLGDATQWNRIAEANDLVDPFITGIVT LQIPEIDPNAGGGVFAPA OJF2_RS24840 MAVLLTLGGVVFNGFEVPESIAMGGEQVLVVHKLPGGARVIDAM GADHRDIAWSGRFRGGNAEARARLLDGYRIGGQQYLLRWSTYRYQVIVQSFEANFQQP FEIPYSIRCTVVSDESAPVLTGIPAIDELIGSDLAAALGLSDSLGVAQVAGAIATVQQ AVNSVNSIKDAPTSSATQISSSIAAAQQLVGATITSTAGAVSAAPSIAAGGNPSSMAG SLAAQANGMGQLAQLYNLSSTLTRMGKNMTQ OJF2_RS24845 MSDAYKVGITIALTNQVSRGLMLIQGDLAKTNAQAIRLKATLKE IKLLGIGGAILGGVGYAGLHALGKTVEAAKEYQQALAQFKSLNFGDSVNSQADKFARG ASVIGASATDLMRTTRDLTTVLGDFGMAKQLAPGFAQLKFANQAVYGGHGLDFNEHQL RDLERIIEMKGGFKSPQDFLAQASMMQQVIAGTGGMVKPSDYLAFIKTAGVAGRLLDN KAFYYGMEPLIQELGGNRVGTGLMSAYNNLAQGRSTVRAATELMKLGLLDRSMVEFTK IGTIKQVRPGALKDNLGFGANPYQWMQDVLLPAMRARGITSEQGVLQELGVIFGNRTA SSLFSLMFLQQEKIAKNMKLSQNAMGTDELVKLARSSPQGAEMALGKAWANLKMAAGE ALIPIIIPALNKLAEVIRAIGQWAYRHPRLFDTLIYGFAGLSAALLFGGTVLTLKAAF LALKIAVPLLTTPLASMAALPLAGIASGLGLVTAALAALIPIVYHQQIAAWVDKHAPV IGDSLLAASDFLSGSKPSSSNRSFVPPPSGKTVQVSTAVNLDGRQIASVVSRHQADAA NGPATSPSGFDGRMTPSYGGSGS OJF2_RS24850 MERIRAKFCALDAVLDERSRRQWAAAEAREYGYGGVTALSLATG LARNTIAAGMRELEYRELHPDEPVSTRLRHSGAGRKRRTEADPDLAAALEALLEPLTR GDPMSPLRWTCKSTRRLAAELSGQGHRVGYRTVAWLLHEAGYSLQANRKTREGNQHPD RNAQFEFINAQAARFQKRRQPVISVDTKKKELIGDFKNGGREWRPEGRPEPVRVHDFR DKELGKAIPYGVYDVTNNQGWVSVGIDHDTAYFAAASIGRWWREMGAPRFPRATELFI TADGGGSNGYRTRLWKVALQGLADQIGLKLTVSHFPPGTSKWNKVEHRLFSFITQNWR GKPLVSVQVIVNLIAATRTKKGLVVRAALDEGKYETGIIVTDEQMAGLQLKPASFHGE WNYTIKPRSRT OJF2_RS24855 MELLELAAKLGEIALQQHEMEQHALEKAAKLVEKRAKEKIGEYQ DQAGPFIAWPDLAESTKADRARQGFPEDEPLLRTGEMRDSIEHTVGNGEAQVGSNSDI AVYQELGTQHIPPRSFLGGAVVDEMDRIIKIVGEDAVAALGTGQK OJF2_RS41245 MPFDVAFSLPPEEAMAWHIILGQQDGNVWDFEAGRWKEREK OJF2_RS24860 MGKVTVHKGAQGETPSESIVKAANKPILVTDTLGRSLGVRKMLL LDRMRMFEVIGPENSKNEAYVGYSALAFSVVSIDGDPVARPANKIQLEALVQRLGDEG IEAVAEHFASEAAAAASSPEDEKAALKNGSSTPS OJF2_RS24865 MPVNNYSIGKDISYSVVTPSGTLTLNGKTDYSIKPIFTDLKHKG LDGITSHGVIPDGWQIDMKFDRTDPNVDNYFAQLEANYFNGVNQQGGTIFENIVEKDG SITQFRYTNVILKYDNAGDWKGDSLIPISVSAMASRRIKVQ OJF2_RS24870 MPITQQGSINTTALQVPNLYVQIVAPQPALNGVPTNILGVVGTA NWGPVNSPSIVSGPNDCAQQFGPMQARKYDLGTAVYTASLQGASNFRAVRVTDGTDTA ASVTLGTGIGITFTAKYTGSLGNSLTVTVGTGTNSTGGAPTYKLTVNLPGQLSEVFDN IGGTGNALYANMAAAINSGQSGLRGPSQLIVATLGSGTTAPTNPSTVSLSGGTDGVSS ISSATLIGQDTNPRKGMYALRGTGASVVMLADADDSTQWTLQNAYGLAEGAYMVTTSP VGDTISNFASTLSGAGIDSYALKAVFGDWCYITDTVNGGITRLVSPQGFVAGKLAALS PEQSSLNKPLSGIIATQKTYARQQYSDAELQAIAAARGEVIANPSPGGSYFSPRLGIN SSSNVAVNGDNYPRMTNYIAATLNAGMGIFVGQVQSPTVQQSAKATLDNFLQNMFQQR MIQDWKVILDASNNPQNRVALGYMQADVKVVYLSIIRYFLINLEGGQTTVIVRNSSPN FQ OJF2_RS39450 MKVLVVTNPFGGREAGERITDPKEIESILAGEYAHHVVQADHDE APKPINKKEA OJF2_RS24875 MPDELWARIEPILLEFWPAKATGRPPAQWRRMLEGIIFRMRSGC QWDQLPERFGPKSTVHDWFRRWAEGGVLEGIWAVILAECDELGGVDWRWQSADAMLGK APGPGGEKTGRNPTDRGKQGTKKSLLTDADGGPLGVVIAGANVVEQKLLAETIEAIVV ERPEPSADEPQNLCLDKGYDNPRSEEAATASGYAPHIRRIGEEKKAVDTSKGHKPRRW VVERTFAWLSKCRGLLVRYEKNDINYLGMIQLACALLWYRRLYRLTQGKPKVAVT OJF2_RS24880 MADLSDVENVLVSLITQIVYPNGTAADSAVGQPCRVFRGWPIPA NLDADLKAGVVNISVYPLDGEQNVTRFSTDWTVLPSPRITLTMAVSGTALTIGGSVCC PLNAAIVVDGTAFIYPLQAKDTPTSVATALAALINTTYAASSNGSVVTVPGATRLETR LGTVANVVQEIKRQKKPFRITIWCNSPQVRDTVARLLDPALASLNDIALSDGTAGRIR YVRTHPEDGAQKSRLYRRDLVYSVEYGTIISQRAATIVAEQLNITGGFDPDALN OJF2_RS24885 MSFLYPRRISISRPTPTAGYGAQPYGGLSPDNETEIASDLAAHI QIDKGSLAPQAKLAADAAYQTFWKIIIKAARGLVQRGDVIADDLGNRYQVISADWGPM VTTLRAQVLET OJF2_RS24890 MAMVPYLSSGEYAPYGITDASASQVDAACRVVNTYLARPEGLLW SPDANGAPAYMTNQNPSLSLKLPAPINPGSNVTVTFPGQAMGQQLVGDVVILDRGTTN LTEACVITAVNGSTITLDSVRLAHLSQATVEFGLTLTQELPVPSNRPTVRLSRTPVTR ILSGFGRYALGRRSQQFAGQDLNTNLLGITAAFGGPPAWVPFPGDQTDVNPQTGEVWI PPGLLLAHFSDVRLRYVAGWAQASLPPDIKQAVANIVRAAIDSPFGGNIKSQKAGDAA MERFSASSIDKDTQALLQPYKALLMA OJF2_RS24895 MPKMTSPNDQGQHVNPGGAMQTLVPASVHGLPATIAAGNHATSN LILSDGLQIGAVGVTSTQTGLISVQRYLDDAGTIKQGAALTQALTANTAAVLNITDGN PFASFTVDISNTGGSQATLSNLGILLQGK OJF2_RS24900 MFVYLPHKKATHTMHICPAGDPRLKGEMPSDWVDDKNNPLTFQV EFRNGKAEVDDKIGRYLIDTGLARKTKLIMPEDE OJF2_RS24905 MPINPTLGAQFVDSMQAAADFLGNGAIEVNMYETEIFDLVRRSS PIMERIAAEPANGHPHRFFEQSAIGQGAFTDPRTISYTPGGPTRSEKVIYIKAMVNGS NFGLFDVQVTQQQGQFSYVEAKDVNDIISGIQVVRCQKIWQGADTSYASPSSIEYYGL LNQITQQATIAPGASIIDGLKAQVAAMVANTSYVVRPTAIWVNPIVGDFIDREAKAQG ITMNTVEVVAGVKVKSLSTQAGELPIISTDPFLPSTTGSSYGFSAPPAGYKNYFCAIL SEPLITRPYIDGGKHNGGIPQLFQLGLVGDLQKKFIAVCFDAILAKGSSYAHCVVAVQ RP OJF2_RS24910 MSQAGAPGTAGDGLYVRRNLTPESAASLIAWAKEQGFTNLVPEY ELHATVVHSRSPVWLRPKSGNVAASTGGRWVGALGDNGAVVLHIVAPDLETRWQEARD IGASWDYEGYNPHVTFTYDAGDVDLSKVEPFAGDLVFGPEIHEPLNEHWAEEKGFVKV DASALSVLPTLEAMAIDIPSTPGHPNKHPFKGILTRIDQPSDKPPGGSRNHRVILTRA AAEKALPTLLGMPIDLSANLSDHDVKRKIGTITAATIEGDAIHIEGFLYAADFPDEVA RVQSERSRLGFSYEMRNIYVKDITAASWEITDCVFTGAAILYRDKAAYSTTSLAAQAE ENTMDINEFKELLKAQGEAQEKAMKDALDAGVATVNKRIDAIEASAAEEKKKADEEKA AAAAAAKANADKVALELQAAAAKEASDKTIKELQDQLASQATQIKDLQAAAAKHAEEP ARKTLSPHITQLLARSGLTLPTGEGKLSVADVDKALAATSLEPVKRMEIKNTLSKAGL IA OJF2_RS24915 MSSKTKAQKNAAQKPDAETNEEAVSTEMTAPGDEPTAEASQADA PADTDAAAESSDPAQPNGPDAGDAAQPNQSEADESAQPKEPVTESPEAVASIEIVAEA PATDGLSTRLTRVVNAILTSGKPDAVHSLHKVELIVGTLKTSLGAAIEACEAIGDEAL KAELKSLHAVL OJF2_RS24920 MGFDLHTTHVLNGSKPPAFADRLLVGMANGVATPASANAVTSLG TITPGSGFTNVNTVSLAPSGGTGSGLQAVATSLKAVSATVVNQGTSGYAVSDTITLAN GVVLTVASVSSGKVATVTVSTPGSFAGQVATNPVAQASTSGSGVGTPTFNVSYGINTV QITDSGNYSVAPSMTVTDSAGGTGASIAAGTLGGNGNTVYKYIPFGLPSAYNVHVEAG MDCRHYVPPSLKTNSGFTVALVPTTTGTTIAAGSIDATVFA OJF2_RS24925 MGLFNNLKQRLGRRRNEPQRDTEVYPRIMQLLSGQRVGKGQPVF KPTPWNLRTFSTTPYARRAINTIKNPIAQLGWEVVPKKGVEENSEIRRQCELVTTCLK SPNNEDSWRSLVEKVVTDIMLGAGAIEMRIGGDAMRPLWLYPVDALSIQIYAGWSGDR REAKYCQVPGYGTMGGGGQGIDLLADELIYIAPNPSTAHPFGCGPLEIAFTTISRLLG VGEYAGNVATNARPTTLLDLGKATPEQLSAFRSYWTNEIEGQGKMPIVSAGGETKAVK LTADGDEALYLKWQEFLKTEIVTAFDISPQNLGVERDVNRSTAEVAEDRDWDQAIKPW AGLFASHINRDAIEGRLGFSQIEFRFVGLDREDEKATSEIFATYYKSNVFTPNEIRAK LGEPPADNRWGDMTAADAEIAIAAARGAAEVDDPALTGGKKQTAKQKPSKKGK OJF2_RS24930 MAMDAEGIRQSLIRRFEIIERLEQDPELQAIAMARAAKDCAWWF NTFAWTYDPRAPAKGLPAYLPFDLFPRQEELIGWLDARVDNAEEGLVEKSRDVGWTWL AGGYALHKWIYRPGFKTTFGSRKEFYVDRIGDPDSIFGKIRLLLDRLPVWQLPPGFSR SEHDNHMRLINPANGNTITGDAGDAMGRGGRSALYFVDEGAFIEHAEKVDAAIVANAD TRIWGSSANGTGNVFFRKRQSGHIPVFRFHWSDDPRKDAAWAAKKQQELSSTPATWAA EYDIDYAASVEGICIPAKWVESSRKLAKALNREPRGPGKAGLDVGAGRAKSVLVPKFG PVVLMPTFWQTVDNIQTTYAALEVAQQLGVTEINYDAAGVGNTVTSTLVHANTGGVTV SPINVGIPPTETIMPDGRMATEWFGNLKAQLWWAMRDAFKATHEHVRYLEGHEEGVPH PEDEWILLPDCNELIGQVSLVKWFRNEAGKIVIETKKQLSMRGVPSPDYAEALTLCFA QGGSGFNFAAI OJF2_RS24935 MYQKGESGNPEGRPKVTLEDLPEGWAEKALALYREGASDVEIRA EVFGGMSNDLWTRLMAEEQLFSETISAGRALSEAWWTKKGRTSLDRKDFQDRVYALHM MNRFRWDNRISNDTKLTGPNGGPIETKHSGAVAVDLQSKSLDELTKLFTEKLKT OJF2_RS24940 MKVADLRKAKKKRLLTLLLKVLGQNKRLKETVGRLTRLSGQPPV IRHPSHEDDFVPAGWTERHGIEIPRADGVFDSGTAWVRIKYGVIVGAGLKNQSILSLA ISLDLLDQGAEHHANLYRDWRAAFLSRLDPSRSGDEGSDNPDAWSKEDRYSKLIHRIG KEYLDAMDCIVASRPKAKQLAAFQAKQDGFVGAFKVVAKAMVEINQEAEQAQTA OJF2_RS24945 MSDIISDFLSAIRQLGLTPPDRVITDAKIHRFRSGPEHGENGFY SLKILPAHKGGDIGFGLIGCWKRGLSEKWCSHEANSLTEHDRKAMEKAREEQKKAVAE AAEEAARKAKWIWGEAKTPNPDHPYLAAKQIDLHGLREYKGLLVVPIYRGGSLVSLQF IAPDGGKRFLSGGNVEGGYASISGGVEDRSRIVIAEGYATGASLHAATGLPIVVAFNA GNLVAVAKSIRAKYPGAEIVIGADNDQWTVIRGKPVNVGIEKAKLAADAVGGRASWPL FAEDDPERPTDWNDYYRRKGLERTVAAFFGVGTDPIWEGQEAPPPDLGDPPEFPQDRE LVGSTAPHQTDWRTKLIPGKEVAEGHPFPFEGKSKTNAYLFLKNHLRFAGLLCYDEFA DQVMLVREPPWGEAEFAPRAIRDDDFFMLAANLEYCDISVSKDTAADAAIRVAKEQAI NPPREFLSRLNWDGKPRLDTWLTYYLGADDQPKEYLSLVGAKWLVGAVARVFRPGCKF DSVLILEGSQGLGKSMALRALSTFGGQDFFLDSVGDIRSKDTLMTMQGKLIVEIAELA SFRKSENEEIKAFITRQVDVYRPPYGRTVLKRPRYFVLAASTNETDEGYLTDDTGNRR YWPVRCKGIDAEAVERDAMQLWAEAVVRYREGERTWLSREEAVVSAQEQNMRFVEDAW QDRIGHILRGEVAIRVDDVLNKLELKPKDINNMIKKRVKNSLRKLDWYETRRPGEGRV WRRGDGAPPAEGSQSEPGDLFTETVLEG OJF2_RS24950 MSAVLSRVPALPSPNIIPSGEQSGAISDIVSWYRDRRGRQEFYV AGFAGVGKSVTANLAIEELKATCGVRNVRTAAYTGKAASVLRKKGVECAQTIHSLIYT AVEDEETGEVHFILSDDSPAADADLIVLDEVSMVNKEIADDLRSFGKKILVLGDPGQL PPVSGEGAFTNREPDVFLREIHRQAAGSPIIELATLARQGKPLPKGYEKDGVRVLPLT KESQPLIYREETQPICGLNRVRWVYNQRIRKLRGFEGETPQAGEKIICCRNNRDTGLF NGGMGTTLAAASEHEKLRGAWLMDVRMEDLRGPNLQLAVDPYLFRRNFTNGHAEKLPL RGTRLEEFDFGYTITCHKAQGSSWDDVTVIDDSSAFRDNRNLWKYTAITRAERGLTVL LRES OJF2_RS24955 MTQDQADQSLGKIIRGAIAKAMPLDYSSAVQLYLTVDLEGFGVV LIKVEVLGTQHAARH OJF2_RS24960 MIRYDLPAGEYHAHPARSKSYLWKHYSGTPAHAEYGVAETSNAM DLGTAVHLAALEPEKFETDVERGPDDRRGNKWKNALEAGLAYGRLVLTSGDYDKARRV GDAARKLPIVLQLSEAQILHEASAFWTDPETGLECRCRPDIYCPAFEIMADLKTTSDA SAFTWAKRAADMGYHAQEAWYSDGWQAAGGGDVDGFVFIVIESDYPHLAAAYELTPQA VQEGRMAMRKALLSYRDCRAAGSFPGYPETVQELDLPGWAYKETKLLSV OJF2_RS24965 MTEDLTTQTKPTSRQQLVVQRKNDIQLMENELKKMLPQSLPSDK FVRTVQTAITLNPDIAEAEKNSVLNACMKAAADGLVLDGREAALTIFNTKVKKNGQDT WVKMAQYIPMVAGIIKRVRNSGEVSRLNAFVVYKNDVFRVTYGLEMTLEHVPNFSDPG EAIGAYAVCRFKDGEVDFEFMSTKQIEGIRERSKSKDKGPWQTDWSEMARKTVIRRLA KRLPVDSDIARVVQHIDEDYDFQPSGQAVTPHDEDGVVLENQENAKPAKARGSAAAKL NPKPKQPEPGPVIENEPQDHEAGDASSNPPGDTDEPEDII OJF2_RS24970 MLRLMTLADWRKAEGISQEELASRLSATLGRPVHQPSVCQWESG SVMPGADVAEAIRTMTGGRVTGASFGRRPCP OJF2_RS24975 MPVSLKRIRQSGALIFLHTCEVCGAAASFGFGVSMRLALNALEA GDKASAKLHLGQWFCREHRPETAADTSA OJF2_RS24980 MMLTRTAFRTHQAVVLQSPTGSGKTQMGSYGAKSASEKGNDVIW LAHRRELLEGTGNTFSKTGIPHSLMMGGCHHNPRLRVTLASVGTLANRLEKTRPPRLL IVDECHHSTASQYDKIIRWVEANGGKALGLTATPWRLSGEGLDDHYDHMVLGPPVAWL IANGYLSDYRAYAPSAPDLSEVHTLAGDYVKNEIDQVMKGKAIVADCVSQWRRYAPGK RTIGFAVSVEHSQMMVEAFLRAGIPAAHIDAGTQSHIRRQHILNFATGHLQVLWNCDL FSEGFDLSAVAGRDVPIECAIQARPTKSLTLHLQQIGRALRRKPYPAILLDLCGNLAR LGLPDHPHEWTLAGRPKKGRGGKSEEAAPLTRKCQSCDVMFPIVLLSCPHCGAAQVSG GGGGRKVEEVAAELREIDREQARRERLKEQAGAKTLEELIELGKAKGYKSPEKWASHV FTARLQKQNRAG OJF2_RS24985 MCGFKDQENLNQADFKRTWPGFSDGARADGRYFLRTRDDSGRPD LKPIGPFPYVLPPEFCEENLYEGIRAASVEYFQRAGIKWHDGVALDARPSNHMRDSQI CCVNFFMPFANDQAALTEMLRPLFPTIETVLPIDGQYVAFEWIGGRDYLGEASSGAKR TRGEYYTSADAAILIRHTDGTIQLIVFEWKYTEEESGYRGSGRRKINQVDTRVTDIYR SYYDMADGPFDHSVIQRFEDLFNAPFYQLLRLQLLVREAVRHQELGATMGTLIHVAPS ENLATINATCPGLEGDSLANTWRGILRDAGSFVSVTTEALFQGIPLDRLPQLHAWAEY MKARYRFLAAVAP OJF2_RS24990 MSSAIEPGSNEAHDRLVKECLEELALMGVAAWENQRRAVQVNGR WVSLSKSGRGDIHVILPRQIDGQLFGIHGEVECKTGQSSQSPKQRAHMRVVRNSGGVY IVARNRMEMRAAIERLGFVSRSPIG OJF2_RS24995 MICGLFEIEHNRLPALAQEYELAKEHKREVLRHSDARNPDHQRR FSDAQEREEAARERYVAALVSRE OJF2_RS25000 MTRYSAHVHDPVLTRLFRAKMSDEQIAAEMNMDAQIVTRHRRRL GLGMPPPPKSAPVPRDLPSPTSPIFMAHESLGNRLQERPAGFFLDGRHVSTAAVVKEA NRVRLKMGLEQFGPEAWRV OJF2_RS39455 MIAAFIRKHGVTACPATGTKELAALNIEREKLLAEQVSRDATRR WRNRFRPKSGVSA OJF2_RS25005 MTLRDDAILLSGFIYGASPSIPEPIRQAIERVTSHFEEKAGSAA GQPEPEPLQEKPSSEVLDDFGGFSQTTEVHRASSTDSAAPAQPDPESTSVKKPRKTRN WSPEKRAAQADRIRAINQRKREANRQPLVENRDEPAVAEEPDPVPEFDEADLRITIPK PRRKSQLTDDDWPEIERMLEQGRTRRAIASDYDEDPADLDAFIASHQQKERPKGEAPA SPSGGTSDATRRPT OJF2_RS25010 MTAERDELLTELRTIWAEQHPGRPPKADFSDEVIAATTYLSRKI EKALKTRVIEPAFAEGFERQPTARKRGRAELAE OJF2_RS39460 MKIEIWQTVDLKWKIDVITGNRKVLFRSAIAYANRRNALAAAKL LKGQLGKAKIVEVK OJF2_RS39465 MESTTYQIIDLQTGNAVSGIYKFAQRNRARNRAEKLNLEYGAHR YTARPTFQA OJF2_RS25015 MSNYPDNFNGTPLDLTPAQERATERLDQIHAVYRDVLSAFVGSC IKNRVMVSRIDIEVAMEGVGEALVNEADNALTVLPAASYTPPDAKKLIERAHDAIVDA LRLKPIDYMSVFKDIFRPVPTNPATRGFGGDGQ OJF2_RS41250 MNGLVVFAGLLAFVAFGAFCYFTGMAAGWQDAMEQSQGGQP OJF2_RS25020 MKWLIISLGLLSSIPISDWLVEQQIRKDSDEIKREFAPFDRMVE ALAEQERCAIAERAKSPCERRGEPGYTKEQAAAMNDFVAFQLKPSPSKMVYRWANKRA AQIAEAYSLRPQDLDKTVDVRKMK OJF2_RS25025 MTHTAKFTTEDKPSGTVYYPADRLAVELAGVAGCRAIPEERMPY IRSLGRTLGFQITLLNGEPLPPKPIPHPRPRLDVEA OJF2_RS25030 MEKLEALKYRGAKQGSHMESGNGMVDACIAIVRQHEAEQPQEAV ERVARAISAWRWDQKTDEPWERLKPSVQQAYRDQARAALDAMGAGTGSAPDAQGVSDD PLGNLGTIPLGGNPAAPASEAFSRVEEALRFFKGNLLHDGDDENPYSSPTKELLEDGY ELAMEALAALPALKP OJF2_RS25035 MRTLYLFALVVLVESAIAVAIYQTRSLEATKAAHHFGWRVAGLC VDAGSTVATRCIVTPGFETKAQCDDFLGRVPANSMIVRYCEEIPSN OJF2_RS25040 MKWMLVCFFIGGCFGNEPKAENEASFYTQQECAAAAKAKNEQVA EEEYFNGPVHVSYLCVENPIYSGVRYP OJF2_RS39470 MKHLYWVVLAVLIGFLVGAYTYSRPYASRPWNVYPTQTPEEQDE LLYLNRV OJF2_RS25045 MKLTKQQSDRQYKFEVSVKIVERSVLSNDKLPELQFAIAEKIPC GVHPVDYLRQRLSEEIRRHASQASLDTKAPITTSGEIAA OJF2_RS25050 MPLLRTHWLTSPAAAKAYYKSADYYAATPGEWLGRGAEMLGLQG PANYADFEKLCDNLDPRTGELLRPHARDDGRVGMDLTFNSTKSVGIARELAGAGNAGD PRIEEAHRDAVRYAMSFVEADMQGRVRVGGKNEDRTTGNMVACRFTHRDTRISADDGR PDMSLHDHVFVLNTTHDPVEGKWKAAQMGQIKHDAPYYEAIYHNRLASNLRELGYGIR RKDKAFEIEGISDDLVKKFSRRREYIKAVAAKLGITTPEGMDKLGATTRLGKAKELAD DLNGYYVSRLTGKERQELASLKGRPSYQSNEQEAVRYAIGHLFERKSVVEERRLYEAA IRHGIGSVTPEGVKAEARQQGLLVKAGEATTREVLAEEERVVAFAREGRGTCRPMGMS AAPPRTQPTGQFQSIAGQRATSLPQSNPSHLATASSSAQPKPAISDLSPEQAAIARHV WDSPDRVILIRGAAGTGKTHTMKATIAGIDRPVVVLAPSADASRGVLRKEGFEEADTV ARFLIDERYREQARGGVIWVDEAGLLGIRQVRAVFDAAEELGARVVLQGDRKQHGSVE RGATLRVLEQFAGLPVAELKDIRRQRGRYKDAVASLAKGDILAGYDTLDSLGWVKEAQ GSKPLVDEYMAALDAGRSVLVVAPTHAEGDGITKEIRSRLKERGIVREDERDFKTLAP LHWTDAEKGDLERFVGTETLQFHRNSGTFKAGDRVRVADIKRGSSYKSPSHFSVYQER RCALAVGDTIRITANGKTADGKHKLNNGSVYSVAGFTEQGDILLGNGWTVAADYGHLA HGYVATSHASQGKTVDRVLIAMGRQSRPAINAEQFYVSVSRGRESATIYSDAPREELR ADIQRSDPRKSATELLGRPKPRPRKRDRLRTLTERVKTAFKQLREKAVDVMGIVREKE RDYARAR OJF2_RS25055 MPAPGSPYLAHARAANKPVYALHCLLGAQGVKSFEYVQKDSHSE FLASDQGQVIRLRFAGTKIWEVTIGGLNLWRLYDLIGQHRMPWIRQSDRGFPAGKDGE TLIQAIAIKEIEREQ OJF2_RS25060 MSRLAILIHSENADENSPLPGPRRDIENFTSFLLSPMGGAWDPR EFATEPHPTIDRMLELIDRANTVDYTLIVFSGHGGYYGRKMSILLSYGDSMLVDDIYR RIKTKATIVIDACRSTIREDVDLRTPQMAQVLTEGTDQSENYRALFDDSIARSTQRTT VVYGCARGEDAVDTPTGGLFISNLLNAASEWASSRRGDRPQVLTGIDAVFRAEPRMKL SGFGQSPAVDPVSGFGIHPPFAVWLPAAGR OJF2_RS25065 MTTGFKIPELRMPTLEEHKAASAKWLKRVGPCLYENWPQALKDL SFRTELVELTRADQETMWNMFDRDRDEAALARLTERLDAAIKAFDPDGCFVRLSSRSP KDFYYPGIPRLKTGEEVTNALLGSMRILDDLTEYRYADAACYLLLREFRSIPAHEEFR CFIREGRIAGVSQYQYRDFFPELIADRDSVAARCFAFLESILPKLHVQNIVVDVWLGE TPLLIEINPYGLSDPCLLDYAELETADRLFRLVEKQPPGED OJF2_RS25070 MKPFDNYEISPCRRFEEPGKPGLFYFEVCEPHDAHVWTLYGHIP GEGVMAIGDFASREETEETFQRITGIPFGTHEEVESRLRVMHAGARLLVACDNVQRAH VGDGITMAEAVDACLLAIAEAAGTRPIHPSADPLEALQLASAYIQYVKVRNPELHVEA DGSPSVEAAIAEAIGRAA OJF2_RS25075 MTADDFYKLVLALWGEDWRPRLLALLAKHGHHFTRQTLWNWRKG KTPVPDAVAVILNNEAKRKGIRL OJF2_RS25080 MHYHLEVILPPVPNVEEALKQILEPFNEQGEDEDGNRNSHAFWD WYVIGGRWAGAKLEATLDQEKLEAFNDELQKRKVTVSGVRAGKPKLQPDSQIPMVDAL WNEYFPDSPLKVCPFFAHFNDQYQNSDGFPDIMPLKDVPKELTASHVIIAGPGWKDDG SLEAKYMLQASIWNGVTFVDAKWDGKVQTAIDEHKERLRNAKPEYAAKHVPQDDWLVV TVDYHS OJF2_RS25085 MSQPAIKFRDGCLQVVVWRNTSTEGKTYYTVNPQRSYKTGDDTW KESDSLNGDDLLPMAELLREAYRWIREQKKADAMGRKEADQVTAK OJF2_RS25090 MRPIGIVTCVVVICGTLSQSAFGQSEPSYIDKIEVRRSTSLPDG TSMANDESLVAFKGVPTGSRLIWNGSDFGQFRKARLTQIRDPRQFVYQFQIIRPDGSS LARTINVGPKEYIEVSLNRVLAKKVVITQLDCIRHDGPVFDRPRLVYRADKSQTANAV QQNRMVSGDSWAVRLPIIMEHEASVELWDMDRLSTPDHLGTIRIDVESKDRRGEFNFD PNRKGVLYKVAWRIEPDDTLSEAMARQLRDLDTRQEFDQTLQTRVDNARALKEAQKRA ADHAKDEADQAEIAARKAREEYAKAVQDSADRKREADAAQAQAAADAITAPAKRAALE TEKRNKKSDLDRLGDELNNAIDAVKDIARTLIGDLDAAKRTRDRLQGRVDALKDEIAQ IDQALVDLDKSRLDAIHAVEKFNVAKALEDGKKSTQDVAERVEVTVSQGYEQAKDGVE NAVKTVQTALEIFTAKDIIVYRIENEMAFSLNVYTGAYDAKLDLGFAGVEMDTEDIKN LFRGQFKVPSVNPIKLIAASFGQKLSESSKYTVSRDSALGATDSHVYVASERFVRWGG PETAARLIGASIFDGGNQARAEARQELLLEFDRIYSWVALRAKADAPRLSRELFAAAL SKGSVAVPEFTLRPLTVPYEYRVEVMGEVPLPDGIKDILRSRLNNLIPGVNGGNFDLD HYGYAIEWKPKESDTHQKMLDRLESEMFQGTSGASAAMQDAMREAVSRLGGSDLGKLA SLVTAADFAAVQIENDIKSRIEGQLAIKKEDLAKWYVPGSPIIKLEGTPLGLRFKDFF SGIAMGNKGRAELVNLEFNVETFTFTGKAKVIHEHSWGSLSDLLSNF OJF2_RS25095 MNSSRFRYLTATAAALAVVLLTSLTSQVAAWDSRKFAHQPVHPT HSYFTEWAIDSLKADFPEVEQFRRDLVDGANEELHELKVTGTSHGIDLDAARIKHKGT NEGCDDIQGWWDDAAAAYKAGDKAKAYYLLGIMLHMVQDMGVPAHANKVYHQGNLKEF DNFEFLGVSNWKPKFDDINRTDPANAEPWKYYALSQDWTHADAPNYNDRDSFSKTWLL ASADEKKLFSNREGRTCHVTMWAMKAAAKAFKSL OJF2_RS41255 MTHILNEAACWLFLAIVLGPGWFNLSCFFFCLWAVENWRFRL OJF2_RS25100 MTGGSPRVPVRVVTEPEFHARLVEVAASLPADQIGSVTGPGRSG AVAAVYASHLLGVPFIPYGSQCPTHLGCLLIIDTARESGATLRKAERRYSEAKPIVVA CFEEPPRVAF OJF2_RS25105 MTARHRPKAARELNRSPGEVVKVLAVPLIDARQMHYLRAIAEEG NLGQTPEEVAAFFITEGISRRILTPGGSIEPPESWEGFQP OJF2_RS25110 MTAAPPCPQTEKKCAKCGGTKSISNFAVNRSSKDGRQHWCRPCF GAYRRGGAVPGQTPSEPAPALPPLSEFIRPEYSAVRKRLIEEMLRVTQLLGEWPGQKA ILEVLQEYGVDRQSLLREEELRAVIEKVKGLCP OJF2_RS25115 MYKGNSQFMQHQSDARNPFNFAHGDEIMTDDQKRDVLKTKIAAI DKRLSELPANHPTRKQLGREKAVVQAQLSELKVFKDTNGIERFFITAAKEILSQGQYN LVLAEAMKMLRRYKEIEYGVTPSKVSEMPKEMKQDLEEAVKGFIAKGGSITKLPSNNR KGGLPDPELSLLTSEQRRIYQKLRSCGICKEDALKEAKKP OJF2_RS25120 MFGLFKRKKKPEAKQEPKLRLYQREAVDLLKHRDAAIRPPRRSP PAVQTRREDDSDNFALSMAVGMATDSALLGYAAGGSLAGGMVGASLSESTSRSESISS PSDTSSSCDTGSSYDTGSSFNSGSCGGSDSGSW OJF2_RS25125 MPAKVVPDKRRKLMEQVQDDEPESPLADMMPVHPLMASAWVGSV RYALTRDDVMATFREETGNQWRPGRTPIDAMIDQTTGAALDFIRAFAKWHNENIWGEV DGKPEDITD OJF2_RS25130 MSAGKKLALLASFWLAMSAFTYGHAVKQFRAEERAECADDRKHS QNAPCSEDMVRFEALVASLFWPQHWSETLWSGQP OJF2_RS25135 MAEGQKHEELVNRIMVDIAEEALYAREFRGDVKVLGLTYIRSAV ERHIGTVDTRSESGLARELAEALELLLPRAYNDQIADSVHKRIAPDLFADVEKARAAL AKHRATALHDDGWQPIETAPKGEITEDVGCRGVSEWFIGLQSDGDVRKIRRLPTMHSY DFADTEQVYYAASWFTHWMPLPKPPAAKAAAEVV OJF2_RS25145 MKPGEDCGEIMDSMSAFLMGEANRDKELKVFDWDKAAQIIKERN AKQAAAGLSQDWEWTGGEILRDGEPVPREETYTYLASTWATPQLEIDGEVISCFRMES EVPGWDSGTYWPDSALAIIGKSLPKAA OJF2_RS25150 MPKVVVRIFACSLDGFGAGVQQSADEPFGKNAFQIMNWFKPTRT FQSMIGREGGTTGLDDSYASRAFEGIGASIMGRNMFSPLRGPWENEDWKGWWGDNPPF KHPVFVLTHHPRPTLEFENGTSFHFVSGSPEEVLEQARAAAGGKDVKVNGGTFTVRSF WKARLLDELHLVMAPVFVGEGERLLGGLGVEEDYEVAAFEASEAAVHYRIVKKG OJF2_RS25155 MSVKRMDNVLIVVDDLEATKAFFLELGLTLEGETTVEGAAVGEL IGLKDVRSTIAMLRTPDGQGIELDKFHAPDAVRFGPVEAPVNAMGYRRVMFAVDGIDD LVARMRTHGAEIIGEMRYGDAYRLAYIRGPEGIIVGLAEQLG OJF2_RS25160 MRSDEQAIREFHGIWIDAVNAGDLARLLAMTTDDVIFLTPGEEG LGRDAFSTKFSGAHQQLRIRCVSELMEVVLVGEVAYTRSRDSLTVSPRAVGEESRLSG DRLTIYRKQPDGRWLLARDANVLSPVEG OJF2_RS25165 MFRFLYPATATAAFDLVVDATTLAAAFAEYRDLWWDDRWIGSVA VFERERLVARVVPRADPETGRNEPRLEMWPGEFARGHSFACGD OJF2_RS25170 MPYRFIQPLPDGGIKQIAIEAANLMDAFTEFVFLHWDPDGPAAA VIYEGNFIVGQVLPRYSSARGDMEAVFEAI OJF2_RS25175 MTSTLSRDDLRTLTPSVFAATPWQGMSESYRFIPTADVLDLLEG QGFRVTSARQSRSRIEGKADFTRHMLRLRHDSFLDASDEVPEVVLVNSHDRSSAYRVF SGVFRLVCENGMIVQSSDFGSFSIRHSGSRDLFAQVREATARIMEGAPAILNRIEAWK QTILPRKDQLIMAAEAFALKPIDGIKPSFLLTARREADYTDSEGRRDLWRTTNCLQEN LIRGGLTGRSERGRKVTTRSVKAVDADLRINRRLWELAETFSLN OJF2_RS25180 MKNKRPSQLEDHLGYWLRCLSNLVSHSFADRLEKHGVSVPQWVV LRCLHDAEDTSLNELAATVGVDNGALSRMMERLLQKGLIVRETDPANRRTVRLRLSDA GKKLVPVLAREADENDAAFFGVIGEGERRQLLATVRALLDKNGFKGKALE OJF2_RS25185 MDPAVLHECLAAAFAGRMTFPETVGRMMEAGVERYDADLTRLEK MHYGLDASTHLEPMPLAEAPVVPVEFTAAGVQAAIQAIRDRQIEYPEFLRRVMAAGTA SYSVYLNGRKAIYIGRNGDFHVEPFPGGR OJF2_RS25190 MSTAAVPRDNLILQRFLALFLFAGSCFFAIGTFVSAAKVVTGDM RTIGMLAGTGLPTWMLVWLGMALWSGRGLPRWFIAAFLLLVGFGSFILAFFCNTWEES LTLAAMAIPTAISIQPVLRTYLGKPAKPKTFDEL OJF2_RS25195 MSAGPVVAAARRAERRLVEYLREAGAMSPASASPIPDQSWMGSK ALRRMLAAGALREADAGYYLDEAAYSAYRTARTRKMALIMAPLVIAAILVIWWAAMR OJF2_RS25200 MSWGNRVKDIQVGDTVRYSRRWLQSTGTHTGDLPRAKGTVTAIK DYGSTKIATIDWGNPEIPERVNVANLSKVKQREIE OJF2_RS25205 MSRDTVPPMSKPLDCVGVDPAKTQVGKHDFQPSPTEPIVHGVGA VQHGGKFVLKERSPEDREAYYAGKIAELVTSQKELAAVTRERDAMKAALEAIKLRSPM TLLSNPPKDFAAEKARDVLTKLSSGELRKPSA OJF2_RS25210 MAKRKTKSKEVSAHETATEPTPVTEVLDRSPAVAAILEAREART QLEPDRPLSEPDEAVAAFTRQREREQAVGTARYRKTPDFLGGVATDVKVIDEPQASRS RSHVEFGSLPPTVKTIFRSEEKGFRLMEDYANRKRLIQFLEKPPQPDIDELMANGLQY DGGKQQWWISLVPPKPPEGELTRDQIVGYAAEIRDMTDRLALSMSGKAERLGYEVGGR SA OJF2_RS25215 MSKAKTAYILLFRGVGGATQLPTASLREALSEAGFENVATYINS GNAVLRSGLAREKVIASVAKICEARFGFTKAIHAPTLAVWEALIAKNPFPAFKEGKHL HAAVLAGDPTQEAIDRLRGHADVGEAIEVVSRVAYLHTPNGFGRSKLGEKFDKWIGVE NTARNWNTVLKLSELATKAAG OJF2_RS25220 MTVDEILSKLESLGDDARRKHNAKAGAPENQFGVKLGDLRAIAK KLKTDLELALKLWDTGNVEAQLLATLIIMPKSLSADEVDRLTRSTTCAQVADWLNSYV VAEHPEKDALREKWLKAKDRWASRAGWHFTASRVNKGGDGLDLPALLDRIEEEMPKAV PEVQWTMNNTLAAIGIHHPELRGRAVGIGERIGLYRDWPVSKGCIPPFVPVWVDAMVK RQG OJF2_RS25225 MEPSFFETPAEFRAWLAENHATAAYLLVGFYKKGTGRKSITWPE SVDEALCYGWIDGVRKGIDAESYTIRFTPRKPGSIWSSVNVRKIQELTEIGRMKPAGL AAFEARKEDRSGIYSHEQGDVELPEPYQGLLRANPAAWAFFESQPPSYRKTASWWVTS AKKEETRRKRLDSLAAYSAKGERVPQFTWKKASG OJF2_RS25230 MKRLAWLTDIHLNFLRHAGLSAFFASLPEADAFAITGDIGEAHD VAEHLRAFAELGPAYFVLGNHDFYRGSIGSVRAEVRKLCRGVPNLAWMPDAGVVDLTE STCLVGHDGWGDGRLGDYQGSDVMLNDFALIGEFDGFHEDPAQRLAKLHALGDEAAAH FRSVLPEALARFRHVVVLTHVPPFRDACWHEGKVSDDNWLPFFICKAAGDALLEAMAA APDRQMTVLCGHTHGSGEAQILPNLRVLTGGAVYGKPCVQRVLEVE OJF2_RS25235 MTADPFWDDPFHSVAFAAFVQQAREEGGWPDSEKTRQRAYRLYE EELTARSAANPGQAQQPLAPPAGSAYPPREQSKEPA OJF2_RS25240 MKKANTIMTSRRRLTEDEIEEIVRLRELGHSYEWIGKKLGCSRE TVSWHCCRLGVEPPKSAWRSWDGIKGPAVTKRGNHLVRRFTPEEDQIALEMRSSGATI SEIARKLNRRVNSVIGRLNALARREARREQAA OJF2_RS25245 MKNPKQIDSMGKLPGIREIEISKLEKSPLNARKTVSEAACDEMK ASILTHGLLQNLVVTEGKKGKYLVIAGARRLEALKALQAEGHLPEDFAVPCRVELEEQ AYEKSLAENVVRLAMHPADQFEAFAKLIELGQTAEQVATRFGITPRLVEQRMTLARVA PELIAAYRAEELTLDALMAFAVTDDHQKQISVYESLNDWQLKRPGEIRSILTEQLVEA EDKLVKFVGMNTYLDAGGTKRTDLFGEEVYLENPELLNALVSEKLKLAEKELQAEGWG WVQVDQEHDWRVTSGCSRLEAEPVDAPEELMAELKRLEEEQLAVGEQIDATEDEEELD RLNKRNDELDGLLDDLANQIAAYAKFDPEQMKLAGCYAYISHSGTFTVERGLVKREAK KALAKAAATHLDDDQPAEQPKGMPESLKRDLAAYRLGAAQVAIATNPAIAYDLLVFKV AKNALTMQGPSDGPNVSFSREFALYTGKDARDFLKAQIEPAAEDLPRGWLEAGTEADQ FLAFQLLSDYQKQSLLAYCVAMTLQPKLDEGNQPTAYDVALAQTGVNVADHWRPTKDN FLSRVTKDHLLEVGRELIGGERGETWAAKNANEKKGDIASELQKAFSDPDRYGGTPEQ IDRVKNWLPKGMAFMAAPEPKPAKAKKGKKAA OJF2_RS25250 MKSQELIELGELLGWGWQTMLASHLKVSDRTIRRKVSGSSRITA AEAEAIRLYVKHKLKGKQYHEKPQAN OJF2_RS25255 MADRWEPNKYADEGEPKLAIPYENRFYSGRNYSLFAILADVRNG RGFAGIDTGNGFVPIDDPRGLPDDVSEPVKADSDRWDGDGHSHSWFTVAELLAYDWTQ TTKHRGYVSAAEYYEWNRWRRGRGESPESYSGDIFGAQIEKVSEEEMRRRIETVTGGD WYRQEDKVHELLSNVHCRVEWEQPYYKSVRSFWSDTIPQLLRLGKPEEVRIVFWFDN OJF2_RS25260 MTKQIIQDQISNTVRRTILGGATLDQHRDLLSQGWRHDRMANQY RKTTIRYETYHDGVLVEDNSAVVDIAAA OJF2_RS25265 MRRKGARSVLPDGAKIDSLRKERGWTIDDLANEASISPRTIQYA ISGKHIDIRTLSEIAKSLGVAYGSLLLKPDESPSATPSGSMNDAGERVLKLEISLGPA NSATDKYALAAAVIESLRENLPDNARITFLGVTGMTAIVAVAFTPSNALALSEISDEL GIEQVRATDRRTVEDSPFVRGTVGRIAQWIRSGMRGENPIAYSPIGGYALDRYIRGKG RFLLDVAADIEGGEIPVESVERFLKVVIPDNQSVSAIGERNDDEFRFAVPMTSRNELL LAVMGFAMKKQTGARLRTALYEFDDLSRNPNVNKLDDSFISYTANGGVAQRFRQDGGA DWLWLCKMLGLRNDDTDALLEALRTITDAILEYVDKTDAAPG OJF2_RS25270 MLDLDPSAEALERDRSDLLQMLIRMGFAYAVAQDAVQTALLKDQ EWLANGRASTIRNRQAWLRTVTIRAAQAELRRRRRERALEMAAEPSILPFPAMVQEEE DRRRLDALHSALGRLPEELRTILLMHIVGGRSIRKIAEDIGSKFGKVNHRLRLARRIV RDELMAAGFELPESA OJF2_RS25275 MMSKALATALTAFMIAVNKLIVDAPNLRSDYSDQDRLRALWRSF KNDPVHPIVVNAKMEVIDGKSRIVGAILEGDGEFEVPCIVRDDDDPVKTALSQFATAI HRADLPLIDRINAMKLFRDNSPGKPLKEIAKDLQIDETMPSKLLTFERCVPEVQEAIR AGKIGLRDMLEIAKQEKGDQPVLLATKLGGATADKLHEVGKKLRKKADTPAVRVSKIK CPLPSGVVVTVSGDSLSLDDMIETLAEVMKMAKRARDQNLDSKTAARVWADMAAAG OJF2_RS25280 MTLAMFVLIAVLVVLAVITCVFLVALELHRAAATRAMAERRLLE TKLELRRLRKELAAK OJF2_RS25285 MRTRITVTTPRRRLKVLLSSLGVITGMALAAAAYHWLPSWDPIR LMAWYKVQPRIKQANEESKGETERSTKQITEFFRQRKQNARAFAADVLCFSGKWAYVK DFVYGGSHEKYLEECFERNLFTSDELKAVIESAVKSHVSEVQGRENQLLVAIRADLAG DAIASPSYLPSLGDEARFRREYEAMLERALPILRKDMGVTVTREVVSFIGAEIAANLI TELGTSLAVELGVSGGILGAGASSSVFTFGIGIVAGIVVDMALDWVIRQAGYDPEGEI AAKVEQSLNHLEGLILHGDSKTNERYRSAKWYSSWALSSESRAKSREEAQTIEASGGL GLVHQLNRINDIRSRLRDEALKGLILKGGVQ OJF2_RS25290 MIPRFARLLTIGICLFAATSARADVASKIAQETAEFVLKKFGKK AITEGTESLAKRIASSAARHGDDVFKAVRRVGPGALSLADDAGEQAPKVLRLLSKHGD DAAVWVARRPKALKLLSQHGDEAAEVLIKHKGLAEPVLEKLGAPAVNALSAVAPQGGR RLAMLAESGELAAIGRTPELLEVISRHGDAAMNFIWRNKGPLAVGTTLTAFLAKPEAF IDGTNQLIGTVGENAVKPIAEAAGTAISCLVWAVVALVVGVPAAGIYLAVRNPKLAAE LTKAAVSRGVNARS OJF2_RS25295 MRLIRLILIASVLLAAYCIALLVYLFPWFWGVIGLGAGYSVVKK GHALHAHGTARWATWRDLPRNSGLLIGRMASRSSLWLGIVALVHRGIRSSVACDVFLS ALRRDRKELVRVPAIHAAIFAPTGAGKGVSCVIPHLLTNRDSCVVLDPKGENALKTAN VRRRMGHKVVLLDPFKVVTETPDQFNALDFIHADSETALDDCDALADSLVIRNPNEHE PHWSEKASQRIGSLAACIVSYQPGGCLRDVAEVSSDPQKAAGAIQYMTKSAAWDGILA QLGHELGQPLEKELSGILSTVSRHLKFLNTPLVAANTKTSSFDPAELVNGKMTIYLIL PTQYLRSHAGLLRMWISSMLRAVVRCGTQEDRLVHFLIDEAASLGHMEALDDALDKLR GYGVRLQLYYQSVGQLKVCWPDGRDQTLLSNTTQIFFCVNDKDTAEYVSARLGEKTIL VDSGGSSGGVSHQIGDRTYSENWNTGWQQVARKLLKPEEVLQLGERTAITFHPGCPPI CTTLVRYFEEPTLGRRPKRFWPLVRTWLDAVLLLAAAAFVAFILTQIAREASPPGGAV QPSGSANTFTSDFWMGR OJF2_RS25300 MGAMKKLVEKIETKQKERAKELPGELKSVVREAFKDIRGTLNEV FFGQPEHPSEPGTPLNPTQQMVTRDIDGKEKGGVDLER OJF2_RS25305 MPSDQDRLRDVFKSIDQIKATEYPTIVGSEKGYRIVERPWKDMP EPSKLALLQDQVDWSGVSNRDRATILLGQIDVGKITDVQRNRLIDAATREEPVAGEKP LSAAQVKALGAEIRADEHAARVRDFGEADAATYDARMAEANRLRSEEHGPVQPPSPPI TEAELQGVERGWSKLGDQTANFAVAKERDSGNAEVSRIQNGPPEPAPSPQLESVMQEI GWLQSMSYLREEGQVPQAASDTTLPWWEDLSRSEQAAVLQANVNWDGFTEAQKEALID VVLEGESASFFESVVDRPIRPLTKELIECCKLDVWPGMATVVDFGIDSSSHLGALQFA IREQLVTPQELDAAMGNGAKLTEIAQRGENPYRDVTFRTSWDLMLPEPEEPPPQGKPG VTQDFGEILRAARLTPADTRSDFQRMLDEAGMGMKTPARDKERDRGGPER OJF2_RS25310 MSVEERLERIEQLLTTLIERETVKEWYSVEEVATRLGKASFTVR EWCRHGRIAAQKRSYKRGKSAEWMISHEELLRVQNHGLLPQLKRA OJF2_RS25315 MADNVNHPKHYNSHPSGVECITVTEHMNFNVGNAMKYLWRSDHK NGLEDLKKARWYIDREIQRVEGATAKSVSGNAAA OJF2_RS25320 MQPREDTRIDVLDHGFVRLVDAMGSDLSVVLAARVSYDAAWRAG EDQGSDARLIRYLWKNRHTTPFEAVSFTFEIKAPIFVFRQWHRHRTWSYNELSARYRE LPEEFYVPDPALIGQQSASSKQAREIGDTDAAMLGQRRAEVEHAREVMEGAFAQYRRL LEGGWPRELARSVLPVATYSHMFATVNLLNLLKFLTLRCDGHAQYEIRVYADAMRELI RPIVPVCVSAWEEAA OJF2_RS25325 MNARPILMSAPMVRASLDGRKTQTRRVVKPAFGRKHPIVNLQEH GIGDYSGEFNDPGSWGYPCAEDGNDMPLAYWLDLCPYGQPGDLLWVREAFARNGIRDG EKVFYRADGESQFMGEMRESNGNVTRYFTDHWERNGEKRKGADWKPSIHMPRWASRLT LELTGVRVERLKGISEADAKAEGCFFTDYGRRCFHQGQGDVDSCPAKPEHHPQRDGWM WAPTRSSDECLGSARAAFGNLWESINGPGSWVANPWVWVLDFRVYQQNVDALVAGRAA OJF2_RS25330 MTRVRFLDTDCTVQKRHYGNGRVALSLVDEEGPVATATVNLPTA TLGCNQVLIKSYAENEGMLEALVAAGVVKPTGQTVRSGFVELPVCDLQPPFREPEQAK GRAR OJF2_RS25335 MRKLASIQTVNAVEPIPNADAIEKIRVLGWWVVVKKGEHQPGDK LVYCEIDSLLPERPEFEFLRASSFKPAQTDATGAVTLPAGFRIKTVRLRGQVSQGICF PLSILPPGAPTEEGADVTDLLGVIKWEPPLPVGMGGKVKGQFPGFLPKTDETRVQILE PVLERNRGKTFYVTEKLDGTSFTAFIREGEFGICSRNLWMDEADESNVLVRVAKGLKL EEKLRAARERLGHDLAIQAEVIGPGIQKNKYALPAVTLRVFSVLNVDAYRLVDHGVKL EVLSELGLEPVPQLGTIVLDHTVDQLVAFSEGVSALNPKVQREGVVLRPLAEEYDEDI GGRLSFKVINPKFLLKYDE OJF2_RS25340 MPQLWVTFIDVGWGDSILLELDDGTPNHRFGLIDSNDTTNWPNS YGYLKRHLERYAARPAIGTLPYPLFDFVVATHAHADHISGLKRILRLYGADWFYFPRF NHAKSAAFARLVNWASSHIQNGVPVTANRRYLAHPDTFSFGPAQFSVLWPPPPSAGAP NDPNDRNNENNNSLVLAIKLQDVVIVTTGDCEADNWKRQPGGGAWKVPLPSQHLKFVQ VPHHGAQNGLFDSANGTPMLDQIHQLHAAQASVTPMLAASCHPQPHGHPDANVANLLD SHNCGGKFPSSIPGTNWLRTDQNLHYTLWTDGTTVRTITRPSV OJF2_RS25345 MDDYYTDPEEISQQQVTIPIVTDGIPRSGPGPRLARCVYFSITA DEPNRPWFLGLPNVTIPFLMLGLQGIIFRSPLRQSGLFVTPDDWNELVTIIEQQDGFS VEFEYFWVPVSLLDRARGQYPPGDESPNGLAVRGEVFRLGHKLFLEAWRAARDGTDFV NYANRVLDYFDGDRLAAGLTYSPEETRSIQAWAIAQVAGSIIG OJF2_RS25350 MGWVEQRGKKFRLSFRYDGRMFRHSLGVETQKEADESLALVERN LRLLEEGVLDLPRGANLPLFLLSGGKLTAKPEVVDVVTLGGLVNLYLGAHSGAQESNT IYTARIHANHLKKSLGADFAVQNLATSDLQGHVDRRAKAKGRGGKPLSPTTIKKEIAS LSGIWSWAVRMGHVTGPFPNKGLVYPKTSEKPPFQTRAEIEEQLKRGGLKDDEKRELW NSLFLTLPEVAEFLGYVQETARHDWIYPMFCFAAHTGARRSEILRSRIADFDFQAKSV LIREKKRVKGRRTTRRVPLSAFLAKVMREWFDAHPGGVYSICQPLKIFKSRKSRADYV PVTVDESNHHFNATIAGSKWEVIPGWHCFRHSFASNCAARGVDQRLINAWLGHQTEEM ARRYAHLIPNVAKTALDSVFE OJF2_RS25360 MARPRSRIGTAAAGLSLMAALSSTPSSAPAQGLDYVKAHYTKYE HRIPARDGVKLFTSVYVPKDRSQNYPILLCRTPYSVAPYGADEYRDSLGPSDLFGRSG YIFAYQDVRGRYMSEGEFVNVRPQRPSRSGPADVDESTDAYDTIDWLLKNVPRNSGKV GQWGISYPGFYTAAGMIDAHPALKAASPQAPIADWFAGDDWHHNGAFILPHAFNFMAS FSHPRPGPTTRDRPSEPFNYGTPDGYAFFLEKVGPLSNANKAYFKDDVPFWNEMLSHA NYDDFWAARNLRPHLRNIKPAVLNVGGWFDAENLYGALEVYRSVEAQSPGATNLLVMG PWNHGGWARSDASSLGPIPFHSKTATHYREQIEFPFFEYFLKGKGAPDFAEARVFETG TNQWRSFDAWPPRKATPMSLYPASGGRLSPTPPVGPDADDAPDGFDEYVSDPAHPVEY LNTLTTRMPGDYMIQDQRFAARRPDVLVYEMPVLESDLTLVGPIDVKLFVSTSGTDSD WIVKLIDVYPDDLSARGVGDVPLGGYQQLVRGDVMRGRFRNSLSKPEPFVPGQVTPVR FRMNDIAHTFRAGHRVMLQVQSTWFPLVDRNPQTFVDIPTAKQSDFRKATQRLFHSQS SPTHLDVLVLPR OJF2_RS25365 MIKSLDRKLAAIHADPSGCREFILADAKDADMATGLGAPGKSPE LHAGEVRFKTLEEYRQQMRLITRQGLVDIMLMSTSSNYALTFRERLFDDSPVTPAIRA NDTTDIHLARGSGYATEASRPFRTANIDHAQCGHLDCTPEERTQGANLGLYSVTFNNQ LDRDIATLEQFHAFREEAERKGFRYFLEVFDPNVATGVAPDVLPHYLNDMITRMLAGV APAGRPQFLKIVYHGPKAMEELVRFDPHLVVGILGGSSGTTRDAFQLLHDAQKYGARV ALFGRKINNSENQLAFVQFLRLIVEGVIGPVEAVKAYHAVLGKLGVAPHRPLEDDLKV TEQSMSYGGSASVVVPPRPAASPAPVVESHGHHAHSNGHACACRGPSAEACSCNGTTS LVTESRAVANGRPDFARMSPTDRLAYHRERLGLGR OJF2_RS25370 MKVSIIGGGGLVGSCAAFALQCGGIVSGLDLIDVNADLCKGQAL DLLHGASLVADQRIRATGYEAIPESDLVMITAGLRRKPDESRLDLINRNVELFLSILG NVKSAGLKKDAIVLVVSNPVDVLTYLALGQLGLPASQVIGLGTALDTARFRSLIADAV KLPPTQVTALILGEHGDSMVPIWSAAQAAGLPLEKYPGWTSNQADALFTRTKGSGAEV IKLKGGAGFAVGMAIREVVHAIALDSRRILPVSSLVNGIYGMRDVCTSVPTVVGRAGI LGQFEIELWSKEVSALQHSSRVLRETIDTVLKGNPKAAGKPAPAPAAKVAAAAAGQPV RVTMGSGGGGNGGLGSSRVTISGIGNGHAHGNGKVTGGR OJF2_RS25375 MVGNGSVMNEWKMRELMCEIGRRIYQKGFAAANDGNISYRLGED RVLCTPTRTSKGYMKPDDLCIVDLDGKQISGKKKRSSEVLLHLTIMKSRADVKSVVHC HPPHATAFAVAREPIPKCVLPEIEVFLGEVAISPYETPGGQKFADTVLPYVKDTETIL LANHGTVTYGTDLEDAYFKTEIIDAYCRILLLAKQLGRVNYYDDAKAAELIKLKPGLG IPDPRLTLGLENCDLCGNSLFREGYGQPGPEPKVFIHPKLLENGQATSTGVACQVPSH APAPAARAAAPSTNGHSAGTDVDALVKAITDKVMSALSGAAS OJF2_RS25380 MRIAEVVGRVTLSRSVHSLRGGRLVVLRPMTLEALTEGSPGRGE ELVAYDNLGAGPGALVGLSEGREAANPFGKTKTPVDAFCACLLDRLSF OJF2_RS25385 MSQVASRTVFRMSEPAFARALATEIAPDRPDPIRLVDDAVRSVL ADIRGAGRRRPPADGSQPFTDRLLGVRQVELMPAGTRTVRIATGTVVTPLARDLLKRR GIEIRLGGLGEARRLARGEWAFAIGEESGMLQALRRGLLEDPGEWLELGPALDDVGGW LLETAGRGALWITGEGARTVWRACRLPGIRAAIGAEPSDVHRAVKGLGMNLMVVEPAG KSIALIRQLAAAFRRSGAPTAPEGLDEEDRR OJF2_RS25390 MQLGTVLGTATSTVKHPTFESEKLLVVQLQTSDGRPDGEPVLAF DRLGARRGDRVILTSDGDLLQSLLGRDTPGRWSVLGLPDDVGRR OJF2_RS25395 MQMTEDLIRTVIHEVLTQMGNGALPTNGKAPARPSGNLGVFPTV DGAVEAAEAAFELFQKRGLGDRKKAVEVIRKICVEQAEELGRAELDETKIGRLDHKIA KLRGTIPLIPGPEFLPTDVATGDDGLTLTDYAPFGVIGAITPVTHSLPTLAANAISML AAGNTVVFNAHPSGGNVAAEGVRRFNKAIREAIGLENLLTIIDPPTLKTAAQLFEHKG VSLLVVTGGPAVARAALASKRRAIVAGPGNPPVVVDATACLDNAAKSIVVGGSFDNNL LCIGEKQVFAVGEVFDSLTEAMTRNGGFRLTANQIDALTKAAIPVGSDGKPHVNKDFV GKDPAVLAEAAGVKIPDGVDLLFGETGFDHPFVQEEQMMPFVPFVRVSNVDKAIALAY ESEHGFGHTAMLHSRDTTVMSKMGKVMNCTIFVVNGPSIAGLGAGGEGYPSFSIAGPT GEGVTTPLTFTRQRRTAIAGGMRFL OJF2_RS25400 MFLARVTGSVVATQKVASMTGHKLLMVEPYRVDEKGSGKLVPTG RSFVVVDSLGAGVDEMVLVCQGSSARLTPETEKLPIDAVVIGLVDTVDVAGKVVFSAR GG OJF2_RS25405 MKILVANLGSTSFKYRLFDVSDPSEPVLARGGIERIGSPTARVV VKTTRGERESARHVEDHGDAVQLCLEQLTDPEIGVLADPSEVSAIGFKAVHARNLTGV HLVDDEVLAAMEAFADVAPAHNPPYTKAMRMLRGRFPKLPLVAAFETGFHRTIPEARQ RYAIPDAWATELGIRKWGFHGASHRYISWRVPELLGRKDLKVISCHLGGSSSLAAIDS GRSVACSLGMSPQTGLPHNNRVGEFDVFALPPLLRETGKTLEEILDILANQSGLQGLC EARDLRDIEAAADKGDPCAKLAIDVFVDSIRHYLGAFMVALGGLDVIAFTGGIGENSS RIREEVCRDLAWFGIELDPTLNASGEAERKVSSAGSRVQVWTVPTNEELVVARQSRTL LDSGKASMD OJF2_RS25410 MNGNALGLIETLGLVGLISAIDAMLKAATVEVASSIIKLDGGVV SVMVRGDVSSVRAAVEAGAEAASKIGELRAAHVIPRPDSVVVRQFAGA OJF2_RS25415 MTTLQRSASPSKFGGNGEAVGLIETKGLVSMIEATDAMLKAANV QLAGRVQVGGAYVTTLVRGDVGSVRAAVEAGAEAASRIGELVSAHVIPRPDESVLGAF LG OJF2_RS25420 MASSSLEALGMIETKGFVALVEASDAMLKAANVELVGWDKIGSG LVTAFVAGDVAAVKAAVDAGAAAASRIGEVVSVQVIPRPHEDLGGVLVFQKVKAASST DGAKQNGA OJF2_RS25425 MSTAVSRDQVESLVRSIILRQMNGQASANGHAPANGHAREAAYR PKLLVNISARHCHLTQEAVDVLFGRGYQLTAMKRLYQDTDFAANETVAVVGTRQRMIP GVRILGPCRKFSQVELAFTDSISLGIDVPVRLSGDIEGTPGCILIGPKGSLVLDKGVI RAERHVHMGPRDAEHYGVKHLDRMNMRVESPCPSTLEGLLVRTHPDWKLEVHIDTDEA NACDLAHASNVILTKA OJF2_RS25430 MLVETRRRRLLDLVSRQGSATLEELVRTLRVSESTVRRDLEALD LAGSVKRTHGGAVYAGEVRAMPALEDRSSTAVAEKQAIGRATAALIEDEESVLLDGGT TTLEVARALLGRRVQVVTNSLPIAQLLASSQQTDLILIGGYIYPRTGVALGPLAIATM TGIRVRKVVMGAGGIVADGVYNSNLLLVETERQMMACGQEVVIVADNTKFGRMTLSRL CGLDEIHRLVTDRAVPSPFREAVEGAGVRVEVAAMAGQPAANGSALTGPHRTKNERTE A OJF2_RS25435 MPELPEVETMVRGLRPVLEGRRVERMDLHDPSMLRGCEAREFGR LGRGVDVIEVRRRGKWVVIGLADHRGLIVIQPRMTGGFWLVEPSRMEHVRLSIRLASP GGIVWFCDARRLGRIEWFADQAAAEGAFARSHGPDALAITAEELAARLKTTRRGIKPA LMDQKVLAGIGNIYADEVLHASGIHPQRVASRLTREEYARLHAAIGRVLAVAIEAEGS SFDAGYRTVLGLEGGFLAMNSAYGRAGEPCRTCGGPIQKTKIPGLIGRPTYLCPACQP RGRRRPARGII OJF2_RS25440 MKSAKDPEKSVDVPPTGSRNPDPITNAPGSHPVETGIGAAVGGA ASGAAIGSVAGPVGTAVGAAIGAVAGGYAGKGIGELIDPTTEDNWLRDNFSSRSYGKS GRSFDDYRPAYHYGAEAESKYPDRPYHELERDLESGWASTKAHTSMAWHDAKDAVRDA YDRARHVRSSRASGK OJF2_RS25445 MLSGCAGTRDATLRWNDERLDETPTASPQLLATSSKKPSTGPGR ASRVALPDVEQMIDELDRMMTANGTIGVKSPDVWGQDRLSKFRFEYESQMSDWVKASF KGDVNALVRRGESEARKLTLGGVAVATEAGQKAGSTPSSSAATAVAATASAEAPPMTA FSGDKLPVSLEPTVVLDEHSNYLNHLNQLRRINAGDDLTDRPGYGLYLVRIPVTLSPG PRSRRGKGAIITVSAKSLMTRETMRNTLRNAVINETVNNLTQAIASQAGREEEGAAGS GVGSFSLLAYADTEVYYGSRNIGLLREEAEHLLARDFADEPHHRNARIAEWLRSELES SYNLFEEKAGPARSGQMMAAVDPLEELGDLLMHRDYPKVAAIQAREMNDGLVRLASGG PTANMEDAPTLRKPVTDFLAFALRIQAAAVNKRLKQDMLDQDPELMKQVKLLKNTSFF DSQAPDEVIGLFEKYVESKWPLRVYAIEPVIAQQNVADALSRRSQSTLELTGAGQIAP LKLLSSGAAAAGLATDRKVAEDETAIRLNPTMVGFGAGESTFGWVFYPRIQTRARDRG LFTSIALLAKGELPDPSGKEQSIEPGQRECTALIVMPNFVPKLEFVTVANWFKTSETG DGQKSDLEKSSTLSRRLVVAENALHNVKHERNLREEEYQIAMERLNQLKSLMPTQRLV VSVPYTGDNNDSRIFCSQGGQLRPMLMAWHGRPPEQNTESSILIEGKNFSVHDTHVIA GGKPAKAVLVSRNVLEVTISPDACPTQSEDGRALLDINVATPNGVSNHLLLPMQPPCS GRKKATPERSDTSEAPGGDKPAPAPPQPQPPNPRPAKTADAVVEPAAVEVGKGGKKK OJF2_RS25450 MPIRRPATMPERKPRRRLSPRLDALEPRLCLSASRVHPSLAGGK PGPAGIDALAIGDVNGDQVADFAVACHSGKSSSVTIYSGWGQQAATSTGFAPLALATI EDPLGKSGGGRGGLRVALADLNGDGVSELVVGSASTGKVAAYSFKVQGPSPVNAPVTA SLIAGPTSLPGLRGASRLGLAAGDLDGDGRDEVVATAQGRRAVTAYALQGTSWVKERS FAPPPVPRGEGLDVAVGDVTGDGRDDVAVASLADGKVSVYDPSLGQWVATPQPLRARA GTARVTIVESKNAPGALVETGRVGRGPSRAALGMWGDPQVSVATPVVSPGGGDLIPLG AGFVYQRSTLQGLDSSFPYSNGPVTPSVFFASTGSAGQVILQGFGQGMTPSKADTYVE SVGTSSGVFSPIQARTDAASGDSAALGINLVAYPSMNYTSPYRIDLSSASSGFDAGLW NRTVTDPSASGWGPDKSPNNPPTVPSGASNDWLRQRVIAAYMSQIGVDYQHHHDPTWS PVQGSSWNATSTVAYQSQGVDCTNFTAWAYADALGVTINSDTTQQALISASNPNGTVI PASLADRVAIQTIDHWSSYQDLVSQLEPGDILIINGDDSDPTKATHGITWLGQYGKDS NGLDQNLIIDSTGITPNHIDSNGHVVPEGVQIRPFGAPGTPNDWYYTHVGHVLRLIKA DATATEPIAGSALPTVGADDHLTRLRDDDTADALLVIRPRPGSASLPSLESLAYQSLA DRTYLTREQFAAEYGADPSDVQAVENWAFGQGLKVESVDPATRMIRVSGKVSSLENAF GTTLYDGQDNVNGPWVYKGEIGVPVALHDVIQGVFSVAPTGSASQASPSPSSDGQSGS DGYTPAELADRFQFPDATGAGQTIGIIETAGVVDDAARRDFNTYFSSQGLATPTILTV GQGTPASDDELYLDVEVAGALAPDATLVVYAAGGGAGNFFESIQDAVHDASHKLDVLS ISDSIPEPYLSSMYLDVASRAFLEAAAMGVSVFTSAGDYGSSRDIPDGLAHVEFPSSS PWVTSVGGTSIKRGEDIDNEVVWNNYTIQDHDLSAGKGATGGGVSAHFAMPDYQKHVD RGEDPRSVNPGNAKGRGGPDVASIADPQTGILIYARGQFLHDGGTSAGAPTWAGLAAR INQGLGKNVGFYNTLLYGDLSEAGVTHDVTSGDNTSSHVDIGDKQIPTYLGYDAHAGW DMTTGWGSPIGTSLLDQLKILLKKKED OJF2_RS25455 MDGTKSAKARVLEVAEELFYREGVRAVGIDTIIARSGVAKMSLY RNFPSKDALIVAYLEERNRQFFERWDGAVGPEGAEPRARLSGLVARIVTRVREPGFRG CPFLNARAEFPDATHPARAVIEAHRSEVRRRLAGLCRDLNARDPESLAAQLLILINGI YASGIADDAEARAAVEAADALIEAHVGPARPTAARARRR OJF2_RS25460 MDTRGESSGAFHYAWVIAGLTFLVLLATAGVRSAPGVLIVPLER EFGWDRATISLAVSVNLVLYGLIGPFAAAFMGRLGIRRTVLASLLFVATGVALTPLMT APWHLMLLWGVVVGGGTGMTALVLGATVVNRWFAERRGLVLGVLTASTATGQLVFLPM LASVVARVGWRPAALVVAGVAAAVAIPVALLFRERPSDLGLMPYGASPATESLAVAVG NPFVAAIDALRDGLRSRDFWLLAGSFFVCGASTNGLIGTHLIPACLDYGIPEVQGASL LAAMGLFDLAGTTASGWLSDRWDNRVLLCWYYGLRGVALVFLPSFLGSAAWGLPIFAL IYGLDWIATVPPTVKLTSDAFGKARAPVMFGWIVAAHQLGAAAAALGAGAVRTWMGDY ERAFLTSGALCLITAGFVLRIGRDSPPESEPVPGDVQLEPSLG OJF2_RS25465 MPRLRSVWISASLALAGVGVLAYAALKPPSAYHPVTAAMNEAAG TMSGRPATELSALATDGKRHSPAFDARDKPAVLVFIRDGCPCSEAADPYFRRLYAAYG SDAAFLGIMDGDLDTARDWAGRHGTPYPILSDPDRRVIRACQAERSAYVMLVASGGRI EAFWPGYSAAMLRELGTRLARMAGHSEVPLDVEGAPGELGSGCRF OJF2_RS25470 MRKCWLGVTLSAGLSLAAGPGSRGEDLNDAWAIALRVNQGLQSQ QATSLSRGFESAAARSARYPTVRTFTFNASLTATPMISTAGIVPSSAGAGAAGGAAGA GAGAGPAAAAGGAAPYVPVLGNGQRDLPVSLVTASIPLYTGGKILRNIDAADARANAQ RSEEYRTALDLKLIVASAYVGVLRAGKNLDVARSNVEQLTSFARDVRNRTEQGMAIRS DQLAADVSLNNARVLLIQSRANLASAWATYNRYLCRPVTATAPLMDLNAVPPGGDPDR LTAEAERLTSVQPDESESDALMQRAVEIRPELAGLREQARAYRAQAEATRANLRPQVS FNMANVYLGSNRTTPQDIGAATFLIDWTFTDSFQTRRQAAAQRQQEIATAKRRNDAAA DVALEVRTRWLDQFQARQRVPVARLAIAQAQENVRVITDRYREQRATYTEVLDAETRR VQSLTNFYNAVYDDILAGFRLHRAVGDL OJF2_RS25475 MATTNGSASGVDEGHEIVARNGHATEDWGGARFPVDSQFVVDTK GTRTVGEEHSADADPDAAARAQEKKDRRRRRVRTLIILLVLGLVVGAGLYFGVPMVRE ALRTVSTDDAFVAGHITNVSPRVEDLVTEVLVEENDRVEPGMILVRLDREPFEIRVQQ AESNLEQARANLVNATAQVRAQLARARAAYYQRQNAQERLRQQVATLHARFATLRARE SSFRLAELDQRRIEALVRRGSATQSELDTQNNRLDVNREEMREAREQVQEARALLGLR PNYEHPLDLPPDLEVNQSAVQSAVSDIATSLAEIGISIDPNDAAQARAFQDFIRPKGD KAAGEGVESLLDQAPGVRVARAAVGVAEKGLADARLRLSYTEIRAEVAGHVQDRTVNP GNRVQPGQTLLSIRPDYVWIEANYKETQLRYIRIGMPVDVEVDAYPGRVFRARVAGFS PGTGLAGSLLPPENATGNYIKVTQRLPVRIELAEPNPADTPLFVGLSVVPKVRFEESP SGPGAGQRLHEADVRSRPSRGEGPAGRYNGDGEGPSPEGGPS OJF2_RS25480 MSTATKAVANTSPGRGVGFGRTTRAPINPWFVALTVTLATFMEV LDTSIANVALPHIAGGLSAGRSQATWVLTSYLVANAIVLPLSGFLIGLMGRKRFYMTC VLLFTISSALCGAAPSLELLIFFRLLQGIGGGGLQPSEQGILVDTFPAAQRGMAMAIY GVAVVVAPILGPLLGGYITDNYSWRWIFYINIPIGILSLVLTHFIVQDPPGMAKETRA NLARGLNIDFIGLGLVSVGLGSLEVIYAKGQEWDFYNDPFWRAQAFMIAAAAGLTLFV MWELRHPNPIINLRLLGDRNFLASGLIIFISFAVLYGSNVNTPQMLQELFGYDAFRAG LVLSPSAFFTMAMMPIVGFLLGKKLDARVLLPIGLLLLAGSCYWQAHLNLYVSPWAVI APRCLQMAGLGLLFVPLNNAAYLYISKDQTNNATGVFNMLRNEGGSLGIAIVTILLDR RSQFHHARLAEHIRPGREAVDRVVGSLAQVRMVRGGATAVDAHAQGWALMSGNVAEQA RILAYLDAFWVFFLLALAAMPLILIMKKSVARGDLAAH OJF2_RS25485 MSYRSFKHLLGETSLERKCRFIFGLGIFLLVVISFFIYGVKNES LVKKQMTQTARMLVKQTLMNIHYKKLGNENYELIFDVLWGDLRPLDEFPNYDTWVLYP YGTKMPSKRQPADDFERATMAKFLQAASDDEAIDKAGKPRDKLYFADGTPMWADQTLK SDKKYEYVQAVTFKSSCLIDCHSREAGAMGAQDDEEHLDNHMMRPPAQEGQHWVQARA GDLAGAVVVRLPTEQTDKAINNNRAMLIAAAIVTALLAMGSSAMIVRYVIVKPVKHLR DVSDAIAAGRLNIRSQIQTGDEFEDLSHAFNRMLHNLVAMQQELRDVNSDLDRKVDEL AQANMALYEMNRLKSDFLATMSHELRTPLNSIIGFSEVLAGTDALGERQKRYANNIQT SGKMLLGMINDILDLAKIESGKMELRIEDFSIRDVSEALANLMRPLADRKDLALECRL DEAIPLMRSDPGKVRQILYNLLSNAIKFTPEGGKVTLRARTDGRFVALEVEDTGIGIA ESDRETIFEKFRQAKVPGQVDNVLTREHQGTGLGLSIVRELTRLLGGEISLRSQLGQG STFAVRIPLQLAANRKLEVNLSDEGIDLSKARRIEPRIATPHGPLSRPSPAAADSEAD AGRGRLRNPSLS OJF2_RS25490 MKRPRSPRDRRRLLALWLAASAVIGGLALGVAFRSRTAPRSLSY GQFRKRLERGEISSARVGPSLIEGTLAAREPAGTPVPYRVSRVGMEHDEDLIRLLDAH VPDGHYEAEEPPSPVQAMVFPGAMFLMMIAALSLVITRSGGLGSALASVKTRPKRYAE GQAPVTFRDVAGHEEVVTELREIADFLRTPGKFGSLGGRPPKGVLLVGSPGTGKTLLA RAVAGEAGVPFFSLCGSDFVELYVGVGASRVRKLFAKAQAMAPSLIFIDELDAIGKAR GSGGPGGHDERDQTLNQLLVEMDGFDADRGIILLAATNRPETLDPALVRPGRFDRQVV VDRPDLISREQILKVHARSVPLDESINLKQVAAMTPGFVGADLANLVNEAALLAARRG KCSVGLPEFEEGIERLIAGPEKRQRLIRLAEKRRIAVHEAGHALVARCLPDTDPVHKV TIIGRGNGALGYTLYRPEEDRLLHTRTSLRNSISSLLGGTLAEEITLGEASDGCTSDL QRATQIARRMVSQFGMSPLLGRLNYGGDSDGPGSSVDSRWSEQTAREIDLEVRRIVDE CQAAARHILETRRRALGRITDALIERETIDGPALDEILALGGRVGDE OJF2_RS25495 MPQTRSDDLAPLSVSGTPEEKFREFLEIRGEKLTEPRRVLVRHI FSSHKHFDADELVRDLHEAGHAISRATVYRTLRLLVEAGLLRELRLTNRSAYEHDYGY PAHDHMHCTVCNRVIEFRNEDIRQTRDAISRAHGFRPSGHRFVIEGVCSECSRAHTPR RRLDLI OJF2_RS25500 MRTIAIGDIHGCAEALEALLRVIRPEREDCIVSLGDYVDRGPDS RGVIDRLIALGRECSLVPLLGNHDELFLHACEGKHRSAFLLMGGAETMESYGAGSPPD FNKVPPAHLRFLEACRPYHETETHLFLHASYVPTLPMAEQPALALRWEKLYDEVPAPH FSGKTAIVGHTSQKSGEILDLGHIKCIDTRCFGDGWLTAMEVHSGRLWQADRRGRIRD REFAA OJF2_RS25505 MRKPIERLLVLGLDGATWTVLDPMRRRGLMPNLDALLKDAAHGT LRSIIPPVTTAAWTTMMTGCNPPRHGVFDHRYYDAAAGRMKVNHSGRVRVPTVWKLLS DAGRSVVSLNVPGLYPPPKLRGVVVSGMDAPHLNGALQSCPEFGAKLKAEAPNYTLRY PWKHAPKTLEELRENGRATVETFLGRAQGGLLADGHVPDWSVLMVQFQNLDPFQHRVW RYLNVDETGIDDPAWNDAAGEVIRGLDRAIGTLCELADRRGAAVMVVSDHGFGPCLGR IDVNGILVDAGVARLPGAVGSLRRRMKQARDHLRVWIAKRHDPSARSASFDQSISSQF PFDWKRTLAFAPHQDTAAMIYVNSPSRRGTSRDTAPLFTPRQIDDACGAAEEALAAAV HPETGRRLFPRIIRMAEAYDLDPAREGYPDLIAMPDEPYWVRTRFTGAAARVTADPNL PGTHRPEGIVALAGVGLSPGRTLNANLIDATPTILDLLGMPAPDHVEGRSIVSDIAPA TIPSPSPEVREHPAESLIDGPHRGGFEYTDEEQSILEQRLADLGYLE OJF2_RS25510 MRDHNKAFCRLAAETIDCPGPVFEFGSYQVEGQEGYANLRAFFP GKDYVGCDMRPGPGVDRVEDVTAISLPDGSAGTVLCIETFEHVFKVHRAFDEVWRILK PGGVFVITTPLNFRIHGYPDDYWRMTPSCLRRMMAPYAARVSGYQGHASFPHSVMAVG IKPPAPADAAGRLDRLVSAYRSWLREAESALPFRVKVRRAVSQVYRSRGERNQVSGYY KADFAIDLGEGERPLAQAG OJF2_RS39475 MATFAGALAFLAPGLAAGLALPACARAAAFALASAFASAWALAA ASFFAALFSALAADWSSLRAFDGRGSFAAVPAGLGVAIIAMRASERPPRIAA OJF2_RS39480 MPAADPIDGPITPDEQAVLILTNQYRIANGLPTLAWNPALSRAA RSQCRAMAAAGMVSHTISGVGPADRIAAQGFDATAWGENLYAGFLNYGTPTAAVDGWV ASETHRENLLNATFQNVGIGVATVDGVSYFTQDFGTSR OJF2_RS25520 MNKGTWHQRARSFVECEEGTVVFVVSRGGPIMVLENARGVLRRE ARAQGGRALTDSRPSPSLPGVRSSTLIRDVSGAPA OJF2_RS25525 MIVDTGSQILHDPDIDRPRAVTGAIPAGHARRYQRLLVSPLPMV LGCLLAAVLVRHALGTRDLWLFLASVGLFAASLPLFQFHCLDCGRIGWYLRATRHACE AVTGRYRRGEPERTRMSAQTQCLLWIYVMVGGLLVASVFALGRL OJF2_RS25530 MAQTQTEAKPIVNRTQAQVWLRQMLLIRRFEERAEMLYQKGNKI GGFFHQYSGQEPVAVGSIGVLREDDYVITAYRDHGHALARGMSARAGMAELLGKVTGC SRGKGGSMHFFDAEKGFLGGHAIVGSHIALAAGVAFAMKYRGEDRVCICYFGDGAINQ GSVHEAMNMAALWKLPVIYVVENNMYAMGTSLERSSAVVDLRLRGATAYGIPGFTING NDIELMAKTTREAAARARAGEGPTFIEAQTYRYKGHSISDPGKYRHRDELDNAVKNDP IVVYENILKQRGWIDDAAIEKMRQDVKAEVEEAIEFAEQSEQPPLSDLYEDITVAPFI PQE OJF2_RS25535 MAVLSFREALNQAMTEEMERDDRVFLMGEEVAQYDGAYKVSQGM LKHFGARRVIDTPISEEGFAGIGIGAAMVGLRPIIEFMTFSFSLVAIDQIVNNAANMR YMSGGQFSVPIVFRGSSGMAGSLAATHSHRLEAWYAQIPGLMVLMPATPADAKGLLKA AIRCDDPVVFIEHEVLYPDRGEVPEGEHLVPIGKAEIKRPGNDVTLITYSRSLKVTMA AAEKLAEEGVDAEVIDLRSIRPLDMDTLINSIVKTHRAVIVEEDWPYCGLGAGISDRI TRTIFDELDAPILRVASKDAPIPYNKAMEQSMLPSVQRVVEAVNGVVYREV OJF2_RS25540 MPIEVTMPKLSPTMETGVIAQWLVKVGDQIKEGDVLADIETDKA TMQMKSYEDGTIVRIDRPAGDEVALGDRVMVLAKPGEDPKEVESKLAGGGEKKAAKPA GAGPVHSGNGQPTVEDEAEDGVAEDSESDSAANGEAGRVKASPLARKMAAASRVDLTK VRGSGPSGRIVRRDIDDFLAGKPAAPAAAKAASGGAKAAPAAAAKAPAAPAPVAARAA SPADERIPHTRMRKTIAQRMVQSKQSVPEIHVTVDIRVDKLVAIREELNRALAAEKLK LSLGDFVTKAVAMALRKHPGLNATFEEDAIVRKGSVNIGFAVALDAGLIVPVVQNADS LGLADIRRQSEALVAAARGNNLSTDQLTGATFTISNLGMYGVRQFDAIINLPEVAILA VAAAEKRPVVEGDKLVPGTVLTVTLSADHRAVDGAMAADFLRTLKRLLEEPAMMLL OJF2_RS25545 MAYDYDILVIGGGPAGYAGAIRAAQLKKRVLCVERDKLGGVCLN WGCIPTKALLSNAHLVELINGHGKRLGFTGQGAWDFGQMIGRSRQVAGQLNKGIEGLF KKYKVASKFGEARVIGPHEVQVGSDKVTAESIVIATGVHPRSLPGAEFDGKTIITSKE AMSLEKQPKSMLIIGAGPIGLEFGYFYNTIGTKVTVVEMLDRIVPGEDEEVSQALRKS LEAKGLKIFTNSKTTKVEKTAGGVKAEVETPAGNQTIEAEVMLVAIGVLGNIDGLFAD NVKVEIFKNHIKVDPKNGYVTNIPSIYAVGDVIGPPWLAHVAHHEAVCCIEKICGVSN RTVDYTTIPGCTYTEPGVGSVGMTEKAAREAGHEVRIGKFPFQYSGRALAADETEGFV KLVFDAKYGELLGAHLIGAMATEMISELVVAKKLEATEEEIMHIMHPHPTFSESIMEA AGQGLGESVHI OJF2_RS25550 MQDPEECKTPSRAGLVAKMFLFAMFVAVTMPSWIQGISRGIDGS WIVGLSLAEARGLVHGRDIAFTFGPLGFALVPTAHAGSSDHALPIRLAIFGLWCGSTG LLFFRVRGRFAPLVFLLAASLNGLPIPGGPSTTLIGMLTLAVLNFLILAELERRPGWA IPAAMLAGAAILTKFSTGVGCASALGIWSLIRIWREPTRQTARSLALLSLAYVGTMAV LFVAYGGPLSALPAYLRLSRELASGYSTQMVSSDVAYSPLVPAALVALTVLGLAVSAR RRSALAPVFAIMLLPMFALYKGAIVRLDMGHFQLHWPTMFSLFALLMPVASQRPRLRL VTTLGVALLLAWTLRYPTVSREGLAAIPANWGSLIRFRETKTAFRAGQEKVRAELWLP ERLRSQIGQEPVDVYPWEVMVAWANRLNWRPRPVFQAYASYTPILDELGAELYRDPAR APRYILYTYAAIDQELPSLVDSRTWAEMYRWYDCVDEAGDFLLLRRRPSPRWTGERLL LSATQAMKERLEIPEAPGGLTFLEADFELTLWGRLMAFLYRVEPPRVKLEFKDGSRSI HRTVWRNAGEGFLIGNVPRGQASVRTLFETGKGDECSAVTFRDISGWNFKKSFRVRLV HSPMKPDGGVARFAAGTARKAVR OJF2_RS25555 MTTALKIAAESPLEDLVESIYRNRFGKQDLARRAAVWRVLCRDW FEAYIPRDGRVLEVAAGYCEFINNVRAGEKVAVDLNPATGLHAAPGVTVHQIAAERLE EVVPAAHFDSAFMSNFLEHCRTREQVLSVLSAVGHALRPGGRVLILGPNYAACAAEYY DYFDHHLALTDRAVAEALELSGFEVEVQRPRTLPFSFRSKLPSAPWLVRLYLKFPWAW RFFGAQFFLVARRPR OJF2_RS25560 MKVLVTGSAGFIGGYLVEELLSHGHSVVGVDNFSKYGDVEQASL ENPNYTLVRGDAKDVGLMKELLSDCDHLVAGAAMIGGISYFHTFAYDLLAENERITAS TFDAAIWAHAHRKLKKITVISSSMVFENATRFPSREGDRRACPPPASTYGFQKLAVEY FAQGAREQYGLPYTIARPFNCVGIGERRAKCDVEILSGNVKLAMSHVVPDLVQKVLKG QDPLHILGSGEQVRHYTYGGDLARGIRLCIEHPAAENEDFNLSTPVSTTVMELAELIW KKIHGEWKPFRVVSDEPFQYDVQCRIPTVEKARDMIGFTADTPLDEILDEVIPWVGKQ LEVGVL OJF2_RS25565 MDRSVCIVGGCGHIGLPLGLVLANAGARVTLLDTSADRVESVAA GRMPFFERGADEELAVALEGGRLEATTDPDAVGRVGTVIVTIGTPVDEFLSPAIRAFD RAMEPILDRMRDGQLLILRSTVFPGVTERLARRARERGLRIDVAHCPERIAQGFALEE MGGLPQIIGGVTPTASGRASSLFGLLGVRRIELPPVEAELCKLFCNSYRYINFAISNQ FYVIAERFGADFERIRGAMMADYPRMSGFPGAGFAGGPCLLKDTMQLAAFNHNDFVLG QAAMMINEGLPRALVEPLKSRYDLSTATAAILGMAFKGNNDDPRDSLAYKLRKVLTFE CRRVLCTDPYIQDPSFVPLETALEEADVVFLGACHEEYRDLVIRKPTVDVFHFLRKET GDSEGLAAA OJF2_RS25570 MDGLRLEVSTRPIVAAAAPWNRGRTFRAAVVWGTWLLASLSLAL FVWTYMRNMPYVDDWVMVPVVTGHQAVTPDWLWAQHNEHRIVLPKLILVGLLRWIAAD FRAPLYFNAALLSVASAMMIGLAGRIRGRISLADALLPLAIINPGQGDVLVLGLTMAL LLSAFFSYVLIAALGKIEGRNSGRAVVVTSVTVVLLPLCGGSGLAMVPPLLLWLVGYA LCGLGSGSRPGWPARAFAIVCASASAMIAALYLIGYVRPVVHPAPPSTIAILRTALQF LSLGLWPGGTRSWAAPGVIAVALASVALARLAHVAATRPSERPRALGLAAILLSTACV TATIGVSRSGLTPSSGLAGRYVCMATPLVVLVYLTGLTYAPANTQRRLSVCLMLILAL AIPGSTARAKAQGRDRYACAMRVERALRAGQPESRVIDLIASGLWQDRGGVTHMVAML RSANFAGFGRMGTGDAAIARTAVESGASGPTQLR OJF2_RS25575 MIKGRCPTCSKTFEADSLDALPSFPFCSSRCRLIDLGRWIDGVH AIPGAPARGPSAGQAPPVEEDDPDDL OJF2_RS25580 MPTYDYVCDACNHEFEAFESIKADPQTVCPTCHEPKLRRKIGPG AAILFKGSGFYQTDYRSESYKQAAKSDKPASESSSSSTKSDGGSSGSSSSPAAPAASS PSSSSASGSSNGKP OJF2_RS25585 MTTPETERDPQYPDGDAGPAGAATGAQDPAAVLKERDELKDQLL RSRAEFANYQKRARQQAEADREYAVGNLARDLLDAMDNLERAEEALRASGQEGVSSGL EMVRKQILATLAKYKIEPIEALGQHFDPNLHEALMRKPAADVPEGTVVMELGKGYRIH DRVLRPSKVAVSVSP OJF2_RS25590 MATTKRDLYEVLGVARDAAPDDIKKAYRQMALKYHPDRNPGDKE AEKKFRESAEAYEVLSDAGKRQRYDRYGHAGLEGAAVHDFRSTDDIMSAFSDIFGGGL FGDIFGDRRRGPRPGPDLLMKLEIELVDAARGVSRSIEVSRQDFCGECRGSGAKPGTV ASTCNYCGGRGQVVQTRGFFQVATTCPACGGDGVRITDPCPTCRGGGRVPSTVKMQVD VPPGVESGMRLQYRNQGELGDVGAPRGNLQIQVIVRRHPFFERRRNDLFCQVPISFAQ AALGAEVEVPTLDGPDRIMVPRGTQSGEVLRIKGRGMPDINGRSRGDELVEVVVETPR HLTSRQEELLREFAEIEHHQVSPRRKSFLEKIRDYFTEEAEASESDDS OJF2_RS25595 MAKQLLFSDAARRKMLGGVDTLAHAVGSTLGPTGRNVILSKSFG GPLVTKDGVTVSKEIELPDAFENMGAKLVNVVASKTSDVAGDGTTTATILARAIYREG LKVVTGGANPTAVRRGIEKAVEAAVNELHEKLSRPVSKKEEIAQVGAISANNDPAIGQ MLADAVEKVGKDGVITVEEGKTASTELDFVEGMQFDKGYLSPYFVTSPTTMEVVFEDA LILLHEKKISSLREMIPLLEKVAQSGKPLLIVAEDVDGEALATLVVNKLRGVLNIAAV KAPGFGDRRKAMLGDMAVLTGGTVISEDLGLKLENLQLSQLGEAKQVKVDKDSTTIIQ GAGKKADITRRIDQLRRQIEETDSEYDKEKFQERLAKLSGGVALIKVGAPTEADMKQT KARIEDALHATRAAAEEGIVPGGGTALIRVIPAVAKAHQELSGDEKLGAAIVLRALEE PARHIAENSGFDGAVIADEIKNREGSVGFNAVTNDYVDMFEAGIIDPTKVTRTALQNA SSIAALMLTTEAMITNIKDDEKEGEARVEGSVR OJF2_RS25600 MAKLAIKPLDDRVVIQQIEAEEKTAGGIVLPDTAKEKPQRGLVL AVGPGKLLDSGERAPIGVVEGDEVLFGKYSGSEIKVDGEEIKILRESDILAKIVK OJF2_RS25605 MAKILAYEDEARQKLASGVSKLARAVRSTLGPRGRNAVIDKGWG APTVTKDGVTVAEEIELTDPYENMGAQLVKEAASKTSTAAGDGTTTATVLAEAIYKEG LKALAAGADAMAVKRGIDKAVAAVVEHVKGQAKKVNGKKEITEVASIAANNDKSIGEK LADAFEKVGTDGVITVEEAKGFETTVDVVEGMQFDRGYLSPHFVTDQDRMEVVLENPY LLIHEEKVSSPTKLIPLLEKIAKANQPLLIIAEDVEGEALATLVVNKLRGILKVAAVK APGYGDRRKAMLEDIAVLTGGKAIFKDLGIDLEHIQLSDLGRARKVTITGEETTIVEG NGSSEAIKGRAELIRKEITTTDSEYDKEKLQERLAKLAGGIAQINVGAATETEMKERK ALVEDALHATRAAIEEGVVPGGGTALIRASSAVEKLGLTGDEKLGSDIVARAAEQPAR YIAENAGIDGAVVVARIKKSNDAHFGYNAEAGTWGNMLEAGIVDPTKVTRTALQNAAS VAGLLLTTEACIAEPPKKKEAGGHAGHDHGGGMGGMGGMGGMGGMGGMGGMM OJF2_RS25610 MAVAYGMRDNRRGPATLVSSAGPTQGSPATSPATLEPLEAGLPI PADRPPRGWTHLVSKSIPKLETGDLDTVSQQAHVIASRVRPVIAAELESADGEPGSRW RLVRVGMGLCAPAAAEGEDVVVTASKVEGTRGGWTTKERLILTAMAYETSKATLVAAT PTFALVKTPVNSLVGGSHRKLDSYHAILVDPRTGALRTLVWQVPDDAGSARPGSATIP ARLMDAPVFSCPMDVHATKLPGNIPVAWSFAIRGLPPGIDIAIPASLMESLGRPDPDG TAASRLEYGLAALLP OJF2_RS25615 MQPGAGATRRRFMRGAASAIAVPTIVPSSVFGRGGKAAPSDRIT VAFIGCGKMANDYHLPELLKMGDVQALAVCEVDARRRDHAKKRVEKAYSGKSEYKGCA AYNDFREIIGRKDIDAVCIATPEHWHAIPAIEAMKAGKDVYCEKPLTLTLAEGRRCID VARKYDRVFQTGSQQRSNVFGDFRQAAEIIRSGRLGQVVAVTVGVGGPSRPCDLPEES MEPGLDWDLWLGPAPMRPYNSTLSPRGVHDHFPEWRRYREYAGGAHADMGAHHYDIAQ WCLGMDQSDPVEIIPPVDPRAGHGVAFRYANGVMIVHGGPSGCTFTGTKGTLHIDRGE LSSDPEKIVKEPLKPDEVHLEKSPGHHRNWLDCIRSRKRPLADVEIGARSVALTILGN LAYWNHRTLRWDPQKWEFIGDPEANRWLDRERRGPWQLPAV OJF2_RS25620 MLLKRTHTCGELTKGQVGEAVVLNGWVDAWRDFGGLVFIDLRDR YGVTQVVFEPDAGAELQARARDLRNEYVVGVKGTVAPRLAGKENPRLKTGEVEVRATD FVLYNATPTPPFEIGGPEPNEELRLKYRFLDLRRPSIQRVFLLRHELTQLMRNVMSEQ GFLDVETPILGRSTPEGARDFLVPSRVHASHFYALPQSPQLYKQLLMVSGFDRYFQIA RCFRDEDLRANRQPEFTQLDVEMSFVEDHDVMSTMEGLIAAMAQRFTGETLMLPLPRL EYHDVVERFGSDRPDLRYGLELKDLADVAAQTDFKVLKQAAELGHRVRGFCAPGGAEK YSRKDLDGLTEFAGTFGAKGLVWLKVEAEGFAGPTAKFFPSPAQAALRERFDAKAGDL ILIVADTQAVTNQALSNLRARLATELKLYDPKSFHYSWVIHFPLLAWDAEENRYVAEH HPFTMPMFEDLALLDSDPAKVRAQAYDLVINGEEAGGGTIRCHDPAIQSKIFALLGLS PEQAEEKFGFLLSALRNGAPPHGGIALGVDRLVMLYAGITNIRDCIAFPKTAKGTDLM TGAPGTVEPRQLKELHIRPS OJF2_RS25625 MNQLIGRFSMAAAMLFVAAGMARAGQITTLYSTGVADDGSALAN GAIDPHYTLTSTPGGSGYGPTAYVADDTKYPLSGGPWVGNLSDARWIGPVADQTTISG VTGSGYYVYKTTFDLTGFDPSTAHISGQWSSDNPGEIYLNGVDTGIGTTGEYAYQSLH SLDIATGFIAGINTLEFRIYNIPISSNNPTGVIAQLCGTAAVPEPSSAALGLIAIVGG LAVRKGMRGVRRPKG OJF2_RS25630 MPTPRLDAGQLDRLRLYNTPTIANAIELFDVRPRDRGFLPHAIR CLLPEIGPVVGYAVTSRTTAKPPGPEESEPDLLADYLRYVAAAPGPKISVAQDIDDPP GLGAQFGEVTATIHKRLGCVGHVTSGCPRDLDEVRALGFALFGLNPCVSHAYVRLVDF GGPAVLGGVTVESGDLIHADKHGVCIIPPSVAPDLAEACAEVERRERPLLEICRSDDF SLERYIELRLGLQSKTHE OJF2_RS25635 MSWLIWAAILPLCLVAAYFLLQRPIRLFLEDLHVDQARDTFHRQ REHLEARFVTMLGRVDVAEAGRWEEARWHDEVVWARDRQTRRFLALVCVHFEPEPFEP SSAERLATAVFEYNKGRWIAEGKRLDEIRPDEAVGRNRRYEPVAIIQPNVRRVS OJF2_RS25640 MPRTRAKCTAPPQEPPRFGAHMSIAGGYERAIHAAHAVGFGAVQ LFTKNNNQWKAPAITDGHLARFREALEATGIGALVSHASYLINLASPDDSLWGRSIDG MVVEVERCHALGIPDLVVHPGAHMEAGEEAGMDRVAAALDRILERTEACQVTIDLETT AGQGTCLGHRFEHLGGILERVRHPRPLGICADTCHIFAAGYSLDGGEGYDETIRQLEA AVGLHRLRVWHLNDSCRDCGSRVDRHAGIGAGRMGLEPFRRLVNDPRFRHLPMILETP KGTEEGEELDAQNLRTLRQLIAT OJF2_RS25645 MAVIHSLPNGPPSTATPTLTVDPSLFINRELSWLDFNERVLEEA RDPSNPLLDRLKFVAICASNLDEFFEVRVAGLQAQLYENLEPQDLPPDGMGPLAQLLE IARRAHDFVGRLYDTWLHDILPKLREYGIRICSPDELTPGQVGFLDDYFDSQVYPVLT PLAIDPAHPFPHVHNKSLNLLMRIESITQHNRLLYAVLQVPSVIGRLVPLPDEGDGQR RFVLLEDVIGPRLDALFGGYRVIERVAFRATRNSDLSIQENEVKISLLSTIEETLRQR KWGAPVRLEISERADDGFLAQLLSASAFELEERDVYKVPGPVDLTALAGLYKLEGFRE LKEPPFDPQMPACMATRKSVFSAIRESDILVHHPFESFGTVVQFIEQASEDPQVLAIK MTLYRTADSNPIINALARAAENGKQVTALVELQARLDEENNIDKARMLQKAGVHVVYG IVGLKTHCKAALVVRREHDGIRRYVHLGTGNYNPTTARLYTDLSYFTCRPDFGEDASA LFNLLTGYSQGGPWRKLVVAPVHLANRLTMLIERETVHARAGRPARIIAKMNSLVDPN IIESLYEASVAGVKIELIVRGICCLRPGVPGVSENIRVVSILDKYLEHSRIAYFLNDG LPEVFLSSADWMPRNFRRRVELMFPIEEPALRTRIIDSILALVLADNTKARELLPDGT YRRIKPKPGEPALRSQIEFQNLAREHAEDNPIRHPIAAI OJF2_RS25650 MASPKPEVPAGGEKITIENGKLNVPDRPIIPFIEGDGTGPDIWR ASVRVLDAAVQKAYGGRKTIRWTEVYAGEKSYQLFSTWLPDETVAAFREYLVGIKGPL TTPVGGGIRSLNVALRQMLDLYVCLRPVRWFHGVPSPVKRPEAVDMVIFRENTEDVYA GIEFEEGSADVKKVVAFLKDNFPKMYDKIRFPETAAIGIKPISREGSDRLIRSAIQYA IANKRKSVTIVHKGNIMKFTEGAFRNHGYALAEAEFGDATYTWGQWEKTKAAGGEEAA NAEQKAALASGKVLVKDAIADITLQQVLTRPNEFDVIATMNLNGDYLSDALAAQVGGI GIAPGGNINYVTGHAVFEATHGTAPKYAGLDQVNPGSVILSGEMMLRYLGWTEAADLV IKGMDGAIGAKTVTYDFARLMEGARQVKCSEFGDAVIKAM OJF2_RS25655 MWIPAEHHRLARRGAASIAEAFDAYEREFRSITARARHRFIRRD WPGMHRDAAERLDVYGRAVAGVAAAVRTSLDASEKDPKVWATMKGAYAELIAGRPDIE LARTFFNSASRRIFTTIGVNPQIEFLGPDLDDPADPPGEAEPVERTFPASRSLPGLIR EIVESCGFEAPFEDLDADASEVARRIEVEAARAAADPLDAIDVIASVFYRNQGAYLVG RIWRGTRATPLALAIVHGRAGLVIDAALLHADDVSILFSFTRSYFHVEVSRPARLVAY LKGVMPRKPTAELYNAIGFNRHGKTELFRDLMAHIQHSTERFEIAPGERGMVMCVFTL PSFDIVFKVIRDRFAYPKTATRREVMQSYQLVFRHDRAGRLADVQEFEHLAFPRARFS DDVLDELAREAGQTASIGADVVELRHLYVERRMMPLNLFLREASPADARAAILDYGQA VKDLAATDIFPGDMLLKNFGVTRHGRVVFYDYDELCRVTDCHFRELPTASSPDEDFAA EPWFYVGPADIFPEEFLRFLGLQGEPLRAFLEFHADLLTSRYWTGMQSKHRAGELVDI LPYRDSLRITRA OJF2_RS25660 MGIGSETTRRKVRTIGLAVEGVEERVLLSTGIASPLRSHVAHYA AMPKSQKLVLSGNLKGSLTPQPLADLQNVSLTATLQGRTGNRKLGNVLITATGIAPAA IQDQVGRMKLVSQLQVQFVTPSGSDTSPGTLTLGTSRNRSKVPFKVTVSLSNGTDILA GASGTYTIQGTLNANAGSISGRLRGTLRTQPIA OJF2_RS25665 MSYDRDQAAEEFTRWSESYDRCILQWLLFGPSHRALIRRIRAVA ADRPFRVLDVGCGTGLFASRIRAAMPEAEVVGIDLVPEMLAKGRRRWEFLADNVIPVR GDSERLPFASGTFDFVTCANSFHHYPNQERAVQEMQRVLRPGGRLLIIDGYRDGPWGW FIYDVCVTFREGNVHHASSRRFRELMGAAGFQAVAQKVHRGFAPFLLSEGVVPESIPS IPAPHFRVRQAVDA OJF2_RS25670 MMRKSPSPVEAPRHVLQLPVYMDNHSTTRPDPRVVEAMLPYFGA IYGNAASASHRFGWEAAEGADRAREQVARALGAEPREIVFTSGATEANNLAIKGAAQA YQRRGKHVVTAAAEHKAVLDTVRRLGREGWEITVVPCDATGRVDAASILPVLRPDTVL VSVMAASNEVGTINPIREIGRICRERGVVFHTDATQAVGKIPIEVNDDHVDLLSLSAH KVYGPKGVGALYVRRRDPMVRLVPQMDGGGHERGMRSGTLPVPLVVGLGKALELAMEE RDAESARLLVLRERLHRGIAGRVEGIRLNGHPTERLPGNLNLSFAYVDGEALMMAMRD VAVSSGAACTSVDPEPSHVLLAMGLDEDMARASLRFGLGRFTTEVEVDFAADAVAEAV ERLRVHSAAWAFSKTGREV OJF2_RS25675 MGVTLTEKAAGEVKKIISEQNLPEGTVLRVGVQGGGCSGFAYSL NFDTGTTDKDRIVDAHGVTLAVEKKFDPFLDGTVVDFYDGLEKRGFVFNNPNVVKSCG CGSSFQV OJF2_RS25680 MPTVLIGPHLIRNQPGPFRDVLLASGFSIVDPEAGFVMTEEDYR KYLPDADALIAGGERLPGDVIRAAPRLRAIARTGVGYDSVDVATATERNIPVLITPGT NQESVAEQAFALLLALTRNVAASTAAIRQGTWDRRLVAPIRGRTMGLVGFGRIGHAVA VRALAFGMSVVAFDPLAEQDAFDRAGVRRVDLPELLASSDVVSLHAPLNDHTKGLVDR KFLSLMKPGSYLINTARGGLVVDEDLRDGLVSGHLAGAGLDVFNEEPPGPENVLIGLP NVALSPHVGGTDRQSMSDMAEMAARAIVDLHQGRWPEGCVVNEEIRPGWRW OJF2_RS25685 MARRLPGFRKAYVTGLDRTPARLSVEFRNGLMSCFRETSESGRL FVPWPITGYGTPIVGTATLAERQAPYVLALELARGKLNDVRNQMADWVQLGLRIAPEL AAAMSSAHKGFVAAALASDDPDASLAAAQSSLEASSRAGELLTEAYLSQILQNRLAAT GKLSTHLGCMLSSDPEKTPGTGQWPTAFNACQVGVSWRQLAPSEGKFRWDQLDARLAW CRKNRLEIEAGPLIEFRPGALPDWIWLWEGDQETIGGFVTEFVRQAVLRYRGKIPHWH VVHRAASQEILGLSEEEQIRITARAIQVARQADPAAQFSIGIDRPWAEWMSSSHFQLG PLHLCDYLLRSDLGISSIAIEIAPGYTTPGSHLRDLFDLSRLLDLYSLLNVPLHLMIS VPSGVGPDAHADPSISVDVPQWPSPPDEVLQSNWGARWLALGLAKPFVRSVTWMGACD TQPHVYPHSGLFRADGTPKPLFAWLQSLRKDVIA OJF2_RS25690 MTKQRELPFLARARRRDRGFKALIAAATLVAGCALVAGQPDARG RAREVLESWRWRASELAGMSAAEDRLLALRRVRRPIEVESARRSLERSFRGTLSPPMR EFMRAAKMDAETGLLRWGNFDRTLLLSSAVLEADDSGRSYRLLPQTRSVWVIGLSLRD MLGLFLVPDNAEVRAAAARAGGTVVEGSRQWTNSWGCRGPEPDPDAPFRLLVLGDSVM EGALIGDDETPPARLGVRLGEALGERVSVLNTGHLGYSPEQYFHTLEAFGERFRPHYV VMSVTSNDFGDMNSPDNWLESEHWVDAVASLCYHRGWPLLLVPAPNEADLVGRRDLSL FTGQVTRIYRRSGREYFDPLEAFAAEKIRLRVEQLRAGTCCTSTLYNLHLQGDRHFSP AGADLWAREVAKRLQLDLAWRELSKAPAR OJF2_RS25695 MAAATQRTTIVGVFEDRSQADKAVSALMRAGFRQDQIGVAMRSN EGEYAATDETAGETHAASGALTGALTGLGLGALAGLGVLAGVIPVIGPAITAGTLGVV LTNAAAGAGIAGMVGALIGAGVPEHEARYYDEEFQAGRTIVTVTADGRSSEATSILRD HGAYDMSSRSSAAGLREGQPGMTGSRAGVTPAGHTSSGSSTSATTYSAGHESATTAGG VGSSGASAAPRSGSPTIRGSGADSIELREERLRAEKRPVETGEVSLRKEVITENRSID VPVEREEVVIERKPAHGRASSDIAAEGQEIRIPVREEQVSVTKEPVVTEEVTVGKRKV EDTKHVTGQVRKEELRVDKSGDVRLDEKGQGRSS OJF2_RS25700 MSAGELELYSTQDLVDELLRRTTFQGVIVHAKDGAKSPTWEGER VFSVRHSPSLGTEEAGRLLDAVGQYIAGMA OJF2_RS25705 MFDDLQKRLGTAFRRFRVSGLLTEANMKEGLREVRTALLEADVN FKVVQKFMDRVTAKAVGTQLIKAVRPEQQIVKIVHDELIELMGEADPTVRFEKAGPTV LMLCGLQGSGKTTTCGKLARMLANQGRRPMLVAADLQRPAAVEQLKVIGGQLNLPVFS EANSNPVKVCQDALVEANRQNCDTIILDTAGRLHVDDELMAELVQIEKKVKPHQVFFV CDAMTGQDAVASAEAFNKALELDGVILTKLDGDARGGAALSVRKVTGVPIKFVGKGEK LDQLDPFAPERLAGQILGMGDIVSVVERAQQAVDAEEARRQQERLAKGKFDLNDFRQQ IVQMKKMGSVRELMSMIPGLNQLSGEMGGIDAEGEIKRIQGIIDSMTPLERSRPDLID MARRRRIASGAGVDPSDVSGLVKQFDAMAAIVRSMSQMSMFDKLKALTGLGKAAASNP GARIFAPKVGTGKRLSPKEREKLRKQREKEERKRRREERDRPT OJF2_RS25710 MKSMGRRHRPFFRICAMDSRTPRDGRSIEELGHYDPMSRNAETQ TVLNVDRLRYWLSVGAQPSEKVQALLRKHNVKKPAPGEPWALPKPAAAPVPSAPATTP AGPAATTAP OJF2_RS25715 MAPPALRIDVLTLFPAVLDGFLGQTIVRRAIDKGLVAIERWDLR DWAEGKHKQVDDRPFGGGPGMVLMAPPVVAAAEAVRLAAEPEGELIALSPQGPRLTQA AVADLATRRRLILLCGRYEGFDERVFDVLSPRLLSVGDFVLSGGEVAAMVVIDAVVRL VPGVLGDDQSAVDESFGPDGGLEYPHYTRPREFRGRAVPDVLLGGDHAAIARWRREHR RPSPPPHPAS OJF2_RS25725 MDAEIVVVSGLPRSGTSLMMQMLDRGGVQAVTDNIRTADTDNPR GYYEFEQVKKIKEDANWVPQVRGKSVKMVSQLLFDLPAEERYRIVFMERDLDEMLTSQ EKMLERLNRPAGPREEIKAAFIKHLTRLRAWLAQQPNMKVLTIRYSDLVKDPEEQARR INEFLGGNLDVAAMAEAVDPSLYRNRKPAG OJF2_RS25730 MTLAAATALVAGSALVHAQGPPGGRGQRGPGGFGGPGGFGMGPP GMMGGFGGGGETFLLSTPAVQKELKLTEKQKSKLQSLQKAWQTKMQQSFSRNRQGGNR NQDREQAREAMEKQRKQHDEDLLKVLEPSQKTRLTQISLQSQGIFAINNPTTAKALKL TEDQNAKVKEAMEEMQTAMREAMPFRGGRGGRGPGGEGGGPPGEGGGPPNGGDAGDEG GGPPAGGEAGDDGGPPGGGRPNFNPEEFRARFEKMREVMTKARTDAEEKLNSVLTDAQ KASFEKMKGKEFDLASLRPGPGGPGGRGNAANRGNGNNRTNRNRPNRNRGNDQGNDDN GAQEGGEPQPQPE OJF2_RS25740 MDASVRDGETSSLRRLEAGVLERDAFGTSLAGLRVALVHDWLTG MRGGEKCLEVLCRAFPSATLYTLIHRRGSTSPAIERMRIRTSILQSIPGVDRHYRHLL PLMPVAARGWKPRDVDLVISLSHCVAKAVRVPVGVPHICYCFTPMRYAWEGREAYLQG WRDRPVRLAAARFLLGRLRRWDEATSDRVTHFVAISETIRGRIAACYRRDSRVIAPPV DTEYYTPATDAGSRDREDFYLVVSALVPYKRIDQAVAACSRLGRRLVVIGAGPERARL EAMAGPSVSFRGWQPDDVIRDHYRRCRALLFPGEEDFGIVPVEALACGAPVMALNRGG ATETVSNEVGRLYDEPTTDGLVACLESWEAAGRPHDPARARGRAEEFALGVFTRRIMG LVGEVAGASRPAVPPRPHVTLDRP OJF2_RS25745 MPAKAPATATSPLAARLLGDLVGIVGAENVLHDREELLVYECDG FTINKKVPDVVVFPTSTEQVVAIVKLCNRLDVPFVPRGAGTSLAGGTLAVGGGVMICL TRMNRILEVNTRDRYAIVEPGVVNVWLTRALTGRGFHYAPDPSSQTACTIGGNVATNS GGPHTLKYGVTVNHVRGVRLVLPDGTVADVGGVTADPPGYDLAGLVVGSEGTFGVVTR VTVGLTRDPEAGRTLLGVFDSVEAATETVSGIIAAGIVPAALEMLDNLMIRAVEQAFG FGFPTDAGAVLIIEVDGLDVGLDREARDIARIVEARGGRVERSITWRTRKEPEYVAIW KSRKSAFGAIGRLSPTFCTQDGVVPRTRLPDILRFIEGVSRRHGIRIANVFHAGDGNI HPILLFDEADAAQVASVLQASHEILDECIRLGGSVTGEHGIGVEKMALMPRLFAPEDL AAMVAIRQGLNPDGRCSPSKMLPTGGHCIERSAPGRRSSA OJF2_RS25750 MNQDPNQGDRNKRRASRRDPYSRWSRLPPVFRSGEHRLPAAGEQ PLRITLYLKAAILDQAEALAEKAGSASVQDYCAGLLAAAIEADRIRSQVADVEARRGA LEGLNAIADDPDYLAEWREKSGSVEIPPARRGNGHESSSPAPDVTISLPAKPRLPEPD DADEGSAEDARTGDPGSPAVVRIERSRPRDEPRVAERIRPEVLNESAIDVLMRNLRTD DPSAEEFLPALRSGRQVRAEKGEEMLRALDQVEADLRGVSVLERRLSYALHRLALESQ VLLTELWPGVFDEPTIQLIRAVQERVERILSGPEVHFLPPGDGPTWEAAP OJF2_RS25755 MNEAGACRWPEPPAAGPLGDGRHASARLRPGSVADLCSAVRDGV RSGMALYPQGGATAIDYGGPPARPGVLIDTRGLDRVIDYPHADMTITVEAGITAAELA TVLAAKDQRLLVEVPQADRATLGGVFATGVCGPRRFAWGRPRDQIIGVRFVTSEGVEV KGGGRVVKNVAGYDLPKLLTGSLGTLGILTSMTLKVRPRPESSAVAWTRLDPGHDLEA ILAGLNTTAARPVAVEILDAHSAAIVGEDAGLPAAQRVLAVGFEGSADSVRWQLDRLE EELPPGRVTRAEGDGAERLWAGLTEFQVQAPGPVSVVVAMRPSRVAWFLDVVDGSPWT FQCHAGDGIVRLHGLDDPGEDAMAGRIESLRGLAREADGTLIVPRCPTAWKPRLRVWG DPRPDWGLAAKVKHALDPDGALNPGRFVGST OJF2_RS25760 MTAPTDVMQDRAGVDLDWLSRRIDYRHFQECVHCGLCTASCPTY LETSNENDSPRGRIYLMRAVLDRRLGMGDAVRRHLDLCLDCRACESACPSGVRYGALI EPFRLAMSRPVAGVPRRGRLERWVVQHLFPHSRRVRAALFPAWVAQKIGLIDLAERTG ATGLLPATLRSLVAMLPPLSRPGSRLPQVLPPIGPRRARVALFTGCVADALQPGTNRA TARVLRRNGCEVIVPRSQACCGAMHYHSGVEAPAWDLARRNIEAFPSADVDAIVVNAA GCGAFMKDYPHVLPDVDRERAALFAERVADVSEFLDGLGPATPVHPVPLKVAYHDACH LCHAQQVRSQPRRLLESIPGLVLVPLEESELCCGAAGTYNLTQPEMSAQLASRKMDRI EASGADAVAAGNIGCLLQIAREAKRRGSRVKVLHTVDLLDMAYEGDARGADRLNGR OJF2_RS25765 MEFYRPPSAAELRERWASDPRWQGIRRDYDAADVVRLRGSVHIE CTLARRGAEKLWQLLHTEAFVPALGAVTGNQAVQMVEAGLKAIYLSGWQVAADANLAG QMYPDQSLYPCNSAPALARAINNAFRRADQIQHAEGRDEVDYFAPIVADAEAGFGGPL NAFEIMKAMIEAGAAGVHFEDQLASEKKCGHMGGKVLVPTSQFLRALNSARLAQDVLD VPTVLVARTDALSAHLLTSDVDPRDRPFLNGERTPEGFFVQEGGLRAAIRRGLSYAPY ADVLWCETSEPNLAEARRFAEAIHDEFPGKLLAYNCSPSFNWKAKLDDAAIAGFQREL GAMGYRFQFITLAGFHVLNLSMFELAGAYRDAGMAAYARIQQQEFAREDQSGYRAVKH QRFVGTGYFDQVSQCVAAGKSSTTALAGSTEEAQFDVVTAH OJF2_RS25770 MPASESVSPAVAGVEIRGPMRPGYEEILTPEALGLVAALQREFD GRRRELLARRAEVQARLDSGWNPDFLEETRPIRESDWKVAPIPDDLLDRRVEITGPVD RKMVINALNSGARVFMADFEDAHSPTWGGTIEGQINVRDANRGDIAYDSPEGKKYRVR SNPAILMVRPRGWHLDEAHVLVDGRPASGSIFDFALYLFHNAAVLKAKGSGPYYYLPK LEGHLEARLWNDVFTRAEQALGLPVGTARATVLVETILAAFEMDEILYELRDHIVGLN CGRWDYIFSFIKKFRNRPEFVLPDRSLVTMTTHFLRSYSLLTIRTCHRRGAFAMGGMA AQIPIKGDPAANEDALRRVRDDKVREATDGHDGTWVAHPGLVPVALEVFDERMPGPNQ LGRLRDDVNVTAADLLAVPAGPITEAGLRININVGIRYLESWLRGSGCVPIFNLMEDA ATCEISRTQIWQWIRHPAGKLDDGRKITVELFRQFMDEELAKIRADLGPEAYDSGKFP IAREIFDEVTTSPTLVEFLTLPAYKYLE OJF2_RS25775 MRKPSPPQDGQGNRLRKLRSFCYAAQSGSISRAAEQAGLSQPSV SLQIQSLEVEFHARLFQRRGPKIELTPEGQALYRLARPLVESLDSLPATFHANRHGLE AGRLTIAAGESTILYILPGAIKDYAAAHPGIELKLLNVSGRDGLKLLRNDSADLAVGS MIEVPEDISYTPAFRYDPMLIAARDHPLALRPRVTIKDVARYPLILPPQHLTTWRVVD YVFHKYGLSYRVALEAGGWEVIKRYVAIGLGISVVTSLCLDGTEDLAAIPFHRYFPQR TYGVVQRKGRIPSPQATRFIEALTARAKDQAASRGRRRPASPGRPGQS OJF2_RS25780 MKRVAILGAGGMGTALALLFRPAVPEVVLWSRSDEHASAMSESR VNARHLPGIAIPPGIHITSDLPEALPGADLVVAAVPTAYLRSVMQRACGHLAADVPFL SVVKGIENATFERPSQIIVEAAGERPVAVLSGPSHAEEIARGLPASVVVAGRSAALNQ LVQETLSHEAFRVYTNPDAVGVELAGALKNILGLAAGICDGLGFGDNAKAAMITRGLV EIRRLGVAMGGQAATFHGLAGFGDLVTTCYSPFGRNRSVGERVGRGEPLEDILAGMVN VAEGVPTTRSVHALASKIGVEMPITAELHAVLFEGKAPREAVYDLMVRLPKGEWDS OJF2_RS25785 MSELPPACAIAFKEWDGVCRALLEGRQSLLVRKGGIEEEGGVFR PEHPAFWLYPTRVHQAEQGLRESWGLPSGPVDPAHPIELRGLAHVDRIARVSETQHLA ALMPYHVWTEETILKRYRYREPGLWVLAVRVYRREPAVTLIPTPEQLGCKSWVGLEAP LETAGASPVVGDVEWKDRLDSLSTLLPWEDQPA OJF2_RS25790 MTARSLLREIRQFPATVLFSLAWVVVFAAMAVLWRQGGPADDWW GLLIRGVGNGHRFGALTLADIRHGQVWRLVTCNFVHFSLLHLGVNLFAFYLLGSLVES WYGSSLFTAIYLISGSLGNLLAMLVRLGMGSNPLNQSGGGSVVIMALIGLCAMVGWRS RTPMGSDLGWQMAKAVVMTGLLGVAFSRYIDNLGHLGGLLVGLPIGALHPRLLKRYGR PLSWFLGQVAAAVIVASGAAQWAAEFRHGTSQNASRDETLRRALDETNMTMRVLSLLG ERPEDRKDAARAFESAGAILEAAGGRVAFRRGAGLALQASRRRLTDAEEAELDRCLGS LSRLVLVTFARVFELGPDPAGVDRLKAIAAVAETRPLTDAEREEYRRELAIQKRFVSR ELAERVRRYWRQEEEEARKRARLH OJF2_RS25795 MRFDHHLHTSRHSPDSVIDPLELIERAPRVGLQGVVITEHDHQW APDELADLASRAGDLRVFSGAEISAREGHFLVYGLPSLADVEPGIRVADLLKVVRGHK AAIVAAHPFRWGQPFDAIVAENGPAFDAIELVSNNVTDETRSLAERLIGRTPMRATGS SDAHEIDVVGCYFTEFSTPIRSMADFVSALQAGGFRPGHRRGIRLSCGPAD OJF2_RS25800 MAVYFASDVHLRPDHPDRGSRFAAWVRTLGRDDVLWIVGDLCDF WMSTRYQEHEIVRGQGLVALADFRAAGGTLFVMPGNHDLWMGPFYEANLGATMVSEPH EVTVHGHRIHMVHGHLLGARKKWKALMETRQFFRAFGKVPAPIARSLDRLLDRKNQND LLKDEDRHLAVFRRYADAQGDRADIVILGHVHRPVDDAAAHPRMVVLGGWQRGTSYLR IAPDGATFHVIPREQDPPAAAPAGALRRNAGASCPPS OJF2_RS25805 MVFQFAVLGSGSRGNSSLVAEAGGQGLLIDAGLGPRAMDQRLRS VDGDLSRVSSVLLSHTHGDHLDSGMLQAMLRRGIALYCHEGHRDELDHDQGFQDMDRV GLVRHFDDRPFLAPGGFRIEPIPLKHGGPTFGFRVESVPRRRARAISLGYLTDTGCWS DSMADVLTDVDILGVEFNHDVAMQRASGRSHALIARNLGDHGHLSNRQGADLLRSVLR RSGRDRVRHVVLLHLSQQCNRPELAIHEAREAVAESGRRILIHAARQSPAHPNLHVDT SKKAASATAPAAPRHLGRRGPAGGAQALLPGFFQDNP OJF2_RS25810 MRRRAFRPELPGQLEDRSLASVAAGSPGHPAVFRKGMVNDIIRE VHSQFLVYIRYRDPTLLREQIDRNVTLIPYSRADRLLDSIDGILHDMLRDQAAGAPRP VLKASNRTIALIRSDVVQHVKAGDLVLTLY OJF2_RS25815 MSFVVDTLQLKGFVAIADTGTFGKAAATVNRTQSALSLQIKKLE EQLGCVLFDRTGRRVVLTPEGEIFLGYARRMIQLQWEAFSRLREPDIEGEIRFGTPED FATHYLPSVLSTFRQHHPRVELNVACDLTLNLLDGFALGQYDAILVKRDPQRVRGGTK VWREPLIWAAADGYRTEEPLSLVLSPMPCIYRARALAALDRAKRTWHIRYTSPSLAGT VAAVKAGLGVTILPANMVPAGVHPARDELRLPELADAEVALMKRDELSRAAQVFCEHI VHSLESRGRQNAHG OJF2_RS25820 MLDALREGAAFRADGLSWVMATLIVFVVLNVLAYSRRYLDGDRN ARGHRRDVALLGAAVLALAFADHLAVLVAAWAAANLLLVRLMMHNGRWEAARNSGLLA LGTFAAGFAMLAAGTSLLAFEAGTASVRDIAAGAADPTATRSLGLALVGLAAMTQSAA WPFHLWLMSSLNAPTPVSALMHAGLINGGGFLLVRFAPLYATEPWLLRAIFLAGLITA TVGTFWKLLQPDIKRMLACSTMGQMGFMLMQCGMGLFAPAVSHLCWHGLFKAYLFLNA GSAVREDRRGTSVAGVTPIGLIVASLAGVLGAVAFSRASGIGLRVSDTSCVMAALAFM AAARLAAGLLDGRLAGWKVVAAPLAGLLAGGLYGLNVRMVEALLPSLAAARPQALDGV YLAGLIVLSLAWLAATADLPSRLQSLAAWKRLYVAALNASQPHPRTITSTRTAYRY OJF2_RS25825 MNALLRPDAGRRTGRRHPEGPQIGELVAAASDVVAPAWPLKTFI AVNPLQGLEDRPFEGAVLEAQHLRQSERRPDAGLQAVNRELIKWCSVFFDEGQAVFAM PNRHLGLYGAFADLAPFDRRLRKAGPAADLLDSLSDSPEAAIEACLERLRVPRDGREE FLRRALAALPGWAGHVKWRQDWQEPEEAAKRPASLVDYLAVRLVLTCILWPRAAEAED APPSEPSFLAELPAREASYRDTLLGKLLPHAGTPEPADRPRPDAQLVFCIDVRSEPFR RRLEEQGDYETFGFAGFFGIPVRVKGYDDDRSHASCPVLLKPSHEVCERASGAEPARV RRHELGRTLLRLPNSFYRWLKYGFATPFALVELLGPWLGLRMLARTFCPSLLAAASTS LKTAVMPPVPTEPVLDGIPPDGQADFAESALRMMGLTGNLAPLVVLCGHGSTTTNNAY GSALDCGACGGNHGGMNARILASILNDGRVRTILAGRGLAIPDSTLFLGAEHDTTTDR VEVGVPAHAGDEHRSRIARLRVDLDQAASQNARDRCRSLGVEAADGPQAVRATERRRA DWSEVRPEWGLARNAAFIVGPRRLTRSIDLEGRCFLHSYDWRTDPTGKYLATILTAPM VVAQWINSQYFFSALDNVAYGSGSKVTQNVTGKIGVMQGNASDLMHGLPLQSVHASDE KPYHEPLRLLTAVFAPREMIDPIIQRQEVLRKLFGNGWVQLACIEPEDGRAYRLNRDL TWAACS OJF2_RS25830 MQIECPSCRRVLVYNGDRPSFCAYCGVRFAGQGVAVADLAGSSE DVRPAAIAPNPRAEPPTDPDSTRAQPAGFVGETVVFEGSSPHPGGPTEAFPEQIASYR LIRKLGSGGMGTVFEAEDEAQGQRVAIKVIGGDYLDQSEAVNRFRQEGRLASAVTHPR CVFVRAVDEFQGRPYIVMELMPGTTLQTLVEQGGPLDSSAAILKILDVIEGLQEFHKR GLIHRDVKPSNCFLDDEGRVKIGDFGLSKSLEGGAGLTRSGTFLGTPLYASPEQIKRD EVDQRTDVYSVAATIYYLLSGRPPVRAEDATEALARIVSEPAPALRGLRPELPRALEA VIHRGLERDPSRRWRNLQELHDALLPFVPERLSIARIGRRVGAYLVDLGLWYLVSYAI FGIVLLYHNMEYYPSNRVYIEYARLIGWWERVLWIAYYAVVEGLWGASLGKWMFHLRV CRTDRGGPPGIGRGLARSSIFYSLTELPADLYAEFAAQPQELRMLPAYLMYELAIRAC GPVALLSTMRQGSGLRGPHEWLSATRVVGAVRRSRPRPTHRFRAIAGSRSSAGGPVAA DVPATVGPYAIREVVESNGRRSVMVGQDSTLGRDVWVVRREPGDPTIPVSRRALSRRG RLRWIGCGEDRSGRWDAFTAPAGVPLEEMVSDEGLPWRDVLTLLRELADDLRMDCDEG TLPGTLSPRQVWIGPDGAAQLVEFLDDPGSDRAGQGGAAPAATPAGPRSPEGGGPPHP SPEERRAMSFLGEVARTALEGVRPRGLPRFLHNPNGSWSVRRRLARRAETSGLTPQAG RQRIRAAVPERARLLLDRLTGVRAPFHSLDEVRAELEQAASRPTEVGVARRAVHLAIQ GFFLSPGLAVMLLISSGILGPGLFPGDAALMVLIPMLWVLWSAVSPGGFSAALAGLCL VRGDGRPAGRRACILRSLLIWAAPAALLAASCYVRDVSPRSLGLALALWLAALVILLA FVALALIFPARSIQDRLAGTILVPA OJF2_RS25835 MAWPGSSRIRWRSVLTWAALAGGILVVAAGLASLGAWLPLIPRP QARRLTELLLRSVLVGYFSAAVLLPTVLVLAASRILRRRRRGLSSPLAARLALASASG LAAIAGCELLAAGWSAWAHRMPRLPTTFPRASRPGELSLVVIGGSTALGYPYDPKVSI GRIVANAVEDALPGTTVELDMRAKLGANLEDMHKGLTSLARRPDAILISCGHNEYLSR FATSRDAGFAEAPAAGPLRWLYGASLRSPFCRWVYEAVRLLQVGGPPPLVNRHQPIDP PMFTPSEHRRIVEEFRRRLEALVDYCECIGAVPILMIPPGNESGFEPNRSVLPDDVTP AERERLAGRLLDAREIEDENPDRAVAAYRGLLEDAPDFAEAHFRLARLLERARNHDEA RGHYIRARDLDGFPVRCQTELARVYREVAARHSLGLIDGPECLRPLSAHGILDDTLFH DAHHYTLRTQVALAAAVFDALAARGAFGLSRDAPPSPRDDDAVRRWARRLGVDDPLWA TVCARTGTYYMHLASARFDPAERERKRDRFFGAAGALKSGSAGAETLGLPGVGTASAG PFAWDWWRAGDRPAGRSTGGDPASPGPPAAARPERSGRSGSDPAPGDLPPAR OJF2_RS25840 MDRDVKNDMIAAWRCLAAFLIYPVTVFPGLHALGLLVSSLCVPL DAWSPEGALSNLLLGLPAIAAIAALWCSVVVPLPVLAGNRRLFVLAASGLMAGLVLEA LFLRAGLRGGFGPTFRMTVARVWLFFAPPVVGLVNLYLLIRASLASQGESVADPIEAM ATRLSAYPRHHLPESPARAPVVLRPFRPGGPG OJF2_RS25845 MAISSANDPVRVAFIGVGAVTAYHHLPGLRLDPRARLTAICDAA PALLEQRRKEWDVPLASTDPEEICRSPEVDAVVIATPNDTHRPIALAAARAGKHIMAE KPLGLNAGEVRQMYEAARDNGVVHMTAFTYRFAPSMRYLKHLLRSGALGTPRHFRSQR FLDLPETSWGWRQYKERAGAGDLFDMTIHRIDFAIDLLGPIARVCGAVARFAPRAQTS DGRPCPPSDVDDWSSLIGEFESGATGVWEGTTLAKGYHRDGFGHEWAEINGSEGSAVY RLHEPNTILLGRSGQDLAPVPVPSEFLKPEASPRDPSQGAPATVFRYDLMWEFVSAIV ERRAAVPSFLDGLNAQLVADAVLRSHTERTWIDTPLAKP OJF2_RS25850 MANDRPIRVAIIGLGFGAEFIPIYQNYPGAEMAAICRRDPKGLD ECGDRYGIKGRYADYRELLKDPSIDAVHINSPIPDHAWMSIEALEAGKHVACTVPMGT SIDECRKIVEAQRASGKVYMMMETVVYSREYLFVKELHDKGELGRIQFLRGSHQQDMD GWPDYWPGLPPMWYATHCVSPCLALLGKHAESVVCHGSGRIREDLIARYGSPFAIETA TFKIKGSDVVAEVTRSLFDTARQYRESFDATGSVKSFEWQQVEGEDPVIHTKSSTEHP LSEAEIPKRVKVPDYARLLPEGIRRFTQPAAIQDAEHLSFLQGGGHGGSHPHLVHAFL SAVRGDRPAMPDAETSANWTMVGLCAHESAMKGGERVEIPTF OJF2_RS25855 MSTDRLNDLRAFRDFADGKLTSGESPPTLDHALALWELENEGEE DRADAVREVREAIDDMRSGDRGVPLDEAIAELRQSLNLPKVS OJF2_RS25860 MNYQVRVLAKARRDLESILRYIALKSPAGAARLLGRFQAEMKRL EREPYASAVAPEADEVGEEVRHALFRTRAGRTYRAIFVIVGEEVRILRVRGSGQPPVR DWELKE OJF2_RS25865 MNTSGGVLAREIDPGPWIRWAREHPSTLILAAGAMLRVLLYASN REPWMDEGSLLGNIVGKGVLDFSERLSSEQLAPVGFLIVERAISQVLGGHLLALRLLP LACGLASLPLFRSLATRWLSPRAALLAMMLFAFSSDLVYYSSELKPYMGDVAVGLAAL VTASACLERPRRPGALARFAAIAAASPWFSFPSVFVVAAGGAVLLADAARRRDARDIG RLAAIAVSWGIGVLGTRAYAQVMLGTTNGMYVFWNFAFPPLLPLNRPEFGKLTGIVLE VLVNPLDLVAPGLPAAFVALPVVLLVLGSVSLARRERWGFALLALPVVFSYLAAALRI YPFHGRLILGLTPGLYLVIAEGVAAIGRRLGRHAAWVVAAALLVFPVYSSILQATGND PRDFNAHGDLHRNRFMD OJF2_RS25870 MRITWYGHAAFLIETDGLRIILDPYRSPDSGGYLPIDEPADYVI VSHENDRYHSHLGQITPPFEVVRALEIPPEGVNLRGVRVQAVHVFEDARRLPEDEVAI IHFHAGGLHVVFLGDLGHPLSEEELAPLRGADVVLAAAGGPPTIDFPEIPPLLDAIGP KLVLPMHYKTPKIDLNVQPVERFLEVLPDDPVIRTGTTSYEVTPASLPHRRTIVVLDH LR OJF2_RS25875 MARNASDALTEREAEVMDALWRLGEATAEQVREAVPGAPHDSTV RTMLRILEAKGYVSHEARGKVYVYRAAVDRSKAQRQAVRGLLARFFGGSAEDLVLRLI EDESLTQEQLDKLRDAAQPAADPPSKRRKKGDRP OJF2_RS25880 MSPMADGSWTDSIPGLAFELGWKSTALLVAVVVLVLATWRRPTI AAALANAGLVALLLLPASLVLLPPLTLACLPAARVTTDGIEMSSGLQVAEPRVIERIA PPSWPVPDDTRSKPAARPPLAVPAAEGPRVTPRPDDSVGIRPAAVMLTAYAGIIAILV GRLALGLAAVRRLRRRSVAVDEVEWIAALDRGRARLGIRRPVALAWSSGVSVPVAIGW RCPIVLLPASVSSLEGRHHTDAILLHELAHIRRGDYAWNVLQRLAVALYWLHPLAWLL AWASAAARERACDDLCVHEMGGPAGYRATLLAVASGLVRRPGPTLGLAMARSPRLARR LRGIERSRGFSRCLPRGRARLAIATTALAVVGLVGASRLTRAQVQDPSNQPGKATTEA TSGKVFHLAVVSAATGKPVPRADVRVWMGLRNDWRVTDDEGRLEIRHSTGPADRNLSV DVWGDGYAMQRHDWGNKPREAMPEGATIKLMPGETLGGLVRDEQGRPIPGATVYLWSH NYKHKDPHELLFDLRAVTGPDGCWKTSGAPETTGEILGFHIDHPDFLSDRDYTAEREL PKIADLRAGKAVSVMSKGIPVEGRVLDADGRPVAGALVRSASWEQSLPDGSGLFDVTT DSNGRFRAGQLRSQEYFFVAKAAGHAPGEARIRVRQGAPGVEIRLGRARALEGRVVDA DGKPVEGAFVNVDTWRGLRFLGVYLYSDNEGRFRWEDAPEDVLQINVSKQGYLALFRQ QTDPSAKDLVFTLHPSLSVSGWVLDAETKKRISSAKVEFASAAPGNEEEPAWTALPPN AVGVHEGRLDAHFPVSSDAYRIRILAEGFEPFVSRVLRRDELVISDYDVRLSPLKPGA TPTAKALRPDGKPLVGARVYRGVINESSLSVQDGVVQSGTSSGREILTAADGSFPFQP VGSPSVVLILGDDCYAYAGGRAIQADRMVRARPFARVEGRYLIGPKPAANVPVMLLCL VQDQSTEHCNLSFHRTSTTDGEGRFRFERVMAMPGLRVAGGTHRHGKSLAWSLGAPVR VEPGEVATVQLGGTGMPVVGRVALPASSAMSVDLTEGSSVSIKSNRSWFPYPLDLFRG KTSLNGPELSNWSLHWKGSREARDYDDSLVAETVDLKPDGSFRIDDVPPGEYRLSISV GRPERRFGPGPFAQVGRVFTVPRPAGGRSDRPLNLGTFTLQPSVTLKPGDHAPPFKVR TTDGKTLSVPADFRGRVLLLDFGTLWDMQSPIQITRMNDIQARFGTNPEFALLSLTLA EDTDATRKYVADKGETWPQAIVGSVSNPISYSYGVHDDNVPAAILIGPDGKILATDLW YNAIGVAVGKALRPE OJF2_RS25885 MSRPPWILALLVILSPVTPMISARAEDLDARLAAMPLIEEIDAA AIAPIHQYPEAASRVTSLLGRPARVLDAGDSPKTMAWVIGKGKNLRPGSPYVLEVEYP DDVPRTIFVANRGADLVRGFATGAAIGDARQQYVQPSVESVGYPQSGTWQTYRTIFFL HDRFQGLYAQRDAKPGGRPFTPADGFHVVVFQAKRLNDPPSRGAAVGKIRLRAIPNVA ALYPEVEPLPEGLPKRRIFFREEMADEAISARVANDRGVADPLNWLLYKARMSRVLAI NTFAKDLLEFGHNQGWKGGDPDWINDAQPPMTDLWDRAASRLAGEGLDLLPYFEYKGA IGRKEATPPSLGWQRRAEKLYHNLPNARYTPVWWTEDHNADLTDPATLDDVRRVVDRT ILAHKGRATFAGAWFRVRDNHLPISFSEAAVERFRAAHHGDSLAATASRRTLIASYES DRKLYDRYVGWWLGERRRFFEAIADHLAKGLGDDSVRVWFTPWTSEQIPMLRDPGSGP NGHPVQVTTDDVPWWDAFARTQPDSGWFRWALSPTSFDRVVAEKTYAYSLSFREAISP APDRTEGYHSAPGADPIHYRDSSRVMLTFPMARLFTVADPELLTSYRTRSGLTAIRHY TLNEDDHDRAKGPSNLPFDGQVGYASVDVDRAGPFVRLLEARAVAAADPTYLGSLCAS SFSTGFPGRVQRFNAAFLSVPALPSTLLKGACQDPAVVVRHIPTAGHGTYYLVVNTAM KPARDLSILLPARGQVRDLVEHRDLAAKSLRLTLEPGELRTYRDPQGR OJF2_RS25890 MRQVFCSSHPIVRHKVASLRDVRTAPPEFRRLVRTLSALLAHEA TADLPTVDGQVETPLGMAPTRTLEDIIGVVPVLRAGLGMAEGILELIPEAEVWHIGLF RDEVTLRPTEYYNKFPKRPRITLGLVVDPMLATGGSAVRTCEILKDAGVKRLKLLSLI AAPEGIARMAEAMPDVPIHVGAVDERLNEVGFIHPGLGDAGDRQFATMPAGH OJF2_RS25895 MRAVDIIRAKRDGGVLSAEQIEWMVGGIARGDVADYQWSALLMA ILLKGMSGAETAALTMAMMRSGSIVDLSMIPGLKVDKHSTGGVGDKTSLILAPIAAAA GIPVPMVSGRGLGHTGGTLDKLESIPGFRTDLDLDGYRRVLAECGLVMIGQTAEIAPA DKYLYALRDATSTVESIPLLASSIMSKKLAEGIDGLVLDVKTGNGAFLARLEDSLALA EAMCDIGRTMGKRITALITRMDQPLGRAAGNAVEVIESVECLRGEGPDDLMGLSLELA AEMALLGGKAGTIEEARSLCRSVVESGRALDCFRRLVAAQGGDPSSLDDFTRLPTARR RVDVPSPAGGFVQRLAAWPIGHATMLLGAGRTRMEEGVDHAVGILLHKKEGDRVAAGE PLCTLLVNDETRLEEAIAVVGSAYRIGPGEVAPADVILERLAD OJF2_RS25900 MRRIRLTLTDPRRTNRDPGTSPAGARPRVCRPWRVGLLLLALYQ VYAPAIAVAAQGAEDGPPTGSAASTGTAATRPGRPGVTVEPGPAEVRREYRLKVGSWT TRFSLPESIDLADVMDRARGVLGMLAIVGVAVFLSEDRRAISRRIVFWGLTLQCAFAV LVLRVPAGIRAMRAAGGAVESVLACAMEGAEFVFGKALVAPDGPAGFVFAFRVLPTVI FVAALFAALYYLHVMQWIVRLFAVVMAWFMGTSGAESLNVAASLFLGQTEAPLTIRPY LARLTRSELLTVMTSGMAHVSGGIMAVYFGYGVEPRHIITAVIMTAPGTILLSKLLLP ETGKPETLGHTRPSSEVEDANILDAVARGTRDGLTLALNIAAMLIAILGLIALINLGL GQVGMSLQKILGWLLAPVAYLLGVPWEDCREIGGLLGTRTVLNEVIAFKELGAVKGSL YARSFDIASFALCGFANFSSIGIQLGGIGALVPDRRRDLAELGWRALLAGTMANFLSA CIAGILL OJF2_RS25905 MSEPVIDLAARAAESALFIKRSVPAVPPLALVLGSGLNELAEGV QDATVIPYRDIPHFPVPTVAGHAGNLLVGRIDSAGLIVLQGRFHYYEGHPLEVVTFPM RVLQFLGVRTVILTAATGGIRADLRPGNLVCLSDHLNLIGDNPLRGSNDTRLGTRFPD MTEVYSKKLRAVAREEGKRLGINVIPGVYACLPGPSYETPAEIRMLQALGADVVGMST VPEAIVARHGGMDVLAFALVTNSAAGVLGTPISHKEVLDAGKKATPLLGKVLRRVALR LSDPGGTGLTAEMQTFGGDV OJF2_RS25910 MSSENMPLLAIFDHDGVLVDTLALHQDAWVEHGRRSGIPITREY VLETFGMTNPSLLRRILGESLEDAVIAAHTDGKEACYRELAAGKIALMDGVRELLDGL TAAGVKLAIGSSGVRGNLELTARDCGLEGRFAAMAALEDIKHGKPDPEVFLVAASRAG VEPSRAVVFEDAPVGVRAAKAAGMYAVAITSTHPAAPLREAGADEVVETLRGYDVAAL IRRLHDRSPGVVPGLGPA OJF2_RS25915 MESTFIGNPAPAFDLACTRFPDPSRSRVRLEDYRGRWLLLMFYP RDFSLICPTELIGISQRHDEFQKQGCEVLGISCDPVDSHERWMATPFSKGGLGGLNFP LASDPDGRVSSRYHVYQTRQQVAVRGLFLIDPDGLIQYQVVHSLSVGRRSQEVLRVLS ALQSGGLCREDWMPDQSLIDPFGSLRTGHFFSHYLVETEIGSGTFARVYRARDLQLDR PVALKVFKPDCPVTPSAALAEARTAAALNHPNVCTIYAVDDTAGVPIIAMEYVPGRPL SSLPRTGPMPLDELVGLTGQLAAGMAAAHDTGIVHGDLKPENVMISDDRLVKILDFGL ARRLRQAGPIEDNDTAVLGLADAGGGIFGTARYLAPEQTRGEPSTFASDVFALGVVLF ELATGRSAFPASHLLQILEQIRSIDPRAMTADLPEPFRTVLSSMLERDPDRRTMTMRR LADEMSALAEAV OJF2_RS25920 MNGNSSRPCTAFEGMSRIAEGSLADVAREVKRHHDRPGAGPILI FDDVSAEQVDLDLSGTIDDVAGRYAAGTTPSATGDGVDTAGQASRGPGRPRLGVVARE VTLLPRHWDWLGGQPGGASVALRKLVDEARKTSAGADRARVPRDRAYRFMTAVAGNLP GYEEAIRALYRGEHDRLEEQVRDWPEDVRLYLRKLTSAASPD OJF2_RS25925 MSRLPGLDFPHPREDASGLLRVGTSGFSYREWRGEFYPDRLPAR SWFAYYSSRFSSVEINSTFYRPPSAAILARWREQAPPAFRFALKASRAITHERKLRDC RDEVARMSSEYAPLGESLSCILFQLPPSLKRDMDLMRRFLRDAGQGLRGAGISPGLAI EFRHTSWNQPEVLSLLAEHGTAFVFHDMEDSDGWTWRDGRLASSEMVLEPAQIVELSS TLMYVRFHGTAGKYAGSYGRERLTPWATLARACLDRGRAVEAYFNNTMDAAAPDDARL FAELVSGREDESRGRPQ OJF2_RS25930 MGLGKYLKKAFLNHWNLLAFLGGLGFAALSGQPDVYIPLVLAGE AAYVGLIGTHPKFRYLVDVQEHQASRKQDSEVIGEAFQRILKSLPPRQLRRFEALRDR CTNLRQLARHMKETEDPTGLSTSEAFEDLQLSSLDRLLWIYLRLLYTQTMLERFLEST SEAQIRGDIKKLEDRIAGTWKPGPDATVPPARQNILKTLQDNLETCRARLANLEKAKE NYELVDAEIGRLENKIQSITEMAINRQDAQFVAGQVDQVASSLVQTEQAMNDLQFATG LGPLDDAAPAIVPRGPAVPEVSPAEESPPPRPRKRQADDGIRYY OJF2_RS25935 MNPTEAEAATASKANANANHDVGVGRVLGHVGRPDEVSLPHVVE FRSVTKTYNPGGGNEFTAIRDVTFVVQDLVDKGEFVGILGPSGSGKSTILRLIAGLRP QFPPTSGEVLVFGRPVERPGPDRGMVFQDYTSFDHRNVLDNVAFGLECRGVPRKVRYE VAREWIANVGLSVAKDQYKYPHELSGGMRQRVAIAQTLILRPRIILMDEPFGALDPMT RMNMQDLLIDLWRRTQATVFFVTHSIEEAVFLSDRIYVVSNSPGTILRELTIEPSDRP SKEMQRQPRFQDTVYYLRDLISQLEESQRAGT OJF2_RS25940 MDQTENETKSPRLGTETADGATSPPRPALASPAMPAARRSLLSR LPLGLREDAPRWQVALMGLLGIAACFGIWWFVTRGEAEERILSPSSGISSPSETFATF HSLWFDRALTRNLLTSLRRVASGFGLATAVGVPLGILCGCFTRVDAFFLPVTVFGRNI PVAALIPLTFSLFGIGELQKTMFIFIACVAFIVSDTARSIREVRESYVDSAYTLGAGR WQAIMKVLVPLALPGVFNSLRLLFSLAFGYIMLAEVVKFGGESGGLGDIINTSQRRGP REHVVLVLLIIPVVALAIDKALLWIQRELFPYRYGGVGVLHSLVRALMHGWEDLKGLV WRRPLPASIAGELAGPPQARRDGQTGGPRT OJF2_RS25945 MAAGQPKPAFYVALAAVVLGLIAFAVYRKDVVAPRPGQPQEEAK DGGGPIEPKGLEQAAESTDATSPTTVKEYKFVPAEKLPPVKGTGKYKPLEQNNNTVRF ALNIWAGWAPIVLANDGFKAGKEWKTADGKPFKLDLVLIDNPVAMRDAYASGEVHIGW GTLDMVPLFLEGFVDSQGKPKDSTIMPRIYQQVDFSNGGDGIVVRENIKTVADLRGKK MVMAQNSPSQYFALNTLVSGGVQPSEVQMIYTDDAFQAAAAFNSQKDIAACVSWAPDI YNLSSVKGNRMLVTTKEANHLVADIWFARADFARDHEPILEAITRGIFDAMEQLKSDD ARQKVSGLMAAGYSIPAKDALGMLGDAHSTNWAENFQFFLNQNNPTNFERVWQRAYYL YRRIGSIQHQPVPFDQVMDFSIIQKLGKEEKYASQKSEYGTMAPAKDLQTVKGESEEI LTNTVVLHFFPNSWDPFKKVERTVDGKAREELYDPNVNNVLEEIAQLAGQFGAARIVI EGHTDGSMRGQVPAQLVKDLSLNRANAIKEALLQKYPSLDPNRFNADGVGWDRPADPA DPDNHSKNRRVEVKVLTAERAA OJF2_RS39485 MRRFLLVLGLLFAFGCADQSADLTTQAAQQTEQMRDLNSSLIGS SRHPAWFQ OJF2_RS25950 MILDQFWRSLRAQIHKLAGLFWEADPIAAMQLEYDRAVDQLRDG RVGLADYRALVERVARQAARGAANAERLDATVRTCLQSGDREAAGRYALDLQRARREL AENRAQLDLHERAYENNLLKIQDAGRKLAEIRERIARYDAELKMSAAEAEMARLAQEF RVDSTTDFGRLESQIQDRIDLDRAKVRVDADLSGQGVDEFRGEQATQGQLAEDALREF ERREALSGLPPAGEVARPGRVRVSETS OJF2_RS25955 MDAIRKALDDPAARQPNAPGWFALFDAIQSDLDAYTKATEPAGR LASLERLNEVSAALGAVAWAPAQQLRAELLQWINPRLHLASAERRLDETVKSLPQTED PAVKANRQRWLDFVANDLGKALNEYNAAATVSQRADGLKKIHQALRLLQTRNSEHPWQ PSWDLQNAVNELFNQPNLDVTADVNVVSPFFNQWLVQTGPVYRKGYWSQVTAGPKTGF GLLPSDDGIMFFNSQALTSVTPITDFQNQIASDPQGQRAAKLYVFSATTVDQANLTIY TVLRPSGLQIWPAYNHNIDASICSVPAQGGGVGRAVAGLIGLNQEAINQKVYEGAIGQ FRQRIPGEAQEEAESRIAGETAQRNAQLRQFLPGDNTATVQDFLISGLSLRSRPEAVY VNGLLQSRSGDKQRGADAPQPASLAVPAAGVTADVHLVSLLDGIVAGLFERPVVQAVE NVMIRTRDVPPGTPPGEAAVTRVNVDFPTYLSVARDVRKQNNAKVTALRIKRPSQPPD FAADARGYLVAIIHDVQIDVPAPDPNSSAGSMIGVKGNVLRIKMPQLEVAFSHQLDPA TRQIKAQIQDFTPSPGSQVLAIDTDESKAAPLTRFTGALVMNTIGAKLRTQPLQASLD KLNLRGFAIQSVSPLDPSGWMRVNLVQAGPAAPGPEVLPTAPAGPPSQVPSTPAPSPE GAPPIPATTGAPAPAAEAAPAAAAAAPGTGAAPTAELTAPAVAAAPR OJF2_RS25960 MARHLWPVFLAITLAGCGTATPTGGDRRPLNGGGASASGPAASP SSGKTADSTRSNGSNSQAEPQKSSIAGDRQTVVSSNVTLTKQTESSPFRFTEVSKEWG IDFVEFSGMTANKYFPTANGSGLAIFDYDNDGLMDVYFATCTELPLGTGTRKEPNRLF KNLGNGKFKDVTEAAGVGHRGFTHGVIAADLDNDGDQDLFLCCYGPNVLLRNNGDGTF KDISKAAGIDKPNWSSGGAVIDYDNDGDLDIYVANYGRWNYPEDHTTVGDLEKKIYLY SSPRTIKTVRHLFYRNNGDMTFTDVYDKVITVEKEEVVQKEEVDPATKAKKTVEVKEK RRVPHPRDDGHGFGVVAADLNDDGLTDLYVANDMNPHFLFLNNGDGTFDDVSEVSGAA FDNNGIAQSGMGVDAEDVDGDGLPEIISTHFANEYATFYMNYGKGLFYDNTAFFGLAS DTMPFVKWGTGFLDFDNDGWPDLFISNGHVDDNRRELNQPVDYEEIPLLFRNMQGKRF KLSTKDVGPYFDTRHVGRGSASGDLDNDGDIDLIVNEKDRPAAVLRNDTPTKNHWVRL VLQGTKSNRDAVGTRIEVDTGRTYLDPRKNEQKPWKIYRQKKGGVSLESTSDSRVLIG IGETAEIPKITIRWPSGIVSTLEKVQVDRDHKVVEPKDGKPAPAK OJF2_RS25965 MKPAATTSTFDGEAASWEPAAGTWPAVRLGVVREAWRLYRRDAK AWSLTMLVAFACAALGEWMSAGAFGVARHGMFGGLHTIGSPGVRLLSAILGTAIGGFL AAGMIRMALAQIDGRSPRVEDLFRVPENWVDVVLASLLLGAVLFIGTSLFVIPGLIAA GLLMFTYPLILEARMPATGAMIQSYATLKGQWLLATIVHLCIAFVAGLGVILFGVGLL ITGPLYALSIAVLYREVFGPAYAATPSKPGRYDEIA OJF2_RS25970 MSRRFLTAGAMVVALLAINEPARAQWGYPGGYGGWGWGGWGAST AQGDIARGLGMYAMGAGVYNQQTAVANSINTDTVMRWNQYVYESQKEANRLHQAKLAS DRERAVTGQTAIRDRLRNKPEQADIYRGDALNVAAEEINDPRVYTKALQGAGEKIGGQ MIRQIPFQYATGAICVSIHQLTKGGPPAPLLRPEFEEDRETIKALGQAVRKQIEEDKT PDDATIDKLLTAINNAEAKADKIFPANSREDVEADRYLKALHGLVAMLRTPALDVIMA DVADRPDATLGQLLNFMNAFNLRFGPAGTPEQRMVYDALYPKLVALRDQVAPALASAA TVSPSGSAPHEFFNPMSYDDLKKKAPAPPQPAQP OJF2_RS25975 MRRIIPIAIALLLAADAGPARAQWQPAKGRLETRWTAGVRPENA WKEYPRPQLVREEWESLNGLWDYAIRPRAESQPASWDGKILVPFCAESALSGVMKEVG PDRSLWYHRTFSIPEGWAGRHVLLHFGAVDWEATVTVNGKAAGSHRGGYDPFSINITP FLKAGENTLVVRVWDPTDAGFQPRGKQVRKPEGIWYTAVTGIWQTAWMEPVAEDHIRG LRIVPHLDRSAFTVTVHGAEEGAVRIEARDGDRLVGQAEGRTGRGVAVPIVEPKPWSP SSPHLYDLTVTLLRDGKVVDRVASYAGLRKIEVRRDSQDVLRLFLNNEPLFQYGPLDQ GWWPDGLYTAPTDEALKYDIEVTKQLGFNMARKHVKVEPDRWYYWCDKLGLLVWQDMP SGDSGPEWIRDVDRESPELRRSAESALNYDAELSELVVDLGNHPSIVAWVPFNEAWGQ FDTPAAVERIRRLDNTRPVNAASGGNFQGVGDILDVHSYPDPAMPRLDKFMAVVCGEF GGLGLPVENHTWLEKGNWGYRSYKTPQELTSAYVEKVNLLRPLIAKGLAAAVYTQTTD VEVEVNGLMTYDRKVIKMDRSAVAEANQKLYPIAAEAARK OJF2_RS25980 MIANGSTTTVTALWLVLIVDDSAEDRAEIRRMLPLGAERRYRFR EVGTGLEALRAIRESPDGHPDCLVLDYHLPDIDAIDVIESILGPDGLPICPVVVITGE DGLEMGRAVLRAGAQDYVGKAWLTPAAMTRAVENAVERHALARQLAVQAAAVRESEER LRLALEAAETGLMTWDLVSDEVAWSPECDSTLAGGGAELGSTGAGFIRMVHPEDRARM EEALRAAVEGRTPYECDFQLIRPDGEVRWVANRGRATYDRAGRPLRMLGTVTDITARK RAEARTLENDERLRAALRAGSAVGSSWDVEGDRVVRFHSSEPALPQNEDAPARLADVR AVVHPEDRGRFDRAIAGCLAGADAYRSEYRVVRADGSVRWLEEDGTLSRDDLGRPLRL TAIARDVTEQKEADASLREREVRLRLALDAAQAGMWEYEPSTGEFVLTDRAGSLHGMP DVGRLDRESALRAIHPDDRGTVIEALENAIEAGSPFSVEVRAIGPDGSIRWLAFRGER IADRGAPRLLGVVRDITPGREAVDALRARERELQALADNSQDLLARFDRDLRHVYVNA AFARAAGLPREEFFGRTSRELGRPAGACDLWDEALLAAFDTGEPRTIEFGSRSPEGER FYASRLVPEIGPEGGVTTVLCVARDVTDRRRHQEAFQEQDRRKNDFLATLAHELRNPL APIQSGLELLRAGPDLAEAAETREMMGRQLSHLVRLVDDLLDVSRIARGNIKLQRGVV EVREVLDLAVEAARPAIESAGHTLTVLPPRDPAWVDGDLTRLAQTVGNLLNNAAKYTP SSGRIEMSARVEGGSVAIRVTDSGVGIAADMLAEVFDLLTQADRTLDRPGGGLGIGLS LVKKLVELHGGSIEAESPGPGGGSTFTVRLPLSPPPLTMDGARPAGSRAAAAAAGRPI CILVVHDNEATARGLAMFLQVLGLSTITALSGPAALGAAREFRPDFVFLDLDVAGIDA HEVCRRLKADPSASGATVVALTGRDTDDDWRPSPESGFSFRLVKPLGPNRVLEALAAA DAGRRPSP OJF2_RS25985 MSSVVPIKRRRPVIIIVTLVIAAAVTGVLGMSALGHDLLPAQQM RPVHEFVHLVGMKAMRLKESLVGMFGSYLRKHEEEVHKEHSRIVVTSPKIQDVVLTES FVCQIRSQRHIEVRALEGGYLQKIWIREGQSVKEGDAMFKILPVLYEAKLAAEKAEAD VARMKWQFADTLAQKQVISPNEVELAKAERQKAQAKADLAQRELNFTNVNAPFGGIVD RLLQREGSLIKEGDILTTLADNSVMWVYFNVPERYYLDYMATRARHEKEDRIELVLAN GQTFPQAGTIGAIEADFNNENGNIKFRADFPNPDALLRHGQTGTIKVRRPMKDAVLIP QRATFDILDKQYAWVLDQDDVAHQTLITIQNVLEDVFVIKSGLTVKDRFVLEGVRQVE EGAKVEYDFMDPAEALKNQKFHAE OJF2_RS40760 MFTKILHRPALAMVISIILLFLGVLGIETLPIAQFPDIAPPTVM VSISYPGASANVLVESVLIPLEQSINGVQNMRFITSSATSAGEAAIIIYFEPGTDPNI NVVNVQNRVNIVLFQLPPLVVREGILVSQVVPSMLMYVNIFSTDPNADQKDLFNFANV YVMPRLKRIKGMGIPRNLGNRIFAMRVWLDPDRMRAYRVSADEVMKALSEQSMIGSPG RLGQATGRTSQSKEYVLTYIGRFNKPEQYGNIILKANPDGELLRLRDVGEVELGPQFF DIYSDINGHPAASVVLKQAPGSNAAEVIEEIKSELEKIKKESFTPGMDYEFAYDVSKF LDASIEKVLHTLLEAFILVSLVVYMFLGDLRSTLIPTIAVPVSLVGTFFVLRLFGLSI NLITLFAMVLAIGVVVDDAIVVVEAVHAKMAQKHLPPLEATMEVVHEISGAIIAITLV MTSVFVPVTFIPGAVGTFYRAFGITMATSIILSGLVALTLTPVLCAMILKPHDHAGPD PHAGEGDEDPTPGKGYSHHLGANGGAGHDADDPHNRISPHLGGASKPRGLGVRILLAI GGLLVLGGITYMAYELWGPVGFLLVLLPLVRKPFDRAVEKVTEGYAGIVRRIARFRTL TLLVVGGFAAGIVVVNTHLATGFIPGEDQGIVYAVLQTPPGSTLEYTNAKSQELEKIA KEIEEVSSVTSLAGYEVLTEGRGSNSGTCIINLKNWSERTRTARQLIVELEEKCSRMT NVKLEFFEPPAVPGFGTAGGISMLVLDMTFSANYQYLGEVTTKFMNALKKRKEVTNLF TFYAANYPQYELIVNNDVAMQKGVSIKAAMDNLNILIGSTWEQGFIRFNQFYKVFVQA RPEFRRYPEDLDNLFVKNEKGDMVPYSAFMTLEKKQGLNEITRYNLFTSAAIQCAPAP GYSTGQAIQAIKEVGAETLPRGFEVGWSGLAYDEARKGNEAVYIFLIVVAFVYLVLVG QYESFILPLAVILSLPVGVFGSFFFLRLMGLANDVYAQIGLVMLVGLLGKNAILIVEF AVQRRLEGASFLDAAVDGAKLRFRPIQMTSFAFIAGLLPLVVATGAGAIGNRTIGTTG AGGMLVGTVFGVLIIPGLYYLFGKLSGGQKKLLVTESSSPLSEVPERDAVGAHHENGH AS OJF2_RS25995 MERIRAKFCALDAVLDERSRRQWAAAEAREYGYGGVTALSLATG LARNTIAAGMRELEYRELHPDEPVSTRLRHSGAGRKRRTEADPDLAAALEALLEPLTR GDPMSPLRWTCKSTRRLAAELSGQGHRVGYRTVAWLLHEAGYSLQANRKTREGNQHPD RNAQFEFINAQAARFQKRRQPVISVDTKKKELIGDFKNGGREWRPEGRPEPVRVHDFR DKELGKAIPYGVYDVTNNQGWVSVGIDHDTAYFAAASIGRWWREMGAPRFPRATELFI TADGGGSNGYRTRLWKVALQGLADQIGLKLTVSHFPPGTSKWNKVEHRLFSFITQNWR GKPLVSVQVIVNLIAATRTKKGLVVRAALDEGKYETGIIVTDEQMAGLQLKPASFHGE WNYTIKPRSRT OJF2_RS26000 MSEARRGAEFGRPRVLLGVDSNCMSLLIMAFICFFSASEAITVG EAEQPLKLDPFAYLDHTFVDEMECHGEAERELGLLLRMYEKPGQEGSRAAIFGNLAYY CGKKQKYLEAESFFNQALEICDKHPFARRQADEAMLILGLSECCIRKGDFATAERLAK QALSRRERDMGPKAYETILCQISLANVYIQQRRGNEAVALLQPVIDIAARDRMDAGRA VTLIKVYGRALAEVGRMVESRQAESRARKLELESIKNRVEELERQRTKVEEKSKETIR YMREHPDPSLRAEVETLILAEAERPMIHSRRLLKVMRRYVTLLRNDDQEVEASRQEAR IGELTRFLSR OJF2_RS26005 MPNRMISILGRLRQDVAAAISAETIEAACKEVGYRWRRRKLGPV ETIYLFLVQVLLEDTSCRHVVRIGGREFTDTAYCKARSRLPLAVFLELVRRVAAAVRG ASEDSRWHGHRVWVVDGSSVSMPDAPELQGHFGQPGGQRPGCGFPVAKLLMLFHVGTG MLLRVTAAPLRSHDMSGAGAISGGLEPGDVLLGDRGFCSYAHMAMLLGRGISAVFRMH QQVNVDFAPGRPTARRKGPYPRPQGLPSSRRVLAHGPQDQVVAWPKPKGRPGWMTEEE YAALPEEILVRELRYEVATPGYRVRRVTLATTLLDAAAYPAVELAELYYRRWRVEHNL RNMKITMNMDVLKCTTVDGVLKELAMYAIAYNLVRSAMLESARLQRVDPDRISLIDGL RWLTAPAGECESPVLVVNPSRRGRYEPRVKKRRPKQYLRMTKPRREYHKDLLQQWVAA OJF2_RS40770 MRQKTARSRLGRGLAAISEWCRLNRHLPLEDQHRTLSQKLRGHF AYYGITGNSAALSLFRHLATCIWRRWLSRQRRDRDTPWAEFNRLLKRYPLPPPIPIHS VCRPAASS OJF2_RS26020 MVRFPGSIIKRQWAATEARDYGYGGVTAVARATGLARDTIAAGL RELEYRDRHPDEPVSERLRRGGAGRKRATESDPTLAAALEALLEPLTRGDSMSPLRWT CKSTRRLATELAGQGHRVGYRTVAWLLHEAGYSLQANRKTREGDQHPDRNAQFEFINA QATRFQRRRQPVISVDTKKKELVGDFRNGGREWHPEGEPEEVRVHDFQDKGLGKAIPY GVYDVTHNQGWVSVGVDHDTAYFATASIRRWWEEMGSQRFPRATGLFITADGGGSNSY RTRLWKVALQGLADAIGLKLTVSHFPPGTSKWNKVEHRLSCFITQNWRGKPLVSIQVI VNLIAATRTTKDLIVKAAVDEAKYETGLTVTDEQLAKVHLRPAQFHGEWNYTIKPHSS KI OJF2_RS26025 MGQIRKRHSAAFKAKVALEAAKQQKMVSELAKEHQVHPVQISQW KKQLLDGIEGLFEPASAPRRPDPDKLQAELYEQIGRLQMELSWVEKKLGAPDAAARRL LIEPDHPGLSVRRQCQLLGINRSGLYYEPATESEANLRLMRMIDEQYLRTPFYGSRRM AAHLTALGEPVNRKRAQRLMTTMGLEAIYPRPRTTTRSPDHKIYPYLLRDVTIDRCDQ VWSTDVTYLPLEGGYMFLAAVIDWHSRFVLSWRLSNTLDGRFCLEALEAALGGGRPEV FNTDQGSQFTARALTGRLEEAGVAVSMDGRGRALDNVFIERLWRSLKYEEVYLKSYRD VMEMEAGLGGWFSFYNHERPHQALGYRTPADVYRGAAAVGP OJF2_RS26030 MPDELWARIEPILLEFWPAKATGRPPAQWRRMLEGIIFRMRSGC QWDQLPERFGPKSTVHDWFRRWAEGGVLEGIWAVILAECDELGGVDWRWQSADAMLGK APGPGGEKTGRNPTDRGKQGTKKSLLTDADGGPLGVVIAGANVVEQKLLAETIEAIVV ERPEPSADEPQNLCLDKGYDNPRSEEAATASGYAPHIRRIGEEKKAVDTSKGHKPRRW VVERTFAWLSKCRGLLVRYEKNDINYLGMIQLACALLWYRRLYRLTQGKPKVAVT OJF2_RS26035 MSLEITDDLIARQSPEAQAIIRLLLARIAEQDRRIARLEAELKS LRKTPQNSSLPPSTQHPHARPASREARSRRKRGGQPGHRKHERPLIRTEDCQAVVTLM PGGCRRCGTRLSGVDPEPLRHQVWELPEIKPVVTEYQRHRLSCPRCGEGTCAELPAGV PRGQSGPRLIAFVATLMAHFRQSKRRTSLFVTSILNIPCCPSLTVKHQRIATRALQPA YDRLVAALPSQPHLNGDESPTKEGTTKAWLWTFVAGTFTVFALRGSRAATAISELLGE AFAGVMTCDRAKMYWRCGRLQWCWAHLKRDFQALVDHADPQVRRLGHDLMRPTRELFR QWSRCRDGTISRGELGRALAPVRHRVEALLLRGAFSGNPRLTGMCRELYDHRDWLWSF LDADGVNRRTMPVSAHCAMR OJF2_RS41260 METLLSVIETCRQQDRNVLDFVTHAVTAHFRGETSPTLLPGP OJF2_RS26045 MGTEAPTPIVIPVRCAPKKAPCPRCGKRGRRKRTITRRVRTVTY KAVAYLEVTYGEYAARCECSTTFRNTHEGVIPRAAYDNKVRDLVLDRILKDGMSVERT LRSLRRDFLLDPSSGFVYDVLRDRAAQLDMATHRREVLDRFSGALCVDELHLGRFTLL LATDPLNDLPVAFALVAANDQSHMRRFLGNLKTWGLAPEVVVTDGSNLYPAVLAELWP DAAHQLCVFHVIKDINELILDAVRRMRTAMGRRGKAGRKKKRGRKGAKAKAAAKRRGL TVKEKAHFVFKHRHLIVKRRENLTEAERGDLKRALEYPPALATLRRFADRIYWVFDTP KDRHQAACRRSALVRDPAFLAVPELVKAMEQLDEGKFAKLMAYLNDPESRRVRTNNHV ERTNRVFRFLEKVRYKWRRRRTLVRFVALTLDGIWREWTRAETRGREVPDEAGCGESQ TQTTQQSSQSA OJF2_RS26050 MRSYSMDLRERVVAACDDGEGTREEVAGRFRVSIAWVYRLLARR RDTGSIAPKPHGGGRPAAFRGEFAERHREAVEDCPDATLEELRAAAGVGCGTSAVFRA LKRLGLPRKDSPNGPPSRAAPS OJF2_RS39495 MRARREAWRAEFASVDPARLVWLDETGTNTAMARRYGRAPRGRR VDGPVPHGHWKVLTLTDAIRLGGVCACMARDGATNAATFESYVERVLAPALRPGDIVV MDNLAAHKSPEVERLIRVAGAEPRYLPPYSPDLNPIEKMFSKLKAFPRKAAARTVDRL LEAIGDALGTVTHQDILGWAQSCGYSTPKRQPL OJF2_RS26060 MRRRRRALAVRPSLESMEGRLLLSQTGVNVKQQTDYLNAPVWVD VRDSLRGWTNLNDNGYITSLTPQGYPLVTARTFTSMTGYPAGAYSVSYSGTATLTFSG IASSVTPFTLGADGLYHGTVTINNTKGLLGIQASGLDPSHPFGDLHIITPGYGTAPNQ SQIYTDTFLQSIQPFSYLRFLEWDGYGGPSEVNWSDRFEPGDFQTFTMNGVPYEDMIT LCNTAHKDMWINIPVRASDDYVKQLADLISSRLDPALKVYVEYTNETWNTSFQAYAQV LAASKSNPLVTATSDIYRVAQQTAYMTKHDGDIFKQEFGASSSRVLPVLPGWAASSDY NNAELSFLTTNYGEASGSAYALAIAPYLDFKLPSTLTADELISMMYTFLETKYISRVS TNSAVATKYGVPLITYEGGFGFFASSTNWDVVNSTITNDPRIAQVYKVMRDLWDEYGG SNFTYYALNDSYWGLVTQLGNPGNYKWDAVMTSILPTGDANGDGVVDSKDLAVVQANM GRTGTWMSQGDSNGDGVVNSKDLALVQGILNGTVGGAFVSRDSATGGGWQTLYGHDGY MMAGVASSLPTYATATVSGATTTVWNSGSTDPRALSTPGSTAGPCTAAAWSSSQPFTI DINLADGQAHSISIYAVDWTRASKVQSVDLVDASSGTVLDSRTLSTISGGSYLTWTIK GHVQIRVTPFAGGPAVISGLFFNNQSGSSQASFVTTDWSSRGNWERVYGSDGYMIAGV ASSLPTYATATVSGATTTVWNSGPTEPRNLSTSSSTASPGIAAAWSSSQPFTIDVNLV DGQAHFISLYLSYGAGAAASERIDIINPNSGAVLDSRTITNFELGTHLGLTAIGHVRI RVTPLAGSTAAVSGLFFGQPKCVTSATFISRNMSDQGDWQGVFGADGHDFAGGDYAFP RYATVGLSGASLATWSATTSDGRALSVPGSAAGARLASCWYASGSFTIDVALTDGQPH DVSLYLLDWDNAGRAEQIKVVDPATGAVLDTRSASAFGGGMYLTWRLSGHVQFVVTRT AGPSAAVSGLFFDAPPVSASFAGVNSAAKGDWQGTVGGDGYTIPRGSTSSPSYAAVTV SGGGLMTWSSTTSDGRALSVPGSAAGARLASCWYASGSFTIDVDLGDGQPHDVSLYLL DWDNAGRAEQIKVVDPATGAVLDTRSASAFGGGMYLTWRLSGHVQFVVTRTAGPSAAV SGLFFDAPPVSASFAGVNSAAKGDWQGTVGGDGYTIPRGSTSSPSYAAVTVSGGGLMT WSATTSDGRALSVPGSAAGARLASCWYASGSFTIDVALTDGQPHDVSLYLLDWDNAGR AEQIKVVDPATGAVLDTRSASAFGGGMYLTWRLSGHVQFVVTRTAGPSAAVSGLFFDA PPVSASFAGVNSAAKGDWQGTVGGDGYTIPRGSTSGPQYATVALSGASLATWSSTTSD GRALSVSGSIWGDRAASCWYASGSFTIDVALTDGRAHDVSLYLLDWDNAGRAEQIDIV DATTGAVLDTRSASAFGGGVYLTWRLSGHVQFVVTRTAGPSAAVSGLFFGGAPASPSP FLGTNAAAKGDWQGNLGLDGHSIPRGDTSIPSYAVVTPSGAGTFSWSSTTSDGRALSV PGSISGDRLASCWYASGSFTIDVALTDGQPHDVSLYLLDWDNAGRAEQIKVVDPATGA VLDTRSASAFGGGAYLTWKLSGHVQFVVTRTAGPNAAVSGLFFDAPTNWASFAGFNSA TKGDWQGTVGGDGYTIPRGSTSGPQYATVALSGTYLATWSSTTSDGRALSVPGSAAGA RLASCWYASDSFTIDVALTDGRAHDVSLYLLDWDNAGRAEQIKVVDPATGAVLDTRSA TAFGGGAYLTWKLSGHVQFVVTRTAGPNAAVSGLFFNAPTNWASFAGVNSAAKGDWQG TVGSDGYTIPRGSTSGPQYATVGLSGASLATWSWSTADARALSIPGSIWGDRAASCWY ASGSFTIDVALTDGRAHDVSLYLLDWDNAGRAEQIRVVDAATGAVLDTRSATAFGGGV YLTWKLSGHVQFVVTRIAGPNAAVSGLFFGGAPTVPSPFVRVNAAAKGDWQGKLGWDG YNMPRGSTSGPQYATVALSGASLATWSSTTSDGRALSVPGSAAGARLASCWYASGSFT IDVDLGDGQPHDVSLYLLDWDNAGRAEQIKVVDAATGAVLDTRSATAFGGGAYLTWKL SGHVQFVVTRTAGPNAAVSGLFFDAPTNWASFAGVNSAAKGDWQGTVGGDGYTIPRGS TSGPQYATVGLSGASLATWSWSTADARALSIPGSIWGDRAASCWYASGSFTIDVALTD GRAHDVSLYLLDWDNAGRAEQIKVVDTATGAVLDTRSASAFGGGMYLTWRLSGHVQFV VTRTAGPNAAVSGLFFGGAPMVPSPFAGVNSAAKGDWQGTVGGDGYTIPRGSTSGPQY ATVALSGASLATWSSTSDGRALSVPGSAAGARLASRWYASGSFTIDVALTDGQPHDVS LYLLDWDNAGRAEQIKVVDPATGAVLDTRSATAFGGGVYLTWRLSGHAQFVVTRTAGP NAVVSGLFFD OJF2_RS26065 MCVNTIGSPRRARRVAYEAACGALPEYAHKYGPRKFTQPQLLAC PALKEFSDLNYRGLAQHLAD OJF2_RS39500 MLDAVLHRALKGRVRKRRVRLAAIEGMAMGSHELNRYFTKRQTD GGGGVDRP OJF2_RS26070 MRRVVVAAAETRSFERAEVVIRGVADLRVLAKTVERVVKDVGPE LAARRDADPRRGDALATRPEAPPELAVVECDGGRIRTREPGHGPGVHGGGEGWRETKN AVFIRATRVASADDPQPEPPACFGDHDHVAKIVATQALSAAAAGPPAAGPPGGSRPGD GPEADGPAAGEDWRPERLVRTVLSSMADSKGFGRQMRREATRRRFHEAKAKAFLGDGL PWNWTIHEEHFGGFTPILDFIHPLSYLHAAARAVHESPANAWDQYLAWMGGAWRGEVD QVLEELRAWRAKLGEPAAGAPEADPRKVVATTIGYLENNRGRMKYPEYRCPGMPVTTA WMESLVKKMNYRVKGTELF OJF2_RS26075 MDATASELRKELGELLRRAAEVSVELDRAEGAAVGVPHYSAIEA RAHELGRELSRRIQARRMGDLAAATSAAACPTCGDRCEPRRRRRRLASIDGPLEIDEP AAHCPRCRRGFFPPPGGAGPRRP OJF2_RS26080 MRLPHSATWLVVVTGLACCAGCSGLRPHSFRKINHPAPLMRARA VSLAESEPNATAVPVLIGHLNDPDPVVRLAANEELKRRTGRDFKFQSWAAPEERAAGV SRWKAWLTGKPDAQRAAEVQRSTAPPRKSMPRPSPQGEPSP OJF2_RS26085 MTSTTAAGRVAGRDPRAGGPGLPLPLELIGLVGRAVVAVPAYMG GLALLLMASAASLVRFRRRCSQAAPFWATMKGELGWLLGVGGPLVALVHVGMGSSLSL QAYFGSTFVDGTGAVVGVGLLRNMATQMTGMTMAGLLALRFIPGLVGVRRRAGEIEPE DRGRFMGAVAAPRLAAAALATMLLSFWGFLVGSFVGWKSAGTMMGLSTNMYFLFFFRM IWFRDVIGLIVKGLAFGLAGATVCCFEGLRAGDAARDGIGMSAADAGTKADDRGPDAS EALSGRLVRAACLSMVSMLILNMTWFLMVYHAVPVFGPSLLQPPSP OJF2_RS26090 MSARRTTMRDVVVGLVVLAAVGGLLALMGKASDGPGFLARQKTV DVIFRDGQGIRVGSPVRVAGLDAGNVVDLDLVKVKDVLCARVRISLPANLLEKLPQDS KISISPGLTGMSHVNIVAAGQSQATLTPGQQVWGVESSFFDPIIEQVGLGPVERSHLS HTIAEVRETVDTVGPKLRQVLTTLSDTTSNLKGLSDSIRPAVENTIGHVEDLSRRIAA NGAKIESTLARVESITRQADGILAENRENVAATVGSVRNIAASVDDVIVKDRVKVEKL LDGADMTRARADRVLYRADLIAEQAVQIVTHNRADIERSIANVRDATDWGNKLVQKIF SNPFVLSPFYKPNNEDLRVQAVYDAAQVWTKGAQEFNDAVKELAAVQAQAKTPEQQQE VAALQAKAMAMADRLNMTSAALADGLKRPASTSRRLR OJF2_RS26095 MEIFGAGGTQGPQPIYPRLAAFSVDAGQTVHAGAPRDHVEISPL GQMLDGISRLPEIRHEKVEEIRRQIASGAYETPEKLELALDRMMDEMMGR OJF2_RS26100 MDSVHSTPTPPPLKPAVPAKQEGWSPAPGAPPLSPEEEALVHAL IRRGLVTSDQLRTAQQYGVERGRDLRQAILEMNLISPELLNQLAFERLAAIAGDAPGA AGGANVPVPTGPAPLSPDRTQHHRDIRKELQEMLLTAPLPEVVAQILDRAFECRATDI HFDSQETGLRVRFRIDGQLQDILFVEPQIASPMIGRLKVMANLNIVERRHAQDGRISL MHHNRPRDLRLATFPTIYGEKIVIRIHEVLTSIVGFTHLGMSQHQAELIDRLVAQPYG AVLVAGPVGAGKTSTLYNCLERINSPLRNVMTIEDPIEHRIPGVNQTQVNTQGEMGFG EGLRAMLRQDPDVIMIGEIRDDETARIGIRAALTGVLVFSTLHGSDSPSTISNLYNFG IPGYQLSSSILAIISQRLIRRICPYCRVTFPADEKVLVGLELDPDEHRGLNLHRGLGC PACFQTGYMGRTGIFEIMVVGEELRDLMFQQIPKDVLRRVAVDLGMRTLKQSAVDKIL DGTTTVEEVYRVVSF OJF2_RS26105 MHGRKQGRGAAVAALAMAVAAGSARADDNNGFFGRLFRLGGNPS GASSPSSSAFPGPASRPSARPGADAAPRGSASQFGDIGPSTPGGPLIPPAGSQARPPA VTPAIGPVSGEGPSTPDIPPAQGAQPRLTPRARVSAAVTTADPLLTRMALGKSNDGNT FGMFMQVFADGTVIDSEGVHRVGQADLKPLVEAIQNGELSKVRGHCGTPSNDFIEYVH VVIYERRMGRLQAHSFSYAGNPQGCDNGIRYLHTALENLQTKLSRPPAVAANSAPGAV TSAASMASPAPTSAGGTAVFSAPPLPDPATPATSGAATIPLSPEPPR OJF2_RS26110 MAERHTIDFDWDAFNVLTDHDARTITLQFERTLDGLGPLSPCAA AVLADIRRRFGDGLLGRLRRRLADQRSPFELARSPTTHPDGPHAVRFVLAVRDGFRTD SALSTLLEFLRGRPGFRRITGPPLDPDEPHEPHPAPAADASTAAQATLLTMLRRRRSG OJF2_RS26115 MFQSARHRSPMTPRWVRGLMAGAFLAMISTTHVGCGLSYVFRSI SPLHPTGWSFAWPILPSQSQRLEEDMKREERDRVPILDPIPADGMGAACLDPPSEEEV WNKVPKFKNGSPVFYETQRNNVRILIEKIGEKVDPCKIYPLAGPCQLVHCHYKGTIYY DELYWSDYPIPFNHVAHRVEVVYIDKDHLRRCGGPDNVDRPPAPIGVNPAAAAANYTG PAVGR OJF2_RS26120 MNARAMTARAAARVLGALAVLLALAPPPALAADPKPLRVIVFGA HPDDCELAAGGTAARWAGLGYKVKFVSVTNGDIGHHQIAGAPLARRRAAEVKRCAKIL GIETEVLDIHDGELMPTLENRRTLTRKIREWQADVVISPRTNDYHPDHRYTAVLVQDA AFMVIVPSFCPDVPSLRKNPVFLYCEDNFQKPNPFSPDVVVPLDPVMEKKVACYDALE SQFYEWNPWLFNYLDQVPSDREGRLAFSRKRMEGRAAATADRFRGKLVELLGPDGGKA VKFAEAFEVCEYGSQPSKEELMAIFPFFGKP OJF2_RS39505 MKTPREARRRLFIAAVLALLPPHRPDAAGAEADPRAGRGDERPG EVLERAGQRGLDGRLAGDPRSGFSFIPEGGRAPIPLKAGAVVAFRPTAETPSAIPPPF RVRVGESASLSGTIRELGEDRVAIRVPWQPADVRIVRRAVQSIVQRSGEAKVFADSFE RIEPSRWAARGRAELRSAAEGRGVRLAAPGSSLLHRLAEPLASGRLDVAFLDDAAIAP GRRWTADLTFRGPAGPASVRVVLGRDEESLAVECPDGPALAVQRLARVRGWRRLSIRF GPEQTEISVDGRELAHGKGPSGPLEAFAFRAEGPEEGKGAGEPAGLIGGVQLVRFAET PASLEIDPSQDEVRLVVGDQLYGSVRGGDGDRVEVVVDDKAVGVDWDGVSGLYFRRQA VASRPVEGLLARVDWLATPGEPQASRELDHADGAISAFDSAALTLETPYAGTLVIPRG RLTRIRLDDAAWRVVIDPAAHHLGDNVSTSPPLLDPPLPEGGLLVRSFDLPEAPAADR PAFVVLDVVQVVGEAAGSDFSPLVARGELRTYVEANGRRVDYINRYVATSNETTERIR IPLPPGLLKAGQNELRIVQTGIAKDPTWFDDLGILGVAIELDGPPTRTPSAPAPSPNA KP OJF2_RS26130 MTGPLKILSRSMVRHSHAIAREVGARVIMVHADVIVEGGELQAL VEDVNFRVILVSRKAGFHAPGGLEEMCSVVHIPDIAMTRAGQVKVATLVAAAESLIQA GDRILCLTGIDGSNAIDTMMVLDMGTEIELFTSMAADPLPKDVAPAVFERILTLASEL GVEGREGRAVGTLFVVGDHERTLSQSHQLVFNPFHGYPEEERNILDPRLEETIKEFSA IDGAFVVRGDGVVLSAGRYLAPRGKLDEPLPQGLGTRHEAAATVTVTTSALAVCVSQS TGTVSIFKRGRLILDIQKPRGQGTDGL OJF2_RS26135 MRELEKPVAQVFRRLRLQRFVATFVWTLALALVAVAVVLAASRF LDRAIPGPQWLPFVIASGVGAFIAAGVAILTGPSRLDAAVAIDRAFHLNERLSTALTL PAELRDTPAGRALMADARRKVQALDVTSPFGLRLPRRAWVVLIPAALAVGTLFLPAWA QRSAVAKTDAQADGKRLAKQTEALTEKISTQRQAIDKDKFPEAEKLLAQIQKQAEDMA KAPPAQKDKLLVQMNKLSDALKERQKQLGSPDQVNRQLQQLKEMGENGPADQLAKDLA RGDFKKAEEQIKQLQEKLQKGEMTQAEKKALEQQLGEMAKKLSEAANMAERKKQLDEA LKNGGLSKEQYDKEMEKLKQQGKGLQQLSQMASKLGQAQEALKRGDMKQAAESLGMTQ QQLESMAKQLEEMQALDGAMADIQDSKNAMDGQGMNQMGQNDTGMAGLDGMRRGMGNG LGRGRGEGDRPEAQDDTATYATKVQQQLRKGRAVLQGLTQPSKTVKGQSVIDIQGEVD AASANAADALTNQKIPRNVEKHIRSYYDQLNKGR OJF2_RS26140 MASAERSELLTQIERLYQGGTSAYESDGQLLDRYLSRGDESAFE SIVERHGPLVLSLCRRFLRDRGEVEDAFQATFLVLARKASSIRNRPALSSWLYGVAYK VATRARGEALRRREREATGLDFDPEAPAPASAGPDEIAPAIDQELSRLPEKFRAPIVL CYLKEQTHDQAAAELRWPVGTVRSRLARGRALLRDRLARRGCSPVAGLIGAPAVRSVG RFLAPVPAPLVTSTVAEVARFLAGPAGAAARPLTLAAALTSASTTSGSATTLAQGVLT TMAFTPLKMVATGLTAGVLIGGLGTGAYTLRPAAAGQEKAPAAPAAPPPVQEKGARAH PDAPPVSPELPPPRPDALAPRSDPRFVVNDPGVDARLNALERKIDLLLERLGDAMSRP TPTTPSVDVPVPLSTAPALDRRVPDAPASDSLSPLVADEMPIRRGPLRKEIRSAPELA PDVEESRLPAPRPSQAPTPAGTDSPALRVIDPSPSRHDSALPIGDSRSPFQMEGGPDG DGAVALAGTRRPLDPGRGVAPTSMREIEAAIGIALTELARSSQLYRQGALSQKEFRAP AEQVQLLIGRLRGIQDELAEEAERQTIEIQKAEAELQVATAEQKAADGAATRFRRLKE RGEISSGEHDKAESELSATTARVAVRQAGLSAVMLRARQVKARRDAAHEIIETAQKQL AQLVAPDGPAMPMSPASPPPSPR OJF2_RS26145 MRVTERLTARHGALLVVDMQEKLLAAIPDGDAVTANAGRLARGA AMLGMPTWATEQYPKGLGPTVAPIVEQIPERHSKMTFHCCAVPWLVEQLYSRHIRHVT LAGVEAHVCVAQTALELLDMGFRVQVPADAVASRKKFDWEFCLRRLEHAGATVSTTEA VLFEWLGTADRPEFKEFSRMVKEAGPTAQ OJF2_RS26150 MADKPRLLVIDRDASPDDATVAALGDAFEVVTTQSISKALSLLR GSAFAGVYVDASHLSAVRWVGMILQAEEILDAISDGVAVVGPDLQITWANPEFLLLTE PGAEVIGVPFMQAIPEADVQGNEGSPFAAAVSTRQSATGVVKMRGGRYLRITVTPVFD ASGTLSHLIALTREITDETQQQLKVDAIARAGDELADLTPEELAEMRADERTDLLKYN ITRHMKDLMGLDYLEIRLLDKKTGELHPLLSEGMSPTAANRELLARKEGHGVTGMVAA TGQSYVCPDTTKDPIYIEGAADARSSLTVPLIYHGTVIGTLNVESPQPNNFDDRDRQF LEIYARNIAGALNTLELLQAEKQSAATASVQAISLEVALPLDDIITDATTVLDRYAGH DDDIVARLRHLLYRAREIRGLIYKVGSTIAPPVARKATGAAARLEGRRILVVDADEGI RRSAHHLLGEEGADVETARDGREAIAMTKLASYAVILADIRLPDMDGYEIYHRLREIH PETPVILMTGFGYDPTHSIVKARQEGLQTILYKPFRANRLMDAVEQALRPGPQQG OJF2_RS26155 MFWNALNRLGSLGGAWELTSPLGPARWFVLAGVPVGIIALYFLK LRRRPVVVPSTLLWRRSLEDLHVNSLFQRLRRNLLLFLQLLAVAMAMLALVGLRTSGT GGQSQRYVLMIDNSASMSATDTPPSRLEAAKGKARDVVNAMGGDDLAMVISFAETAKV VSLYTGDKRALLRRIDAIEPTQSTTSLREALQVAAGLANPSKQIGEGVVATMAPATPK LFVYTDGGFGDVEGFSLGNLEPELVIIGPPPPPYVPAAEAAATPGDKPKAGNPSDNVA ILALQARSGEERPELFQLFGRVHNYRGEDVETEARLIRHAADKPGGEGDLVDAVALKV PAGGDQSFTFDIVDPTLAEFEVNLAAKDALDVDNRAFAIVGNTRKAQVLAVTDGNRYL LDAFNTPTTASMADIRVASPEEARGEALAREVRGGRYDLVIYDGFRPEAPPEANTLYF GALPPGPAYEKTKAVSQPVILDWNIGHPLMQYVRDLGLVFVAKANLVEPPPGATTLIE SNQGPLAFAAPREGYTDTVVLFPLLDAGKPNTTWFRYISFPLFLLNGVQGLGNTRDAA AEQDRTPGRPVALRAEASGKTIEVTSAEGRSTETLSRSPQGTYVYNQATRTGIYHARW QPDGLLPFTVNLFDPRESDLATRGLVPDGTPEGQAERYRIKIGYNAVETTRQAEPRKQ DWWRTFALLMLGVLLVEWYIYNRRVYI OJF2_RS39510 MSRWTVTDPWIFFGVAGAVVAYVLYMMVMKRKGEREGEFGRERD L OJF2_RS26160 MSTAEPMPTPRTAAPSPSAAPGRAAAKGDEPLLDPEFLHKLEQL ELVSRKIIVGRMKGERKSRRRGTSVEFAEHRNYTVGDDLRHIDWNVYGRLDRLFLKLF LEEEDLHVYTLLDTSVSMNFGTPTKLRYGKQVAAALAFIGLVNQDRVILDTFASRLDQ GLPGIRGRSQMWRIVDYLDRLAPSGESDLKAAAKEFAIRHAGKGIVVVISDFLDKHGY EDALRYLLARKMDIFVIHLLSREEVEPELVGDLRLVDSEDDEVTEITMSAPLLKRYKD NLNAFVGDLKEWCTRRGITYIFTTNQLPFDKLVLNYLRERGLVK OJF2_RS26165 MHDIYDPTPRPELEWEPPREERLLFSRGDILAVVGLCATLFAVA SLAWRDEALLAFIAAAVGSLVVVESWLTALGFLNRCPPVSMRLRATIFLAALLPWMVG LSVAVGFILSLFWIYDHLT OJF2_RS26170 MADAPSESMEARAEDFRSRYNQLKSEISKVIVGHDEIVHGVLTC LFIGGHALLEGVPGLGKTLLVRTLADALSLDFNRIQFTPDLMPADIIGTNVVMETPDG RRSFEFQAGPIFSQIVLADEINRATPKTQSALLEAMQEHSVTVGGTIHRLKEPFFVMA TQNPIEQEGTYPLPEAQLDRFLFKLVVGYSTRDELATILDRTTRGERPRAEKVMDGET LLRFQELVREVLVAPHVQDYAIRLALATHPRGPFAAAATDQYIRWGSSPRGVQTLVLA AKVRALLDGRYNVSFEDLRRVYLPSLRHRVLLNFEAQAEGIEPDEVLLKVLESVPEKA EAKAAVA OJF2_RS26175 MFGNTSLILGQPWWLALLPPILIPLAWMSYRSLSGLGPLRRALA IGLRAAVITLILLALAELQTVRRSDRLTTMFVIDASNSIPREQQKAAIEYVTDASRKR RKEDLAGVLVFGRAPRVEVPPAPSELNLLGIESTVDPENSDPGAALKLALATFPEDTA RRVVFLSDGNENRGNLLEQALAAKALGVQVDVLPIEYRYDSEVLVEKVSIPPDVKKGE TVNINVVVRASEPTRGTLQIFQKADRTAVPAAGNEKPVPVELERGVNVFTLKQLITEP NFYTFQAVFVPGEGSGDRKTVNNQAEGFTHARGKAQVLLIEGTKGEHAELVKALREKE IEVKALAAPRIDGTGDVGGDPLPTDLAQLQPYDAVILANVPKESFTESQHQLLASNCH DMGAGLLMLGGRESFGAGGWMNTPVEKALPVDMQIKALKVQGIGAMVLIMHASEIPEG NYWQKVVAKAAINALSTYDYTGMLHWEGQEAWLFTLRPIGTGRGSMLRAIDRMTPGDM PDFDPSLIMAMRGLNNVKDAMTKHIVVISDGDPTPPTSGVLNQLVQSKVTVTTVLTAA HGNDPGSMSTMRNLALRTKGRFYNVTNPRALPRIYQKEARTISRPLIFEQQTPWTPRL NSSTSELTTRLGDDLPGITGLVLTSPKENELVELPIVSPLPTGQVNPVLAHWTYGLGR SVAFTSDAGRRWAKAWPDWSNYAAFWSQVVRWAMRPADHGNLNLTVRREQGRIKIVVD ALDKDNQFLNSLRIQGNVVDPALNPSSVELVQTAPGRYEATVENAEASGNYFVNLGYL GPGDTHGVISSGVSVPYSDEYRELKSNPAPLENLASLTDGEVVRWKTSADGRVDPGRT ADGADHFRRDPSLVNPRSFAALWPTLLWLAACLFLADVAVRRIAPDTDRMRRAIADRW RRFRGLEPAPASDYMDKLRSRKAEVGEQLDRPRFASRFDAATAPEAPARPADEPLLGG PAPGRPRAEGPASSPPRGGLAPEAPRAEEAGYTNRLLKAKQRVWEEREKEKGNDKDKD AGPPGRPTG OJF2_RS26180 MRRRSTMPRSRKLTALVLLLASATGVVGCGPAGRSVPDLVWGVH GTKPGWLHKPRVAAFDAQDDLYLADLTDRIQVFDRDGQYLRGWRTPDFNVDGPSGLTV DRLGRLLVADTHFYRVLVYSPSGEILLQLGDGVQGTTPGRFGYPTDVVLDKAGNFYVA EYGENDRIQVFSPDGKWLRQWGGHGYEPGEFLRPRALAMDEDERLYVADSCNHRIQVF DTQGKVLRQWGSRGAEPGQMSYPYDLAIGPDKALYVCEYGNHRVQKFTLDGKPLGTWG RSGRGPGELNNPWALAVDSRGEVSVIDSNNHRVQRFRM OJF2_RS26185 MPEWYAACGSGELDAGRAILREVGGLKLALLREGDSIVALSDRC PHAGGSLGRGWVEEGELVCPLHRWRFRLADGRCTTMRGQSVHRFRAERRGEEVWVLV OJF2_RS26190 MATEEEKKHAYKAFKKRLKLMRLDDESGLSPGSKTSKIGGITPP PGHPAGIWEELAKDGKLKKEGHGVYSLANEIQPRS OJF2_RS26195 MVETFRVPLRVRLVIAMLLAVFAGLGVLCMVQPLMELPWAGTRF VQLVGAILFGGAAASFGIYLPWLRDTYELSEEGITWVQRGWPVTVRWKDVTGLIESPD ASCLELHAQGPEGPIVFRLRSGLGRYNRLRELVMERTDWLRLAAFPDGTALTLPLTIY RPVGYFLAMPLVALISLGVAVLFASAEQWLLAGVAVAFALATSLRWYAIDIAAEFIRL RRPLWPQVIPVACIRDVRLDLMNEHGASCSDVVVDWTGGRPVRLYGARGGGLRLFAAL QMARHQAGQISEPDLQTPSQ OJF2_RS26200 MATELDSSVESLDHPVDNAPVRSLVHRGLTVEGYSRAAVQTYWR VPELKIGFDMGAQPWSFMTTPNWFVSHSHLDHIAALPVLIARRRMLKMEPPRIHLPEE AMEGVQMLLRAIQRLDRGRMAAELVPVRPGDEIELSRELVVKAFPTKHTIPSLGYLVW ERRRKLKPEYHDLTGDQIRDLRLSGVEVSAEIRIPKVAYMGDSAPAGLDVLPEVYRAQ VLIMEMTFVAPNERPSIIHKYGHTHLDDILARADRFENEVIIASHFSTRLHPDQIQRV IERRLPDSLRGRLKVWL OJF2_RS26205 MSSRVAVFGPAYLDRVIEVDGPLGRAPGDPPLDQSVEGSLGFAG GDSLVLVDGAGDALEIVPPDGWPGPLGRIDVGMDRGFGGGEGALARLRGVAVRDTLGG MGAGFAAALRGRLVHALGAGDDPTSRAVQAMLAAEGIDGRPIRIAGRPADWTLLVSSG VHGDKLAIGFRGCHSALRASDLAEPLSEPCDLRVVAGLSNRLAAAALEAPGARLRLFA PGMRNMTDRSHPVATFARSVDVLCCNRGEWEALHDRDDVAWKVSILVVTDGPRGATAR FTTPDGEPGRLTLPTLHRGRPPRDTNHAGEALGATVAATLLEAGWDARTGIVPRDLLR LAMLRGSAAAALVLDRADFGFPSGAEVGEAVRSSGLG OJF2_RS26210 MRRTNVLLVVAGLMVGMAVAAHWPLRAQPDAGAGARPSSKPSGS LQDALLKPYDFRFSRPTSLTEVAARLSQDLGGPVVLDLAALDRLEVKPGDSVQLDLRG VRLKTGLKLLLDQAGLSFRVVPEDNLMILTDKEGAEDPIDRVNQEVRELHRDIHEVQD TLDEVLDSLGGTSEEGARVRKPTIIEEMPAEPDAKPKEEMPVPKAREAEPDLEAKPKA PKVPAGRPRRRA OJF2_RS26215 MQPGRETRPAATTDPAATRPVGSQAADAGPDSSRRRPPEGRRTW LEDPRSFVLIVLGAVAAIGIAWKLLLAWRTRLGVSRLLEPDVTPEEVAGASRFQRAGL MELFRIMGDPESRLTPAHREAAAAALATLWAEDQLVAEEEQAFVRRGYVVDWKARRRY PRALACPLPIAVHYGVPALRASGPGVHADNLEWSHRILGARRASLEQWSPWAPGAGRP SWEILPGDFEGMGPHRLVLEARVRTRGLTSSWEIELPKVPFPIELDPRLEVGSLLASP DQAHARAMEAGLGLESDPAEPDGPATFLPLGRELAVRNPPHLVIRIPLPRDLAHRMFL EIDGVPGRFRAGDLVLSGQGAGRGDEDRAAPRPLSVPIRALEGPEQPALERPGTYRAR MALEPDEALGWSDPEIRSVWPTRLDTEWVPLEIVRR OJF2_RS26220 MAADWDRPRVALTMGDVAGIGPEVIARAWSDPVLHRLCSPLVIG DVGVLRRACKLVGSAAEVASIAAPAEAIPSPTSIPCFTPHAILKAGTLADVPAGRVDR RAGFAAHEFLNVAIDEALDGRIDALVTLPLNKESLRLAGVRHPGHTEILAERCEVAEH AMMLYLAASSDGDAPEAAKPGRPGLGVVHVTLHVALRQVFDLVTIESVLEKIRLAHRA MRPLTGGKPPRVAASSLNPHAGENGLFGDEEIRIIAPAVRLAAAEGIDVHGPYPNDTL YHSALAGAFDAVVAMYHDQGHIALKTAGFRRAVNVTLGLPIVRTSVAHGTAFDIAWKG VADPTSLIEAVRVAARLAAARSADRARA OJF2_RS26225 MLNQELKNQIVSRVLPGVRTPGQYVGGELNSVVKDHATVRGKVC LAFPDTYALGMSHHGLQVLYSLLNAGDWACERVFTPLPDFEAGLREHGLPLTSLETFT PLRDFDVLGFSLQYEICDTNVLTMLDLGGIALHADDRGPEGTLVIAGGPGGQNPELLA PFIDLFVIGDGEPSLPVVCELWTGMKSSGLSREEKLARIAAECPWAYVPRFYEPIYGE DGTIVEIRRTRDDVPASVRPCVIQDLEGTPLPTKPIVPFVETAHDRIAIEIMRGCPWQ CRFCQSTVIKRPLRYRTVETIVQSALESYESTGYDEISLLSLSTSDYPHFEELVTRMS EVFTPLGVKISLPSLRITETLKKIPALLQEGRRSGLTLAPEVARDDMREQIRKPINNT DLYDGCAEAFRRGWRKVKLYFMCGLPGERKADLDGIVEMAETIARIGKEVTGRYADVT AAVSNFVPKPHTPYQWNGMKPREYFHWAHKYLRSRVRVRSVSVKVHDIERSLLEGILT RGDRRVAAAVEEAWRRGARLDAWTEYFDANLWWKTFEDMGIDVPFYSQRERPVDEVLP WDHIHIKYGRDYLAKEQNRSVVQLEAMAGAV OJF2_RS26230 MSGGAGAGDGSGGSASVRLDARAAACLIGAITSCLLLRVPLILN APSHLDSDLAVDGLTLIDALRGHWRWHYPGTPFSGSLAVLLSLPQAMAWGATPWTLVS GGAVAHILLLVGAFGLAWSAFGRGPALWSLIPLTFASTGMIWLSGRITGGHLLVAGWS AWAWVLWIGFVRGRESKSRSLALGVWCGLGLYIDSMFLMTLVGLVVGTAAALLRAGAG PEGQRSRPGTPALALLVAGFLLGASPRWIGAWLDPHDPYHEQFAGSLDPPVLMQHARI LLLECLPRLVAGHRLPGFEADPDPARLGEGGPLWTGDAARKAVGWIAYPTTAMAIGLA LAASWALASASLRHRDAASRSMAAGLIAASLAIAGAFLINRNIFNADNYRYLVLWLVP WALGSGLVLDRLASRPRAGRLLAASFAVAYAALFTSDAASWYRHLGWLGDGLLPVRRR VDDPALRWLEDHPDVRAIFGGYWDVYRLSFLRGGSVRGVPFSMFPDRFPEWSAAMPGG RPSILVARRTPEGQQFVKSALRDGAKVLHRDGRVTILDWPATGSGGARSAR OJF2_RS26235 MADAATATEPADPWFRESPWGWSDLAALAIWSAAVAWLFWDAVI LRGALFYFDITEINYPYRAFFAEELRAGRFSRWCPWLYCGMPLYSESQAGYLHPFKYL LYPWMETWKAFNLDTILSVWLTGAATFGWLRRHVAPAAALTGAALFGIGGFTWAHLVH TSMINALASVPILIWALEWSWDRGHWRGVVVGAAAMACQVFAGHLQDVLLTAGIVGCY GAYRGITSGPGRSRWREPAMAAALLVLGVLLSAIQWIPSKELLDRSPRAGGLTYEDLT YASWSPELLPTLLIREAYGTRARDTDWMDGFYPYHEMDAYLGALGLALALVGIGGAGR EDRWTGFWSLLAILGGLLMLGRFTFLFDYANRIPVLGSSREPVRFHLWFSLAVAAMAA VGVERLSRPGAVRLAGAAKLLACLAVASGLILAYNYAPVWTQPRRWTQPYHLDRYRWL GREFGWAAGRNLAIVTAGLVAAARAASSSNASLRGRLAWALPVLVLVDLASAHARDVP TVDPAYWTKPPASVEALRADPGFIRVFGDGDKHSGEPGYASEPIDFLPVRDPLDWSLA AAWGLRGDKGETPMKSKRLLDYFDAVNHPGCGRFDIESVSHMVVGRGLQAGFRPNRPV GAAFVHRNPGALPRARLLGRPVYVRDAAEGVAVLETQGKDNLHRLVVEDPTRPLPEAA EVAGKAAIVEDLPERMTVETDSAGPSYLLVADTFDPGWSATVDGVPAEIRPGYVAFRA VYLAAGPHRILFTYRPAGFLLGSAISAVGLLASAVLLFLRAAKPAPGQEHAIVRGLPR ARGMALACAFAIVLLSAFTISEGLRPAVHGRWRNAFHRFTWGSGIEAMKEHRQ OJF2_RS26240 MSITKEKKTELIGTFKRGEHDTGSPEVQIALLTARINGLTDHFK THAKDHASRRGLLKMVSKRSGLLKYLRLHDRKKYLDLISRLGIRK OJF2_RS26245 MSNTVSSRKSVERTIGDKKISIETGLLAKQASGAVVVRLGDTMT LVATMAAPGREGLDFFPLTVDYREKTYAAGKFPGGFIKREGRPSTKEILTARLIDRPI RPLFPDWYREEVQIQAGPISADRQNDPDVLTILGASASLLLTKAPFQGPIGAVRLGRI DGKLIPFPTAEEIEQSDLDLVVASTSKAVVMIEGFGEELPEPEMADAIMEAHRLNQEL IQLQYDLLEALGRPIPQQNETEPDPLRQLVYDRYGHQLRESKQIVLKQERNAATKGLL EQINKDLIPAEGQAQVVALPGGGEEAVTPARIKAAFYAVEERVVRELILDGKRPDGRG PRDLRAIRCEVGLLPRAHGSALFQRGETQALVTTVLGTSADEQRIDGIMDEFSKKFML DYNMPPFAVGEIRPIRGPGRREIGHGALAERSVAPILPPPSKFPYTIRVVSDILESNG SSSMASVCGATLSLMDAGVPISDPVGGISIGLVQDEHTGRHVLLTDIIGDEDHFGDMD FKVAGTQRGVTGIQLDLKNKGITEEIIRETLEQAHEARLEILRAMLRAIKRPREEISE NAPRLIQIQINPEKIGLVIGPGGKTIRRLQEETGAKIDIEDSGVVTLSSPDASGAEAA RDKIVAMTEGVQIGRIYEGRVTSIKDFGAFVEILPGKDGLVHISELSDGYVASVTDIC RIGDPMLVKAIAVDDQDRVKLSRKAALAERGEVDEFASRTRPAGAPERPQGGPPRRPP QGGPGGPGGGGGYGGDRDRGPSRGPSRP OJF2_RS26250 MANPLAGTLPDSAPAGPPIGDLGHPVDAESEATRRLREQYAEIS QLAGGLAHEVRNPLSTLSLNLDLLSEDFQKPDTPRDRRVKQRVERLKREVQRLHDIVE NFLRFARFQEVELTPSDLNLVIEELCDFYEPQAATQGIVLRTHLASSLPPVALDANLF KQALLNLVLNAEHAMPDGGELILTSRRDGPQAVIEVTDTGTGIPPEVLPRIFDAFYST RSNGSGLGLPTTRKIVEAHGGLIDVQSAPGKGSRFTVRLPLARG OJF2_RS26255 MDHQIRVLVVDDDEPHAEAVAESLERVGYECVVATSGREGLRLI EEQTFDIIITDLIMDGVGGLEILAKAKRELPDAEVVILTGHGTIKTAVTAMQAGATTY LTKPLDIGELRTVVDKASQSQRLARSNIELQKQLNEKFGFEGVVGNSPAMHTVVARLR QIAPTSASVLITGESGTGKELVAKALHNNSPRRYKPFVTLNCAALSDNILESELFGHV KGAFTGADRERKGWFEHANGGTLFLDEVGDIPLGTQVKLLRALENGEIVRVGTNEPIK VNVRLISATNRDLADAIAKGDFRQDLYHRLKVVSIKLPPLRERREDIDLLIDHFLREF TASHEKKINAITPAARKALRQYSWPGNVRELRNVVESMVVIDSDGVIDIDDLTEDLQA VSTGTSAGAPSAAGSDALVGKSLEETEKYYILETLKLTGGNREEAARILGIGERTLYR KLKEYGGG OJF2_RS26260 MTVPAPHAASPRRLLGLVAMLALLDATARAQDILPRATPESVGV SSERLQRVHEAIQRQIDDRKISGAVTLVARRGFVVHLEAHGLKDIETKAPMTVDTIFK MASCSKPVTAAAVLMLVEEGKIRLNDPASKFIPEFKDQKVAVEKEGSKDVELVKADRE VTVRDLLTHTSGLLSGGAGSKNAKREDWWPKEDDTLATVVPRYAKFPLDFQPSSKWQY SGIAGIDTLARIVEVASGQTFDLFLRTHLFEPLGMKDTTFVLPDGRKDRLATIYQSSP NGLVKNAMQLNFPKGYPSGAGGLYSTAADYFRFGQMLLNGGRAEGGQVLCPRSVSLMS TNQVGDLFTNVIGRKEGMAFGFAVEIVQDPVLVGTYRSAGSFGWDGAFGTHFFVDPQE QLVAVLLIQTSNGRAMHPDFETAVMQSLVETER OJF2_RS26265 MKKTFVLTLTGPDRIGFVEEVTRLLLERGGNVETSRMARLGGEF AVLVLVTLPSDSLARLDADLSALSARGYKVTKTPADYPGFEARAGWRPYRIAVEGADH EGIINGIARYLSEQGIDIESADSECAPGATSGVPLFAMTAKILVPPGLADKGWEDGLR QIGERQNLDITVANAG OJF2_RS26270 MVSTTIAVPNGIDSHNMEWMMLYVISAYETPEDFEARSNESKHS YWGAWKAYAEALTEAGVKVGGRGLMAPSTATTVRLREGERFVQDGPYADTKEQLGGFC LIEVPDLDAALDWAARCPAAATGVVEVRPALPSCDPAAMERNAAEPSLAAAH OJF2_RS26275 MSGGRHPDARRAAEIAARDSYGRLVAYLSSRSRDVAAAEDALGE AFRAALEAWPESGVPANPEAWLLAVARRRMIDDSRHERVKESARASLEVVARERQSQS EPADADEAAFPDDRLKLLFACAHPAIDPGSRTPLMLQAVLGLDAARIGSAFLVSPAAM GQRLVRAKAKIRDAGIPFDVPGPAALSARLGPVLDAVYAAYGAGWEAPAGAGADARRR GLATEAIWLAQILAELLPDEPEARGLLALLLHCEARREARYSADGVFIPLDEQDVSLW SRPMVARAERELAAAAAMRSIGRYQLEAAIQSAHATRIHGGRTDWEAVAILYEQLVRI APALGALVGRAAAIARAAGPDAALHALDEIRPAAARAYQPYWAVRAHVLALLDDREAA RDAYGRAIGLAEDPRVRDFLIRRRDHLEGVRP OJF2_RS26280 MRDDWLYDEQNRYVSSSRAIPILDIAEKRERLRVATEIDEYGAV ALSPDGKTLAVGLMRGVRLHDARTGEPRGELTAEPAFGITGLVYSQGGRVLAASTDLG GIAAWDMPDGRVRFVSEVPKRPAKAIAISGDGRTLATVTFAASVCDARGPFGLSPWPF GVWSIACGVRGGAVHLFDASTGVRTRSTTYEEFVDAVAFSPDGTAVAAGGSGLVRLWD LATDDSRELFRADGDWSVRCLVYSPDGDTLGIGLGNGERGKLVLCDVRRARARAESDG LSGPVRSVAFSPGGTSLVAATPRSVVLFHLDAASADQGRTPSR OJF2_RS26285 MGVIRQLPPSVVNQIAAGEVVERPSSVVKELLENAIDAGATRVD VSVERGGKDLVRIADNGCGMGPEDLHLAFQPHATSKLADVEDLYRVRTLGFRGEALAA IAEVSRVRCQTRQAGADEGSEIQIEAGIAGPIKRCGIPVGTIMEVRNLFFNVPVRRTF LKSDQTEAGHVTETFWRIALAHPEVHLTFRSGGKVLHDLPPVTGMRDRIAVFFGRELA ESLLWVEGELERIHLWGYVAHPSQSRSSTKGQYLFLGGRYVRDRSLGHALGEAYRGLL MVGRNPVAFLHLEIPPEEVDVNVHPTKIEVRFRDPQRIYSHLLSTLRQTFLTSDLHSR LQAAQDQPAAAGPARTMAQEPAASIPTDAVGVPDFDRSGRAPDRSAVASWFDPSRSAP GAGASPGIPESLGQAAPPEWARALPSRFEFGPGDEFDEFTSRVAAQAPPAAPTASAGL DASAASVVPTTPAAGGTPATADPASLKGPLKAIQVHDSYLIAETADGMMVIDQHALHE RIIYEELRQRVAEGRVESQGLLVPEPVHLAADEAAAVLDQKDVLAGLGLEIEPFGGDT VVIRSTPAMLGHLAPDRLLRDLAEHLQSSPIPPTRDALVAEILHMVSCKAAVKAGDKL EPDEIAALLARRHLVADSHHCPHGRPTALVFTKAELEKQFGRI OJF2_RS26290 MICVTIGRGRHSSLIEEWQAAAKAGADLAELRVDCLRRDPDLKR ILAERPTPIVFTARRGPDGGLWRGNEEKRLQLLREAIALGVEYVDLEHDVAGKIRRFG KTKRIVSYHNLKNTPADIQDVVEECEKLDPDIIKIATNAPTLADASRVLRLGETGKFP TIPIAMGESGVFTRIFGAKFGSPFTYAGFNPERVFAVGMPQFGELKNDYNYRRIDDRT EIYGVIGDPIGHSLSPAIHNAAFRELGLNKVLVPFQVPQGGLESFLTDTTWLGLKGFS VTIPHKEAIIPLLQQKENAVERTGACNTVVVDAEGRRCGFNTDYRAAMDSLEVGMGSV EGSDGPSPLVDKQVLVLGAGGVARSIARGLSRRGAHVTICNRHDERSTALAEEVGCRM VTWSQRATVIADVIVNCTPVGMHPNVDDTPLPPSAFQRTGIIVFDTVYHPEHTMLLKL ARERQAVAISGVDMFLRQAALQFKLYTGQDAPLDVMRATLKRKLGPLRED OJF2_RS26295 MQANHGERGRGLVLVGYRGTGKSTVGRIVADRAGREFVEVDAEI ERRAGRSIRAIFAEDGEPAFRDMEEEAVRGLVRDFPGAVLATGGGTVMRATNRGLLRG HGLVVWLRAEPAELARRIEADAISFATRPALTAAGPLAEIPAVLAARTPAYREAADHE VEAQGHAPERIAEQILALWTAGA OJF2_RS26300 MPMGPVMVILGVIVSLIGAVVGSFLNVCIYRLPWEKSVIWPASH CPRCWNPIAAYDNIPILGWLLLRGKCRRCGLPISPRYPLIELLVAVLFVAIFVVDVVY GPRGRYGYEIGVPLATWFYHAILVSLLVAATFIDYDLYIIPDSITLTGMILGVAGGWI LPEIRPAPSTAATYWQGLAVGLGGLLVGGGLMEFVRRVANLVYSAILSIGNRRVTSGE AMGFGDVTLMAMIGAFLGWQAALLTFFIGPFFGLGTALVKIVNKYRKLIAGRQLSVTD REVPFGPYLCAGALFLVLTWRWLWPGWAAGLFDTFRWIFWFLLGVNAGPPY OJF2_RS26305 MAYQYKRRRPSIVRNFWVYRRLVGFAVLLGVLLWFVWVNDTVVT VSFPFRLGELSSRLGVVILLSALFGSLMTILIGTIVVARRRIWGGQAADPPGVSGTGA PTEDDLPPPDYASKTGDGFTGTKWTG OJF2_RS26310 MRVVSLSVGLPRQVEWEGSTVLTSIFKEPVDRRLRVSRLNFEGD EQSDLTVHGGVDKAVYAYPAEHYDAWRRELPEVEFSWAAFGENLTVEGLFEDVRIGDR FRIGTAEFAVTQPRLPCYKLGIRFGRKDMLKRMLQNGRTGFYFAVAAEGEVGPGDAIE PIERLTEGLTVADVVRLYTVDAKNQELLLRATQTPGLPESWKEYFRKRLRNPNA OJF2_RS26315 MIRPVLLLSILLICVPAWASDGPPDAGKAVRKVAMKSMPPYGPY HVADPARHRSMPNPRGGDMARAMISLFRPVPPDRALYFAALDDDPGNDLYPRQGWWVY VRDVVPLPGGGWEAEVAVKVSFDQDRMTGPGFPQVNVEYIERYRFAGAGLEYLGGHAE DPTGLGPRIAVHHF OJF2_RS26320 MHARRVGLTAAMAAVLAATGCANRGNILTGDPPASQMKTSLSHV QYENEKLRSELAKVKEENRGLDDQLVQERLHNGDLAARLDDARNLLRDRGIDGGSKLS ARSEGRGSGDDPDGSLSGPVARPAGRKTVKKRKPPAASISGDLDDLPTASDRDDTSGG TISLNDPTPLRTETPIRSRSGDRGGVADEADDEDKIQWHPVASSPEAAGLPRR OJF2_RS26325 MIAIIDYGMGNLRSVQKAVEAVGGHAEVTSDPTHIRKASHVILP GVGAFEDAMAELRRTGLGDAFVEAVRSGKPCLGVCLGLQLLFDASEEDGEHRGLGLLP GRVVRFRSHPGLKVPHMGWNTLKKRRPVPLLEGLGPEPSVYFVHSYHAAPADPGDVAA EAEYPEPFAALVWRDNLMACQFHPEKSQAVGLRMYANFAALT OJF2_RS26330 MRVIPAIDLRAGKCVRLRQGDYDRETVFGDDPAAMAARWEAGGA SRIHLVDLDGAKAGRPVNVDAVRGILGRVSVPCQLGGGVRDGATISAWLQAGLERVIV GTQALRDPDWFVSMLEAYPQRLVLGLDAREGKVATEGWLETSSVDAVTLARRFDGLPL AAIIYTDIARDGTLDGPNLEATRALAESTRTPVIASGGVGTLADLERLKALPIDGVIV GRALYEGRFTLAEAIAAAGPPEPPASPT OJF2_RS26335 MTTTYHIADIRNVALAGHGASGKTSLADALLFAAGAINRKGSVD DGTSTLDIDDEEKRRHFTIDCHMGHLAWNGKQVHLIDSPGYPDFIGNALSALAAVENV VLAVSGPSGIEVNTRRLFQEARKLGLGRFIAVTKMDAENVDYRADLAAIRETFGNQCV PFNVPVGQGASFQGVVDVLQQHDEDPAGCPLPPTEAYQMVVEQIVESDEALMNRYLEG ESIGVDELRKAAHDAIAAGKLVPVLCVCTRKDLGIKELLDLVTVCGLSPEDVHRFGTR GEGEGPEEEITPAEDGTLVAQVFKTANDQFMGKMSYLRILSGRIAPDTNLVNLRSGKT AKAGHIYVLQGKQQEEVPEAIAGDIVAIAKFDDLHVSDTVSNVGGNTTVSQLKVAPIH FPAPMVPRAVIPKAREDEAKMSAGLAKIADEDPTFSIRRDTQTHELIISGMSDLHLEI IQQRLKNRYKLEMSTHVPHVPYLETITANAEADHRHKKQTGGRGQFGEVHLRVRPLER GKGFNFVDAVKGGTIPGQYIPAVEKGVREQMDKGIISGNQVVDLEVEVYFGKDHPVDS SEQAFKTAAANALRKAFEKARPALLEPIVAAEITVPAANFGDITADLSTRRGHITGMD TLPGGLQTIQAIVPLAEMLSYATQLKSMTSGQGSFAMELRGYEPVPPNVQQQIVEKYQ KSRTGVEED OJF2_RS26340 MIRRGGTVTWLAAGLGVAFCTLAPTAHAQGTTAAIPESEGEDAA SMAPSVGAVWGPAALRPRTRHAPRRRSMLQHHVPSQYSGPYGRDEGYGYRSPAAPVRH PETAQVPDQYYSHGDPTRVARFGNAGGAPTRMDQFEAQKLGIASNFALQQHIDNYARP PMVFGFGFGFGGGFGGFY OJF2_RS26345 MATTRTATSTGDLLEDILSRRIMVLDGSMGALIYSYQLTEQDVR GRRFAHHGTDLKNCTEVLVLTQPKLIEDIHREYLDAGADIIETDTFNGTSVSLEEFGL QDHVAELNRAAAEIARKVADEYTRKNPDRPRFVAGSIGPTKKQLSMGIHVEDPGRRDV TFDEMVGFYKEQVKALVEGGVDILLPETSFDTLVMKACLFAIDSYFEESGTRLPVMIS GTIFDNHRTLSAQPVEAFYYSVSHFPALSVGLNCAVGVEQMRDSVESLAAISRTRISC YPNAGMPDGFGGFLGSKEKTAAVLGEFARNGWLNIVGGCCGTRPDWIAAIAGAVDGVP PRKVPDLPHWSTYSGMEPLVIRPETNFIMVGERTNITGSKKFARLIRSGDYESALVVA REQVENGANIIDVNMDEGLIDGEKAMTRFLNLVSADPAIAKVPIMIDSSKWSVIEAGL KCVQGKSIVNSISLKEGEAKFLEQARLVRQYGAAVVVMAFDETGQAVTKDHKVAICKR AHRLLTEEVGFPPEDIIFDVNILTVGTGIEEHNNYAVEFIEAVREIKKVLPGVRTSGG VSNVSFSYRGNDTVREAMNAAFLYHAIRAGLDMGIVNAGQLEVYENIPKDLLERVEDV LLNRRPDAADRLTEFAETVKSAGKKDKGKDLAWREAPVAERLKHALIAGTLDYIDSDV EEARRQYSRPLEIIEGPLMDGMNVVGDLFGAGKMFLPQVVKSARVMKKAVAYLTPFME EEKAKAIAAGGVADEHRARGRVLMATVKGDVHDIGKNIVGVVLACNDYDVIDLGVMVP CEDILHKAREEKVDIIGLSGLITPSLDEMVHVAREMEREGFTTPLLIGGATTSSKHTS VKIAPQFRGSVLHVKDASRCVGVVDRLTRPDARDEFESQNRAVQEKERQAFAVRRERN LSTLAAARERKFATDWATVDIPTPEFLGTRTLADFPLAELVPFIDWSPFFMTWELKGK YPAILEDPTFGAEARDLFARARDLLDAILRDRSLRAHAVYGFFPANSEGDDVIVYTDD SRSEERCRLHFLRQQWERQGQRDFRCLADYIAPASSGRKDYLGAFALTAGDGIEQVVA RFKREHDDYNVIMAEALADRLAEAFAESLHQRVRRDWGYGRNEALSREDLIAEKYRGI RPAAGYPSSPDHTEKATMWTLLDAEAAAKVRLTENFAMFPAASVSGLYFAHPEARYFA IDLVTREQVEDYAARKGISVHVAERWLAPNLGYDPD OJF2_RS26350 MSQSRQSARPLPLGPLLLLLGLAAGCGRSPVDPVDPAHPGDAAA DRNASPGREDPLGEGVDRVVYPDQGWSPADSRRFYWTSQGSQIMPYDWFLALEQADSE KPFRSQENLLRYRYLPQKPDSSNPDGLPVGFVKDSGAKRDWFGFTCAACHTGEVHYKK VAYRIDGGPSLADTTGFLTALTAAIKATQDRPEKFDRFAAKVLGKADSAEARSTLTSD LAVIAGRRKGYDSRNFPPGKPAGNGRIDAFGAIQNEVFHRAAKPDEPDDSTASARPAD APVSIPFLWDTPQHDIVQWNGAAKNTPRVVGPLGRNVGEVLGVFGDFDIPEHPSILGY RSSVQVDNLKRMEEWLTTLWSPQWPAEFPAIDAGKRDRGKVLYDQNCVKCHDLIDRKS PTRKVIARMEPTGTDPLMAMNFDARRGRSGKLEGAFSRFLPLIPGSTKIGPEAAGAEL LGNAVIGTIVGSWREAPKDELGQFEYRRGRSTSADITEGPDLGPPYKGRPLNGIWATA PYLHNGSVPTLYDLLSPAEKRPEHFGVGSREFDTEKVGLKTTEAGFFTFRVRDEKGQL IPGNSNAGHAFGAKLNEGERLDLLEYLKSL OJF2_RS26355 MSTVIAGIGTALPPHRISQEDAALIARKYACESPSQERLFAALY RRAGVEGRGCVVLDRSDGPLEGRQTFYGEAAPSTLERMRRYEAEAGPLGLLAAKAALD AAGIPPGRVTHLITVSCSGFHAPGLDVTLIGHLPLRAEAARTHVGFMGCHGALNGLRV ADAFLRADPDACVLLCALELCSLHHQYGWDAERIVSNSLFADGAAAVVAVPEAASAGV ARASRRLRLVASGSTLIADSEDAMSWRIGDHGFQMTLSNRVPDLIGTHLRPWLESWLA RHGLDLASVGSWAVHPGGPRILSAVAEALGLGHDALGVSQRVLAEHGNMSSPTILFIL DRLARAGAPGPCVALAFGPGLAVEAAILD OJF2_RS26360 MSTTPRDIEDLARRPWDAIVLGAGPAGSVAARGLALRGLRTLLV DRKPFPRRKVCGACLNRDAVGALEAAGLGPALRGLGGPALDRLEIGLEGRSISLALPG GTAVSRSALDSALAGEAVAAGAWFRDGIEATVGPAEGGLRRITLAEGPRQVVARASVV LVATGLGLPRFERDEGVRSVASPGSRIGAGCRLPGDHGFPREGTIAMAVGRRGYVGLV RLEDGSLNVAAALDAGLLRSAGGPAAAAREILGQAGFRAAEGLERADWQGTLPLSRRT RPMAAERLFVLGDAAGYVEPFTGEGMAWAIRAAAEVVPLSERAAARWEPGLAREWRRA HEACVLQRQRLCRGVAAVLRRPWIARAAFAAAGRFPALAGATTRRLDGTSLLGQSS OJF2_RS26365 MTSLLPDLRCRRREPELMDQPGLPAAEHARALEGLRRINAISRT ASILWRQIEGLASSRPSAAGPLRVLDVATGGGSLPLALEKKARAAGLDARFDGCDISE TAVGLAASRAAGRGSSCRYFARDALAGPLPGDYNVLTCSLFLHHLDEADAVALLRRMD DAALDLVLVDDLIRSRLGYGLAVAGCRLLSRSPIVHADGPASVAAAFTAAEALGLAER AAGGPVRLTRHWPRRFLLSWRPRTR OJF2_RS26370 MPQCPLESWLALTIGIASLAGMTAVRGDEPAAASEAPAARWKQH DIHRPRPQVVEPGGPAPATPAPPPGDAVVLFDGKALDAWQTPEGKPAGWKVSDGHFEV TPGSGAIRTKAAFGDVQLHVEWASPSPPRGVGQDRGNSGIFLMGQFEIQVIDSYKADT YADGMAGAIYGQYSPLANATRPPGEWQAYDIAFRRPRFDPSGKLQSPPRITVFLNGVL VQNNEEPWGPTSWLEPGLYDPSQTRGPIELQDHGHPVRFRNVWLREIPERSSPPAELA GPPKGIRLAPEALDGFTGSYFAESNPHEVTATITRDGDRLLLKLPFKPVPIPLVPVSE TVLALPRTDAEITFRKDDRGRVESGIFRVGDGQRRLIRQ OJF2_RS41425 MNRDATRGLFDEFEDEGRDPEPADDPNAPLAERMRPRTLAEYAG QSRVIGPGSLLGRALRAEGRIPSMILWGPPGTGKTTLARLVAAAAGLRFLPLSAVFSG VKEVRAAIDEAKRLSRRGTRVLLFIDEIHRFNKAQQDALLHAVEQGTVTLIGATTENP SFEVNAALLSRCRVAVLEPLSRDDIAAILDRALTHPERGLAGLAPRIDAEVVRKLAGW AEGDARVALTTLEQAVLATPPAADGSRVVTEQALAEALGQARYAYDRQGENHYNLASA LIKSLRNSDADAALYWLARLIEGGADPLFIARRLCILASEDIGLADPQAMVQAAAAAQ IVHQIGMPEGLYPLSQATIYLATAPKSGAVKKAYAAAAADASTTAREPVPLHLRNAVT SLMKDVGYGQGYRYVHDDPAAREEMTCLPESLRGRRYFERPETGG OJF2_RS26380 MRLFAVAVTVACSLIPAVVEAQSSPRQRSARAPSIRWIGQDGHD YVAPNNRREPSGVQDVHLVLEGLDPAREVTHVDVKAESPWNEWEYDRPNFSWKLELKR ARGARSADLFLEPGDTEAARTYHFLVEDDAGNKWEFDVRGRKVDRGLRMPGLAMQAKW LGQDRHDRVASGPSVGPDGIQDARIRLSGISAKIAVKSIRIEGQGGTKWQSGTNPDLL PNAEFWADPKTTGAGDLHFQPTRDVKGQKLKVVVRYDNDTEDSATVLAGRLDPKLRMP ETPLPRLTTAAAKAEWLGQDGQGPGGPGDVHVRLSGMPRPSSLAEAVLTDGVTSTWAF RQGPAGRVQDADGNVFAPLVVRPAADDSALDLFFAPDRDEAKAGFTFRFADTAGRMTV ARFEGGACDPGRRAPRPAGTRATAQPGDDLNRLADQNGTLILSPGTYRLASPLVLENP VTIDGGGKATLVFAQGAGEPPWTAAIKIHAGNTTLNGFAVRFVGKVRWDGAVSYGPAV IGTTDNKDRPRGGPRVNISLTRLDLESPAAEDPSKWAEAIRLVRLTNAAGGMIAGNVL RGGTIEFFDGPWQILNNDFRGTPAGTISHGIFSAHGTHDLVVKGNRAKPVEPAGKTWR FLVLTHRGVRDIVEENTIEGLGARPDDAAPWVNDPEIVLTEAYHVAYEGRIQALSADG LVLRTHRRQGNPVGSGDAVSLLDGPSAGEFRRIAQVIDAETYLLEAPIPKGTDAVSVA RGFVDTSFLKNRIAMSPGRRADGFTSDGLILPGNHFGTRVEGNHISGGGLAMKLAAYP SETPVAWGWSHAPFLGGVVDGNILEDAIAGARLTVDHSARYVKSNRGRVYMSIRLDNN VVRWTDAFLKWRSSSGEKTPLAGLVLGELPSHDPAELAVRASCNRLEAPPGPEAGASL VVDAAEYNGQKLHRRRYSLPAAPGAARSSATTAPRADSSARR OJF2_RS26385 MLISALRTATTALGLVLLAAGPAGADGPDFASSILFPEEDKHNH ASCVIETAPGRLLATWYSGGGERKSDDVAVQGAWLEPGRAGWGPRFVMADTPGYPDCN PALFPSPTGEVWLFWPTILDHRWEGALLKFQVSGPSPPTGPISWSRSGVLHVTPASDE FAAVVQRAMDLLTPQEKESYREELAPFAARSRDLLYQRLGWMPRVRAIELRRDGASGH PGRWILPLYCDTFSLSLMAISDDGGKTWKAGRPMAGFGNIQPSLVEKKDGTLVAFMRD NGPHHRIRVSTSADAGETWGPVVDSSFPNPGAGIEAARLRDGCWALIYNDADRGRHTL AVSLSDDEGASWKWTRHLERREPGAGQYHYPSMFQGSDGNLHATYTYRDKGKGSTIKY ARFDEAWIRQGDPASGGR OJF2_RS26390 MSKSGVAGPSGTRGAYPWVVLGLLWFCGFFNYADRQAVNSVFPM LAKEFSLSDIQLGVIGSAFMIVYATTSPFAGYVVDRVRRRILIPAGLAFWSLICAATG LSSSFAQLVFFRGAEGLGESFYFPASLSFLADYHGRATRSRALGIHQTSVYLGTAGGA ALAGKLAEHYGWRSPFYALGLAGLVYAVILGFLLIEPKRGQSDAAKGPGDEDFGIDEL EALRAHDPISAKASRILGNPAALLLLCVFVGANFVASAFLTWLPTFLFRKFTMGIAAS SLTSTVWPLASLFGALLGGLLADLAAARRRGGRILVQALGLILGAPFVFATGWTESRG LTVVAMAAAGLCKGIYDANIFASLFDVVRPEDRGTAAGLMNSLGWAGGFLAPVAVGAA SNSFGLDVAIASTALVYLLVGMLALAAAKVAEAGTPSRETDILPPDERPGS OJF2_RS26395 MSSVPEAEAGASPRRGWSRGGDLNAFFGLMIDNIGGMILMTSLL VGFGMPRDFVLSRMIPGTAVGVLVGDLIYTAMAWRMARKTGRTDVTAMPLGLDTPSTF GSVILIIGPSYNAALGRGLDPSAAAEHAWFIGLSMLLASGIFKLACAAVSGWVRGAVP RAGLLGSLAAIALVIISFLPLRDITAHPVAGLVSMAIILATLTARWKLPGQIPGALAA VVAGCAVYYGMHVAGLGPGPGEGGPAPSSLLRVALPMPHDAWWAWIGHAWPEVVGYLP VAIPLALATVVGGIDCTESAAAAGDDYHTGSVIAVEGFATVVAGLFGGVIQTTPYIGH PAYKAMGARSGYTLATALFVGAAGIFGYFDWIFFLLPRTVVFPILVFIGLEITAQSFH ATTYRHYPAVGLACVPALGYLAMITINNLLSDMGKPFGELRAETQGWIATVTMLSGGF IVTSLLWGTFLAHLIDAKVRPAVVTLVLAAVCAWFGVIHSPLPSGEINMPGAVLDKLQ AAGRAAASAQQTPYHWAAAYLAMAATVWLLGKFGQPPTAAEQGEEPIAI OJF2_RS26400 MILISAQGLGRQYAGDPIFLDLAFEVRAGERIGLVGPNGAGKTT LMKLLDGLEQPEYGRVYVRPGIRVSLLRQQPEFGPDETLMDVARSGLASLLDLQREME EAAQEMAEAEDQADRDRATKRYDALHEQLLHQDAYSIEHRVEEILTGLRFTEAEFNRP ARTFSGGQQSRLMLAKLLLESPDVMLLDEPSNHLDIETTEWLENYLSRQPVAMVVVSH DRYFLDRVVNKVWELNEGRLEVYPGNYSQYWKLRQEKAKVLERQAERQEEQAEKLEAY IRKYGAGQRAKQAHDRERKLEKLEKDRVETMREIVGPVMGFEEVDRSGDIVIEARHLT KSFDKPLFTDLNVAVGRGECMGVMGPNGSGKTTLIKTLIGRDKADKGEVRLGHKVQVG YHDQGLQSLSHGTTVVRAVWPEDDPDWVEGDVRGLLARFGLTGEIAFQTVGQLSGGEK AKAALARLCATGANLLVMDEPTNHLDIWSCEALERSIREFEGTVLVVSHDRYFLNAVA DRLLVLGDGRARVIEGNYETYQHLIEREKEAAAEKSRPKAPPAPPPAADNASARTPPK KKFSYRKAADLEREISEAEAELAEVEDLLGQPATYRDALTAVKTQDRHAALKEKLEKL YPHWEHAVEANW OJF2_RS26405 MSESHASATAVPAEKVKTFPTTPGIYLMKDAQGRVVYIGKAKNL RARAGSYFHKTAQQDRRICDWIEEVADVDFLPADSEVDALLMEARLIKDIQPRHNKDL KDDKSFPYLQVTTGEDFPRVNFTREPKDSGVKLYGPFPRAKSLRGAIQVLQRIFKFRT CSLDIDEDDPRWRWFRPCLLASINQCTAPCNLRIDREAYRRDINRLRLFLDGKKDVVL KEMNEEMREASKLLQFEKAARLRDEIKALENLNLRGDLAKHAQPEVFYVDPRKGLKGL QRVLGLESAPRTINGVDIAHLAGSETVGSLVTFVDGLPFKPGYRRYRIKTVRGIDDFA SIREVVTRRIQGLQERDEPFPDIFLIDGGKGQLNAAIDAFQALGVTPPTVISLAKREE EIYVPGRSDPIVLRRRSFALRLLQYVRDEAHRFAQHYHHMLRSKKTLGEES OJF2_RS26410 MITVDDAQAAVGQAEASQQLSPPAASAIRRWLTESPFAQYRPRL LEDIEAKRWKTLDDAFFAVLEFGTGGRRGVMYPVGTNVLNARTMAESARGLADYVTGR KGEGSPRSCVIARDSRHNSPEFADLCARVLAAAGFTVYLFPEARSTPLLSFAVRHLKC DAGIMITASHNPPSDNGFKCYAATGGQVIPPDDAGIIGCVKEVSDGEIPEMPLDRAKA EGKLVLVGAEVDEAYIASVVGESVGNARDISIVYTPLHGVGETSVAAALATAGFKRVN ILASQRTPDGDFPNVPNHVANPENPSALEAAIAEAKATGADLVLASDPDADRIGVGLP ATADKAGPWVTLDGNQIGVLIAAFVMKEMEARGKLRSDHYLVTTLVSTQMTAAIGKRE GIKTEDDLLVGFKWIGERIDREGPAGFLFGFEESHGYLKGTYARDKDAAVASLLFAEL AATVKDRNQTVLEYLDDLYVDVGHYGEHLINKTYKGREGVEQIKALMAAFRSGPPKSV GGAEVTEVYDYQAHEIRALKGTAAARPLPHPSGDLLIFHTSVPGVRFAARPSGTEPKI KFYLFARSEVKGPGKLAEAKAETRTRLDHMVRDIEEYVTAALARPS OJF2_RS26415 MTRPRFQRSLRFDNLEGRQLLSGVATQPTAEQQYMLYMLNQART NPQETAQHLSNLSNTDLAATLNYYHVDLNATTQAIASTPAKPPLAWNSNLAASAQGHS QDMVNNQYQSHTGSDGSTANQRMQAAGYGNASSMGENAYAYADSVDQAMDAFLVDWGV SDQGHRRNILQPNVSSGDAYREVGIGIASSSGSSKVGPLVITQDFGSQSGAKAQLVGV AYNDGNGDGSYSLGEGQGNVQIDATNLSTGRTTTTQSWGAGGYQMALDPGKYQVTASV NGKVVKTGTVSIGGDNVEQDFDLSDSWDGRSRDQVIASVTPSNNVATIQTAATVAASV PTVQTVSIPTAKTFVAAKSATTPSLSGSWTSWMAQKA OJF2_RS26420 MTHAETLKIQTRGRGTYEITSAVEEAVGRSGIARGLCNIFLMHT SASLILCENADPTVRRDLETFAARFVKDGDPTFVHDLEGPDDMPAHIRSIFTQASITV PVEDGRCKLGTWQGLFLWEHRTAPHRRTIAVTVMGDA OJF2_RS26425 MSVVNFWLDLSLLIVFVLMSWEAASLQFLLPAPTLSAGWTLFGL TYDQWRDIQFGTLCLFAFGVVLHVMLHWNWVCSVVATQVLHTKARPDEGKQTIIGVAT LIVLLHILGIGVIVSLFFVHAPPQTP OJF2_RS26430 MRQRIPIGLTIGLLLASGAGCARQPEPRFTLSAASQKLKPEFQQ QIAKILAERCGRPLAPKLVGSPSAAPAYLGRGAEIYARYCVQCHGVNGDGNGVAAAYL IPRPRNYQLGIFKFTSTTYGSKPLRDDLIRTVRRGIRGTSMPAFPLFAPKDVEAVVDY VLALTHRGELEAKLAEAAEFDEQIDEAKLPEMAAEIATRWDDAKAHVVYPATPMPTFK KANLEAGKKAFETVGCAKCHGEDGRGMMASNVGTDAWGFPTKAADLTSGMLRGGTEPL DIYRHIDSGINGTPMPSFRDTLKAQPETVWNLVSYVLHVADIRRGGAIPDSGLLEDGY LKPLPGVKLGEPGVTPPPAAAAGATHAGAAAAGVAAR OJF2_RS26435 MTTELEAKLAELEALKAQVEKLENDIQAAQLGPSWRATGYYGAY YATAGFVLGGVAALVSLLVNVLAAPLAGKSPLELVRVYLTFPLGEKALQLTQGQNAYA VNDRVILAFGCCLYVATGMLVGIPIAMALGRFAAKGGVARRLVVASIVSLAVWAIMFY GILSWLQPLLVEGDPGNWITNPAYLPWWVAAATHLVFGWTVALLYPLAEYHPYRRLLE PAPQP OJF2_RS26440 MEKEHPRHADLVDEKLVYWHFMAALIFMGASMLGGLLMALQLLR INPFSGIELLSPGRWRMVHTNAIAYGFIANAFLGVLQWVVPRLTLRPCYNPRLPVLGL NLSWAIFWAWQLVVASTAVGLLVGQAQALEWGETPVWIDPVAQLGLLLVAINYIPPIA RVQGPLYVSLWYFLAAFVWTFLTYAMGNFMPQYFVTGTAAGAVGGLFIHDLVGLFVTP LGWGLMYYFVPILLKKPIWSHGLSLVGFWGIAFFYPLQGIHHFLYTPIPMFLQYGAVI STIAVELVVATVIFNFHATLRGSTGALTTNLPIRWFYTGMFFYFLTCLQCAFQTTLTF QQLIHFSDWVVGHAHMVMFGVFAMWQLGMMTYLIPRLLKTPWYSQRLLEFHYWSSFIG IFVMAADLITLGVFQGLSWSSLMPWEHSIDISIPFWAVRAVAGILMILGYLAFVLNII QTYLASRPAPQEAAVAAA OJF2_RS26445 MLESKAGVLFVAGLGFFALAFLSNALVPALMYRDLPEKTVEQLV RDNGNLRFQVEDLARRFPESFTTAFGKPPESVAERERWLDRTTQEALRVGHRVYVGEA CWHCHSQFVRPVSNEDRRFGPVSRTEEYQNELQRPVLFGTRRVGPDLSREGGRRSNDW HAVHFFKPTTLSTGSPMPEYPWLFDGSPDRPNKKGLALMAYVQWLGSWLDSYPYYEDY KASPLPGGEPSKGAK OJF2_RS26450 MGDAMTARGRTSRAQTITTIAFALVILVPSMVGFANKFREFILL YRGDVDGVFAITPIVNYLLASLGFFCLFFWAIYHGMFRDIEAPKYAMLEHEKMLDADE SD OJF2_RS26455 MSQTHASPPGPAEASTVPDPNAMADDLFAVGGAVPFDRTSPEQE NVFHTYTGNAIPWIIRGIWIAFWCFAITYFVVFLLPALQHELLTPP OJF2_RS26460 MRPRRPDPRPCEYCQSPVPSGWWKPARTGRPADGPVFCCLGCRM AAAIIEEHGEAGAARSILTRLGLSIFSSMNVMAFTMALWTTDVYEAGGQASPLMPAMQ GLLRHVVLLFSLPVLYLLGLPLASNAWESLRRGVVSTDALLALGVGAAFATSVASVLR GEGPIYFEVGCVILVMTALGRWLEAAGRLKASSALDALSKLLPATVRRISDGGEESIP IALVAPGDRLRILPGERFPADGRVITNAALVDEQLLTGESRPAFKDRGSTVLGGTLDL DGDLVVEVTAAGAEATLARVVELVRQARMSKGRYQRLVDRISAWFFPVVGGIALLSFL AHWYLGSLEQGLMAALAVSLIACPCALGLATPLAVWSAIGQAARHQVLFRSGEALERL ADIRAIRFDKTGTLTSGTAAMERLDAEEPEEARLSLARAAALARSSSHALSTAIVEHA RNAGHLGSERIPAAEAVRVIPGLGIVGDFPCEAGPPASVALGSMRLMESRGLRIGTRL AGMLEDATGRGLPVTLVGWGGGVRGVFVFEEEWREGFDRTLDALRHAGLDIAILTGDH PARGRAIASELGVAVEAGLLPEQKVDAVRRARRDLGAVAMVGDGVNDAPALAASDVGI AMGCGTDVSRDSASVCLLGNDLARIPWSLELARRTVSVIKRNLFWAFGYNVLGVVAAA MGWLNPAIAALLMVASSGMVIGNSLRLRGPLAAEAIPSAALDDASAPDPGGGGREPSE DCRPLLVGGIGR OJF2_RS26465 MIELPLVFLGGLLGSAHCVGMCGGFAVSIGVGARGLPDNLRRQL LYSLGRVLTYAFLGIVAGYAGLRLAQLAGTLVNVQAGLSILAGSVLAFQGLSALGLVP RSPRTLGNGGGPCLAGSFVGPFLRAPGWCNVLLAGVLTGFLPCGLVYGFLTLAGSSGS VLHGLLTMAAFGLGTAPLMILAGAGGSLLSHASRRHLLRISAACVLATGLVSVSRGLI FLHAPGAAAAQSCPFCR OJF2_RS26470 MKRSPIPRTAPALVLMIMLGLPAYGAERTIRIPLDDKGRVPVAE VVSAIGAATGVAIERPAVDLSLPTKGIAGSLTRTLLQECLGADVRVAYRPGALELGVD EAGLGEPHRGEWKTRLDELAERSLQASRRKQYYGMSARPSYRANDAGRPTVCLVHGLN SSSGGFAHLIPHLEQAGYGVVVFDYPFNQPIDDSCAQFRCDWQAFRARVEEKRAWAIL AHSMGALVARSYVEGPGRGKEDVSSLILVAPVNQGAHVARLQPILQTITSLYAINGGR TGHALAQLSDGIGQAADDMLPGSPFLRRINAAGPAPGVRYHIVAGSVGVLSGDVRRQV EERLEAMSRGAGLFGMVTRVAGRDLPAVLDELADGTGDGCVAIERTRLPGVDDHVVIR ANHAELIRAPLLFADDGPVPCMPHVLDWLREDFKER OJF2_RS26475 MSQPVGVADEYFEGSAGPEPKERHPWGLYALFATEMWERFGFYT AAAIMTLYLQRGGFGWSREKATDLWSYYLMFVYATPLVGGWLADKYLGYRRSVLIGGV LFVLGYAMLGRGTLETYYPALALIFAGNGFFKPNISTMVGNLYPAGSRLKDSAYNIFY MGINVGALLAPIVAEVLLQTIAGNEVLELAKKGTPLSAPQAADLRSAFLAAFNTAALG LTLGTVLFLFLYKSLAAVERPHALADHASSEVALAEDLAPAAEASELEKVPERNRILA LLTVYGIVIVFWMVFHQNGSTMTYWADENTDWNVSGVISNSINAFWIVVLSIPLVWFW GWLGRRGLEPSTPVKILFGMLLTSLAFFILYVAAKSGGDQTFLTDEAGNLLRDAKGAF KVEQHRVSPAWLISAYMVISLGELMLSPMGLSLVSKVAPVRMRGLMMGGWFVATAIGN KLTAIGKLWDPWYHSSFWLLCCLSALGMALVLMVILKPLKRAMPGV OJF2_RS26480 MTIDDLLAVKGVSDPQISPDGESVVYLVSELDRATDKTNSSLWL VPAAGGEPRRLTTAPGTNNHPRWSPDGKTIAFVSTRGGSSQIWLLPMEGGEARPLTSL PIDVSGPIWSPKGDRIAFAAEVFPGTTPDQTAAKDKEKAAGKSKVRTFDHLMIRHWSA WDEGKKSHLFVADATTGAARDLTPRLEVNTPPAPFGGSSDYAWSPDGKELAFTAEPVK DTAWSTNTDIWTVPAEGGEPRNVTASNPGADAQPAYSPDGAWLSYVSQARAGFEADLW VLKASKRGGGETLDVSSYLDRPVMSYAWKGGDSIAAVIDSHGTEPIVVFRLREPTESR DRMTGRPVTGGASTSLSIGPGGRQMAFIHHAANVPGEVYLFEEGSPKARALTSHNEPL ISQLDLPPAEAFTFEGADHDKVQGWLLRPPGFDPKKNYPVVFLIHGGPQGAWHDEWHG RWNYSMFASPGYVVVAINPRGSTGYGQKFTDQISQDWTGRVYEDLMKGLDHALEHYPF LDRAKLAAAGGSYGGFMVNWICGHTDRFKALISHAGVFDLVSMYGSTEELWFPEWEYG GYPWDRGEHYRERSPSTYARAFRTPTLVIHGALDFRVPDVQGIGMFTCLQRLGVPSRL VYFPDEGHWIAKPPNRIVWWREVQDWLARYLK OJF2_RS26485 MIQLEDITHHYGVKPVLRGVSLRIERGELVVILGPNGMGKTTLL GVMAGVLSPQRGSVWIHGLRRRGSAAEELEIRRNSVYLPDQPWLPAARTGREFLLAVG RLYELDDGRLTAHIEQLLDLFDLRDQGNSPIRTYSAGQKKKIAICSALVTEAPVLFLD EPFSGGLDPSGLLTVKRILQHHARRKELTIVLTSPVPELVEEIATRIIVLHQGQILAF DTLDGLRRMTGRRGSLGDVLERLIFPDTTRKLDAYFQEFRR OJF2_RS26490 MIDRIRRVLPPPPFTLLFLISFIVIEGPLLYLEWKFEARADLRV RPGELLVGLATLVLGSYRVLAFHPFYLRSYRKWLEQTPWTIHKPLPLGPISLTWADGI AVGLLVILTLNRLETHAIRICTLFLIAHAVWLALTFWPTGIGTQGYLCVFGLGFCVRF WHEPWACLAAAVVASLVAHAGLRRSLARFPWRGHAAEYLTIHGPDLEELVGWPCGWPF DQLYRDVRIAGRFRMNTADAILVSMLAGWAAFCLAGLHHDSDERGGFALVMQVPCMLF VPLLRLGIYVGCYRPPVSLVGRIRSGRWIIPGYDRCLAAPLLAMLCGGATVLVLRKWL PTEVAASIAICTIMLISLASPPGLREWRLTGAHRITHGILAKGPNAPFVEVG OJF2_RS26495 MNMRFQPTPLTVLRRGCPRCGRPMFGGLFTMHERCPGCRLLFDR GEPGYYTGAMSLASVFSFPLVVFLLAVVHLLFPRWSLTAAASAATALCVALTPWIWQF SRTIWIHLDQSVDPVSRDYRGPHSRRRRG OJF2_RS26500 MKYLFHPPTLLRRGCPRCGHAIFAGPFAMHEECPGCGYDFDRGE PGYFTGAMYVSYALAVPLIAVLTGIEYLLLPHWTLFRLVLLAWVLCVPLVPWIWQYSR AIWIHFDQWVDPVDRGNPGPHSNP OJF2_RS26505 MARNVEHGLRPIAEVARDLDLSESFLEPYGRDKAKVRLEATDAL GRKPGKLILVSAITPTPAGEGKTTTSIGLAQGLRRIGKRAALALRQPSMGPVFGRKGG ATGGGASKLEPSNTINLQFTGDFHAITAAHNLLAAAIDNRLHFRDTDLDPTRVMWKRV LDMNDRALRHILVGLGGRSQGIPRESGFDITAASEVMAILCLADSRQDLRARLDRILV GFTKANEPVLAKQLKVVGSMAAILNEAIQPNLVQSTESVPAFVHGGPFANIAHGCNSV VATKMALGLADYAVTEAGFAFDLGGEKFFDLKCRSAGLNPAAVVIVATIRALKMHGGV ALSSTSEPDPAAVERGLVNLAAHLDSAAFFGKPIVVAINQFGTDTPEELGVVHEYCHD RGVGCATANVFGQGGAGAVELAEKVVEAAAAPEAPLKTLYELDWPAEKKIEEIARVMY GAAGVSIQQEAESKLKKARRLGYGDLPICMAKTQDSLSDNPKLRGRPKGFTVHVRDVE IAAGAGFLVALTGELMRMPGLPERPAAERIDVDAEGRITGLS OJF2_RS26510 MPQVDRRTAIQAGLSLAKLDLMPRADGQSPGPGEEMRPAASRSF DGVVQEHGTMRRIAGAKVLVRRRLYGRDAVDPPAPTGTDVPHSDSRGRFKVTFTPEQM SDSQLLIEIEVSHPEYIARRSSPVALSDVLEGLDGGPDGRPFFASISLEKGVEYTARV VTPAGKPAADVECFIVNWTWSERTSDFQDQNVVRTNSDGILRFRTSRSQSLALYLKPK QHALFQRFWGTDRPGQHPDIYVPTDLGTLILESGVSLTGVVLDLKDRPLANQTIVATT FNGTASRSATTDATGRFQFAPLRPGNYLLYGEGQSKFVGHNPDDRPMPSTARLIRPVH HYIDGSRPLESLTLREMPTVRVEVRFRDSSDRPAPGNAVKLSGVLTESNKDSLQGISI YPGASSINEVEARVDGVELRWNVQNVPDADGLVTFRAPKGLKDATLWTFPVGANTSFK TQLTRGASPRIGGGGSLDTLDADRTDIVIRSRRCPVVVASVIPEAGERPRGLRLGFSF TVDKRTYIGKDFVLQPDGRFLSAGLVPDQEMAITVYADDFEPSKTVVKLPEGAVRELA LRAGPRKPSWDFLGLDGKGHLIDDYRGKYILFLRWQVRDESLANDLASLEDVDDCYGA DPRLVLIGLCDEHYNRRADGTFVQQTIEERLQSIREDLAGRDLPHLRAGTVNPWRRLK VVEKQGETTGIGWGVSLFGPDGIEIASDLMGDRIKEAVSRALGLP OJF2_RS26515 MRLQRGSLCLLVSLPMVSGCSQPGVLRTHSDEGFRTVASVGDRP LATREGAIDSSVQAAETPPIVSSKNAPRISGRVFDERGKPVPEATVRLGVGGEAGGKA VTAVTDRSGAFTLRGVRAGSTYTVIARHSDEEGGTATGRVEVRVPDTDVRITLKPPSA SRGDDRSSMRPARPTIAPASNVEEVEDEEAAPGVSSQVNPEDLDAPAPEAEAVRARKP RRGTPRLSIPTDEIEEPQHRGVRAPSGGGDEADENPLPPALDPPDQTSDARRSADESV VLTGRDVLRGDEGGKQPLMPRWSPASSQPVSTEASASAEADPRPLPAGLVPDDDRPTA EEMAPAMASAVAPDARSLMSGPPPVARRPGRPSRLAVVEAEPVASLPPRPSRESGSVA RSRPTWGELALEKQSIPLDESLLKAAVSTRPAKAPNGPQPTPPDRAARTAAAASPTQA GISCDFDRTENRVRDLRLPDTQGRMVSLRDFDADLILLDFWGTNCPPCLTAIPHLNDI QKTFGGKRVQVIGIACEQTPAKTRNAKVIEVARRLKIAYPVLVTTMDGTCPVQEALQV QYLPTMVLLDRQGKVLRREQGANEQALARMDRFILRGLGRQIPWNLDGPDTQIVGAPP KSAAR OJF2_RS26520 MAKRFVHSPEFQRLVEGAERPSLLRIAFEIARDAYPELPIEEYV ARVEHLAERIRSRCEPNARPRKVLGQINWAMYLEEGYGPDRENYFDPRNSYLNEVMDR KMGIPISLSILYAALAERAGLLLDGVNFPAHFMLRHGEGLSELFIDCFQGGEFLDREG CIRRLEERTRNPVNLSAIDFGPCTPRTVVARMLRNLKAVYLATSDYMSALPVQRRLAA LDDDDLLEHRDLGMICVQVDRPGEAIDPLRAYLEAHPAADDSRTVAALLGAARRTIAE WN OJF2_RS26525 MGAEPSSGRPAAWMIAAAFWFATMGALTHAVAPRCDWILIALVR VSCSFVMSASLAAMAGAGLVVWRPRTLWLRSAAGTIGLICTFYALSRLPVADVLTLTN AYPLWIVLMSVHGSPPREVAADLACVLAGVAGVALIQRPHAESAANLAAPVALAASFA TAVAMLGLHRLRHVDSRAVVAHFSGLATLVLLAWTALHPALLRRSDMDAVTALVLLGV GLSGTIGQIFLTKAYGAGLPSRVAVLSLTQVLFGMIFDVLIDGRLLGPVSVAGFLLVL GPTAWVTARRGRIRAEPADRP OJF2_RS26530 MLCSFGLAALVLSILADSPPQVDSLQTVAERSGFKATARHGEVM ELCRELAKRHPEAAYLTELGRSAEGRPLPLLVLADPPVKSAEEAARSGKLVVLAIGNI HAGEVCGKEALPMLAREILQTPHHPLLKNLIIALAPIYNADGNERVSRDNRPGQVGPE EGMGQRANARGLDLNRDFIKLEAPETRALVDFFNTWKPHLFIDTHTTNGSHHRYTITY EGPKNPAGDPDVIGYARNGFLPRVAGAFEGKTGLHAYYYGNFDRGRTRWTSYPAEGRY GVTYAGMRNRLSVLSEAYAYAPYKDRVLATRDFVRACLETASSSKDEIVRLIREADRK AASSSAQPVTVAIRSEPRPLAKPRPILGFEEREKDGRRVPTDVPKEYAIPLYHDFAPT ESVVRPYAYILPAGQARALETLQRHGLDVQELREDVELDIEAYRVDDISRPGPNGWER LDVLELKVTPRGESRRVPAGSYLVRTRQPLGNLAVYLLEPRSEDGLATWKFFEGLKAG EDFPVLRLPQPAAMTLIAAEPPPEKRKRNQPITFDMARGSRGGGSLSGSPVTVTWLDG SHWLQFRDGKQHLVDAASGRSRPFVDEANLVKALSRLPGVDEEAARRIARGSSFDAGR NPSFDMDPARRGFLFDHNDDIYYASFDGKTAVRLTDQPGSEEYAEFSPDGRSVAFIRG FDLHVVDIENPKERALTTGGTDLVRHGIADWVYFEEIYNRRWPGFWWSPDSRRIAFME YDDGPVGTLTMINDTFSPRKVEQNRYPRSGEPNPRVRLGVVDAAGGAVRWADLSGYSS DAFLISRVGWWADGSAAYACIQDRVQTWLDLVKIDATAASPKPTRLFRDATKAWIADP EPLAFLPDGSFLWQSERDGWKHLYRYAADGTPRGQVTSGEWEVRSLVETKPQGDWIYF TATKDTHTALNLYRKKLDGPLERITRGPGNHSVTVSPDARYFVDTWSDLETPSKVRLH AADGKLVRVVDSEPAHRLKEYRLSPRERVQVRTKDGFLLEGILVLPPDLDPGRKYPVW FMTYGGPHTPTVTDSWAGGRLWDQALASEGFIVFHLDPRSASGKGAVSAWTAYRHLGV RELEDIKEGIAWLKQRPYVDGTRIGMAGHSYGGYMTSYAMTHCDLFAAGIAGAPVTDW HDYDSIYTERFMGLPQDNPDGYDRSSVVKAARDLRGKLLIIHGVVDDNVSVRNSLRLI ESLQAANRDFELMVYPGSRHGIFSPHYNRLQIEFIRRTLGGGPRPIGPESTPPPHAPT PTAGTSTAPKVGHGAER OJF2_RS26535 MRISARFTHFNLRSKVGKTRGLAALLLGEPGALTRIVPRSLTFD ELHVGEEWESPGRTVTETDVVIFAGLSGDYNALHCNSMEAGKGPFGRRVAHGMLGMSI ATGLASTSPRVQTLAFLEVREWKFLLPIHFGDTILVVSRVLDLEPQARGRRGIVTWSR QVLNQDGKLVQEGRTRTLVKGEGRPERPRDADADAPT OJF2_RS26540 MPRSYRFVIADDEKSVAAGLQDQLEALGYDVVAVVNDGQRAVEM CRRALPDAVFMDIEMPGMDGLAAARQIAEDPGTPVIIITAHGHPNLIDQAVEDGVVSY LLKPMTNQGLHAAIEVAVARAREIQSLQENVDHLKMTLRERKLIERAKGILMSRRHLS ENEAFRLLQRQSQDRRIPMAKLAESIIQTDELLEAPQGGAGVASPPPPRPVRRPASDF PDDE OJF2_RS26545 MPLRPVTADATRVVGWGPMEVARYIEGHVNRVANGRIRDLHVDY SEDRIVLQGRSRTYHVKQLAHQAVLDLTDGHPLLANQIVVS OJF2_RS26550 MDVHREARQGAPSKATAASVAIDQAELRTLLSSLSHELCRPLVS LRSGFDLLMGDSAFQAASEHRVHLVNMVALCDDMLRLARGYLDYAALINGARSASLGT FSLGALVGEIDRQFREEALGKGLQWKAVATAPQTSVVTDASLCQRIFGNLVSNAIKYT PGGGRIEVTGAQEGGDWSVVVRDDGPGIPEDSLARVFEPFYRLARDEHSRIEGNGLGL TICRELTERLGGQIEIASAEGRGTTVRVSFPMSKD OJF2_RS26555 MLPDGAIENHELKPLLGHLCSAVGHHVINALSTVVSQGEILRTL GTSSPSGSLEVRDRVETIIRAAFDASTITRKLIGISHDLTAVGFDQATSPVAAIRLDE WLPGFVDEARGTLGPRAEWTLDLSPVPPLLAQPDLLKIMFRLLIQNSLEAMPEGRGTL AFSTRPAPRDWLVVELRDDGAGMTPEVMEHATEPFFTTRPERTGIGLTIARGIWRRHR GSLALEGAPGKGTTIRLLAPSMSVN OJF2_RS26560 MPATHPRPIGIRRPSCATAVAVLVALAVPSGAGAAAGEAPDGAG PVFAALCTDGKTVKGRLTALSAKGFTITRDGSDRRELPVREVVKLTRDPLPASPSVDG SHVLLPEGDRIMRTIVGSTTDTSLDVQAHSSLGKLTLPLDAVLGLLLASPPDSDAFDQ TWDRIREEPRKSEVVWLANGDRMSGGFLGMDDRVIKLQVDGKPVEIDRTGVVAVGFDP GVVSYPRPASDYMEITLADGSRLGVVGATQDAGHVVGTTRFGQSFRVPLGEVSRIVPR GSSLAYLSEMKPEKVIYSFYVGPTRPYRADRTVEGHGFVLQGRSFDRGIGTQSRTYMA YALKPGDRRFQAMVGVDDAAGPLGSVVFRVITDGNRVLFTSPVMSSRDDPRPIDVDVS SAKFVILVTDFGERGDVRDIADWIEARILR OJF2_RS26565 MRESGAASRPRRGAPAAILAALGVALLVVFAAAPCQAQTKEKPK SVGGFGEAKRGDVPEGTAEMLTPDADKAIRSALAWLAKSQNADGSFGTATYRGNIAVT SLAGLAFMSAGSSPGRGPYGAQIDKALAYVLDNTSPSGFISVASSSTHGPMYSHGFGT LFLAEAYGMTHRPEIREKLQKAVRLIIDTQNNEGGWRYQPVRHDADISVTICQINALR AARNAGLYVPKETVDACIRYVKQSQNADGGFRYMLQGGASAFPRSAAGVVALQSAGEY DSKEIREGIAYLRQYMREIKSRGQYSHYFYGHYYAAQALWIRGGEEWNEWYPAIRDEL IRRQYSAGFWQDSICNEYGTAMALIILQIPNNYLPIFQR OJF2_RS26570 MDRDRGPLPVLGSLVLALGLLHLASAPAPAQDPGGKPRDEALDS LLEDLKKDEAKAPPAGPQSRKAEQAPAKGGGATKGGGGKTQDKPPGDGGPAGTKPAAD AVSGKDKELDDLLEKLGESRDEPAAEERRPGGGGGGDREQPQPPGGGQGQGKDDRRKP GAGALEGKDKELDEKLEEFAGIKRKKKRGDDGGGSGSGPTSDIIKQMREVEQRLGKQE AGGETQSRQKEIVKRIDTLIEQARQSGSSGSRTIRMVRQQGQKPGGQEPGQEPGTNPG GAPAQKPSKPSDRHAMAGGKDIWGHLPPELRQEMENVFKEEALPTMSDMIKRYYVSVA KQKLVRGE OJF2_RS26575 MSERLLEARISALRNQVRRWLVLHGLSRIVAAIIPLVIVAGLAD WAFHLDVFVRTFLLGATAGLAAWLAYRGILRPLLVRFADLDIALKIERRWPGLNDRLA STIQFLRLGRDDDRYGSPALREATIRQAMEETRTIDFREAMDRRPILRAAGLAASSLA LGLAVGVLAPSSSRIALRRLLVPWGGDAWPQQTHLVLDEKGTTLKIARGDSFTLAVGV KPGDRLPQGARVTYSFADGEESTEALRPTDAGQFLGRLDSVNQPFRFSVAGGDDLGSI RDVEVRVVPPPAINRLTIRLVSPPYTGMPPQTLAAGLTSFRALVGTTVELEAQANKPL DSAGLLLGDAGDAREVAFNPARTAFRASFPVKENVSFSFALKDAEGFRNRDGVHYDLR MFRDEVPRVVIAEPRSDRDVPADAVIPLKIELDDDLGLHSSRLLYRIATGESEAREDV AIPLWAAPGQDASQGQPSYVKHQEVAYEWKLEPLKLPVGSVITFHADARDFDAVSGPN VGRSRELRLRIVSKEDAARQFDDSRRELREEISRILTMQRQAITPVEDAARTLAKTDR LPRAQKENLNNAGLIQRQVGNRMSNRDDGLEQKLRRLLDDQRNFKIANADAEQQLQDM LARLENVRDRHAEPAERGLSRANKSLEQAVDDPRPDSSPAGQPRTEAAPENGRDSAGE PRTTPAPGQAVEEDRRPAESAPARKDRAASDGRKADGADRKADGSRDKPADDGRKPAE AGAPKPDRAESPLDGARKSLAEAGSNQKAIADELQKMLDGLGEFETFRGVVKDAQALL KKQEDAMKQAAEAATNPDLMGKTAEALSPEQKADLSNLASRQSDVARGMQNLQERMND LSKRLEEADPLGASAMKDAAGQSQKQGTTAKMGRAAEQLEKNQMGQARATQDAARQDL RQLVDALQNRRERELSRLVKELRQAESDLRDLRKRQAQNLKATREARQNPDAKARQNQ LKKLAKEQEQIQQELKKQLQRLAKLNAQRAGRAGEDAAAKMSKAQGELDQDQGEEADK DEQEALADLNDAQEELENVRKEAEERLGMEQLARMGDQLKSLAERQAKAVTDAEGYEN LRKRQEGKLTIAQRAGVRGLGQVQSGLRDETGALGEQLEGAPVFSLILKQAGESMATA AERLQSLKTDEDTLKAARAASRRFQQLLDSLKADQAKGGGGGGGGGGGGGGGGQGGDG IPATAQLKMLKTLQQELNERTETLDEARRRNPAPSPELAGEAERLATDQGALADLVRD MTRPKHDDGEE OJF2_RS26580 MKDWLLRKLADALDVGPPAAGEAISPHLRFDRPWPQWLLIAVVL GGSSLIIWLYRREGRASAGYKALLATIRIALLLMLTLMIAEAVLSVERTGLPYLTIMV DDSASAAIADQYEDPKVRPALESIASPAAAAAAGPREPSRLDIAKGLIARDQAKLLRE LQKQHKVRLYLVSNSARPLAEVDTPAEVGPAVQKLEKVEASGSQSRLGDGTRQVLTEL RGAPPSAIILLSDGQTTEGEPLAKAAELATRKGVPLYAIGLGSAEPTRDLELTELLVD DVVFADDAVRFQAKLLSRGFGGRKVRLSLKEKDATSADRGAAREIQSIDVEAPPDGQP KRVEIVHRPKEVGEHTYILEIDRQPRELQEENNRLERLITVRKEKLKVLLVESEPRYE FRYLKNFLEREETIDLNVVLLSSDPEYEEQDRSAIPTFPAAKEDLFAYDVVIVGDADP SFLSTSQMQNMLDFVAEKGGGVLFIAGDSFNPLAYRGTPLETLLPIELNDARNPSAVG AGIASYRPELTAEGRSSPIFRFGENEASSAQAWQSLPELYWYFEAPRKKPAALVLAEH PGVIGSEGKLPLDLYQFAGAGKSMFHAFDDTWRWRFRVGDRYFGRFWVQAIRFLARSK LAGQRKAEVQTDRRRYDRGQPIQIRVRFPNPALAPAGGPVSVLVEREGGRPRKLALSP LPGTKNVFEGALPQVEEGEFKVRLTEPILDGPLPTTNFRVDAPASELERVQMNEPELL RVAEATGGKFYTPLTADTLLQDLPRPAKVPLDTDPPKELWNTWPVLALFLALLTTEWV LRKRRQMV OJF2_RS26585 MPLSPFPAPLALTFGNAPILAGLAAASIPILIHLLNRRKFREMR WAAMQFLIPAIRKNQRKIRVEQWLLLAVRTLLVLLVVTAMAKPLVEAFGNVIAGRRTH RVLVVDASLSMGHTSAGTSRFEQAKVLAAQVVKDSRPGDSISLVLMGQPPRIIIGDPS PNLSEVQKEIQELPLTHGATDLVATFEAVDRVLEVSSIPQKEVIFLTDLQATSWRTKE GAEKGGLGRIIAKIQAREPRSVIIDLGRAGSENRAVTDLRVERPVVTAGATVPVRGVL HNYGPTRAEGVLVRLTLDGRVGPEQSVDLPAGEDVPVVFNEHFPAAGDHVLELSMDND ALPLDDKRTFAVPVRDAIKVLLVDGHFKAEPFQAETDYLAQALAPTEGSSGQGDTIRT DVIPESQFSRRELGTNYDVIGLCNVSQFSQSEVAALEDFVAQGGGLVFFGGDQVMPDN YNRLLHADGKGLLPAAIGPAVGDAAKRQGGVGFNALGYRHPLVAEFRGESDPVTAGLT RALTWQHHRLILPKDSTATVALAFDNGDPAIVEAPRARGRVYQVATSADSGWTSWPLH NSYLPVMEQLFLQAAAGRLSERNIRVGQPYDQSYPAAGASSPVTVVTPRGQSLETRLK AAGSLSQLHFEQTDVAGAYQVRLGPPQNEESTFAAGPDPAESDPAKLDKSGLAERIPG WNFLHLDNWRELSRSAASMSRRGEMHRSLLLGALGLLLLESFLAWRFGHHEPPA OJF2_RS26590 MDESPNYLDPQTLANLEGLDLQARLLVEGYVAGLHKSPYQGFSV EFADYREYVPGDDIRHVDWKVWSKTDKLYLKQYEEETNLILYMLLDTSESMAYRSGRN VSKFQYAQLVVAALSYLVIQHQDSVGLALFDDAVRRYLRPAGQPMHLKELLHVLEVTP AREKSNMGAVMHDLAERFKKRGVVAIFSDFFDDPARILDGLRHFRHRRHEVIVFHVLD PAEIEFPFREPTLFRGMEGLPDVMAEPHALRRAYQDELRSFLDRLKTGCRMANIDYVP LRTDQSLDGPLSSYLASRSARAL OJF2_RS26595 MEAGDLEAVGRLKDAFQKLKGEMGKVIVGQHEVLEQLMIAIFAR GHCLLIGVPGLAKTLMIHTLADSLNLSYNRIQFTPDLMPSDITGTEVIQEDKASGVRA FKFLRGPVFANIVLADEVNRTPPKTQAALLEAMQERQVTVGGERHRLPDPFFVLATQN PIEQEGTYPLPEAQLDRFMLNILVDYPSEDEELDVVRLTTSVHRHGVSKVLSGNDILE LQDVVRKVPIADHVARYAVRLARATRREKEGVPNFVRDYVSWGAGPRASQFLVLAAKA RAVLHGHYHVSIDDIRSVAGPVLRHRIITNFNAEAEGLKPDDIVQRLIGAIPVDDHEA EQSGRIPKLFRSANAG OJF2_RS26600 MAAPTCFAQGVNRTAAKFIPDSSGPAETLLRNAASHARAGQWAE AVGIYQRIIDQYGEKVAGLPKEQGAGQAQDPGEDFQLFVDLRAYCHRTLASLPAEARA IYRARIDPLAESWFRDGSARRDASALRRVVDLAFCSSWGDDALELLGDLAFQDGRFGE SLAMYRQLAPDREGGSYNLVYPDPSVDIARVAAKVLLCRAAAGETLNLPAELAGFAKR YPGASGPLAGRKGPYARTLEEALAADRLAPPAQPDGRWPTFAGGFRRERILPEPVDVG SQQWRVSLDRVMAGVGGNPYGNLRGMAVSAPGVPGERLLAYHPIVLGDQVLVSDGSRV LAYNLSERPASRPGASPTAIEPVWKHDPETVVPQAYKMSASTPRHTLTAVGGRIYARM GPATPSPYNSMNRGPSGGSSYIVALDWNAQGKLLWLQRAADLALPNRAPGQSNRSVNF EGTPVADGRNVFMAVTDRREQTSTYVACFDAETGARRWVRYLGAASPEVDNLMGMGMP FNPPPPGDFGHRLLSLDGPYLYYQTNLGAVIAIESETGSVRWVANYPRQEQTRSGGSD RDLNPAVIHEGLVIVAPSDASAIFAFRADTGRLAWRSDPIADEVKLTHLLGVAKGRLV ATGDRVLLLDAKDGRLVSTWPDSGKSEGYGRGLLAGGRIYWPTKDRIEVLDQSTGVRA EPPIRLMESFHTTGGNLVAGDGYLIVAQADALVVFCQNSRLIERYRDELARDPNQAST HYRLARAAEAVGRDQVALDSYEQAARTARPSETIDGLPLADAAIDHQFRLLVRRGEQE RGAGRHDAATASLEKASTLARTEADRLRARMLVADVDLDAGRPAEAVAILGSILADDR LRGLSLASEDGRRAVRADLLIGDRLASVVGKAGRAVYEPYEARARELLERGRREQDPR LLDELARAYPVARVVPEALLEMGKVHEGANRLSQASKSYKRLLTLANVPDVDRARALL RLARVYQAQNYLIASRDAYLQLQTRYARVRLPELTAGSTLGEVAAKELARPPLDQVAA DRPRPPLSLPLARRWHLESPDRQPLRVMAAEGVLPGLQASRAFLQDGSRLTPLDPATG QARWSVELGKAASWVGYLSDKVLAANTERVVAIDPSTGLEQWKFAQRPGGKGRIAPDP FARPAAQGGAEAAPGQLHGFHLAGHRLYCLRGDQELVALDGDSGAVDWVFTSPGGPIN DKIWVGPDRIVMQVQAPNQILVLETESGRPVTHTPLAEGEQFERAPVPVDEDHVLLVP DRRTVKKYDMSRGQFTWDYRESTEMPVNGPPRVIVDAERLLVLHDGRVLIRLDPVNGS RRWSTVLGIEDLSERPDAIACDGGRVYSVSQKRLRALSIEDGSPIWSHLLSGPENAVW SISLSARYVVVYPSLSDVAEEELESMPLMVRRQDNGALIQRFVFPAAIADVNVRLDPG GALVATSRSLWALSRAEPAADPASNSRP OJF2_RS39515 MSRYRIGRSLQLIGMVILPFGIVSELEGKVGLGQSLLVAAGGVA IFYLGYAIQNASS OJF2_RS26605 MSSFFRAPAGALLAMASFVVAAYPAVAATPAAGLLASSMPAQSE YGTIKGRLVWGGSEVPPEKNLVEKGKAPKDVEVCGANGPIPSRELVVDPETKGVSYGF VYLVKPNGTNPEAAKALVEKAPRAILDQKGCEFFPYAQAIHQDQKLVIKSSDPVNHNV RYAAFSNSPFNQILAPNGQLEVTLVAEKRPIVVACDIHSWMKAYLMVFDHPFFAVTGK DGTFEIKGVPAGPQNVVLWQEKVGYVDSKTKAKGIPVTVTAGGVVDLGDVKIEPSQVK OJF2_RS26610 MKTNRTMQLSSIGLSLGATLMVAVFGCGGTASDSSDAIVTPTTP PASSGPKVAAAPTGGSSSNASAPAASSSAAAAAPVKAEGYGTLKGQVVFAGDPPAPKV LFEKGKAAKDPEVCAKDSPILSESLVVDGGTKGVKNVLVYLSKPTSVSDDAKKAMTAA TVLFDQNKCVFEPHVLGMMTGTPITLKSSDPVNHNINAKLKASAFNQLLAPQAKQEFT PSAAERTPGEVTCDIHPWMKAWWMVFDHPYFAVTDSKGYFEIKNAPAGTQKVVVWQEG LDKNGFLTAPSGEDVVIKANDAVVHDFKLEPSRLRAQ OJF2_RS26615 MPASEETYRSQPVLHIVFAVSSIAMTLAIVWMIMADHLRPWKEV QREFQRVERAKLEASEQEALTKQKETAQAKIESIDAEIKQAEANAGSRRAELRKVEGE LAGLKANAEGLDTSRKFKKAELDSLRSFYDGMIERGEEGRARRYLNTTIADAEKQLGD LSRQLEQAQSDLKKKQAQKEELLGFVDRLTKDKEKYTRDYDRARRVLEQKEAQYFGIM AWLRGLPGIDMAASPTKIQQISLPDLTINYNFKEVPRYDRCTTCHQGIDRIGYDKDAA GQPMPEVFAAHPFLTNGALATDTKGRTVTAGLYLDPNGPHPINSFGCTICHGGQGSGT DFTFASHTPADPEEEEHWRAKYGWQEIHHWDYPMLPARFTESSCLKCHHQVTDIPQAK KLQAGYERIVRYGCTGCHTIGGEGSFGPDLTDERTVGPNLAHIGAKVSPEFVARWIKD PHAFRPDSRMPRFYGVSNNDAPEDQPKTDAEIQAITHYLFARSTPPENFDAPPAKTDP ARGKELFLQKGCMACHQHRPYDPASVQPADREHLNPNYKPDPSATYDPAGFPEAVREY AKADYGPNLGNVAAKFRSEPDKGLKWLSNWIQNPEKYHPKSLMPNLQLAFQDAADIAA WLISVPGDWPVEVKVAPVSDGKVGPALDELVKLYVSKGGFKGREKDAKLVAVPLSEVD QFVARLSTEDKLDFVGEKTINRLGCFGCHSIPGFENAKPIGTPLNDWGIKSPTRLDYG HILEYLEDKPAAAGGDRDGTDKFYQEQLGHETRIGFLYQKLHRPRSYDFLKNKDRYKT WDDRLRMPQFAWANDPAAVEEVMTFILGLTGEKIASRYLAKTRYNEVKTALAKGSKVL NRYNCAGCHVLEMPKFIVPEGTKVADAFTDFKTNVRSSYNARAGDYIPQIYADLQFDP AKKLDAQNVEQELGLKPDEGTAPVTIEGMPIGLFEDELTVQLWKPVTIRGYTFNIGDN VTLDRTKIRQVPAVGGDFAWLFSTVAQEKAGTSFEAFWNRLPPPLLREGNKVQTPWLA LFLLDPYAIRPAAQLRMPRFHYGKAMGTRSRETEEIADYFAARDGAEFPYQTIPQHQA GYIAERNGAHPNYLGAGWSMMANKSSPCIQCHAVGPYKPTGGEQVVNGPDLRQVASRF RPGFLETWIANPKRLVPYTAMPQNVAPRGDVQLPVPKTFEKQPLEMVRAIRDTLLNYA NVVEQQLAAGANPQPPAAAQPGAQPSPTASAPPARPTGGGQ OJF2_RS26620 MHQDLTSGVMFGLAWYYLLASILNAAAAAYIAYGTLVGEGASRV GLAPKTRRLPAWLSTAFFGLYGMAILLVLLRQFLPGSMVAAYCLCALANGLLALTAGA DAAHFAETHEGATDHPDAVLERSPTLDDHIPAVGLGRPISRTLWTLIWAVAAVMFQAM GIAYLFGGAVVLPQLFRDAIDAVAGPTTFFIGATAAFVALIALRKFFANGLVAWAGVN LALLYFGLSMTDYDFRDIVTKPDNVPIVGLMVLVGYFTWLSLRRAVINDARMAQGLPN LEQLEPDKTLTWPDLVYTELICMVLLTIVLVLWGIALQAPLEQPASSTVAPNPSKAPW YFLGLQEMLVYFDPWMAGVVLPTMIIVGLMAIPYIDINKEGNGYYTIAKRKFAYITFQ FGYLVLWVVLILLGTFLRGPNWNFFGPYEYWDLHKLIPLNNVNLSDFFWIQLMGTSKP ANFFARELPGIVLVLLYLILTPEILRRLFFRRYSAEAGMVRYMTLAVLLLFMASLPIK MVLRWTFNLKYLVAIPEYFFNI OJF2_RS26625 MPLLEKITETQLWKSVFRHPMPTDRRNRVVVMLTNFFLHLHPVS VRKQGIALSYTWCMGGTTFFLFIVEVVTGVLLMFYYRPTLEHAYNDILALRDVTTLGI LRELHRWGAHAMVIAVWLHMYRVFLTGSYKPPREFNWVVGVLLLVLTLLLSFTGYLLP WDQLAIWAITVGSNMARATPFAGVEGPGASLLNLNGVKLITNGSDAKFALLGGRAVGE MTLNRFYVLHCVAIPLAVSLLIMIHFWRVRKDGGISGPM OJF2_RS40155 MAKRPSVQEILEAARRGGPATPQPAAEPEPAAAPIEEAAAEAAP APPPASPAVAAGPTPSSLGRPLTVKEKLAAARAGGTAPPAAAAAPAPGAAAKVAAGDA PAVEPPAPAVPPPAKPLGRPLTLAEKLAAARGGAAAPAAGAAPAKPAAAKPAAPAAGE PRAIPPLDKITDPKDLAEALRQAGARKDKEAAAAAAAAAPPKPAAKASAAKPSSVLPK PSKAAATTAGAEGVDHSSRRGFFLGPLFVSWIALAWTSFAAGCVAFTGMLGRFMFPNV LAEPPSTIKVGEPGKFDPEDVNERFKAEWGFWIVRSTRYDGQDIIYALSTICTHLGCP PNWLAGEQKFKCPCHGSGFYVTGINFEGPAPRPLERYKVTLADDGQIVVDKSQKFQQE LGQWSDPDSFISV OJF2_RS26640 MHKGVGVSPGVVVGVAYRVESALGPTEPQHLDDPGLVGAETAFF DRAVEEAASELEGLVQRVAQELGPSAAEIFQTHLQILSDPGLLSRVHSLIEEQNLTAL SALQQVMQGYVAQFARIEQEYFRERLNDLRDVILRIGSHLARKKDPARDRSLESREGD EPVVLVAHEILPSQAMSLGELPIAGIVTEVGGTTSHAAILARSRGIPAVSGVEGILSL VRSGDPLVVDGREGLVIVRPDQDETTFYRKVQREFFHLKDSLIANRDEPARSLDGNRM ELLANINNIADVHAANTVGATGVGLFRTEYLFLTHHDVPGEEEQFEYYRQIIVNSPNQ AVTIRTLDLGGDKTVAYLGRRSEPNPFMGWRSIRIFLENPKLMITQIRAILRAGRHGK VSMLFPMITTLEELKRINKVVRETRENLRREGVPFADDVKTGVMVEVPAAAICIDAIL RETDFISIGSNDLIQYLVASDRDNPKVAHLCEPLSPSIFRVLQMVLDACNRTGTPVTL CGEMAGQPRSALVLFGMGLRRFSMSPAFIPAVKNLLGAVTTAQAERFAHHVLQLSTSD EIRSYLTARLREISSTLEVFDAV OJF2_RS26645 MSLSFGGPRLLDGAELQVEAGERLCLLGRNGEGKSTLLRLIAGE IEPDEGTVLRRQGLRVSHLVQDVPAGEDVTVAHVVAGGLPEHDREQGGDDHRVETVLS RVGLDPGAYFSQLSSGMRRRALLARAIVGDPDLLLLDEPTNHLDIESIRWLETFLLRH PGTIVFVTHDRVFLERLATRIVELDRGRVFDWACDYPTFLRRRDELLAAEARQRELFD KKLAQEEVWIRKGVEARRTRNEGRVRALEAMRRAHRQRRDRQSTARMQAQEAERTGTL VIEAESVEFSYEGRPVIRDLTTTILRGDKVGIIGPNGSGKTTTIRLLLGQLDPAAGSV RRGTNLEVAYFEQLKSSLDDEKTVQKNVSDYDTISIDGRDRHILGYLQDFLFPPERSR TLVKYLSGGERSRLLLAKLFTKPSNVLVLDEPTNDLDIETLELLESLLVEYGGTILLV SHDRAFLNDVVTSTLVIEADGTVKEYEGGYDDYLRQRPADAANEARPAADPDRKKAAA PTSPQQRKRSFKERKELETLPARIEELELQVAELHQSMADPGFYRRDRDEIARERGRL ESLERDLAAAYERWNALEELSD OJF2_RS26650 MPDPQKILATLKRATDLMRATPGRQGSVVHLPDADEVMVVGDLH GNLDAFRLALHVAGLGQHPGRHLVLQELIHEINKYDEDRPDRSHRLVDLVSALKCQYP DRVHLILGNHELSELTGRVIGKDGQALNLRFRLGIEQAYGAHTNEIYRAYIDLFGALP VAVRTANRVLAIHSIPDGRYLDTIDLDVLRSGRWSEESMKRGGTIYAVTWGRDTTPET ADRFAAMLDCDFFVTGHQPCDEGFRRASHRQVIVDGTYPSPAYCLFQSREPTSIDSLL ASCSLFDLHTRD OJF2_RS26655 MSDPVPTAMQRRAFMQSGAGVAAALAFTTSSITHGQEPSAAESP APALPTRRLGKTGVDVTILNAGTLRIAGFMDRLLRLSFARGVRHYDTARVYGSEPAFK KWFAERPEVRKQIFLATKQPVRSFGEVLKGIDERLDELGTDYIDLLYYHGLGKKQVDW PKSKEMKDEVEAIKKTGKVRFVGFTTHDSTIPQLLENAAIGNFVDVIMLAYAPWMKKD SPLNKALDRCHDKDIGLIAMKLFAGRGALAQVKDRVPALKERGLTPHQGLLHAAWSDE RLANVCIAMTNTDQLREAVEAARRFQPLRAAQIDELRDAVLDSNPTMCANCDGSCGHA GGTKAELGQLARLLTYHDDLGARVLAREEYAGLTAEQRDWHDADLEAARKACHSGLDF ATLLPRVDRLLG OJF2_RS26660 MTPETTAPGGRSIVHASIATTLTALALLAGQPRSAAQLFTAAEI PALADGISLRGPGEAVVRVWTSPAFEWHLAQEGDSVTLRATQSGRGATPYWQRLGTVK HEPGRALRIRVVPDDPATKSPPPLPCLLFLGPEGINLEDALDVVRGRVDSADPSPDRR REQIRTNKEGAEFHAPTTLEAWRDRSKHVREQMLVSLGLWPMPPKTPMSPKIYGKIER DGYTIEKVVLETMPGFTLSGNLYRPSKSEGKIPAILCPHGHWNDGRVNPEVQPRCSRW AKLGAVVFLYDMVGYNDSKPFTHAFLNDRLRRWGLSLATLQTWNSIRALDWITTLPDV DPERIGCTGESGGGTQTFLLTALDHRIKVAAPVVMVSDSFQGGCVCENAAGLRLGTDN VEFAALAAPRPMILVGASGDWTAKTMTNAFPAIRGVYSLFGAADRLDAAVFNFPHNYN QTSRNAVYAFMGRWLLGMEDSEGTREGAEAIEKPETLWTFGKDHPAPASRKTPAQLEK SLIDALSSELQALAPSRVDPARWQAAREYLKVSLAHRVGLENPPGDGITHRDVRRLVR EEFTIVHGELTRRGKGDAVPVVRLIPTHPGGRLCVIADPRGRAGLLDATGEPSPLVRA LLSRGVGVVGFDPLFAGETFDPLHPATSPPDTAHFDTYNPTLAQAQIQDLATVLAWSR SHPDAREVSLVGLGVAGHQALLARPLLEGIARTAVDLEGRVLADGVGSVPPALDLPGL FQFGGLPMAMALSAPHPLRIHRAGPSLARAWPEAAYMLADAPRAVQFRDDPPGAEVLA RWLDEGQE OJF2_RS26665 MPDGEPRITGEEWHVMEVLWDRGPATPAEVIRRVAEEQGWTHRT VRTLLSRLVQKGILRREGDGTRPVYRPAVGRRQSVRELGRSFLQGSFDAGAASLLLHF AREARIGPEELDRLKKLLAQTHGDDEP OJF2_RS26670 MNRGYWIVAAALVLASWTLAAWAYPGLPRQVPIHWNIEGKVDGW GDKTWATFLAPALMTGFLVFFALLPALSPKSFEVESFRPTYLYCMVVTLGLFAYMNAV ILLATKQELQPGGWRIDIGRALIAGLFLFFALLGNVMGKVRKNFYIGFRVPWTLASDR VWNDTHRLAAWLMTGGGVVGFLAVVMGASLYVAFSVLLITTLVPLVYSFVHYKSLERK GLI OJF2_RS26675 MMKLNEILRALADPTRREILQLLQGGERTAGELASSFDMTRPSV SHHFSVLKDADLVTTRREGQQIFYSLNTTVVQDVMTRLWDLFGDGQEEVKS OJF2_RS26680 MSESSSLCVFCGSSAGHSPSFAEAARLIGEAIVRNRAMLVYGGG RVGLMGIVADAVLSAGGRAVGVIPEALATKEIAHDGLSELHVVAGMHERKALMAARSS AFLALPGGIGTFEEFFEILSWQALGIHSKPIGLLNVGGYFDPLLSLLDHGIASRFIRP AHLRPLVVSDQAEAIVRKLLGHEDVVTPTPWLSLDQS OJF2_RS26685 MKAAYIEQTGPPENILVGDLPEPEPGPGEVLIRVKAVALNPIDL YIRSGLVAFPLSYPYVIGCDVAGTVESLGAGCRKFKEGDRAWASNQGLLGRQGVAAEY ACVAEEWLHHTPALLPDDQAAAMAMVGITAHLGLFQCGQLRKGETVYVPGGSGGIGSM VVQMVKAAGGRVATSAGSSDHVEICNNLGADLALNYRTDDIPARLREFAPDGFDVWYE TQREPNLEFSIPLLRKRGRMILMAGRTARPVLPLGSLYPRNCSIHGFAIFNFTAQEQE PAAMDLVRWIEEGQIRPMVGRTFPLAAAVEAERYLEENSLHGAGKLAGKVVISVD OJF2_RS26690 MNLLTTFAGSMMEGFLPAGWDLARMDACCSHPPSAIAERQAWWN ERFELIPTETVADFDVVMGHEIAAAIRRTRDEGRDAALILPVGPMGMYRWAVYFLKEW GVSCSHVHGFNMDEWSDRTGSTLPPDNPGAFQFAMQQAFYGPLGPLTVPESRRWFATP DRLPHYAERIGELKSKGAELIVIFGIGRVCHIAFWEPHFAAEFGTIEEWKAQTHRLGA RLHPLTIEQNALTSFRSRTTLVPAFANTIGPGLFLKADRIIGGAEGVFDRGMQWQGAS LWMTLRYGPDPWVPSSFMPTLPGRLFYHKGLAGPLVPELN OJF2_RS26695 MIPVNDRVSLDEGELEFEFIRSSGPGGQNVNKVSTAVRLRFPVA TSPSLPEDVRRRLIRLAGKKVGADGTLMILAQEARSQEANRKAAVDRLVEMVAKACER PKPRRPTKPTLGSKVRRLESKRRQSETKARRRSPGSPED OJF2_RS26700 MRSMRLKAAGILSLASLLAGCGGGDEAGSSGPGGAGAPRGTVEV DGSSTVFRISRAAQEAFESVNPNTTVVVNNHGTGGGFGRYLQGEVDIVDASRDAKPDE ESKAKAQGIDWTRFTVGNDGITVVVNPKNTFVQSLSVEQLRKLWQPGSTVKTWKDLDP NWPDRQIRLYSPDNDSGTYEFFVEAIVGKPKAPAAAPASDGQAKAAASKGQAATPKGQ RDDVQQSADDNTLVNGVSNDEDGIGYFGYAYYAANKERLRPVAVQNGPEAKPVLPSAE TIADKSYRPLSRPLFIFVKNSAAKRPEVKQFLNFYLDNVKKLSVDGGYDPPTDDDLKA NQQAAARLYGAAEPAAKP OJF2_RS26705 MPIAERETSPPRDDPPPVAIPAEDHWAGHSPRQAILESAISFLL AAAALLTVLTTAGIILVLTVQSVQFFVNSHVGILEFLLGAELKPEADPPKFGILPLVW GTFAIALGSSCIALPIGLLSAIYLSEYAPRRLRKVLKPALELLAGIPTIVYGYLALLL ITPVIKAVMAPLGVRVPQFNALSACIVVGIMIIPLVSSLSEDVLSAVPRGLREAAYGL GATKFEVSTRVVLPAALSGVVASFILAISRAVGETMAVVLAAGMLPQITLSPLESVET MTTYIVQVISGEASYGSSKYLSLFAVGLSLFIITLVLNIISGLVLRRYREVYQ OJF2_RS26710 MSNPAESRFRPHRWMRSLAGPLFGAACLLATFSGVVVLVVLLAS ILLAAIQGKPDNPWYAIGPNLRELWGLLSALIVNRQSSNPALAGFRIGIVGSLWLLGL VALIGIPVGIAAGVYLEEYAPPGRLRRVVQTNIANLAGVPSIVYGILGLALFVRGMGF RPLALGSCLLAGALTLGLLILPIIVITTQEAIRTVPVSLRQAALALGATRWQMVSGHV LPAALPGILTGTILGLSRAIGETAPLLMVGAAGALRRLPTGPLDRYSALPVEIYNYAK EPGREFQTVAAGGIVILVVLLLSMNGIAILIRDRFRRYQ OJF2_RS26715 MSVTQPGVERAPSPAPQAAPSGPRDTGPADDSVALTTRHLSVWY GSVLALKDISINIPKNKITALIGPSGCGKSTLLRCYNRMNDLILGARMDGEIWFDGGL ISAPGTDSVALRRRIGMVFQKPNPFPKTIYNNIAWGARINGFRGDMDDLVEKSLRRAA LWDEVKNKLHRSGLDLSGGQQQRLCIARTLAVEPEVILMDEPCSALDPISTARVEDLM DDLKADYTIVIVTHNMQQARRVSDMTACLMLDEAASADGHRTGILAEFSPTDLLFTNP KDKRTEDYITGRIG OJF2_RS26720 MTSVSVPEPRPGPPTSKERPAGRRGHNEGATRGLRLLDASLVLL FLALTALLGVFPLKDADNYWHLRTGDLIRRTGSIPRVDFYTFTREGKAWIDLHWLFQV GMSWVNERGGVAGLILAKVLITTAAVALLITARRRSWPVWAMVLSWLPALVVLSGRMY VRPETLSLLYLSAYLAIVCRWDRRPILAWVLPLVQVAWVNSHGLFVLGPVVLAFGLID ALLRGRTLAPDPHRWWKTVGPAAAGVFLACLVNPYGLRGATYPLELARTMASPVFSER IAELTPIPLFIRRHGLTSVPLLIQLGTTAVGVLSFLIPLGWSLGRLVFSRRPGRTAAV EEKKGKRKRASSRKATAAPGDAEPDGWRLSPLRLMLFVAFTALSFQATRNSHQFAAVA GTITAWNFAEWGAAIRRARETARVEAEAAGLRPRLFTLAALLLMLLAVGSGRFYELLG EGRTIGWGEAPLWYPHEAAKLAGEDGMPARFLGFHIGHASLLEYYNSPERPGGAGRLA YTDPRLEVAGAELFDEYNQLGNRIASDGPGWEAQLERLGLPSLLVDHEFSSAQGSTLL ASRRWKCVRFDPIAALYVHESYSDAVKGRVVDFGSRHFHPRAENEPHGTDELKALARA GRYYQMALAGRSASSIALGWLATDAARRLLASEPDSLDGWKTMGQVEIREPMGPPVAR FRHHFDPVLDLPAVRATYALRRALEVGPEDFSGLYSLLRVYENRQMGEAMLPILDRLL SLSPINPTQMTTLAELGPMRDQVRRGLGGAPETQWKNVGDLERIVNTLLAQGRAETAC QVLERAYPADKAPWEVVDRIASMRLHLGEPAEARRLWQVAVAVPSGSRREARVAAADL AEEKLDAARAGYERAVAADPRLFEARYGLAVLEQDAGRAAQALSQAEAAVGCAPDELS RNAARAIAEAVRPYAGQAPPAP OJF2_RS26725 MRRHVPKLAACLAIGLAAAVAPGRASADDLKVGDKAPNFSLKGS DGKTYDLADFRGKSAVVLAWFPKAFTGGCTAECKSLRENGEAIRKYDVAYFTASVDDA ETNKKFSESLGLDYPILSDPTKETARNYGVVHEGRQVPERWTFYIDKEGVIRAIDKSV KPANAAADVAAKLKELGVASR OJF2_RS26730 MDDPSHAMPQRRSEERDRDILDPRGALPGPLPRPGGIPGTYTGR YVPPISALGPLFRYRFEKIHRCRVGYDLDINPRPTTRVLGGYYKSRALVRVYVRDREL GLRPLEELFDTFLHELAHHLEYTEPSSFAARACGRVPGRMHSRLFWKILGELKHRWAL LQRADGDESRRPV OJF2_RS26735 MESLFVLTNDDGVDAPGMAALRRAVEGLGPAAVIAPRGAASGCG HQVTTHQPIAFSRRDDGAIAVFGTPADCVRLAVAGLAPRVRCVLSGINAGGNLGTDVY ISGTVAAAREAAIRGLPAIAVSHYIARGRVIDWERAAAWAAPVIRDLLARPSAPGTYW NVNLPHPEPGGVFPGVCECPLDPSPLPLAYEFDGDTALYAGNYQARARIPGGDVDVCF GGRIAVSLLAVGPGGS OJF2_RS26740 MTPRPSQRLASPTRLTLLASVLLFAAASDPAYAGCGRRTGMNPA DRHHAGLLGMTELELASSRMEDQGQGHDGPPGGGAPCSGPGCSEGRGRDVPPIAPRLH LPEDGCLGLDGDQPPAQTGGRFVDSETSCLAIHLANTLERPPRPA OJF2_RS26745 MRHRRPCPRTAFTLIELLVVIAIIAVLIALLLPAVQSAREAARR AQCVNNLKQLGLAMHNYHDSNNALPLGRTLQSGTYRPFSQQARILGYMEQSNIFNTLN FSLSSFDVANVTGAAATISSFLCPSDTPPTIPSGQVLAGYGWGAVNYRANEGTSVAMW YGADDVSNVNNGVVAEPNGLFFSSQCIRLASVTDGTSNTAAFSEHLVGDFSNAVSTEL SDTYAPGTHPMNSDEAYAFCKAANINDLSQQGYSNVGAPWTYGYHSTTSYWHSAPPNT RSCMFPPSRISTTANSRHAGGVNVSLADGSVRFVKSTVNVATWRALGTRNKGEVISAD GY OJF2_RS26750 MILSKLRGVSMSLCLAPILLISPGCGASASPADPARAQETLRIA LDAWKAGSKPGDLSGHATPIRVSDQEWAGGWTLLDYRVDDDGKLVGFDMNYPVELNLK RPGGGTVKKKAVYTVTTHPESLVLRQEG OJF2_RS26755 MNWPTVSLAAALVAAAVPASRAEDVPDSTPRPVAATRPQLKEQL ERSKHSRPRLPLPPPTADEIAAARNPSRPGPMGGIINNGRMRKLYLPPEVLGGGFQRE PDPAMTLSNTFKTKFFWIVSRANNCAYCQGHQEVKLASDGVTEDEIAALDGDWSGFPE RDRAAFAFTKKLTFEPDKVADADIDRLRAHYSDLQILEIITAVAGFNAMNRWTGALAI PQEEHRVYLTETSEPFRSLRSMVAPLPSDAPATTPTCAVPRDRGPLEDGDALKAALDR CRHRNPRLPLADEAASRALLPSDWPDSGPAPEWVRLLANFPKGGKAWIAQHFYSQTKG RLSPKLKAEIAYAAARNDRAWYALGHAIRRLQDAGLNDEAIAALGRGGDSMPEPERLV LSFSRKLTVDPALITDADVEGLRKHFSDHEVAEIVYQVTDAAFFDRLTESAGLRLER OJF2_RS26760 MPTTPPGAINPKTLPAGDEGAVAVGESAVAAGKTGASAVEKAKA AQVTAAPPTAKGETKTTVGGVKYETLKEGTGDELKGGHVAQVHYVGTLPDGTVFDSSR KKGEPLPVTVGAQGIIKGWNEGLPGMKVGEIRKLLIPSKLAYGERGFAPQIPPNTDLT FEIELLKILY OJF2_RS26765 MANVSSTPKFSGMKYRVVNELGEGAGSKIFLISDKAGGGKRYAL KIIRKQEPEDDIYIQQARNEYEASQKLNHPAIAKVYDFRQKKSWFKVTGAELLMEFVD GKSLDEVEAPELDQLILIFAKVASAIAHMHRRGVFHGDLKPHNIMLSKNGQVKLIDFG TAWIRGQDSNRAAGTPQYMAPETAVEKTVNAKTDIYNFGATMYRLFTGRFAQQGLPTS GSDRKITLPSKLNPRLPADLNLLIVACLQSDPSKRPADMVEVRDQLAKIAKAKGLEDE DIRGADEE OJF2_RS26770 MRLPLSHARSIIGGRTGIATRRGNPRQRRRHHPWELQALEPREL LSTVFTVTGTADDGSEGTLRWALSQVNADPEPDADTIRFAIPGTGPFTISPASGLPQI IHPVIIDGTSQPGYAVGAPLIEIDGTNAGYTPGLILAAGGSTIQGLVINRFSQTAAIL VSQADGSLIQGNFLGTDPTGTIAEGNYDGIDFDYSGTTGITIGGITPAARNLISGNHG SGVAVSFSPSSQIVIEGNFIGTDATGSQPLGNLSSGVEIYDTAGSNTVKGNVLSANGY HGVLIEEDYGSQNDVIADNLIGTDASGRLPLGNGYAGVYSYYGYRTSLTGNVISGNAH IGVYLGGFLNSLRDNMVGTDITGAHPLPNQGDGIVVAGYGNTIGGPTGADGNLIAYNG GAGVAVSSSGFGIIGANSILSNSIATNGGLGIDLGEDGVTPNTPGGPHSGPNNLQNFP VITGAINFGTSTALVGTLNATPSSTFTIQLFSNVAADASGYGEGETYLGSTMLATDGA GNGSFRLDLTTALPVGRLISATATDPYGNTSEFGKDLAVVAEHPPVVAVEDAYNTDAK TTLVVAAPGVRTNDISADNGTFSAALVHGTSHGTVVLKPDGSFTYTPKGNYTGQDSFT YVAVEGLSASNVATVTISVNAKTQVVTNTSDSGPGSLRQALLIAASSNTPDPDVIKFA IPGTGPFVIQPSTPLPEVTHPTVIDGYTQAGAQPNSLAVGDNAVILVRLDGSMLIRPG IGLVISGGGSMVKGLSITSFANPIDVHGAGGDVIQGNFIGLDPSGLSAFNSAPLTVSG EGSNLIGGRKPSERNVIAGGDSYAVTIGGPNNSVQGCYVGTDLAGTQMLSYSQGVRIV GASNTTIGGTASGAGNVLIGLSIGDYSGSNPTVGTRVQGNYLGIDSAGLHAMGYSSSL LIYDGSDTVIGGTTSKARNVIVGLDIETTGAGSLIQGNEIGTDASGTLSAGGYSNGIS LYYVANVTIGGTAKGAGNLISGNAYGAGIIGYGVSSITIQGNSIGTDIAGITALPNGS SGVVLFGSGNSIGGTGKGAGNLISGNAGNGIYGSSYGGPANFIQGNLIGTDATGSAAL PNGSAGIDLNGPGFAIGGAQKGAGNVISGNAAHGIVIEYGGQDNRIEGNFIGTDPAGK KALGNGGAGVLVYDGANSTIGGTGAGAGNVIAYNAGPGIGIGGSAYSTGNAILSNSIF SNGGLGIDLRLDGVTPSTPGGPHFGPNNLQNFPTLIVAGTRDGKVAVDGTLSSAPNSS FTVQFFASPEPDPSGYGEGQIDLGSITVQTDAAGNASFKGLFDAKGGQFITATATDAA GNTSEFSAALKAVAMQSKVLAQDDAYRIDLNTSLIVAAPGVQANDLSIGPATSLVVAG PAHGTLNLCADGSFEYVPAANFVGTDSFTYKDKLGGASAFATVRITVAPKTFVVTNTN DSGPGSLRQAILDADLATSASPDTILFALPGTGPFLIQPISPLPAITHATILDGYSQP GAHASGQVIGGDASLLVRIDGQAIPGGADGLLVDADGVVIQGLSMTGFGVAIHLIGPG GDVVRGNYLGTDTSGTQAGPGNVRGVVAESPSNTIGGTTAGAGNLASGNSDVGILLLG SHATNNVVQGNRVGTDVDGLAVLENGWDGIGVWFGANGNLIGGVDPAAGNLLSGNMSG ISFYNGGNFNTVEGNLIGTDVTGKAVLGNRVFGIYDETGRNTIGGTAAGAGNVISGNI ADGIYLNGYYGENSDVIQGNWIGSDATGTLPLGNGQSGVTIGNYASGNLIGGLQPGAA NIISFNGRNGVTIGLTPYDYSVSNAVLSNIIASNAILGIDLGNDGVTPNPLSYGGYGP NQLQAYPVIGSASATAADLTVSGTLTGVPGWTYTIQLYADATPDTSGYGQGQVLLGTI LVTSDDSGIASFDVTLPVTVSPSWFVTATATDPFGSTSEFGADVAVDAALQSLAAADG DPEEGLPAELALDVALESARRAKSS OJF2_RS26775 MREWRLQVLESRELLSTVMAVDDAYNTDAKTPLIVTSPGVLAND SRSDGGQLSASLVTGPGHGSVALNADGSFQYTPRGGYTGLDRFAYVAVGGGSGSNLAT VVISVNAKTQLVTNTGDSGVGSLRQALIIAAASNTTAPDVIQFAIPGTGPFVIQPLTP LPEITHPTVIDGYTQSGSRANGLATGEDAAIFVQLDGSRLSPGSSGLVISAGGSTVTG LSITAFATPIDVHGGGANVIQGNFLGLSPAGSLTPNAGPMVVAGAGNNLIGGSKAAQR NVIAGSGSYEVTIGGPNNIFQGNYVGTNLSGTARLTAGGGVLVSSASNTVIGGLKPAS GNVLTGLTIGTSATSPTTTGTRVQGNSIGADAAGVNGFGGTTSLIVAAGAGTVIGGTR DTAGNVIRDMVIGAGGSGTLIQGNAIGVDASGLRSLGSPGSGIVLDYSDHVTIGGTAA GAGNVISGNTRGYGIVGYYTFDASSILIQGNLIGTDATGMTASPNGWGGVRLSGGGNT IGGTGRNAGNVISGNGGAGLILDYGSSTALIQGNFIGSDASGLRPVGNAGDGIVIQGL DSNGNTIGGTAKGAGNVIAYNGGAGVGIPSGSDATGNAILSNAIFANVGLGIDLGDDG VTANTPGGPHDGPNLLQNAPVLLVAASRSNQVAIKGTLSSAPNATFTIQFFANGSADP SGNGEGQSYLGATTVTTDANGDASFQASFRAQPGSVISATATDQGGNTSEFAANQSIV VMTGKLLAQDDAYRTDANSPLLVGAPGVRANDLAFDGGAFSTNLVRGPGHGTLTITAD GGFLYVPAPGYVGTDSFTYQDRLGNATALATVTITVASKTLVVTNTNDSGPGSLRQAI LDADLATTDAPDTILFDLQGDGPFLIMPTSPLPAITHATIIDGYSQPGAMAATPGPGG SAVILVQLNGLAQPGGDGLLATAPGVVIRGLSFAGFATAIRLTGAGGDVVEGNFIGTD VTGTTADFGNNLGIYIESPNNTIGGTAAGAGNLVSGNWDVGILLDGPSASNNVIQGNR VGTDATGMTAVWNWSDGIALRSGASSNRIGGTEPGAGNILSGNAYGLSFAAGCAFNTV QGNLIGADATGQSAIGNMSGGIDDDGGDNLIGGTASGAGNVISGNWGSGLIVEFASRD VIQGNAIGTDVTGSLPIGNWGDGVTIRNFSSRNTIGGGDAGSGNTIAFNGGNGVTIGS FAGDLCYRNAVLSNVITGNLGLGIDLGSDGPGPIVPGGPYDGPNHFQNAPVIEAVVTD GVLIALTGTFSGPPATMLTLQFFVNDEADPTGFGQGQYYVGSATITTDADGNATFTVI LPAAVSPGRNLSATATDANGNTSEFAADVAVNAAIQALAATGMQVADIIPAELALDLA LDAQKRDGTAA OJF2_RS26780 MNRPPIDLRSDTVTRPTPEMKRAMLEAPVGDDVYGDDPSVNALE ARTAELLGKEAALFVPSGTMANQIAVAVHTRPGDELLCAETSHVYVWEAGGVARHSGV TARTFPGDLGLLRLEEIEDAIRPDDIHYTRTRLVWLENTHNRGGGRVQTMESVAAIRH WARENQLAMHLDGARLMNAVVATGTSAADWARHFDTVSICFSKGLGAPVGSALAGSAD TIKRARAVRKLLGGGMRQAGFIAAGAHHALDHHVERLADDHAHARILADAFSATEGFS LESGPVETNLVWVTVDPSLGTAAEVVAYLKTHGIRLSALGGQVVRACTHLDVSREDAE YAAKVIRQIEPAMITAVTLVY OJF2_RS26785 MLYLPRLLLATHLVHLLEGITYADWVRLLRRERFRVSPVCWPRA AWITLRSLLNSDLARRTAMRFGAEIESARVEAPVFVLGHYRSGTTFLHELLATDPRFA SPTRFQTFNPRTFLLTERWLGALTEPFMLPRRVQEDEVAYMNLTQQSPYLDWCFPRSE TGYRRFLTFRDATDDEIAAWSDGIRSFLRSLTVRYRKPLILKSPPHTGRIRLLLELFP DARFVHIRRDPYTVYRSTMGLIRDLRPVFRLQSGAADEDQERVLATYREMYDAYFEDR PLVPDGRLVEVAYEDLEADPIGQLRTIYEGLSLADFEPVRGAMRGYLDSIQGYRKNRH RPLDEPIRRRISECWARCFDEWGYPREPVAVPVTAPSSAASDSRPR OJF2_RS26790 MPSTDRSRPASPAGGGGTSTEADLRRARLAALLQAGHRPVDPTP RLLHRRFEDRARAHPDAVAVSCEGRSLTYSELDRRASALACRLRAAGVGPESLVGLAA ERSPEMIVGILAILKAGGAYLPLDPVYPAKRLALVLEDSGARTLLTQDSLQATFPDFD GMVVPLSLEDEAPEIRMAADAPGLESPDQLAYVIYTSGSTGTPKGVGVSHANVDRLFT ATRHWFRFERSDVWTLFHSFAFDFSVWEIWGALLHGGRLVVVPYWVSRSPDSFLELLR DEGVTVLNQTPSAFRQLIRADEAAGSPGLSLRHVIFGGEALELQSLRPWFDRHGDERP RLVNMYGITETTVHVTHRPITRSDLEEHAGSSPIGEAIPDLRTFVLDPNLRPVPPGAV GEIFVGGEGVARGYLNRSGLTAERFVPDPFSGKPGARLYRSGDLARRRRDGSLDYLGR SDRQVKVRGFRIELGEIEAALARQPELHDAAVVAVPGPEGEARLAAYVVPRTLSAPSA ESLRERLLATLPDYMVPAVFVSIERLPLTANGKLDTASLPEPGDGAALPPAEYVAPAT ALEEQVAAVWRDVLGGSPIGASDNFFLRGGHSLLAAQAIARLRQATGLDVPLRALFEA PTVRGLAGRMERLQAAGHAAGLSPIPTAPRDGEIPLSFSQQALWFLDRLSPGTPAFNV TAAVTIRGPLDVAALGRSFREIAARHESLRTRFPAVDGRPVQLIEPLLDLPLAVDDLE GIAPDGSHEAARRLAIEEGRTPFDLAKGPLVRARLIRIREGEHVVLLTMHHIVTDGWS MGVAAKELAAIYEAFTEGRESPLPPPAIQYADYAAWQRASLAGDRLDRLVAFWRKELD GLAPLQIPTDRPRPAVRTARGDVHFFELPAALTASLRSLAKAEDATLFMTLLAGFQAL LSRYTGQDDVAVGVPVANRGRPEVEDLVGYFVNMIVMRSRLADDPGFRAFVRRVRDTS IAAFEHQELPFDRLVEALQPRRDPSRTPLFDVMFVLQNNRMPDASRRELTLGSLDVGE GTGAAKFDLTLAMVEDGDHLSGSIEFNADLFDAPTIERMIGHFGRLLESAVTAPDARV SELTLSDAAERSLMLRDWNATAAPLPECQRLHRLIEDSARRFPDAEALEQGYARLSYR ELNARANQLARLLRSRGIGREDVVAFRASRSVDAVTSLLAILKAGSAFLPIDPEESPV RMARMLRDCGSRTFLSIGESKAQSVPDGVEVLAVDFPAGAGACVDGTLGENLEDEGGP EDAAYVLFTSGSTGTPRGVVVSHRSVVNHATAAVDLYAIAAADRVLQFSPLHFDIAVE ELFPTWLAGGCVVLRDGDETLDPRAFTDWIAERGITVLDLPTAYWHSWTEYLARRGRG PGGHLRLVIVGGERALPSALGAWRRVARDGIRWINTYGPTEATVIATSFDPGFESPDS RDEDDDIPIGSPIRNTAAYVLDDRMQPVPLGIPGELYIGGEGVARGYVGLAAETARRF VPDPFSKRPGARLFRTGDLVRWRGDGRLAFVGRRDIQVKVQGFRVEPGEVESALRQHP EVRDAAVVAVAGEGQASRLVAYVVAIEGSGPTADSFAAFLRERLPRYMITSRFALVDS LPLTSSGKVDRRRLAARDLEVAAPAAGDEFTAPRDEIEAKLASIWEEELGVRPVGVHA SFFDLGGHSLLAIRMLSRIEAELGRELPLSALFLAPTIAGLADSLRDPRPSRPGSPIV SLHAGGASRRFFCVHPAGGVVYCFLELARRLGPDLGLEAFQASGLNPGESPLSTIEEM ADRYIRALRETQPSGPYLLGGYSLGGVVAFEMARRLRDSGEAVERLVMIDAGAPSGPP RLDAATLDLGARAAKLALFRDALDVDDEAEAARRAATILAYLGGGGLGMRRLLKRLSA LPPDAQRHEALQLFALDTVYREGTRPERARGLWRVLASSLLALSRYRPEPDGIDVLLF RASKSKASDQTLGWIGLASSVEVRHVTGDHATLLTPPGVDELAGRLLQECGMRPVSRP OJF2_RS26795 MTTSSSADQKRRLLGKKLLGVGVELPVTRLFELQARKTPDAPAV VCEGRELSYRELDERANRLAALLRDNGVGPASLVGLLAARSVDLVAAMLGILKAGAAY VPLDPDFPADRLDHMVRDSAMRLIVTEDPLRQFVRTDGARVRTIDEIHGTPGGATSGR GPSPDDRAYVIYTSGSTGIPKGVEITHRSLTNFLFAMRGTFRMTADDHLLAVTTLSFD IAALEIFLPLIQGARVTLAGRATSSDGLALADEIEKGDYTFMQATPSTWRMLLDAGWE GSPGMAMLCGGEALSRELADRLLDKGESLWNLYGPTETTIWSSVARAGPGPSPISIGR PIRKTQFHALDARLEPVPPGVPGELFIGGVGLARGYLNRPGLTAERFLPDPFSGVPGA RMYRTGDLVRGREDGDLECLGRLDHQVKVRGHRIELGEIEARLERHPAIRQAVVAARE GYGDSMRLVAYLVPADGWTLDPPALRKHLLQSLPEYMIPSAFVEMASLPLTPNGKVDR RALPDPGEDANGVTSASVPPRGPVEEGIAEIWRAVLHRDRIGAEDDFFEAGGHSLLAT QALARVRDVFDVELTVRDLLDGPTVAGLARRVDARIREGRGQATTPIQRDATADFPAS SAQRRLWFLHQLDPVSPAYNMPANVTLHGDLDREALQAALEEIVRRHEVLRATFRDDG GEPRPVVGEMGLVELPMVDLRSLPADIRADEQRRLVDEEAARPFDLARGPLLRVALLQ TGDREHVLLVTVHHIVTDGWSMGILVREACLLYDAFAAGRPSPLPELPIQYTDYARWQ RDWLASGAEAQLAYWVEELRGVPPLDLPADRARPSTPSGRGGSRSIHVPAALLDDLKA LGRREHATLYMTLMTAFQVLLSRYANQHDFAVGTPIAGRTRSEVEPLIGLFVNTLAIR ARLDGDPSFLEALRRVRQSALAAYAHQDLPFDRIVAAIEPGRNASRSPVFQALFALQD APRPDFASRSLSVSALESPNPAAKFDLALFAAETDRGLGLELQYSTDLFDAETAEQIL DSLAVLLEGISDDPGRSIGALPIVSDADRDRALGTPPQPAIDLDALTDEEVEALLNRL EP OJF2_RS26800 MADDAELQLLFGLLALQNGLIDRKQLRAAFRDWAKDGARPLADH LTGPGGIDADHRSLLEALLEFQLRRHDQDPGRCLAALDVSRSTREDLSRLRIPAIDAT LSIVGSRTAPDDLATQSVAGSVGVATSRGQRFRVIRPHARGGLGAVFVALDSELNREV ALKQILDDYADDPTSRARFLVEAEITGGLEHPGIVPVYGLGTYADGRPFYAMRFVQGD SLKEAIAAFHADEPRRRDPGRHSLELRKLLRRFIDVCNAIDYAHSRGVLHRDIKPGNV IVGRHGETLVVDWGLAKPIGRGPDGEGTGEECLVPSSASGSAETLPGSVVGTPAFMGP EQASGDLDRLGPASDVFGLGATLFCLLTGRPPIEGDSVAAMLRAARAAEFPAPRRIDP AIDRALEAVCLKAMAARPEDRYPTPRALAEDVERWMADSPVTAYREPAWQAARRWARR HRTAVAAGVAALLVSFAATAAVLAVQTKANNDLRVANSNLDAANRRERERFALAMGAI RLFHGEVSADLLLKEKQFDALRNKLLRGAAEFYGKIGRLLESQPDRSSRASLAGAYEE LGDLTERIGDKPEALALLERAVEIRRELAAGPVAGLEGRVDLANSLVAVGKLREVTGD PTGAKSAFEEACSLAGYAGPSRPDSERRLAVDAVSQSALGWVLLRTGDPTAALARYRR ALEDWRDLDRAHPGNDEYQSGRATSHDNIANALVEAGKHVEALAEYRESLAIRRELAR THPDAARFQSEVAHNFTGIGLLLSRFGKSDEELASYEQALAIERKLSDAYPAVTRFRS DVAWSLNNIAAVYNETGRQAEALETHRKALEIRRGLVSSHPRDTNFQRELASSHHNIG NVLSDTGRLDEAIVSYGEAANILERLVADNPAITQFRQALASTFHSLGGRHSELGGNA ASLAALDRAVSLRRELAGAHPDVIEFRADLANSLNDLAGLLQRTGHTSRALKNYREAR VIWDGLARSDPSVAWYRDGLSLVLINSGALLAAIGREPQALADLQEADRTYDALLKAD PDNLELRSRFAWSRGELGTRLMLAGRDREAAPLLAMAMELKEAILKQQPDKPLDRYGL AAACRPLALLHERAGEIDACRRLLERGLAVGGRLASEAPRNPDYQELAASLETDLGRL ALAGDRPEGLRLLRSALDRLDGLAEPSPECWYLKARVHSQMGKAAEEKPIGFTAMPDD ECFAQLEAAIAWLRRAFMAGHRDRRRVASDRALDPVRNRPDFRLLALGLAFPEEPFAP DG OJF2_RS26805 MRIGFDGTCLANRRGFGRFSRLLLDALARQAPADMELIVFLDRP SAAAVTLPTGVVPRIVDVAQAPAAAASASGRRRVGDMLAMSRAVARAKLDVMYFPATY TFFPVWNVRRLVVTMHDTLALAHPDLVFPTRKGRVAWLVKEHIAARMADRIVTVSETS RRDLQSWFRLPADRLRVVTEGPAPVFRPGDTGPEADRVLRRHAIPSGSRYFLYVGGLS PHKNVPRLVEAFSRVAAPGVFLVVVGDFGDVFHTDVAAIRAAIDRHGIGGNVILPGFV PDDDLLHLYRRAVALVQPSLMEGFGLPPVEAMACGTPVVASRAGSLPEILGDAGLLFE PTDIGSIAGCLRRILDDLPLRDRLAGLSLQRSHAFTWELAARQLLECLLELGPTRRLR PDGSAGRRLVG OJF2_RS26810 MDETNAKVALIRADRRRGGVAEAMALVDADLRRALSDEPHPLLI PAMDRRAQAHPDVLSALADSVLAGGASEFTVSSVDPRYQAELWGRPAKFTTADILSSD ADWSTISWSGPGGDPGELRIPAAAASCRCRIVVGLAGAHKVFRLALGLASLAALVHPA DRGFVGGGGGIGPLRGPLVRGWLAMRSISGGMRPTGPERRRLAEVGRATRALAALASH ASPSFSVVDAFDAGQGTIVAGADPVAVDAVAAAALGFDPMEIGHLRMAHSLGLGVADL SRITLVGDALVRPRPRLRRDPADRLLRLAATAPMAATLAPPRPHFATVPQSEGSRDAH RV OJF2_RS26815 MDDVPAGPASPEPRRPPLSVVIPVRNGGLDFERCLRRLLESSWS GFELIVVDDGSSDGSAALARDRGAIVARNDRPLGPAAARNQGAQLASAPLIFFLDADV AVHPDALARAMARFARDPGLTALFGSYDDKPSAPGLVSRFRNLLHHHVHQQGAFEDDA RPAHTFWTGCGLIRRDVFLDFGGFDPRLYPRPAIEDIELGYRLTRAGHRIVLARDVLA THMKRWTIPEMVRTDIFCRGVPWTLLMKRSRTVESDLNVKPAQKVCVILTAMVILSLP AMAMTRWASVAAAVGAAGVVALNRDFYGFLARTRGGRFAAGALPVHLVYYSCCGCSVI IALFEWHILGRLGGTAAAGAGGARLDRGEAGVPRPFLVRLAGRLARWTRRTQRSR OJF2_RS26820 MRRFISGTGEGRKIVVIEQRGATMVVSRLQPDGTTSRTERQLGS ESEARVASDHLARELIGRGFEEHGQAGRAASPRVAASKVGVAKKPATTRRPPAEEGSS RLLKALAEGAPAEPVLARLAPLPAVEASSPKASGDVAKAVLKKKRKKKKAKANSDALD KRVLAGIGLVGILLLGGLGFIVYDQFIRPPSIVGNWQGSMTEHEISKYLIHTSYGLIL DEKHNAVMTVNKSTSKGTYTLKGNRLKLSLKAEDDEKLASALAVAAAATKKGPGAEAK PQAGDGEAADEDEEGAGGGGDAEEPTAPIDLGPVETEYKVSIGRRTLDLIDPATNKLV VQLIRFEDSPAVASSKPGSEGATAEASKDLAAEAAKADPAADAALAQELAPKDGAFRL KGPKGWTSDTGSRPDNTYSWVTLENGSAKVSIHADIQGSLMSGSDASRHDEPEGSEFA PVHRAHELYVKTAAEEFSEYKESKPVVIKSGLGEGRIALFNAAEGGILGSTKLRGYHA TFLSRDRRVSILCRCPAKDFASTKATFLAICRGLAH OJF2_RS26825 MLFRANHGTPEEVSDALKLMMLRYSGAVHRYFLKTVRDPDEVKE LDQEFALRFLKGSFLKYDPAIGRFRDYVRGAVRNLMLDYHRRKSSSKKRTRPLDTDLS LSLVGEQGLARLDEQVDQAWRDDLLDRAWDSLLEFENRTGQPYHTVLKHRVRYPDQKS AQMAEDLSPILGRTLSGGAVRQLLQRARDRWANSLIEEVKLSLDAPTRESVEQELADL KLLHLCKPVLDRMDADRAGSRPSGST OJF2_RS26830 MASHDLLNYDGPSAAILNRDDVRVLTPEEERALLVELQECKTSL VESWDNPRNPRVGPPSPVTAEDGEEVQDFVRRVLRADGIVRDEGKELAAIAARYDEIR TKLAMANVRLVAHVVRKYRNRGVSSSDLLQEGFCGLLKAIDRFEPSKETRLASYAVWW IRQTVQRAVAAGAYPVRLNPRHLQQLADSNEDLAGKKSGSREASRSGGSQATIRQIHA ATRPTISLDATAGAGRDTSLLSQLAYPMEEDVHDPEMDEYLMAMMNHLKPREQTVLQL RFGLGGRECHSLSQVSVMLDVSKERIRQIQETALRKLRGMAEEHACLAVGH OJF2_RS26835 MGAELTVSDQAAVLEPWTPETSLASTEAPPAQEARPRASEPRRY DFIDALRCFAILGVIFHHLAPRVPGMPRPLYVLAMQGFEGVQLFFVMSAFTLFLSLDS RTRSDRRPYLDFFIRRFFRIAPLFYAGLAFYTLLDYLTVPGGGRASESLGCFLSTLTF TNGWSPRWINRLVPGGWSIAVEMNFYLLVPFLFKVLKDLRLAAMGSFLALLGAAAFRV VVLRVGPHALGPDSGPLLSTFLYYALPLQLPIFCMGFVLFYVVKGILAGDSRRPRHAV IDSWFLLALSIYIMVAVSFSDVSLLLGHVLYGVAFVLLGWSLALYPNPMLVNGVTRFL GKVSFSAYITHFGILQLLEYAMGRGPFRWIEALSPAPRFVVLAVLTVLGTAAVSAVTY RWIESTGIDLGRQVIRRVEASRARRARGRSPALQASKIVEQLA OJF2_RS26840 MRNGLKVGGTAERRFRVELAHAIDLEPGAMPPILSTPWLIWFLE HVAREALAPFLEEGENSVGSHVDVDHLAATPVGEAVRCVARVAHIDGRQVSFQLEAFD DHERIARGFHRRHVVRVDRLARRVEVKMRPLP OJF2_RS26845 MNRPIARSQARALSAWLLAMALAATGLNAQDAAKPASDPPAPQR TGADSVGPESRGVDPKGPEKAALPGKPGVDRGSVLKLLFQANPMLWPLAACSIFTVGV ALERLLALRRKRVVPPEFANRLVERLEAGKLDRERALELCRANESPASRAFASALKSW GLPGSAIRQNVSFDAAGEVIEMKRNLRLLSGMATLGPLLGLLGTVVGIIQSFDALGGR MGPSRGEALAQGISLALVATAFGLCIAIFAVVLYYFLLNRVDVLVRELDDRTRQVIDL ISAESLRPGGMERRHAPLPPPDTLRQETRVF OJF2_RS26850 MLAKQAQADETPFINMTPMVDVILCLLVFFMAATRLYDWDESEF AVNVPEVTDAAPLTAAPDDLVLTVLRPGAVAVGEKTYDLDQLLTFLREARARYVNQGV LIRGEATLAYQDLADVLSACDAAGIRNVRLPVRTRDASEGGRVTPVPTAR OJF2_RS26855 MDLLKQLFDALIHLSPDSVNHLAEQVGPGLYVILFAIVFAETGL VVTPFLPGDSLLFAVGAVAASATSPISIGLTSTLLIVAAVLGDAVNYAAGHYIGPRVF SREDSWLLNRKHLLEAQRFYEQYGGLTIILARFMPIIRTFAPFVAGIGRMSYPRFALY NVTGGIAWVLLFLLGGWWFGAQEIVKKNFHLVIFAIIFISVLPPIVQYLINRSRSRSV KGLAEAVPASAPAVEE OJF2_RS26860 MPEKLPESPVRIIGSRELHQNLPVILRELENDTVRYVLTVHGKP RAVLVGAESYLNLIVDGKQTSEAVVGLQLTALLGGKLDSHSLDDLERALGRPTE OJF2_RS26865 MRLSLTLLLGEILVFGPAALAQDDPAGQVKDAAGSKPTIELVFV IDTTGSMAGLIEGAKEKVWGIVNDVMKAPSRPEVRMGLVAYRDRGDAYVTQVTPITRD LDRVYNTLMGFRAEGGGDGPENVRRALADGVHKAGWSPRSANTAQILFLVGDAPPHDD YADEPDTVASASEAVKAGILVNTIQCGREAGTSEVWQRISRAGEGRYFAIAQDGGVAA IATPYDEELSRLGSRSGGTYMAYGGMMGGMGGMRATEFRKDRAAAQRHLEADFAARAP KSAQADRALNKAINAAAYDESDLVQAVESGKVKLDAVKAEDLPDDLQKLSPEDRKKVV EKKIEERKAIREKILELSKKRDAFIQQEREKQAARKPAGFDEAVSAALKEQIARRAPK P OJF2_RS26870 MRKRRVRCGAAVLVLPVAMGLIACRVLPADEPKGRAHGLRAGLA DRDITPDRRVPMWGYGARHDRLSEGVLDRLKARALVIRAGDVAIAIVALDLGRGPTPG MMARIRSAARSRGIGHVLVCGSHTHHGPVIELTDAPGAGKGKFDDAVAYAKQLPDRIS EAILEADGRCTPARIGWAWRDLNLNRNRQTKRPEKPTDPRLTVIRLDGEDGSTIATIV HFTAHPVLTPEDLYQFSADYPGYLRARVEEATHAPSLFLQGAAGDQSANPPPGVRGAR AYGERLADEAIGLARGIVPAGPKAPTLAVAEDRFRFASRVNFKGAMTFLAYSRAFFPE LVRNFFREMEDGIDAEMTTAVIGSELALVGIPGEPFSQHAVRLRQRSYLPCTLVLGYC NGHVLYLPTIEAVSEGGYGADAQVSPAAIGAGEAMMDRALIRIYTLVGKLPAGTPSVE PARDAGGAAR OJF2_RS26875 MFEPIFESYRQVLESSLRVQESMYRRWINGVPAGPEVAEPLGPS EVLESPGSYQERWTKALTDLMEHHRHALDAQYRTGIEAIESAFCTAEARTPEEYWRLT QEFWRKSIDAYKTTLEAQGKYLQNLASMWFDVLNRDRE OJF2_RS26880 MAATSAEVVSIRRYPNRRLYDRRARKYITLQDLEDLVLDGKKIE VRDSRTNEDLTRAILTQILLERHPEKMEMFPVAMLHSILQANDLVLEFLRTYLRQSMA ILEQIQQPGAAFTPFLAPMDWMRAMIPPNLSFPAVPEAEPARRLEAIDGRIAELEARL RRLEEPSEPAPSASAAGHSASDRSRTIAEESAALDRLEKRLAGLEGKASRRKPKVG OJF2_RS26885 MSRTWKSTDRSAGLPGTPPAEPGLRGARLLRDPLLNKEGSFSRQ ERDALGLRGLLPHASLTIQQQVALELERVRAKGDNLEKYIGLAALQDRNETLFYRVLI ENFGELMPIVYTPTVGKACQLYSHIVRNPRGLWITPEDVDDIPAVLRNAPNEEVRLIV VTDNERILGLGDQGAGGMGIPVGKLALYSACAGIHPSICLPISLDVGTDNVELLADPL YRGYRKRRLRGREYERFIEAFVDGVRRVFPHALLQWEDFHKNTALMLLDRYRKRLPSF NDDIQGTASVALAGILSALRITGGRLSDQRVVYLGAGAAGVGIARLVKAGMAQEGADP SVIHRAQAMLDSQGLVFNRADDRDPFKREFSWTLDEVRHYGFEGDGPFSLLDVVSKVK PTVLVGTTGTPGVFGEGVIREMARHVERPVILPLSNPTSRIECSPYEALQWTEGRAVV ATGSPFAPLEFGGRTYHIGQANNVYIFPGVGLGAIASETNEVSASMFLVAAEALAACV TEQDLAVGRIYPDQSRLREVARALAAEVIREARRLNLGRMIPDDSVDAVLDDFIWYPD YEDAQAGP OJF2_RS26890 MHPDVFSWIQVGEGNRLWGWTETLRPFHGRAFAIEHRLGLGVLT PLACALGLYLGRRMPLCRVAMVVIFLVWIFVTFLPGDVLSIAAMAACCYALAILFRNR AWPEMRYAAIGIIGSLYWLGWITSPDLRAVGLTALGLCFIELVRSRNTPGWRAADWIA LAAMTLSLYPVAVWIYPLGMASPLAALALLRWPDRRKEIALAAAGSMLLLLVLLVELI IPEAILRAVLAVPMAIAAAAASPRGRPSGPRVFGVLAVAVPFLLFFYHQDSLWLSLSH RIPGAVGIRAIGRAVPILLYPAALGLGLLVDRLASSGRRAAAWLLAAACMAEQVVRND SFDVAQNRATIAAIARKVDHTRPALYYRPCTEVSWPVFSVEAMWASLDSGVPTVDGYS GYAPPDWIGFLQIGSEIGKPVRETLSDWERARCLPQGSVQWIGEDCPEREGWTRPPRR PGSQGTRTTTEDGRPHGPSVATP OJF2_RS26895 MKETGPASTSTLFSARGLAVTAMAGLIGLLMAHWPMLITGFRRL QTDPGDTRLIHYLLEHGYRWLAGEPHHRDFWNAPFFYPAKNVAAYSDTLLGVMPFYAL FRGLGAGADLAFGLWLVEMSVLNYAAALLLFRRGFELGLPSSVAAAYLVAFGSPRMEQ LGHAQLLPCFYLTLSLLALAVLFRHPPPGHSRRFLLWGAASLGFAAQLYSGVYLGWYF AITLGIGTFVALGFRGSRGPLLRVAIRDSPSSSSPESRPDACSGRSRPIIASRRKRGA STSPS OJF2_RS26900 MKRLVVGISGASGAIYGIRLLEVLQAVEGLETHLVVSSSGRRTI ALETNISADRVESMADRVYRPADMAAAISSGSFRTCGMVVAPCSIKTLSGIATSFSDN LLLRAADVTLKERRPLVLLVRETPLHLGHLRLLVQVAEMGATVMPPVPAFYHRPTTIE DVVDQTVNRALDVIGIELDRDLFPRWQGPGALKDRGETDRIGDRSNGPSRGAEGGGES H OJF2_RS26905 MSPDVFDEIAFSRLLARARDGDNSAMGELLQRYRNYLSLLARVQ IGRQLQGKLDVADVVQEANLAAHRAIGQFRGSTEAQLLAWLRQILVAILANQVRKFVG TRRRDVTLERELGDHIDQSSAWLGPQLISPQSSPSAQASRREQAVLLADAMEGLPEDY REVIILRQLEGLSFPDVARRMGRTEDSVKNLWARALAKLRRSLNMLEGT OJF2_RS26910 MSSTRPGLLIICTLLAVLESGCGSREVAGPGVAPRPGMVDARAR LDGALRDAAGDMARCGFEPAEVEAVSAALRNAAAYSSQPEWLDMYRAIQEGEDLSPQF GWFRTAVSQSRYGWDATLQRYNRDADLRLSRVEFPGDDTDMARLDRDHDGFLSREDFD LAAAPQVPSPGRAFFLKADRDGNGKVSREEFDRFFTAADRDGLGFLSGTDLETILPAS MAHGQPPDERPSRDMLLRGLFRQEFGSLQSGPNLDDRAPDFRLRTNDGKTQVSLSGMI GPRPLVLIFGNFTCGPFRYHAGNLEKLFRRYRERANFLMIYVRETHPADGWRMQSNET IGISAIQPRTWDQRADVARRCGRLLGLGFPMLVDTIDDGVATQYSGMPARLYLIDRMG KIAYKGGRGPYGFKPDELEQALILLLNDVDDRKSKAVEHDGSRGVDGWERRPAVRASQ ARSTRS OJF2_RS26915 MRRRGFTLIELLVVIAIIAVLIALLLPAVQSAREAARRAQCVNN LKQIGLAAHNYESTNGVFPPGAITKTLAEQNAPGAGWGHWGTQAISWRVLVLPYIEQN NAFNNINFTQWAQNGAGATIQTVWNMSFSSFLCPSDGQNENGFRAVNVATGQYPMFTP PGAIKVPITNYNMSFGDNYAMLPLGTANPWETPLPLANPTLPRIGFNGFWGTTGVVNY GGETGGMRGFSDYRTMGVARIASVTDGTSNTAFAGEALPAQDGNNEFWTASSAGSGMT IPLNWYTGDASKCDYGDQSNLHNRCNYASRGFKSLHPGGANFLFADGSVKFIKNSINL LTYCAIGSRAGGEVVSADSY OJF2_RS26920 MQTSFPEGLDTMIAIGKSNHRLLLAVGTLSLFVLGCSDDGLDKR YPISGKVTYKGAPVATGTISFANEDPAKHGAQGELKDGYYSLTTLTPGDGALPGKYKV TIESRDIDLTEVRAFVKSKGGNPDAQLPQELVNKARAKAKSNVPEKYTALKTTPLTAE VGTSAKTLDFDLTD OJF2_RS26925 MESSSPPTIPASDTPDAQAGQGRRISSAPQGGPTRGSVGGRRRL VISAAALAAAGGVWLGVRKAREELGVRAEAEAARRYMAEQDYEQALPHLQRWVQARPA SGEAMFLVAKGMFATGTMDQGFAALERARALGYPGREIDRQRGLALSGAGKHREAEPL LRRALEQSTAPDPAVEEALARTLLETFRLREAREVIERWIRDAPDDARTYLWKAIVDR KTDTENDVLIEDYTQALKRDPGNIEARRELGGLYLQLHRLDDAAKEYESLLARSPGSH DALLGLAQVAMERGDEEQAVGRFRQAIEAGPRDVRPLLELAKYELRLGRVEAAMSDLD RALKVDGSEPDVHYLRSLAFTRLGMKEEAKAEREATDRLRAEGEVLKKLQMQLLNSPG DVEAQVAAARWMFEHGHPDEGVRWGEKILREHPGHTQANLLLADHYAREGKDGLANFY RLRAAPGPSAPR OJF2_RS26930 MGLAALVLTALLVGGVWRAVNRQAAADALERADQAYRRADLLQA LRWVNESLEIRPGDRAARALRERIFETRARIVAEEQPRHRPAEPATPEVTIVRGLLGA GRAGEARDRLNQLLSRGPDREASWLMSRALLQLDDAAGAMAALKDSGSYGHDDPTAPE PARHVGSSRCVECHKGIAESQRASRHAHTLPTEEALAGVAFPTGPIPDPGNAGVTHRM QRRGEVLEMVTTMHEQALRATVRYVLGSGNRGQSFIARSEHGQDLLLRMTYYDHGSVA DLTPMIPLHLQLAHDHLGVALTPERLKGCLDCHATNVSLTAEPAVLVAEPGIGCEKCH GPGGNHVKAVAAKFPEPAIGQLRGAPAPRVVAVCARCHQPPGNEVLDDSDPTLVRQQA LTMPRSRCYTESGEGVSCVTCHSPHRDVETMASHYERKCLACHTAGRIGAEAGIVAGV PASRPKTSSVCPVNSSANCVGCHMPKVENPAEHAWFTDHHIRIHRDSPSSQAQAPRHE PDPPVESPGR OJF2_RS26935 MRPRALVGIPLFQGRLERPAREARPLTGTPMSESHDQDRELSGE AGTDRDQKAGASARTPFRGPLRLLGMVLGWLLISIPLTRLAYDSIEPTYETSSLVLVE ATETDPFASEERPREPDGRAPVYLKTQLVSVTSDPVLEGAFVVDPRIAKFSMFKNCKD PVAELRKRLEVRILPDTNFIRISLESTNPQEAADTVNAVALAYKLATQPDDAQLVPPQ ITGLRKDTAEAEVAALEVYRKEEIDKKIDKKKEELLKLAQDEGVRLRSSVPGEEGDGK AAFPWALDLNPSRLYEMTSDQLMRTEFDLLDLDTRLEAAMADQPPSRPGGDGATPSGA RGQGPRSDDRISELKRQVRVATLKREKLRTMLAQFDIRPAGSTRASFLLEELNSLRAM FDQIERKILKKKFNADKGIVLIPRVDRAKVPTVPLRDPRVPFAALVPAAVLIVLLGVS RLSRRA OJF2_RS26940 MARPTSERPEDTGPNRRNFLHAGTAAVVLGGAGMLQGHARAGTR SDGDEAGGEWRNRQAGMAYRPLGRTGLMISEVVSGGDPITLENYRHLELALEMGLNYL DMAPAYNKGETERAYGKLLAASAGRRGRVFLTTKVSDFNSVRTRMYRQLLEKLPESKQ AAIREKARVIKESRHLEEPGYFLTYFPGQKDAFESAYLRAAMQAEYGAQVEGSRELRD TIVESIEGSLERVGTDHFDILMCPHGADLAEDLASPEIHATFEDLKRQGKVRFLGVTS HNDPAGVLRAAADAGHYDVAMVAYNVINGGYVDESIRHAATKGLGVIAMKAAHAVATH HKPLQPVPEWRVQKVERIVPGDLKAPQKAYLWALQNPRISAVISNLWDETFVKDNLKL AGKKVTLQPA OJF2_RS26945 MSTSPSSPLPPRVHGYHGRSQPVSLAFVASYQLRGFRAALAGTQ FESRSYDRRIRLATIREIRDREGGRGRMSGLTGSAFEVRKTQRSWGMSRSTLQGGMEI ASEAPEFVREGGPGTACELEVPGLAQATDREETENAAAWDDGEPGVDARRGGGSPIRY HLDEVNQRVVSGWAVHPSGLRVVRIFRDDVEIGAEAAGLERPDILAAFPDMPGSDRAG FRLRLGKHLRPGVNAITLEVEAQDGTRTRTSWETAKLDLDARDASFSFRPGTDRPVLS GLPFDVTALLRKFRPATYDSQSGWGDELISQGVEDLGTIWSSGARTAPLNRYILFLKS MYHRFQCISRRFPRDNEGVAVDAKDVVAAATTPEEMLAIANHLFVLRSNGLDGHFLEF GCFKGFSSCCLSYCCRYLDLPMDIFDSFAGLPPEEHDFYSAGEFCGTLEEVTSNINEF GDPRPVRLHKGFFSDSLPHFNETHVSCIWMDVDLFSSATDVAQVFDRLPRSSIVFTHE FPPDGASDGRVLRDASEVFPPILDKLESMGREPVGRYLSGWLGAIWDAREGIPVLPHH LLMQLVRLPDWPNGEACQ OJF2_RS26950 MAQASEMFATRITRRQFARGAAGAAAGIFAAPAVVRGRNLNDRL HIAAIGVGGRGAANLESVASEEIVAVCDVHGPNLDRAGSLFPHARRDKDFRRLFDRSN DFDAVVVSTTEHTHAFATLPALQLKKHVYCEKPLTHDVYEARVIREATARAKVATQMG IQIHAGDNYRRVVELVRSGLIGPVREAHVWVGRAWGWQSEAAARANGDIVSVRDRPPG TSPIPMGLDWDLWLGPAPARPFHEVYFPGPKWYRWWDFGNGTMSDLGSHWIDLAFWAL DLDAPRTIEASGPPPHPEIAPASMRAAYEYGPRGERPAVRVTWYQGEEKPEVWRRGEI PKWDSGALFVGDKGIILADYGKHILLPESRFRDVERPASSIPKSIGHHAEWIRACKTG EPTTCNFEYAGRLTEANHLGNVAYRVGRKITWNAANLTCPDAPEAAPFLRREYRPGWT LV OJF2_RS26955 MPGSSSLPRALVTGAAGFIGSHVVRALQAQGRVEVVALDDLSGG FRRNLPADIDFVEASITDHGELARLFDRYRFRYVFHLAAYAAEGLSHFIRRFNYTNNV LGSINLINESVRHEIECFVFTSSIATYGAVEPPMREDQRPCPEDPYGVAKLAIELDLA AARHMFGLPYVIFRPHNVYGEFQNLGDPYRNVIGIFMNQIMQGRPMSIFGDGTQRRAF SYVGDIAPVLAECPWVPGARNEVFNIGADADCSVNELAHDVAVAMGRPDHPIEHLRAR NEVSQAYSDHTKARRIFGDRPQTPLPEGLARMAEWAREAGVQRSKPFEGVEVTRNFPP SWRALLDSSPRSSKHSAGEAAA OJF2_RS26960 MPETVALSESKVDREADDETRYDFAVDVDSQSAHAKTVRLVGRD KRVLELGCASGHMTQVLRDRGCRVVAIELDPVMANRARPHCERLIVGDLDRLDLSEAL GDDRFDVIVAADVLAHLKDPWAVLRSLKRFLRPGGYAVVSLPNVAHGSVRLALLEGQF PYKELGLLESTHLRFFTLRSLTRLFEESDFAITHLERQELMIDRSEVTFNKDNVPPEL FESLASDPESRTYQYLVEAHPLPEEGKDWIKPHLRDLAGREQEAREALAACERKAERT AAEMRQALSEVRDRHAEIVKQLDAMTLRERELRVELVDAHDQLLRHDDELMGHIRELT AQRNQLAARFERLRRSLPGKTYRGLKKILNMARG OJF2_RS26965 METEIGTMMKADWNRRATENARWYIASDVKDDEEFTRSGEPDVE FALRRLDRRWLGNARVLEIGCGAGRMTRYFLRRVWSLCSIDVSSEMISLAAGRLGRHP NLQLLTGNGLDLSMFADGYFDLAVSYVVFQHIPNPIVRGYFREIHRVLLPGGVFRGQV ARLDFPGFVQPDDADTFSMRSWEPEEVGAEFREWSRLELEICRCTDTIEHIWITATK OJF2_RS26970 MRILLTAHRFPPDAIAGVERYTQSLASELTSRGDCVHVVTRRPA MEPNSPGVEVERIAGGPTVHRFVGGGGGDQDAPEVRRKLESLFEGVLAWSEPEVVHIN HLGDLSPRFAEIARCRGAEVVLSLHDFFLVCAHAHLRRPTGELCDGPRRGKECHRTCF ASTAPSGLARWEKRCDDYRSVLGQAGRIICPSRFIASYFEAYGVPSERLQVIPNGVSI PPDEAPELASQPRQGGPVLQLVFLGTVVAHKGVHMILEALSRAALGAVRLWAIGATPD PEYTRLLRKRAERIAGLEFRLYGPYDPRILPLLLRDADCAIVPSLVAESFSITTREAL VRSVPVLASRLGALPEAIQDGENGFTFNPDQPEELASLLRRIATEPGLLRRLRGGARA SRTLTTAQHADLVRSVYEDVLSRSSRGTICVRTEPGITGGVPGPVAQPGWLNVLRTRH GGRRWKPKSAR OJF2_RS26975 MSMMDCSIIIPAYNKASLTVQCLDTLLSRPRAGASFEIIVVDNG STDVTPHALSGYGDSIRVLTQSVNSGFSTICNLGAAAARGKHLVFLNNDTIPQIDWLD ELVEYAESHPRAAAIGSKLLYLDGTIQHAGLVIAQDRFPRHIYAGFPADHPAVNKSRE FQMVTAACMLIKRGPFEQIGGFDTVFWHGYEDVDLCLRLRELGHEVHFCHRSLLYHLE SVSEDRFKRVDENTRIYRDRWAHRVRPDDLQYYADDGLIGVDHEHLYPIRLSVTPELA LIDSGSQMSHSDRILNKRAKQVFALLRENTALTVRAMEAEQQTFRGSHQSAGHRNGKA TFQRSARLNEAVDLDRKANGLDADFRALTDEPRLLFEGKVRWRTGPPSERVISVIMPV KDAAGQLRKILPRILTQKTRDALEIVAVDSGSSDDTVEVLRDASATVVSIHPMAFNHG LTRNLGAAYARGQVLVYLNKNSTPADDLWLANLVAPLDADSRVAAVCSRVLPGPDADL LTRKDGMNDLSASPHRRVQMIHDRDAYERMPHHEFRAFINFHTVSAAIRADVLRQVPF RKVETIGEDIVWSKEVLEAGYKIQHEPASVVLHSHNFSPLEFLQLNVDDGMANRGVVG RELPDSEVYASILALVRDDWRYLESECHLGADELEKCRVDSVLRRAGQMLGQWIGVNK GRMPAGLAQMLSRVNQTRAGGAFARLG OJF2_RS26980 MSEKEHPTSGRIPVGFIVIGIYKVATAVLALSLAIGLMRLYQGD ARASLERLVRGVGLDPEDSIVHPLLLRLAELERRQLYGVLAGMAGYAALRVVEGVAIL RGRRWGEFLIVVSSVSLLPLEVWEIARHGGPIRVGALALNLAIVAYLVGRLGREGGVF RSTRSTTRGQSGSEPRNVRP OJF2_RS26985 MNRIDPATILGPGGAVARRLPSYETREQQLEMSRAVADAIEGPG HLVVEAGTGVGKSFAYLVPAIMAAVEQEKTVVVSTHTIALQEQLIRKDIPFLRAVMPH EFSAVLVKGRANYVSLRRLDVATSRMMSAFSKQEEIDQLGDVRLWAGRTPDGTRSDLS FRPLPAVWDAVQSESGNCLGRECPRNKECFYFAARRRVWSANILIVNHALFMTDLAIR SENSGFSLLPKYDVAIFDEAHTLEAVAGEHLGLQLSNIGIDIALTRLYNERTRKGLLA YHELQEAMDLVIAARRAADEFFEEVGFWFDRHGDSFNGRVRSKLDLPEGLSEILRELG RAIFEGAAKVEKADHRIELSAASDRCHSMADQVSSWVNQMGEEHVYWVESEPHQRRRI RLACAPLDVGPSLRKMLFSQVPTCILTSATLCVGTPPRFDFLKTRLGITKAESLALGS PFDYPNQAAIHLPTNLPDPSAQPAEFERAAIRAIAHYLERTHGKAFVLFTSYKMLEAA ARALTPWLAERNIALFAQSDGMPRTKMVEAFKADINSVIFGADSFWQGVDVPGEALSN VIIVRLPFSVPSHPLLEARLDDIRRRGGNPFVEYQIPEAAIKLKQGFGRLIRTRTDRG IVVILDPRIMTKPYGRTFLGSLPDCPRVVERVDLNTPPSRPRRPTR OJF2_RS26990 MVTTLEITLNGQRREVPGPLTVAGLLAHLKLRPEHVAVEINRDL VTRRRHAETAVAPGDVLEIVTLVGGGAPAAEHEAPTLTIGGHTVRSRLFVGTGKYATL ELMRECLDASGCEVVTVAVRRERLFDREGRNLLDFLDPKRYTILPNTAGCFSAEEALR TARLGRELLEGLGNPGADWVKLEVLADTRTLLPDPVATLESTRVLVKEGFQVLCYTSD DPIMARRLKEAGAASVMPAGSPIGSGQGVLNPNNIRIILEDLKGGDPSYPVIVDAGVG TASDVAVAMELGCDGVLLNTGIAGAKDPLRMAHAMRLAVEAGRLASGAGRIARKLYAT ASSPTQGLVGRN OJF2_RS26995 MPLRSCESEPDLLRMQSEAGEDGRPAVVPGRVVGIDPGLNATGY AVVEPSPRGPRIVEAGVIRPSSEGKAMGQRLTHLHQNLLEILDEFRPSAVAIERVHSH VKFPRTAILMSQARGVILLAAALRGIPVFGYAAARIKKTLTGSGRAPKPQMQQAIKVE FRLDSVPEPHDVADACAVALCHVHVSPNLSRLDGV OJF2_RS27000 MPLRVYNTLSQTKEPFRTVTPGKVGMYVCGPTVYSKSHIGHMVG PVIFDAIKRYLVYLGYQVTWVVNITDVDDKLIVQANKDGTSVKELAEQVTDDYLQCLK ALRVVGIDEMPRATENIAEIIDITRGLIDRGFAYASGGDVYFDVTKAQEYGKLSHRDP EELMAGARIEPSSLKRSAGDFALWKSSKPGEPSWESPWGPGRPGWHIECSAMSMKYLG PHLDIHGGGLDLVFPHHENELVQSECFTGVPFATYWLHNGLLTKDGKKISKSDPATVV LMSDLLAAYAPDTLRVLLLSSHYRRPIDFGPGRLDELDRGLQAFYRAFERFEELTDQS FYSLEAPTRRDDTATDPALPAEVAEHRAGFLEAMDDDFNTGGAIGELFEVLRAVNREA ASLAGGGSPAYLAGMRTLRELSRLLGIFESPPPGPAGSGDTLTAPLMELLIDLRARLR KEKNYALADEVRNRLAAIGVALEDRPDGTKWRVESKRQ OJF2_RS27005 MRVGLGHDTHRLEDGRPLILGGLRIDHPRGLIGHSDADVVCHAV ADALLGAAGLGDIGEHYPDTDPRWRGLDSTVLLREVVDRLRRGGWRPVNCDVIVHAQE PRLGPHKAAIRAKLAGLLGLPEDAVNIKAKTGEHVGPVGRGEAICCHAIALIEPVAA OJF2_RS27010 MLVLSRKLNEKIVIDGGIVVTVVKIEGGQVRLGIEAPAHVKVFR EEILDRADSRKVQGGTLVGVGG OJF2_RS27015 MHGREEMGCEAPADLDRRGFLGAGAGLAAAGMLNGPRATDAQEP SKGVKSELIPTRVLGKTGVPVSILSLGTWNSVGLDRILRTCWAGGLRYVDTAASYNSE PAIARWLKSDASIRKDLFLVTKSAPNTPSRLMAALEKNLAALQTDYVDLIFIHAVGDH GGEASIEWPRSKEFKEAAEAIRRSGKAKFVGFSTHHKEQAQILMNAAEGGFVDAIMVR NNPWTAAEAPMNRALDACHKAGIGLISMKQIAGQQDQDQIARNLPELKQKGLSPYQAL LHAIWTDERFSAACVSMRNTDQVRENLEAARTYRPMARADILRLRDACIAAGPTFCAG CDGSCSRAAGTEAELGQLTRALTYHEHHGYREEARRLYRSLDEKARDWRGADLEAARE ACPNRLDFARLLPRADELLS OJF2_RS27020 MTKRPLGRSGISVAPLALGGNVFGWTIDEKTSFGVLDSFVHSGY DLVDTANMYSAWVPGNRGGESETIIGNWLSNTGKRENIVLATKVGMTMGDGSKGLKRD YILRSLEDSLKRLRTEYVDLYQSHRDDADTPLEETLSAYDTLIKQGKVRAIGASNYGA DRLREALDTSATHGFAAYVSLQPEYNLYDRRAFEEELEPLCLERGLGVIGYYSLASGF LTGKYRSAADLGKSARGASIGAKYLNDRGFRILSALDEVANAHETASSVIALAWLMQR KSVTAPIASATSVEQLAELVKAADVELTADEVSRLDQASAV OJF2_RS27025 MASNLSRLVVIAALVLTAGLFSLFKSALLASREWRLRGQASRGD RGALAALSIRVEAGPTLLAVRLGTYLAVAAAFVASGWLAAESSDLAGVGPTTLRIAAL ATGLGFSLLIVADAIPRRLARHRPERIARLLARPMILVRSILGPPARLIDAAVDRVVR RFGIAASEVSEVTPEEIRRLIWEGARAGTIEETDAELFHRVFRFLERRARALMTPRDE VVWIDVADPPEAIRGKVTGSAYSRFLVCDGSLDNLLGVVHAKDLLSQGPDGPSFRLKG ALALPAFVYERTRGPNVLEALRKSAAHTGVVLDEFGAVVGIVTLHDIIEAILGDLPEN GTEDEEPRRIQRPDGSWLLEGRFPLDEFRELFDLVDLPPSDFQTLGGLVVDKLGHIPR IGETFDFADLRFEVRDVENNRVDRVRVRPLPHGRAGKSPKADTRPGAA OJF2_RS27030 MDSDKVLEQLDAIIDGLLDEGDLASSSVASILMAARDSIGNGYH LALAGRTWSASNALRRQFPRRAELFPLGAMKHARH OJF2_RS27035 MPVVACPSCGERGKIPPTLVGARIKCRKCGTSFNVLPAAARAAA GAAVAGAGAGTPAAAVAESTHEGISVDGLDASAWTFQAEPGGDARPAEAHLPSDADHH HAFEAHGVPHAKEYKFLCSRDKVFEGKFDLARLEELLNTYARQGWSVKGMSSPHLKDF GGNSKEEIVVLLER OJF2_RS27040 MLAKLFSYTLIGIDATPVEVEVDASFSSMPKTVLVGLAEAAVKE STHRVERAIVNSGYRRPTDRVVINLAPADLKKEASGFDLPIALGLLVASGQVGIDRPG NYAIVGELALTGETRPIKGVLAMALQAAAEGRDGLLVPTANAPEAAVVEGLNVYPVGS LAEAVGFLSGQLDADPESVDLDEVFAQNSHTEEDFVDVKGQDYAKRALLIAASGGHNV LMIGPPGTGKTLLAKRLPTILPPLTPAESLETTRIYSVMGLLRPGQALMAVRPFRPPH HSVSDAGLVGGGSPPQPGEISLAHKGVLFLDEMPEFNRKTLEVLRQPLEEGRVTISRA LRSSTFPADFILVAAMNPCPCGYRSDPRRACSCTPPQVEKYLSKISGPLLDRIDLHVE VPAVPFTQLSEMPPGPPSSELRAQVLAARGRQSRRFGSSQPNHHGTTVNGRMTPRQVR TFCRLKPDAQNILKAAMEELGLSARAHDKVLRVARTIADLEGVDEILPQHIAEAVGYR SLDRSVWM OJF2_RS27045 MNTSTNYSARAGLRTALGLSLAALLSTPAAAEDVIIRTPVRDLK ITQGSLPAGDARRPSMPSTIPWGQEVKAEEMPYVVLEGAGEGFVDVGWPGGEPDLGRT TWPLAPVGHVYPDDVLCIRVPAADGEIRGRLTVPAEKGMASVSFAIPIRPTTAEDRHA FGVAKLSHYQLLLHQGGPGVPWFRRQIRDASTLLAEPERINVAEPRRAGRTSGSGATT LEDTFSLFGGGRAIGENLQLDRPLPLGGPDSGPMMRSEQPAANAVPPKAQVKLDSLAG INVGEMDWRAKLAGRKPTLDPLSRAIPADQHALFLGSPAAAKGLIGLLQQKAMPILGT AGLADEILPVQRRVERQLGVTLDEIAAFQARVDGDRSIRGLALTGSDPYLATGTDVAV LFDAADPSALKEFLRDRLHAACRVAGADVRVVEQDGPGCRILIARSADRSLSAYLAAW DGTVLLTNSPWQVQQVGKAVAGQVPRLADAPEYAFFRDRYPRGREEEAGLVVLTDATI RRWCGPRWRIGSSRRVRAAGWLAAIQADHLAEVVAGAVRSRPLDVTAAMAPGIDLGRV RLSGTGVSSETYGTLSNPAPIAELPLEEVTAEEAAAYGLWRDGYQRNWRRYFDPIAIR LAIRPQGSVEADLTVTPLIASSEYKPMIDLTGASRIGQGDGDPHPEAILHAIAAIDVK AVVAQTAGNEITRMTNMPAQVALGWIGNAASVYLDSDPIWKEAAAQPDPTSFLSGNLE RLPIGLHVASTDGTRLALFLAGVRAFVDQSAPGLVAYETRKHGVHDYVRVSQVQGEGP AGRLAIYYAATPRALVISPSEKVVRRFFDRIDAAKAGAESKLPPGPPRPEWLGDSVAL RVTPEMLQVVASAAPPGFHETLRRQSWANLPILNEYHRLFPGRDPAEVHESLWGTRPS CPGGGRYVWNDAWKTMESTSFGCPGAPRPGPGQGLLGPLEGFEDIRFGLTFEDQGLRA RVAVNPKKAP OJF2_RS27050 MRINHLLRIGIPSLLAIGAAACCAVAVGSYGTREGERDVGPWAV VERTDLHLRVMAGGDLQPMKERTVVCEVEDITEKEGLTVVSLVENGQPVKKGDVLCRL DSSQLDDLALNEEILLGQVRSECTQARLSKETAEIALREYVEGLVAQHCKDYEGKIAL GKSDVQKYREHLEWTERMSAKGYASRAQLASERQTLAKAEHDLDKTTREYRLFLRFQV EKETVTLRGNIGIAESNLRGAEARLKLQEDRLAHIRKQIERCVIRAPQDGIAIHARRG FFRRNPLQEGSTVYEGQELFKLPDLTRMEAEVSLNESMGPQVKVGMPAEVRVASLGER ILPGKVVSVTPLSDVNWKEDDERIRHFIVRVRLDDTPPKMLPLMSATVEIDTGTVEDC LTIPVAAMGMRGREQFCYVLGPSAPERRVITTRRSTAELIEVTGGLAEGEHVLLTPPV EPQVGRLGASPGGSDGAEGGRT OJF2_RS27055 MTGNSLRLGIGAVAVLVAGVQGAFATRDGGEEPAPVSWKKTTIE AKFRSEGVAIGDVNKDGKNDVLVGDLWYEAPTWTRHEIREHGDYGDGLRGYSRCMACW AGDVNGDGWLDEIVVGFPGEPAYWYENPRGQPGRWPEHEIWHSACNETPLYTDLFGDG RRVLVMGWQPKGKDDEGRMAWFSPGTDPATPWEMHAVSEPSAPGKPVPGTFRFSHGLG AGDLNGDGRSDILCTEGWWEQPPSARSASAPWAFHPAKFGDSVANILAYDANRDGKSD AIASCAHQYGIWWFEQGEPAGGSPAFVKHDLFPDLVSETHALIAADINGDGLDDLITG KRFWSHGKNEPGSDRPAKLYWFEAARRPDGQVSFTPRQIDDQSGIGTQFVVEDFNGDG APDIVVSNKKGTYLFEQVRPRTR OJF2_RS27060 MIRRLVRKSLVCLVFLALILATVRSCPSTRPRGRGWRGRPEVSR PARTRVAIDPSRTWVEDGDTIRIDWPDGSREVVRLLGIDAPEISHKSRPGVGDQPYGR ESLGFARHHLLRAHRLEMVRAGRRDRYDRTLAYVYADGVNYSALAVENHMAEPTIDRY GDNGFPDEAAEVRRAAERAGPPPFESPAIFRERNFGAVGRGLSRGG OJF2_RS27065 MEIPQVPDADALFGVLLSANRPFLGPMVCRIRFIQSLTFQSFKL LVNGPDLVPATPRDLPEHEADWLWR OJF2_RS27070 MVWTAEVLDISQSGMSLLCVQMPPGNRGLWVATAGSAAAWSKVI LKSFSQPHPGRFVLRLAFAEGCPYDLFRIAVVQPARQSSPVGGEAATGRSQSMGGELL GPNGSVTGA OJF2_RS27075 MHRVVVTGIGVVAPNGIGRREFCEAILEGRSGVKFIESFDTTGL PIRIAGEVKDFDVTPYLKEHRKNAKLMGRAVRFAVGAAAMAVEDSGIDTGQLDPSRIG VCMGTGITPVDVQELVPSIVQGMGSDGTFDMARFAQARSESIFPLWLLQHLPNMAASH ISILHHAMGPNNTIVTACAAGTQAVGDAFRLIGRGDADVMLAGGCDSRLDPQLLVAYS AMKAVSSSLRPPTEVSRPFDADRDGFVLGEGAAVLVLENYRRARKRGARIYAEITGYG SSFDAFGITRPEPEGKGAALSMTAALREARADADDVDYINAHGTSTRLNDLMETVAVK RVFGHRAGSIPMSSQKSMIGHLIGASGAVEAAATALSLERGVVPPTINLATPDPDCDL DYVPNTAREVPLRTAISNSFGFGGQNASLVLTRV OJF2_RS27080 MPLQLAERSNLIEPSATLAMGAEAKRLKAQGLEILDFALGEPDF DTPQNIQDAAFRAIKEGKTHYTPPAGIPELRQAVARHYTGRESLPTDASQVVISNGAK HSIHNALMAVCGHGDEVIIPAPYWVSYSDLVKLTGASPIVVPTTEESGFKLTPDQFLQ AVTPRTRLLMLNSPSNPTGVVYSRGELEALADAVLETEVGVLSDEIYEQLTYGDAEST CFAALRPGLSDRTITISGVSKTYAMTGWRIGWSVAPAGVAKFMADLQSQETSNPCSVS QWAALEAIVGPQDSVGVMKAQFAKRREYVMERISRLPDVTCAPPGGAFYAFMNVSRHF NRSLRGITVSDSTSFCMAALNTARVALVMGSAFGAEGYARMSFATSMETIERGFDALE EFLAS OJF2_RS27085 MRLAAGRLLAITVILGAAGCRTAEVNSIAHTRPPEVRPRASFDL DEFVAEHNENAARIQTIKASPSFTASQVDGSSGGASGYLALERPRNFNLIIKAHFRDL ANIGSNDEKFWYWFSNDKDPSVYYCNYEDRSSTSLAITYQPDWILDAMGLNMISQAEA SRVQMRPGPQEGTTLLTFPQKRDSGLPYTRVMIVGDRTRRMQQLKVLAEDGKTVIAQA TIQKYMAVPLVKSRATASTAGAESSANCILPEKMTLEWKRERMVLGVQLAAKDVELNR LTPKESAGIFVEPEPRGAQRVNLAQLAPADGAQNTTDVRESMPIPETRKRGARSTPPS ELRGDPATSRASTTKRVAAGTPKPAANGVVLMPILDLDVVEAPRPGPADSVPDGTALI EPPSTARE OJF2_RS27090 MPLSDIDRKLIDRCLGKEPGAWKDFVDRYLGLIYHVIQHVAHAR SRVVSQADMEDIASEVLLKIVDDDYDILRRYQGISSLPTYLTVIVRRICIKDMVKRRR EEELGHANAHRATVGDSGEVEAIATAEEVERMLQDLPDREAEVVRLYHLKYMNYREIG KRLGVPEASVGPILSKARKRLRINAEHRDRPESAPAAPGEPRAKADHTAPPVSPQASH IEHRATT OJF2_RS27095 MNESSSVPKILIADDNPQNVELLEAYLSDVECELRTASDGEETL EAVREFRPDLILLDIMMPRLSGFEVCRKLRANPDTRDVLILMVTALNEASDFERGVQA GTDDFLTKPVNKVELLCRIRSLLRVRHLKDQLERTLAYLAEFESASRAPQPGGAPSGL OJF2_RS27100 MTASPKGRICLLPRRARPFFAGHPWVYRASIARIEGKPDAADEV SVFSAEGAFIARGLFNPSSNIVVRLYRWEDEPLDEAFWRSKIRAAAHLRGGVLALGDP GAACRLVFSEGDGLSGLTVDRYDRWLVANISSLAVHKRREMLLEILREVTGCEGILAR PDRATADKEGMARGDASILGNIPDGPVGVLENGLKYEVDLVGGQKTGFYCDQRDNRKA VARFCAGGRVLDLFCYTGGFSLNALRHGAASGTVGIDSSAAAIAQARRNASLNGLDRA EFRAADAHEALADLAEKGETFGVVICDPPKYAGQAKDREVAMRGYRRLNAAALRVLQP GGILATCSCSGLVDRASFAAMLGQVAEETRRPIQILEQRGQAADHPVSAACPESDYLK CFLCRVG OJF2_RS27105 MSGLLSLGLSNAAAASAMALGVALLALFLGRRPAWRHCLVLVVL LKLVTPPIWEVAVPGLDGGPAGDGAPIPRAIPAEPEELTLVEGVEFFVEDEGPATVAP PGTDAAAAMVGPSPSPAAPWAALLGKLWLAGALAMIGLSGIRIFRFARVLRGAYPVPD EVQDEIAALAERMGVHRTPAAYFLDAAVSPMVWSLGRRPRLILPAGLWKTLDGRQRSM VLAHELAHLRRGDHLVRLFELAVCSLYWWLPVTWWARRALREAEEQCCDAWVIWAFPD EARTYAETLLDTIDFLIPIRAPEPLLASGFGRTQQLRRRLTMIMLGRTPRTLGAASTF AALSLAAVLLPLRPSLAQKPDEAKTAETAITVTADADSATQGEARSEALTIDVVGKPT DEDDPNVVTFNVAGKPTVADEPRVLTFNLAGTERADVAALARVEIRDDEAKDGPKDDK SAAAVSQSIHIVIKNGDKVDEIQAESIADAIQKLKQKIGTIAAEAPKGAETEARIQAL QKALNGLPRLDIKKPGAGDAKIITGDRLVLSRVGSPEEAAKNKARVDELRKGVSKLQK ELVEKQKELARAQAELARVSARVVVTRAPEIRITGDRPGQRMEARNIVIRDQRTAPDA KPGELKADDRKRLDSLERSLAKLLDEVKELKKHDGDKPK OJF2_RS27110 MNRVQSDVTDAELAVLQSLWDRGPATIRQLVERVYEQGGTSVYA TVQKLLDRLEAKGCVKRDRSGAVHVFEAAIARRDLIGKRLRAVADALCGGSLAPLLTQ LVEGGDLSAKDRQELRSMIDRLDERRRGGGTPRG OJF2_RS27115 MRLLALVPVLLGLGLIRHDAVLSAPAEIDRLDYLRHVDPYAPGR HFPRLTTPQWVGEPGVEAVIILGIDDMREPGAYEAFLRPVLDRLKQAEGRSPMSIMTC QVPPGDPRLRRWLEEGVSLEVHTTGHPCPLLQKGDLAAARRNYHDCVDLLNAVPGNRP VAFRMPCCDSMNSPSPRFYGELFNKTSPRGHFLTIDSSVINLFTPNDATLPRDLVLDG RGAERFRKYLPSPSFVNTIEDYPYPYVIGNLCWEFPCTVPDDWQGKNLREAARPETVE DMKAAIDLTVLKQGVYCLVFHPYGWIRNDQVVELIDHATRKHGRKVKFLSFRDAQERI DRYMLGGRPLRAPDGSDNQVRIADLNGDGYMDVAGAETRIWDPSSGTWKPGKPTPAFP PVDQAAPADPQARQPGLRYIDLDEDGHADRVFSNDREYGIFLYDPSTGGWTRRVMSGK AGEPHALPMIERAGTNNGFFVHSRELWWQNEDTSGLPDHVDRRSFNDLLKDLPPRPRS PKAALNSLTVAPGFAVELVASEPLVKDPIAMDWGADGRLWVVEMGDYPQGADGEGKPG GVVRVLEDADGDGRYDRATTFLEGLSIPSGLMPWRDGILVAAAPDIFYAEDRDGDSRA DHREVLFTGFNPGNPQHRINGFEMGMDGWVYGANGDSGGNVKSLKTGKVVNIQGRDFR FRPDTGEFETESGQTQYGRHRDDWGDWFGGSNQTWAWHYVLSEADLRRNPFYASPDPR HVLEPDTRLFPISRTLARFNDPDQANHVTSANTPTPYRDDLFDSVLPGRTQLFTSEPV HNVVHRMIVTPDGPTFRGSRAPGEEHREFLASSDNWFRPTQLRTGPDGALWVADMYRA VIEHPEWIPRETQKSLDLRAGAEEGRIYRVFPVDRRPRRIERLDRLDVDGLVKALESP SGWRRDTAERLLLHRKDPSAMGPLRATARSSTSPLGQAQSLWALALLGGLDEGTAGEV LETARHPGLLRNAIKASESLIPRSPRVADAALHLADHPDASVRLQVALALGNWKDERA ARALARIARRDSGDPWIRAAVLSSSLPHVGTLLVELFGEQGEAPSRELVEALATIAGS TLSKEKMDDVFRAVAKPVKPGAGYASWQLAAIRTLVEASSRSSQPPEPARQSVLARII DAARVLVLADSTAESDRILAIGLLRFSAATRPSDRDLLASLLSPRYPVAVSQAAALAL GLSSMPGCPEQLLEGWRSYAPAVRNSVVDLLLSRKAWTKCLLDAVEAKRIAAGEVSAV HRNALMASRDPETRRRAQAIYAELARSRQSVLDAYRPACEAKGDPVAGMVVFRRVCAS CHKLGDVGHEVGPNLAALNEKSPEALLTAILDPNRAFESRYASFTVGTSDGRIASGLI ASESATTVTLRRQEGKEDVFLRKDIDEVIASGQSLMPEGLEKDLSPKDLSDLFALLQG TSPPPKNFAGNHPRTVRPSKDGAIRLAAEDAEIYGDRLVFEQSHSNLGFWMAANDRAA WTIEVARPGRYDVWLDAACADSSAGDVLEIDLGRQQVLHQMTGTGSWDRFERVRIGEL ALPPGRCRVEARAASAPRNAVMDLRDIELRPIAPADPASLSNAH OJF2_RS27120 MQAPLILASASPRRRELLLEAGYRFEVDPSDVVEPDPAPGDSPV EYAIRLAWRKAHAVAARRGAGLILAADTVCAVGPEILNKPIDRADAERMIRLQEGRDT EVVTGLCLYRADRQAWVGAAERSIVRFRPLTDVERAAYLDSNRWEGKSGGYGVQDRDP FVSVSRGSFSNVVGLPMERLAGLLAEFPRLAT OJF2_RS27125 METVEPVGGWRIRKSGLVGGLILAAGLLAVGAFFIPASSWVGLG EANAAMAATPAPIDGKRAFGYLETICAIGPRIAGSEANAKQRQMAAEHFRAAGAKVRE QAFEGVHPLDGGKVQMANLIASWHPERTRRVLIGVHYDTRPHADEETAPARQRLPFLG ANDGAAGVALLMEIANHLTDLKTSWGVDLVLFDGEELVYGRHPNTQGQYFLGSKEFAR AYVEEQDRGKPDYQYEAGIVLDMIGGKDLHLPQEPNSLNLAYNLVRDVWSVARQINAR SFKVKVGREVMDDHLALNNAGIPSIDIIDFDYPFWHKADDLPKNCSADSLAEVGRVVT AWLARPQRRGRR OJF2_RS27130 MSRTEGRERRAPADQAPSELLELRDRLRAQAFEIRAELEPLVES AIENAQYRGRAMRLAREALERFRMEISMLEFDLAATRREREAYRMKVSEG OJF2_RS27135 MKVRECLCILLTAFALGWSAAPRALADGDDAGKAEGVAAAPRKP ASFHEDFEGPQISWEREHTDTTINLIAQDRSDRAAHDGNRSERFQFEAEPGGQFFVSY SVPKAPMSDALSAAVYVRANRVGVQIYARVVLPADIDPDTKSPSFLLIPGTIYDRVDR WQRLELIGMMASVERQARVLRASSRRPVSVEKAYIDRVVVNLLGGSGASEVFLDDLSI APVPDDVLASWKASAVPSPGPAAAARPRRAAGPEGTGRAVVRLDNNRMRRMGEDGKYR DWFPTAIDAPGADVAQLRLHGLDVLVDDRGTDVERLKAAIERGFLLMPRLESIEPGAA ADEVDRYPFKESVAAWQVGGKLGAKRDAKAREEELARIRQLVSAMRQLPPGTSGITTG EVAGDLPFYARAPGNLDMVGIRPYLWASAQSPKEGMEFLNQRRRLAARANPGGLFWAW LPVSAPQAVVENIWGEDPPPAWGRPRITPEQLRLMTYMALSCGYRGIGYLGDADLCRE AGKVLLIELAFLNMEIDICESTLAEGSDPIKNYGAFDPDPPDLPPPGMPLGTRVKPKK EFGPKPGLLASAIKDNRRGTLLLLADYASNAEFEPPQMAARNLIVRPMLREGAQAYQI SPGGVKHLPRERSFGGTQLSIDEFDTTALILCTTDQGLRDRVEAAVAKVRPLAVSLAI EQAEFLLNQTTDIVGRLNADGHQLITPDLIQKRKERGITTRPTDERDLLAKSRALIQS AREAQERLDFALAWDEARRALRPLRTLRNGYWTLAQAELADAIKGNLPRPKDADTAPI PLLTKPVCCAPAIGFDTLPEMYIWKDWIAGQPGYRFGPNRVPSGSFDDPKRMAEDGWV NMDYQLEGLVAKMATVPREGGKPGRVLRMAVDPLQKEDLDKNVPFLDFPIAAVRSPAV EVDARNLIRISVLVKRPIASLPGMGGIIVRDSIGGEQLQFRTSDPIPSWSRVVIYRKA PAEGKLTVTLGLAGYGEAFFDDLRVELVEETSGREGPADDLAGDRPARRAGPPRAPET SPPSSAATSPTGRRG OJF2_RS41265 MAKIQAVWAIDIGQAALKALKLVPGPNPDEVVAEAFDFIEYPKI LSQPDADPEELVREALATFTDRNDVKGSKVAIAVPGQAGLVKFIKLPPVEKKRIPDIV KFEAKQQIPFALDEVVWSYQQIGGDEEESDEEFTMAEVGLFAMKRDQITRAILPLTVA GIEVDIVQMSPIALYNYICFDQIKGSGSKDSVVVLDIGADNTDLIITDGTRIWQRNVP IGGNHFTRALTKELKLTFAKAEHLKRNATKAPDPRAIFTAMRGVFNDFTSEVNRSIGF YSSINRTAKIRKVIGLGNGFKLPGLQKFLQQNLSSEVEKVDAFTRLAGDEVKAAPQFQ ENLASFAVAYGLGVQGLGKANLSTNLLPPEIERVRLIRAKKPWALAASSLVMLGLGSL FILGPYRILASVSTPQYKAAVDKAKTVTKRGNDLKSAYEKAKGEWKAKFDEGKALVID PNSRGLWPAFLKTFSEFVYDPLKDVYNLNPDNPADQETLDKLRVHIDVIRPVWREDVA ADWFNEIDPKFKRLMHPYDAANPPSGKGWIIQVVGHHYNPYPSADQLKIVDLRDPRRV EFGPYQFITEKILHSLNSLDFRLFGVTHVALAWMMSDREWTSEKGSATNNLASNTVPL LPPATAPEGTESGGAGGMMGGGPMGSAYGSRGGMMAGGPGGGAYGKGGMMGGGPMGGA YGKGGMMGGGPMGGAYGGMMGAGRGMMGVGYGGQGGGADLKKSLKTLTRTDFLIQFLW TPVIPETLPDDPEQRKAKLEEQAAKIKDQVKDMMEKMTAAEKEKDSAAVKIPSMEEIE AASKKKSSEVDTAISNAVSKLAAPGAPGAPGTPGAPAAAAPGAPAAGTSGATPAGTPA TPPASPPNQ OJF2_RS27145 MDQVKEFLRQCVKYRFWISVCTAALFAVIAYFLGAGPVQKKADD EIKSITDANKGVEPFKAPGVPNQDYTKLVDEKTAVVSKDVDTAWRDLYKRQAPLLNWP EQVDKRFREWGRQWPEKVAPSAVSVAIVDYIEAYPSYVDNVYKVINPFDYETGQGVIA APPKEQLLQPVPFDVNALPDLGKVWAAQERLWVQRSVLEVVREVNKKAKDWDSAIIKQ INFLTVGSAEAQDQKSQAQAETLTESEAIHAPGEETEEESSGGGSATGAPSTGDSMRA MMGGRGGMMAGGGGMMGGGGAVPETISYIKPEKGAYKILPVLLSVLIDQDHIQDLLVD LENSPMAIQVMDFTLERPSSRVTKPEKGENMMGGMMGGMMGGMMGGYMNRGGAEYMMR GMMGGRGSMMGYGGMASQMQGMMGGGPMGGAYGAGYGGGYGGMGGAAAERKGTDKRSS DRSKTREEATKKVESSKGVSLFDPYYDIVELKVYGQARFYDAPPAQAPAETSPGEQPE AGQPAEAPKPAEASKPAEAPKADQPAEAPKPADASKPADAPKADQAKTAEPAKAEASK PAGAAEPAKPDGGKPAEPSKPQDAPKEKAPGA OJF2_RS27150 MAHPMVEKLKDAGLRYGDKAAVAIGSLLFVVCLGLALRQKSTDL TPDQIKKTAEAAETNINRKQDVETINSALLAADIKPTNFTKEVEDSVKTVLVADNYKP NREWVIPEPGAGLIRDTPTLIAPFELYAYASRGGALVYELDENGNKVVDTGKKEAPKE RPGRRRRRQGGGMGGMGGMMGGGQRRNRGSRKSQAEIEREQQKDYEARKKEVASKLVG SDQADPADEKAKADKEPNGPQEQYKEITQGLRWVAITGKLDHGKLVANYKEALKSPGA QPYYARLDLERQTLEDGTWTGWEAVDADDNNKILDNLPEEDEELAPENVLPPGLVNPL PFLKQGLWEKVHIASLVPKEKKEVAPPPPVGGQGMGGMMSGYPGTGSMMSGMRGGMGG MMGGYGGMMAGGSERGGMSMKGGMGSMMAGMRGGGMGGMMGGYGGGGAADIESDWKSD EKTVMIRGLDFTAKPDSQYRYRVRIVVFNPNVNRDDVSPGVDKKSTYLFGPWSQPTDV VTMPPDVSPYAMGTLAAGPRSDIKVNFQVVRFDKADGVTVPHRFAASPGEVIGDVSSV DIPTSEGTGAKSKRIDFNTHSIVLDTSGGLQSLPAGFSGGGVEKPALALVLRSDGSVA ARAQFDDENDELRKDVERNYAREVKDSNKKRQNSAGSGYGGMMGGYGGMMGGGGPMGG AYGGMR OJF2_RS27155 MPPIKYLEAGARLYNSGDFDRAAKYLDAARLYRDELQSDEQATL DAYLKELAKVQGAASTAPAPAADPGVTKAAMPAPTAASPAPVGDVRSAGTDEPAIADP KQRARWLLHEAREQIAAGNPAGAEAKIAEAEAVDVKWGLFDDTPAKVRKDLKREFPNA ASADVGKAAPSQPGDHKTAVAQLREARAALAKHQVDQAESIAAQVKGWNLSYGLFEDN PDKVIAAAHALRKRDRMRNVPAKELASTGVYDVLVQESRQLLKLGKLEEASAKAKQAQ RMNVTPSLTADRAESVLFDIAEADARRKKAVASKSPAATMDPAVRQVSGESAGAPLLA APDQDPAPAAAPAELVPADQPVADATKAEAPKVAAAPQPAPADAMPALDDQPHAAEPV AAAPAAGHASKGEQMLAEARALYASGNYPAARQLAEEAKAGKAGVDSQADELLAQVGM AEQRGAFTLYESALSAMRNGDNARARALLNEVASAGASLDENLRTKVEELLKKIPAAD KANAPSGRAVVGDKAPIPDAEALAAQKLNAEVGTKIAEARRLQEIDPDKSIALYEQTM KAVKASGLSNSLTKPMVRRLEVALELARKDKVAFDVKMKDKSAKADIELKRLRYLEAD NAKKARMKELMDKAQAAYAAGNLNEAETYAKRAGEIDPNEVAATMMAYKARMERRYKQ DLDTRAAKENGAVTAFQEVDLASVADPEVQLNGIKYAKNFKDLTRERLRMNQKLEVKK DPKVLAIEAKLREPISMNFEQRPLSEAITFIQNYTGLNVVLDPKALSDEGLTSAAPVS LTVNNVSLKTALKLMLRPLGLVHKVDDEVLLITSPQATPNQMYAQTYYVGDLIMPASK AGDGQFTGALTTADNQSTQLLSSSTSGVVQGNGTDVSGVKKGERPNVDMTPLIQLIST SIAPGTWQVQNQAGQDISANYGLGGGFGGGGGLGGADDSRPPGAIIPFYLSISLIIRH TAEVHEQIADLLRQLRRLQDLQVSIEVRFITVTDNFFEQIGVDFDFSIQSDSVGKKST WAIPNSAAQIIPVPGTPGNGTGTTGGGIGGTGGGVGGTGGTTGGGVGGTGGGTTGGIG GGGGGIGGGGGGLGGGGGGLGGGAGGGLGGGAGGGLGGGGGTTGGSTAQYLVNPFRDH ALGSKLPITVGTQGGGLYNFSNNLQIPFTNTSGSLIAPTNAVAGAGATLGLAFLSDLE VYFFLTAAQGDTRTNVLQAPKVTTFNGAIATILNAELQYYIAALTPVVGPGSVAFLPQ PQALLNGVFLSVTPVVSADRRYVRMTLSPNFQTVDGFTTIQVPAAVGGAGLGGSSAAI NATIQLPQTNTTTVSTTVTVPDGGTVLLGGVKRLNEERREFGVPVLSKTPWIDRLFRN VGIGRISSSLMLMVTPRIIIIEEEEEKLGIPSTATF OJF2_RS27160 MPHLLPLAMAALLAAQSAPARPDAAKAGPPREPDPAWKPLARSL WFDPSAKQLIIRAKVVLREGPLEHLMCLKGTKEHEAILATDAPPRQIHAGLLLTGAEE GHPVRFLPKFEPPAGTAIAIELQFAAGGEAKKVDARTWLKDSRGKKDLQERWVFAGSE VYTDPITKQKMYAADEGDLITVANFGGAILDLPIASSASNEERVFLADTDHIPPLGTE VFLFLKPAAGGKSGKR OJF2_RS27165 MSKAYEAADPVPRLVHGVVAGMQRPAIIHTEALSKSYGPFRALK GIDLDVAQGEVYGLLGPNGSGKTTAIRLLLGLIRPTSGRATVFGLDSWRQSLQVRRLV SFLPGELRLFGSMSGLGTLRFLSDLRGGDGLDRAVAIAEQVMKLDLRKKVRAYSTGMK QKLALALAFADPVDVLILDEPTSALDPSARGEVIRLVKEAREIGQTIIFSGHVLSEVE EVSDRVAILRRGRLMHVEDMKSRRALRMVLARFRGGIPADVPEDLGLAARERTGDVVL FEHRGEIGPLLSWLASLPVEDVAIGTEDLRSPYDRFHGPDMADGEDEG OJF2_RS27170 MRPFLGLLRKNLHDVRGTFLLSSMALFGLGWLFVFVTSLNEARI MKPLADDDTGTRFRMMRAMGVDEESASAAIMMTFWSHPFILLVVSIWAISRGSAAASA EIERGTLDLILSRPVSRPAYLLSHVLVGMGGLLGLAMMLVAGAACALGFNYLRVPPRP AAFLGPGLNLAALGAPIYGYTLLASACDSVRWRPTMIGSVLTLGGFIALVISLLPVFQ DYPWRVYLERASIFKLYNPVDAVGAAEHLARDVGLLLLIGAGCTGLALAAFIRRDLPA NG OJF2_RS27175 MSKAIPDARATAPPDPDGHPAGDPRLKLLDASMKRHRFQPDALI EVLHTAQELFGHLEDDLMLYIAHGLRLPPSRVYGVATFYHFFTFAPRGAHTCVVCLGT ACYVKGSEALLAAARGRAAALSEAAEDPRFISVETARCLGACGLAPIVVYDGDVCGDQ TPEAVAVRMEGWRNHGSR OJF2_RS27180 MDLAELQEVADREAAASKAVRFRVCSAAGCLSSGALEVRSGLED AVEREGLGENAQVCAVGCLRLCCEGPLVRVDPDGTLYERVAPDQAASIVATLKGGEPS ARRGDADRPFFSLQRSIVLENSGTVEPERIESYIAAGGYRSLHEVVREMNPAEVVDLV TRSGLRGRGGAGYPTGLKWGMVAKNPGPHKFVVCNADEGDPGAFMDRTVLESDPHRVL EGMAIAAYAVGAEQGYIYVRGEYPLAIRRLETGIRQARRLGLLGTQIFESPFNFRVDL RIGAGAFVCGEETALIASIEGRRGVPRPRPPYPAASGLWGNPTLINNVETFANVPPII RNGPEWFASIGTAKSKGTKVFALAGKVRHTGIVEVPMGTTLRTIVETMGGGAPDGGTI KAVQTGGPSGGCIPEDRLDTPVDYESLAQLGSIMGSGGMIVMDQGTNMVEIARFFIGF CMDESCGKCIPCRAGTVQLHRMLSRIIDGEAAEEDLEPLRALCEMVKAASLCGLGQSA PNPVLSTLRYFEDEYRQLLRPGAGAAAASAGR OJF2_RS27185 MATVKTLSIDGRMINAGGDQTVLEAAAEVGIAIPTLCHLDGVAD VGACRLCLVEVGDPPRLLPACSTEVFEAMVVRTDTERLRGLRRMIVELLFAERNHVCA VCVANGHCELQDLAVRVGMDHVRFEYVHPGLPVDISHERFGVDHNRCVLCTRCVRVCD QIEGAHTWDVAFRGAGARVVTDMHQPWGTSQTCTSCGKCMQACPTGAIFRKGATVAEM ERDRSILSFLVTAREKKQWNV OJF2_RS27190 MERLKLATMWLGGCSGCHMSFLDLDEFLIELAGMAEIVYSPIVD AKVFPEHVDATLVEGAVANEDHLGLVRNARARSDFLVAFGDCAITGNVTAIRNPLGGA RVVLDRSYLCRADLRPAVPESPGVLPRLLDRVVPVHAVVPVDAFLPGCPPPAGRIRET LSQLATRHAARLAGADLKFG OJF2_RS27195 MAKQILVDPVTRIEGHAKITIQLDDSGEVRDARFHVAEFRGFEK FCEGRPLWEMPGITARVCGICPISHLLASSKAGDAILAVSIPPAATKLRRLMNLGQIV QSHALSFFHMSAPDLLLGMEGDPASRNLFGLIAAEPEFARRGIRLRQFGQEVIETLGG RKIHPAWSVPGGVRGGLAAEGRDRILGRLPEAFDTAQVALRRFKEIFDRFHEEAACFG DFPTLFMGMVSPEGHWEHYDGTLRIAGSDGEILADHLDPSRYAEFLREAVEPDSYLKS PYYQPLGYPGGIYRVGPLARLNVCNRMGVPRAEQELKEFRYRFHGTGTSSFLYHYARL IEILAALELIETLLDDPDLGSDQLRASAGINRLDGVGASEAPRGTLFHDYSVDRHGLI TRVNLIIATGQNNLAMNRTVAQIARHYIRDGKASEGILNRIEAGIRAYDPCLSCSTHA AGRMPLVVRILDGDGTLRQEIRRE OJF2_RS27200 MNEDGPGRLPLLVIGFGNPLRRDDAAGLVVADRLGAVPRPGWRV LSVPQLVPELAASLAEARTVVFVDAGLPGVADRVRVEAVAPGAAGESLDHAASPRGLL GLCRLAYGRSPDGWLVTVPAEDLGFGEELSGRTIAGIREAVGRIEDLAAGPASGELPR AERCPTPRVQTRLDRSTTAEGTR OJF2_RS27205 MTQMFIQVDAFTDAPFSGNPAAVCPLDGPADEVWMQRVAAEMNL SETAFVYPEPAGPSFRLRWFTPLTEVDLCGHATLATAHALWEEGIVDRSAPVFFETRS GRLRAAREGDWIALDFPSEPIRETISDAAELAAIGEAIGVAVATAGRNRLHLLVELAD EEAVVRLSPDMRKLGSIPVRGLIVTAKSSDPSSDFVSRFFAPRVGIDEDPVTGSAHCG LGPFWASRLGRTDLVGRQVSRRGGVVRVRVGESRVELLGRAVTVMRGDILA OJF2_RS27210 MGSRDTLWYKDAIIYELHVRAFHDSTGQGHGDFRGLAQKLDYLQ DLGITAIWLLPFYPSPLKDDGYDIADYNRIHPLYGNLEDFQAFLEAAHERDLRVITEL VINHTSDQHPWFQRARRSPPGSPERDFYVWSDTPGKYAGARIIFRDFERSNWTLDPVA GAYYWHRFYSHQPDLNFDNRAVWDAIFPVLDFWFEMGVDGLRLDAIPYLYEREGTDCE NLPETHAFLKALRAHMDERFPDRMLLAEANQWPEDAVAYFGDGDECHMAFHFPLMPRL FMAVHEENRFPIHDIMDQTPAIPENCQWCIFLRNHDELTLEMVTDEERDYMYRAYTQD RTARINLGIRHRLAPLLRNDRRRIELMYGLLFSLPGSPVIYYGDEIGMGDNIYLGDRN GVRTPMQWSADRNAGFSRANPQRLYLPVIIDPEYHYETVNVEAQQGNSSSLLWWVKRL IALRKRYPAFARGALEFLRPDNPKVLAYIRAMDGERILVVANLSRFVQYVQLDLKAFA GVVPEEMLGHSPFPRITDQPYPLTLGPHGFIWFSLPESSADAIAMAPSGALAGEGAEL ELPHLPGKMPLARRFRPAGWDDLEEILPAFLDRRKPRYEAAEIRSVRILHAAPVQIGD AEVWFVVTQADMTEGDPKIVSLALTFVAEERVGTLLAPLPVAGLARVAAPEPGLICDS LAVPECCRALLRGILAGRSRRVEDGHIEAAPAGPPSEIGADELNGLTLSLRRSERGNW AAVFGDAYVLKIFRRIQEGTNPDLEIGRYLGSHPDFQGAAPVLGSIEYRRQGSEPFTL GVLHRYVENHGTAWQFTLDQLSQFFERVATLPPRSSVAPPAIPPARDGEHGGTPGDAS AVEPESAPTGAAATGGEAAPAGGLNGWSDLIGGYLETARRLADRTAEMHLLLAADRSD PAFAPELFSRQYQRSLYQSLRTLTGRLCDRLARAQSRLDENARSVAAQVLDRRRAILE RFESIQDPALHCHRIRCHGDYHLGQLLYTGKGFVIVDFEGDPAMTIGERRVKRTPLRD VASMIRSFDYAAQGMLLGDPGARGHSPGTIRGEDIPLLERWATAWFELVSREFAAAYA RAMEPSGLLPSTPQARSTLLEILLLEEALREVDGELTERPHMTIIPLRGVVHLLGRNA SNPLIPS OJF2_RS27215 MKWDDIIIDAAASDTGMRRWNNQDSQTVVRASKPEVWQARGHVF MVADGMGAHAVGELASKMACDLIPHTYMKTKGGTPAEAISKAFREACSVIHSRAAANR DFQGMGTTCSSLILLPEGALVAHVGDSRVYRIRDRQIDQLSFDHSLVWELVRRNHLTP EQANLSVPKNVITRSLGPEPTIEVDIEGPLDVHLGDVYLLCSDGLSGPVEDPELGVFA GNFHPRDACRYLISLANLRGGLDNITVVIVRVGDWVDPESAEVAQQEPADGRKADGGG SWKDRILRLVRPGKKAPAALTPEEEHRYRSSDCPIGEALLDRLSELTDRVREAAIEQA WSVDWAELTERRRKLADARSGRRDWAALREVGEIIAMLGQAARFHRKNTGTAAVK OJF2_RS27220 MQLPDLDFRKHTLANGLDVILRAQGGLPFVAVNLWYHVGSKNEE RNQRGYAHLFEHLMFEGSQHYPGDFFKHLQRLGASINGSTSSDRTNYFVDIPTAHLET VLAMESDRMACLVPALDENRLRIQKGVVKNEYRQNYANRPYGMVWPLIAEAMYPPQHP YSWMTIGVMEDLDAATLGDVSAFFARYYVPANSSLAIVGDIDPDAALSTAERYFGPIP AGSKAQAPWTPATPLPSGRELVLRDRVELDRLYLLWHTVPHFHEDDAPLGLLGDILAR GKASRLYNKLVIDRQIAQDVTAYQSGRELAGAFGITVTLRPSRAIDELRGLLDEEVAS IAEAGVTPEELSRVRTMKTASFLFALEHLGGFGGVADRLNAYNVYRGDPGLITRDLPR FQAVDVDDIRRVARRYLAGKPAVSLSVVGQKAVTSSPTLDRAVPPTSSPPAAFAAPTP EILRLGNGLPVWVIPRRDLPTVSMAVAMIGGASLQPSRRAGLAQLAVSMLDEGTRTRS AAEIALAAEAMGTGLSASCGWDGAFVSFRCLEPLVEPSLDLAADILREPSFPEAEWDR LHGQTLAALRADRDSAEARGYRALLAALYDEGHPYHDPLDGTEEIVAGLRRDEAIDFH RRVLGPAHAGVVVAGDVDPDRIVSLLERRLGDWAGPPIPSPVIDAPSPSSRPRLILLD RPGAAQAVIRAGHVGIARNEPDFEALLLANQVLGGQFTSRLNEKLREEKAYTYGVRSS FDCRLGVGPFSVATSVQSDKAADAIDDIMNELRALVGDRPPTQAELDDARRSLIEGQT RQFETPAALVNRYANLFVHSLPPDHFRDFAARLQEVSLGSLADAARRRIRPDSLVVVV VADAAQVQDDLKRLGWAELELLKD OJF2_RS27225 MDAGPPAPERPQKFRRWLDDLARRYDDTETVYSVPSWGLSLMLH ALLLLILALLIRAGNGTSEKKEIKGAIAIPAIGDLSSLVEADRAGDPFTKEQTDDQPS IGLETIEPIARFAQPEIPNLERFAPDLSGPNGDPKGGVKILNEASGAGTLRGGAQFPS LSADISAPFSGRQGLDRAQLVRREGGTVHSEKAVEEGLEWLVRHQAADGSWSLNFQDH CGADPCPAERTMESQTAATGLALLPLLGAGYIHNVKCRHQDSVRRGIEWLIHNQQPNG DLFTGPPGIAYMYSHAIGAMALCEAYGLSRDSNLKEPARHALEFIIESQNSQTGGWRY APGQAGDTSVFGWQIFALRSGHLAGLTVPKSTLKGCSDWLNAAATDSKKVLYAYQPGH EVSPIMTAEALVARQLLGWPRNHPSLVKGAGRIAAHLESNKDRNIYYWYYATQLLHNM KNKDWEKWNPEVREGLIGAQVKDDSCANGSWDPFQPNQDRWGVVAGRLFQTSLSILTL EVYYRYLPLYRTSDTDGLEANAAPPEARKKPQAGRQP OJF2_RS27230 MWSRRAKIGLLAAVLAAGFGAVSPARAQNRDLAFDSVVGRPRGL PDLPPEGAWGEIINVTSRWIVVQNHSGQQFPIAVDDMREFLIRWPYRFDDLNQQSVIE AVGQDAGSNVVRTEHVDVFEGDDRDLVSPTYNSLLPNNMVVTTLDPGFNRYMNAWDYA GQNMLYGWAYPVPANTNGIPSKLHVVGSVEGLQQGPLVRVGLPGNNWATIVPDASGQM TITQITRGTTNLTKKGDTAFLMPLQITPRGLIVSQLVVYKQMTYRQFRANPNARPDAN ANANGNNNR OJF2_RS27235 MRVALLLALIAISGSPLGASPIPIAMAFRVTDLGTGYRLQADSA GLVHGVISGDGGQTYAFEKSPVTALDEHVSFSPHAGETIWTMQNGAHRAGYQSNNTFS GTYPVTNSTTDGKTETVSNGWNGRPTDLNASGHYVGYAASTDWGAPATYAMASGLPGM ESGVGALYYNNLNNYIPQGLGTRLTSAIQIDDLDRILARGEDGHVYLLTPNGLGLPSP VPEPTSLVLCILVLCGAALNARRMRAGGKLPSTVPPRKVASAGVARRARNAYPGDWVA ARAESFVGHPRSHGHVVSTG OJF2_RS27240 MADQNPSPRPDHAHGSKPSSPIRPGPPPDAEESLLDEVLDKAMG EVAGAGPRQEVSLKRQWDDQLEAELEAALAGFDPKSIDPRRERPKRAEKPADDKSKPG ERDRSHSHDARKAVRTGRVIGARGKSLFIDLGGKSEGVIPIGSFEGEIPVPGSTIEVV FDHYDPSEGIQHLRLKGSAIEANWDNLREGVVVEGRGTKAVKGGLEVDVDGIRAFMPI SQIDLNRVESAADYVNQKLKAIVTEVNSREKNLVISRRELLEQERAELREKTWATLEV NQTREGVVRSVKDFGAFVDIGGVDGLLPIGEMSWSRVQKVEDLIKTGDKVTVKVLKID PVTRKLTLGLKQLMPSPWEGVEQKYPRGLMVNGKVTKLMEFGAFVELEPGVEGLIHVT ELSPTRVRKISDIVKPEQEVEVRILKVEPDLKRISLSLLPAKGKDAPKADEPEEEDEP ETPPIPKPERKVPLKGGLGDKDRGLFG OJF2_RS27245 MRVAVRVLAVIIAILTSPARAAETDRMGPRLPVEAYELPNGLKV VLHRDASVPRVVVAVAYHVGARNERAGRTGFAHFFEHMMFRGTRNVPNYDIPLQETGA QSNAFTTEDLTVYHETVSSEFLERALYLEAERLAFLPEALDQAKFDTEREVVKNERRQ GVDNVPYGQVEEAILQGVFPKGHPYSWSVIGSMRDLDRASLADLRSFFAEFYHPANAT LCLAGDFDPSRAKALIGAYFGPLSPGPRPAAPSRRLATPRPASVELADEVELPRIHWA WPTVDEDHPDSAPLGLLAGILASGETSRLYKALVRDLRLAKDVDSDDSPKEIAGYFTL EATAAEGKSIEDIEAVFRKEIGRLKAEAPSPQEVERLKAGAETGTYAGLTTAHGRAVA LATGYASKGDPEHYRVELARIFRVTPEDVRRVARKYLVDEKVRILVRPARPGEPKTTV EPVGPDPAPHVAGDAAPAAARKPAPGPDWTKLPGPSEPLPFLAPKYVQASLSNGVRVY IAPWKTLPLVRLSLFVPAGTGDDPAGKSGVAHLMARLLDQGTAGRTATELSEAYELLG ASVRVGSGADETSLSVAVLARNFRPTLDLFAEMVTRPRFDPKDFDREQAQQLADLLQG PTQVNWMARRALPAIMFGRSHPYGNPSDGFPATVRALALEDVRAFHAAHFGPKDAVLV VAGDVDPDALIKALERALGGWRGSNPGARPRPAESARPEPGVVYLVDKPDAVQSVIRL GRHWADRRDPRYMATLVGNHLTGGDFLSRLNKNLREDHGYTYGAGSTFDFRRGRSVWG VATSVRADVTGAALAEIVKELDGIGGGRPITEEEVATARWAEARSFPEAFESPSGIAA ELAELARFGLPADELESFLPRLERVSAEEVQRAMAEVVAPSGRYILVVGDRKAVEPQL RNAGFRNIRPITHDGSPIRDEPQTHTDEHG OJF2_RS27250 MSSRVGVAIVGASGYAARELFRILLNHPGVAITAATSRQDEAPR VDALHPSLARRIDLVCEPFDADRIAGKADFALLALPHTASMAAVPPLRERGVKVIDLS ADYRLADAQVYADWYGHAHTDARGLDEAVYGLPELFRPRIPGASLIANPGCYSSTSIL ALAPLIAEDLIERSGIIVDAKSGVSGGGRAPKPSFHFPECNENFSAYGVGRHRHTPEI DQVLTAVAGGKGDPVETIFTPHLVPMDRGILATVYAQPKRTAVEHDLIELYRSFYASS PFVRVVSHLPATKDSSFTNFCDITVRVVRGKILVLACLDNLVKGAAGVAVQNLNLMLG HPEETALL OJF2_RS27255 MIVENQGNEADRRSSRVAVSLILLAGAIQAWLWPVGIGGKMPVG GDVTRFFLGLMDVLSQSLKSRRLPFWNDLWGDGFPGVGESQMGVFYPPHLLLYGLLAT EYAYVGSLVIHTLWGGFGAWWAARRFGASPAGAGLAAFAFSASGFFVIHATHQWGYTT GSWMPWAWGLAWSVLDRSHRRAGRDALLLAAVLALQVLPGHFQVAFLTEVGVAILAAC GAAERGLAGARRVGLVLIALLAVLPLSAVQVWPTARLAVLAASQRDFGYLSGFAATPF HLVSFVAPDLFHHSPFWRPLVWDPLHTSPEEMLAYIGLVPAFLAVLAVLRDSKRDAAT RALAVLAGLTLLLSLGPYVPGFRLLIRLPGFSFFRAPARWTLATSLAMALLAGKGLDR CREWPRLPRSLALLSALGIAWILATVGLLELAQLAGRSGTDSWLSAGFERAFRARPWA DDPDFRAVIALARRSGGEGRRPAGISSRPGGSEDRTPRNFEEARGGIYARELAGPAAV LGGVLLVAGLAAVPPLRDRRGFLPSALLLLSFADLMALGIGMKVPTGPLRTAPEMSPV MAYLSSLPRGTRVADTAGNFSMRAGLSPITAYRTLDLPTVPGLAQLASGPLDSLPVST AVRKAMRAEGVGVRVLSPAEVEQARRTPRAPGSPPPLEGRLMEDPALAEWLYGPDPGG PSRGPAGTFSVVRPGGEPARAWLVPLTGMAHPEILDSWGGEPGVLLELFDGARPLRHS APTPERWEIVVDAAEPGWIVISMLADPQWKASWIDGAGGSRDAQVLPTFRRSRSDGGW QRVKVPGSGRWTLRLEYRANDVEAGAAASAVAWLAWGVLWLRGLPRGPREGRHGE OJF2_RS27260 MLVLSRKLGQAVNLGGEVRITVVKIDGNSVRIGIEAPDEVPVKR LEIAFEVPEPLAAGSPEDLAPDPFI OJF2_RS27265 MRIVIAPDKFKGCLTAAEVAEALARGVTSVLPDAEMDRVPMADG GEGTVAALVAATGGRVREAAVTGPMGEPITAEFGLLGDGRTAVLEMAAASGLVLVPKE RRDPLRASTRGTGELLRASIRAGVGRVILGIGGSATNDAGAGLGQALGFRLLDADGNE LGPGGGELGRLSRIERPEEPPIPDGVEVLVACDVTNPLCGPDGASAVYGPQKGASPEA VRELDRNLARFAEIVARDLKVEIAGVPGSGAAGGLGGGLLAFAGARLARGVDLITEAV RLRDRLDGADLCLTGEGSMDGQTAFGKTAVGVSRLARSLGIPTFAFAGDLGEGVEAVL EEGITAYFSICPGPIALEDAVRRGAELLERAGAQAIRAFLAGRSRR OJF2_RS27270 MDPSSPPPSGEFGLIRWIRERERAGRRGGGTVLGIGDDCAILEP TPGAKLLVTTDMLMDGRHFRLDEDGAEAVGYKAMGVNVSDIAAMAGVPLAAVVSVSLP RVGAAEIARGLHAGLRRMADRFGVDLVGGDTNAWDGPLVVNVTVLGEATSRGAVLRSG ARPGDVVCVTGPLGGSLFRGRHLRPEPRVKEALALHEAIPIRAMIDISDGLSSDLGHI LEESGGLGAVLDLDRLPIHSDARDLAEEDGTPAYLHALNDGEDFELCVAIDRDDAILL RNLTGLHRIHPVGEIVSEPGLRLRRNDGSMEPILPAGFDHLRGVEA OJF2_RS27275 MRTTRTETGLLVELDSELETVDFGRRLADRLAPGTIVGLVGPLG AGKTRLARAVAEALGVDPAAIASPTFVLIHEYAGSIPIYHFDVYRLPSREAFEDLGPA DYWDAGGICLVEWADRFPGLLPAGAWTIAIEPGPGERRRLTIDVPPGDVGRLEGLDL OJF2_RS27280 MRLAAPSVLPPLSGLDARDAGEAFVPRGISTFEEAGLETALLEG LVLKFLFNTGQSSGRRIAAELGLPFGPFPEYLRQLKNAQILTYANTVTAGDFVYSLTD VGRARARSYLDECSYVGTAPVPFDDYLDSVAAQTIVNEHPKEDDLRRAFEDLLISEQT FGMLGPAINSGRGLFLYGFPGNGKTSIAERITLCFGTSVWIPRVLCIEGQLVKLFDPA NHEEMPRRSAGGLLDSSDYDRRWVHIKRPTIVAGGELRMEDLEIRFDPITKLSEAPLQ LKSNLGSFLIDDFGRQRMQPVELLNRWIVPLEKRYDFLSLANGKKVRVPFDQLIIFST NLEPRQLVDEAFLRRIPYKIHAVDPTEAEFRQMIHIFAPRLGFEAVDADAVDYLINAH YRRAKRPFRCCQPRDLLLQVRNYCVYNDLPLVMKPEYFDFAVKNYFTVM OJF2_RS27285 MDRVEFDAEVAVLGAGAAGLFAAIRAADLGANVVVLERNRRPGV KILMSGGTRCNLTNARGLRRLEVVSGPIDAAYNPALCRGTRAIQDAFGPGGPFLGPAL RQLDVDRTVQLFEAEGVATKVEGNGKIFPATDRAVDVLDALVRRLGRSGASLRGHESV ESLHPDEGGAGGFRIGMAGGSIRARRVVLAMGGRSYPGCGTRGDGYRIGAELGHGIVA TRPALVPIRVVPEWVASLRGLSLQDVTASVQERGGKLLQQRREAVLFAHFGLSGPAIL DVSRAVAHHEGTEPLDLSLDLFPDQSREQVDARLQAACRHGRATVASIVGADLPQRLA ECLVEAAGIPRSRTGPELSRAERMRLVRAMKGHPLTIAGTLGFEKAEVTTGGIRLEEV EPRTMESRIVPGLYLAGEVLDLDGLIGGYNFQAAWSTGWLAGEAAARAVRGGGP OJF2_RS27290 MQVRGQAMDDPLYDREIDAYPAIAAPPAASSGDVDEFPGRDGGW GGPDPPGGTIRAVLSGLDLVEIVGLSISVGPLASAPIPVLVGVHSPFAAIPVITGLAV LPIVLVLVRRHRPSAIRVPPGVGEKSGPPRGTSPAPNAVELSTRRAGGISDTPSALPL SESSPSLRGARAVIWDDADCGLRIELFPKRSLQAEDIPWLVVACAASVLTGMPVIFES RWDPRRPVLLVLPVAVPALVAAISLGRVAYAWILHETMWLDGEAFLLSSDFAGFRRAR RFHRANIGELRYRTPERDILVSVEPYRSIDGISFGRDLTPADADRILRAVKAWRAAAT PPELSRRTARCRGRVDPYRGLAPAKAMGYSVEKEGGRLSLILPLGDLPRLRSDVLMVL TGAGTILPPTLGLIHFLANHGPSYRPRVQATLWLLHLATASAYVLVASGYVLLRSRLK MAVRIRIFRHQIELAPDVHVEAKGVIRSLALSWLRPDRRWDPRRPGTWPEPRVLLIRR LARIDSFHEVQGTEEHTFHAYNLQIEEADGSFFDLAEWLPRKQGPPKAVVLLSQHLRE LLGVHGRDYGAAVAVL OJF2_RS27295 MNRPRHLATAILSACFLIISRPAYAGLEAGAASRAITPAPLLPV SGGMGAPRPASEKRGELVARAVVFRRGDVSVAVVSLDLLGFPSVLGDRVRAKVPRIPA ANILIGSTHTHSAPDCYAFPDGRGGHTGDLAYMDSVVAKAAEAINEAIDRLEPAWLRV ATGEANGRIAYNYYAPDLYDRRMSVIQAMSPQGKTISTLINYAVHPEVLGNDVGICSP DLVGPLYEYVDAKAGGLTVFMNGAQGGMVTADNRQLDRPSDPARGYWDDSRTWEECIR IGRLMGSEALRIVADSPVQKDPGVFCTSVDVPFPVASDAMWAVVTLSPLKYPHGEDRS ITARINLVNLGDAQILTIPGEALPNIGFYLKRKMHGKNNLLFGLTNDAFGYILTKVDF ASFPRYEYVSRTSLGEMTGEILIDQALRLVERSPRPDH OJF2_RS27300 MERPPLSSSSFHRLRRYCLILARAFLAGPWERQGLVERGRATVQ RKAKWLDSAAKRAMEAFPTCAALREARLADHLAGDPDVLKAATSGRVPLRPQALVDLR PEMRPPPGPSAAWSVPAIATPAELAAFCDVSPVQLEGLADCQGRERRTPREPLRNYRY RAFPKASGGFRIIEAPKPRLRRMQRLLLDEILAKIPAHEAAHGFRPGRSVMSFVERHV GRHVVLKMDLADFFPSITAARVLAIFMTAGYPEDVAKLLAGLCTNAVPMHLERLLGGT PVGDWRSRKLLAGPHLPQGAPTSPALANLAAYRLDLRLDALARSAGAHYTRYADDMVF SGDESFARSAGRFALSVSAIALEEGLAVRNRKTRVMRRGVRQRAAGIVLNERPKIPRD DYDKLKATLHNCLRHGPAGQNRDGHMDFRAHLLGRIAYVARIHPGRGERLMRSFDRIT W OJF2_RS27305 MNCQDHLYRGAGDPRSISRRWFLGQCGVGLGSMALAHLLGQDGY AAATPGTPSLGANPLAPRAPHFAPKAKRVIFLFMAGGPSHLELFDNKPHLAKFDGKLP PADLLKGYRAAFINPDARLLGPKFPFARHGQSGTELSTLLPHLAGVVDDIAVIKGMTT DAFNHAPGQIMMSTGSMIFGRPSFGAWTCYGLGSESNDLPGFVVFSTGKKGPSGGNSN WGSGFLPTVYQGVQFRNGGEPVLYLTNPKGIDEELQRDSLDVVRQLNESRLKQIGDPE IATRIHSYETAFRMQMTAPEVVDIGREPQHILDMYGAKPGKPSFAGTCLLARRLLERG VRFVEIFHEAWDQHGALVSDLKHNCEDTDQACAALVKDLKQRGMLEDTLVVWGGEFGR TPMVQGGADGRDHHPNAFTMWVAGGGIKPGITYGESDDLGFSVARDKVHVHDLHATLL HLLGFDHTRLTYRFQGRDFRLTDVHGNVVRPLLA OJF2_RS27310 MIAARTLPRPPGARTLARSGWVVACLAAALPGFVAETPCLAGAE PDAKPREPQAIRFNRDVRPILSDRCFQCHGPDASQRKGNLRLDGRQAATAPAASGEAA IVPGKPDESELIRRITTHEAEDVMPPAKVGKPITPSEARILRTWIEQGAQYEGHWAFI PPERPAVPPVRDRPWVSNPIDAFILARLEKEGLKPSPEADRTTLVRRLSLDLVGLPPS VPDVDAFVADRRDDAYARRVEDYLASPHFGERWARIWLDAARYADSDGYEKDKPRTVH FFRDWVIEAFNRDLPYDRFLIDQIAGDLLPNHTQDEVVATGFLRNSMINEEGGIDPEQ FRMEAMFDRMDALGKGILGLTIQCAQCHSHKYDPLTQEEYYRLFAFLNSTNESNVAVY TPAEQMTRADIFRGIQEIEARLRHEHPDWPERMRAWEATVREEPVPWRVVRTEVDQNN GSGQKHYLLEDGSILAQGYAPTIHVARFTSLEPVNDIAAVRLELLNDPALPLGGPGRS TTGGLALTEFQLEAAPADHPDKVTQVKVVSATADVNPQERPLAPIFDDRSGRRRVTGP INFAIDDKEETAWCIDAGPGRRNVPRKAVFVLEKPISYPKGAILTFKLVQKHGGWNSD DNQNNNLGRFRLSVTDRPGAAADPMPLPVREILGIPESKRSPAQVEAVFRHWRTTVPE WKAANDRIEALWRRHPEGSSQLVMEDMPKPRTTHLLQRGDFLKPGKEVGAGTPAFLNP MPADRPANRLTLARWLVDRKAPTTARAIVNRIWQAYFGTGIVATSEDLGSQCEAPSHP ELLDWLAVELMDSGWSLRHIHRLILDSSTYRQSSRVSPELLAKDPYNRLLARGPRFRV DAEVVRDITLEASGLLEPRIGGPSVFPTAPAFLFAPPASYGPKSWPEATGPDRYRRAL YTFRYRSVPYPMLQNFDAPNGDFSCVRRSRSNTPLQALTLLNEPISLEAARALARKTL KEGGECDAERLDFAFRRCLARPPAADEARALLSLLKRQGERFARGEAKAGDLAFATPA DAAGLPQGATAESLASWTVVARVLLNLDETITRE OJF2_RS27315 MDAAPSPGYRPLRPLPAGWGSLGHAFVSSVKAHWNSTALCDGTG TRLTFGETLVRSCVLGRYLSRSLGPERYVGVMLPPMVPAAVVNIALVLQGRIPVNLNF TAGQSMIDSSIRQCGIRHVITSPKVLDKFQVRPAAEIHPLEQVPARISRGDKLAGAAA AHLVRRGLLPGLLPGLRGRDLGAPATVIFTSGSTGDPKGVLLTHRNVLSNVLQVEEQV KLAPDEVLLGMLPFFHSFGFTVTIWTALCLGKKVVYHSNPLDSKTIGSLCEQHKVTLL AGTPSFTRLFQKSCRAEQFRTLTHLILGAEKLKPELYRDLEGWLGIEPMEGYGTTELS PVVAVNVPEEVTLADGRKVHGNRPGTVGLPVPGTRIKTIDPDTGEDLPQGAEGVIAVK GPQVMDGYLGRPEETARVIRDGWYVTGDIGFVDPDGFLKITDRQSRFAKIAGEMVPHL LVEGAIIEAAGVDETYVAVTSVPDPKHGERLCVIHKDLGKTPDEIHKALTGSHMPRLW IPSVRDFIPVDELPLTGTGKVDIRRIKELAMEACQV OJF2_RS27320 MDVILRVIAGPHKGHDCRVEGGRFVVGRSSRASLPMTKDLALSR EHFAIESVPPVCHIADLGSTNGTKVNGLRVERLLLRDGDTITAGDSHFRVQFQGSEAQ AGDGYPLRCAGCDAPLRRTADPGGPVDDGPASPADSDDMPEGIMLSPGVWICERCELR RRSYPETSPDYLIEELIGEGGMGQVYRARQVSRNRRVAIKTMSAHAGGPGGEKAIEYF RREIQALHDMLTPGGSNHPCIVEFYELFQVEGQLQLVMEYVDGKNAAAWVRGLGEPLP IDSAVRIGELLLSALHYAHSRGYVHRDIKPSNLLVMGPARRPRLKLTDFGLAKSLIDS NVLVNLTRQGEIGGTVGFLSPEHIRQFGEVREPADIYGVGATLFYLLTQQYPYLGFRP ERPDSYEMILEHPAVPLRAFRPDAPKWLEAVILKSLEKSPRDRWPSALEMWRALRPRT ARGPRHRGHA OJF2_RS27325 MEQLGTVTIIGVGLIGGSIGMALRSERLAARVVGVGRNAEALRQ AVDRGLIDEATTVPEEGVRSAEVVLVCTPVDRIPGDVCRAAAAAPGDALIMDAGSTKR KIVEAVEADPSGRDSFVGAHPIAGSERSGAANARAGLLRGRACVLTPTGRTPADLVRR ARAFWANLGARVVEMSPGDHDEVLAYTSHLPHAVAAALALSVPAPWQSLAAGALRDGT RVAAADTDLWTAIFRDNRGPLLKALGSFQDRVAALKYAVMTDDADAIRDWWEQARKLR TLLEERQSPPGGMD OJF2_RS27330 MLWHLEIRPAPGRPDLAGLRLAHEAADIGIAGPWHLAVSRGFLI EGPLGRDAVDRAARQVLVDPVVEAYAIHPGGDGQGGDRHGNGSGGAVVHVLPKAGVTD PEAESALGLLRDLGYEVVEVRTIRTYHIDGPAEERRRLIDRLLANEAVEQVIVGPLPF DHLGQGHDYDFRLVTIPIRSMDDRGLVEASRAGQLSLSLAEMKAIQAHFTALGRDPTD CELETLAQTWSEHCSHKTLKGRIEFEGRTIDNLLKQTIFEATRSLDLDWLVSVFSDNA GVVRFDDEFDVCFKVETHNHPSAIDPYGGSNTGIGGVIRDALGTGLGSRPICNTDVFC VAPTDMPPEQIPAGVLHPRRVLKGVVAGVRDYGNRMGIPTVNGALAVDPGYLANPLVF CGTVGVIPRGMAFKKVEPGDRIIAIGGRTGRDGIHGATFSSLELTSESESISGGAVQI GNAITEKMVQDVVIQARDRGLFHAITDCGAGGFSSAVGEMGEKLGAAVDLERAPLKYR GLSYTEIWISEAQERMVLAVPPESWPELRRLCEAEHVEATDLGEFVPTGRLTLRYHGE VVADLSMEFLHDGRPAVVRSATFTPMPAVPLEAPIKADYTGDLMALLGHWDVCSKEWI VRQYDHEVQGRTVVKPLVGIHDDGPGDASVILPVRGSNRGLAVSCGINPRYGRLDPYA MAACVIDEAIRNCVAVGADPGRIALLDNFCWGSTDRPETLGSLVLAARACHDLSLAYR APFISGKDSLNNEYSHDGKSLAIPPTLLISAIGQVPDVRRCVTMDLKEPGNVLVIVGT TLDELGGSLWAHTLGMEGGAVPGVDPEAGAAIFRAIHEAICRGLIRSCHDLSEGGLAV ALAEMAIAGGLGASASLRDVPCDDSAAKDGVLLFSESPSRFLLEVRPADYDALAALLG VIPMGRLGEVRGAAPGESPRLSIAGLDRIPVVDAPVPDLKDAWQRTLKW OJF2_RS27335 MLRFVTFVDGSNLDGVLKHLNLRVDDYGAFYRHVFEQSVHYWGR TFADGARWPTAQHSRIYWYVVGKMDEWDLSDPKAEARLRARFEMNPRLRDAYIEDITR HAPDLPPEKRIEEAWALCFAETREWYDNKKRALERKKRFYHGVQSATDFVEIRQEGHW KVDLLHHTVNEKGLDTSLAVDMVALQDTYDIALLISGDADGIPGINYVKGRAKHVGVA EFRRGSPADFPAKGASSRLKIAADFVVQVYEAELLRRNLAYRAEPDFHAQSVATGEPN F OJF2_RS27340 MSTPRAIVLRAPGTNCDEETVAAWQLAGADVETWHVGRLLEEPA GLDRFQILTIPGGFSYGDDLGAGRILATRLGHALGDSLHRFRDRGGLIAGICNGFQVL VRCGLLPGGAGEGRATLTNNDSGRFEARWVRLVPSPGLSPFIAFREPIELPVAHGEGK FLMADDAAVDGLDARGQIVLKYADDRGIPTRDYPDNPNGSAGAAAAVCDPTGRIFGLM PHPERHVRAIDHPRWTRHVGDLPKEGQGLQIFRGAVDALR OJF2_RS27345 MCRSRKGGTGHGAGTNVRAIPFVFVKALKAKTPAIGWRAAASMA TAPPSDQPPATMRESGKPRAWRKASTASAVSLQPNSEGLPPLMPYPR OJF2_RS27350 MEITEVRIKLMEDNSGSNERLQAFCSITFDDMFVIRDLKIIEGA KGFFVAMPSRKLTDRCHNCGTKNHLRSRFCNQCGCRLDENRALRDADGRAKLHADIAH PINSMCREKIQAAVLASYAEELERAKMPGYVSRYDDYDVDDYETPYEAHAPAAAGHGG PSEPPLRRGPLRGHTHHGRGNQSVLRGPHSSPRRESPSEEVGSEYHRRGESASFGNGI OJF2_RS27355 MIVRPIDGGVEVLAPAKLNLFLEVLSRRPDGYHEIESLMVSVNL HDTLIVREEASEDIILECDDPELPTGGDNLVVKAAERLRAAAGIRRGARMTLKKVIPA RAGLGGGSSDASAALVALDRIWDLGTPPDRLDAVAGEVGSDVPFFLHAPSAVCRGRGE RVEAVTLGRPFHFVLVAPEVGLSTAEVYHALRPPETPRPIGPVLEALDGEDPAPLGRS LFNRLQPVAEAMRPELARVRDALAGLDPPLDGSLMSGSGSAYFGLCRDLDAAERAADN LKPLGLGWVRVVTCGP OJF2_RS27360 MLRPGFTRVIIALGSLSILPVSTNALGESRLIYVSPRGDDSWSG RVPEPSPDGKDGPVVSPRRARDLARSIRRAGQGPDGVRIELRGGTYFLDAPLTITPED SGSERAPTTWAAFPGVSPVLSGGSRLTAWTRTAINDRDAWVARLPDGESPAAVRELWV DGIRMQRARWPKAGTLAVAGLGDGRKHDDWSRGVAEFAYEGADLKPWPGLPTGEAIVA NRWTESHLPLEAVDEARRVVRLGKRSVFLLEKGDRYWIENVKAHLTDPGEFFVDQAHR TVTLIPPRGIDPNAAEVVVPRLALVLRLAGRTESGRFVEHVAFRGIGFAHAEWFFDHA YVGSPALDEAESGRSIQPDASASGFPQAAVGVPGLISGRGVRSCSFEGCSVSHAGTYG IELGEGCQRNRISRCTLIDLGAGGVKFGETAIRAEAGRQASGNELTDCVIADGGRLFP SCVAVWVGQSPGNVIAHNDIHGFWYTGISIGWTWGYGPATASKNLVESNHVHHIGKPS DGVEPILSDMAGIYTLGNLEGTVIRHNVFHDIAARVYGGWGIYFDEGTTRIVAENNLV YRTTHGGFHQHYGKENTFRNNIIAFARDAQIQRTRVEDHLSFRFVDNIVYWDKGPLFS GDWSKTQAAFDGNTYWRTSDPDIRFDRRSWDEWRKTGQDRTSKIADPRFLNPAADDFR LGDGSAAALVGFVPFDIDGAGPRPR OJF2_RS27365 MIFRLRDADRRRAAGVCIYLALVSLVGCLCGQTSALCHAQHLGA GSGPGTTRPYPPCRAVVDVTQAPYLAKGDGKTDDTGALQKALNENVGRHRVIYLPAGT YLVSSTLSWPKRWEGRENWGHTMVRGQGAATTVLRLKDATFTDRDAPGAIMYCGGFGS ADWFHNYVEDLSFDVGRMNPYASALQFYSNNSGAVRRCRFLAADGSGDVGLDLGHRDM NGPLLVRNCEVAGFRVGIRAAHAVNSQTFEHIRLSGQSAVGFENEGQPISIRGLISDN AVAAIKSYGLLCLIESTLKGHGRAASVPAVVNYNGGHVFLRDVATVGYKRALADVATP DSAAALRVEGEDRPGSLGPEIAEYSSGPPTMPFPSARRSPRLPVKETPEPPSDDPASW ANVDDFGADATGGADSSSAIQKAIDSGASTVFLPGHYALTSTVILRGKVRRLAGVGGQ VDYSRRSSPDFRIVDGDAPTVTLEHLASVNGGLEIDTRRAVVLRSVSDCDIRTTGRAE GGELFLEDVVTHDLSLRRRNTWARQINVENEGTHVRNDGSDLWMLGYKTERGGTLLET RGGGRSEVLGGFSYTTTAGGLAPMFVTADSSVFAYFAEVCFNGDPFSTLIRETRAGET RVVKRAEGSTTPYIASPAER OJF2_RS27370 MRRNGSSTRRLAGFTLVELVTVIGIIAVLIALLLPAIQSSRESA RRLQCTKNLVQIGIALANYASSHRVFPPGVVNDDGPITNMPEGYHFGWAVQILPQLEK PAHFRAFDFGQSVYAEVNDTARTHVLSDFLCPSNSWPALTNYAACHHDVEAPIDRDNH GVFFLNSRVSLRDLSDGPACTILVGEIVGGESPLGWAVGTTSSLRNTGTRINESRGVN RTLLRGAAGNGKISPESIAEQIEADALPEDTVGGFSSQHGGGANFLFGDGSVRFLDEK IDPNVYRSLGHRNDGNLISDDAF OJF2_RS27375 MRRPRAFTLIELLVVISIICVLLTILIPVLLSAGEAARRVSCEN NLKELSLALQNYHTIRNVLPAGCWSNSRPVASTPDGYWLGWIPSILPKMEQSRIFDSF NFDVGTGDAANSTVAFTQIKTLICPTGERTGRGMSLGWMGPQGGPSPSVSTPGPSYYA ACHHDVEAAIDEDNRGVMFLNSRVRLDDILDGLSSTLLVGELQTPSPLGWASGTRASL RNTGHPLNAFDGQPIGRALPEGQQGASDLSATSVEAAITEGRLRVSPQFVGGFSSAHL GDGANFAFADGSVRFLRRTIERSVYERLGSRSDGEPVDESAY OJF2_RS27380 MTRGLKRTPRRSGFTLIELLVVIAIIAVLIALLLPAVQSAREAA RRAQCVNNLMQLGLALQNYESAHECLPPGVVAASGPVLDVPKGYGFGWMAQILPYFEQ RNVYNHLNFRADLYEAINVTSRTHLIRSFLCPSDNGPSRDKNRVAMTSYAGVHHDVEA PIAADNRGVLFLNSRVAYEDISDGSSSTIFVGEKLNDGLDLGWASGTRSSLRNTGLSV NRTPGSGANARRPASDSDDAEDLAKVGRPEFVGGFGSRHPGGSNFAFGDGSIRFIKSS ISPGVYRHLANRSDGEVVDSDTY OJF2_RS27385 MRAIARGARRRGMTAAAVLVCLVVLTMIAGTLLRIGVVRRDALR THEREVQAEWLARAGLERGIARLASDAKYAGETWKPSRGDLDLPGDSGGRDQPAAVVR ITIEPAGDGPGGTKGSLRRIAVQADYPPDAPRRARSSLQVSFDPGSPKTGASR OJF2_RS27390 MIIRRTGPSSRRRRGVTIIETTVMMTGLAVMLGLCAAMLEFLMR IDADSRAKMEGAAALARLNERFREDVHLASSATPEAGAGDHGPRLRLTLEPDHRVEYE AAGVAKLVRIELRKGAVVHRESFHLPSRIPPRLEIREDDGLRFAVVGIDRRTPDVASN LPRPFEVVALVGKDRGPSAPSQAAGGGGSR OJF2_RS27395 MLAELAMAAVMLMIALALVVKVVGWVGSERRGVERREWAVQEAS NLLETITARPFDAVTAEAAAKVALSQQARQVLPGVELKTMIQAEGAAGGPKSKRVSVV IRWRDRSGGWDAPVRLSTWIFAGRQRP OJF2_RS27400 MSDVWEVDEGEEPQGVPAPRRQDKPAAEQLDEELDLLPSPPPSM RIWQMMGLVALVAVALALALAIGFRALPLVIFGGFLSLIAGAIAMGVVASGKRLSRQD ALLSIMAVAAERGMPMPLTIAALADQYSGIGRRRILDLAASLHGGRSLPEALEASPGL VSKDAVLLAYVGQQTGRVPEALRMAASARASQMPAWVGVVARLTYFLAMLLVIETITG FILYYIVPRMEVIFKDFGVPLPGITLFVIGVSHLIIEYFYLLSPLLLLNILLLLYLPY SFSGWFDYDVPFFDRLLRRRHAALILRALSLSVGSKTPIEQAMGTLANHYPAWWMRRK LVAAEMEVQHGGPWIDALVRRGIVRRGEAELLQSATRVGNLAWAMREVADAGDRRTAR RLQVVIQTLFPAVVLGLGVLVFFITAAFFIPLVTLIERLAEV OJF2_RS27405 MADLTGGKGEGGRLSEDEVAGLSGHVSTLAAAGLPLGPGLTALG EELPDGRLRASLLDLGRALEGGMPLDEAMALQKRRIPAHLRGIVLGGLKSGRLGDVLG RFTGYVSIGTELRRRLWLSMAYPVFTIVLTLILLVLVDVFVVSMFESIFRDFGVPIPM MTVALIETSHVIRAVWPGLAVVGLVLAGLWLAGRLAFGGPAVRGILARLPVLGAVWRY TGWAEFCHLLALLLESRLPLPEALRLTGEGVENSGLARASDSMARDVENGRPLAATMG KQPELPPNLPRLIRWAGDHDATADLLHAAGEMYEARARSQAAFAGTVMAVLSAVIVFV GVSIGVIGLFLPLITLITKLSG OJF2_RS27410 MALVRSGLPLDRGLGRLGDDLRGGLKRIADALATRIGHGESLHE ALDAEGQAAPPLYRAVVEAGARSGNLAAALEGLTSYLRGYGEARSAVGMALWYPILVL SLAYSLFLGLVTLVVPRFVSACESLGVPVVAPLRWLSTAGQLAWIWWPAWPITLIALL VAWRRSGGAAAFQGPSRSFLRFVPWVGELCEDYEAAGFAELLALLLEHGVGYPQALQL AGDASGNPTIADGARRLSEAVARGESAREALKDPKSRAFRPMLRWTLSTGAEQGALAT ALRNLAPMYRKRGAYQAEKLRIFLPALLMLVLGGAATALYGLSLFVPLAALLRTISSP OJF2_RS27415 MGFLRSATAAAAAMLASVLAGSQASEVAAGRAVSFRNDIVPLLS SAGCNMGACHGNASGKGGFKLSLRGDDPAFDLHALTRESYGRRVSVDEPPSSLIVLKP TGQVPHEGGIRFAASSPEAKALRDWIAAGAADDEVSAPRLKAVHVEPTRAIVTAPGST VQLRVTADLDDGSVRDVTRQCAFDLSDPTLAEVSPTGLVRASRRGEVAVAVRYLKGRG VSRLAFLPDRPSFAWAGPPPREIVDERVFARLKSLRVNPSPLAPDHVFLRRAYLDTIG RLPEPEETRSFLADEATDKRPRLVESLVARPEFADFWALKWADLLRNEEKTMGDKGVW VFQRWLRDALDADTPLDELAGRIVAGRGSTWANPPASFHRTNRDPQAAAEAVAQVFLG VRLQCARCHNHPFDDWTQDDYYGLAACFSNVRRKEVNNARSDNLDKHEVVGDEIVFAR GAAFMLQPRTRERLEPKPPGGPCLGPADGAEALDRLAAWLTKGNRQFARNMANRIWFH LMGRGLVEPVDDFRASNPPSDPELVEALADELTARGMRLKPLVVLIMNSAAYQLDGRP LPGEPDDPSYYSHAAVRLLPAEVLLDAMSQVTGIPDRYKAAPSRLRAVQLPGIGAENP FLKAFGKPERLLTCECERAESTTLAQAFQMINGPALRKKLAHPENRIGRLLARKAPPE GVLEELYLAALCRRPTAAEARAILAHVGAAPAPFDGWQDAAWAVLNSKEFLLRH OJF2_RS27420 MHRGCADFQAGWCTRRALLRAGTAGMAGLGLSSILRAAAAPAGG NSLRPRAKHIILLHQFGGPSHLDTFDMKPDAPSGIRGEFRPIATPTPGMTISEHLPRF ATVLDRFAQVRSVHHKMRNHNSATYYSLTGHAPPIDDIRLRDTQELYPAYGSTVARFR PVEDPAVPSFVSFPHVLRDGSVTPGQHASFLGKAFDPFFVSQDPSKANFRLPELTLPS DMGLGRLDDRRGLQKLIDAQTDLLEWSEAAQGVDAFYSRALTMLASPRVRQAFDLSLE PDRLRDDYGRTTYGQSCLLARRLVEAGVRFVSVYYSASIGGARGGWDTHGDNFRQLKD RLLPITDQAVPTLILDLAARGLLDETLVVWMGEFGRSPRVMNTKQFGPDGRDHWPFCY TVLFAGGGTIPGAIHGSSDRIGAYPASDPVTPDDIAATLFWALGIDPSTEFHDTLNRP LPIAAGDPVTRIFA OJF2_RS27425 MSSPATWLPLAMGVAFLLSPPEARAEGPLATPTNRIIRDLKDGH LDAKVAALQQISRLGSRAVPLLADLIAALGDPEPRVRAAAARILGGLGREAMPAGTAL IAGLSDPVLEVRVAAAKSLLRVPADPEQLVPAVLAAIRERPEGLSDLAVEILSRSGPR AVPAAIDLLGDRGTGRVRLGAKVILQLGREAASASTALLEACRGAGRELRLEIAQALE ATSPSSLPLIIAALRDRDPKVRGTAARAVAFMSFRGAPAMPALLDALIDPGTCDDPAL PDHRMSVNNFEDPEIGFGYHAALVSIGPEAQKALISRLDSPRPGERAAAVRAIGAFGQ AAGPAVPKLVGFLARPGLRAQAAEALGRIGYPAHGTVPMLVTSLKMKDPELRFRAAQA LGQMGLANTPAAKEAIRGLNAAAKDPEPRIRLAAASSLAQISQSQFAEMIPLLRDPDA KVRRFALMMIANREKHDDSVIADILESMRDHDPRIRRAAAVAVNRDDMGRDRVVAALL DMLRDPDPEARTEAAINLATVDAGQSIWISPDRNYQGQAPSHALAAAPGAGKRLRAAL EDPDRRVRVAAAHALTALKREAGENVPALLLRLRTDPSGLVRAAAASALGRYGDAAAP ALPALLAALGDPTEDGQNGRLMAYAVAGAIRVIRGDDPGVINERLVGLLEDPRPRVRE IASYALLSQTPQPLLELCTRLKDPRTTREYRYTIIRHLAWHHGTFAPAARFPAQKRQD VEGPRRALEAALPVLEAVALDIEADDQLRHNAYQVAASVHPAPEARIRLLLEVFGRSR LGIPEPAILGNTPPAAVGTLIAGLDDPDRAVRTVAAYTAWAPVSSQPPDDDPAAPRNR VIAALTRALDDAEPQVRWAAATALGDLGQSRNPQQPRRIARETIRRLGVMVRDRSARL QPGDWFRSSDAGVPTGAVPTVGNQPGPKLRAVAARALGCLASGGDESVRDLIQALDDE DNLVRWYAVRALGQLGPEGRAAVGPLVAVLGGHKVDTPSRFVADPRYFAAWSLGQIGK DAGSALPALIRALSDPDPSIRNVAAMSIAAVAPGDPAALRELTRAMHDRYDSDLANSA RAGLLAMRSQSVPVFLADLDSADLNDRLAAVNCLGALGPTSKAALPRLKKLAEKADFD LKQMATNAIERIESRSLQPAQPEEEDALPADPHG OJF2_RS27430 MSRSPFCIIVLAVLTVVATRGRLAADDAEPSRLAEALSKGDAGA RLGTLSQIARFGHLAIPIVPHVARRLSDADPRVRAAAAKLLRQIGPDASAASGALLAR LDDPDRRVRVEAARALGRIPAEPSRLTRLVIDAARKDPEGLSDVAVDLLADVGTEVVP TAQALLAEKDTALILLGIKVLQRIGPAAAPAVGSLTEVGRRPERVVREQAAWALARCG PAALAPLTAALRDRDPRVRGVAARGLELMAFRAAEAIPALIDALGDPVPPDDPRPPPV DGFEEDPDFPLPRGCQAALAAIGPPALRVLVARLESNEPRERLPAMEAIGAFGPAGRG AVPALGHLLVKPGTRVEAAATLGRIGFPARAVVPRLIPAAKNRDPMLRRRALLALGQM ASGTEPPGEAHRQAMLGALADADARVRLAAVRALNHVSLIPPKELLPLLTDPDSEVRR AAVQALRAGRNEDASMVRQMQDRGDDPDRRVRKAAVVAWLWGPRPELRDMLARLDSVE PEIRGEVASRLAQIEPFELPCFPPYQPTRGDLPASLFSRVNGAGDALRARLQDPNRRV RTGVVYALSALPNEAAVTVPMLIDRLGDPAPLVRLAAATVLGRLGPAARDAVPALLAH VDDVGDGSDLMLTLPRHATSSIAAIRPEEASRAYARLIDLLVDPRPHVRRAAQWAVNS HLRPMMGMLLAVLEDPQAVPSRRRGVARFFAYSDGLLEQDGSTPIRDLRTDRLLPALR EIVFDLDEEDDERRQALARVRELTTQPEASTRLVLDAFGRARIRLPDLSSLLNPRRAL SLATLDEGLRDPDPAVRTIAAYLVGVPAEQAPGGDERRRVGDDLIALLDDPDPQVRWA AALSISLRNIEPEQAHRAIDRLRAVLRDGTTRLRPGSWYFTIQDLAERLPDEIRIAGR ADSPKLRCVAATALYEFWELGEVTSSIPELLAALKDGDPLARLSAVHTLGAFEGKAQP AVAALNGCLAEPGDFMGCPNEEGEPTEEFDGGLIRKWSAWALGEIGEPARSAVPSLIR TMDDPNPVVREAAAEALGKLAPFNVAAPTALTRALHDRFDPRRLEAAVTALAGAGSAG IAVLVGELRSDDPETCVLAANALLQATDEAATVLPALRIAADSEDFALREAADRAIKQ LKDEEKPGGPRNDDEDRLKEEPR OJF2_RS27435 MHFVPRSSPRFPTHEYVALAMTLVALTVNAGAGPPAAMDGETAR VLVRLREGDLRTRIDALQEISVLGHRAEAAVPGLIATLDDPEPRLRAGAAKVLGRLGE EAASAGDALVARLRDPDLTVRTAAAGALDRVPAAPAKLLPAILDVYRPRTEADDPASP GTAAIRRLGRADGTVVKPLIDGLRRPDAELREMVAVALGGIGEPAVDPLIAALRDPDP RVRGGAATALGKMGHRAVRAVPALVAAIESEAVGDFIEPGSDSPRWAFYAAMTEIGPP ALRALVSRLDEADLEGRSRLLPAIGSLGPRGRAAVPRIVRLLIGTGPKPEAAEALGKI GDPARHAAPMLIPAMKSPDAELRARAAMAFGRLSRSLGSPEEAFLRLRDLGLSDPSAR VRVAAIRALSWGWAGRISGLDPALEESDADVRVAFLDHLTPESTKSDRLVRRIVDRLA DRDEKVREAAVRALRREDITRPGVVSALLDMALRPEVACRTGALRALMLCRPRTYDNP DYPGFPLGMVGDEFRTSAEAVRGELIRRRGHAEKALRDALDGPSSHLRASAARLLCLL PDEDRENARILVARLTDPDPAVRIQVVAALGEVSPRPRSAFGPLLQAMKRPSERVRGI VTQAADAAEAIDPERAGLVLDRLVHLLDHPDEMTRAEAGMALSFRLPAIRPKILAALC APGVSRRQIRAACSSVPLGVGLDPLEPLPPQGLGLSIRAFALFRSVAMDFEEEDRTRE QAIDESRSLGLDLSYAGLLLDAYGRSRLPASRPELLWGLRTPGGLESLLDALRDRDPE VRTVAIYGVAMNRSLLDPDGEAAMLRGRALDELLKLLDDTDTQVRWAAAATIGAIGKR SPLRRPAIVDRLTEMVGDRGARMRPGGWIVVMEERSLGGDELAVVSGRKLRIAAAKAL EDLKRESDSSIPNLIEALGDEEPGVRIEVADTLGRFGDRANSAVGPLLEVVKAYLDGR SGQKTSPGPDDVGQDAACAAWILEILGPVARSAIPVLIRSTEDTNPRVRVSATRALGA VSLGEKQVIDALLRRLHDPWDVVVSKDAATSLGRLGVTALPHLVSELRSKDMEVRILA AGALAWMGESARPALGALRQLAADPDARTRDAVQKAINQIEDAGKGKKDAKAEDGVVP DL OJF2_RS27440 MTDNHARVARQLEDVRQGDEAPLAALLSDYRDQLPRDIRREVAT NREDLAEASWAMIAGFMADVTPRSTAMERFDLVE OJF2_RS27445 MSRLSHSATPSRRRFLANLATGAAGLAGLGSLFHTPGAFADELF RTPELTEGPFYPDHLPLDTDNDLIILGDGLTPAVGEITHLTGRLLDVRGNPLRNMTVE IWQCDANEVYHHTADLSTTKKKPDRNFQGFGRFTTGSTGEYRFRTIKPVPYPGRPAPH IHVKVKRGDREVLTTQINIAGHPGNPVDGVVRSAGGLIERELTMAEWKRVEGSKLGEW AARHDLILGRTPDEGAGTRS OJF2_RS27450 MRFPSRTIILFGVLAIGPDAMAQGPPDLREGPPETPDVFADRML SLDKDKDGKLSKAEVTDARLHRLLDRADADKDGTVTRKELTDLAAKEAGNDRRNPMDF GPGPGGPGGPGGGPGGPMGFAGGPMLLPRPGEVLPRMLRQRLKVTDEQAKLLDELQKD VDARLSKILTEEQSKMLRQMSAGPGGRGRRSGPGGFGPGGPGGPPPGGPGGPGGGPPP E OJF2_RS27455 MSHPFEAFPRFLSALKPVPSRAARSHRATPSLTALEGRIALSHF GAHAHVAAHVVAHRRPSSIPTGTTTGESSPGGTSSTQNNQLRTDLQQLQADVKAVLSA SSVTDAQRQALNGDFAALCNAGVTVDRTALQAVATTLLTDIANGSYDANATSIQSSFT AAFSGTSGAALTGDQATLVNTAYGDFVTVAKGLNATTDQLTAIANDQTAIQADLTNLG LSTTNHPGQAAQLDLILGGPAGDLGAGGFRGPHGGFGHGHGRF OJF2_RS27460 MRASRNRLAGAVFVLALAVMGCGGPGSGGGPGASATGSRARVGA VLPMFSHPFFLAQKRGLEDKAKELGLEIDVRDGQDDDVKQIAQVETLINLGCKALILC PRDEDALVPAVEAANRAGVPVIALNRRINGGNVLSYVGADDAEGGMLQGEAVAEALGP KGGKIIYLEGTEGSSPQRKRSEGLTSVLKAHPEIVIADRRFAGFQEDRAKGIMTDLVR RFAPGEIRAVVAQSDEMALPAAEVIRTEGWKDVPVFGFDGSHTAFEAVKDGRLKATVL QDPYEQGVKAMETMAAHLKGHKPDSEVITPLRLITGANVDKFRPAY OJF2_RS27465 MIDTRRRPDFTKLGLAGLALALGAGWGFRELAHAREWAVVLTSR TMTVGQVPMKVYEDQGKPVGHIGLYTEGESPGCASLVTGRFVIDPGKSPHQPHVHPDE EVLIVASGEGEIICDGKTTKVGPGSVMYSTPNVPHGINNTGAEPLTFYFMKWLPKGSD QGR OJF2_RS27470 MGGEMDGAGMEEAASSEGSTPAPGRRQVTAALMVAMMVTAMEQL VVSPAMPTIIAQLRGFEIYPWVISAYLLSSTVSTPIYGKLADLFGRKRVLLFGLAMFS AGSMLSGVSQSMGQLIAMRTVQGLGAGAVGPIVLTLLGDLFTLKERARIQGLFSAVWG LSSIGGPLIGGWLTLHLSWRWVFFVSVPFAALAIGMIVVCLHEKVERRLVAPIDWAGA GLLTAGLSALLLIVLDGSELGVGGNLVLGLLTVGLLVSFVFRELRAEDPILPMDLIVR PVIAASVLGNFLVGGILFGLETYVPLFIQGVRGGDAGQAGQALTPLFLAWAVSVAFAA RAMVRWGFRRGGMIGAVFISVGLLGLVAGAFDPAWARFAFTIALISVGTGMGLTSLSF ILAVQHAVEWGQRGVATGAAIFFRTIGGAIGVGLLGGALAWRLGRLLAAAGARGVDVA AALRPEEHHTLPPGSLALVQDALGQSLCGVYVLIAALGVGTLLCSACLPGRASATTKD AATEERELESLDGDLAAAASEV OJF2_RS27475 MAVFPSARAGEASAVERDGRVAVTWPIDGKQLGWLTLDGRSGTP LIEQLSIRGEGGSEANILEAVDPTAFVVVGERRAPAGRPPSMSEFNTFFDSPAERPFR SYRSRLEAKKVRIIRQGPRVTVAIGDLTAGSFSGEWQITVYPGSPLVHLEAVLTTNEL HRAFLYDLGLMGGASANKQRMAWTDTEGALREADLDRGQPDRPLAVRHRAIVLAGPNG SVACFPPPHQYFFPRDLTDNQQTVWYGTKHRELDDRFGFGIRQTERGGGAYVPWFNAP PGTSQRLGMFLLVARGNARDAMEQTLAFTHRDRFAELAGYRTLTSHFHMAMAMAALDR RAKGLTPIVPDSVTMFREMGVNMVHLAEFHGDGHPQDTGPRRLEELKAMFDECRRLSG DGFLMMPGEEANVHLGLPAPGRHPGHWLYLFPRPVAWIMERRPGQPFVEDHPAFGKLY RAGDRADMLRLLEAEDGLAWTAHARIKASTWTPDIFRKEDFFLSDHWLGAAWKAMPAD LSDDRLGRRGLDLLDDMANWGSRKYLLGEVDVFKLDHTHELYGHMNVNYVRLGQVPRF EDGWKPVLQALRSGEFFVTTGEVLIPDFTIAGAKSGSAVRLDPGGRLMLQASIRGTFP LSFAEVVSGDGKSVHRERIDLSSEPAFADRRLEIPLNLAGRKWARMEIWDIARNGAFT QPVWLER OJF2_RS27480 MERPTLPAKAGPVKEAKRPGPGRQPPGAGPAGRPHEEPGKIGVP RVKSYPAVASLTASVAPQILRGVLDTGKRLEPALKEALEGRKETSWSHRRVVTRAIAA LLRWWGWIEPLRLVQVEEQLALAWSLDSSEIDPTARIWAEKAGKSRDRMMAAGDAPNW TARAEALKRWVEGKPVTADPWLLFPAWLRDQLAVPPGDAPAKGRRLAFLFSLQSHWPL WVGVRGGVEKTIWNELRDAGHKPWIHRRLTSAAKLDPDTDLRGLRAFQESELAVEDLG SQLVGTIGDPDPGERWWVVHGGNGLIALNLGCRMHGKGTVVATYEKEAGRNAAAARLR RFPWRNIAAKAWDGRRLPAKAGSFDGVVVEPPSSDIGVWRRHPEVRWTVRKDDIPRLA EAQRKLLEVAAAGVKAGGSLIYTVPTVTLAETTELVAAFLQAHPEFRLEPFRHPLEES TTNGTLQVWPHLHDCEARFIARFVRANRPAKGVTKDVDEQAGMETSP OJF2_RS39520 MFGLLTAPTAVAAIGASCGLVHGWAHCHAFPFTACGARFGAVAD GYLSGLPAAVFGPALGALSAKGTRGMTLALLKAAAASSASPSRSFVPPAGADPAAESI PTG OJF2_RS27485 MADDENAAGAREATTDCEGEPIHMPGTIQPHGALLVLGGPDLVV RRASCNSAEFLGIPPEALLGRPLDGLLGPSQTAQLWMVASDPQPSRFNAVKLSLPDVV ATRPFDALFHRVNGELVVELEPTADADGEFGAYYRTVQRATVRLQSAGDVADLCEVAA EEVRRVTGFDRAMVYRFDAEWNGEVVAESHADDLPPTYLGLHFPASDIPAQARRLYET TLIRAIPDARYVPVGVVGLDDPAIGSQLDMSGCVLRSVSPMHLEYLRNMGVAATLTIS ILRDGRLWGLIACHHRRPRAIPFDRRVTCEFFGQVIAAQVAIREDGEERAYRLGASAL RPRLLEQMARSTPSVWGLIQARPGLLDLIDAGGAAVVREGECRTVGVSPGEPAILEIA EWLAREEAGVVFATDALPDRCPVVGASAEAPAGLLALELSRERRSYLMWFRPGRERVV HWAGDPNKPMLPGDPTGPARLHPRRSFDLWKQTVRGRSEPWKPREVAAAAELRGAVLG VLASEEQLQDRARRQAAVAELGQKALACDELDALYRDAVSLVASTLGVAACRLFKDRR GGPLDLVAGAGAQAGPPHPAGMQASGDRLAEYAMACGSPVVADDLDDEIRFDAVELRE AHGYSAAMAAPLAQDDRRIGVLAAYSDRPRRFHAEEVHFLVVVANLLATAVRRRKAEQ ALEHQSRHDGLTGLPNRNLLMELLRRSIADGGADRTPVALMLIDLDRFKEVNDTYGHH YGDELLRQSARRFRDAIRGEGTVARLGGDEFAVLLPGAGRQAAGRVAAAILGELARPF AMEGGELCEVGGSIGIALHPDHGGDGLTLMRRADVAMYAAKRSGGGSTFYTPELDDTL LSRATLIAQLRRAVEEGDGLDLAFQPKFDLRSRRFFGVEALIRWRHPAHNLLLPDRFI TLAEETGLITSLDRWVLRQAASQRRRWLARGVDLDIALNVSPHSLLEGDLAGDVAGLV RELGLMPAGLTIEVTEGALMRDPERAAEVLRRLRDESGIRVAIDDFGTGYSSLAYLKR LPVDEVKIDRVFVKDMVAEPRDASIVRTIIELGHNLGLAVVAEGVEHAEALDRLSAMG CDQAQGFHLGRPSPASMLVELVEGAGGAAGTSPEPAMAARPGPARVG OJF2_RS27490 MNPGSPSHKSCSALRPDPNAAATQLPILSAVPLSLPHLPASPEE VKARGWDAVDVVFVTGDAYVDHPAFAMGILGRVLEAAGFRVAILSQPDWRSVEPWRQF GRPRLFFGISAGNMDSMINHYTANKKVRNDDAYSPGGRIGLRPDRATIPYCQRAREAF PGVPVIAGGVEASLRRLGHYDYWSDTVRRSILLDSKADLVVFGMGEHQIVEVARRLAA GESVRQLRDMRGVAFAMGASETPPADALVLPSYEEIKADKLAFARATKLIHQETNPLN AKTLVQYHDRQPVVCRPPALPISQEDMDRVYGLPYTRKPHPMYRGERIPAYEVVKDSV TIMRGCFGGCTFCSITAHQGRIIQSRSQESILAELRQMGRDPKFSGVVSDIGGPTANM YQMRCTRPEVEAKCKRLSCVHPSICKLLGTDHGPLVELMKESREVPGIDKVFVASGIR MDLAQQSPEYLEELARHHVGGHLKVAPEHTDPGVLDLMKKPDASDYAGFAEAFGRASK KAGKKQFLVPYYIASHPGSDLGAMIDLAVFLKRNGYKPDQVQDFIPAPFDIATCMYYT GLDPFTGKEVYVARHLRDRKLQRALLQFFKPENYFEVRKALMEAGRQDLIGAGCDCLI PAQPPKQAIDDRRRRANEATQGDHYHAIANPAKGEPAGERGLPNGGYRPGRKSARRRG QKQR OJF2_RS27495 MSAPGPVSFTVAVPSCNGVPHIEEALRSILNQQGAAFDIVLSDD HSDDDTVERVRALAGERVRICVNAERLGLAGNWNRCVELCETPLIAIVHQDDVLGHGH VAAHVAAFDRDDRIGLVASASTIIDECGREVPPDVVERGGLGVEGRLFGPGEALSSLA CGNPLRCSAVSIRVAAFRDVGGFDPSFRYALDWDFWVRVARAWKLAWLAEPTVRVRWH RASETHRFKPGRADLDEARRMMEHVLELLADPSPLRPRCRARISRAFLNRAHDALRGG RIALARECLAEAFRLSPRILGAILADPRLAAQMTSLAVAPPLARRWFSRRPATTPETP KK OJF2_RS27500 MIDPPSNIRALQGEQTLEIHWHDGRVDRLPYRFLRAECPCATCH HEWTGERLIDPESIRQDIRLDAMNPVGNYAVQLSWSDGHSSGLYTWESLREIGGRAPA OJF2_RS27505 MNDQSIRAGRAAGSDETGPTRRFYVRAGSINAVRRALHRAPGGA KVVGRYDRETIECQHTMNSLSYGRHWPVIHSRLEKCGLRVVAAPLPIIEGDGGEERPG HHDAGSDGR OJF2_RS27510 MAQLSLFDSDADRTPEPARRLAAKLARLADRGIYFGTSSWKYEG WIGSIYARERYVERGRFSKKRFESGCLEEYGRTFPVVGGDFSFYQFPSPEYWKTLFEK APPSLRFGLKVPEEITVVKWPGHSRYGERAGKANHGFLDNSLFQEMFAEPLKAHAGRI AVLMLEFGTFSKSSFATAADFLERLETFLASASGPFRYAVEIRNADYLGPEYFAVLRR HNAAHVFNAWTRMPDLIDQVEMPGAFTADFSVARALLKRGRAYEDAVKLFQPYERVQE VNAGAREGLRRIAERAWKTKQPAYTFVNNRLEGFAPGTIEAVADTLED OJF2_RS27515 MKFTKMHGLGNDYVYVDTFRQDPPGDPASLAVILSDRHRGVGAD GLILIMPSDRADARMRMFNADGSEGEMCGNGVRCVAKYLHDHGLARKERVSVETGRGV LQLDLEVVGGKARRVRVDMAPPVLDADAIPTTLPGDPPIDVPIELGDQVLKVTAVSMG NPHAVAFVEDVVRFPLEVLGPLLENHPAFPGRVNAHVVDIVSRGEVRMRTWERGSGIT MACGTGACAVCVAGVLTGRTDRRILAHLPGGDLTLEWPEPDASVFMTGPATEVFEGDW PGSA OJF2_RS27520 MLGTNATAYDLRFRFLDIPVRIHPLFWMVTAFMGWQDHNMPFVA LWILCVLVSILVHEYGHGLMARHFGGSPSIVLYGLGGLCISPAERTPAQRLAVLFSGP GAGFVLLGLVMVITTAIWGITPYEHVAMMRYTLGLGGDEESVLRAFFRIPNVPLRLCY DFLVQINLFWGLVNLLPIYPLDGGQATQVVMSQLDRRHGARRSHIVSFVTAGVLAVGS FVYTRMMHNRDDYFLLIFFGMLALLNYQMLQAYHVAHSYGLDSSDDYWRR OJF2_RS27525 MSESPDRIALLPLRSDVVFPQTVVPLVISRPNGIRLVDDVLVSE RMVGLASQLNPEVDDPGIADLYPTVCIGSVLKMLKFPDGSTRIVCQGQSRARLLRVVQ TEPYLIGEIETLEEELDEGVEVDALVHHVNRLFQRLVDQSQQIPEELQVAAMNTHEPG RLADLLASSLPFSIEERQTLLGEVNVRSRLERLGQYLARQLAIVELSTKIQEQVGSEL SKAQRDHFLRQQIKAIQEELGEPESENPEVAELWERLKAAGPPTEVLKEAERELERLG GMHPSSAEYSIVRTYLDWLAILPWAKCSRDRLDLRRARKVLDEDHYDLEKIKERILEY LAVRKLKKDMKGPILCLAGPPGTGKTSLGKSIARALGREFVRVSLGGVHDEAEIRGHR RTYVAAMPGRIIHGLRKAGTNNPVFMLDEVDKLGADFRGDPSAALLEVLDPEQNSTFR DHYLDVDFDLSRVMFIATANMLESIPSPLLDRMEVLQLPGYSEEEKVLIAQKYIIPKQ LDAHGLTVSDLEITELGLKRIIADYTREAGLRNLEREIAAVCRKAARRRAEGRRGTVT VGPAQLAELLGPSRFYRELADRTGIPGVATGLAWTPTGGEILFIEATGMPGKGQLTLT GLLGDSMRESAQAAMSYLKSHARVLDLDASRFNKTDVHIHVPAGAVPKDGPSAGVAIA SALISLFRDLPIKEALAMTGEVTLTGRVLPVGGVREKVLAARRAGIRTLLIPRHNEKD LVELPADVKADLSFKIVDTLDDVVPRLFEGRGSRERTKPGPIKPRSRRVMADKKPTAV SRPVPPKSEMSRGGSKPRRPPNP OJF2_RS27530 MPAQPVQPVRVRLGNVSKRFHAVAALRGVDLSLVGGEIHALCGE NGAGKSTLISILGGMTRPDAGVIEIDDAEARFRAPADALAAGIAVIYQELSLVEPFTV AENLALGQEVRRGFRIDRRAIRARAAALLQELKFDLDPDAEVAGLSVGRRQQVEIARA LGRRARILILDEPTAALSRAEAGRLFEILHGLRERGLAIVYVSHHLDEVFALADRITV LRDGSRVGTWKAAELTLPDVVSHMVGEAVDVRPTSTRTISAEAPLKVVAASGRSFRDV DLELHRGEVIGLTGLAGSGYDDLTAALFGVVPFSSGEVFWKGRPFCPRHPRQARAEGV AYVPPDRRRQGLLPSRSIMENLTLAAVETLARFGWLLPGRRGELAAAWCRRFDVAAAR LSQGVLTLSGGNQQKVLLARWAAIRPSLFLLNEPTRGIDVKTREAIHRWIDELADGGC CVLLASSDAQEIVRLADRCLVFRAGRVIDDLDRDSLREQTLIAAMMGESRGSTVPPPR PTPAETRNDR OJF2_RS27535 MNPSLRPLLKRLPQLLLVLGALAVMFRTENFLTAPTLTSILTQA SIVGVLAIGQAFVLVGGGFDLSQGSMMALTAAVAGLLAQRGCPSAAVATLVLALGAVL GSVNGLMVAAVRTNPFVTTLSTLLIYRGAAFIALDGQPISNIRAFQAIDTGVNVGGTY LLLRGVLFLGLTVAAWLILRQTVFGQHVYALGGNAEAARLAGVRTSRLKVATFVLSGM AAGLATIFFLSWVRVAKPDTASGYELDSIAACVVGGVSLQGGRGSILGAAAGCLLLQA LRTQITMSGSPEEYRTFITGLVILVFAAADALARRNERD OJF2_RS27540 MLQRRLRLLEAVSLNTSTMVGIGPFITIPLLVASMNGPQAMAGW VLGAAVALADGLVWCELAAAFPGSGGTYHFYDSAYGDGRAGRLLKFLFVWQFFFSGPL EIASGAVGLAKYLGYFSPALLGTAWSWGDFLPGLPGRVAWGQVAAVGVMGLATLLAYR RIEMAGRLMVVLWVGMLATVGWVIATGLLNFDASRAFDFPPDAWSPTGANALGLGAAL AIAMYDYLGYYQVCYLGDEVAEPARTIPRSILISVVLVSLVYLTMNVSILGVVPCREV VKSDHAATDMMLRVHGSAAAGLVSAMIVWTAMASVFASLLAYSRVPYASAKAGHFFRA FAATHPRGDFPHRSLILIGGITMAACLFELETIIAALLSSRILIQFVGQIVTVFLLHA RPDAKARLPFRMPFYPIPAVIALLGWLFVFGTTDRLVLAYGVGSLLAGLVAFAIWDRT AGRGDAPP OJF2_RS27545 MPQRETELTPRQIVSELDRDIVGQADAKKAVAVALRNRWRRRQL SDELRAQVTPKNIMLIGPTGVGKTEIARRLAILVGAPFVKTEATKYTEVGYYGRDVES LIRDLVEAAIVLVRNSEREAVQEQAKARVETRLLDLLLPPPKPVMGWGHENQEGSAGE AGDRFQRSRDKLRQRLEAGELEDREVEVTLPAKNVAPISILGAGNMEQMEMDLQGMFE KIMPKSSQSRRLTVREARPILLQQEVDQLIDAEKINQAAVALAQESGIVFIDELDKIA GDEGASRGPDVSRQGVQRDLLPIVEGTSVNTKYGPVKTDHVLFVAAGAFHRSKPSDLM PELQGRFPIRVEMHDLTRDDFARILREPRASLLRQYEALLGAEGLTLEFTEEAIEVMA DLAYQVNRTTQNIGARRLHTILERILEEISFDAPDREEKRVVVDADLVRGRLEELAKD EDLSRYIL OJF2_RS27550 MDWHATTILSVRRGGKVAMGGDGQVTLGTQVMKADAQKVRKLLD GQVIVGFAGSAADGFALLERFEAKLKDFPNNVPRAAIELAKAWRTDRALRRLEAVLLV VDARHSLMLSGSGDVIQPTDGILATGSGGGYALASARALLKHTGLSAADVVRESLAIA GGIDIYTNTSLTVEELESLT OJF2_RS27555 MTPRRALVLLIVISAGVRLLAAGLLGLGNDEAYHFLYAAHPALS YYDHPPMLAWTEQLGLFLTGETYSPLALRLGFIAMFAGSTWLMARIAARWHGPWAGFF AAMALNLTAYYGLAASTFALPDGPLLFFWLLTLDRLSTAIDDDRRTIPWLGVGLTWGC AMLSKYHAIFLPAGAVLYLALTPSKRRLLLRPGPYVAIVVGLLVFSPVLVWNATHGWA SFVFQGGRAVGGITPRPDCLAIALLAQSGYLLPWIWLPLMAVLVRDLRRWWRLENQGE RLALALAVLPFAAFTMVACFRPVLPHWGLIGLVSLFPALGREWAEHWSKTPARVRRSL CMAAGFSVVLLGLTLVEYRTGMLQRVEGSRWGLFKAQADPTGDLYGWDQVAAGLEKLG ALSDPDAFLVTRYWYQSAQVAHAINLRRPVLCYNIDDPRGFAFWSKPNEFVGRDAVLL AINDEMIPLPFYQRWFTETSSLGEFTVERMGKPLRKVRAYRLRNQRAAFPYTFSPERI AAREMLRAGRHPMDATSLSAGRMPAVPVIRR OJF2_RS27560 MGKKLYVGNLPYSVTSSDLESWFNQFGTVQSAQVIQDRDTGRSK GFGFVEMDTDAEAQAAIQGLHDQEYDGRRLTVNEAKPREPRPGGGGGGGYGGGGGGGR GGYGGGGGGGRGGYGGGGGGGRGGYGGGGGRY OJF2_RS27565 MTDPVDKSDRRVRRMFASIARRYDFLNHLLSMNIDRSWRAFTTR TVPPQAGVPVLDCCTGTADLAIAYDRAAKGSAPIVGTDFCREMLVVGRQKLTKKGLDE RVTLVEGDTQRLPVPSNTFGVVCVAFGLRNVRDTARGIDEMIRAARPGGKVAILEFSR PRGRILGGLYMTFFRQVLPRVGQTVAPNQDDAYHYLPSSVLAFPDGQDLLDLLGSRGL VDLTMHPLTFGIATLYVGTKPPSGVADLT OJF2_RS27570 MFPSLAWSLACLACLGKTLDPDGLAENRARTAAGVVEGTSAGQG VRVFKGIPFAEPPVGPLRWKAPRPLKAWPGVRKARIFGPSPQQATSMALMMGVMTRLD EDCLYLNVWTPAKSQADRLPVMVWIYGGAFSMGSTATPLYDGTNLAKRGVVVVSVAYR VGVFGFLAHPELTREGEGTNFGLRDQIAGLRWVRDNIAAFGGDPSRVTIFGESAGGIS VSMLSASPRARGLFDRAISQSGGSFAPPKFADEGGQHVPPLRVAEAQGVKYLQSVGAK DIAAARDLPAKELMKASAFWWPTFDGDVLLGDQHEPYRQGKFNDTPILVGTNSDEGAL FIRDGITADRLVAQFRGAFGQHAESLLKAYPHGTPAEALSAARNIFRDSVFAWHTWTW ARLQAEKGRNPAYLYYFDHRMPLSPGGATHGAEIGFVFGNPGLFHAPNRPEDAKLSEL MGRYWVNFAATGNPNGAELPEWPAYTTASPRVMVLSAGAEVKDVPNLGALKALDAYYS WRRERARAEN OJF2_RS27575 MEENPPVNSPSRVAVITGASSGLGAAIARELATTGRVSAIALVA RRRDRMEGLASELTASRRGRPVEVEIIEADLAFDGAPAEVAGRAIARFGGVDLLVNNA GLGLPTLFADAEPDQIRRQLAVNLGAPLLLTRHLLPSLVPRQGTIINIGSAITCVANS GLGAYGATKAALAYWNDALRRELGSLGVTVCLVEPGPIRTEFSAAFGRLTRPGDRPHP VVTTPQGWMMADEADVARRVVRLVDRPRRRLSVLRRMVWPFRVMGAAFRAFPALGDLA VSRGFHVDHSIKPLVYNGGDGKPAGDAAAP OJF2_RS27580 MSIAAARDYLDLVKFSHTVFALPFALFGAALAARTPEGWRGRPQ DWLGILLCMAAARSAAMAFNRLADRHYDGLNPRTAGRHLPAGKLSVRAVTLFTFLCCA AFVASTLLFLPNRWPLVLSVPVLAWLLAYSYTKRFTSLAHFWLGASLSMAPLAAWIAL RGDLEWPPAWLAAAVLCWVSGFDILYACQDVDFDREMGLNSVPRRLGVPGALRLAAAC HALMIAALVALGLSYPMGAFYYGGVALAALLLIYEHALVRPEDLTRVNLAFFHVNAVI SMGLLAVGVADLWIHITPG OJF2_RS27585 MPTEASRLGAIREKVEAGRRLTVEDGLALEASADLFAIGEMANL VRERKNGNLAFYNVNTHINPTNVCVYTCDFCAFRADLDDPRGYVMDRSQIVERARQAS ERGATELHIVGGLHHKLPFSYYVDVVRWIREAAPEIHVKAYTAVEIEFFSKIARKPVE QIMRELIDAGLGSLPGGGAEIFHPEVREKICGAKASTERWLDVHRTAHRLGLHSNATM LYGHIDGPIHRIDHLVRLRELQDETGGFQTFIPLAFHPENSEMDDIPKPSGVMDLKTM AISRLMLDNFPHIKAYWVMLGLKTAQVALSFGADDIDGTVVHEKIYHEAGAETPQEIT TAEIRRLIQEAGRVPIERDTLYREITRDGRSWQAGRKIDPSRLLQIVPA OJF2_RS27590 MSFRGVFIAVVLSTALIVSAFVLQMKRPRIEVDRATPALVKASG KCADCHRHETSAIVHEFDMSKHNAAGVNCLDCHQPSKGQEPFDHKGFTITKKLSAANC IGCHPDQYRQYLESRHAAPSWAAVAGKEDFTAEQVAFAEKLHKGAVDRPPHELVKVEG MAAVNKGCRQCHDIGKPNKVDGTIGNCTACHARHVSSVALARLPETCGQCHMGPDHSQ LEIYHESKHGVLFNAQRNRMNLDAPPSKLTTADMPVPTCATCHMSGLEGTETDKVRTT HNPSERLSYFLFAAVSDKRPHAEEGERNMRQVCTKCHTNPRILAFYKDAQRVVLSTNK IVNEAKAVVDGLRKDKLLTETPFDEAIEYVYFDLWHYGGRTAKHGAYMGGADFVQWHG YYEIVSKLAELKHQAEDIRKGHKPLPAGAKSEAAKAAGLDAITPALPAGGVHATAAPR OJF2_RS27595 MRRLLLADALLANPLLWVELFLLSNVAFIGVDIVLAHATNNFEH QTEWIPVAFSIGGTVVLLLGMVLGGILPAVPGVPDAVPIAPQKQVARWLGLLVGLGSV VVGVAGLIFHLEGDFFQEQPLKNLVYTAPFIAPLAYAGIGMVIILDRMVDSRTMEWAR WLLLLAAGGFLGNFVLSLADHAQNGFFYPTEWVGVVAGAIAASFLIAAVIVPESRSLL VMNAAIMVIQVVVGIMGFLLHLNGNLHAPGATLRDRFIFGAPVFAPLLFADLAGLGLL GLWAQYRVLERPRETGRVVC OJF2_RS27600 MAGRDWLLIQELLERGEEEFVDRLRGFHDAEALAGFAERWYSNA STRSRELLLAYLDRPLNAYRHEPLVKRLFKLAEKARDDEVMARFLVAFDRSVRRVEMD RVRNRYRSFRTEEEFERQKAAWQDEGADHLYGGISARTAYQPDVYQLQGVWREHVLAV PAGGTMPRAEWGRAAVHRGKLDRFRLFSVSTRRYLRRRAWRYFRRIGKTEWSRYVAGI SGALAIYEDADVNDGLALLDNWGLVHALFHHSDVLQANPRGWLPREGRSLSELKPAPA FPEAWENESGRLLDLLRQARCRPVRRWAMGMLDRNPDAVLAQGLEALIGFLDSLDANV IAWAAGILERSGAAAGLEPAQWLALARKAGPDALPVLAGLLRRFLEPSRLTTDEAAEL ASSHSLPVARYGYDALNARAITPGDIPALLPVLDAACGPLRPELLDWLGTTLRALGYD VDRILLLLDSRHADARAAGLAWFRSAPEAGNDIELWRRLAESPHDDVRLALAQVLDRH AAEGGVDGRATDISRALDADRLRFLWAAILLNIHRGGRAKPGVVEQVATRLGRHPGDA PQLLPLLAIALRSLRTTERNAALVAVVKLTEKSPAAAAAVEAAFPELKWG OJF2_RS27605 MKLTLAYRGQSRVATSPAGLAVALAPNLRRDRVSFDANLREPVL FREYAGALHDVVVSDLRYKPRDKAAYRGFAAERARREASIRQSAIKQARSAIEGNLPE LLEPMPPGLERRFQGLRAKYWKARQQYSDYLCAHDPELWRLLVPCDPVVTVADDCLFF EGFSADESSYGCLTIDRHAFADERDVARGTTNVDYSSSLYEHFNAMRSYRQTRFLVDP TGFEVRNEGAADYREEKIDLPPSWLRGFLQLQSAMTLPSRRVPIGREGLYNILAYLKR HHASKSPRALRFELEPGRPPAVTLEPWMIRVQAGATPYEGLNAETIRVWGRDRLRLLA RLLPVMDAAEVHLLGTGLPSFWSLRAGPLRFVLGLSGWTANDWSGGSAIDQIAPPVEP RSETIIGLARAFQLEPAVSLDRLRQLTGASAGEVVAGLNRLAMLGQVMHDLTAKVYRW RQVMPVTLSAEVVGPEHPETEAARQFVAGRLVKLKRNELRGDGLRVLEGKAPGAECSL VLDLDGKILRGKCSCSYFFTGGLRRGPCRHLQALRAAATAASSPRTTNDAWLAALGER OJF2_RS27610 MNVFRRLFGWLLGRRLKPAPSLPASRPAAPGSPQSPMTVAPTPA EVVAKKGRSYGLDAGDYLPISREEIKEAARGRSLFANAWFGRRDRIPPADDPRTATID RAMATQGFLSPEELAEIHAVGEEMERLRPTAEHVGHQAALAGVAAVEADRAERARIKA QRKAEAAERKRLRAEAIALRRREDIVFLGRKVSARLGERASRVDDLQRAGLPVLSTPA ELALAMRVSIPRLRWLAFHAEVATRVHYVQFRVPKKSGGERVLSAPRSSLRRVQRWIL DQVLKNIPAGPHAHGFVAGRSILTNATPHAGKAVVVNLDLEDFFPSIGFPRVRKVFEQ AGYSGAVSTILALLCTECPRKTVTYQGETLHVATGPRGLPQGACTSPVLSNHVAIRLD RRLAGVATKLGFAYTRYADDLTFSGPSEVDAKVGYLLAKVRHIAQEEGFAINAKKTRV LRRNARQEVTGLVVNDRPGVRRDEIRRLRAILHRARTEGLASQNREGRPDFRAWLLGK IAFVRMARPEVGERLLAEFHEIDRGRSA OJF2_RS27615 MSDREPDFDLRELERAEVALEVCDRLRRGHEVRPEDFPGQEEAL RGLLPTLRLMSGLPAPESIPPAIGRLGDFRLVREVSRGGMGVVYEAIQESLGRRVALK VLPQAAALDDRQLRRFRVESQAAASLNHPNIVPVFATGSAEGSHYYAMRFIDGRDLAR VIRSLRRDDPDETELLPATPRPEALPLSARGPGFVREAARLAKQAAEALDHAHAADIL HRDIKPSNLIVDDGGTLWVADFGLARFRGGLDLTATGEAPGTPRYMSPEQALGRRAPL DGRADIYSLGVTFYELLTLRPAFPGTDRVELLRRIAHEQPVRPSRIDATIPADLETII LKAMEKAPEHRYATAAELADDLGRFLEGRPILARRPGLAERVSRWLWRHRAMTAAAAG GLALALAGVGLAGLRYTTVLRAEVERADRNAEAAERHRYLADRHYVAAQLRLAQQAVE ARDFETAQDLLDEIGPGSGYGASAEFAFRLLDHLATRELLHLPDGDGENLLLSASLDG RTAASHHGPGSITVWDIPARRLRFRIEELGYRNRGPRISSDGRLLVATRWRDEVGARP EIAVWDASTGGPFATRHVPDAPPADRVRDWVRLLAGDRLIALEWVDDHDRVSVRIWKL EPGLAAAPPLVSMDGLAGFVGASDAACMATVEGGRLKIREAETGTMVREYADAVHGHE HVLSADGRILATSVDGTGILLRGALEAREPSRLAFAEPLHGLAFDPTCERLAAVTAGE VVHVWDLRDGRRRSLEPHAPGARRGPVQLTFSTGGGLLVTYPRGRNEARLPTRVWDVE TGALKGELPCWGEDTPSRCVFLPGDRSMLAGVGMAPRIWNFEPEPESPQPAGHADEAW AAAYSPDGRLLATGSNDTDERRTIKLWEPETGREVLGWHGGEGTVSSIAFSPDGRQVA TGHLASAGNLRIWDAATGRLVKAIDGHPDRLRSVAFSPDGRTVAAAGGLSAEKGRDWT IRLFDPGTGARVRELPGHSDTVRSVAFTPDGRRLISTGNDRLAHLWDIESGSVLATAR GAFSFAGLAVSPDGRFVAIADEAGAVTVRDTETLAARVTFRPTRDLLLNLAYSHDGRS IASCGRSGVIRVWDAATGQEMLVLDGQKARVNAVAFAPDGSSLAACSHDGRVRLWRAG QGRLGAGSAE OJF2_RS27620 MESTTPNDPAESERLLVAAAGGDQGALRCLLEMHRGRLRRMVAL RLDSRVAARVDASDVVQEAMFDAARKLADYERNRPLAFYPWLHRLTADRLADVHRLHR RQGRDVAREECAEADASSWLLADRLVAADTTPGMAMVREEQHRRVRSALAELAPRDRE VLVMRHIEDLSVGEIAAILDISESAVKMRHLRAIERIREVLERYSPGSSS OJF2_RS27625 MSTPKEPNVASDVETLPFLSTLRWDADGLIPAIVCDARTGEVLM MAWMNEDALRKTVELGVTHFYSRSRKALWRKGETSGHSQAVESIRVDCDADVLLVTVR QAGAACHEGYRTCFFRVVNGRGELDVTEAPVFDAAEVYGEAAVARGHALPGSEQGRPS A OJF2_RS27630 MRKPRLMTPGPAMVPEDVLLELARPVIHHRSAEAKDVIVEVTAG LKEVFCTKNDVMILTSSGTGAMEATAVNTVPPGGKALVLNAGYFAARWASICKAYGIN AVTLDTEWGQPVDPDAVANALRQNPDTACVMGTLSETSTGTGHPVEAIGRVVADSPAV FAVDGISGVGAMECRTDEWGIDLLCVGSQKALMLPPGLAFVAVSPKAWEKIDAFNAHS FYFNLKAARKKAADFDTPYTPAHTLILALRAALRRLREEGMEAVWERHRRMSEACQAG VVALGLELFSSRPAEGLTAFRVPAGMKDSDIRNKLAERFGITTVGGQDKLKGKIVRIG HMGYTDEMDVIGTLAALEMTLAELGRDVEPGRAVTAAQQVLIGARSAAGVG OJF2_RS27635 MSHRVLVTDKLAEEGLAILKAEPGVEVVVDTKLAKDPAALKEAL READGIAIRSGTQLTADVLEGQPRLKAIVRAGVGVDNIDVPAATRQGIVVMNTPGGNT TSTAEHTMALMLSLARNVPRANDSLKSGKWDRNAFTGSELEGKTLGVVGLGRVGLAVA RRAQGFGMTVIGYDPLLSAEKAQEHGIESLRLEEIWPRADFITVHTPLTPETRDIIGA KALAAMKPTVRIINCARGGLVDEAALVEALNAGKVAGAAIDVFDPEPPPGDLPVIKHP KVVVTPHLGASTEEAQVSVAVEAAQLLCNFLKRGQVKFAVNMPALDRAELQDVKLYLD MAWRLGMLHAQMDRGSIRNARLIYRGEVAAKNTKLITASFAAGLMESSLDEPVNLVNA MSLARSRGIEIEESSAEAPGDFGTMVQTEVTTERKTYVAAGTLFGKQFIRLVRLGSYL LDAHMDGTLLVFTHHDRPGLIGFIGRTMGDHGVNIAQMNVGREAPGGEAIGVVNLDSV PPPEALSALGENPNVLSSSVIKLPERGALPPWLQL OJF2_RS27640 MDLRQIMPTRHALTLYDEFKAFAFKGNVIDLAVGVIIGGAFSNI TKSLVDNVIMPILSVIHPGGRHYEHWAIVLRGQEIRYGRFLGDVVNFLVVSAALFFFI VKLLGWIMREKKIEEAAPPPPTREQEILMEIRDLLKQGVDRSRANAVDPDAPASP OJF2_RS27645 MPISAQELVLRLAISILLGGAIGLERELREQAAGLRTHLLVALS SATIMILSTQFVFYQHYEAGSLVHVDVSRIASNVVVGIGFLGGGAILHDGIRTKGLTT AASLWLVATIGMCCGAGMFTLGLLTSGGSIFALVVLRKVEERIKKEIYLRLQVEADGG GIAGRARIEQALTVLGARVVDTEYVLDRSSSRSSFTIMVRLPRRDLEDAAVTALQDIP ETRRVTVTRVTDLGVRS OJF2_RS27650 MATVTKPRKKAAHSHQTKLLIDGTFRDSQSGKTFATVNPATEEV IAQVAEGNAADIDLAVKAARKAFDEGLWRKMDARDRGRLLGKLADLVEANIDELAELE TLDNGKPIAESRNGDLPLVVDCLRYYAGWADKIHGQTIPIRGNYFCYSRKEPVGVVGQ IIPWNFPMLMVAWKWGPALAAGCTIVLKPAEQTPLTALRMGELAMEAGFPAGVINIVP GFGETAGAPLVAHKGVDKIAFTGETSTGKLIMKNAADSMKRVTLELGGKSPNIVFADA DLDAAVEGAMLGLFLNQGQCCCAGSRLLVQDKVYDQMVERLADRAGKRTLGDPFDSKT EQGPQIDEAQFNKILHYIGKGKEQGARCVTGGERFGSKGYFIKPTVFADVKDDMAIAT DEIFGPVMQVLKFKDMDEVLHRANSTDYGLAAAVWTRDIARAHAVAQHIRAGTVWVNC YDVFDAAAPFGGFKTSGIGRELGEKALDNYLEHKTVTVSLG OJF2_RS27655 MPDTDRVESLYPPVPSGITAPLRIGGVPIGSRFFLAPMAGYTSL AFRMAVRALGGLGHATTDLVNARSLLEKTRRALELAETCDEDRPLSVQLYGHVVDEME RAARWVEAQGATAVDINMGCPVRKVVRTGGGSALMNEADRATELVASMVRAVKIPVTV KMRLGWDDESLTAPLLARRFEEAGAAAVIIHGRTRQQGFGGKVNRAGIRAVVEAVKSM PVVANGDVRTIADAAGMFVETGCAAISIGRGALANPFFFRQLDAWVRTGHPEAEPSFD ERVDFMAEHFHGLLERRGEFYACLQFRKILKWYYHFTRMPKPFYLRLINLSSSVRFDE TVAMIREAGPSGALPGHFEAHIPVPSGPIDKW OJF2_RS27660 MALYASGPPGSTSVQADAEHWILTTEAGRDVLQAVSCGRITPAE VDRLRKRAPAEAVAAAIRIATAREKGRAKFADADRLWLDPVGLEQATSEAVARHKARR FGGVLAVDLCSGLGGDALALAARGPVLAVDLSHDRCRRLAWNAEQLGISERILVCRSR AESFSIPAGAWVHVDPDRRAAGVGRARKVAGYVPSLDFLHGLSETAKAGAIKLGPASD FAAAFPAQDVEIELISLDGECKEATVWYGEARTCRLRATRLPENVTWTDRDGSPDITL RVPVNEVATFVFDPDPSLSRSGLLDGFAEAHGLARIAEGVDYLTADRVVDSPFLAAFE VRSVHPMDLKRLKGVVAREGLGPLEIKVRGIDLTPESLRARLRPPGPSPATLIVAGGP GKARAVLARRLPRAAPC OJF2_RS27665 MEIDEYQSLVYDCGSSHERTRLMDELYVVGAGGIGCAVAYALIA AGIAPAIVESDPRKIAAGAKGHLRVAGSLPRPARFVAFGAWQPSARSTILLCTKCYDN AQVLARVPAGATLVPIQNGFDPGLTTLSHEFEGVASFVSECDRDRPWTRITRPGDLHL GRRQSTGRRETRPQVFDALRRSGLFRTIEVRDIEPFKHAKLMYNAAISPIAATGGVDN GRLLSDPSARRLFFDLLGENFRILSAAGIELGRVGPLRPETVARILRRRWLATAMGRF FEPSLRGTYCSMAGDIERGRTEVENYNGHLIRLARSAGVPCPLNEAAFDLVRSMTARG QRPSTAAWRLLAAA OJF2_RS27670 MPESPLVRKEIDALNGLEALIAARSKEESETEQVFQRRREREEQ EYRASAQKRTSRYKAERSELEAQYNKARDGIGKAADRETQAIKAEYEQALAAIESRLK NERARAKKAMEESGWQALAMYEAARDGAKKTRKADEEALAQSRADFEAVQGAAIPILD RYRKLAGPEPPAATPPASASGIAAGGPSTAPGAADVNATDPGESTKLTTLQDAIKAAD EELLTVEKMGLASFLRPQNFFWPFLLLGILAGVGLGLQAGWIVGGVVGVAAALVAGIG SYIGLKKVVRPRLARHYFALQHAVDDAGRLLEQTKEWVKNDYERKMREAEENRERDVN KSRATAQQRSQDAEARYQREKADADTSFPARLDAVAAKRDADMKAADGHYPPRLKAMD EAYEADSAQLQDAFRKAKAETTRLYEEAWANLVRKWSDGLAAAGRLAGEVNEESKRRF MDWEKVPVAEWTPPKEVPPALPFGRFTIDLSDFPRGVPTDPRLKDAGPTHLELPAFIP FPIRSSVLIKAPDSGKYESVRLLQALMLRFLTSVPPGKVRFTIFDPVGLGENFAAFMH LADYHELLVTSRIWTETPHIEQRLTDLQQHMENVIQKYLRNEFETIEEYNAYAGEVAE PFRVLVAANFPAAFNESAARRLASIVSTGARCGVYALISMDPRAQVPAGCNMKEVEAN CVNVSWKDGKLGWRDSNFGRFPLQLDAPPDPGTFSQILHVVGEKARDANRVEVPFDFI APPPEAYWASDSRGGVDVPLGRAGATKLQSMALGKGTSQHALIAGKTGSGKSTLLHAL ITNAALRYSPDDVELYLIDFKKGVEFKVYASLELPHAKVIAVESEREFGLSVLQRLDL ELKRRGDLFRDTGVQDIRGFRDARSDIPMPRILFIVDEFQEFFVEDDKISQEVSLLLD RLVRQGRAFGMHVILGSQTLGGAYSLARATLGQMAVRVALQCSEADAHLILSEDNTAA RLLTRPGEAIYNDANGLIEGNNLFQVVWLSDDQREAYLEQIQRLAKARHRRPATPIVF EGNLPAIASKNPLLNPLLDAATWPEPPRYESAWLGDAIAIKDPTAVVFRPQSGSNLLI IGQADEAALAMFLMAAVSIAAQHPPRRKDGIKFYLLDGSPVDSSLSGRLGKLAQLLPH DAKNVSARELPAVMGEVAAEIERRRDVDAEGLSPIYLFIYDIQRFRDLRKGDDDYGFS SSFGEDKSASPSKSFGTILKDGPAVGVHTVVWCDSVNNLNRTFDRTGLREFELRVLFQ MSANDSSSLIDSPAAGKLGPNRALFFSEEENKLEKFRPYGLPDPTWLDQVTEQFRSRP VPAESAAGVDGDRVAHGEGNGRPEDHPSAASMTEEVGPTPGA OJF2_RS27675 MSAHSARLQHALKDLREKWDITKESWADQVARDFEKNHLEPIDH LVRSTIVGMDKLSEALGKIRRQCQEND OJF2_RS27680 MADSAKVLSVQALKDFRVSLINFVEEARNALGGVDMELKRMRDW LERDQLGYWQMQVKRRHEKMMEARTELHRRKLSQQGSDAVSDTEQKENLREAQRKLRV AEEKVEIVKKLIPFFQHAAAEYVSHATPLADHLSGGVDRSLSTLERMVLSLEAYLATQ APSTPRLDDHGGSSSPNAGAARPAGADASPEGAAGAGAGEADEVAANSGAGVVRTDGS AALSGGGAATSAPGDRP OJF2_RS27685 MAQAIVNPDEIRRFAARLKQFNNDLMNQLGVLHGQVSGLGQSWR DREHDKFVEEFEQTMQVMKRFVDTTNQHIPFLLRKADRADEYLQQR OJF2_RS27690 MPAATASGPDDVPPASADFREAASIALPHRGQGPNMQTLYLLRH GIAVPHGTPGIADDDRPLTSKGEHRTRQVGRGLSACGLAIDRIVSSPLPRALRTAELV AHELGLPQQVEVNEALTAGRSAQEIRDWLRDRSEEHLLLVGHNPAISELVGLLIVGEP HRLSFELKKAAVAAIFRGPDPASRYELLWTAPPRLLRRLGR OJF2_RS27695 MKTCVRAGLVLLVAGVPSLAIAQQQATPAKPLKVLLTYGGHEFQ EKEFFAMWDALPGVTYMKAPLPDSARLLKPGLEKEYDAIVCYDMNNKIAPEQQQAFLS LLDRGIGVVLLHHNLAAEPDWTEYAEVRGGRWLGGKATIDGKSYGPSTYDHDQQIPIR VVDKDHPITRGLEDFVINDEAYGNFYVSPKCHVLLAANHARDTGPFAWTNEFGKSRIV YFQAGHDAKAWACPSYKEILLRSIRWSTRRS OJF2_RS27700 MNPGPRGLPASEVLNIWTKIVCRVVDYGFGVEYGRLEPPRKGIF DGLKLTIEPSVDLEMQCFLLLHLFGHSVQWVAPSYRPEILGLDLDPLDDFLVALRAYE GNAARFGLQVLHEAGITHLDAWFADFAETDWKYVERYYREGAIPAWEDCIVRNARPIQ PLAVPPLEPRLVDKRFAF OJF2_RS27705 MNRQRVIPLVRILLVSSALLAGVSTARAQGDSRPFNGLDTHLGN LSRLSDAKSRSISPENFRGEKGKAGMATEGTGKNASRELGQTWKVSPSVRIPAKSTFT IADIDGPGAIQQIWMTPAPIDKTRQYILRFYWDGEDEPSVEVPMADFFCCGWGKYCQI NSLPVCVNPGSAFNSYWSMPFRKKAKITMENLDAKDMVLYYQVNYTLTEVPADAAYFH AQFRRIHKLEPKTNYTILEGVKGKGHYVGTYLAWEVRSPGWWGEGEIKFFMDGDSQFP TICGTGTEDYFCGSYNFENQESHKYQTFSTPYTGLPQVLPPDIIYVPGQRFGLYRWHI ADPIRFEQELKVTIQALGWQSGGRYLPLEDDIASVAFWYQTEPHGKFPPLPDSEGLSV KPQVVAKPDAAKK OJF2_RS27710 MKWSSDGFRDALERALDAVAEPFLVLEPAEGREPGAECVRPGTP FGPSRVEEDSSRIRAFVPAMPLDSLGDPSFREAHGTRYAFMAGAMANGIASVELVEAM ARGGMLGIFGAAGLTIDQVDRAIDRLSGSLGESQPFGFNLIHSPHEPALEEAVVDLYL RRKVRLVEASAFLGLTLPVVRYRVAGIHADASGRIVAANRIIAKVSRVEVAARFLSPP PEKMLAELVSRGEINAEQAAMASRLPLADDLTAEADSGGHTDNRPAIVLIPTMIALRD RIASERGYARPPRVGAAGGISTPWSAAAALAMGAAYLVTGSVNQACQEAGTSDAVRRM LAEAQQADIAMAPAADMFEMGVKVQVLKRGTMFPMRAAKLYEIYRNHSGLDDIPPAER AVLEKTIFRLPLDEVWEQTKAFFRRRDPAQAERGERESKHRMALVFRWYLGQSSRWAN AGEPSRTVDYQVWCGPAMAAFNDWVRGSFLEAPEARRAVIVARSILHGAAVLGRARAL EAQGVRLPAGLPRLAPRARGDIE OJF2_RS27715 MAIIGMGCLFPKADDLEHFWSNIRGRLDAITEVPPTHWRPEDYW DADPKAADRTYARRGGFLTPVDFPLLDFGMNPHALEATDTTQLLGLLVARRALEDAGY GAGRPLDRDRVSVILGVTGTLELVIPLGARLGHPIWRRALRDAGVDEATAGEVVRRIS GSYPDWQENSFPGLLGNVAAGRIANKLDLGGTNCVVDAACASSLGAVNLALLELASGR CDVALSGGLDTFNDIFMYMCFSKTPALSPTGDARPFDAASDGTILGEGLGILVLKRLE DARRDGDRIYAVIRSMGTSSDGKGQAVYAPSAAGQVKALKQAYELAGISPASIELVEA HGTGTKVGDAIELEALEQVFRGARDTGSWCALGSVKSQVGHTKAAAGAAGLIKAAMAL HRKVLPPTIKVKQPIGPLASGSSPFYLNAEPRPWLAAKDGEPRRAAVSAFGFGGSNYH CVLEEADPAPADIDWGGDVQILAYSAGDAGSLVAGLPRWSGDVPWGEVRAEGARSRGS FRVEDPHRVVLVATRGGTSPSRLVEVAATGLNERGRRSASSLVPSREVYYGRGPAPGG IAVLFPGQGAQYVGMFRDLACRFPNMQDSLALWDRMSGGVGPRIADAIYPPSAFHDDV RRAQQEALRDTRLAQPAIGAVSAGLLHILQDFGLSPSFAAGHSFGELTALHASGRIDM EALAKLSWRRGALMADCADQSDPGSMLAVLAPAEEVAGLIRRHGLDVVIANRNAPRQC VLSGSGTEIDRAARLCDEARLTARRLAVSAAFHSGFVARAEGVFREDLASVPFTPATM PVFANSTGRPYPDGAEESRELLAGQLSRPVEFVELIRAMHREGARAFLEVGPDAKLTG LVRMILEEEDHLAIATDQGRGDGPHGNLVDLAAALANLAAIGYPLTLTAWDGGYEPPA SSTSRRGPTVKVCGANPMPRIDTPAPMSRTIPAAPAPKPLPTPEAKAPEPIMQPQSPH PSPGTNGHAGADLPFGRPVPAPRAASTGPAGMPVAALPAALPGTQPVASSLAEAIRQT QDSLIGLQRLAEQTATLHRQFLEGQAESQKAFQSLFEHQQRLTLAALHGVPAAPPADR STEPASVPVVAERFAREAAPPAIKPAPTVRPLPSSNGHRADVLPAPSIAATVVPDPVL APPVSAGIAGVLVEVVSEKTGYPAEMLELTMQLDADLGIDSIKRVEILSALQDRLPGS PAVGPEHLGELRTLGQIAEFLGEAGGESAGPPAKPSPTAQPVAAAGVAAVLVEVVSEK TGYPAEMLDLTMQLDADLGIDSIKRVEILSALQDRLPGSPAVGPEHLGELRTLGQIVE FLGGADGESAGPPAKPSPTAQPVAAAGVAGVLVEVVSEKTGYPAEMLELTMQLDADLG IDSIKRVEILSALQDRLPGSPAVGPEHLGELRTLGQIAEFLGGAGGESAGPPPGPAPT AQPAINPTHAAPPVHEAALDLLAPRAVPLGDMARETANLSDGAEIWVTDDGSYLASSL AAGLSERGFAARVVRVDGGGIADVQPELGGLVVLSPAAGATPDFIRNAFRLIQQAGPG LRAAAVRGGAVLVGVTRLDGEFALGGLDAGGDPGSGALAGLVKTAQSEWPEVSCKVID LGEGASLRDDPTGRLIEEFLRRGPLEVGLGAAGTSRIDLVPLEEKPPRGGSTAVNPGD VVVISGGARGITAEVAVELASSLRPRIVLLGRTPEPEPEPEWLASCHTEEDVRRSLRS HSSRDGTPQALASKARRLLAGREVRATLERIRAAGSEVRYHRVDVRDRAAVAECLRRI QAEWGPVRGLIHGAGVLADRRIEDQTATQFADVFDTKAEGLNALVDSLEVDSLRFLAA FSSSTARFGRRGQVAYAAANEWLNKWCQRAASRLRDCRVVAFNWGPWAGGMVTESLRS VFEHEGLGLIPLRAGARLLVEEIQAGAGRPVEIVVLARPGAVEESTGPAAGNDHNGHA HENGHRPNGLPAKGRSGEGMLDTVFERKIDERSIPVIRSHVIDGHAVLPMALIMEWLA EGALHRNPGLAVSGVDELKLLKGIILQDHRPAVVSIRAGKKERRGDSLVVPVEMHGSL DSGRNVIHAKASVVLADSHPRGEARLAEPTLRPLDLREDEVYHKLLFHGPAMQALRRL EGGDDRCLAAQVATSPAPSAWMERPLRQTWLTDPLAVDAAFQLVVLWTRQHLGANSLP TSVGAYRQFRRSFPRDGVRVLVAVREASRHRAVADIEFTDADGGLVARIDAYECVVDA SLNQAFRRNRLPQLEVASS OJF2_RS27720 MNAPQQPRVPRPMAVDRSRAPVAIVAYAGFLPGGGDLDDFWGRV VSGIDATSEIPDGRWLIPPADVYDPSIARPDRVYSTRGGFVPDIPADAEGLDVDPAYL DRLDPGVRLALVAGRDAWRAARTSGLDRKKVGVILGHIVLPTEGSSAYSREVLGRRLE RTLGIGGHDDEEPSIEPWNAFPASLPAALLARALGLGGEAYTLDAACASSLFATKLAV DALQSGRVDAMITGGHSRPDPLYTQMGFSQLKALSSRGKPAPFDASGDGLVVGEGTGL FVLKRLSDALRDGDRIHGLIRGTGVSNDVHGDLLAPSSEGQLRAMRSAYEQAGWSPSD VDLIECHATGTPVGDAVELESLRALWDGQRAPAGRCVIGSVKSNVGHMLTAAGAAGLI KLLLALEHQTLPPTANQLEPNPRLKLEGSPFRVLNRAERWERRGPSVPRRAAISGFGF GGTNAHLLIEEWLPSSFGPGGEPPGGAGSHFLQEDEPASLRAPDGVGFRPPRPDDDAC PVAIVGISAQFGEVAGLRAFQEHVLNGGTPGTTARSLDGFSVRVGQFRIPPRELEEML PQQSLMLKVAADAIADARRPPEAGPRTGVVIGLSLDQNTNNYQLRWWLPGKVREWNER LGLGLSPPDLDAWAEELRDVVSPALNANRTMGSLGGLVASRIAREFRFGGPSFSVSAD EASGTRAMKLAAGWLAAGELDAVVIGAVDLPGDPRAARAAGSVGSMHDPGEGAVALVL KRLADARRDGDRVYAVVGEENGAEPGLTLSGDSNRLLGWTGAAAGLAAAVEAAICLYQ EVLPATADEPPRYWLRNRSEGPRRAAAEVRALDGRRDRIVLESVEQAGCAEATCEAER RLPLGRRAAVPSWNAIARRSGEGRLPVAFVYPGLGNVFPGMGRDIATLWPEVLRSLDA RNDRLRDQFLPEIWWRGDASPLPSFADHRAPILGQVTAGSLMTEVLLGLGISPSAAIG YSMGESTALVSLGAWVDRDEMTRRLVESPLFATELAGPCEAARRTWGLSPNERVEWVA GIVPRGPTEVEAAIRAHPRVYLLIKNAADESVIGGQSDAVRGLVEALGCPFVELPIVS TVHCEVARCVEPEYRALHDLPTRTPDGITFYRGVSGEPYRPDRTTAAEAITAQATGAI DFPAVIERAYADGIRVFLEMGPGASCTRLIEKILGNRPHLAVPTCLPNRDGLATVREA LKRLAGFGIPVDPDLLREDDGPSKGGTVGPAREIRSITIRTRYGPYPPLGIPRARPAV ASLPSPDPISPAPAIPTMTHDVRPAPHPLTASLLAAEAARVEAHGTYLGTARGWEKLL EGSLSLQFRLIALAGREAPAGAGTSALAVAEPPPAAQAGPPRALDRDQCLEFAIGSIA RVLGPDFAPADDHPTRVRLPDEPLMLVDRIVAIEGQPLSMGPGRVVTEHDVLHAGWYL DANRIPPCIAIEAGQADLFLSGYLGIDFETRGLAVYRLLDATVTFHRGLPTPGAVIRY DIRITRFFRQGETHLFRFEFDGTVDGQPLLTMRDGCAGFFSEAELYAGKGIVPRPLDA RPRPGIRPADWTDLVPMAPMALDESRVEALRRGDLGAAFGPPFDAIPPAEVLPLPGGR MTLVHRVEALDPTGGRFGLGIIRGEADIHPGDWFMVCHFVDDRVMPGTLMYECCLHTL RIFLMRLGWVGNGDDAAFEPIPGVASRLRCRGQVTESTRKVTYEVSVKELGYGPEPYA VADALMYADGRPIVEVSDMTLRLTGASREGLERMWTATRSRSGTPGPRPAAPYHDNAA ILAFSTGKPSAAFGERYRPFDEDRFIARLPAPPYQFLDRIVAFRGEPFTMAAGTSAVG EYDVPPDAWYFEADRQPRLPYAVLLEAALQTCGWTSAAMGSALASPEPLKYRNLGGNA RQHRTLDRSSGTIRTDVAVTKVTKSAGMILQHFDFAVRQGEHLVFDGSTYFGFFHPDA LAEQAGVREATRYELTGEERAGSRSFPLPDQAPFPDRRWRMVDRIEAFAVRGGPHGLG VIEGRVRVDPSAWFFAAHFLGDPVWPGSLGLESLLQLLKVVSSDRWGAREDDTFDSPA LGTEHRWVYRGQVLPTNGEVSTQAVITHLDDERRTIRADGILSVDGKIIYQMNDFALH LCRR OJF2_RS27725 MNYSKVYLEALGYEIAPVVVSSAELEDRLATVYKSLHLQPGQLE ALTGIVERRWWEEGFRLSEGAAQAARRALEQAEIRPEEVDALIYGGVCREYFEPATAC HVASRLGIGPDATVYDLSNACLGVLNGIIEIANRIELGQIRAGLVVSCESAREINEIM IERMRQDPSMEYFKYSLATLTGGSGAVAVLLTDGSFSGSRRRRLLGGVTQTAPQFHNL CRWGIEALKPLTSHQFLQFTSTDSSAVLTHGVELGIRTWQAFLRKLGWVRDRIDRVIC HQVGASHRDTILRSLGIGIEKDFSTFPYLGNMGTVSLPLTAALAEDREVVRSGDRVAF LGIGSGLNCLMLGVEW OJF2_RS27730 MGRRPFEARSAALKEFLDRHPGVDFDRDGVRLHYLDEGDGPPVV MVHGNPSWSFYYRRLAESLSPSHRVIVPDQIGCGLSDKPDDSRYAYTLQSRVDDLDRL LSSLGIGQQVSLVVHDWGGMIGMAYAARHPERIANLVVMNTAAFHMPRAKAFPLALRI CRDTPMGAWAVRGLNAFARGTARIGCKRNPLASDVRVAYAAPYDTWANRIAIHRFVQD IPLRPGDRSYDLVSWVQDRLHSLADVPMLIAWGLKDFVFDRPFLDEWIRRFPRAKVLP FPEAGHYVLEDEADALIPAIRDFLGDATPGPRDR OJF2_RS27735 MATTVEEPPNIARHLRLMAMRQPHRHAVVVPEGRSPGGRPRYAH MTYRQLDAEADAIAHGLAVAGVVRGSRAAVMVRPGLDFFALTFAAFRAGVTPILIDPG MGLKSLGRCMDEAEPEFFFGVPKAVAVRRALGWGRETIREVFVVAPRWRWTGHRTLDE IRRLGKQRMAHRRADEPVPAPEADPHAPAAILFTSGSTGPPKGAVYTHSIFEAQVEMF RRAYEIEPGEIDLCTFPLFALFAPALGMTAIVPEMDFTRPARVDPDRLLETIDDFGPT NLFGSPALLRRVGPAAAGRGVRLTTLKRAITAGAPASPRVLEVFEALLSPPAEVFTPY GATEAMPVASIGSREILGTTRVLTEKGEGICVGKPFPGIDVRIIRITDEPIPTWSEDL ELPDGEPGEIAVAGPIATREYFRRPDATRLAKIADPTRNSFFHRMGDIGYRDGSGRLW FCGRKSQRVVTADTTLFTICCEGVFGAHPDVTRAALVGVRRRALTVPVMCVEPASPLD RPGRDRLRGELLEMGSRFAHTRGIRDIVFHPSFPVDIRHNSKINREMLAAWAARKVR OJF2_RS27740 MSDEIDAPVLITGGGGFLGSAIAAMLRERGRQVRSFARSRHEVL DLIGVEQVRGDIADRAAVSDAVRGCGTVFHVAALAGIWGPYAAYHRTNVLGTENVVAA CREHRVPRLVYTSSPSVVFTGHDLEGVDESAPYADRYDAAYPATKAIAEKLVLASNDA SMATVALRPHLIWGPGDNNILPRVFARARARRLFRIGDRNPLIDLTYIDNAAIAHVLA GEKLAPGSPIAGKAYFVAQGQPVPLWEMVNRFLEIAGIPPVRRSVPRSVAVAIGGLME GLYGAFRLSGEPRMTRFLARELSTAHWYNLDAARRDLGYEPLVSIEEGLRRLAAHLSA RTAPPSVPA OJF2_RS27745 MSRRRSPVRDPGVGRRAAAPFLIAMGWLALVGLMSMHVDRSERV LVLATSSVPLAWTWLVWAWQRPSPEPRPPVAAPAKTEGPPVDSANSPAASFPPARSDV VMTRSGLYTMTVPEDSSSGDLARTGEFAITDMVNRLDPVGFRWLDSSPAEQEFLGWPL GDLRDSSFLKIVHPDDRSRVRESFQQAIERGESLGLVFRIKTARGETRAVELNASARY TPEHQISCLRCHLTDVTEKIRAERELRLRSRELTRLNEQLREINRQLEDLKDRYSELY DGVPAMCFSLDAAGRVIECNETFLSVLRRKRGDILGKGFEAFVHPDERERARRRFAVL QERGTIEAEGRWLLAEGGTIDVWVRGKVVRGVGEAGDRVRCVAEDMTAKHRLEAELRE TNRSLALANAELSKKNEDLDEFVYVVSHDLQEPLRTLQVYSDFLLRDHADRIDPQGQQ LVHHLSDASRRLHAMVNGLLNTARAGKAAGELSDVSFHDLIEIVKADLSARIRERGAE VIVEGPDVSLRGDRWRLQRLFTNLIGNGIKYNRSESPRIVVGVQGVEGQHPPAADAEA GNTSMVRCFVRDNGIGIDPRNHHKIFHIFRRLHSSDEYEGIGAGLAICSKIVQAHGGL IGLESRLGEGATFFVTLPATPGPSLRGEGETSRPDASRPSPVARAEARTAART OJF2_RS27750 MAENVTVLIVDDSAGDRALFRTILTRGGYTVFDVARGEDAVPKA LQERPHIVVLDVNLPDMDGLAVCRAIRANSLLANVPVLMLTVRHDDADVLAGLEAGAD DYVAKDSAPELVLARVKRLVQYQQLLGLTTLNRQLVQAGRLLAGIIHEIRGPLSVIRG SAELLRMNVDPGRQDPQWLDSILRGIQLLQSRLDHLMAMVRSGPPQIHDVDAAAVARE AVDLFSKGLPPNSRGIAYEVRCEVPASACAKADAGRLIQVLIDLLSNAQQAIASVRRT GKVQVVVAPAELDGPWVTLSVRDDGPGIAESHLGRIFEPFFTTKEGGTGYGLHLASEI LKEQGGRLTVENNSDGGACFRIWLPRGHSARTAEGAAIE OJF2_RS27755 MTSLVAESEPATIPFDPYRNLADGDLEERIRAAKETLGDSLLIL GHHYQQDQVIQFADLRGDSLRLSQLAARSDACRHIIFCGVHFMAETADVLSREEVEVY LPDQAAGCSMADMADLDAVESAWADLGEVIDTGDLMPVTYINSTADLKAFCGRHGGIV CTSSNAREVLEWAFARRKRALFFPDQHLGRNTARGMGVPLSEMPVWDPRLPMGGNAPE ALEASRVILWKGHCSVHQMFKPAHIAQFRRQMPGIKVLVHPECMMEVVDQADLVGSTE FILKTVEQAPSGSSWAIGTELHLVNRLASSNPDKSIHFLSPMVCMCATMYRIDLPHLA WCLENLIQGTPVNRIRVPADTAHHARVALDRMLALK OJF2_RS27760 MDLVVNADHWNPFVVLGPHEMEGQNGRRKSWVIRAFLPEARAAS LVDLAGGEPGRLVPMEKLHPDGFFQVIVADRDAAPRYRFRIENFEGHSWEQVDPYQFG PVLTDFDLHLLGEGTHLRNYERLGAHLRMHEGFRGVHFAVWAPNAQRVSVVGNFNHWD GRRHQLRNRGATGIWEIFIPDLTAGEVYKFEIKSRHDSYLVQKSDPYGFAAEFRPKTA SVVWDLSQYRWADDDWMSNRAGRQGLDKPLSVYEVHLGSWKKRWDQAGGFLNYRQLAH DLVAHLQHTHFTHIELLPITEHPFDGSWGYQPVGYFAPTARHGTPDDFAYFVDHMHQN GFGVILDWVPAHFPNDLHGLGYFDGTHLYEHADPRLGEHRDWGTKIFNYGRAEVRNFL FGNALFWLDRYHIDGLRVDAVASMLYLDYSRQPGDWVPNMFGGNENLEAIDFLKRLNE ICHQEHPGILTIAEESTSWSGVSRPTYLGGLGFSLKWNMGWMNDTLRYMSKDPVFRKY EHGALTFSMIYAFTENFVLPLSHDEVVHGKGSLLDKMPGDVWQKFANLRLLYGYMYGH PGKKLLFMGDEIAQWREWNHDESLDWHLLEWRDHEGIFKLVCDLNALYVSERPLHEVD FDWQGYEWLELHDWENSVLAFLRRAKDSKDSMVVICNFTPVVRENYRIGVPVEGYYRE VLNTDADIYGGSNVGNHGGVHAVPEPHGGKPYHLSLRVPPLGVLFLKTPQPPPPEIER LKPHQG OJF2_RS27765 MDSHTLDLLEFDRIRLLLASRAACSLGKEAARGLAPMVDPGSIH EELALTTEMVEAIRSRITPPLGGLHDIRPHVQRAQVGAMLEPEELAEVAETLRAIGYL DQWLVRVGDQFPRLGGLRHGVGEFSGVATTIDGCLDSRAKILDTASRRLSALRREIGQ AEERIQDTLRRMLRSPEIKRYLRYPNFTMVGHHYVLPISKEHRGEVQGSVQRTSATNE TVYIEPAAISEQSAQLSYLRSREAKEIRRVLRWLSAQVGHVADSLLDTLRILAHLDLI HARARLSYDYEMVAPDMNLEGRLVLRQARHPLLEAIFRKDPALEASAQVDRPPATPPD SPPADAVTSAGPGLDPPPGLPATSRRTVVPVDVHLGVRFRTLVVTGPNTGGKTVALKT VGLLAAMAQSGLHIPAAEGSQLPVFDDVLADIGDEQSLEQSLSTFSSHVRRISQVLSK STERSLVLLDELGAGTDPAEGAALGWAILDEIDSIGCDAIVTTHIGELKSYAMSNGRA ENAAVEFDVETLQPRYRLHIGDVGQSNALRIARRLGLPEHIVGRAEGYQEKHQGSSSA PEWELIQKLRKEAEDARQAALAAQAEAERTREALAQRLADLQREAEREESIADARARL QQGDRVVVPRLGYDRPGRIVKLDPRKKTATVAIGHVTWDVAIDELIPQAARTPDEASR NPAAPPRGGRPKVFRSLDEFE OJF2_RS27770 MAVNSPDRRAAACLLAPLVPVILAAASLLLPGCKTYVGTTAASF LGHVRNNPDPNARYLAYSKLGSPEAYDSDGQKSEAVQTLIDKFEKGREPVATRAVICR SLGELHDPRAHDTLVKAVSSPDAVIRVEACRALGKVGQSADATILAQVMATDNLEDAR IAAIEGIAELKTSDPRIFKLLVDNMEHDDPAIRLAALNALRALTRKDLGTDAAEWRKG LKSQIEAAAAPDAGASAGSPGAAASTASTGGGPAAAPSRPR OJF2_RS39525 MAVKKSAAPKTSAPKTPKATVKKAAPKKAAPKAAATKKAAPKAA APKKAAPKKAAPKKAAVKLTDAQVSLLNEVAKTGEAGMVSTKANARSLTALQGKKLVK KGKKQEGGQFLYHITKLGSKHSAPAPASGGSEAAPSA OJF2_RS27780 MITHIRGTLRTVAEEALTLAVDPFEIEVLIPEHTRRQVQGRLGE LITLHTMFYIEGNAMGGRMVPRLIGFITPLDREFFDTFCSVDGVGVRKALRAMVRPVR ELARAIEDQDVRLLATFPGIGEATAERIVAKLRRKVGKFALIVSREGADEDAAAGSNG TPPTAEPDVIRDTYETLLSVGHSESQARQVLDRALAAAGKKKFKSVAELIEAIYHQSR D OJF2_RS27785 MRERIIQGNPDEGLDPEEGKEREADSRQPRAHDPADDRLRPQRL SEVVGQRSVAERLSIALLAARKRGEPLPHILFDGPPGLGKTTFATVLHNELGVELNIT SGAALDKKMDVMPYLTNAAEGSILFIDEIHRLPRAVEEFIYPVMEDFRVDVVLGEGMS ARTINLPTKKFTIIGATTRSGMLSGPLRERFHMHEHLEFYDREDLATIITVNAQKLRT KISLEAAWELSGRCRGTPRIANARLRWVRDYALARADGDVTTSIARDALDMQEIDTEG LDKQDRRYLETLIRVFHGGPTGVEAIAATMNVAVDTLRDEVEPYLLRREFVVRTPRGR QACSLAYRHLGISEPEPEPTISLLDIQRKLFD OJF2_RS27790 MQTRVIQLDRERPDSSAIVEAADVLRRGGLVAFATETVYGLGAI ATEPRAVRRIFEAKGRPSFNPLIVHVDGMDRARECSLGWDELASELATRFWPGPLSLI LPRSRRIPDEVTAGRPTVAVRMPATPVALALIGQLGAPLAAPSANRSNRISPTRAEHV LADLDHRVDLILDTGPTSLGLESTVLDLTTVPPRILRPGPLMAPEIEAAIRGLRLAEG PSRQGHEGTFPDATAPAASPGMLPVHYAPTTPAYRIGPGDDPHGIVWPDRSALLIVGE LAGVRWPREVPRIVLAEPTVAARELYATLHDLDARGLQAIFVAMPPLGLEWAAIRDRL HRATRPLVEQLPLDVEE OJF2_RS27795 MAKKRLLDKISKHRIAPPAVTGKESAADLIEQTFLSYNGGRLRE ICQVFTRKLLEPDCTVGLTISGALTPAGLGMSCLIPLIRAGFVDWIVSTGANLYHDTH YALDLPLHQSGPHLDDFALRQNDVIRIYDIVFDYKTLLDTDAFYRELIQDPAFARSMS TAEFHHEVGRYLHGRAGSLGRPANSLLAAAYECAVPVYTSSPGDSSIGMNLAALSLQG GKLQIDVLRDVNETAAIVYNAKKGGGKSGVLILGGGSPKNFILQTEPQIQEVLGLAES GHDYFLQVTDARPDTGGLSGATASEAMTWGKVDPDTLPDSVTCYTDSTIFLPLLTAYA LSRHEPRPLKRLYDRRKKDYEALRKDYKARGEAAPDLSARKKLD OJF2_RS27800 MYVPTKLFFTKGVGTHREKLTSFELALRDARIACYNLVRVSSIF PPRCKEISIDQGLKHLQPGQIVHVVMSESATAEPNRLVAASVGVAIPKDRDTFGYLSE HHAYGQTAKAAADYAEDLAAEMLATVLGVEFNPNSSWDEKREIWKMADVIVKTKEVTQ TAIGHKDGLWTTVVAAAILLP OJF2_RS27805 MDHSIDLVLHPGHSKCGSTSIQRSIYGNLASLERHSVYVPDERF QFRFEGRHEAAESAHPSLYLQGVATGSIPMAQFERRIDEVVSRAERGSSKTILLSSEN LCNLYLPHAMDLHRALAARFARVKVLYYIRPQDDWILSAWQQWGHKAGYSLADWAEYC LEARLPAFLANALDFQHIYGRDSVSVIPLHYQALTRGGLLADFYHRLGMAPLSEEGRQ DDRNEAINPYVCEVLRAVCTVYPSVDDNAIRDVIDGLSPRKPLYRRYKHYMNTSLRDR ILDAFEDDNRELHRRFFGSLRYGRIFARPGFVADADRQHEQVEGLKDVVSVQMAILLR LLKAAGASLAPAEMGQEPPALSGWPTLPSTERRELRAHRPESDAA OJF2_RS27815 MQLSKAVITAAGRGPGQYPASDNVQRTMLPVVDRDGLTKPVLQV IAEEAIESGIEEICVVVAPGDEPIYRNQFRKYAANLRSGFRGMDWAREQADRLEQLDQ RLRFAVQAEAQGYGHAVWCARDFLAGEPFLLLLSDHLYVSNEPRRCARQLIDLASAEE CSVSAVQATREHLIHQYGTLTGRRLAQRSDVYSIDEIVEKPNPTLAELRLHVPGLRAG HYLCFFGMHVLTPRVLDVLDHLVRSDVRELGQIQLTTALNELARGERYLALETRGSRF NLGAKFGVIEAQIALAMAGADRERILALLAGSLALFEQNAQSS OJF2_RS27820 MSQLGRLLIETITSSEPALRDRSVLSMARGASLGERLEACEALE AFRQRCTNLYERVRASLFLHALYRYEIQEDPGVRTAGLIPFDGFTDVMQRRYERAIAV FRRALNDGGPDGAICSALANAYDQVAFQTLADQVRKSVRSCAGNRWMFRVGGVDEHPL RIHPRLLERAGRDDAFPILVERTPVRLDLSHSGWSDIFFLGMDYPEGARVLNISVDLG VHGRDDAAKPPIETRLRVIDEPILRLTSIDLAACKDVETLDELFNFGNDYLALVKAGV IASGLIPPSLEGTDIPLASLLGAVIRPGLGLEVVSKVNDIPKGSRLAVSTNLLASLIT ALMRATGQTKQLTGGLQLDEARVAVARAILGEWLGGSGGGWQDSGGIFPGVKLIRGVP AVEGDPEWEVSRGRLLPEHRLIGHQGQGESAASGAGELSAGGFQDQLARSLILIHGGM AQNVGAILNMVTSKYLLRNEAEWEARQEALGIFSRVVAAVESADIRELGRSTTANWEG PLKRIIPWVSNAFTEAIIAMAREELGEDFWGFLMLGGMSGGGMAFFVAPHRHGQFQER VRDLMRSAKAQLDDALPFAMEPVVYDFRINPRGSWAEIEDGKAAMMPARYYALQIPRM IGAGREAHSPLRKADVDRFASQSREAAELLAVFRTTVNHLFPVTRAAGDDSAARWDEQ AEEIRRENGFDSVQHERLRADLQRGRIGLARNRLPVDLEILDVEDSDLVPAHLPTSGE VWESGEAAIARGEVAVVTLAAGVGSRWTTGAGVVKAVNPFVTMAGRHRSFLEIHLAKT RALMRRYGAAIPHVVTTSYLTHSAIERHLGASRNYGHPGPVFLSRGQSIGQRLVPMTR DLTFLWEEATHETLDENKQKVREAGRRAILDWARSKGEGADYTDNVPLQRFNPPGHFY EVPNLLRNGLLGRLIGEYPRLKWLLVHNIDTLGAMPDPGILGMLLERPSTLGFEVIPR RMDDRGGGLARVAGRLRLLEGLAQPREETEFALRYYNTLTTWVSIDGLLETLQLSRDD LLSNPEKVAVAVRNLASRVPTYVTIKDVKRRWGHGQEDVFPVAQFEKLWGDLTSLPDL SCSFLSVRRARGQQLKDAAQLDSWANDGSAAFVESLCDFS OJF2_RS27825 MKNEGTALVTGGAGFIGSHLVGALLEAGYRVRVIDNLSTGHRSN LAGFEGRFEFMEGDLSDIDVCRRAADGADFVLHEGAIPSVPRSVSDPIGSHVSGPTAT LNMLEAAREAGVRRFVFAASSSAYGDTPELPKHEEMVPNPLSPYAAGKLAGEHYVRVY ARTMGLDGASLRYFNVFGPRQDPSSPYSGVISLFIRMMSGGQAPTIYGDGTQTRDFTY VDNVVAANLAALHHPEPLEGRVFNVGTGSRISLLDLVASLNRILGTDLGPILREPRPG DVRDSLASIDLISRVLGYRPTVSFEEGLRRTVEAALESRPG OJF2_RS27830 MSKSETGPPAWDIADPEAAVMSRLVSELAAADTGVDRAGVWSEP LWRLVRDAGATLWSIPTEQGGPACPRPLFLQRYAQLASASLTAAFVLSQHDAAVRRLS AAARHPAAKLWLDRIRSGDAFATVGISQLTTSRRLGAQALVATELVPGRYRLRGAMPW VTAAQRADVFVTGALMEDGRQLLVALPAERPGVAVRPPFALAALQASCTAEVSLDDVH VDDSDLLAEPGADQSSQPSAVGTAGLETSALALGQARAAIDALAGLAADKADLAEPSD ELFRAWSDAWSSLLACARGEPDAPNSGHVRSRSNDLVLKATQAYLLARKGSGFVLSEP AQRWARQALFFLVWSCPTPLAQATIRDLAGLCPA OJF2_RS27835 MPAPYCYDYPRPMVAVDLAVFAWTGDGLRVLLVRRRNEPFAGSW ALPGGYLGMDESPEEGARRELREETGLVLPGPVEPLGFFGDPGRDPRGRTISLVHAAV VGPGDHPIEGSDDAAEAAWKPADADLRLAFDHAKILRAAGRWLMDGLRDGGLAKALFA GEPPQDSLVAIRRAIGSLEANPSAS OJF2_RS27840 MSFGEDDGGSEVEIETVRGRNWPTVTQFSVFLENRVGQLLEVVR AFQGSKVKIVGLTISDSADCSIVRLILSHPEQGREILSLNKHAFAENELVAVELSASP NAIQELCTALLQAEINIHYAYPLVGNPRGRPAVAMHIDNAEQASRTLHDMGFEILCEA DLSS OJF2_RS27845 MLWRHLCLVRAWSAAGLIVLGSVPATAQTKGLADGLERSAPPAG VETATVDLLKGSKAGDLNVVARGDGQERVRLTIRNTSAKRLNVVIPPGLVASAAAGQG GGGRGNQSMGLGSVSTHAGGFGQFASSAGAAGLRSVDVLPSPIGPAVAVPSGETIEVK VPAVCLNYGLATPTPANTFTLMDVADYSNDPRVRKALRSLATLGTSHGVAQAVMWRVC NDLPFESMASQAGKIMNTSEIMIAARFVDLVDRSPDEQLVDGSALMHDRVFVRIHGEG ALAKDAQRLHDQMVNYRLLGLPVRALDDADAPSAEGPALLIDVALTDTRIGETKGRIA IGYRGGNDDWQPIGKSSFQDSSSLAVLDGETLARKLDQAIAGALVTVKPARKAVGSTL LKLDNRLPFTLSAITVKAGDSAGAPVVPYAAVGVGPGRSALLPIQAPSATIEHVELNG L OJF2_RS27850 MPLVRRRYFFSGHVQGVGFRATCRHLAGGYQVLGHVRNLSDGRV ELLAEGSSAEIDRLIEAIKSEMGHYIRETTVHDEPCGEPALTSFAIRL OJF2_RS27855 MKSITIALATAKETIRQPSFFVMAVIAGALLIATIFVPYFTFGE DIKMYKDTGLTTISFACMLLALLTASSTVAEEIEGKTAITLLSKPINRRQFIVGKFLG IELGVLALYVLLGTLFAGGLWYKYQYDLRETAGGTAETAKRIAQVMQVLPGLVLGFFE VTILTSISVAISTRLPMLVNLVVCILIFFLGHLSPVLVEVAKETQINELMSFMARLFS LILPSLEFYNAGPSISTGAVIPWYGYVLPALGYCVLYSGAALLFAFLLFEDRDLA OJF2_RS27860 MNADAYRRARALVGPGRDGPVGRILGAVQSVLLLGLLVIACLFI ALMASRGEARFPAAQEAELPSWVAHLGTGDGLFVRFRNTGIFPLVANNLLSRNPVHRL GARVLDRISGAIPPLRNNLGALATLLAVGLLFLLAITFLTQWRRRAMSRAATDLATTL RRQIHRQMYRLGDSSLPTEGIGPVVNIWTREVNDVREAYLADLNITPRTQILAAGLIL IALFASPILTLFLGSLGLLVWITSRVLNRDARLAHDAALRDVSVQLCLLHEDLGLLRT VRIHGLESYDRQRFDEHLDRFRQADAERIATEPRMNPTTVLLYGSAVVIALGLLGYNI LIRDHISIGTMLVLMASLAGLAVPISRWLKLRDLITQANRSASGIFEFLERSPELHQN VGAHFLAAVREQVSLRDLSLRSRTGKTLLSSVQVDFPAGMRTGILSLDEDSRLAMACL IPRLIDPQSGRILIDGHDLRDVTLESIRAQVGTVLQSDLVFTDSVLVNIGMGDPVNGL PRVIEAAKLAHAHHFILDLPHGYDTIIGPLGHYLKPDEQFRIALARTYLHDASVLIVE EPTVPVDEETQLLIDDTLARLAEGRTLILLPNRLSTIRSCDQLIVLHDGKVEDVGSPA QLEAESKIYRHLIYEKFNEFAGGEIASGHLGLNV OJF2_RS27865 MTTPKTALISGAGSGIGRGIAQALDSAGIRLALLGRDTHKLEES RTLLARGGPEAMCVSCDVSDRTAVATAVQQVLDAFGSIDVLVCNAGTNVRNRKLDVLT GEDWDRMVEVNLTGPFNLVKGVLPSMRQRKNGLVIQICSISGLRASTLGGAGYSASKF GQSALGLCLGREEGTNGIRSTVIYPGEVNTPILDARPVPVPAERRAAILQPEDVAAAV RFLVELHPRARVPELVITPTVDDFC OJF2_RS27870 MAWITDRFRSRKKARPQRPTLETLDDRCLLSTTAGAELLHNGDA GAFKAAVQVNSRAPIPSQAGKGAGSAASAASLAAQAIASTVNSPAFSPRAVESPAGTA SAGATTTYESLINASATRSAYSVDGSGMTVAVIDTGVDYKNSAFGGKYGPGAKVIAGY NFADDSADPIATTSQHGTSVAGLIGSEDPSDLGVAPGVGIVALKVVGSDNTASLSSIA SALQWVVDHHAQYNITAVNMSLSDGGNYAHNWFAQDGGNGQKITELIQQLSSMKIAVV SATGNSFNGYQGEGFTAVVDGVISVTATDGSDQLLSNAQRLGPTVGMGTATELAAPGK GLKAPSGDSGSTTVEGTSFAAPLVSGAVVLLQQIYQARYGSLPTVAQVTQWLEGGAKS VYDSVTGLTIGRLDILKSASLIPLPQGSSTPSTPVTPPAGGGDTSTSPPSTGSGSPST PVDPSGPSTPADPGGPDTTPPDDGSAGTGSGISPSTGSGATSGGSSETGGSATGGGST APPASTGTDTISLAPNVQLFVAGTRLTAGGPISSINGLSSSSLMALLKGMNAWAAEGT TQTSQVRIWKAASR OJF2_RS27875 MAIVAVIPARYASTRLPGKPLLSETGRPLIRHVVESARAARSLQ RIVVATDDERILEAVHAFGGEAMMTRDDHATGTDRVAEVASRIPEARIIVNVQGDEPE IAGETIDRLVALLERDPEAPMATLATPIRDESVYRDPSCVKVVCSHRDRALYFSRSPI PCHRDGLAASGTLPIALLHLGLYAYRREFLLSIGGLPPSSLESSEKLEQLRVLQAGHP IAIGVVDEPGVGIDTPEDYRRFVDRWRTREH OJF2_RS27880 MGVRSNGSSKALEVDPKDVARAAGLRYSSDTRPGISRKRAGKGF RYLDPAGRTVKDEATLSRIRSLAIPPAWTGVWICPHETGHIQATGRDARGRKQYRYHP RWRTTRDDTKYGRMVAFSRVLPRIREQTDADLKLPGMPRRKVLAAVVRLLELSLIRVG NDEYARTNRSYGLTTIRNRHASVQGSTIRFKFRGKSGVAHEIEVDDPRLARIVRRCQE LPDQELFGYVDEDGVVRDVSSSDVNEYLREIAGEQFTAKDYRTWAGTVLAALALQEFE SFDSDAQAKKNVVRAIERVAERLGNTPSVCRKCYVHPAVIDSYLDGSMIKALQKRASR AIDESKGTLRPEEAAVMALIQNRLALEGRQRSD OJF2_RS27885 MRIWPGKPFPLGATWDGAGVNFSIFAENATKVELCLLDGPDATK ESACYTLTEQTAQVWHSYFPDILPGQLYGYRIHGPYEPQNGHRFNPHKLLLDPYAKAI GRDLKWDDSLFGYTLNSPEADLTFDERDSTPFAPVGAVVDTAFTWGDDRPPLTPWHKT LIYEVHVKGFTKLMPGVPEKARGTYSGLASEAAVQHLRDLGVTAVELLPVHYHVDDRF LTDKGRVNYWGYNTLGFFAPDPRYAVDPSPEATIREFKMMVRSLHAAGIEVILDVVYN HTAEGNERGPTLSFRGVDNASLYRLSPDPRYYMDFTGCGNTFNMQNPQVLQMIMDSLR YWVVEMHVDGFRFDLASTLARELYEVDRLGAFFDIIHQDPILSQVKLIAEPWDVGPGG YQVGSFPVLWTEWNGKYRDNVRRFWKGDGGTVSEFATRLSGSSDLYLHNGRAPYASIN FITCHDGFTLEDLVSYNEKHNEANGEENRDGSSSNDSWNCGVEGPTDDPAILDLRDRQ KRNLMATLLLSQGVPMLLAGDEIGHTQQGNNNAYCQDNEITWLNWELDDRQKSFLEFT KKVCQVFREHPVFQRRHFFQGRALRGTDIKDISFLEPSGKEMSDEAWNAGYVKSMGVR LAGDLIADVDERGEKVIDDTALILLNAHHEPIKFQLPETTENQVWECVLDTATANCEP RVLAAGDELELRDRSMSVFFTRRKSEAQPLVRTEDEAVRREARTPVPPVPSHKPHVRT SV OJF2_RS27890 MATVTDPPSSDRTPPPAPQGADAPPAPDHTAYSRELYRAALEEI RRRRSLPDATYRMQLHAGFTFRQAEEIVPYLAELGISDAYASPYLKAAPGSTHGYDIT DHEQLNPEIGTQAEHDAWLDSLGRHGIGLILDVVPNHMGILGNENPWWNDVLENGQAS IHAQDFDIDWAAPTRPENRGRVLLPFLGDVYGVTLEKGELVVGRDGGAFHVQYMEHRY PLDPRSYAAILEPAVGPVSTALGEDAEPVVELKSILTALRNLPEHTETSPGKVAERRR EKEVVKRRLATLLESQPVVVGAIDESLRVLNGTPGEPRSFDALDALLSVQPYRLAFWR VASDEINYRRFFDINTLVALRTDREEVMRATHRMVFDIVTRKGATGLRIDHPDGLLDP QRYLERLQQAFVLMTARRLHLDGPRSSEVTWDELRPYLYDIVRPAPVASINDPRLYVV VEKILGSDEPFPEDWVSHGTSGYDALNRINDLYVDLSNSGEFARRYQEWIEDTTPYRE LVRQKKYLILEHSLASELHVLSYQLERIALRDRRSRDFTQTVLRHALREVIASFPVYR SYITARKVHDYDKDLVDRAVRSARRRNPVISRSVFDFLRDVLLGRVGAADEVPESEFA PADFAGKFQQVTAPVMAKGLEDTTFYVYNRLLSLNEVGGEPNRFGSSVDSLHRWNQER ASRFPHSMTPLATHDTKRSGDVRARINVLSEVPSLWFEALARWSDLNRKHRTLIEDHE APDHNEEYFFYQNLLGAWPMEGLTPENTASFVERVRGFMQKAIHEAKVHSSWQNPNPD YDQAVDQFVAKVIDPSQNAEFLDDFAELRDLVRRHGMINSLSQTLLKLAMPGVPDTYQ GTELWDLSLVDPDNRRPVDYALRARLLRELIAAHDDPQVGPGRLVRDLTSNMVDGRIK LYLHWRALRARREQPDLFTTGEYRGLNPRGANQQSLFAFVRASGDRRAVVAVPRLTTR LCGGHLPLGAESWGDTEVQLEGMGSVTSLRNVFTGETFPIAPEGGTVLKAADLLASFP VALLVG OJF2_RS27895 MAGSSADGSSSNATLPPVPRRLPIGAEVQGGGAVHFRVWAPLRS KVEVVLDGSQGSEVGLTPEPDGYHSGLVPHATAGTRYRYRLDGGDCCPDPASRFQPDG PHGPSEVVDPGAFAWRDESWPGLRLEGQVLYELHIGTFTDEGTWAAAAGQLPRLKELG ITAVEVMPVAEFAGKFGWGYDGVSLFAPYRGYGSPDDMRRFVDRAHGLGLGVLLDVVY NHFGPDGDYHDSYSGTYVHSDRGPTGWGKALNFDGEGSAPVREFFVSNAGYWIDEFHL DGLRLDAVQAIHDTSKLHVVTELTRHARAAAGRRTILVVAEDERQKVSLVKPVEKGGN GLDAVWNDDFHHASRVALTGHAEAYYCDYRGTPQELISAVKWGYLFQGQLCKWQQKLR GTPTYGIDAARFITYLENHDQVANSATGARIKELTSPGRYRAMVTLWLLAPQTPMLFQ GQELGSSRPFLYFCDHNPELREIVRKGRQEELSGFRSTTHPAMLGKLPDPSTSFEASR LDPPGDYRSHPAFLLFQDLLTLRREDPIFRSQCSRKLEGAVLGPEAFALRLWGDDPAA GDEDCRLILVNLGRDLYPASNSEPLLAPPEDHEWELLCFSEDPRYGGAGMPPLDAASP WRLAGHGAVVLAPRASTPRPDLYEMAAVEAEDFDIHPQIRRARSARRDEPDGPNKS OJF2_RS27900 MYQRLKGTLLLGLVFAALPAGTARAQWGMPGGMMGWEWMGFGVG TAQGDIARGEGMFLMGAGIYNQLTAEAEALNTETVMRWNEYVHEAQANANRLRAERRA GERERTKAAIDARLARLRDNPEPRDINQGDALNASLDIINDPRVYVKALQAAKMAVPS QVVRNIPFRYAAAAITYSLHDLVGGHLPEALRAPQFAAEREAIAALEKTLFESDADEV KPDPAVLDKLLAAIYSAEEKVAAAYQRGTRPRFDADRFLKAAHGLVVMLKSPQYDVIL AGLDKRESVPLGELLNFMKAFNLRFGVANTAGERTSLNTLHPMLVTLREEIRPALAAA GPAPSTDQAMERFFSSLSYDDLQKKAPRPK OJF2_RS27905 MATDVRLKELLPAITERIVGTYEECGAIHHLGHSPLPSYREVVE ILGDLREIIYPGYGRRQNLHMGNVAYHVGDLIDSLHDRLTQQIDRAFRHNCRAKELET DFEAKAQDIAVRFLETIPELRRVLSEDAHAAYEGDPAARSLDEIVFCYPGLAAISVYR LAHVLHGLGVPLIPRMMTEYAHGKTGIDIHPGARIGQRLFIDHGTGVVIGETTEIGDG VKIYQGVTLGALSFPRDESTGEVVRGNKRHPTIEHDVVIYANATILGGDTVIGHHSVI GSSAWITRSVVPHTTVTIENPRLRYREGDVRPDDGYAERLNYQI OJF2_RS27910 MLPGPVFFHELRAASRRRRTFVIRTSIGLLLLYLLISILGGGRY ARVASDRDLTAGELADLGGELFAAVALLEFLLIAVLTPAYMAGSIAEDRQRKVLPYLL ASPLGGAEIVLGKFAARLINLVTLLLSCLPIVSIASFLGGVDPAMIWASAGAALAMLV LFASISVCISLHCERPRDAIVATYAAVFFMLWGPVLLSALLHSGTQLSAWLRSIDPVP DWLIHLSPMLLFEGPAGLQRDSSGPLFRTMIAQAALAVPVLAWATFRLRPRERGSRVG RMRRFLGLGARREGPFRLLPRPRMGDRPMFWKECTGSSASSSRLRLGLMVLLRVAVAA GLAYALLVPGVGALRETLEYGYGGPGLSGARELLNATVRGAVTALYCLAGLIISASAA TAFTGERERDTWISLIATPLERREIVLAKIAGAFWKSRWLLAGLLTALLVGLACGSVH PLGFLLAVGLTAFYLAFPAILGTYLSLRLKTSAGAIAATLGIVLFLNFGYLFCCIPVA HGGSEVFLAGVTPLFVGEAPCSYADLNYAFHLHGEWGVRLLGALILSVGFYGVTSGVI FVTCLEEFDSQVGRPRRSFVHPLERPNPAGIQFVDEAPEPGEVVFLPEDREADRSSRD A OJF2_RS27915 MSTALGWVAVLAGLAGVPTVKSDGPEVAYQFRTIEVRGLQWREG LIPGLKAVTSQGGVTVWTAPKDFAKRLPAGSVKEQPSAPKILARPQTPAHVTSRRGHS YATQVSWRGGGAEPRKNSDTIREGMAATVSGRVLDQGILAQLVIEDTEVRAVHTVSCP SPEAPKADRDAKLASCCLEDEALDCCAGDGFSGGCCESGGSKLAWGSLDIKVSLTANA ADKCPTKATTASPTCGEVAKAAVARVEIPEVGQAQIAGEWLIPKDEVLLVAFGPHTVA DKDGRAVVREHIAMITAEEVAKDEAKDAEPLGFPPLPSAPRAARPASVVAPAPAVANP ALPMPATPSRTLPQRVNADGTPAALPKLPEEDTTPASEGSSEPQASPQTRKAPKLPPV KSTDEHAAKPISSMSSKEQWACDMLLLLDDLDAFSIDRGIYAGAPRSPR OJF2_RS27920 MNPFDLTGKVAIVTGGNGGIGLGIAKGLTEAGASVAIVGRNAEK SRAAASSLGRTALPVSADVGRPDQVDRVVEEVSGRFGRIDILFNNAGINVRRPPQEIT AEEWTSVLETNLTSAFLMSKAVYPAMKAAGGGKIVNTGSMTSIFGSSFAAPYASSKGG IVQLTKSLALSWAADNIQVNAILPGWFDTELTQQARVEVPGLHERVLARIAAGRWARP EDMAGTAVWLASRASDYVTGVAIPVDGGYSAAL OJF2_RS27925 MNDPRWEKLASILVQHSLKLGKGETLFIECFDLDDTSLPRLLVR KALETGASAIVDVRDNCIVRELIRHGNAESLRRWGEIDRTRMERVDAYLGLRGARNIS ELSDVPSDRMSLYNQEYQKPVHFDYRIKKTRWCVMRLPSPSMAQQAAMSTEAFEDFYF DACTLDYAWLSRALRPLVGRMDAAREVHIQGPETDLRFSIAGIPVIPCAGEMNIPDGE VFTAPVRDSVEGHVRFNAPTIYQGTAFDGVRLEFSGGRVVRADCTAGDAEKLRSILNC DAGAPFIGEFSIGCNPKIFHPMRDILFDEKIAGSFHFTPGNAYDEADNGNRSKIHWDL VQIQRPDYGGGTISFDGQPIRIDGRFVTDDLKGLNAEMPQRV OJF2_RS27930 MSGAPGGGEPDLLSRRGNSRASTGRSSPGSRREAPASSEGSIPR GVAGSPSFASGRAFRTRRRSSRTWKNSRLIADASRRSRSTAALAARASRPARSASVSP WSISSRPTRSSASPTRKARASSADSNGPASSRQASEARIIGWHAPARSLARAKNASQS TRPFSSRAWSARKTSLTCGKVSTSIGSYPSILTSRYRPVGWNRLVRPTQSRTHRQSRI SHARPAPEPSSSRRIAPAPPPRSSPVASPHSSSRRTSSSARSPAPASAASCRSSAART ARSR OJF2_RS41430 MKKRPSRKFRAQIQDALEQRLALSGSGEPFRAAMSATPLIRPDR HQALRALHAAPAPHAFAQASGPARSASIVAISPSAGVIQLAGTVTSGQSATILLRFNV YGSNRGGTPLFTEVQAVNAANHRFSVTLGSATSGGIPASVFEQNSSLYISYSRVRPGA PQIGARTALPQASTSFTQAVIQIQGATGATGPPGATGATGVPGPTGATGGQGVVGATG ATGATGAQGPTGTDGDPGASGATGATGATGVQGLTGPTGDTGPTGPQGLTGPTGPTGD TGPTGPTGDTGPTGPTGDPGPTGATGSGLTPGNIIFTNSSTGSFSGLLLPTYVDPSGA ASTQYVIGATSDKILVFGDLAFSVTGISNSVTFSIGVTVNGTGPTASTTMTETLSVDG GGTYTVSLNGVLSGFNAGDVVNVGITVSGTLVGTTVAVQNEMFTSVLAGSGFVVG OJF2_RS27940 MNERGQTVCLNMIVKDEAHVIRRCLESARPLIDAWVISDTGSTD GTQNIIREVLADIPGTLIERPWVDFAHNRTEVLEASRGRADYILVVDADDEFEVDDSF VMPALAADSYNVALRFGGMGYHRRQMVRSALPWRYEGVLHEYLTCEQARTEEMLNGVR ILVHHEGARSRDPLTYRRDALVLEKALLDEPDNARYVFYLAQSYRDAQDPELALRHYR RRAAMGGWRDEAWYSLYRIAHIESQFNKPWTEVMASYLTAFQYMPSRAEPLYWIAMHY QRGREFHVAKGFFEWAMAIPSPTPTALFVERSIYEYLLELEYAVSCYYVGEHAKAVAV NDRLLARGTLPADLVHRVAANRQFSRDVLAGQAVAPAAPGRWATETQAATLMTSPV OJF2_RS27945 MSGLLKGVEKWAIPVRLQQLYKGYKLEDVTIDEIVMPHTFLASL ARVSNAVYKTGTVRSHSKAGSPQELELKQGMESSLGNYVFLGRFDAATGMIFETNGPF GAVVATCTLPGQEPDVPLTGHIHPHQPRYRIFVVFRGTSPGKFYWDDISTDLKAGFYN LDNPLGRKSGTLARGFLNTYMSCRGRVASLVNEAGRHLQERFRELAKGFTDRFGGRSF GLRGKLPLEQIELYVVGHSLGGAVATICAYDLAFTATRFVRPVLVTFGSPAVGDIDFA IDFQRMMVQDENRYSPYTGYLRSVRVVAQTAAKDEDIVTKSSVLPNFIHVNSRLSVTT EAANRLKAHSMDSSYIKAIDKLP OJF2_RS27950 MRSDTIKQGDARAAHRSLLRATGVTEQDWKKPFIAVCNSHVDII PGHVHLQAVGNYVKECVRAAGGVPFLFNTIGVDDGIAMGHRGMKYSLPSRELIADSVE TMIEAHMFDGMICIPNCDKIVPGMFMGAMRVNIPTIFVSGGPMEAGKTVGGKTVDLID AFVAGAQKANGKISEEELLEIEQAACPTCGSCSGMFTANSMNCLAEAIGMALPGNGTI LATSADRKELYERAAKRVVEMALEFGRKGEGHGLLPREIATSAAFDNAMVLDMAMGGS TNTVLHILAIAHEAGVPFTLDRIDELSKKTPNICKVSPSSSYHIEDVARAGGIHTILG EVARGRPGLLDLSCRTVTGKTLGENIEEFDVRSGKAVSMARTWAAVRPGGERTTQAWT VPSVSADPRSQSAGLALLEAEGESGSPSSGENGNGGGHGDGFDPYDVIRPVSRAYSET GGLTMLSGNLAPKGAVVKTAGVSKSMYVHSGPAVIFESEEDAYNGIVFGKVKPGDVVI VRNEGPRGGPGMQEMLAPTTAIKAVGLDDKCALVTDGRFSGGSAGASIGHVSPEAAVG GPIGLIRDGDIVEIDIPAGKLSVRLSDEELAARRAEWKPRPSPFKTGWLARYAKMATS ADTGAILKWD OJF2_RS27955 MPETKLKFRCYKCQQLIGVAGRKSGTTVACPRCRAELLVPDPEA RPAVEMSPSSQGATPSLLDQIAAAIPDDLASIRPEDIRAEGDFANFIVTREHDSPPRP FEYGTAEPDPPGPTESAAFPAIDTSGGRAAGGTRTEATRPAEGFGDVPVVIPTTFEEG PTSTLSAGDAVAPVAGIDFGPSSIRPESPPYPRAGEVVLQPATVLAWSLLVLMAVPMA FIAGLLLGHFVWR OJF2_RS27960 MRGSFTAGRGMAILAAFVACVSAQLGPVAPADTVYLKNGIVYRS QGAPDRDNTLVFLWDGLKKTVIRDSRIDHIIGDNAFRTGEKFTLVQPLSVHGGSMPKN VTSVQAGPWNERGRRDFRYQVSPTSRPVAMEQAIIEIGPHVTRYRAVDNFWLGQVATS QVPREVITGLLGKVEQANQAERERVVRFFMDAGWYPEAKTELDRLVKEFPKTDLAERA AGAKTFMLQAEATQRRSDFEARRRAQQYRKASALLKSFTDKAIPTELVLEVRELIRQD DDQRAADQAMASDLSRLEAKLPAVDRGTWRKRTIEATRALGQAPDAVRERFNAWRKSK AVPGTTDANQFALAMSGYVAGSDAASPDLNAADALWKARDLIRDYLNAPDAAAREGIS ARLEELAWPAGENVPDGYRRLELATKIAQLMPPPLHDPSAEPDKVIAHKLEAGEEEEP TEYSIVLPPEYHPLRAYPALVVLHSGDGPKKGLDAWSAEATRRGYIVIAPEYGTSGEG AEYHYSPSEHAAVEIALRDARKRYAIDSDRVFVAGQLQGGTMAWDLALGHPDLFAGAA VISGFPAKYVLRSLGQHDRLPLYYAVGDLAPAANEIVFGNYLKPLILKAWDVTYCEYT RRALEELPEEIPFVLDWTDRHRRDPYPKTFDAASARTCDSRFFGVVVRDFSPGRTTAP EAVEVLGQNLSPATIKYRTSSVGNLVNVRVSGVNRLDVWVSPRLIDFKKKLEVRVNDK PRVKGMVKLDLEPFLEDLRIRGDRQQVYWLKVTAG OJF2_RS27965 MQPQTPDWVRDAVFYQIFPDRFARSVTVPKPKHLDEWGATPTYH GYQGGDLIGVIEHLDYLQDLGINAIYFTPIFQSASNHRYHTHDYEKVDPMLGGNSALG RLIEEAHARRIRVVLDGVFNHASRGFFQFHDIMENGQNSAYLDWFHINGFPLNAYDAE KKPNYGAWWGLPALPKFNTGSPEVREFLWGIGRRWIDAGIDGWRLDVPNEIDDDSFWR EFRRRVHAGNPEAYIVGEVWTDSQRWLQGDMWDAVMNYQFTKACVAYFIGEKVNEKEL RPTALHPAGAPGAESFKRAIERLLGLYAPEINAVMLNLLGSHDMARFVTLANDDVSAF RLATTFQLTYPGAPSIYYGDEVGLRGGHDPFNRGAFPWHRPEAWDRDLLHEFQRLISL RRARPALRRGSFKILHAAGDLLAHTRQLDGETIVVAFNAATRHHKLSIPMQGVADDDT VFEEPGKPRSAQKVQAGALHVELPPRSSLILATPFPG OJF2_RS27970 MPLDRVPPAWRMPEGVNVSLWEYLHTPRLAVEEDAYFAGHPLFE ADARILDSRLDVPGRLVDLGCGAGRHALRFAARGFPVVAVDLSRSMLETVLRKADDMG VRLLTTRANLCRLGCFPDATFDLALSMFSTLGMIRGRAARRRALGEACRILRPGGRLV LHVHNLWLNLRDPQGRIWLMGQLARAIRGHSELGDRRMTYRGIPGMEVHLYRLGEIRR ELGRAGFRVEEVIPLEDVSYRVIERPRLLPGIRAGGWILFARKPG OJF2_RS27975 MYGTLKGHLRGQLEEIKGAGLWKGERIITSPQQAHVGVRGRGDV LNMCANNYLGLADHPEVVAAARGALEKWGNGMASVRFICGTQAPHKELEAAISEFLGT EDTILYSSCWDANGGLFESILGPEDAVISDELNHASIIDGIRLCKAKRLRYKNNDLAD LEAKLKEAAPARFRLIATDGVFSMDGIIADLEGICDLAEKYDATVMVDDSHAVGFMGK HGRGTHEHCGVMGRVDILTGTLGKALGGASGGYTSGPAEVINLLRQRSRPYLFSNAVA PPILEASLKAIEICRRSTELRDKLEANTRYFRDEMTRRKFNIIPGEHPIAPIMIGDAA LAGKLAEKLLEKGVYAIGFSYPVVPHGKARVRVQISAAHSREDLDFAIEAFTAARDEL GI OJF2_RS27980 METMQALVKKEARPGLWLERVPVPRIGINDVLIKVLRTGICGTD VHIYEWDAWAQKTIPVPMVVGHEFVGQIVEAGSNVTDFHIGDIVSGEGHVVCGRCRNC LAGRRHLCKDTKGVGVNRPGAFAEYLALPMTNVWVHDPHIPRDVQSIFDPFGNAVHTA LQFDVLGEDILITGAGPIGVMAAAVVRHAGARHVVVTDVNPYRLELARKLGATLALDV REGSIPAAQERLGMKEGFDVGLEMSGNPAAFRDLLANMCHGGKIAMLGIPTQDIAIDW HTVVFNMLTIKGIYGREMYETWYKMTVMLQSGLDISPVITHRYAFEDFEKGFDAMRSG KSGKVVLTWNEG OJF2_RS27985 MRDRTVPRASGGRQAKAVVLDFIQRRIEAFNAARGGGVAVRKDA HGYSLFHLDMVTPVARLRPRDSGERFEVLYWSPFRERWSAVGEFGGIILSLDDALEFI ARDPMGCFWH OJF2_RS27990 MTPDKSLLMLLDCVRDKTLNELKDLDDRHARWAPPGLQNSCLWH AGHAYFVTEFLTMKALGKEPKLPANWLKIFSWESNPAHTAPESWPPLDDIREALIEQH ARLREVYAGLSPEALDAPDAGNPSRTVRYAILRALQDEARHSGEVTLLRKMMRKTFVV PSPSLG OJF2_RS27995 MAVVTCPQCEAPLKVPAEWSGKKVRCRSCASVFVAEPAARPRPR AAEPTGIPDERAIAKRTGKQWEVGETVALSGRPSPRPRGTDDVADRPPLPPLAQAIGF LPFLGAIGLMTVGGAIGGGIGGGIAGAFVTANMAILRQTRLSMASRAAAVVAIGLLSN ALLIGSTVWLVKRSAERKADGAPSVVHVPPPPRVQAKESPGAPQAPPGFPGAGRGTPG FPGTAKAQAAAGPGKPAPWGRDRLRVADESGPLGRPDGDAYKTALGPFEATDDPAGDC RISVDGTSAQIEVPSGLHDLVRRPGSRATAPRAFTTVEGDFFIKVAVPPYQPPRGASP MGPGAPYHGAGLFLMGEDGESMRIERASFVRDGVLTTYFLSSYQMGEWEPKEEEEAAS PDGTCYLFAERKNGKLVVGTSTDNATWTTSQPISFPSARVDVGIAAVNTASRPHKAVF RDIQLRTP OJF2_RS40160 MTTRLATQTANGTTLSFRNRATNLVYREIGRFLDMIMTPILGQG QNK OJF2_RS28000 MRHDGRQGYLRSVLALLDVPVSSQVLVFSKTSFQSTLIGPETPR AIYFNDDVYVGYVQGSDVLEFSAADPSLGGTFYLLDQEQTPRPSFRRQTHDCLQCHAS SKTEDVPGHLIRSVYPEPSGQPAYNAGTFTTSHESPLRERWGGWYVTGTHGRQRHMGN VLISDRMRPELLDVGRGANRTDLKPKFDTSAYLAPGSDIVALLVLEHQAKMHNLITLT NYQARMAVEYSREINKALGEPEGAMSESTARRFHGPAEDLVRYMLFADEAELTDPIRG TSSFAVDFSARGPRDGRGRSLRDFDLETRIFRYPCSYLIYSRAFDALPAPAKERVYLR LWEVLSGKDQTPAYARRTPEERAAILEILRETKVGLPDYWKAR OJF2_RS28005 MMPTARRSRALGPAAVAALTVWIALGQRCGTSAEPPPSAGAQLF ARDNLIAWCIVPFDSKKRSPEERAAMLQKLGFRHFAYDWRAEHVPTFDAEIESLQRHG VSLDAFWGSGELNPDMRHILDVLKRHRVKAQLWVLLDLGQDAVKGAEQERRVEAAAAK LGPLAEEAAKIGCSVALYNHGGWFGEPENQLAIIDRLKSRGVANVGMVYNLHHGHDHL GRFAAILRQIRPHLVALNLNGMDSGGDRVGRKILPLGQGEHDLELLRIIRDSGYRGPI GILGHTQDDAEARLRDNLDGLDWLLPQLDGKAPGPRPTPRTPVPPRPDAKAAGAGAGD DAKAVEAASLAAVARKEGDPRRGVAVFLDPKFSCTNCHRVGDLGGTIGPELTTAGACL TPEEIAESVLFPSLKVKPGYQALAVSTQDGKSYQGYPVESSSTEILFKDAASGSLVKL AKSSIEEERPIGTVMPDGIAASMTRAERRDLVRFLMDLGRPGGTAAGLVARGGHATAA FSYDRRPLHPERWEHWQEKVNRDRVYDFYQKEAEAFRGKSPLPAMLPPYPGLDGGSYG HWGNQNEASWADDRWNKTSLGSVLSGVFRGAGVTVPKGVCVRLGERGELSACFNPETL CYEAVWAGGFVKFSPTRHGFMDGLIMDGSPLPRPEGKKPDRPFQYRGFYRHGSSVIFA YRIDGRDYLDVADVKDGAFRRTVLPAGAEEMARLARGGPPQWPQVLTTRGTAGRGRPY AIDTIEPPFANPWKALLFFGDHDFLPDGSALVCTVQGDVWHVSNLDDSLSAVRWRRFA SGLHQALGLVVVDGKACVLGRDQITRLHDLNGDGEADFYECVSNAYETSPAGHDFICG LQRDPAGYFYTASGKQGVLKISPDGRSVEVLATGLRNPDGVALSSTGILTAPSSEGEW TPTSMICEVKPGAHFGYGGPRGGQPPCLPLVFLPRGLDNSSGSQVEVTSDRWGPLKGL MVHFSFGAGTAFLVLREQVEGQSQAAAIPLPGDFRSGVHRGRFSPKDGQLYVSGLTGW GTYTPDDGCFQRVRYTGDPVQLPVASHAHENGILLTFSGPIDRAVAGKASNHLAQAWN YRYSSAYGSQELSPSHRGVPGHDVWPVQSAHVLADGRSLFLEIPDLQPVNQLHLRVKV DAGEPLDAFLTVHRLTAPFTGFPGYRPGNKTIAAHPLLADLASLNEARVPNRWRPKIA GAREVRVKAGPNLTFLPSSLTARPDEPIKLVFQNPDVVPHNWALLRPGSLARVGDLLN RIIAEADAAVRHYIPRSDDVIAYVDITDPGTEFAIYFRAPSARGRYPFVCTFPGHWMV MNGVLTVE OJF2_RS28010 MAFRMTHCLVGLAAAVGSTAVAGEPAADRGRAKVAMTSPLMSRD DHIRQRAFDGKAETSFRSRDPAKAGDSLTLTFDAAASVKSVGVTTGRPDGSEPLDAGV LEVSEDGAKFERVADFDARGVARATISGGPIRAIRIAAARDLGHPLEVRELAIESDRV KPYLHPFELTVVCDDEPGLKTWADETARLCEQWYDTLVLTLVDGPCTPPGRARLEFRK DYRGVAEAGRNHITASVAWFDGHRDDRGAIIHETVHLIQGYRGYGTPRCPSWLVEGMD DYIRFFVYEPGKAGPVNPMTANYDGAYRTTATFLDFVARKHDPDIVRKLDRALRDVRY DEATWARLTGKSAEALNEEWLASIDAPKDRPRRADRPARGGAGGGPGRPGRGRRPSDP RPTWKEHWFEHDQLVKLVEATDDVAVYFDDDVPRDESTRWIVPLLSKVWKYSKQTYGD LGPDGHLYAIFHQGRYSGGHSSTHFDASHDRRNVIDFGPGPWPASTSAVPMFEIGRLV EAVAHGIHGSPASGLWQGKWNEIYEYDLYVGLGMEGEAKRVFDAFVAQSDDFPRAGTH WFRDFFFPAWRDHGKSKLMASFLGLAARYFPKDYEDDDRTLRYGREMNWGEFVHFLSG AAGKDLRPLARKAFGWPEEWEKEFQKARREFGEVRYAD OJF2_RS28015 MKDDDKAVYLGTDCGATTSKVGAVWGDGTVVSTKLLQRVTRSQD GPAAVVASWVDAASDFLSQHDLTWDQVHGAGLAIPGPYQRYGVLDKSPNLPDTFTGFD VHNAYAGALADRAGRPIPLVVGNDGNLGGVGEAQHVRGRGHGTVLLLAPGSGLGCAFV DERGLPLDGDTLAGMEGGHVPIPLHLLGAKPYPCGCGRTWGCVEVYTTLSGLPYLLEE RLEKYPDHELAKSGKPMKERAFALRTLAQNGDPLALEIFDFQAKALGIHVAVMAMAVD PRYVVIGGGLMDPEATSDAFRERYLGVVRDTALPLLWPAQRTTLTIVPASLGDLSQSI GAALVALYRSRS OJF2_RS28020 MAERKRVVIIGGGFGGLEAAKKLAKAPVEVLLLDRKNHHTFQPL LYQVATAALSPADIASPIRHILHKQANCRVALAHATAIDAARKVVVVEGGEIPYDWLI LAAGATHSYFGRDDWEHLAPGLKSVEDATTIRTRLLLAFEDAEYEVGEEGRRAALTFA IVGGGPTGVELAGAIKEIAAKAIPADFRNIDTKTARVLLLQGGDRLLPGLDPSLGERA KKDLEKLGVEVMLNSRVTGVTEGGVTIGDEFVPARNVLWAAGVKANPIGRTLGAPLDR SGRVMVAPDLTVPGAPGVFVIGDMAAAEVEPGKPVPGVAPAAIQMGKHAAKLIAAEAR GEVVPPDRRKFVYRDKGTLATIGRGRAVAQIGRLKFGGLLAWLLWACVHVLSLVNFRN RTAVLFNWAWQLFTFGRGARLITGDPKIPVAIPGPGPKPTLASFSRSPGS OJF2_RS28025 MLILALDQGTTSSRAILFDEAGAVRGVAQREFRQIYPKPGWVEH DPSEIWSSQIGVAAEVLGRCEVGPRDVAAIGITNQRETTIVWDRASGHPIHNAIVWQD RRTAPLMDRMKADGSEALLRERTGLVADPYFSASKVRWILDAVPGARQRAERGELAFG TVDSWLVSRLTGGKLHATDATNASRTLLFNIHTRQWDDELLGLFGVPRAMLPEVRDSS HVYGEVTADLAPGNVPIAGIAGDQHAALFGQQCRRGGMLKNTYGTGCFMLMNTEGDAI ASSRGLITTPAWSLGGKLTYALEGSVFVAGAAVQWLRDGLGLIESASDIEPLADSVED AGGVYLVPAFTGLGAPYWDPHARGVLVGLSRGASRAHLARAVLESIAHQSADLAEAME ADAGLGPRTLRVDGGASCNNLLMQIQADLLQAPVERPVVTETTALGAAFLAGLAVGFW KDDDELAGSWTLDRRFEPRMSPGHAAEQRRQWRRAVERAKGWAEADSAGTVPA OJF2_RS28030 MNRDEMMAKLSDRSITWDVVVIGGGATGIGVAVDAAARGYRTAL LERSDFGKGTSSRSTKLVHGGVRYLQQGNVSLVIEALRERGLLMKLAPHLVGNLRFVV PNYAWWEAPFYGIGMKVYDLLAGRYGFGPSKVLSREQTLALLPTIRTDGLRGGVQYFD GLFDDSRLLINLAQTAFEHGATVANYVRVDRLLKDAEGLIRGVVAQDLEAGAELELSA RVVVNATGPFADALRRADDPSLGPIIAPSQGAHVVLPKEFLPGDSAIMVPHTADGRVM FAIPWHGHVVVGTTDVPIDHVPVDPAPMSDEVDFILETAAGYLARPATRADVRSAFAG IRPLVRAGEGTSTAALSRDHHLEIAPSGLVTICGGKWTTYRHMAEDTVDQAAIVGGLP ERPCPTRELFIHGSAETLLGEDPLSVYGSDAIAIRSLARSSGRLAGQLHPDLPIVAAQ VAWAAQQEMARTVEDVLCRRTRAAFLNAPAALAMAADVASILAAELGRDDAWAASQVE AFRPIGESFVAS OJF2_RS28035 MPIRRTPGTLLLASITASILFVGIYAATRRGMATPAGVPAAPAA NRREAAIVFTSRTEPASLQPEAPESEPYREPVRVPWAAREGRLRVLEDGRMRELTWDR PLADGSTIIDVMSPSVSLDGTRVLFAGRTDRNDRWRIFQVRPDGSGLERLTGGPDDPG CVAVPPLRFRADGSRIPDDERRRRDFDDVDPADLGPNGFAFASSRMPDLGRDHSRRAT QIWAWAPDAAAPTPLTGNRNNDRWPVLLPSNQVLFSLWSRNREAVRADLEGVEPVSMG GEHLTRPADNWMGAMVMTNGAQLAYAVKSREPVWRPRPLFNGRIAFMTAPSPGSPTRL AQADHGYIRTAPSSLAAGEDLPYEGGARLDLGPECDEEGRRLNASCPTPAPGHRVLFA ASPVGASPSETGIYEVADDWTSGTAAPRRLFDDPAFVDAEPAAVYPRRLASETRKMTP PSDIHEKPRSFPLVNGEIYEGPMGYVENLAINLPIRNPIPWHDRSGAAKVDPRVNPLI SPPPNVASVAAYAAGRDRFDDPVKLRVPGKWEKVAVMPMADNKALRGWIPSDPLRPMV LVGQDAEGKVARWSGKPSAGRPSRSYFAYAGDHYSGVRQDGYHYCNGCHTGHTFVVVD PTERADDSKDRGSPAR OJF2_RS28040 MPLKRRRGFTLIELLVVIAIIAVLIALLLPAVQSAREAARRAQC TNNLKQIGLCLHNVHSTENRFPPGALDNGAIWSAWLTPYFEQKALSDAMWLLPEGNHL DDGNLGQPGSNGDWAAPDPGFPAPDITKQGSDGGAWGPATERCVAACETEIAILRCPS HDIPDHVYTPSYENWIVQRRVPISYAANASGKALQLNTDQDAITNNDGAFQLERTTGG QTYGRRLKIPAFTDGLSNTVFVGEEVYRLKNSYSVSELDLQGVARRKGLWEFGSDSID CEMSMNEAMGSTGVPMNLKPLDPSVNSGAALEAYIVSYGSNHAGGANFLMGDGSVRFI KSTINPTTYTALGTRAGGEVVSADAY OJF2_RS28045 MRRRARIAALPLLALALAAGCSQEPSRHAVTGTVTLDGQPAPYV AVKFIPPSRDSWPGGATRTDTAGKFTIGEVGKNTGLPAGEYKVTFSQTRVKGKPTPAG SGGKAQEKEKTETEAVAEEFRDPEKTKITATVGSGANEFTFDVKSGK OJF2_RS28050 MDGTPSLTIRRSLTLGLLIAGYTGYYACRSNLSVAQTMIIQDLG AAGVSAEAAKLGLGAAISLGILAYALGKPFAGPLADFFGGRAGFLGGMAGSIACTVAF AMSGTLPAFTAIWAGNRLVQSFGWAGAIKVVSRWFPPSRYGTAMGLLSLSFLFGDAAA RLAMGRLIDLGFGWRGIFLASAGILSGLLVINGLWLRESPAELGLPEPDAGDASVYGK GGDRPRASGLRKLLVPLLRSPSFWLVCGLSLGLTLLRESFNAWSPTYFAESLGLSRAD AARASSLFPLFGGISVLLAGYLGDRLGRAGRGAVILAGLSLAGLGLLALGMGDFGRSQ RIPIALVAAVAFCLLGPYSYLAGAISLDLGGKHGGATASGFVDAAGYLGGALAGWGLA RTSIDFGWRGVFLALAVVAWASAAVAMIYLLAQRRAELRTEDTAVDMNHEMPSLYERI ERIFTDRGDSAYFGEDVTQSEHALQSAHLAEREGASAELIVAALLHDIGHLVAGHDED LADRGIDGRHEETGPAWLSEAFGLSVIEPIRLHVAAKRYLCAVDPAYAGSLSEASRQS LTLQGGPFDAEGVAEFEANPHHRDAIRLRRWDDTAKVPGLDVPGLSHYRGIIESAVGA GAR OJF2_RS28055 MSRLAWIATVVGLMVMPVRGGEEAVVPRAGHVVIIGVDGLSPDG LLKAKVPVVDRLKREGAWSFHARGVMPTVSSPNWASMIMGAGPEQHGVLSNEWQPGKS SITPTAQAHGGFPTVFGILREQRPKSKIGIFHDWDGFARLVEPGVADVVLNPKGPQAT VEKAVEYIMKEKPTLTFIHLDHVDHAGHHDGHGTPQYYAAVAEADRLIGEVLRALERA GMAGDTLVLITADHGGKGKGHGGNTMGELEIPWIVAGPGVARGKEIGSPVNTFDTAAT VAAVLGINPPECWIARPVRAAFAASTPTAAAR OJF2_RS28060 MPPESLPRYVEIARAIEASVSAKPPGDARLPSSREIAREHGVSP VTASRAIQVLRDKGLIRTIDRSGSYATPRAQAAAADCWALVLRNTPGPWWHASHSFAL SAFESAAHAEGSRFDPDCFDLDGPAARESHFRRQAREAVASGIRGVFLMPSRASDKEA ARDEALLHACLESGLPVVLIERNVRGAGRRLEHDLAAADDFDGGLRCTEHLLEIGRRR IAFMAGSPTSSHEGRLAGYLAALGRAQIALRPLILEQQSDPDPRDACRRLADQVLRQK ADAVVCYQDYAALSLIVELLARGVRVPADVAITGFDNLPIGEAFAIGLTTYAFPMEAI ASQAIRVMRWRLTSPSGPPVKVLVQGELLVRQSTVPA OJF2_RS28065 MRTAILLCPLLALPAPSGQQAAGPSPPAPVDPVFRSAIAAWQMG DARSLAGDDALRIAGDAGIGVTLDGDDLRASLEGGNDGRVARLDGGYLDAGQGAGGRL NPSGRSLTVSVRLRCPSGAWDGPIFSKHGGHDRLVYNVYSSRSHVGFELGLRDRPGMT SVLVPLDRIGEKDWHDVVGRYDGKAVQVFVDGVLMDEAPAEGPIREGNAAPCLIGGEP SGGGVKTGWKGLIDHVAIWDRALPAADIERLAGGAASVAARRKRYTQVVQLPPAPDLY RETLRPQFHFTARQWGVRKLNPGMREEGWLNDVNGLIHLDGEYHLMAQRWARCWIHAV SKDLIHWTELQPAFWDDRRYGTGVQSGTAVLDARNVSKLSPDDRTPPLVAFWSGFDNH SQCISYSLDRGRTWAKYAGNPIFHHPERDPKVFWHEPTRRWVMVLSCVNSYLFFTSEN LLDWHEEKDPIPDCFECPDFFSLPLDGDPSRPKWVLIRGNGSYSVGEFDGRSFRAQTP VRPGDHGPNFYATGSWGDIAGQPGRRVQIAWMRGGSYPEMPFNQQMSFPCDLSLRTVD GSPRMYRRPAPEIATLHGKEHAVGDLELAPGQSRPLPQPRDGFRLLAEVECGEGATLT FTLRGTKVVIDGKTIVSRCEPVAASGSVRTVEILVDRASIETFANDGEVSISACFLPT DDRLAVGSSGGPARVRSLRIIELESAWPTRVDGPGPAARAGSSARRGLPG OJF2_RS28070 MADGPIRFVLVGGFLGAGKTTAIARLARMYRDRGLRVGIITNDQ ADDLVDTLSLRAQGFDVGEVSGACFCCHFNELTRSAAELTAGARPDVILAEPVGSCTD LVATVVRPLMRYFGGEYEVGPYCVLVKPEYASRLLDGDEAALASGTSYIFRKQLEEAD VILINKVDALDAVQVGRLDRLARETNPAASILHASARTGEGFDSLIDRLDGEGPIGAR QLDVDYDAYADGEAELGWLNATAQIRSPQPISVDDLVLSIARRIGDSLPGEDADIAHL KVAARSGPLHGVASVVDNRGGAALSIPAAGRSDDVAVTVNARVAMEPAILEDTVRRVL ADACGERGLSLEVRDVRSFRPGRPVPVHRLS OJF2_RS28075 MRRKSIAELVATFILVFAGTGAIVVNQEQGGAVTHVGISLVFGL VVLAMIYAVGDISGAHINPAVSIAFAASGRFPARALPPYLLCQVAGALAASLLLRGLF PSNDTLGATLPAGAVWQSFVFELVLTFILMFVVLRVSSGAKEKGITAGIAVGAVIALE ALFGGPVCGASMNPARSLAPAVVSGHTEHLWAYLAAPTLGALLAVAFDKLLEQQPATG VSPGSAS OJF2_RS28080 MVSNGRRVVVTGIGMVTPVGLDVESSWEAIREGRGGVGPITLFE AGTFATRIAAEVKGFDLSRDLGAAAERWSRHSRSTRFALAAASQALRGSGLLDGPAFD PTSLGVYLGAGEGQANFPRFVELIGKSSVGGRVDTGRFTSQGTSVLEPLHETEQEPGS PAGHLAAAFGATGPNMSCLTACSASAQAIGEAADLIRDGAAEAMLAGGVHSMIHPFGL TGFILLTAMSRRNDDPAHASRPFDRDRDGFVLGEGAGMLVLESLDHARARGAEILGEV AGQASTADAFRLTDSHDEGRGAVASMQNALNDAGLNPEDVDYVNAHGTSTKVNDSVET LALKHALGDHARRIPVSSTKSMTGHLIAAGGAVEAIIGLLAIRDGVVPPTINLEEPDD DCDLDYVPKAARDRALDVVMSNSFGFGGQNTTLVMRRFRG OJF2_RS28085 MARLDEHPTVVRHRARAERAEAGPLDAAWLRRLCLEAGADDVGF VSIDRAEVADQRAEILAAFPGTRALISIACRLHPEPIRSPARSVSNQEFHANYEHLND VARRIVRGLADAGVPALNPSSAFPMEMDRYPGKIWVVSHKPIAVAAGLGQVGVHRCVI HPVFGSFINLGTILVARDVSSQAAPVDFNPCLSCKLCVAACPVGAIGADGHFNFSACV THNYREFMSGFTDWVSTIADSKDGRDYRRRVPASESASMWQSLSFKANYKAAYCVAVC PAGEDVIGPFLDDRGKFLKDVVDPLTAKEEPIYVVPGSDAESHVARRFPRKTIRRVRG VTATSVRGFLFGMRLTFQREASRGLSAVYHFTFTGREPAEATVTIRDRAIRVEDGLIG EPDFRVVADGDAWLGVLAGDRSLIWSILRRRIRARGPLRLLAAFRRCFPR OJF2_RS28090 MNRVITAIYDEALRPCGLRVSQANLLVAVARLGEARPAALCRAM RLEKSTLSRDVELLKRNGWLESDPPAGGRNQVLRLTAAGSDLLGRAEPAWAEAQRQAR TLLGDDGVEALRTIAARLGLRKD OJF2_RS28095 MEFRQLGGSGFKVPALTLGTGTFGGGTKFFKAWGDTDAAGASRM VDVCLEAGLNMFDSADIYSNGLAEEVLGQAIKGRREQVLISTKGTFRHGAGPNDVGSS RWHLIRAVDGSLKRLGTDYIDLYQLHGFDAVTPVEEVLGTLDDMVRAGKIRYIGCSNF SGWHLMKSLAVSEKYGWARYVANQAYYSLIGREYEWELMPLGIDQRVGAVVWSPLGWG RLTGKIRRGQPLPAKSRLHETKDMGPPVSDEQLFRVVDALDEVAKETGKLVPQVALNW LLQRPTVSTVIIGARDEEQLTQNLGAVGWSLTVEQVARLDAASAVTPTYPYWHQRGFA ERNPFPTS OJF2_RS28100 MAHLLLIDDDPELLARQMRRVFSRPEHEVDEARTGAEGIAKAGR DAPDVIILDLRLPDRSGLEVHDAIRRIDARIPVIFVTMARKADAAIEAMKHGAYDYLV KPVDLHQLRGVIEGAIEVGRRMREPAIIPERGTDIDAEGVIVGSCPAMIEVYKAIGRV ASQEVPVLITGESGTGKELVARALYQHSGRSRAPFLTLNCAAIPETLLESELFGHEKG AFTSADRRRIGKFEQCNGGTILLDEIGDMPLALQAKVLRILQDQVFERVGGNETVSTD VRLIAATHRDLRTWAADGKFRPDLYYRLGVFTIHLPPLRERGEDLELLVRYLLRRLNP QLGRDVRDVSPEAMTRLRAYPWPGNVRELQSVLKQALLQASGPLLLDQFLPDSLKEPA SAPRQAGREGGPLHADLLGEFSPEDRDLYSELHRQLDRLLLPRAMEFARGSQHQAALH LGIARQTLRQKLRQLGIHPADQGPADEDDRATGAGEP OJF2_RS28105 MVDSPRRSWKATGVAILAPAVLLALRWPLWPALHNRLPNLWFVP GIIIAAYAGSTRGGTIATLLSALASAYFMLSPRHSLAGKDGEDLFALGFFVVMGVVIS AIIGSLHETRRRLVEQEQRRADASVRETEDRFCRMAESIREVFWIWDPGRLSPTYVSP GYQALSGRSCESLRKRPRSWLKAVHPDDRRRLLERVQDWRCGTFKDEEFRVVQPDGAV RHVRTRAYRVCGQDGREPQLAGIAEDVTERKQALEALTAERHLLHTIMDNLPDSIYFK DADSRFLRINRALSDSFGLDDPATALGRTDFDFFTDEHARPAFEDEREILRTGQPLVN KEEKEIYSDGRTRWVSTTKMPYRDCQGRVIGTFGVARDISKVKLAEGALKESEARFRG TFENAAVGIAHLDLGGRWLRVNEILCQILNYDRDELARRTFWDISHPAGLEEELRCFS DLVEGRTSCYSLESRYLRKGGGTIWVDVSVSLQRTADGEPDYAIAVIEDISDRKQLEE ELRRAKEAAEAASRAKDEFLANVSHEIRTPLNAILGMSELVLDTPLSDDQRECLGAAR SAAESLLAIVNDLLDFAKIEAGKVELDRAVFPLRATVGDALKVLAARARMKGLALTLD VDDRVPDALVGDAGRLRQVLINLVGNAVKFTESGRVTVRVRSDEPAGAGREPGPSPVR FEVIDTGVGIPREAQGRIFRAFEQQDTSTTRRFGGTGLGLSIASRLAALMGGKIAVES EPGRGSTFRFAIPFERARAAEVRPDHPAARPSAPPISSSASLRILVAEDDEFNSRYLQ RLLTRRGHSVRLVGNGRDALALADPADFDVLLLDVHMPELDGFHVIRAIREAERTRGG HLPVIGLTARSRPEDRELCLASGMDEYLSKPIRTADLYRAIDDLTAAPAGPPAAAPPR GQAPATTPPRQPSATAPPRNGWLLSPGPLLSACGEDQALLDEMCRFFQEAAPARLAEL GESLRRDDAPAVREVAHKLAGMVSAFSSPAGDLACEIEEEAAEGRVGRSLAVAERLGA MVHELVAAMDGLTIASLEQRAAAGVHANH OJF2_RS28110 MSVHEHVHRLRARLLAADWLALGILTVGMVAIWGFLEIAEGVSE GTTRRFDAWLIHRLRQPGDPTRPLGPIWFEDAVRDLTSLGSLSLLVLVVGAVAGYLWI RRETVALALLFPATIGGLLVSLLLKAIFHRPRPDVVLQLTPAYLSSFPSGHTMNSAVV YLTIGLILSRLSTGVRLRAYAILLPSFLTLTVGLTRIYLGVHYPTDVVAGWAAGAAWM AVVWFLTRRLPIPRSLASADRLPDSPS OJF2_RS28115 MLAIVLCCLMSDSPSPGDRVVDYARSQIGKKVGDGECTRLAVQA LRHSGAKRPDARRGIWGDEVELAEIRPGDILQFEDVVFFKRRRTNGVIFTQRLTFPHH TAVVSGVRGKGARRVLVILHQNTGMDGDDEDERRRVKEGELELATMRSGSLRAFRPVE ASDRDHRPGSGRESPGRPLPRGD OJF2_RS39530 MSMKPEIRVTLSDDLLSHLKKEAEEQRVPLLWLVAGLVCDTLEN AKSPGDYPRALALS OJF2_RS28120 MTGLPPRNKIGLVGTGMVGASFAYSLMQCGVANELILVDADEAR AEGEAMDLNHGMPFVSPMRIRAGGYELLAGCDLTVITAGANQRPGQTRLELLQRNAGV IRDIVPRIVAANPRGVILVATNPVDILTEIAAEVAGLPPGHVFGSGTTLDSARFRFLI GDYLDVNPRSVHAYIIGEHGDSAVPVWSVANIGGIRVSDLATGEGKRIDGAAMDRIFD QTRNAAYEIIQRKKSTYYAIGLALRTVVEAVLRDEQTVLTVCSPMKGQFGVEGISLSL PTIVGHGGAETVLQPPLDPREIGLFRESASILLDQYASVRA OJF2_RS28125 MRASRLDGLDLLRGAVMVLMVLDHTRDYFGDATVNPTDLATTTP ALFLTRWVTHFCAPVFALLAGAGAYLAGARGRPRAGLAWFLATRGLWLIFLEVTVVRL GLFFDLVSPPIILTVLWSIGASFVALAGLCFLPSRVVGALGLLLIATHGLADRFPPGS DAPSMIRAAYTILLRPGFLPVPGGVAMLVGYPLLPWLGVVAAGYGFGELAGLDPGRRP RVMAALGLLLMASFVGLRAWGMYGEPRPWEEGATPALTALSFLNCTKQPPSPLFVLMT LGPAILGLAAADRFGVRAPAGRALVTLGRVPLFYYLLQWYVIHGLAVLYGLARGLPVG WLFSAAALDRPPPGWTLSIPELYVAWAIVVAILYLPCRWYAGFKSRHPGGWLSYL OJF2_RS28130 MQYNPANPLIVQGDKTILLEVDNPLHAEARDTIAPFAELEKSPE HIHTYRLTPLSLWNAAAAGMTAEEMISGLETYSKFSLPANLPADLRDLVSRYGRVRLE RVDGRLRLVTQDQPLLEELARQRGVRDYLGERIDGTSFAIDDAHRGVLKQSLIAVGYP AEDVAGYTTGTALAVDLRATAKSGSAFHVRDYQRGAVEAFHAGGDVKGGSGVIVLPCG AGKTIVGLAALAAVKAETLVLTTSTTSVEQWRREILDKTDLTEDKVTLYTGDSKEIGP VTLATYQIVTYRPRKDGDFPHFGLFSQRNWGLIIYDEVHLLPAPVFRITADIQARRRL GLTATLVREDHREEDVFSLIGPKKYDVPWRVLESKGWIAEAQCHEIRLGLPPESRMEY AVAEWRDKFRLASENPVKEDLVGLLLDRHDGPEDRVLVIGQYLKQLRRIAERYGLPLI TGSTSNSEREDLYGRFRTGALRKLVLSKVGNFAIDLPDANVMIQVSGTFGSRQEEAQR LGRILRPKEGEKGACFYTLVTRDTREMDFSHHRQLFLTEQGYSYEIVDESDLVPSKAP SPAERAG OJF2_RS28135 MSRAPSKVPVKLHRNVTLIRTTDPILAEELMSRKSLARMVLARL TDTLLLVKPDEAEGALDELRRMGHTPRVVR OJF2_RS28140 MSTLPGPRPEPPAPTPATPPSAPPGWAADAPAEPADARLAFRDA LARLEPARLAATLQAAGLEPSRHAAGMASDWTSHLDSPRELAKLLSPLGHPARMVLTL FAMTDTTVWPLAGLRLALQCLGVEPAAAVRELLEPALAAIDGPLDPAGPASFPGHLDE TSPPWLLIRIHPAAPGAVRVTLPEAPPRPLEEPVVQVRDADALEPVIRLGAIWQRVGA EPLRQTMQGVLYKRDLERVEGDAVLSGDVSDAPEPAPRLPILWLSLARRVGLIKEEDD RLTAAGAEFWPENAIHLPSMIATGWLGLRTWRESEPSPRTPDPGILLAYARPAVILWL AALAEDRWVALEDLAEHLRALAPGWDRTSTGAAGGDATAAPRRDAPPKRRIAGPGGRN GRGDQALRAILLGSGFAVGMIRVGEERGTGRTAVQLTPLGRYVLTLGPPPPASPTLEH FLFVQPNLEMIAYRQGLSPRLVGRLSQFAWWSKIGAALELKLTQESVVFGLETGLNAG RMIEILSSHSQRALPSLVPDAIGRWASHRDRVTYYAAATLLEFGSRQERDEASHSWNL DSPDTFLPVGDRFILVESAEKVPRDRISSRAARDYRLPPERCVAVEDDGITLAVDPNR SDLLVDAELRRIADEAPPPRGAGQGAALSRRYVVGAGSLLRAAELGLGADQIGDWFLG RTGSPPSPAIALLLRSITPGEGPATVRARRLLVLTTPTPEVADGLVQHPASRDLVGER IGPTSLVVAEEDLGRLRSAAESVGLRMLDD OJF2_RS28145 MFATRPFRNGLIALAVALAGPASALSGDEPKGEPSLLENDPKGW IDMLTGAGPELEGWVRGPIPGTAELPEKSPWSFDKATSSLICDGSNSHEWLRLDQVLT DYVLHVEWRFEKVAGKKGYNSGVYVRTSSDQKLWHQAQCGDGSGGYLFGETMSNYASK PFDLSKEVRDRRVKPAGEWNTYELTCRGREVTLWVNGAVTCVMKPCPVPRGYIGVESE GYRIEFRNIRVKML OJF2_RS28150 MSLAPLLVALAAATASAAPSSGPVLLDFHAEWCPPCRQMRPVVA QLVDKGYPIRSVDIDKHPELAEKYGVSAVPTFVVIDRAGNELGRSKGSQPASQLAQLY NQARAKAHPPAGPRAHAQEDEDGGDAEAEEGADDVPPARTNAALVANDEEPAEDPKAR TESEEEAEVAAAFRNPRPWETVVRIRVESKGSIGFGSGTVIHSTADEAIILTCAHIFK LDGQRQASPDRFPRPISVDLFDGKLHGESPQTVHFVERVPGKAIDYDFDLDVGLIRIR PGRKLPASRVVPAHWKPQARFAMMSVGCSEGHDATPMPTMIINPKMRGLSGHQAYEAI ECLKAPKQGRSGGGLYTTDGYLAGVCNFAEPRGDHGLYATPDSIYSILDRNRLTELYA PVGGRGDTLLASRGGQARPQARGGAAPITVARGQSPDGLEEHRVPDPEFLHIKVPATR QASGGKDGSTWRMARSPGNAARQQVAKLTTPEPIDGPRQTEINIDPSVGGGHIATEDP TDESGPGGQPARASKINTEDVPAAPVAARPSPAPAGNKSGWRPSRELEGSSASGPSNR OJF2_RS28155 MDDAERIGRQRPAPRRRARFTRSMAIWSWIVGLCLASLVASYVM FVEPPPPRHLVIAGGSRGGAYFRHATAYAEELRREGLDVAVRETAGSVENLELLVQDG SGVSIGIVQSGVADEAQAARLLALGSLYREPLWVFYRGDRTIDRPAQLEGLRIGVGPE GSGTLAVTMRLLAANGIGPEPTTPGGRGTRLTHAEAANAAEAFSRGELDAAFFVAAFE ADYIRRLIADPAAKLMSFAQQEAYRRRFRYLSPVTLPAGVVDLGKGIPARDVALLAPT AMLVVRGDFSPALVPVLLAAATRIHGGGSVLSEPGEFPSESYCDIPLSEDARRFYRSG QPFLQRLLPFWLASLADRAKLMLIPLVMLLMPMLRAAPPLIRWRTRRKIYRWYSVLRE IDQKLAAGLGGLDLDRELQRLRNIEHKVVWVEVPLSYMEEFYQLRMHLSMMQQRLLDL RDRGEPADEPS OJF2_RS28160 MAALLAPLIAVAGCSGNDGRVAVYPVKGKVTVGGEVPEGALVVL HPTGGAGATEHSPSGKVKQDGSFSLTTYEAEDGAPAGNYVATIQWNKLIKKGNDFVAG PNAVAKEYGSKDSSPWQVTVESKPNELPPREIK OJF2_RS28165 MNSARRRGFTLIELLVVIAIIAVLIALLLPAVQSAREAARRAQC VNNLKQLGIAVHNFHDVNTQLPSSDRPAGATTLPRIAALTFMLPFLEQTNVFNAYNQS ANWSSPVNSTSSLRKIATFLCPSSAYQDVFDGDPNPPMTWAANVTPPTDYSPTIGVDR RLGAGYLGLPVAAGDTTTIGAWTGMLPKNSKSRLSNVTDGLSNTIAFAESAGRPFVLR KGGKVSSSDLINHRVNGGGWSRPASDFSVDGSSADGSIIPGPCPLNCTNGDDIGGQTF PYTYYGSEGSSEAYAFHPGGANFLIGDGSVRFIKETVTMSIFAQLVTRAGGEVVSADS Y OJF2_RS28170 MDRRIGARLEGYFWLVVLVVHAIAAAAGWWLRAGGFPWDHPRFW LHRGLPAIGLGWTLAGLVALHRRREGVLALLLPAYPAAWGAAAVTAKSGFPITFRTLW LAPLAASAVMSSALILLLIRAAERRSWPLAIVAAAASAILGSWMAISLRADPPGTHPR GEATAVVLPEPAQGGVASRPPGGLLRLGPDAAVYASEGSIIATPATLKIEVHPLLRFL SLSPDGCWVVFNTAMQREGPEPRLDAVREAGEGVRELTYRFPGVGSARMIVTPDRHTR WLYVDAATTIDRDVDSHLNSYCDVEVRGHRRLSLSFSPCDWARIEVLPFGYPSGRPLR FAYVDEARRFVVAEAASGEKGPFRTLAEGYLRHGEPLTITFYDEDRASGRVTLRDWST QLDTGLLPTAGWGVPNNAIEFSLSSPDPASPASVFATLAATSVGRGWDCVGHASGTYR NRVEIGP OJF2_RS28175 MEDLRFDRRIGLRMGLATGVAAALFVAAIAPARADDEAAPPGFV SLFNGKDLAGWKVPEGDNGHWKVVDGAIDYDAMSEAPGDKSLWLDREFVDFELRLDWR IKEAPYINRGIPYILPDGTHAKDVHGRELKLALPDADSGVYLRGSGNHQVNIWCWPIG SGEMYGIRTNPATPPALRAAVTPRHQADRPIGEWNRFEIRVKCNTVTTILNGVLVVPT VAIPDLPPRGRLALQHHGLKRNGEWAGPPSLLQYKNIYIKILNEK OJF2_RS28180 MADILEGKVAVITGGATGIGKAVATRLAARGAKVVIAGRDHIRG KTSATDLAKQGYDVKFLRTDVRIENAIATLFEEAIDLYGGLDLLFNNAGIEGVLGPMS ASDEEIVDDVLAVNIKGVFLCMKEVLPLFCRQGGGIIVNTSSFVGTVLPFPNAVLLGA TQAGVISMTQSVAAGYAGENIKVYAVCPWLTDTPMMDRLAHHKDAVKDELAMMNPSGR AARPEDVANVVLAMFSGDPGFQSGDAVLVDHGGATQKIRPMSA OJF2_RS28185 MSAWTRKSGAVCVLLAGLFAGCRPDVPVEELPDAARTMRDVGRR LGASLSETRLTELAARGPALLAFLDRAERDALGRAYLRFRVDRPVAVAVAAPPSSVPF WIRDRGFIEDGDGLEVEGSRWSVYRKDFPAGWIGLGVNGLDRSPAAHYVAFVRAKAGS PPLEAAEVELSEDCRQAWRLVVAGPGVSASSDLRRPIENLPRGLQGAVALQPAHDGRH STVLATGRVWKTHVPSSGRPDQVTLAYGADPAHELVWGWRTAPEVQRSMLRLVAARFE SAEPDENRDPDLSSARVIEGTSRLLDTSNVLNDPLVRRHSVEVKGLQEDTVYLYSLGD GSAGGWGPWRATKTAKGRPGRLEFLYMGDAQTGLEDWGKRLMAAHRRHPGIEFVLLAG DLVDRGNERTNWDHFFLRARDLFDRVPVMPCVGNHEYLDRGPQLYRANFALPRNGPAG IDPGLVYHFEAGNAFIAVLDSTLAVSDPASAELQARWLDEALARTRAGWKIVMFHHPV YPSHPWRDSPNLRERWVPIMDRHRVDLVLQGHDHAYLRTYPMRGNRRASASEEGTVYV VSVAGDKFVDQPPRDYIEVGFTGTSTYQTIEIDDVTRKLTYRSWTGEGEQVDGMVLSR RAEGRLEEIASEGTRAVE OJF2_RS28190 MLISFRCDFCRKEFRKDESLAGKKGRCSQCGHVFIIPAASHSPV TESGRPSAPERAVAERRPASSTEKRHVAPSRDTRAATPRTAAAQAPLPPRYAPPPMDD DPYGLHDLPPRMPVAAAADADDLVVPRRAGMSLATPGTRRKRRRREEAELFSGLPDVT YLLAPALMGVTYVLALTGLVPRPTGGLIFLGTAGLSALLFLIYGGIGLIVGGFRGGLL NGLLCWFCPFYIFGYVAKEWDMMKGAFLSYVAGIGVVIATAVLLPSLAPANPSGGDES VAPRSPTWTADEPSTPRPSAGTGFPPGVRGGYQPSRPGGFPGFPGASHRPASSKSGAG F OJF2_RS28195 MGQGGGYGGPLEAAGVCQWRIPRSYREDMRVEGLIFADDALIEQ IKKDQGPEQVVNVATLPGIQKASLAMPDIHWGYGFCIGGVAATDPEQGGVISPGGVGY DINCGVRLLRSNLEWTDVKERVRPLVDRLFHDIPTGMGQRGRFVFDKPELVRLMEQGA SFVVKKGFGTERDVEFAEAGGRLDGADPGRVSDRAIARGADQCGTLGSGNHFLEVQVV DRVLDPAAAEVMGLHEGQVTVLIHSGSRGLGYQVCDDYLKVFKNAPKKYGFSLPDWQL ACAPVRSPEGQDYLGAMRAAANYAWCNRQLLTHQAREVFAAVLGKPWESLGMDLVYDV AHNIAKFEEHDVGGGVRKEVCVHRKGATRAFPPGHPEIPAAYQEVGQPVIIPGSMGTA SWVLAGQPGSMIHSFGTSCHGAGRMMSRTAAVRLAEGRRIDRELDAIGIIARARGHKG LAEEQPAAYKDVDLVVDVVDKVGISKKVARLRPVGVIKG OJF2_RS40805 MDSSPAGGPSYETALLYLAVGLALLLVGPGTLSLDALLFGRRRD EAVHGSATAAP OJF2_RS28205 MADTASAAPSRRVIRLRQVRTHNLEGIDLDLPTGALIVVTGVSG AGKSSLAFDTLYAEGQRRYVETFSAYARQFLEPLEKPDAERIESIPPAVAVAGRETLP SPRSTVGTIAEVHEHLALLFARVGSIACMRCGRLVAPATPGSVADAIDELAEGTRYEI AFPVEVLPSTDPAALARSLVEDGLTRARAAGRLIDLASESPPVPAEGGATFVLDVLVD RLQRGKEAPGRRLDSIETAFTRGLGRCRILVSEGESTRSLTFVRGWRCASCGTDHIEP RPQLFRYTSSLGACRRCEGLGEVTDLDLGRAVPDPRKSLRGGAIAAWSGPSHRGHLEQ LIAAAPRLGLPLDAPFEDLSLAQIDIVLDGDIAAGYPGLRAFFGRLEKGSWKSSVRSF LGRYLTRRPCPECHGARLRPEALAVKVEGLSIADVSALSVRDARARLEGWSALETNEV ARRILAQVRERLAYLGEIGLDYLSLDRPARSLSGGELRRVTMTRTLGTGLVNTLYVLD EPTIGLHPHEVRRLLAVLERLRDAGNTLVAVEHEHSVIRAADHVIDLGPGAGEAGGRL LYEGPLGPFRTVEGSLTSDFLFGRKRVEIPPKRRSPSASKGFLRLGGARGHNLRDLSV AFPLGVLCVVTGVSGAGKSTLVERTLYPALREKVHRELLPVEPHGGLTIESPRPPEEA VFLDQSPIGRSGRSNPVTYLKAFDEIRRTFAATHEAKLRNYGAGMFSFNVEGGRCSTC KGDGYLTIDMQFLPDVLMRCPDCRGTRYRPEVLEITYRGRNIAEVLDLTAREAFVFFR HRRKIQARLRSLLDIGLDYLKLGQPAATLSGGEAQRLKLAAFLGGSKAALNRAGNIAP TVFLLDEPTAGLHPFDMLRLIDALNALVDLGHSVIVIEHSPELMACADWIIDLGPAGG DEGGRIVAEGTPEDVSRSGTLTGEVLARTLRPDG OJF2_RS28210 MSGLSGPAAGEARAAAPPEQVLPDSTVFYAKVNDVTKLREAFRQ SQYGQLWNDPAMSAFRDDIKEKLKNASDTLKEKIGVTLKELLEIPQGALAIAAVPQDD PKLPIALAIVADAGQNAARMTEVLDRSTKQLEGAGAKVTTEAFQGGSLHVVQPPQKDA GEGKNDRTPPPLVWTSVGSTFFIGSSANAVKDLAGHAEGRSTGSLASVDSFAKTQAKT GAAEAQASWFLDISKVLQLVNKANARGGEAQGQQVEFLINELGINGLKSVGGTLALNS GNYNSITKTFFLAPKPTQGLLKVFTLPAVSLRPESWVPAGVASYQTFSWDLDTAYTAI NDLANKFQQGMLSVLEQQLAGPEGGQPLSFQKDIFGPLGDRITLISDFKKPIKEDSQR MLLGIALEDSKAFAGTLSRVIELAGASPKKRDFQGTTIYDFDIPVPNIPGQPEGQVQA GVKGPVSLAIAKETLFVTTDTTLLEQILRPGVVPLADNEQYQIVAKELPAKVSGLTFV RPDEQARLSYDMIKSGQFEKALRAGMAASASRAGQAPPELPKIIDPDKLPDFSVFAKY LTLGGSYSVSDDDGFLSTGFTLRRNNP OJF2_RS28215 MGWLRGIAAIFLLVSVPGIAEAAYETLVLKDGQRITGEVVAEKQ NALYVDLGYDLLRIPRDQVVRRTKAGEGELPAATPSQGVEPDASGLFSTGVLRATPMK ELVARFGEAVISIETPSGKGSGFIINKDGYAITNAHVIQGETRISAILYQNTTGGLSR RRIEDVEIVAVNAFFDLALIKVPLPPDLKLNYLVLGNGDDVNTGDSVFAVGNPLGLER SVTQGIVSSRSRNLQGQLYLQTDTAINPGNSGGPLFNARGEVIGVTSRGARADMADNL GFAIPISYVKDFLRHREAFSFDKTNPNSGYRYLDPPRRQRAEAPKGLARPREASPARS ASPAAAASPAGGQSGGR OJF2_RS28220 MSTLSKRMISLLLPLAGLAWAESGAPCLAQDQPPAAAGAAAPQG PAGDALPPPQPIRPDQLVPQLKTIPVRKDRPSYNLEWVDSSVQPRDKEGIWILSFAYK PLRIQTVEIPGKGRQLVYYLYYKVVNRTGAPRMFVPQFTMVNEEGKRFDDQVIPEAIP VIRAHNDATIDVQGAVNIMGMIPPSTKKGVDDAVFGVATWQTWDRKADRFSIYVRGLS DGYKEIADPSGGKPVVKYKTLRLDFIRRGDEHNVRSEEIEVGSPPYEWVYW OJF2_RS28225 MPESVVHFQIRMPPQQHEKLASWAKEEKLSLNLLVVSILEKAME KHKEEPAAKPAASAQPK OJF2_RS28230 MGRRERRANAGTPRGGVESIILSAPAAPGQARKARPAEPPGRLR REVHLDVEPERKAIVLLSGGLDSATALAEAKAAGFTPHALTISYGQRHAVEIRAARAV ARSMAVARHVELEIDLRAFGGSALTSELEVPKDRPPGEMTAGIPITYVPARNTVFLSL ALAWAESLGAFDIFVGVNCVDYSGYPDCRPEFLGAFEELARLATRAGVEGHDRFRVHA PLITLEKHDIIRRGIALGVDYGLTHSCYDPSPEGLACGRCDSCQIRLAAFRRLGLVDP ARYVDGPVGA OJF2_RS28235 MAHKKGQGSSRNGRDSNPQMLGIKLFGGQLARPGAIICRQKGTK WRAGRNVGLGRDWTIFSLIEGKVTFDQDGRRINVLPIETPAPAKA OJF2_RS28240 MFVDRVTLFVKGGDGGNGCLSFRHEKYAPRGGPNGGDGGNGGDV VLRASEGHTNLAHLSHQRHWKASRGEHGQGSNCFGKNGQEMVIEVPPGTIVRDRDRGN VIRDLKKAGESVVVARGGRGGHGNTFFKSSTNRAPRQHEHGFSGEERWITLELKVIAD VGLIGLPNAGKSTLLSRISRAHPEIADYPFTTKYPNLGTVVVDDSAFVVADIPGLIEG AHAGLGLGHEFLRHVERSGVLVHLVEAIPTDGSDPVENYRMIRRELLEYSPSLAERPE IVVVTKLDLTDAQAARDRIARELGREVLSISAVTGKGIPVLLRAIQDGLRARAEQESP PAPPAIPAPPPSTPAPAEWPPADQPGLADEGRSSSILNSDTA OJF2_RS28245 MRHPARPARSASAAWLVVPWLLAAGRAAGEAPPAMPPFPVGVVV DVQKPRGPLTPIWRFFGADEPNYATMKDGRKLISELGGLRPGAVYFRAHNLLTSGDGT PALKWGSTGAYREDERGGAVYDWSILDRIFATYLDHGVKPYVQIGFMPKDLSIKPEPY QHRWTPTARYDDIYTGWAYPPKDYAKWAELVYRWTRHCVEAYGKAEVESWYWETWNEA NIGYWRGTPEEFRKLHDFAIDAVKRALPTARVGGPDSAGSGGKWTRDFLEHCLRGTNH ATGKVGTPLDFVSFHAKGSPEVVDGHVRMGIATHLRTVQEGFRLVASFPELKAKPIII GESDPEGCAACQGPRFGYRNGTMYSSYTAASFARKLDLAERVGVNLEGALTWAFEFED QPYFAGFRALATRGIDLPVLNVFRMLSLMGGRRLAVESTHAIGLDDVLARGIRGEADV SALASIDGDRLAVLLWHYHDDDVPGPDAEVTLDLAGLPEGVPSALVHHFRVDADHGNA FEAWKPMGSPAAPTASQVTALERASTLDLVESPTRRTPSRGTLRLRLRLPRQAVSLFR IELERPSSARPG OJF2_RS28250 MLRIVADLGNSRMKWARLDSSGRATSSTALPPDDPPAWDALWQS WADAVGRGRDDGAGEEWAIASVNPPAAARMKAFLAARGVRRVAWYETASDVPLSMDVE DSNQGGADRALAVLAAASRKPAGRPGLVVSCGTAITIERIRADGTWLGGVIAPGLFLC ARALHMLTAQLPLIHPDASAPSWGRGTVDSMEAGLFWGTVGAIKELLARQDFDPPGEP WVAWTGGDADRLAGAVSGPSALVLPDLVLEGMVAAAFGAQRAPGPRGGHGLAP OJF2_RS28255 MRYSLAAACLCGLVACPALAADGPPLKLRPVPFTDVEIRDAFWS PRQEINRTVSIPINFAMLEKSGNIKNLELAAARAKAGFIGPVFMDSDIYKALEAASYS LATHPDPQLEAQIDGIVAKIAAAQLEDGYLDTYYVVKEPGRRWTNLRDNHELYCAGHM IEAAVAHYRATGKKNFLDVATKAADHIASVFGPDKRLGYPGHPEIELALIKLWKATGE KRYFELARFFIENRGRKFFATEHHTPENEYDGAYWQDDVPICDHKNIKGHAVRAAYLL SGATEVAAETQDPALLKMIKRVWRNTVERNMYVTGGIGPSASNEGFTHDYDLPNLTAY QETCASVALAQWNHRLALLYGDSKFADVVERALYNGVLAGVSLDGKRFFYVNPLESTG RHHRSEWFGCACCPPNVARTLASLGGYAYAVGDRSLWVNLYIQGSAKAEVDGHKVKLD VTTEYPWDGDVALKLTLDGPAKFDLRLRAPGWCHDASVAVGGEPYAAPEVDRGYFVLS REWKTGDTVRLRLKMPVVQVAANPNVEADHGKLAFQRGPLVYCIEAADNGSSIESLYV PRGTQMKEEKASDILGGVVVLKGSAETAPDVDWHARLYQAVPASTTVPLTAIPYYAWD NRKPGPMKVWLPTAPRTPAPGGPETQATVKLSFRSGNAQPWGVNDGIEPKSSGEQPQA LCHWWPHSGTREYVEYSWKTPITVGGTKAYWFDDTGRGACRYPASWGVEYRDGDAWKP VEAAGTYPIVKDGWSEVKFAPVKTTGLRLVVQLQPRWAAGVHEWKVEEAEED OJF2_RS28260 MNRDETSGGIPSFDPGPDLEPERYEFREDPAWDFQLGRREFLRT LGGGLLILCVGLRGDAEVRAQESGRNGRRRGGGGQLPQAIDAWVHVGEDGTVTAFTGK VEVGQNARTSLTQAVADELTIPVASVRMVMGDTDRVPYDIGTFGSLTTPRMVPLIRRA AAAARALLASIAAERWGVDRGTVEIRDGKAFHATSGRSLGFGELTSGRKLVETIGEDV RAIPAPEWKAAGKSVPKVDARRLVTGEHRYTSDMKRPGMLRGKVLRPPAPGAKLASLD ASKAEAMPDVKVVRDGDFVGVVAPTEHEAGLALAALRPQWTALEPPRCNDRTLYEYLK AHPEGGLRNRDRQTASEAGPVGKELASAHAKVSSRYTVAYIAHAPLEPRAAVAEWDGD RLTVRAGTQRPFGGRSELAEAFRMPEERVRVIVPDTGSGYGGKHTGEALVEAARLAHA AGKPVKLVWTREEEFQWAYFRPAGLIEASAGADRDGKLVAWDFHNYNSGSSGLTSPYA CKTKDVAFHATGSPLRQGSYRALAATANHFARESLMDELAHALELDPLEFRMRNLEDP RLCDVYRDAAEKFGWGKSRPPEGHGYGFAGGAEKGGYVATCVEVAVEKATRAVRVVRA VAAFECGAIVNPDQLRNQVEGCLVMGLGGALGEAIRFEDGKVLNPRFSRYRVPRFGDI PKIDVVLRDRRDLPAAGAGEIPIVAIAPAIANAIFDATGVRIRSMPMVPDGVIPAAEQ KG OJF2_RS28265 MASARRVTELKVNGASRALDADPEATLLGVLRDQLDLTGSKYGC GEGKCGACTVLIDGQAAHSCRIKVGTLAGRSITTIEGLAGPSGGLHTLQQAFLDLEAF QCAYCTPGMIMAAAGLLREKPEPAESEIVEAMQGNVCRCGTYTRIVAAIRRAAGMTKE AAR OJF2_RS28270 MNRRQFSGRVAAGLAGGFAMPGIVLGRKPDDLVRIALVGVGGRG ADNLGSVLNQEVVGLCDVDATALGAASKRASHAKAFRDYRRMFDEIHDGIDAVVVSTP DHTHAVIANAAMDLGKHVYCEKPLTHSIHEARVLAGSAARKRVATQMGNAGHSSESTR RVVEIIRSGIIGPVREVHAWSDRPIWPQGVDRPTDAPPVPGSLDWDAWLGPAPARAYN PAYHPFKWRGWWDFGTGALGDMGCHIIDAAFWALDLGAPTSIEAESPPIEDHPDTAPA WSIVRYRFPANGGRETVNLTWYDGGKLPPAELFDGKPPGKGANGSLFVGEKGRLLVGQ GGRGGPRLLPEKQFAGAKLPGRDIPRSPGHHEEWLAACRDPARPTGTHFGYAGPLTEV VLLGNVALRAGRKIEWDAAGMRVANGPTDDIYIRRDYRPGWAL OJF2_RS28275 MNGILGPGILLAQFIRDEPPHHDLKSLARWASGYGYKGLQIPSW DKHVFDLATAASSQAYCDDYRAGLADQGLCVTELNAALQGQVLAIHPAYEPMFDAFYP AGLKGASLTKWADERLREVIAASARLGTKTIPAFSGGLAWHMAYPWPQRPAGIIDEAF AELAKRWVPLFDAAADAGLSFAFELHPGNDLYDGATFEMFLERVKDHPAARINYDPSH FVLQQLDYLDFIARYGDRIAAFHVKDAEFRPDGRTGAYGGYQPWTKRAGRFRSPGDGQ VDFVRIFTMLTEAGFRGWAVLEWECCVKSPEQGAREGAPFIARHIIDATTVAFDDFAG VKTDAARNRSLLGI OJF2_RS28280 MRSSRRLPSLVALVVSLPSAGLAAAEPSWRHLSSKAGDLPVPGT STQQTACLVADLDRSGVNGFVLGFRKAGPALVWYRPRDNGWERVVIEPDFLTVEAGGA CHDLDGDGWPDLVFGGDWQSDAVWWWRNPGRGWASGTRWERRTIKRSGQTQHHDQCIA DFKGIGRAQLAFWNQGASTLFLAEIPRNPREADEWPLEVVFRGHAGEGPGKYAEGMSS CDVDGDGRPEILAGNSLFTLDGSGKWRATQVGDVGGLIFGGRFDRASKFPQLVIAPGD GTGPVRWYECDGDPLQSKSWKGHDLVGRTMVHPHSLQVADVDGDGNLDIFVAEMNKWT EDLKDPDNPHAQAFLFYGDGAGRFRKTVFQTGMDFHEARVADLNGDGRPDILSKPYNW DTPRLDIWLNEGSSPAAGTSRAPRPSR OJF2_RS28285 MSSRPTLLRAPARARVAFTLIELLVVIAIIAVLIALLLPAVQSA REAARRAACVNNLKQIGLALANYESAVGALPPAGKSTYRNGPTVGMQFVDGMGLFPRI LPFLEAWTTFDAINFSLDYNHITGANFTGCSQVLSVLICPSATRVPDGGRDAPEPADP ASSATGSGYGVTDYSGLAATTIDPLGRTGQPGSNPIAVYRNSPSMTDGMFKQGCRRYS SITDGLSQTMAIAECAGRDARFLSGATEDYYSPVTSKVRPVPPGHRRCWRWAEADGAL ISSTVINNKGTPSHEDSQYPQSGPSMDNGAGANDEIYGFHPGGVNLLMGDGSVKFVRE ALNILIQRALITPNGSEVVSSSDY OJF2_RS28290 MKRGILAVLAVAACLPARAAAPTKGFVAADGPRLVIDGREFRAV GVNVPHLHQIYLGTWFHLDQFYGTREKAKAAAVEAVEDASRSGMAFIRFFAGPGYPIE AHRLYGRDPAAYWRGMDELFALCRSKGVRLIPCLNVASFHADAGEPRGAVLDASSRTH RACRSYVRAFVSRYKDDPTVLLWELENELMLAADVDMRGDALLPRGVYPEGATVREVG TREDSLTWEMTQRLYRDQAAFIRSIDPNHLVTSGDAGVRPEATSRRETFPDFRYRDDS WREHLANELAAQPEPLGVYSLHHYGPADPGPKGCGLDALERARHTARAIRAAGVPLLI GELGQDKPTFRSDPEARWARAYLDMAEAEGISLIALWVWHFPWQPELTLDGRSHPTLI RRAREFNSRHAASGR OJF2_RS28295 MRKTPRLLAAGLTVLGTLVLQPASATAQETGKSHALFNGKDLSG WVTPDDKEIFSVEDGEIVGRTREGQLKKNEFLVTEKPYRNFVLKAKVKYKDGNSGIQI RSKRAADGAVSGPQADIAEGYWGLLYEERGRGILERYDEQKAKELVRPGDWNEFVITF KGKHLKVELNGTCVIDREDEKFDDEGIIALQTHAGPPMEVRFKDIEITELD OJF2_RS28300 MSFQDDLRRFGPGSSARVTRDEAMAYCARLAATHYENFSVITWL TPREHRPAFASIYGFCRWADDLGDEVGDRDESLRLLAWWRDELRAMYAGEARHPVMIA LRETVAQYAIPIGPFSALIDAFVQDQHVAEYQTFEQLLDYCRRSADPVGHLVLYVAGA FDEENARLSNQTCTALQLANFWQDVARDLAIGRIYLPREDRERFGYPDADLRALRFTP QFRELLRFEVGRAREMLERGRALVPRIPGPIAVDVDLFSRGGLAILDRIEARGYDVLS SRPALSKLTKAGLLARAVVSLTLARRGRRAARAASPPVEMAPAGSSGGRRP OJF2_RS28305 MTGDGALAASYAFCDRLARREARNFYPAFRLLPADRRRSMCALY AFMRHTDDLADGPGTEATKVEALEGWSRTLDDALAGGSGQWPGLPALADAVSRHDIPA HLLHDVIRGVSMDIRPRPFATFEDLAEYCYLVASVVGLCCIHIWGFRSEGGRAERLAE GCGIALQLTNILRDLREDARGGRVYLPADDMARFGVTAEDLLADRPSERLRELIAFEA SRAYSFYEQSRDLVPLVDAPGRPVLVTISGIYRALLDEIVRRDYNVLERRVRVPAWRK AAIALASLRTRFLRPPATRIQTPVP OJF2_RS28310 MTIELPAPPHPTTPLPPPPHVAIAGGGLAGLAAAAALADRGLRI TLLESRPRLGGRASSFVDPATGEKVDNCQHVSMRCCTNLADFCRRVGIDGLFRTEPAV TFLGPAGKVSRLAAGILPAPLHLAGSFLRARYLGPVEKLRVGYGLARLALDRGDEAGR GESFAGWLLRHGQTPRTMDLFWSTVLVSALNERLDRMDVGHARKVFVDGFLATKHGFE MELPLVPLGELYGSRLEDWLSVHGVDVRLTTGVKEVEVDDEGALRGMRLRSGELVAAD FVVVAVPFDRVRSLLPESAAERIEGLDRIGQMESSPITGVHLWFDRAVCPFDHVVLPG RLVQWVFNHTAIQGRPAAGPDGGQYLQIVISASYDLLGKGRDEIREAVLADLAEVWPV VKDARLIRSWVVTEHGATFAVRPGVEAIRPPQRTAVDGLFLAGDWTDTGWPATMEGAV RSGYAAAQGILADLQRPERLIRRELEPDRFARWLLGEGPARRSAIPPARVPW OJF2_RS28315 MAASTDGRTQGKTVKSRPRWLRALGADSPPGELEIGGRAHALRE VFKHDSWAATALYEGPGGRLRIVKLHRRAPLVFLPMRWVGRWTARNEIRLLERLGELD GIPALAGAVTHGGGPPLDNAVAREFLEGHPLGAREAVPDAFFPRLRALLDEMHARRAV YVDLHKRENIIVGEASQPCLIDFQISLLWPSWMPKGALFRIFAGSDDYHLMKHWSRCR PDQCGIDPEDFRSRIPWWIRAHRFVARPVRELRRRILVRVGVRSGKGRVETEAFAEHA LRDITPRERAA OJF2_RS28320 MTRPPAPGWRSRLWPWLVLAASVVPAVWYVLDFESDVDPEFPRV VRPTFNAYPPPAYRFAEAGDTIDHVAVYVSSAALVLSAWGVARGPVRRLWLAALALSI AGFWHAATPGPLVDGWHGLGWRNLWNPAAPTGLRLALGAAACLLAVAAALGLSGISPS RAWEAAKGRGILGLLIAAGLLMIARQLSWIDREPFGFWPRWAYVWGLLAWALALVRVV PAAPPGWSRAAIVGGMVVASLSLDVTGRGLFRYQRPLQRLREIVPGRIYLSAMPTYEG LALAQQRHHFKTIINLFPEFTKERSERLPDELRFVRDHGLAYIGNEPTDDPTGEEFIA RTLEVAKDPAAWPILVHCHASMDRSPAWVGLYRFAIQGWPLADAIREIEVHRGLRPKA SVTLLYNRMIPRLAPDRASKDPTVSLLRQCAAGVPDPVAARSRLAGGPKDRPDDPPPP RR OJF2_RS28325 MYEAMTPGAQRVLTHAQARARQRNGTAVEPVDLLAGLVDEEESL AAELLTRFGLDPTAILKSLGLQAGGGSDEPAVGAALPGPPDSASLPAPLPLSSDSKSA LDHAASRARLRDRGADVGTEHLLAGLLSAPSTQLADRLGPELQLAPLRDHLEHAFDPP AEPIAPLEGMPPLDLSDPVRSVEIARILDASANRAREGLRVAEDYVRFVLDDPGLTRR LKEVRHRLAEALRGFDVDLLIGSRDTRGDVGTHIMTHSEGVRENPRAVLTANFKRAAE ALRTLEEYGKLVDVWVAGRFEVLRYDVYTLEKLTLSAIQAYRGLGDARLMVLVGGLRT LGDLTWIVGEALAGGADVIQLREKGLPDRELLSRAREVRILTAQAKARFILNDRPDLA RLASADGVHLGQDDVSVRDARRIVGPNMLIGVSTHDRPQLDAAILSGAGYLGVGPVFP SATKDFAEPELAGLAHVRAAAEATSLPWFAIGGITPENVDRVIEGGATRIAVSASVVR ADRPRLAAARLRARLEGREYGEDPETADVDDGDR OJF2_RS28330 MAWPAPCLAQQTSKEPASVLDFTVKDIEGNEVPLSKFKGKVLLI VNTASQCGYTPQYKQLQEVYQKYRDQGFEILAFPANEFANQEPGTDKEIKQFCSANYK VSFPLFSKIVVKGEGIHPLYAFLTSPAKDAKYAGEIPWNFAKFLVDRKGEVIGRFEPK AKPDSAELTSAIEKALSEK OJF2_RS28335 MLTSRALGAVAAALVAGSAFAQAPSKQLPAAQAPAAPAKSYPAA QAPAAPAKSYPAAQAPAAQAPAAPAKSYPAAQAPAAPAKSYPAAQAPAAPAKSYPAAQ APAAPAKSYPAAQAPAAQAPAAPAKSYPAAQAPAAPAKSYPAAQAPAAPAKSYPAAQA PAAPAKSYPAAQAPAAPAKSYPAAQAPAAPAKSYPAAQAPAAPAKSYPAAQAPAAQAP AAPAKSYPAAQAPAAQAPAAPAKSYPAAQSPAAPAKMTPSYQGAPATPAKTVPTAPAP AAATGVAPAAPAVTPAAPVPSVPSPAVEEAPPAPPSPAPPVPKPASLSVKKA OJF2_RS28340 MPIRPCAAFLGLALICLLPAGGVASAQESPSRPSGAARRQIPDD LALAHGLFRQRKFDLAAEEYEKFLGTGPSRADADDARFGLASARLFQGRYKEARRAFR DFLDKAPDHPRARTAWYRLGELGYMLGDLDAARAALESFVADPAKHANLETAWTYLGD VRLGLGDLRAARDAYERSLALFPNGPLADRARYGIGRALSDLGETDAAVKAFAELAAR KNDDWSDRAYFQMARVQAAAGRHAEAVKSLEDLARRAPRSSLKHEADLLRAEELIQLG RGPEADPLLRPLAAEAGEPLAPRAALVLATSLLGREEADAARRLLDAAVEKFPRSPLA PALIFRSGEALAKQGKVDEARRRFAKVADWTPPDEWSDDALSRAARLAVEARDHDEAI RLARSFSDRFADSPLTNEVMVTESRALIETGRASEAVKILEPLVGLDGDGSLPKDTPA AGGRLSPATAAAARFDLVRAYREAKHPEKASALLGKMAGAGATPLAAEAQFLVGQDLA EKGRHAEAIGPLMAYLQANPRGDVADAALAQLAAAQASTGKLDDAEKSLADLATRFPR SKGLAPTRLRIAEADADAGRDAHAADLFRLILSGKASEAGPNSPQEVDPAILGRARLG LARALWKLDRPAEAAPFFADYLKGSAKDAAAPAAALDLAAVLAASGRTQDAIEAYRSL ADAYPQSPQRPRADLARARLLVSSGHPKEGAEVYAAILAAGPRPSGPDATGGASADLL AELGWALIEARQSQEADAAFERLLKEHPTSPRALEARFNLAESASEAGQPRRVLEILE PATAAKAGGTAPSGAADRFLPLILYRKARTQAELDDWAGASATAERLNREYPSHPRAR EARFLGAEAALRLGRAEAAEAEFAALEAQPEAKGAADPDGLSRLIRERHVQSLLGVKR WKDALDRAEALKKELPATDPALADLDLARGRALLGLGRPDDARNAFQAVIAARKGSEL AAQALLLRGETYFHQDRFREALAEYLKVDALYDAPRWEAAALLEAGKVYERLQQWADA AETYDRLCKDARFRDDPHASEAKARLEAARRRGASRP OJF2_RS28345 MRLGAASNRCLRVLALSFGMAAATAGPTAAFAEEPAQAAQQRES GPATVAERRPQADPFDPNLLARQAARAFLAAASWYRTTPPGERMTWGGLSASAVLGAW VLLERLFRLRRKRIVPAEFAARFLDRLNEGRLDGGKALDYCEMNPSPAARVALAAVRR WGRPVADLERAVTLAGRVEADRLRRNVGTLRRIAVLAPLIGLLGSLLALGRVLAGDVS ATWGAGLASALTPLTLGVGLATLALVAYDALAVRIERLAGALDRLGAETIDAIAMARP SPAPLLSVEPPGPRSYEPHPGHGSSAGGDRSPHQAQGVRRHAADGPRRTAVDHEIGF OJF2_RS28350 MMGRRVGPGGERKERQVVADGGLDLETFLILSRTDLGMWASIGV GTLAMGLLVWSCWGSRRALRKCVALSVAAHAGLALYGSTVPSLYRSIGMRGRDAAEDD RHIRRIRVAPVSSADGREPASGRPEVGPAPSASSRPPDLVLEPLALASALPAEARQEG LRARETPGPDLSPSSEPSPHAPVPIARATPAVAIPATTSSPEARAAPAPAEPAVASAA PTAIAPGDVTQYAPPVEKLKAAPTPGRSAIPASAIAALPRAELRREGGRGRPAAGVLA DPPGGRTTPAASPPIPLPRAIPNASRSSEPAPLADLTGSPGAKGLAAVPEIYRSRLDA NRSALAQRAGATTASEQAVERALDWLARHQDSDGRWDGGTARYDDGGTVAGDDDFTAH CPAGETCFGECAYWDADTALTGLALLTYLGAGYTHRDGKHVSTVAKGIDFLTSSQKPD GDLRGPSRAVGMYCHAMATLALCEAYALSLDERLRGPAERAIGFLVRARAADRMAWRY APGAPVGDTSILGWVVMALKSARETGLSVPDQAGVGRGALAWLDRVAAGNEGGLARYQ PGEPVTSTMTAEAWVCRQFLGVGGPGAASDEAASHLLLHASDRGDSNFYYWYYGTLAM YQHGGPSWARWNARVRDRIVQLQRKSGHISGSWDPDESLYGPRGGRIYGTALAALTLE VYYRYLRLYDRPDLPADDTAAGDDGPQLEGPSPRR OJF2_RS28355 MIVAANVANAVEQLWTHRLRSLLTVLGVVIAVTSTITVVGVIQG FTRYVSEFLQGLGTNAMWVWPERPAGEAGKRLGRIELDGRDVDAIELWCPAVRRASPL IRPPDALVQAGRDQAKVPLEGVSAEYHAIRNFAVDAGRPFSVVDIEQGHHVCILGREV LRKLNLDDGILGGAILVGQRRFRVVGILGEKGSFLGNSQDNVVLVPYTMALKMYPAFR RKMAVTAQAVTEKSVPEARAQIVNLLRRRHGLSANQPNDFNVLTQDEILETFNSLSLV ATAVLAGIVGISLVVGGIGIMNVMLVSVTERTREIGLRKAVGARRRDILVQFLTESMC LSGLGGATGIGLGYGLCALASLHPSMVDVVVPPWAVGLGFGISAGTGVVFGLIPAVKA ALLNPIDALRHE OJF2_RS28360 MPMCRDATHHDDPRPAIIELEGITKAYPMGEQTVHALRGVDLTV RENDLVAIMGPSGSGKSTLMNIVGCLDVPTSGRYLLDGRDVAGLSQSALAAVRGRRIG FVFQTFELLPRQTAARNVELPLIYSGTSAVERRRRGAEVLERVGLGDRMGHRPNQMSG GQRQRVAIARALVQRPALLLADEPTGNLDTRTGEEILDLFADLHGEGQTIVIVTHEPD IAARCRRIVRIRDGRVESDEENAP OJF2_RS28365 MLRKTLIAVGLGLLLLTLFAANRRREPGAVDLDWRVLREPPRKV LAEPATRGPIVQTVTAPGKVESVEEAEVASQLIGRVVAVYVEEGDVVLRNDPLVELDA TDAKARLDSACARIAKLTAAITQAESELAKAERDVDQFSKLRVRGFASPTERADAVTL LEKARAALAMSRNELREAEAMRRSSDEELRRTLIVAPMDGVVSNLNVDEGEIVIAGTT NLPGSVLMKVSAMGRMRVRADVDETDVLSLRRGQPAQAYLLASQLQPLAGRVETVAPQ GKASKDDVVNFETLIAIDAPAQTSTSGEDHRLRPGMTATVEVEVRRSDDAISVPAQAV VHRRRKDLPDTPAVRSWAERNARSPGEKARDAELRYVKLVFVLDGGVARARPVETGLS DERRVEILSGLEPEDRVIVGPFRALDELKDGAAVVPVASATELETTG OJF2_RS39535 MAIPATSHSSAKESGIEDATPTRGRGFDRILLGWMGLMVLVQAL RWAAGTPGDILAAGVEEGASRAESRVRGEVGDEVIRKAIRSQRETLPFWAVVTALGDF LVEPFSLAARAVAVATLFSGIAALSGRTPRYDLGLLESSKAQGFWVLGLAARLALAAS LGRGEADVETSAALLLPPGRHPAALWLALRQVDLFAMAGWALMAHAAGRRGESPRWLA WTLCLLLAFLECAVRVAAALGMGGALRLSPLVE OJF2_RS28370 MKLQPGKDDKLGPCIAIGALLAAVAGLGSSPSAWALPQAAPSAQ GQAVAAAQGGATALVPQCQTTYETVYDLQTVQVPVTVNQTRYRCEWQTRTIPVTKTIV EQVPETVNQTRYRTEYKTETVPVTKTVTEQVPVTVNQTQYRTEYKTQTVPVTRTYTEQ VPVTVNQTQYRTEYKTQTVPVTRTYTEQVPVTVNQTQYRVEYRSQAVPVTRTVAETTN VPRTTTVYVPKTQTVNQQVTKTVYNPVTTMQKQQRYVTVQRPVTRTVMQPKTVTTMVN RTQTQLVNESYTENVPVTTCRQVVEEQGGYELRSIPVTTSVLSGCAPGVASVAAGCGG FFGHGCGHKCGHRCGGGCGSCGGAATQVGTVCQQVYVSRPVVRNVTETTYVAQTRTRQ VPVTATVQVPESRVEYVPTTVQDVENVQQLEEYQVPVTTMQASQVTETVPVTTVVQVA EQRTETVPTVVYRQVTENTTQQVAVRVPYTVPTTVYQARQRQVTETATQQVAVQVPYT VPVTTYRAERRQVTETVNQQVAVQVPYTVPVTTYQLQQKQVTENVTRQVPVQVPYTVA LTTYKPVQRQETEYVTERYAEQVPYSVPVTVCTTQTYQVPRQVAVTRQVMVPAETSPQ APAAAATPQH OJF2_RS28375 MSKTERERSLAVFIDLENLAMGFQGHRKIRFEVGKVLERLVEKG KLLVKKAYADWSRYPSYTAPFHESAIELIEIPKRSQTGKNSADIRLVVDAMDLAWSKP HVDTFVIVSGDSDFSPLVSKLKENGKHVIGLGMKGSTSELLRDNCDEFIYYEDLERQE ENEQRLATNLNSYLPPSLNEKQREVFSLLVEACNALRRENHEVLYASMIKDTMKRKKP SFDESYYGYRSFTHLMEDADNLALVDIERNPKSGTYVVTRICGEGEDRSPGTIAARPS DSADSRPASRSGRGRSGNEGQGGGHRR OJF2_RS28380 MFASQLVPRLAIAASLLLAAQAAAQGPPAPAANDPAQSSPEEAL KGQSLKKSGAAWILPDEASILKDLRDARELYRRVEQGMAGQQQFEIEAQRQKMEMTQL RTQSSLLQQQVEHYDQQLEQMGSSVAGNPLFNYQRDELSQERNRIVGALNQVNNRLNQ LDKEDRDRDKNRDSDPSLLLNAEVAEAREKYMQSIYDLRKAVDALRDKYAELAKKPEV TQALDALSASSRSKQRLGPSKPLLEAIKFLEKAEGSVKSESIELHREGGVFHVYATLN KVPTKMVFDTGAGLTTLSAKLAQKIGVKSSPTDQDVELKTADGTLIKTKVQMIPSVRV GKFNVPNVECAVMPEDKGDIDPLLGQSFFKHFKVEFRPDAGRLSLKRLETESDNGAKG VAGSGNEFNSDPPASTKATDKASPRSRRPTRTTRPTPRGRRPATPKGDMPADPATGNS SPN OJF2_RS28385 MNRPSPSHYWVAFLNGSGVYAMAKSRGGALDRDLQIPFSGAGRG ELGDGPLVDAFLDGREDESERAFEEVVNRHGPMVLRVCRQVLGDRDHADDAFQAVFLV LARSARKVRNRDSLGSWLHGVALRVATTARVRMLARRSRETPAEGECAFDERPGECDP KVTDRSADLEAVHQELARLPEHQRAAIVLCYLEGLTHDEAAARLRWPVGTVRSRLARG RDRLRGRLLRRGVTPTAVLGPLAAWLAGAGGTAAEAAGVAPSVSAATLATTVRTASLF ARCEASEIALLRAGSFPLARGVLKTMAWQKLIRAGLCLIPAASMIAGGAVIVARTGQG PAAVRVVAAQDVGKAIGKSDDGKAEEAASGASEGTRKLDRTFSQPNPADLLEAARQRL EAQKAYYEEGRITLDRYVDASRAVMVAERILQEKGKSADRGPLLRHVQRLRDIEARER AELVAGRATVSDVAEIVQARVEAEIQLGNGDVSASQVQGEVTRLRARVDALEKKLDDA LKALGERPAAGPGRADSVGR OJF2_RS28390 MSNAGTKSLHQDIELLFSGESGEEHGDARLIELFLTGREEVRDR AFGLLVGRHGPMVLRICRQVLGDAHEADDAFQAVFLVLARCVAKVRRRDSLASWLYGV SLRVSAAARSRLLERRRKERPADELDLDSREPAGKAADDDRRKDDAEVVREELVRLRD AYREPIVLCYLEGLTHDQAAARLQCPVGTVRSRLARGRDQLRGRLARRGVTVPAVLGP LAAWLAGEAEAAGTAAFPAAIPVGLLSATVQTASRFSRGESMATAFLSTCSVNLAKEV LAAMTYRTLTLAALAVVPAASMVVGGAALVAQTQHGKGGARPTTLPDRPEVSSVALAR QDVAPKTWTTPEAIPEQLAKARADYDEKVEAYREGRIAMEALLKGEGQLNGEEGMLGK EPRSAKAVRHLHRLEDVEAIAKGRFARARDGTVEKEQLVRDLAAVATELGYARRSVLL DRPFPEPRAEDLVEAARQRLDAQRVYYEEGRITIDRYVQASEGLMEAERLASRAEEGR RAAQARHVDRLKEIERVERARMEAGRGTVADFAEIVQNRVAAELRLRGSIAAPAADEP DIKALEARLQAVEKTLSEVLKQLAERPR OJF2_RS28395 MTSVPAGLPTEGPDRERRLNEAIAAYLEAVERGEAPDRAAILGR APDLEAELASFFANLDHLERLSPAILPFPASTQQLPPADPPAPSGAVDPPRSIPGPVR YFGDYEILDVLARGGMGIVYRARQVSLDRTIALKMTREGRSASPEDLVRFRLEAGAVA LLDHPNIVPIYESGEHEGYHYYSMKLVEGGDLAAWMGRFRGDFRASARLMATVARAVH YAHRRGILHRDLKPANIMLAGRAADPPDRLIPVVADFGLAKHLGSAESHGLTTSGSVV GTPGYMAPEQAEGKSRSASTAVDIHALGAILFEMLAGRPLFRGETVVATLQLIREAEA PRLRSIDPLIPRDLETIVATCLRKSPEDRYPSAEALAEDLERWLDDRPIRARPASIAE RAARWARRRPAVAASLALAAVATIATALAIRGHATAARAESERDAEATRRVQAEAGLG EAAKSSAFELVAAAERAWEGDDPSSADEWLDRCPEPHRGWEWYHLRRRFHSELLTLRG HNGFLCAASFKPNGEQVACVGEPAGLFLWDAQAGRAIRHIPGHDGLSYGLAFDRSGTR LAVARPGGWLGVYELKDGSTLASFQAHRGWAAAVAFSEDGTKLASSGQDGIVRLWDLA ASPWPSTAASGRTFRGHAGPVFGVALSADGRRIASAGDDGAVRIWSIADPGDVAERVL RGHAGVVRAVTFHPSEPVLASAGEDRVVRVWDIETGSERLRFGDFGNRVDGLAYSPDG RRIATACLDRSVRVWDARTGELQATFHGHAAPVFSVRFSPDGSRLASASQDACVKVWD LRNEPGSRLLAEVPHAGAESDRPGWVGGLAFHPSGVELAVGGVRRSLVVWNPRSGAIR RDPHTGWPATLSVRYSPEGQRLAVAGTDRVLRILDATTLDEIASAEDYNEGIASLAFH PSGKVLATGGGDPLRVVQEPSGKMPSADGRPRSITLRDPATGSPLRTLSGHVGSIHAL AYTRKGDRLISAGSDGLIRVWDHGSGRLVRTLNVTEAGGPVHALAVSPDGRILASAGA GKVIELWDLETGRRLRTLAGHSNWILALTFHPDGSRLASAGGDRTIRIWDPETGREAL TLRGHRDRVHGLAFSPDGRVLASASADGAVRVWEAEDRPTGEAMH OJF2_RS28400 MTSTPEPMTAEDLERFRAYLNVLARAQVSPALRDRLDLSGVVQQ TLLEAVRGMSADPRGRTEDEAAAWLRAILGHNLADGLRRLNTQKRDVRRVRSLTAALD QSSARLADCLADGEPSPSRKLIHHERMLAVARALEALPENQRRAVEMHHLQGMPLAEI AAALGTTRPAVAGLLHRGLKALRANLDES OJF2_RS28405 MIPLKRQAAGLTFLCALGAALAIAPTTRPLGTAAEAKPPAAADP GPGLVVHEWGTFLGMNGSDGTSLDGMYHEEHALPPFVHARSRDQLRIPSIFTKGETPV IYFYTDKPQAVQVAVGFPKGVWTQWYPQARRVVPTLAEQAQAAGKVGGGRICWNVDVI PRTMAEEALRRQSADAPRVPETSADALWTFARDVDAAYVRTQDVARTPAVPEYERFLF YRGLGEARLPVTIDAGAGGTLAVQADPTVADGIRDVFVLRVEDGRATYRHIPRLDPGA SASGVIPSMDDARPVEEFTKAIADHLAARLAAAGLYEKEARAMVNTWTASYFKTEGIR ALFVLPQTWTDAFIPMGITPRPEKLVRVMVGRLELLSKERERRAEVAVEGLTSPDATT REDAYRFLRDQGRYAEPIIRRVMRTTESEDVRLACRRLLTTELVTELRSAVHNAADGT PMAAGGLETRAQLARLLREIGQDQEAKAHGVAILNELEARRTKALLEVRLGEIANPPD EIRAAALEGSGVDRSAAAAYEACIRQRSASYRDKLDPANSAWFRDWWVGRGYAKSLRR AGSAEATAAALEASLAPGAPALGLADERTARMELAYLYAELGRADRVDALWASVQDRP SVAMQPDAPR OJF2_RS28410 MSLSRSITIVAIAGLAASTFPARGQDVRSRTSFNEGWKFARFGP MPDGATRPEPGAPRKAIRVEASSEEAGRGNTADLALDGDPGTRWCAAGEGTQEWLEID LGRAGPASSIEIDWEFRDLEYAYAIDEREGGRRADTLARGTSRRDARRIELSRPAHRI RIRISAPPAGKWASIREVRLYDSDRKAIDNESVPAGEAPWVTTFPDDDWRSLSVPHDW GIEGPFRDDLPGDTGKLPWKAIGWYRKHFRIPASDEGRRVFLDFDGAMANSRVWLNDR EIGGWPYGYQPFRLELTKHLKYGGENVVAVRLDTVHWGSRWYPGGGLYRNVWLEKTSP VHVGHWGVFATTPGLTDEKGTVNLAVAVDNQGDGPAAISVRSEVHELASDGTPSRVAA RSGAVEQSIPAGRSGHVTLSASVGNPRRWDLDHPDRYLARVVVEQAGKIVDVYDQPFG FRTIEFTPRDGVQLNGRRVFLKGTCNHHDLGPLGAALNVSALERQLTILKEMGDNALR TSHNPPAPELLDLADRMGFLVMVEAFDCWKEGKTANDYSRIFDAWHDRDLRAMVRRER NHPSVAFWSIGNEIAEQDGPGVARPLREIVRAEDPTRPVTAGCNQAKAGTNEFRTAVD VFGLNYNLWAYGRIVGFPPNADKPIYTSESSSCVSSRGEYFFPVERGKDSQANFQVSS YDVDAPPWAQPPDEVFEALDRNPAFFGEFVWTGFDYIGEPTPYNSDATNLLNFSDPTK KAEMKAQLEALGRLKVPSASSYFGILDLCGFRKDRFYIYQARWRPELPMAHVLPHWNW PERVGQATPVHVYTSGDEAELFQDGTSLGRKKRGRFEYRLRWDDVTYRPGGLEVVAYR EGKEWARDRVSTTGEPAKLRISPERPGVRADGRDLAFFTVAVADGEGRTVPRSHNLVE FAVDGPGEIVAVGNGDATSHEPFQARRRSAYNGLCQVIVKGRPGSAGRITVRATSPGL AGADASVEAR OJF2_RS40165 MGGRNPYLTNAGLNRGFAGNRVGGGVYNRGYRGWNGVNGGAINR GWNGGAINRGWNGGAINRGWNGGWNRGWNGGWGRPGWGGAWNRGWNGGWNRGWGYGGW GGGWGYGGLGWGGLGYGGFGWGGLGFGGWGWGGWGYPGWGLGGFGLGLGLGSGLGWGL SSWLWGPSLYNWGYSSYVNPYYVNQPAVVVEQPVVYDYSQPLVPPATQPSQSVTEQES SLFDQARDAFKAGDYTGALSLADQGLKALPNDPSLHEFRALTLFALGRFDDAATAIYA VLSAGPGWDWPTLIGLYPSVDVYTQQLRTLEAAVRTNPTSAAERFLLAYHYLSQEHVD AAVQQLREVVRLEPRDTISGQLLQQLTKQAPGQGAGAAGPPPAAGPVEAVQAVPAGKE GKLEGNWTAQPNAETSITLSLAADGHFTWGVTSQGKHREFQGDRTYGSGILTLAQKGQ DAQPPLVGHVVWKDEDHFQFKLMAGPPDDAGLNFSRTP OJF2_RS28420 MTPLALLLDFDGVVADTENHHVAAWQRTLAALGWMVPDAIASRA AEADDRDFLRGLFAEAGIEDADLDGWIARKQALTRELLRHSPRVYPGVHQLVERLRGR AKLGVVSGTWRENVEAVLESAGLADAFDVIVAKEDVKAPKPDPEGYATAVRLLGVKPK RAAALEDSPAGVAAAREAGLTCLAVGHRREFGPWVGDTIYFTGLEPVSMILRHLGWED STGAEGR OJF2_RS28425 MSRPVTLFTGQWADLPLEEICQKASKWGYDGIELPCWGDHFNVQ KALSDESYCKGRHDLLGKYGLKVWAISNHLVGQAVCDLIDERHKLILPEHVWGDGKPE AVRQRAAKEMMDTARAAQKLGVKVVNGFTGSSIWHLLYSFPPVSDAMIEAGYKDLADR WNPILDVFKECGIRFGLEVHPTEIAFDLYSAELALKALNHRPEFGFNFDPSHLLWQMV DSVEFLRAFPDRIYHFHVKDAARTLNGKSGILGSHLNFGDPRRGWDFRSPGRGQVDFD AIARTLNDIGYAGPLSVEWEDPGMDREYGAAEAARFVKERMGFKSAGRLFDSAFAEGQ AKK OJF2_RS28435 MMGRPPRRDVTFARRATSAWAFGAALAAVTIGLAAPGPALGWGR NAHRAATRVAESRLTPEARAAVRELLEPGESLVDASTWADENSRNIPGSANWHFVNVP IASPRYTPADCRGNCVVSRLEEFRRILADRNAPRARRQMALRYVVHLVEDAHQPLHVG DRRDRGGNNVQLTFFRDDFTNLHQVWDSGLLREGYRGERALADALFDLARLPAARDWT KGGVEDWVNESLEAARQAYKVPGSGETLRSGMRIGREYEEANLPVATRRLAQAGVRLS EILNEALMPPRKNAVPAPHFPRPGQSRPVPTPPPAGIRGNG OJF2_RS28440 MALVDPYEPCPCGSGKKYKWCCQKAETYLERSIRLEDTAQADAV LAPIEEGLAKLPGNNMLLLRKATYLASLERDEEAVKALDIILSRDPANPAALDTLARL VLTEEGPTPIVARLQDALKSADAGARARLGELALMLGDALARHGDIPAALKHIELAAE ITDRQEDLAAEARQILADYRRDPRFTPWLKQPLALSLPPDGLDPHAVETFNQALGWAK DGLWDSAAAAFELLSANPDAARVADRNLGICRLWLAEDAAATAALRRSIAGLPATTEA VDTAVLIELIDPATDPEPVEEVSLTWPIRDRHDLLARLKGNEAVAQEDTPPSEEPGDP DPDTVVFLLLDLPKPRDTEGLSPEKIPVARAEIAVGAESVRLVTFDDGRLNSVMDQFT SIAGTSVPPSHPKTKAIRRADRSEVATEWRWYLPPDLDEDEVRRLETERLVHFYRERW ADIPQAVLGGKSPAQLAGAGNAEVPLRAAVLILEAANVGIPVDWAALRARLNIPAEPP IDPASVAIGEVPVGRLHLVPVEGLDDDRLVALDRLATTYGLTGTALACCKEIVRRGTP IANGKISPVATYTTLIGDAVRRGDREAAFQALADGRAASIAAGTAAAEAAWDLTQFQL SVRFEQPDTWVPLLVSLTERYKGDRGFTQVMSSLLVQMGLLRPVPVRDRPGEFAMDTR LLERLIGLYGPKVQAASDYLGISATKGGIWTPGGSEGKGGGAIWTPGSDATAPEQGGD KPRIILAR OJF2_RS28445 MSRDSASEQARALVHKGWNHLMSQRPLAAWGTWQQALRLDADSA AARQALETLEHAPDLPAAARRPYRFRKPADEARRRRWDRELRDRDAAEVADATRAFAA IADQAPDDAAAWYNRALCLAWGGDDRGAIACLEEAVRIEAATDPAAAVEAWTLAEVLR QGGGAENLADDLRFACNFAWHHDDTERLVSTFPEIRRIPAPADPTRPEVQARELEVLE WLDRPLPAAGDVASEADLPRVLATVYITPGTLRLSSPRVESLEQAEERLRRMLGPDVR PIERVAAPLPLPFLDADVWTARVPEGLPQDLVHELSREIVESYYENQWIHHPRQGLDG LSPLAASQAAGQGDAVARVKLEAVIRLREQLGARSSSLAMYQGYPFDRLRHRLGLAPA NPGSVEEDDLSCAPLTALQAISPGELAEVRLADAFRSAAGFRDDSLTALFAAELARRG ATEIERLDLPAVFAPLVRQAMQRSDPGEALSWLDQARPLANAAGRRDFDTWRAEILSR TGRGDEAARVYQDLVATGATPALVALDAAETFLDNGQHEQARDFLDRAIDLARAAGIR GVEDLANRHLSSLARNGR OJF2_RS28450 MKNVAVVGATGAVGDRMVRLLEERGFPVGAIKFLASERSAGKSV TFRGKDHPVEPIHKDAFRGVDIVLSSTPGGVSKEWSPVAAAAGAVVVDNSSAFRMDPE VPLVVPEVNPQDVARNKGIIANPNCSTIQMVVALKPLHDAAKVRRVIVSTYQSVSGAG MKGIRELESQAEASVNGADIPAPSKFAHPIIGNCLPHIDDFLPGGYTKEEMKMVNETR KIMGDPTIDVCPTCVRVPVPYSHSESILVETERPITVEQAHELWRNAPGVILLDDPAR AEYPLAADANGRDEVFVGRVRRDLSRPNALLFWCVSDNLRKGAATNAVQIAEELLKLE PARA OJF2_RS28455 MRNIKLTLAYDGTDFHGWQIQPGLRTVQGVLEDAISSLTQARPS ATASGRTDAGVHALGQVVQFYTASRHPREVFVKALNALLPRDVRVLEAEDMPQAFHAT LDARSKRYRYVIDNSPIASPFHLRTSWHVPRPLDVPAMQRAGGALLGRHDFRSFETEW PNRTSSVRTILDLAVDRSDSTVSIEVEADGFLYNMVRTIAGTLVLVGTGRRPEGWVGE VLRAENRVEAGPTAPPQGLFLLRVRYGSHPR OJF2_RS28460 MRIVHVITRLILGGAQENTLLTVEDLHHAYGDDVTLITGPAEGP EGDLFERARESGLKVELMPELVRPIRPLTDLRAYLKLREAFRRIRPDVVHTHSSKAGI LGRAAAWHGRVPVVVHTIHGMPFGTFETPFRNWMYIRLERWAATRCHAIVSVCDAMTE QAIRARVGQEAQFQTVYSGMDADAFLNPPRPRDEVRRELGLGDGDVAFATVARLFELK GHDDIIAVAPEVLRADPRVRFVWIGDGILRDRLIADLERLGIRDRFILTGLVPPGRIP ELLSAVDAVIHPSLREGLARVIPQALLVGRPVISYDIDGAREVVLPETGILLPPRDLG GLKDGILRLAADPALRHAMGAEGRQRFADRFRHETMTRELRSLYERLLGRIAPGR OJF2_RS28465 MVHVDSATEAPAGWDEAGATADAGRALCGKGWLAPASVLFVALT VNLAGNARTGLWDRDEPRYATAVREMRARGDWIVPWFNDSPRYHKPILSYWLMALTTA VAGDNPYGARLPSVLAGGGTCILTWWIGRRLLGPRGGWIAGLMMAVAPIVVAESKLAT TDSLLAFFLVGAQACLLTLSEGPSRRAAMLFWACLGLAFLTKGPVALALIAAAAACAW WLGWPAREVAWRLQLRLGLLAFAAIALPWYLAVLVATRGDFYRFAIGTQIVQRVTTGM EQHGGVPGYYLALSTVAFYPWSAFVPAAAFGAWKRRRTRPDLAFLLGWMVGPWLFLEC LPTRLLHYYLPAYPACALLVAWMVESVASEGVPVRRWPLGRLAMGTMIVLGLAGAALL AAAGALRPGPTRPPLMLCSAILAAGTMLATIRLRQGATRRGMLSAACAWWLIMGITGG WLIPAAEEFRTSARVGRRLEALSAELGTPIVLLNYQEPGVIYAAGHPLSTVREKRDLE RILDTRPELLSAVTPEERVAYSEKYALDMATIETVDGLSLTKGRTHAIELVVLRRRSE PPTRGDSTARRDPAEQPLVK OJF2_RS28470 MTHPDPQTEAACLLHGGALYRSRVGLAGSLSAGLVFAGFKKGAF SLYFDDAPIFHFDLEGRWQRAFVDHTHYLKGLDASIQAVDRVREGANMVLRRRRLDDA DGQVLDRLILDAARGLLEDLREGRLRPEAPPEGKAIPLQPDELTAFLERIVSWDESAW RHHRDRYEATYGPLPLIPPDCQHAVVVQATIGKGPGSIFGRDGWATRVRTAEEFREHV EAVAGLLGGRLQQSRIAFLGGSEAIRQEPRQVESYLDAAGSVLAIGRREGNAGDGEEG RAGLEGIHAFVDRFEPPRPALDSLRSYRRRHVTAIGLGIASGDPVVRDRLGVRWTDED LRPFVDDLRAAGIRISFLTLSDAGGPDRSREHVAATAELLGSLQPSRSENVFLVDAAD VLEPELAARCAPAGGGDTQGRQALRDALAPLRGGGAKVLAYTPEKHWA OJF2_RS28475 MSVYEYSRWDGQEFQPQSADEVFEKLSEHLIQHGDDLLRQLDDI DEDEVPELIQLIEKEGLIERDEEGKFRVSPKGIRRIQDKALSDLFLTFRRDGLGRHDS QQKGEGAVRLEDTRPYVYGDSLANLNMHETLKNAYIRQGGGVPIRLKHEDYIVHETEF QSRCATVVLIDMSGSMGRYGKYHTTKKVAVALQAMVRAQYPQDSIEMIGFYTFASPMT ERQLLNSAPKPVSLWDSRVHLRFDLDNLPGRVPQHFTNIHAGLRLARSRLMRHGAGNK QIIVITDGEPTAHVEGREVVLIYPPAEKTATHTLNEARHCANAGIRISSFALIEDYFY LGLVNFVQEMARVSGGVAAYCSTEDLGQFVFESFVGGRHTRRHSK OJF2_RS28480 MGLERAGTLGELRASGYRVVPVKEEMRRNLVRKLKAGEPLFPDI LGYEDTVVPQIQNAILSKHDMLFLGLRGQAKTRMLRQLVHLLDDSIPIVAGSEVNDDP FHPISRFAIDLVAEKGDATPIEWIGPDRRYHEKLATPDVTIADLVGEVDMIKHAEGRY LSSELTMHFGLIPRTNRGIFCINELPDLAPKIQVGLFNVLEERDIQIRGYPIRLELDV CMVFSANPEDYTNRGRIVTPLKDRIGSVVRTHYPLTREIGIAVSDQNAWLDRGGDGMA KSMAIPTYIKEVVEEASRLARTSPHVNQQSGVSVRMSIANLENVVSNAERRALVHDEP CVVPRVSDLAHAAASSRGKLELTMNEDEGHEDKLIHRILDEAVKNIFGAHFDAREFRP IVEHFESGQSVELGDMVPSRAVLDRIAKVPGLKKRAEEIASKLLPDLKDREARDAAAA SAAEFVLEGLHVHNKLNRAVKTGGSTTYRR OJF2_RS28485 MDKSLICFDDASKRTDPYGELKIFAGSSSSQLGRAICRHLGAEL ARSETKVFSEGNVFVRVLENVRGRDVFVIQGTDYPVNDNFMELLFWVDAFKRASATQV TAVIPFFSYAKGDKKDEPRVSIRARVCADCLEAAGADRVLTMDLHSPQIQGFFKIPVD HLYAMPVLVDYFREKDIPDLVVASPDVGFGKQAYKFAEMIQAPVVFGNKVRRGHDEHA EMLDIVGDVRGKNVLIVDDFTISGGTLIEMAVACKERGCKDVYACVSHGVFSKGSAAK IARSPIKELVFTDTIEHRFEELAPCCKVVSVASLFADAIMSIHRRESVSRLFNY OJF2_RS28490 MPRHQTRRRRPAAMAALILGIALVGIALRGPSGPRRPAEGPGRP PGATRLVSLHQDEAPSLEGGVGWINSGPIRLSDLKGKVVLLDFWTYCCINCHHVLPTL ARIEEKYKDEVVVIGVHSGKFDAERDVENIRRKVAEYRIKHPVVNDAEMTIWERFGVS SWPTLVLITPDGKIGGSNSGEIAFDDLDRAVGQVIARHKDRIDRKAMSFAPEMDRVPA GPLLFPGKVHADAAGGSLFISDTGHNRIVRTDLEGKDATVIGSGEEGLKDGGFDEARF NRPQGTFRSGDVLYVADTENHAIRAVDLKRKKVATISGDGKQAPRSPLERYEGIASKS QLSSPWDIAQVPGSPFLYIAMAGPHQIWRLDPAADRIGVWAGSGYENIQDGDLEDARF AQPSGLATDGDRLFVADSEVSGIRFISGVKSGSPRVGRIVGEGLFQFGDVDGIGPKAR LQHCLGIAFAGGKLYIADTYNNKIKGCDLKTRVVKTFAGDGKPGSTDSSPRFYQPGGL AVAGNRLYVADTNNHKVRVIDIPTRSVRTLEVDVPSPRAMSKPAG OJF2_RS28495 MTRSKYLVGIDLGTTNCAVAYVDTKGREKPPADIRMFDVPQVVA AGESAPRAMLPSFLYLAGGPELPPGAAEVPWGDGGGGIVGEFARIQGARVPARLVSSA KSWLCHGGVDREAGILPWGSPPEVRKVSPVAASAAYLEHIRDAWNFTFAKDDPASRLE QQEVILTVPASFDEAARELTVEAAKRAGLASITLLEEPQAAFYCWIVSHQDRWQREVR AGELILVCDIGGGTTDFSLITVVETPTGPGFRRVAVGDHLMLGGDNIDLALAHHVEKK LGGIRLDAEQWSALRFACRTAKEKLLGDGPGDGPGPDRWPVTIAGRGSRIIGGSIQSE LTREEVRAIALDGFFPVVTRGEEPGRGARAGLQEFGLPFVADPAVPRHLAAFLRRHRA EAIGQGGHQPDDRPARPDAVLFNGGALTPDVVRGRIVEVIASWFPDDPGSAYAPRVLT NASLDLAVAQGAAYFGVVRRGGGIRIGGGTARSFYVGLETGRADRPWMCVVPRDAQEG DELTITGHDFDLLMGQPVLFPLASSSVRPDDRPGDLVAADPDSVRSLPPLQSLMRVGR KARAERVPVSLAARVTEIGTIELWCQSRTDDRRWRLQIQLRGPAAEPTSRATVADEET DRVIIEQSELDAATAAIRGAFEAPASAVATEAGPSRLFRRLEEILDVPRDKWPPSALR AWWDTVRDLAETRIRSPQHESRWFNLAGFSLRPGRGYPLDDIRLKALWPIFHQGVRHT KDVQCWAEWWILWRRVAAGLTRQHHDEIYRRVAPFLLPQKGTSPSKKAGRPKPEPHEL AEMWRVAAGMERLDAGVKEALGEPLLKELSRSPLPGYTLWCLGRLGARVPLYGPANTA VRRELAERWLETLLSRPFAGGRETADAIFALSQVARYSGDRARDVSDPLRERVVARLG ELGADDETIRSVREYVELGASREGQALGDALPVGLRIRTDDPAGE OJF2_RS28500 MQTSSLTSYEAGQVAEIAAWKARRPGLIRRAAESVKWPLDHLLT KFLPAEEARKLFTRAHKAADWDLGRDAFRRALNLEDIGRLRDGPLEHCDDLVVRVRDL SRELITSESLLANAGGIATELLELPSEILLALRTVHRVAACYGYELRGSQSETLVLAI VGLSLLTDPRERLRARRLIRELEDASCPAGDEQELSGIAERRLGSELREDLAEEVGAS LLEDKLGEGIPFLGAALGVLLDNEFISAVEESSRRTFQERWLREHGKVDEIPPAAAEA TIPLRRRLADAVYSAGYAVGFGVVFPASLVSRACAAVLPAPAAEGLADGSLAARRDAE NLLSSASVAVPARVDPLANGVH OJF2_RS28505 MARFLRLAIAGAAVAFSVLLLVGACYWLGDRISPRRLWQLRLGF LIGLEVAYDAVVLALLIATPSLAIAAARARRRRASRPGIAKALLLAVSLLFTLIAAEA AAAIWHTRSSSANRIPVVANRAAERGGVSVEDAGKVVLPVDFPEREPDAPAEILVLGE SSAAGVPYDWWLSPGLLVAWQLHELMPGRTFHCTVLATSGHTLAQQHRALAAANLARR PDVVIIYSGHNEFTARLPWSREVDHYLDANDSTPWERIAALAPRWSPLCRLIREEADK CRVAIPPPRGGYRNLVDVPAFAPDEYRAILDGFERTLDTLVAYTRRIGALPILIAPAG NDSDYEPNRSYLPEATPSSERAAFAREFLAARQLEATDPAESLRRYRSLDARQTGFAE LHHRMARLTEASGDWEGSYRHALAARDLDGYPQRLPTSFQLAYRRVAARHACTLIDAQ AVFHAVGEHGLLDDHLFHDGVHPALRGQIALAQEVLQAIRDRSALGWPAGRPAPVIDP KACAAQFHLTPWAWEKVCNFGIMFYDLTEGARYDPSERVAKRHAFGQGLERIKAGAAP ESVGLPNIGVPPPARVVPEAVTIPPPPETATASR OJF2_RS28510 MPDPKAKDELEQYEGTFRFRDGDYYDRHLVFDHVVPMDEANQRQ RFEAVARSLRDLLTQRWLLTKETHHRLNAKQVYYLSMEYLIGRTLLNTVTNLGVRDYV RDDLRSDARQNWAEILDAEPDAGLGNGGLGRLAACFLDSMATLEIPAIGYGLRYEYGV FRQEIHVGNQVEQPDNWLLHPDPWEVSRTTESVEVSLNCSFEFHGGALHRIPGQPIHL LGIPYDRPVAGYGGRTINTLRLWGAASPDFFDFGEFSGGEFVDAVLYKVMAESLTRVL YPDDSTTRGRTLRFLQEYFLVACSLADILRRFRDRGNAWSALPEKVAIQLNDTHPAMA VAELMRILLDEARLGWDEAWDLTVRTLAYTNHTLLPEALEKWPVEMFQTFLPRLLEII YEINYRFLGDVHARYPGDNWLLSRVSLIEELPSRQVRMANLAIVGTHSTNGVSEIHSR LLRESTVVDLADLFPSRFNNKTNGVTPRRWLLLANPDLAALLTEALGDAWPMDLDRLE GLAPLADDAAFRERFRAARLAAKRRFVDWIGREHGIELDSDALIDSQVKRIHEYKRQL LNILRVLAIYHRLRTDSSFDPEPRTYVFAGKAAPAYHLAKTIIRLINDVAAAVERDPL TRGRIRVVFLPNYGVTLAERLIPATDISEQISTAGYEASGTSNMKFMMNGALTIGTRD GATIEMGRRVGEENMFFFGLTAEQVASSRASYSPLWHYDREPETRAAIDLLSSDLISP GDPARYESIRHVLLEHGDYYMHLADLGSYLETQERLGKLWTDDPEGWTRKSINNVAAS GYFSSDRTIKAYASEIWGVEPCPIR OJF2_RS28515 MPAYHPQRIEPKWQEYWETNETFRATDCDRGRPKLYILDMFPYP SGAGLHVGHPEGYTATDILCRFKRMRGFNVLHPMGWDAFGLPAEQYAVQTNTHPRITT ETNVANFRRQIKSLGFSYDWSREVDTTDPGYYKWTQWIFLKLFDTWYDPDHVWTDPQG RERTGKGRPIAELPIPPGTTNADAYRDARRLAYRAEVPVNWCPALGTVLANEEVIDGK SERGGHPVVRMPLTQWMLRITAYADRLVEDLEVVDWPRAIKDMQRNWVGRSEGAEVDF PILLDDDLMSWEVRRQSEGFVDPPPADVIRIYTTRPDTLFGATYMVLAPEHPLVDRVT TPEQRGAVAAYRDQASRKSDLDRTDLAKTKTGVFSGGYAINPVTSKPIPIWIADYVLM GYGTGAIMAVPAHDERDFEFARAFGLPIVAVVSPTDSWLAAHRGPSSPRLEGDALRAA YLADAGAFAEAWCDEGTVIQSEHPEFSLEGLPTAEAKATITAWLSEAGLGRKAVNYKL RDWLFSRQRYWGEPFPVVLDEHDKVQPVPEAELPVRLPDLDDFKPTGTPEGPLSKATA WVRYSERLRREINTMPQWAGSCWYYLRYLDPKNVDQPWDPEKEKYWMPVDLYVGGAEH AVLHLLYSRFWHKVLFDRGLVSTPEPFQRLVNQGMILGEVEYTGFRDKAGKWISAALL DEDAHGRFVRGEGGRYVEPVRLAEEQVTKKGEGFVLSAEPDVRVEARAHKMSKSRGNV INPDAVVGEYGADSLRLYEMFMGPLEAVKPWSMKGVEGVYRFLGRAWRMIADHESDEI RLDPRVKEAPLNPEQAKLVARTTAAVTDDLEALRFNTSISRLMEFVNAFTSAEVRPKS AMETFTLLLSPLAPHLAEELWQLLGHATTLAYEPWPTYDPALLKDDEVEVPVQVNGKL RARITVPADADAARLESAARGDERIVALLEGKTIRKVVVVPGKLVNFVAG OJF2_RS28520 MCVASLALGWAVPARADLVISIGDATVAQGGTGTLNVYLSSTAD ASAPDVINNYAFLIQITANTAGNLAFSSSQGFGYLNDGSYVFFGNSADYVAGLMSPPP VGGTPSTTDYPNDSFVGFDTTNDFSPVALSSSSGPVLLASLSLDASITSEGESFTVSL VPNAGDGSSGSGSGTYFDVVDDGFSEQSAVSYTSTSGTVTITAAVPEPASASLGLIAT CLLACGLVRRSSRRR OJF2_RS28525 MKRIDGRVRARRARRARHHRPLLASLEGRLLLSGVDVTQYHNDP YLSGANLSETTLTPGNVNPTSFGLLFSQPVDGYVYAEPLYLSGVTIDGAEHNVAFVAT ENDTMYAFDADSNAGASAQPLWVHSFTDPAAGITSVPQAAIVSHDIVPTIGITGTPVI DPATRTLYVITKTQEVLNGDTAHPHYVQTLHALDVSTGQDKVPGGYVIGDTTENPDGS HVNDTAIHVAGTGDDSVNGVVTFNATRENQRAALQLYQANGHDWILATWASHGDTQPY HGWLAAFDATTLQPVAWFNVNPNGTEAGIWQSGDPPAYDPATGNIFFATGNGTFDQYG ANPQQDYGESVVQLGGTPVGDQFVVHDFFTPYEFDVLNNNDADLGSGGTMLLPDSVGS QQHPHLMVETGKSGKIYLIDRDDMGQVPNPGTGPDANVQTVTAGQAGVWGSPSFLQVS GTSGIIYYHGSGDVLKGYYVSNGHIEDGSQPGDRPILYGTYYAGFPGAQPVVSANGTA EPLAPTDAIVWELQVDQYGSNGPGVLLAYDALNPSVELYDSATVGQRDQLGGAVKFTV PTVANGHVYVGSQYAFSVFGLFPEATAAPATPTGLAASTVLGQGSKIQLSWTNPAPAP GAAPTGIQILRSTDGVNYSVLTTVPGTASSYTDPGPFSIGQPYTYEVVAINQAGASAA SAPAQVQITIQPPALTLTNVTASTIALSWTGIANDHYEIQRSNDGVNFATIASVPAGQ FSYVDAGLAPGMYGYRVEAFNVNPAASSVSAVRGATVGATVDEGGGFHNTAGLTANGA AQFAEATARLTKATGQVGSVFTNNRLTIGSFTTSFEVRLHEGTQPDYADGITFVIQAG SPTALGQGLGGMGYQGIGHSIAIKLDPFQNPGDPSGSTTGLFINGEGPFGGVDTTGNG LLLNSQAPKKVTITYDGTTLTESIVNTLDASETFTATYQVDIASLIGSDTAYVGFTGS TGDDGFWELQDILDWTFTSTEPLPGAPTAVRGTNPSSSEIDLSWTSNSYNETGFAIER STDGENFTQVGTTDGTTYRDLGLPVGTYYYRVRAYNDAGYSPYSPTQAIATGTLIDHS GGFSSHSDLSANGNVDWNDGAMQLTNGYEFNSSSSFYTTPVSVLNFTTTFTFQMAPGT TAPPIADGFTFTIQNSGAGTSALGQAGGGLGYAGIGNSVAIKFDAYKPFGDHSSTGLY VNGDYPDVSPPGPGDVYVPLDGTGIDFNAAATDATPHTFQASLSYDGTTLTETITDET TGATFSTQYAINLAGYLNSTSAYVGFTGATGGATSIIQIDSWTGEFLAQPPALLAPWT SEDVGPPAIAGSATYSNGTFTVNASGYDIWNNYDEFHYVSQPRNGDLVAIARVGSMSD TSAWAKAGIMVRDGLDPSASFAFIFVTPGNGVDFQYRDGAGTDAQWNGYLPVAAPQWV MMIRSGNTYEGFTSADGVNWTLDGTVSIAMNDPVQVGLAYDSANDGVLGTATFDHVSV TSPSQLPPGFGDSDVGGPGLPGSGSFDATTGTWTLAGGGSDIWNGADQFHYTAEPFQG DGSISARVASLTYTDQWAKAGVMYRSSLDPASTFVDVVATPGNGVAFQWRDQYGNLGN EQVYLGAPVWVQLVRQGDAFMGNYSYDGTYWYPIGAPVTIDMPDVALAGLALTSHNDG TLAVATFTNVSVLPAGWSDADIGYPGLPGGALYDGQSWRVFGSGNDNNDYYDQLNYAS QPFNGSGSIAADVNSLTNSDPGGFAGVGIREYNYAYTAFVQMVAIQDNGVALQWRDDY GNVGSDQVAGISAPVRVKLERTGNVFEGFYSTDGESWIEVGAVEVDMPFSVLAGLAVS SNNNAMLAEAAFADVATEQFPDVLGLAIDGPAVRNQAVDAATVTFSVPVDSATIPGGL SLTRDGYVVDIPAGSVTATLVPGTTATYLVSGLSGLTRDDGNYELTVDATQVLNAADT AAGVGTASTSWLMDATPPTSQISPLLTRQSQSIFAVTVSGTDPSGTTPSGITSFTVYV SANGGAWTPWQTLTPNVTQGSTAAAVALFTGQSNTIYAFYSTATDAAGNTQAYRPRIE ASTYVPDLSPPVTSIAGGSYNGDGTISVKLSGHDVGGGLLNYFRAWVSIDGHAPTPAG PAIPAGVPDGHGNVAAAIRYVIPPAYDNGVAHSFVFSTAGIDSSGNAEAAHATAGQGA FSVSYTPPAASGLAISGVTVERGAAERSYIRYIDVAFNDPRLAVLQAIVRSVNSPASG QAPELTLMKYNLDGGGTPVPISLKGLLSVVDDAIEIEFGAGGLGGSPTTTAADGYYAL AFKPPSGQGVGSTHHFFRLLGDVTGDGVVDNADLGAVAAAVNQSRTAGYAPLNVDVNG DGKISALDLALATRAKGHKLKSGLPLG OJF2_RS28530 MAPVISYDEVPYADDPYPSSHPGHLAVVSILAGLDPVAVEHCRV LEIGCARGGNLIPMAAGLPEASFVGIDKSGRQVAAAREFIEACGLRNVAVECRNLVDV GDELGSFDYIIAHGVYSWVDAVARGRILEVMASRLRPGGLAYLSYNTHPGWRLRGIVR DLMAYRARRFDRPADCAREARRALEFVASSASAFDRAYAGWMGEECRYVRERADSYLL HDHLEAENEPVYFHELAERATGAGLRFVSEVQAGVLDVESFPPAAAAGLKGLAADDVE FEQYLDFLINRKFRQSVFCLAAREPGLPREEELARLHVAARPPVSLPRVGFRVEGRLR QVLHRVAAAWPSAIPWGELTGADQGRPPPRPRRADAVRGDPDLTSDLLRCYRLKILEF RAHRWPFVPDVSPRPLASAAARHQAGLGEVVTNLRHEAVRLDDLSRRVVRLLDGRRDR AEILRSILASRSENGPVPNRPIPPSSRDRPGPGRGRDHGEELDRCLETLARYAFLMS OJF2_RS28535 MNARLGRLEGGRRAFTLIELLVVIAIIAVLVALLLPAVQSAREA ARRIQCTNNLKQLGLGIHNYESVAGTLPIAGVIDTRPSAFAAWVGWSVHARLLPLMEQ GPMFSSINFTIPYSAAPNYTVSSSNVATLICPSERDSSPTPASAFFNSPKGITNYGVN MGDWFVFNPGGPQTRGVFSPNLSRRLASFTDGLTNTVLMAEVKVRNPEYNCVPGLSNI NNPTVVPDPTADPFVVAPEYGGTCGTVGQGHSAWVDGNAQETGMTHAWPPNKAIMGTK GEGDLDLQGTPLFRGGVNATFAAITSRSYHPGGVNVLLGDGSVRFVKSTIAGPVWRAL GTVSGGEVISADSY OJF2_RS28540 MRSSTRGIVACLLLSAMAGLAGAQEPKPFEPRSAPGVGQKFLET MAGRWTVTKVIHRGDNPIKTPGECRQYMIQGGRFLQSDFAFGDGEKRETGTGIIGFEP ENGKFTSFWFESRQTRMSARRSREPFDGSRIVLYSLNLDPDQKETRRTRTESHLEDEG RTLIHRQYLLGGPGEERLVMELIMKRKG OJF2_RS28545 MAPVELVPIAVRPRHAARLIIAAMVVAAAAGCSHRRESMRPIFT SPASVAPAAPCTNCGSGGSARSGVVITPGASASGAGRVLSSDSDLPGPSGAVESTVPP LSEPTSTSKKSTLPEPAPKAEIGDVPDLRAYPTPSKTTRLKPPAGGTSSTSPSGKTPM LEGPAGASTDRKASGDGLRAASATVPVAGRIRRVSTSERLHPFLDETGENELYYPSKA DRPWQYVVLHHSANHDGSLDSIDAEHRKVLGYDGCGYHFVIGNGTGSPDGRIEIAQRW ARQKHGVHCRNARQSDIDEYGIGICLVGNLDKEPPTPRQVEAARALVGYLGDRYRIDA SRIETHAEVAATQTVCPGRYFDMDAILGNGPSARAAEAPTPGPAAAGWRSSQSKSIRL N OJF2_RS28550 MNDPTAEPAPPNPWATVSSRIVYQNPWIRVREDQVLRPDGEPGI YGVVEFKNTAVGVLPVEEDGSIWLVGQHRYPLDSYSWEIPEGGCPESESPEETARREL LEETGITAASLELIGTSHLSNSVCDEVAYIFRATGLSHGQSMPEGVEKLQVARVPWGR AWEMVRRGEITDSMSVIAITNEAVRKLEGSARS OJF2_RS28555 MSILVNKQTRLICQGITGKAGAFHSEQCKAYGTNLVGGVTPGRG GETAVGVPVFDTCEAAVKATGADATMIFVPAPGAADAIMEAADAGIRVIIAITEGTPV LDMARAVAYLKSHHPQARLIGPNCPGVITPGECKIGIMPGYIHKPGRVGLVSRSGTLT YEGVWQLTSLGIGQSTCVGIGGDPVNGTNFVDVLMMFQEDPDTDAVLMMGEIGGNAEE QAAALKAEGKFTKPMAAFIAGQTAPPGKRMGHAGAIISGGSGKAEDKIAALEAAGIRV AKSPADMGTTLKEALGAK OJF2_RS28560 MKVHEYQAKELLQKAGVAVPAGVVVTTPAEAAKAYDSLGGGLIV VKAQVHAGGRGKGVAVGPEVDRDEALAIASGEKARPEGMAKGVQLVKSAADAEKAAAS LLGKTLVTYQTGAAGQKISKVLVTVGHDIARELYLGLAVDRNLKCPVLMASTEGGVEI ETVAAKSPEKIHREPIDVGLGLADFQARKVCGALGLTGATAKKGVVFLKNFVKLFLEK DASLAEINPLIVTDSGDVLALDCKLNFDDNAMFRHKDVAGMLDESEEDPAELRASRTG LSYVSLDGDIACLVNGAGLAMSTMDLIKYHGGEPANFLDVGGGADKSQVLEGFRILLS SDRVRAVLVNIFGGIMKCDIIAAALLAAYDEIDFRVPLVVRLEGTNAELGRSMLDKSG RKIITAEGLTDAARKVVAAAKGSAA OJF2_RS28565 MLGDSLSGDDREASIRRDGDVRLATFRGGYLVAGGGRAAEPPVR GKFMTLCVRLRDPSGKWDAPAIGRLAEG OJF2_RS28570 MRHVQGHGSLGGSRRAVVSVLLIAGLATLAGDARAQLRRPGRPG RPGMNRGTPRNNNARPGNNGANATPPSNANPAGNPAAAGPDAAGAAGASAPVTNLADP SAPAPELWKVKPDPPEQKPAEIAPDVLLRVPPSFFGGDVTFPTAPSSFVAVGRNGDQN DIREVWDLAAKKRIGGVRGAVKLEKPLALSPDGSLLAGRSDQVVAVYDTKTGRMVAQL QGEGHSVKYVDFAGPGRVVTGDPGDRRFEVWDLKSQKSDLDIGPRDRVEEHAVTISPG RRYLAMICKDTLLVYDLESGRKAGEAKVPKQKNFFELGCKGLAFSPDGSELAGVFDSF GTHLLCWDVATGRLTQHFLYDDKSNVKAALGSEVGAIQWLADRAGWLVFGSVVIDHRS GQRIFTIPSDTPNADKHERRIVGKNIVMITFGDGQNRKVGGYPLPTETLAKASKLIEQ GGSAADAALPELTSADLSGAKHISGAGGAWSVRPAASAAPRPVQRRIPTQVQAAEAAG MLFSAIEGHQLALVSIAGGGNPFDPNKDEGKPRRLIRFDITSGRVLGRIELSPFVDPI ALSPDGSRVLTLDKDRRRVDVFATSDAGHVAGFRPYEKEPSDNDKGVTFAAFVEADKV LTASRGGKVVLWSLPACKAVYVADNACEGAPALNPGRSLLACYQGGRFHLLDAATGET RGVTQPAQASAGRSELKGAAFQADGAGLVALLGGQQVVRWELSTGKVTADFPISMTIS PMPGSHHSAIESCGPDNVLLDGRILIALDKQSHIWSYFGPNVSAGGPDGQHWFVQGAM NQNATISSLVLPEATANRVVAMVGDPSVHAALRVGMTVSPVLELSGPPDRNAEFKKEL ADGLSAKLQANAMTVGSGGLPSIVVHVEEHNTGKSVDYRNFGDSHFGQPRGSIAVTEL VCDVSFADAQGRIPLAPQQRISLLQGFRMMYRIGPNETLESHLKNGQWAGVKNFVSGI GLPYFVARQAGGVAMLPGTTDLNAIR OJF2_RS28575 MAWLIDGYNLMHAAGAIGGKEVTREAFRRLRRRFLDELADALGP ARARETTVVFDANSPPRDFDLETTYKGLRVLFALEDESADARIEMILAAHSVPKSLIV VSSDRRVRRAAARRRAEAVPSDQFLDQLDRMRAEGRRKPDRDGAGEASPAGDDGKRNT PLSAQEAAFWLEAFSDIADDPGLSDGLRESAPMLTDEDIERIRREVDREP OJF2_RS28580 MGEHALPTIQITGGRIIDPAADRDEVGDLWARGGKILPAGETPE GADVVIDARGLIVCPGLIDVHVHLREPGNEEDETIATGAAAALAGGVTSVACMPNTIP PIDSQAAAEFVVLQGRRARQANVYPVGAVSKGRKGEELATLGQLVAGGAVAFTDDGAP VASAALMRRALEYSKMFDRVIMQHCQVPELTVGGVMNEGFESMRLGLGGMPAAAEDIM VARDIRLAEITGGRLHIQHISTERSVELVREGKRRGVKVTAEACPHHFSMTDERLRTF DSNYKMNPPLRTAQDVEAVIEGLKDGTIEILATDHAPHAPEKKMRELDQAPFGIVGLE TLVPVTATKLVEAGHLSWPEVIRKLTINPALLLGIPKGTLHAGADADITLIDPSMKWT IDAAQLRSRSKNCPFHGWEVTARAHTVLVGGEVRYTLGGIVREATAGV OJF2_RS28585 MSVPPAAEAQSVAPAAASPPPSPASAWTRRHLLGLEDLSAEEIL AILDTAESFSEVSKRSRKKVPALQGRVVFNLFFENSTRTRTSFSLAAKRLSADTQDFT ASSSSLSKGETFIDTAKNIEAMGADLMVVRHSTPGAPHLLSQHVNAAIINAGDGAHEH PTQGLLDLMTIRKAKGTIAGLTVGLLGDIAHSRVARSNIWGLTKLGAKVILCGPPTLV PRSMERLGCEVAYSLDDILPRCDVVNVLRIQFERQQGGLFPSVGEYSQFYMMTQERVR KGKPDLLLLAPGPINRGVELTPDVADGKHSAILDQVANGLAVRMAVLYLLSAKMEPRP SAGE OJF2_RS28590 MSDERQIVAGAEDTSALIERMAASIWAGRRPGSPFYLVGVRTRG AALAERLAGELSHVGGDTIAVGAVDITLYRDDLGEGLRWPVLRGTDIPFAVDQAEIIL VDDVLFTGRTIRAAMNAICDLGRPGRIRLAVLVDRGHRELPIEPEVVGLTLPTDRLDR VRVRLKPLDPSDEIAHVAAEAGRPSPERRPTP OJF2_RS28595 MGAGYLSRRIEEIVEEHRRDVGAAARRPLSVIVQMATGDDMEGY LRASVEAIELRRSVATARSLVPPRFDLLVRSARGGPLGKSARRELEQSASPSAESFLA GVRSGAVEGHDVAEAGKSALQPLMDSDWVLDRVAQAERSRGRGSAKADRQRPPGSRPV PVHFLSSGSAVLEVTRDELAALPRQVPQALDIYVNRTVKVPPVAKSPDLPPVVRDNKS ATWGLARTGALAAWGAFAARGQGVKVAVLDTGVDPSHPDLSGRVAGFAEFDPKGRLVA EGLARAHDSGEHGTHCGATIAGGRASGRCIGMAPDAQVLAGLVLKDGVGTDAQILAGM EWAIRSGAQVISMSLGGLRLSADVLDTYTRTIITANRLGIPVVVAVGNEGSQTTGSPG NDFFAFTVGATDVDDRAAGFSGGRTQIISNSRYVEAESLPLVYSKPEVTAPGVDIYSA VPGGGWEAWSGTSMATPHVAGAMAILLGALPGLHEVSGALRTNLVQTLLISTVKELGE AGQNHRHGFGRIDVLRALGYAVELGYGPPEFSPPRPVTAGKRGRRPRTVNSGG OJF2_RS28600 MTMLRSIAMLAVFAVAIAAANAAPDGDRRVVVITLDGFPAYLLD DPHASLPVIRGLRRAGAFAIQGMRVSNPAVTWPNHTTLMTGVHPEKHGVLFNGVLERH GKGQTVRVEAGKSQQDLVRIPLLFDHLKAAGVDSTAINWPCTRGSASIDANLPDVPDQ VTHTSEWLKDVLDREGHLARFVGGSNVVRDEVWTDAACRVIRDRKPRFLTLHLLNLDS THHKYGPRSNPGYTAAALLDAMVGRVIEALTEAGIRDKTAVFILADHGFMTVKHSLHP NAVLRKEGLLTMQGASIATARVQVVPEGGIGMVYLNDPGTADADGETVRRLFRDAEGI RAVLGPEDFGRYHFPMPKDNPQMADLVLVAKEGYSFTASAAGDKLVGEAETPFGAHGY VSTEPGMNALFVASGSGIRPGVRLKVIDNVDIAPTAANLLGLKLEGVGGRVLGEILEG HD OJF2_RS28605 MYTLGTRPMLLTDDELERSAVVANCRMNRERGLAGSNGYDRELG FEPIAFLKAHARPGRPAAWLDLCCGSGKALIEAALMVQAGRLDAVIVGVDLVGYFLEP GPEVNCLTLVEASWRDWHPDRAYDLITCVHGMHYVGDKLGLLARSVSWLTEHGRLAAS LDPSNLRLSGGEPGGRVMSKDLRAAGLVYDRRRRLVLCDGRRDAALPYAYLGADDRAG PNVTGQPAVNSYYRRTGGCPDPSPRQS OJF2_RS28610 MSNLVAQQRTGAQSWHGRVSILLGLVLIFSTWAFLAVRTGSPSD VREWGVVRTIAATVAGPFAGAVARHFQPCCLASSMAIAAFFVPFLAIGLLAQVVPWTA AIGSRWAARKIVFWTLGWTIWLFGGPASFLHAFC OJF2_RS28615 MPEDTHATSGLLDVATIYHEPAVTDYQRGREILDRFPRAERIVV SSHWNLPGLHGNEGIAEDWLKIKKTVLVLGVRKTMAVRPNGRSADFIAPSYSNGCAMA CAYCYVPRRKGYANPISTFVNIEEISRAIERHSSRQGAKLEPNQVDPRHWVYDIGENG DCSVDALISDNLRDLVAQFGRLPHAKASFATKFVNRDLLDYDPRGKTRIRFSLMPRDV ARMVDIRTSPIEERIAAIDDFVAAGYEVHLNFSPVIYYEGWLEDYAELFDQVDGSLSG RAKQQLAAEVIFLTHNDRLHDVNLAWHPRAEDLLWVPRLQEAKVSETGGRNVRYRQGL KRRLVEEFGSLLRRKLPYCEIRYAF OJF2_RS28620 MKIHRLAALGNSVALILVLLLAAGIDHRSSRRAPSQGVMGSAPA PTMARPRGSEPARRLVLVVIDGLRAETAFNADLMPTLNRLASRGGRTTARVESLIPSS LAGIVALISGDVPPAESCLSDFGAAPRREGGVLEAVARAGGRSFVAGPSLWTDLYGTW IASAEVDPTFGSGDERLVAAALRALSSDSYRLIVLHVGRVDAAAHRSGTRSAMYRGSV RWCDEVVRRIAREMGQGTGLVVTSDHGMTEDGGHAGPEPSVLTTPLVTFGAGLPTGAW PGGPQRAVPSLLLTAIGIEPSSGDTSAYKDVEPGARIFLPLTAGLLCGLYFWSGMGGA SSGRTEATILNLAVWLVLLLIIMDRLPAALAAGFATLAWAVSTVPRKPSRVLTAAVAS GALLGALRLIDGYFALDLDAWTGLAPVGAAVMACSPLAIPAAAMRRDGPTAGPLQSSS SLTGWLGPAGMTAMAALLGGASLVLAYLAAIMLGRAVATILAMPRGVGRSREGVVSPF LTVPRSRFVSAGVLTACALVLLCRIAGQTPSLSTVDVRSAFGLVEIRGGLALAVVAVA AAQALPAVGLLLGLRVAVGRLSPDSLTDFAAGLAAALAGQAAAGALLLDATPGQPVDA LALGLLIRVLAEGLYLFLGLAALVLAARATETAGA OJF2_RS28625 MSFIQRFLERVLRNNPTRMPRTTFDELNQDQLDAHLRIGRYGNF TLTDAVRPSIGLDVVPREGYRRDVYCDPESGNRMPVLAAAVSAEKLFDVFMDLLDPLG EVVDVVMESSHESEPGQHADLYREHMDTVILKSTLYDYESLLLNDGCTGLAALNPNGP MEVQFDEHKLLFVYANDLGPFEEILLTHGLKRDDSLKFISEAEHLHSTDDEHREQFEE ILYRLGIDHED OJF2_RS28630 MLSSRLAWSLALAMMAAGCSEPDTTPAVPPPPSFKGSTLTVGAL GDPAILAGLAAHRGEWVATRGGEVVIRQEPIPSVDQVSSVDVLVFPGQELGNLVDAQA LEAIPNEQVIPARKKRADEEPSRIEGEPAPAESPAESFKFTDIVPAYRDRVTRYGEDR MALPLGGSALVLVYRKDAFARPENVEAARAAGITLEPPETWTQLDRLAAFFQGRDWAG HGHAAHGLAAVLAADPEGVGDALFLARSASLGQHRNHFSFWFDSDAMKPRVDSPPFEE ALAAITAWKSCGPPGCEKFDAASARAAFRDGKVAMLIDRAERAATWSGGSPVGVSRLP GSERVFEPLRNKWETPESPNAPSYLPAGGGWLVGVRRGLSDAQREAALDLARYLASPE ISNRLRSEQTFAMLPVRSSQIGQGLPDPASSPDVDPRQWSDAVGRTLMADRVIPGLRI PEAAGYLNDVAEARLAALAGKAPGDALRELSTRWTARTKALGKHRQLWHYRRSLNTLS TASTPPARGQ OJF2_RS28635 MGDADDDFDASGPLGTGSPELNELLGMFDLPAFARRGQDMEYSV RQVHDRCRNRRGEYLEMVRMRLRQWAAVAQGPGDWEAAFTAPIDELWRLADAQPPRWA DRPASLRLRRAAARDLAASVRRFNDRWRQLVASLNLGPANRIIDHYNRYYLLEKECVL GSARLAARYFTPIPPFSHEMLLETYPPLPQPELRAERS OJF2_RS28640 MRILVAVLALLWPSARFAPAQEPPPSRATARGRAIDVRYPSIGD GKAIDGRVYVMLVPKGSRGEPRFGPDWFQPQPMFSREVKGWKPGESIRLDATAAGYPA PLDAIEGGEYVAQAIVRINPDTARIGNGEGNLYGPAVPIKLGAKDASPLTLEVDRRVP PREFRETDRVKLAEVESPLLSRFFGRPVKHRAAVILPESRPERKLPAVYIIPGFGGDH FMATRVLGTDRMSQGKDMVRVLLDPDCYTGHHVFADSATNGPRGKAFIEEFIPYLESN FPILAEPRARLLNGHSSGGWSSLWLQVTYPDVFGGTWSTSPDPVDFRDFQQVDIYAPN QNIYRDAAGERRPVARRNGKPMIFYDDFSKLEEAMGPGGQLGSFEAVFSPRRDGKPAR LWDRATGAIDPEVAKAWEAYDIRLVLERNWAEKAPKLKGKLHVITGGDDTFYLEGAVK RLKECLTRLGSDAVIEIIPGRDHGTILDRALAERINREMNAATGLK OJF2_RS28645 MRWLTFVAVLLGIPALADEPPPFAGDWKTTLGPVHLDVNDGKAT GGLVAQGLRLKGTVEGKTARVGFDEGGVHVDATLQMEASGNAFRGTFAAASGNRAVWN GWRPDPTAENAPAASFAGVWLTDMGLMELSQDGTRVRGRYALRGTSSLEGNARGRHLE FRHRGFGEGPAWFDVDGRGQNVAGAGGADGSPGWYAWNGRRAPEFARHAPLAAGKIVD GATDGLLTYCVRAPESYRPGDARRWPTIVLLHGSNMNARDYVATIAAVWPGLARDFLL LGLNGERPSSLDRDRPTFNYTYVNFMGRSKHAGYPGTDRESPALGRDALEELRGVYPV QHYLVGGHSQGGFLAYSLLMNSPELIAGAFPVSAGLIFQCEPTAYDDERLEAAQRAVP LAIVHGRNDPVVGFDGAAYAHDLFLDAGWPAVRVFDDPAAGHMFGRLPVDLAVRWVEA MASSDPVVLLDLAERRLAEHGYRDAIAAARRARGLSLDAAARDRLAKVLAAIDAEAAP KAARFLQVIRENKDASWIDDFLAYRDQFQLADGGAGVMAAFDALSRTQSSPGSSLLGE ARGLFQQGKRDEARKRLVEIVTRYYASPSYRLARKWLAEPG OJF2_RS28650 MPDELWARIEPILLEFWPAKATGRPPAQWRRMLEGIIFRMRSGC QWDQLPERFGPKSTVHDWFRRWAEGGVLEGIWAVILAECDELGGVDWRWQSADAMLGK APGPGGEKTGRNPTDRGKQGTKKSLLTDADGGPLGVVIAGANVVEQKLLAETIEAIVV ERPEPSADEPQNLCLDKGYDNPRSEEAATASGYAPHIRRIGEEKKAVDTSKGHKPRRW VVERTFAWLSKCRGLLVRYEKNDINYLGMIQLACALLWYRRLYRLTQGKPKVAVT OJF2_RS28655 MSGATKEIRGVIFDMDGVLVESEPFIAEAAVAMFAEKGVTVGPE EFRPFIGMGEDRFLGGVAEARGVVLDMPRDKLRTYEIYLDLIRGRLEPLPGVATFIGR CRGLGLKLAVASSADRMKVWGNLDALKLPPETFDAIVVGEDIVRKKPAPDIFELAARR LELEPSACLVVEDAVSGVQAARAAGCRCLGLTTSFASGRLIESGANWTAETLAEAPAD VLRW OJF2_RS28660 MSPPSLRPVLSYSSAAILVVLAALLRVILAPMIGPQLPYMPFFF ALIIAAWLGGMGASLAALFLSLAAVPLVVAPIEQKSPALTRQAAAVDGASVAMGLVAT LLGTSLRTSQSRAAESLRLVAGERRRSSEECARRRAAEEEKDLLRSLSDAIPQIVWIS RPGGQQLEFINRRWSEYTGLDPGEAMSAEAWRKVVHPDDLPRVVEALAHSDATGAIFE AEYRLRHASGAYRWFLGRAAVIAQSPGSNPLRFGSATDIDDRKRAELGTRLLAAVGAA VANVEDDSTAFGQLARLAVSDFADWCIVHMRGEGGSPVRLAVAHADPRRATLADNLFR RHGIGPEPCAGSLRVLRTGQPELVPEIDERRLFLALDDLADHPEGQEAAREALRGLGI RSAIAVPLRGREGTLGAFTFLAADSRHPYDEADLRLALEVADRAAAAVENARLFARVE RADRRKDEFLAILAHELRNPLAPIHNALVLMGNPGVDLQAERSLAMRMVSHLARLVED LVDVSRLTRGRIELRRQIVELGPIVERAVESATSSDREWQAGVSVSLPRTPVLVNADS ARLEQVLWNLLDNALKYTGPGGRVHLTVEARGGEVIIRVADTGVGIPAEILPNIFDMF YRAEAPRGVARGGLGIGLCLVKSLVEMHGGTIEARSEGAGEGSEFVVTLPLPPFLPHP LVAESRSEPARSRPTGPAKGQTESPRRILIVDDNRDAATSLARLLEAMEGDVVRVAHD GHTALDIARDFRPEIAILDIGMPDMDGLELARHFRADALLAKTRLLALSGWGHEEDRE RSREAGFDQHLVKPVDLDELRKALLPAQT OJF2_RS39540 MHLVGFDSTEALVRGSEDGRIDGLVVQDPFRMGQLGVTTLISHL EGRAVETRIFRGVTLVTQSDMNDEATRSLPLPARAEGAESSLAGPKSKGRRIAMIPKA TGHDYWLAVHAGSDHAERRLER OJF2_RS28680 MASLDARVISQPVDLAIARGVPDVVIDSGLESAGPVSYISTDND RGGAVAARRLGELLGGVGRVVLVRYAVGSQSTEGRERGFTETIAREFPKVILLSDAEH AGATAEDARRSVAGLLARFGGQIDGIFCTNESSTAGAMAALEASWPPAKGR OJF2_RS28685 MTTSLVIAAGEGPLIKIGPLDIVIIAIYFLVVLGIGVYLKKYVS TGEDFFMAGRKMTAWIAGLSFISANLSSLETMGWSAMAYQYGMLGAHAYLIGAIPAIL FLAIVMMPFYYICKTHSVPGYLMLRYGTGASALAGISFALLTVLVSGASMFAMAKILH LLLGWNMTLSIWVSSLTVAVYVTLGGLISAVFNEVLQYFLIWFGSLLIPILGLIDAGG WNAMMRKIQENVPIIHPSVGNADFRSLWRNLGSFDSNPMGIDWFGMVFGLGLAVSFGY WCTDFLQVQRVIVAKDLRSAQNGTIIGAVLKMLVPLIVTIPGLLGLAVLLNSDGSPVV LVPESDPRANITHRTFNDVLPLLMGRYLGPGLLGLGVTAMIAGFMSGMAGNVSAFATV WTYDVYRPLINRKASDAHYLSMGRWCSLLGVLMSIGTAYALFYFSNILEFLQVLIFFF IVPLFGVVIMGMLWKRATPVAAFVAFLTAMIFSLGMWVFVHTFPAGFRPPPRAELAAG SVVRVETAKSGDAERITRVFVEKGAVRTTNIALTEERGERTIPPRVEAQGKEVAVGLL APDIVVSGTERRDKFGVEAVPVVLSPDVKVDSTEVSQGFNPAEFNPDHVQYIARSRMA KPMAVNVYSAFWTLVVCVVVLLAVTPFTEPKPDEELRDLVMGLTSVPYEGPCPWYKHP YLWATLVAAALVTINVIFW OJF2_RS28690 MHDETEVPIWFFIGCLLLIYGVLIFGAGLYALVFPPPEEQRVAL YSLHADVWWGAFMAAVGSFYCLRYHPYKAKAPAGIEALE OJF2_RS28695 MASPTLRKRTRHVRARPVGPAAPTPVPAVEPRREMAAGRRIASN FAFLSLAELVCRGTSVVVTLSLAQRLGKEGYGRIEFAFNIVFWLVLLIRDSSDVMAAR ELSRHPRLIKPLVDHVLAIKGLFSLVLFSGLALVGAVSLKDPGDWYVLSLYGLMLFTT ASGLDFVYRGTECMGLLAVSLSLRTSIYAIGALAWVKDPSRILWVPIWLAVGEASGIA LVWLHYLKTYRMPRPRLGLRFVGLILQRGRTVCLIQLSQAVISTADFLVVGFLSTWSE LGLYAAPHRLVTAILTFGLIFQQAAFPTLSRLWRQTACVGRTALDLLVEVQVTVLVPV AVGGTILAEPIVRAFLPSDYDGAGLLLAMGIWRAPLLILAFLYQTALIALNREIAGVR SLVLAAMGIGPLVMVMRLKFGLPGALAAVLLLGLALVAAGFASLAREGRQPAWHHHLA RPVAASLAMIPACLLLRGQHIALAVFGGALAYCAVWILLGGLERMRVWRLAFRSLPMR GRSA OJF2_RS28700 MKFSMVTTFFGGHSFGGDAAYVDRLSQALCRRGHEVHVYYCVDS FNAVRGNHPLREYTPPEGLHLHPLESGYGMLSPLATQATGQPLFKSRILREALEDPTT DVIHFHNVSLVGGPGLLAMGRKTNAARIMTAHEHWLICPMHLLWKYDRKPCDGPSCVR CSLAGGRPPQAWRYTGAIRRGLRQLDALLFPSTHALDEHRKRGIGKDVPLVHFPYFLP DEWSRGIEDEERATSGRPYLAAAGRLVAMKGFQRLIPLMRYLPEVDLRIAGTGPYEET LKLLAAGLPNVHFEGLLGGAKLARLFRSARAVVVPSLFPETFGYVVLEAFAVGTPVVV HEGGGALLETGVLSGGGLGYRSDGEMLLAMRRVVHDEALRSELAARGYARRIGEWSEG DHIHRYFELIRRLRGGSRDAAVYRPHGAGVGAAGPRRVPAGWDIKERAN OJF2_RS28705 MKAQAWIAAMVAGLLVSGAARAAGRDAAKAFADLLPKMDQEQAQ QAWQQFCWTAGAPGNEAERAEACRLMAAKLKGETRKPVRIWLLKQLERIGRDESAEAV AALLTDADRLVRDAAVRALANNPSPAAGDRLLSAMEAASGAEKLALINAMGFRAEPAN VQALARVLGNSSDDRMAAAAAHALGRIGTTEAARALQPALGRSTPVASEAADALAKIA KNLLAAGKVGQSADIARMLDQPHSPARLAGLRARLGSGKDTAAETILKVLAGGDEKEA EVAAGFVANVDAAGIRRLADGLSSLPPAAQVCLLRALGPRRDRAALPAVKAAAESPEP AVKDAALAALGGVGDASTVPILLKAIQDGSEGAGIARRSLESVFADGVDPALIDALKT TTDNGRRALLVEILGNRRSASAVPALLDALAGDDGNLRRRAISALEKVASPEDLPAIL SGILKIGDDGQRDEAARAVASICDRVPDESRRADEVLRAYRNASASEQTRLLAVLGRV GGSGALALVREAASGTDADRRVAAERAILDWPDSSVAEDLAKLAERAENRDRRRDAIG ALARVVGGSGPLDNRERLDYLERAFKQADRDEDRRRVLAAAREIPTFPAVRFAAAHLD EPKLRSQAVATVVGLLENQELRDNHREESDRLLDKVISVSKDKSLRERARSFKSSK OJF2_RS28710 MRTTQANRRQFLKAAGPAIAMPYVITSSALGAGGRAPASERIVM ATIGCGGQGTGDMQGFLGFPQVQMVATCDPVTGHRENAARIVNDHYHSRDCKDYNDFR EVLARPDIDAVLIGTPDHWHALITIAACKAGKDVYCEKPECLTIKEGRAMADAVERYG RVFSGGSQRVLGDYGDWPRLVRGGALGEIKEIYADCWGPSGDCYLEPQSVPPGVDWEM WLGPAPWRPFHDSLISGGFRPYRDYSGGGMTDWGAHRFGAAMFAAGLHRTGPVEVIPP DGKDHKLLTYVFANGTRMYHGGTGDITYKGTEGTLPGRHKTPTARVDMEGYRGEGGIF GDFLHCVKTRELPFRNIEVAHRATTVCHLGNIAYWLNRPLKWDPVAEQIVGDPEANRW LDRPKREPWAI OJF2_RS28715 MPAQLLIRLFDAAYVLALAAWTGSLVLAILARGRDARADRHDSS SSQRLHVLGIVAGAVALPSLVAVPLSFPEYRGPWVAVRSLAILGGLFAMLYVANLPAV TAVRRRVVVLDVLVVILISGLLAAHMSRPAPRTAGLAELPAAERAGYDEELAGIIRDM ETRYGYRAEGDGDDGPRTQPGGRVGEETIREIESYYREKRRRDDARAGSGRAGPASPP PRGPTGQSAGS OJF2_RS28720 MTDSESDAKARGAPDQPARVVRSERVLAVLAPFRRIAVVSHVNP DPDSLASMLGLKALIEAAQPGKPVLLTVEGMIARAENRAMVELIPVPLVPVESVTIDP DTAVIMVDSQPRTGRRGSEEALPQVVIDHHETGGDLTGVLFRDIRTHMGATSTMVTGY LIEQKVLVPPELATALLYGIESETTGYPREATSLDDGALVWLFPRANKDLLARIRNPR LPHSHFATFQHALSNAFLYRDLIVSWCGEVTQPDIIAEVADFFIRFDRVHWALAIGLF ERHLKLSLRASGLGDRAGEVLREVVDGIGSAGGHDKRAGGMVRQECDDAASVDSLLKT LRHRLLERLEIDEQQGQRLLNGCPEIPAP OJF2_RS28725 MPRTHRAGFTLIELLVVVSIIAILIALLLPAVQAAREAARRIQC ISNLKQLGLGVHSYESQYSCLPPSFLVAGRDPAHVRWVGDWSVHARMMSFLEQGVLFN AINFNFVHNDVSNLTVTSQVVALFVCPSDVAPRSITTGLATTAANSYGWSMGDWYEWG GLGGSIPSRSAFAANSAKALSSITDGLSSTMFASEVRSGQDALSGLAVLSQLNSSDTV LGADDPPQTVLNKIDPNTTALVRSHTDWADGSAVQSGMTTAYRPNMKVIMPDGMGRGS DGSNTGTSFTARNLDLMGIPEAEGRATFAAVTSRGYHPGGVNVLMGDGSARFIKDSVT GDVWRALGTISGNEIISSGDY OJF2_RS28730 MQPSSALPMQVHLLGLADFIEVQALQRRMVYELGERGGASLLLC EHPPTISVGRSGSRAHIVPDDETLRALGVRVHWVNRGGDCVLHLPGQLVAYLAYPLNL GGLSLVGYVNRLEEVILAALREFDLEGCVRPGYPGVFLGRARVATVGVAVNRWIAYHG FTINVGPTLAPFGILDEPGPGSLPLRQTSMESRRQRPTPMPKVREAVIRHLGRILELD EYHVHAGHPLIRRKVPSHAYAPSPG OJF2_RS28735 MPTLPVLDEIPGRGDEPPARPRRLPPWLKRPIPSSGGTYFTDSL VSELGLETICESARCPNRSECWTRRTATFMILGETCTRPCGFCAVKRGRPEAVAADEP DRVAEACARLGLRHVVITSVTRDDLPDGGADHFRRCILAVRERTGATVEVLTPDFDGR PECIDIVLRGKPDVFNHNIETVARLQQQVRRKSQYDVSLAVIRHVKQASPGTRTKSGL MLGLGETTEEILETLADLRAHGCDLLTMGQYLQPSPRHLPVVRYLPPEEFDRLGEIAR SLGFADVASGPFVRSSYHADEMARNKAATLPPAPIMPTIGPA OJF2_RS28740 MPETLFQKVWNRHVVASTDEATLLYIDRHLVHEVTSPQAFDGLR LARRKVRRPELTFATVDHNVPTENQLDIRDPLSRRQVQTLRANCEEFGVALYDIKSGR QGIVHVIGPELGITLPGTTIVCGDSHTSTHGAFGALAFGIGTSEVEHVLATQTLWQGR RPPSLGIEVTGALPAGLEPKDIILAVIREIGTGGGTGHVIEYYGPAISALSMEGRLTI CNMSIEAGARAGLIAPDDTTIEYIAGTDRPFAPRGKALDAAIADWKTLRTDDPSCFDS RVRINASELVPQVTWGTNPAMTVDVTGQIPDLAGLPTTARDDAKRALEYMGLKPGTPV DQIPVDVVFIGSCTNGRIEDLRSAARVFRGRHVAPGVRALVVPGSEQVRRQAETEGLD RIFSEAGAEWREAGCSMCLAMNPDRLQPGQRAASTSNRNFEGRQGPGGRTHLVSPSMA AAAAVTGRFTDVRTLLGH OJF2_RS28745 MEPFVTHRGRVAVLDWTDVNTDLIIPARYLKRIERTGYGKLLFA DKRYAPGGAPPIDDPDAHGPDAPDFPLNDPALKGATVLVAGRNFGCGSSREHAVWAIA QAGYRAVIAPGKGEGFADIFEGNAYNNGLLPIELDESEWRKIADAGLASPATEVTIDL EARVITLHRAGGTDDAIPFEVPEAQRHRLLNGLDAIAETLQHDAEIGRHERSMPAWIT PATTA OJF2_RS28750 MSRAEADLVKSYGAAVLSVTTAFLLTYATWPALRPTPWAFFFAS IVASAWYAGEGPGLLATALTAVLGNVFFLTPYGAPSLDAGSLVPTATFLIVSLFIVGL ASAGRRADASDRAGRRHLRATMMSIGDAVIATDVAGRVTLMNGVAESLTGWEAGEAAG RRLDEVFSILDESTRGTVPNPVEKVLESGHIQGLANHTVLVARDGTERPIDDSAAPIR DDSGDLVGVVLVFRDISERKEAEADRARLAAIVESTDDAVISETLDGVVLTWNAGAER VFGHSAAEMVGRPITRIIPPDRLDEERAIPDRLRRGDRLEQFDTRRVTKDGRLVDVSL TISPIRDERGEIIGLSRVARDVTGRRTAEAERARLAETLRLALDAAELGTWEWDPPTD LVTLSDRGALIYGLPPGQSYSREWMRGLIHAEDRDRAREASARAVSDHSDYNVEYRLE RPDEGMAWVFARGRGVYAPDGSLMRMLGVVQDVTPRKKAEETLRASEAHLRFLADLGE VTRSLTDPDELMKASARLLAERLGTDRCAYAEVEDEALYFITGDHTRGVPSIVGRWPV AAFGAEHHRMMRAAEPYVVHDVDADPRIGPAERTAYRATAIQAVICVPLHKEGRFTAA MAVHQKEPRRWTAAELELVTTVVGRCWEALERARMERALREREARYRAIVEASPECVK VVAPDGTLLQMNPAGLAMLEADETALGSSMYDAIAPEHREACRAFNERICRGERGTLE CDFIGRCGTRRHMEFTAVPLPAPAGGFAQLAVGRDVTARRRAEARMARDAQILAAVRD SVVVTDPAGVVTYWNEGATRLFGWDAEEMLGRPYPERFPEPERSWMAEQIRSRSSGSE WSGEYLDYRKDGSRVWIEARVSSIADAEGRIVGVLGVARDINDRKRAEEELKEAARQK DEFLAMLAHELRNPLSAVGNAVALLKLTDAPDRLEFALDVIERQMRHLTRLIDDLLDV SRISRGKIELRKEVLDATSMLDGAVESVRSLVRARGHALDVSVDPGNLWVHADPTRLE QVVVNLLNNAAKYSEDGGRIRLSARGEGDEVVIRVQDSGIGIPPEKLTQIFELFAQGD RSLARSEGGLGIGLTVVKKLVELHGGTVVARSEGFGRGSEFTIRLPAAKGREGARPGP AGRTPGAGKRAKILVVDDNEDTAIAMSRLLRALGHEVWTAHSGPDAIQAAREHRPEFI LLDIGLPGMDGYEVASRLRREDCGKHAVIVAVSGYGQEEDRRRSREAGFDHHMIKPVD HDALLALLSGGGIGKG OJF2_RS28755 MRFLQAILLLAFLGAIGLFAVQNTDPITVSFWTWKTTGPVALLA IVVYLLGMLSGWTVVSFFSRSLREVTERPAQ OJF2_RS28760 MPMRRLNVILLPTLLLTCSMRQAPASAGQEAKATTSPLKFETYT DVKEEFRWRLRSNNGQVIAVSGQGYKDKRDRANAIERIKKDARTLKFEQYDDAKGEIR WRLKSSNGQVIATSGQGYKDKRDCENAIEFIRTGAHGAKVEESRSAEKPEAPAVGRG OJF2_RS39545 MPLALSVPIISIRANDALACAHANHVAVIHSVDAHWVQHFANKA HASTFLGMHVVVDSNGAKGLSNSTYIVKSVDANGVFKVECT OJF2_RS28765 MSDPHHPAGHSDLRRRDLLKAGALGFLGLGLGDWLRLRSLAGTP SSATAPARNCILIWLAGGPSHIDTFDPKPGAPADVRGEFKPIATSVPGLQVSEIFPEL AKVMDRVTLIRSMTSPEADHDRASHHKLTGYRPSPALVYPSHGSVVARVRESSPTGTV LPPYVAIPDGPASSGAGYLSPAYDPFVVGGDPSQEGFRVQNLTPPDKVTLTRLLRRKA MVQALDEFSRDVPPTTLTTSRDRFAERAYSLMTSNAAQAAFRLADEKPEVRDRYGRNP FGQSCLLARRLIEAGVSFVTLNDRGAGPLGWDTHAQNFPTLKDTLAPPLDKGLTALLG DLSDRGLLKDTLLVMMGEFGRTPKINPNAGRDHHGRASSLILAGAGIPGGLVLGRTDA RGDLPTDRPVTPADLAALVYLKLGIDPDRKFEAPDGRPIRLVENGQPPRELL OJF2_RS28770 MTPRRLVVPALLACPLWLTGCGGRVGTPPPASPAVADRPAGTES SARSAPKEAAAKSVPAATAGAATARPPLAITPEQITITADDPGMQLLVGEPIAGGAAR DLSGRVAWKVDPPGAAEIEPGGYLRPLKAGSVTVVAEHEGRSATCRVSIEAREPRPWS FAEDIVPVLTRAGCNAGGCHGRADGQNGFHLSFLGYDPEGDFRAIVRDGAGRRVSAFR PEESLLLTRATGRSRHGGGLRVAEGSPEYRLLLGWLRAGAPMEQGKTHGPLEGLTVEP GPAPLDGPGPRQLRVMARYADGHARDVTRLAAYKVTDDSAAGVTSNGLASLLRRDEAD VIVRYQSAVAVTRLSTAINPDIAFDFASLRPRNFIDEELFRRLAALRVPPSPPAADAA FLRRASLDLTGEQPTPQQVREFLADKDPEKRAKLVDRLLARPEFVLFWRIKLGDLLQI SQARQGNGSYRYLEWIDRCLSENMPWDAMVTQLLTALGDPNEREKGGPVNYAVDPPDP TSQAELTAQRFLGLRMRCAQCHDHPFDVWTQDDYYGLAAFFARVGRGGTQPGAMMDGR MLVSLNPIGEVRHLRTGQPAAPRLPGGKRVDLANDADPRRELARWMTAADNPFFAKAA VNWAWAQMFGKGLVDPPDDMSRSNPAVHPELLDALAKHFVAGKFNLRDLVRTIAVSEA YGLSAATIHGNERDSRLFSHHVPRPLTAHQMADALAQATDVPNAYGQLGTRLAIRVSE PSTPSAVLDAFGRCTRATPCAPVQTPPLSLRQALLLIGGDTIDSKVSSLNGYLASAMK LELEPEELVESLYLRTVCRFPTAEESSRWSAELKQATNRGEVAEDLFWSLLSSREFAF NH OJF2_RS28775 MGKGDKRSKRGKLFKKTFGKRRMKPQTLAKKAKKAAAAATAS OJF2_RS28780 MAKLRLLKTGHGDLTLAEWEKNRPDSVAVAEALFAEHVTPGRLA FRLDGPGQSRPIQRFDATAPEILIVPAIQGG OJF2_RS28785 MIRTGYLRWEIEVEDVRSPADALAREVVFLARPSHRVVRVKSNR HAEAAEQFTRGEASYDAVLRTLEQGASQVELTIPDVGPARFRYSEADRAKMLRYWLDK LQREASAFAHEVVRSASSFPQLVIASPALRAGYARMVEAEIFRVIEGKSKPQPTSEEP IDIDATTYKQGVPELVAAELVRDVVEPKEFHEFCQFDVITVKNGDKFYRIPRRPHGLI EVWDANTRRPVARLCVVFQDAGMPPSDEVVMKYLLAKHQPDMLWQVGVRFSPPAGRFE MTAPRRWTVD OJF2_RS28790 MKPGDSLTRVVILGSTGSIGRNGLDVIAHDAGRRLRAWGLSGHR SRETLLEQCRAHRPRYVTVTDPDTARSIRGDLKGLDLEVLDGPEGIIRMVQDPATDRV LSAIVGAAGLEGTWAALEAGKTVALANKESLVVAGPLVMELVERRGGRLLPVDSEHSA IFQALRCGEPKEVRRVILTSSGGPFRGKTRRELLGVTPEEALRHPTWKMGPKITIDSA TLMNKALEVIEARWLFGLEPEQVEVVIHPESVVHSMVEFADGSVIAQLSPPDMRLPIQ YALTYPDRLPCPGPRVDLTRPLSLHFEPPDRDTFPCLDLGFEVMSRGGTAGAALNAAN EAAVARFLGGEIGFLDIPRACRAAIDDHTFDPRPSLDQLWKVDARARLEVQRWQP OJF2_RS28795 MATLSTFWNIALVVVGLGFVIFFHELGHFLLAKWNGVKVEKFSI GFGPTLLGFQRGETEYVIAAIPLGGFVKMLGEGTEGEATRSTDPRAFPNKSVGARMAI ISAGVIMNVILGLACFAYAYGHGMLEAPAKIGGVVAGSPAFEAGLRPGDDIAAIDGKT EISFANIILKVRLSGEGQTLRFDIKRPGRDEPIRMEMQPRREPGIDFPTVGILPTKSL DAFASLPPAGTTGPSKLPADLSDAERKAFETLVAAGPEGEAPTPLAEHGAYQRLLCKY PDRPLVHVFERHATPDAPASGKSEFTLPPSRFVDFGFTPTAEPISAIRKESIAERAGF RAGDRIVKVDGREDFDPMRLPTDCLRNAGKAMTFEVERGSGPDAKRVTLTATPDDSPA WLEPILPKEPLEVPGLGLAFPIRPKIQAVRPDSPAARAGLKPGDEVTAMGYAPKKPAS AKDAAAKETGKKDSAPELVGFGGDDGAAWPSRFQELQYHEGPVLLVVNNGSSPVSVTP EPVDGWYFPDRGLRFVDLFRKLPPQSIGSAIRRGWDDTVENILSIYAMIRSLAQHRVG TKGVAGPVRIAGIAYQAASSGLTDLIHFLGLISINLAVINFLPIPPLDGGQMAFLIAE KVRGKPLPESALTPMVVTGLVLILCLFVFVTYQDIFSFFGK OJF2_RS28800 MRSDYDEMGLGREAVLAHLEQGKPLNGLMTSPGATAALVVDSIA AVALDAHGGLGPTLIRHAPPRPKLLNALTAGSLAGLFPGASRRSLLALSAGLLQVHDF WEESHSAAQEADDLGEKHFSAYWHGIAHRREPDAGNASYWFRRVGRHAIFADLREEAV AIFKAAGDDRSGGRLMGGGGWDPYEMIKLCTSARPGTPVEALARRLQRAEMHLLLVAN ADALQGD OJF2_RS28805 MPSPTSSGAITSVLQETRVFPPPAEFARAAHVSSLEQYQELWNR AKDDPEGFWAEQAGRLISWFKPWDRVLDWNPPFAKWFEGALVNASYNCVDRHCEGPNK NKAALIWEGEPGDRRVLRYQDLQREVARFANVLKGLGVRKGDVVALYLPMIPELVVAA LACARIGAPHTVVFGGFSAEALAGRIQDCKAKVLVTADGGYRRGKVVPLKENADGAAA VCPTLQHVVVYRRTGLDVAWSHGRDHWWHELEANASAVCPPEPVDSEHPLFILYTSGS TGKPKGILHTTGGYLVGTALTTQWVFDIKDDDTYWCTADVGWVTGHSYLVYGPLALGA TCVMFEGAPNWPDEGRFWKIIEDYRVTILYTAPTAIRAFMKWGEQFPRRHDLSSLRLL GSVGEPINPEAWMWYHKVIGGGRCPVVDTWWQTETGAIMISPLPGATPTVPGSATRPL PGIVPEVVTKDGTPVGVNEGGFLIVKQPWPSMLRTIYGDDDRYKAQYWSDIPGSYFTG DGARRDENGNFWIMGRVDDVLNVAGHRLSTMEVESALVSHRAVAEAAVVGKPDDLKGQ AIAAFVTLESGYAASEELRKELRNHVVKEIGALARPDDIHFTDALPKTRSGKIMRRLL RDIAAGVESGGDTSTLEDLSVMVRLRRFEQKAGGDEE OJF2_RS28810 MLLLAYAALHAFPQVLFAYSVSERGITLYSSRPIAARAADRLAR ARDLIDRSELAVPGRSERVFLCNSPWLYRLFAPLSGGAFAVSMPATGHIFVAMADVDA DLAYSQAAVYNRRTFSGLVAHEATHNLIRRRLGLWRASRLPSWVVEGYCDHVAGDGSF PEERGLRLIAAGESSPELSFRYFEHRRRVAKLIDEQGLSFEMLARWAAEHD OJF2_RS28815 MGRECEVSGKKTSFGNHKTERGKAKYLGGVGKKTTGISRRTFKP NLQWIHVWLPNGTTRYVRVATSVIRTGQLTLEVDGKVQTFPLIKASKGSQKARKENKN LYPI OJF2_RS40815 MRRSHDFLVAPALNICPQCKLAVPPHKVCELVEECGNIQRSKPH NPVAKEKVKK OJF2_RS28825 MGIGKRKELLLFGLALGFLVGAFLHESLFLGKVLSPADVLLVER TFHAEGDAGDYEPQNRLLMDPVLQFQPWLEFNRAMIRRGRIPLWNPYAGCGAPHLANG QSAVFDPINALAYAATVPVALGWMAAIRLWLAGLGAFLLARFWGMSPWGRWFAGLVYP FCGFLVVWLLYPVTPVAIWLPWMVLASDRAIEDPRPRSAGLLAIVVALAILGGHIQTA AHVLLAALAFAVWRLGASVPSWSERTRRMIAWSAGIGLGTLLASVQVIPLAGYLSRSS VWGDRRQEMKPWWAFAKPRVLDSACMAFPYAYGSQRRGQPNLARAVGVQNLNESAGSY AGLATLLWLAPLGLRRRGWAGEAGFLAALAAFGAMAAYRLPPVDNLLRMLPVLDVTDN RRMALWAAFGLVMLGAAGIEALAQGGRIARWWIGCWLVAAAALAIAAAAIPRAEGIFR DRAERHALEAAERGLADGRVAQDRAGRQVAAAMRFLPRYYGLCALELAALAGIALAAR RGPPRRSWPAACVLGLTLAEMAAFGLGLNPAIDPEIQRREPPAIARLRELLPPGARAL GIGEELPPNALMRFGLADPRNYDSVELASSLGWLAPLYEPSDESLSSRRTITWGGVAR ARARLEAACVAAVVGAERPPPGAFPGAERAGGVWIARLDAAPWASPAGASAAREPGRC LIRIDARGDETVIVREAWDPGWRAFVDGRPAPASPHEGAFLSVACPKGTHTITLEYLP IEWPLGCFGSLLGAAGAILALTGRPRF OJF2_RS28830 MVHFTCDLCGKDLTASGDRRHVVKIEAYPGFDPNEIKEDDLDDD PMEAITRVLERDQALSSDEITASLSKSFRFDLCPDCHKKFVNDPLGKEMVRLFDFSKN OJF2_RS28835 MATSVEGSTSGKDVKSLSAVDEASLEKSIVQRGLATEAEVQACK AHRTKIAEKSKESSPTLLEVMVDAKVLTRSQMVRLLKEKGEPARKLEVPGYQILDKLG RGSMGVVYKAKQLSVDRVVALKVLLDSLAQNKEFIKRFEREAKIAAKLSHNNIVNAID AGEAGGRYYFVMEYVEGPTIKDFLDKNKTFEEKEAIRIVTAVAEALKHASQRGLIHRD IKPENVILMKDGGVKLADLGLARLTDDEKWGLSEAGMAIGTPYYISPEQVRGQTDIDI RADIYSLGATFYHMVTGKVPYGGDNPSEVMRKHVDPRVQLVPPDHLNTNLSSGLGMVI ETMLMKNREHRYSNPDDLILDLKCLSQGESPMIAGQRPESLQALVEGESDGVEGYVAG PSEEQMVELAGIVNNRNTIIATIGMLLAVSVITNVILLTVR OJF2_RS28840 MVKIGVIPGDGVGPEVTAQGLAVLELVGGLDGFRYELEEFDLGG ERYMATGEVLTDATLARLRSCRAILLGAVGHPGVPPGVLEKGILLKLRFDFQQYINLR PVHLYPGVQTPIRDKGPDDIDMLVVRENNEDLYVGVGGFTRKGTPEEVALQTSVNTRA GVERCVRYAFEQARKRAGAGPFRGLSKADRDAGMTRQVTLVAKTNVLTFAHDLWMRTF EEVGREYPDIKRDYQHVDACCMRMVVSPERFDVIVTTNMFGDIITDLGAVLQGGMGLA ASGNLNPEGTAPSMFEPVHGSAPDIAGKGIANPLASVLSVAMMLDHLDVPAAAARIRR AVAQVLAEPGPRTPDLGGKATTAEVGRAVVEALRA OJF2_RS28845 MHRYPGSRRVAGFTLIELLVVIAIIAVLVALLLPAVQSAREAGR RAQCQNNLRQLGLAAQQYHDAFSSFPSGWYCMQPVYDPANASTLLSGDINCATASTPY QPYMWGLLPGLFSKLEAGNLYNEINVNLPPNNIENSTAIRRTLDFLVCPSNRRPEAQA QTGTTAKIGPSDYRGNMAAGMVLPGANTNCPTQDPTNIYCCYYDNGLTYQNSTVTIAD ITDGTSNTVLMGESLTGNWSQATSCCVRTNTDRTINKPIVVGGQNYYTYWISKHPSQV NFVNCDGSIRLVNQTINKVVLNKIMTRNGGETISADETR OJF2_RS28850 MNTVGKKGPSTEGSPVAAAALADLLGRLDPASPRYATDAVELVL SHARQVRASDVHFHPGQGGLEVRWRLDGVLLPVAVLPARLAPNVVARLKVLAELLSYR TDVPQEGRIRATPGEVEMRISTFPTLHGERAVIRVFAAPGAFLRLDDLSLPPEVRDAL SGLLDETSGAVVLSGPAGSGKTTTIYACLRELAARTKGERSLATMEDPIESAVPGVAQ AQVNLVAGLTLESGLKSLLRQDPEVLAIGEIRDRPTAELAFQAALTGHLTLTTFHAGS AVEVVGRLLDMGLEPYAIRSGLLAVLSLRLARRLCPSCATPASSPEQFLGLPVSRASV PVGCDLCGRTGYRGRIVLAELLMPRVGPIGPAILAKADVHDLERLAREAGMVTRWQRA YDAVESGLTSPAEIRRVLGVSTQPG OJF2_RS28855 MAALRLNRSLPLPRTIRIRDALERRDSSQARSDLDARIAVNPAS PPVLERWFAGQVSQVRPR OJF2_RS28860 MATWLITGATGFLGRHVLGLLEAGPARDSGEEDRVVAIGRSRPE AIPADRFVAADLAEPGPTSLAVRMVEPDFVIHAAGRTPPASDEEMERSNVVATAHVLG ALRSLGKPVRVVLAGSAAELGPVPEAELPVGEDYPCRPDGAYGRSKLTATLAGLSESA PLEVCCARVFNPIGPGMPASQAFGDFAVRLAAPSTDPLELAVGDLESRRDFIDVRDVA AALIALAGRGKPGRAYHVGTGTSRSVREGLECLIRLSGRTVRLHADPSRMWSRGPRDS RADTRRIREHTGWAPAIPFEQSLRELWDALLLA OJF2_RS28865 MNIVVFCPNLIGDTVMATPAIRALREHFPGARLTALVRPQVAPV LDGNPWFDEVVRAHHKSGRIEERNPAVIRRLRQGRHDVAILLPNSFRSAWTAWRSGIP RRVGYVRYGRGLLLTDRLLPPRDATGKYLPTPIVEYYLALAGLLGCRGASVRLELRTT DDDERAADRAAAILGLGGDRPLVCLNTGGAFGPAKNWPAASFAEVARRLVAERGASVL VLCGPAERDSAREIVRLADHPDVASLADLPTSLGLSKAFVRRASLLVTTDSGPRHFAA AFGTPVVTLFGPTHIAWTRTYHPAAVHLIRPVPCGPCQRPVCPEGHHRCMRELSPESV FHAACRLLDGGSHRRTGRHPGEALTRRGTIGQEAGA OJF2_RS28870 MRRLEPELFLRLVRGELRNPAAAVARMGLGAAAIPYGLAVRARN LAFDLGWKPSSRASVPVVSVGNLTLGGTGKTPMVEWLARWYRRRGVRVTLLSRGYGHG GGINDEGLVLEENLGDVPHLQDADRVSLARIAVEELEAELIVLDDGFQHRRLARDVDI VMLDALDPFGLGRLFPRGLLREPIGSLRRASAVVLSRADTLDGEPLAAIRRAVDRVAP GRPFLLTRHAPIDLLDADGGSGPVADLAGKRVAAFCGIGNPEGFRRTIRPLCGEVVDL RVFPDHHRYDARDVAELTEWARRSGADLALTTQKDSVKLRTSTLGAVPLRVLRIGLEI TEGLEALEGILAPLVRPVPGG OJF2_RS28875 MVYPPQEPSPLIAHWVERHRHPLSFALHMVGIPPTILGVLLFSV YVGLLSAPVMALSLALFFGGYLLQFAGHVLEGTDPGEIVFFKKKLGMPYVEFPPARGA VATAASAGRDRTTGKPAAAPG OJF2_RS28880 MRLTLSTNRWTPAALAAVWLFSAGCQRLPYIDQSKQVPHDNMGK IAQEDREVKQADFLSSTLPMQLPKVAKPRTTNDPEAQELWPLTLQEAIRIGLDNAEVI RVINLGAQGIPIEGFEPTFLAPALAAGSAGQAVLGGGGLASVYDPALQETQIAQALSV FDTAFTTQINWGRATQPFNNAIQGGSLTLTGPRTAVVSQQDTVNYQFGLQKRGATGTQ MSIVHNINWLYQNSTFLVTPSAYTTNLQMSVTQPLLGSAPLPGQPINNFSNLVGLEAN RAPIVIARLQADESVWNFKLNVMEHVRSIEQQYWILARKHVQLWSSEKAVDLAREIVN REQAELVVGKGTVADVAEAQQRLEQFSLELVTRTSDLITTERQLRNLLGLPPADNRRI VPVTPPTEARLEPDWDSSVAQMLSFYPDIVRQQIAVRVAELQLVIARNQLLPQLNLNL LYQLNGLGQQLDQAEAVMTGATIKALEPVVAARERAAGLPGNPGLYNNFHTWQVGFTF QMPLGNRSPLANTRYAQYGLLRQRAFLQQMVHQRLHQLARYYLEIDANYKQFKTASRL RAAAAQRLDAQRAYYEEGRITIDRFLDAVSQYAQAVATEAEWKTLYNISIIVFEESKG TLLAYNNIAVAEGPLPRKAYVQARDIQNAHRKLPIPHDGPMYNPPASGPVSPDSTPPA DIPGVNPLQSPAMPAPVGPLGPPPTPAPPFRPAGEPPILSQKPAGEVPGALGIPTSPT GAPSLLTGTRPAADASTIPASAPAMPPSAPAAGPSQAPALPPLPPAASAEPAPSAPAG AQSTEELPELPADIGLPPLPKS OJF2_RS28885 MTTSPESREDGRFDELAEEFAARCRRGERPDVEEYVGRLPGMAD RIRRVFPTLLAAGRGDDESRDRATSPPNPPRLREVGDYRIVREVGRGGMGIVYEAEQV SLGRRVALKVLPSHVVGDRRALQRFRREAKAAAGLHHTNIVPVYEVGQKGDVAFYAMQ FIQGQGLDQVIRELKRLRHPAGTTGGEAPRGMHRGGAATENGSPAPAHASREGGRVRR AAACLLTGRLAAGGSGTTLRESAADGLATTEPIGPGRSRIDGPPDTIGDAPEGGGVPD ATTSAVLPGGPAVPEADASRSRLPYYASVAQIGRQAAQGLAHAHARGVVHRDIKPSNL LLDTAGVVWITDFGLAKAGDDGLTATGDVLGTLRYLAPERFRGGGDARADVYALGLTL YELLTLRPAFSSADRLSLIDLIKAEEPARPRAIDGRIPRDLETIVLKATAKDPERRYQ TAGAMAEDLRRYLADEPILARRANAAERYWRWARRNPAVAILGAVLTGVLVVATAGSL VAARRFRAQAETERRLAQEESGARRKADEANARLNAEEERLRRTVYATRSNLALAAWD NADVRRLRSLIDQLRPGPGEPDPRGWEWRYLWQLDHEDRLTLRGREDDFADVAFSPDG QSFASLESRGRIQIRDLRSGELKRTMGITTGGRPASLAGGVGAIAFRPDGRAIAGPGP DDSLVLYDVETGRPVLRFEGSPRTVLGLAWSPDGRTLVAAITAHVMRVWDARDGRRLH EAFGGHGGPVACVAFSPDGRTLASASFDNTVKLWGLDDRSRPRAVLNGHTDEVRAVAF SPDGRWIASASRDRTLRIWDARSGAGHAVIRGHAGAVTSVAFLPGNIRVVTGSEDETV RVWDAGSGRGLRTFQGHQEGVVALSVSPDGRAILSLSGESIRAWDPDSPPRPLTLQSP SVLTYGGAAECVAFRGDGRRLASGHDDHALRLWDLRSGGPPRILKGHTMAVRSVAFSP DGRTIASASLDGTARLWDAETGEPRLAFTGHADRLKSLAFADDHTVLSAGFDRNIQAW APETAAVLYVLRGHSDSINDLAVSDDGRTLASASDDMTCILWDLAERRPRLTLRGHTD RVNRVAFSPDGLTIATASDDHTVRLWDVLHGSPRGVLEGHTDEVLGLAYNPDGRLASS GKDKTILLWDCASGQTLLDLKGHTGPIRCIRFSPDGRTLASASYDRMINLWEAAPAAI LAPTPREPTGASPGDPPGRMPGEGPVRSD OJF2_RS28890 MNRPEIRTPGRLHFGLLGWGPDAPRQFGGVGLMVESGGIRIAGE RAPEWSAAGPLASRVLAILREIRQLAAIASHPLASAAPCHVEVLEASPEHVGLGVGTQ LSLAVLRLMLELEGLPPADAPEMARLTGRGRRSGIGLHGFLHGGLIVDGGRASRADAG PPPLVARVAFPEDWSILLIRPPGPQGRHGAEERSAFSELPPLPYRTTDRLCRLVLLGL VPAVLERDLAAFGEALSDLQREVGRAFAPSQGGTYASPQAEAIVEELGRIGLVGAGQT SWGPTLYAFGRLGERDRERIGSSLRLGFQLSPSAVSWTTAANRGAAIAG OJF2_RS28895 MIVEGIVTTVDGRGEVNIAPMGMTLGPDLDFAKFELRPYPSSTT FRNMRSQGAGVFHVTDDVLLLAQTAIGLTPTPAPRLAQAERIAGWVLLDCCRFYEFRV LGLDDSAARARVDVETLREGRLRDFLGFNRARHAVLEAAILATRTAFLPRQDILRDLE WLAVPVEKTGGAVERAAFDLLRDHVTRNAGVGPIVDGAGVP OJF2_RS28900 MSAGPNDNPPRLLFVTGRLAEFALRQVLEELAPRAGFIPEVAVL PITVAALMTPRWVARHLEVPPGVSRIILPGACGGDLSPVRERAGEAEVALGPADLREL PRYFGHDPARDEGYGGFDIEILAEINHAPRLSRGDLIERAEAFRREGADVIDLGCDPS ARWDGVGDAVRALRDGGFRVSIDSFDPDEVSAAAAAGAELVLSVNESNRERAADWGVE VVAIPDRPGSLDGLDETVAYLESRGVPYRVDPIVEPIGFGFAASLGRYLEVRRRAPEW PMMMGVGNLTELTDSDSAGINTVLIGFCQEIGVRSVLTTAVINWARSSVREIDLARRL AHHAVTRKTLPKHVEPRLVMLRDPRVERFGRENLAELQRRIRDPNWRIFAEDGVLYAM NGRQFLRGRDPFEVFEQMEGLDPSHAFYLGCELMKAKTALTLGKNYRQDQALEWGFLT EPEESHHARRKAHAAAAHTAPAAGPPGPEDGEPA OJF2_RS28905 MRAAVLGATSGIGRATAIAMAAAGADVLVHGNRSAAAAEELSGT LRATGVRTRVLLADLGDRPAADRFADEAWRTWGGLDAWLHIAGADVLTGPGAKLSFDE KLDLLWAVDVVATIRLGREIGRRMKEQGHGAIVTMGWDQAETGMDGDSGAYFGATKGA IMAFTRSLALSLAPAVRVNALAPGWIRTEWGETAPPEWQDRVLREVPLRRWGTAEDVA GAACYLVSPSARFVTGQVVRINGGAVR OJF2_RS28910 MRRLARWLPRVLAGIRTAWLLVGATLLLIAALELALRAGFWLKD MGRPQIPPDPRVLASIPGSESWLPLHYRELEQLSDRWQPYVYFRQRAFTGQTIRIEPR GTRATWEPDSSHEAKADRPLRLLMLGGSSLWGFGARDDRTIPSLVARKLHDLGIHAKV ENFSEIGYVSTQEAITLMRLLQIGHPPDVVLFFDGVNDTTSAMIEGMATVTTNEGNRV REFNLLQSTGRLTGALLGNLATSSALYRVAIGIRTRLGIAGPVRPVPSQYRLDQLVEG VVGGYEANVAMIEALGREYGFRPLLVWQPVVFSKRTLVPFEAEEAAKFHWVRPLFEEV HRRLRESPALKGDAAFLDLSGRLDGLESLAYLDYCHVTEEANELLATSIVERMIELGM DKSRAAGTGR OJF2_RS28915 MPDSPPADTRTTGGDKTQAATIDAVTCLACGCLCDDLVVAFDGS GRLASVRKACPIGEAWFLENASRADEEGWATALIDGEPADPVEAVARAGALLAGSRSP VVLGLDRSTNETVAAAVGLADRIGAYVEVGDGASSTPRILAFQRAGRVSATLGEVRAR ADVVVLWRADPVATHPRHLERYSAEPRGRFVPEGRAGRTVIVVDTGRTATAGRADATL AIDEDREFEVLWTLRALVRDAGLGDDRIRAATGCEPESLRALAARLRAARYGAFFLGE VAGPSPARAAARIEAANLLVRDLREHTRFVLLGMGTPGNRTGAESVLARQTGFPTCVD LGAGHPESLPGVATASADVALIVGEGAPPRYPGAETTRIVIGRPALGATPDRAAVWLT SSLPGLDEAGTVTRVDGISLPLRAHRPSRFPGEREWITRIHDAIGHGARA OJF2_RS28920 MPTLRIAGGRLVDPANGIKDEVRDVWIEDGKVVAPPPDPSARAD RTIDARGFVVMPGGVDVHSHIAGSKVNGTRMLRPEERRDPESVWPRRDGFRSGTIGSV PSTFVTGYMYGGLGYTTAVDAAIPPLSARQAHAEFRDTPIVDKLMLVLMGNSHSVLDL VGQDDPDRLRQAVAWLLDSAGGYGVKVVNPGGVEQWKQGGKKIARWDDRVETFDVTPT QILQGLAGAVDELRLPHPVHLHGMNLGLPGNWGTTLEGMKVLEGRRAHLAHVQFHSYG GSADDPSSMDSQVGPLADYLNSHPNLSADVGQVLFGETTSMTADGAVGQYLANLTGRK WLSIDVEQEDGCGVVPITYDDRNYVHALQWAIGLEWFLRVEDPWRIALSTDHPNGASF LSYPRVIALLMDRNLRDETFARLPERLKGKTGLADLSREYTLEEVAILTRAAPARILG LRTKGHLGPGADADVTIYAPDDDRRRMFAMPRYVIKAGVVVVDDGDLRSAPDGDTLLV DPGVDPRARSGFEARLAEESSIHPANFRVRADEVARPRAVPAGH OJF2_RS28925 MQIGGVKIADTFAEAFPMTAARAIVTADTAAWAETCGRTMAGYA TSVIGCDAEAAIERTLAPEETPDGRPGVSVLVFAFSREALEKAMVKRVGQCVMTCPTT ACYSGLPAGEKAIKVGGSLRYFGDGWQISKRLAGRRYWRIPVMDGEFTCEELFGTTKG VAGGNLILLGTDRAGVLRATEEAVAAMRRVPDVILPFPGGIARSGSKVGSKYKALRAS TNTAYAPTLRGLVPTELPEGVSCVYEIVIDGLTLEAVERATTAGLHAGARADLGVTAI TAGNYGGKLGPFHIRLHDVLKSSAAPTGAGG OJF2_RS28930 MSLTITWRGATALPVDGRTLKPATFAVMSVDEARRVAIRVGNGK VELGELFDVRGGGGGDGLTIEGDLRGVRGIGRRMAAGTMVLRGDVGAELGAEMSGGII ELHGSAGPYAGAEMRGGRLSIRGDAGDHLGAAYPGSRLGMREGVILVEGRAGEDVGAV MRRGLIAIRGDVGAGLGRGMIAGTALVLGAVGRQAGAGMKRGSLILPDLDGQAEAFLV PTFAPAGRYPAPFLEIYYRQLEAWGFAIPRAISSRMLHRYNGDVLIGGRGEVLAGIRG G OJF2_RS28935 MTMSVNRRALWLVEALLAHAQERRVTLHLIEGGGRYIDCGIAAR GGLLAGLELARVCLGDLAAVCIVPGEFAGRAVPLVQVVTDHPVQACLASQYAGWGIQE GKYFAMGSGPMRAVRGKEPVYDAIGCREREPAVVGVLEARKAPPPAVFAQVAGECGVE QAGVTLLAAPTASLAGGVQVVARSVETALHKLHALDFPMGRIQSAFGTAPLPPVARDD ISAIGRTNDAVLYGGRVILQVTGDDASLEEIGPKVPSSSSKDHGEPFAAIFARYKNDF YAVDPHLFSPAEVVFQNLDTGRTHAFGRVEPEVLAGSFWS OJF2_RS28940 MPTPTIAALASGFGWHVTDLLRAAELLGVRLEVAPFPEVSARVG GGPARVGAGKIDLTGLDGVLVRMMPPGTLEQVVFRMDALHRVAAAGVPVWNPPRAIEA AVDKYLTLATLDQHGIAVPATWVGQSAEDALRAFEELGGDVVIKPLFGSEGRGILRVS DREIAWRSVHALERLGAVLYLQRFVRHPGHDVRAFVLRGRVLAAMRRSATGGEWRTNV SLGGRAEPARLDRAADRLALAAAQAIGAEVAGVDLVEDLDRDELVVLEVNAVPGWKAL SAVTKVDAAAAILEALRDQAR OJF2_RS28945 MTGTGGDVRGGPASSLSVGRLAEIACILEVSARKPGNVHPGASF RDLHHLDFLLSAGAIAGPLDEARHRGVGRSILAATEATRRVVRTNTNLGIILLLAPLA AVPAEVGLAEGIESVLAATTVEDARAAYRAIRLAMPGGLGRAAEQDVADEPTATLREV MSLAADRDLIARQYADGFREVLSEAAPMLSQAVRGGSPLEPAIVGTFLGLLARHEDTL IVRKAGRQVAAEASRKAGAVLEAGGVGTAAGRERLAELDAWLRAEGSLRNPGATADLV TAALFAALRDGTIPLPRDPGPAGWSGD OJF2_RS28950 MPSSRYKVRVTKDYLVFSSGHFITFNGDTCEPIHGHNYRTAVEV EGDLDENHYVFDFIALRDMTRAIVDELDHRMLLPTQSPHIRLSEDGPNVRATYRDRYW SFPRDECVLLPVANTTSERLAEYIAGRLREAMAGRGLAVSRVIRVEVEECFGQSAEIE WRAD OJF2_RS28955 MQTDERTSGFHGFHARNLRGEDVDFSRYDGKVVLAVNTASKCGF TPQYAGLEELYKKYEGDGLVILGFPCNQFGNQEPGDAQAIESTCLVNYGVSFPVFEKV DVNGPRAHPVFRWLTTELPGLLGRSIKWNFTKFLIGRDGRPIRRFAPITTPAKVEPAI REALGLRG OJF2_RS28960 MPSRPPRVIPVLDVKGGRAVHAVGGLRSHYGDLRSLLHPSSDPI SIALAYRDALGLDEPYLADLDAIAGGPPSLSLYNRLIDHGLRPWIDAGIRSSDDLGPL ADPTSATVVLGLETLRGPRAIPPILDRVGPGRCVFSLDLFEGVPRFAPGDGWPSRDPA DVALLAANLGLRRLLLLDLARVGRGRGVGTEGLLAWLRDRLPDAELAIGGGISSIEDA ARLGAAGASAVLIGSALHDGRIDARALAALRP OJF2_RS28965 MGPSGTTVLVHEWVTGGGLAGEAMPPSLAAEGSAMRRALAREFA AAGLRVRVTLDARFLEDDPGPWSVVRLVGTDAEGLIPAAREADYTLAIAPETAGVLAG LARAMEAEGVRSLGCSAAAIDLAGDKARMARWLEDHGFETPASRVVVPASGLPRGVRF PAVLKPIDGAGAIDTYRIDGPDDLPPRGRAMRLALLQEYRPGVPMSASFLVDGGRAEL VATGRQDVVIEGGRFVYRGGSLPVPCPAAVPSLVRLVESLPGLRGLVGVDFLWDPDRR EAVVLEINPRPTTSVVGLCRLLPPGLLARAWLAACDAPGFEPPTPGTLAASIGPGVRA DFEAGGRSEIVQVD OJF2_RS28970 MQKDPDPSTTAWLALDVGGANLKAAHQSGDVATSPFEVWKRPEG LAAALAELAGSLPEYGRVALTMTAELCDCFRTKADGVRAVLEAVESAAGGRPVWTWGT DGRFHDVASIRREPAAAAASNWLALASVAAGIAGNRPGILIDVGSTTTDLIPLDRGAV AARGRSDPERLRTGELVYAGVRRTPVCALATELSPRGGEPIGLAAELFATTLDVFLTT GDIPEDPGDLATADGRPATIDAARDRLARMIGDDREGVAPADALALARAAEACLLDRL ARAARRLCAATIGEPDVILVSGSGEFLARRVADAAFGHGPARIGLSESWGPAGSTAAC ACALLRLAAARDASAVTAGGGRRD OJF2_RS28975 MIDPPVGEDVASWGLVGAVVVKVGGSLLGWPELPGRLAGWLDAQ RASGAPSADPVLIVIAGGGPFADAVRDLDRVHGLGDEAAHRLAIRSMDLTAALLAGLL PGSRLVAGRRELLASCRLGAVLVATPGPLLRDLDDAGPDPLPSSWDVTSDSIAARIAA RLGASRLVLLKSRPIADGASLREAAAIGLVDPRFPEVAAGLRRIEVVSLRDPALPPSR LRP OJF2_RS28980 MAQIQVVSVEHPDVPPFPISARLRSQLVYFMSSPGRDGMPALGE GEYWIARDDITRWLMEGVFQLVSPLDTEKATDVELTDEQDAFLNWLEKSRTQHIRVVE OJF2_RS28985 MDIVDRLLGSYRRRQFARLITAGLRKAGEPLEFRYDAREFRLVS SGDTGYLINLGNTYREYLAVPRAERPLVLSRFLRSWIEGRKGIPEDFADASHDLLPGV RNRSSFEIMKMQARAEGGTEFDWPYRVVGEHYGAGLVYDLPHAMSQINGQQLERWGVE LEEALELAVGNLEQISGQGLQPLGPGVWRSPWRDNYDASRILLPGMLEAHDVEGDPVV MIPNRDTLLLTGSDDQDGQMIMAATAAEALRRPRPLHAMPLRLRYGTWTPYLPPEDFA SHQPIRQMLIGIMSRDYGDQKELLQAVHQADGTGVFVAGHALMQERRTGKVVSYCMWA RGLDSLLPRTDVVHFADPGRPEGETLVASATWDQVMEIVGDRVEPADVYPERFLVRSF PGPDELDRLREAFGPP OJF2_RS28990 MDLHELIEGLSDPAAFEPRPDRVEVRQTHISVVALAGPIVYKVK KPVRLGFADYGTLGLRKHFCEEEVRLNRRLAAGVYLGVVPIALEGGRLRVEGRGEPVE WAVKMERLPDEATLLSLVERDLAGQALLVELGRRLAGFHASATRGERISSLAGHGRLR ALAEENFAQAAGHVGLTVSPAVFDRLKALTGRAAEDLRPLIEGRVARGIPCETHGDLR PEHVYILPDRPPPGDIAIIDCVEFNEAYRAADPAADVAFLIMELIALDRPDLAAAIRD AYVSASGDLEVHRLLPFFVSYRAAVRGKVEGMMAAAQEVAEADRARALRRARAHWLVA LAALEAPGLRPCLVLVGGLPGSGKSTLARGLAARGGFEVIRSDAVRKELAGLRPEEPA PAAFGEGLYTPDGDDRTYRECFDRAAAAIREGKRVIVDASFRAEARRGQFLDLAARSG VAGLFLHCEADRAVVDGRLAARRGDASDADGSILEGAIAAWEPLGEATRRKTRPIETG GTPEQALSAAAAELTRRGLWRPDGPCDG OJF2_RS28995 MAGVLIALGLVAAGAAAALAWQWSRALREVGRGLQSLGQGRRAR PVLVDVGGPVGPVVRSFNTASPLIQGRLDRLEGDREQLRVVLGAMAEAVIAVDPRRRL LLANASADAMFGFDSHSVGRLVPELIRSPQVQGAVEETLRMSPPDAFQGEVNLPFREP AMRGITRTLSVRGTPLHGSPAAGAVLVFHDVTDLRRLERMRQDFVANASHELKTPLAS IKAYTETLLDWALHDEGVNRRYLERIDEQADRLNQLILDMLSLARLESGQEFYEHRPT RLLPLLADCLEGHRGRADAKGLSLAFEPGGVDAEALVVADEEAIRQIFDNLLDNAIKY TPESGSVRMACSQGEGAVIVEVVDTGIGIPRDDQPRIFERFYRVDKARSRELGGTGLG LAIVKHLVSSIRGQVAVASRPGSGSRFTVTIPRYPGTLHAASDPRSPAGEAQPRPLL OJF2_RS29000 MMSQTHASVIIIGSGSSGLTAALYASRANLAPLVFEGREPGGQL TLTTSVDNFPGFPEGIQGPELMEQMRKQAQRFGARTLWESAYAVDLSKRPFRVQTTDD PSGDPSSGTLRDYTADALIVATGASARWLGIEGPYKGNGVSTCATCDGALYRGKEIAV VGGGDSAIEEATFLTRFASKVTLIHRRDTLRASKVMQHRLESNPKIDYAWNSVVDSIL GERFNNVDILNGVRLKSTKDGSTRDLKIDGLFLAIGHIPNTSIFKGQLATTPEGYLLN RSALSWKGVEAPAGLLDSLPNYGTATSVEGVFACGDVVDTHYRQAITAAGSGCAAAMD CEKWLESLHDAA OJF2_RS29010 MPKPKILVIEDERALVEVLAVNLEREGFEVFMAYDGQDGLRQAQ LKLPDLIVLDLMLPLKPGLEVCRELRMGPRTRDIPIIMVTAKAEETDQLVGFATGADD YVTKPYSMKVLVQRIKKELRRAQAQEETPAGVVHESQGVVIDRHSHRALYLDQELPLT PTEFRLLEVLVRQAGRAFSRYELMDAAIGEDAIVLERTIDVHIRSLRKKLGEAGELIE TVRGVGYRFHEPRLVGS OJF2_RS29015 MSPYVFAKSDGPTLGVELELNLVDARTMALRSGATPILEALPPD LKGAVKPELFQCYVEINTGVCRDVEEVGRDLSAKLAVLERLAADRGMRLFWSGTHPFS PWHAQEVTQTERYLGLVDLLQETARRLITFGLHVHVGLDSGDKAIMICDRILPYLPVL LALSANSPFWQGRETGLHSHRSKVMETLPTSGLPPLMRNWSEYTWLLNHMVETGFIQT IREIWWDVRPHHNFGTVEVRICDMPPTLRQVLGLTGLVQCLVQDLSDEVDRGIYQSDA HPFLVRQNKWRAARYGMDAHLVDPRSFRVVPVRRTVREMVDRLEGRARDLGCRSQLEI VREMVEEPTGSVRQLATYRETGDLTEVVRRMIRSSAISEAP OJF2_RS29020 MADWREAIDAWIDGHGDEIRDVRRRLHAHPEPSKEEFQTTRFLA DRLQEAGIPVKIAPTGRGLVAGPQPEDGHSLVAFRADIDALRIHDAKETPYRSTREGV MHACGHDAHTAMALAASLALHECRGLLPGDIPWRAIFQPAEEIGAGALEMVAAGAMER VSAVVALHVDPTVRLGYLAYRQGVLTAFCQELQVIIQGMGGHAARPHQSIDPIGVASQ FITSVYQFVPRSVDSRDPVVVTFGSIQGGTSANIIPEYVVLKGTIRTLSEASAGRVAE RIKQIAFGLTEASGAVIEVEFRRGTDAVVNDPEVTRACIRAAGEVVGPANVEEIPLPS MGGEDFSGYLKHAPGCLLRLGVAGPGKPSPFLHSPQFDIDERALTVGAKVLAHSTVLL SDASRSHRP OJF2_RS29025 MSSSPRLIRWFSRALIRLGAAIAAAVLPVVGLGLIIGGLAAPFI FPRRERPGPIGYGMGSAILLVAGWFSLRLALRLTSWLGAGGPMPPATGGRGRTSDPRG EPLWDRWLDG OJF2_RS29030 MPKSVAPQPAPALEAREGPHLIPGFRRSFDAPLRCPAVETGDPE LDPLVSYLKASFADEIKDGHRLVIQDRTKVEILHFPEPYQDLVDKLLRQASDQIPADM IRDFCEKNRSSSPVRPEIARHLTIDLLSREEKTNLFAVGGVEGWKRFYAKFPSARGLI EVSRVGLNRDRSLALFFIHVGQAPVIGQGQMHVLKKEGGAWVELPDDLGQEITM OJF2_RS29035 MCIVLSHSEPGGHAENEDALEIRRHPQGGSCWIISIADGQGGQA GGAEATRLACRTVIEVVASLPATRATSSRTWIDALRRADEAVRADRDAGYTTLIGFAV RDGQIVGASNGDSALWVAGDEGEVLDLTEGQARNPPIGSGGAAITPFAAKLAGPWMVL GMTDGVWKYVGREGVRAAMAECRGQALLDALLARARLPRGGGLQDDFTAVLLQESVGS RMS OJF2_RS29040 MTAKEIEACWADPRNRRWGLYRCPADPRVIVPKQVRWMGWTLNF ARPSAIPVMLLLLAVLTAPVTIVSASGADRGVMLLTAAGSGIVLCLVCAYLSSTARYD IRDPTDS OJF2_RS29045 MAGRRPLFAIILAAAALHAIAITRSTLPAQDGLKFIRVARQFQV DAWPDVVRGADVHPLYPALVAAAEPIIASCIGRGPDAWRVAAQLVAAVASLGLLVPIY RLTRSLFDDRIALIAAAILALLPVPAEVGHDTLSDSLGLLATLTALRFGAVAVRTNAW QPAMAAGLAGGLGYLARPEVILAPLAVGLAWVLLHARVSNVRAILAAPGLPALALSAM VMVGGYALTKGQVSEKLALRHGAAIGSQAILHRPVPQLLPRGLEKSHLDFSPKEEGDR ATIRGPRKALSWAFTEWSDELCWGFAAMTLWGLARQRFILGLCKRDETEAGAEGTGRA ERLVVGIFAAVFLAALVRHATSLGYLSGRHTLPLVLVSVPWAAAGTFVCLRGLGRVLR LSPGAARSACILAVSAVVVVLAVYQVRPGHPTRRGHHAAGRWLAEHAEPGEAVLDTRG WARFVSGVPGYDYWHVRQALTDSHLAYVVVGVEELAADTSRAKTLSALLAFAGTPLVE FPSFAGSRDVGARIYRFHPPGSWEGLAP OJF2_RS29050 MNYGSLWERLVRGVRWSWVDPRYRAALPEDLDASVMTLESRDRL HAKQGRSTARVRFHPGSGPAVTVYLKRHFRLPWLSGLAALLHPGGRHSPGASEWVHLE RAREIGVPVPDVVATGERIGPWTSLQSYLMVAELTGSRELNVAIPDLYASMDPGSFAA LKRRIVVRMAEITATLHAARAFHKDLYLCHFYLDEERLRAAPGEIRLALIDLHRLGVH ALWPDRWRWKDLGQLLFSTEGIDGIDRRDVLRFWKHYRRRVRMARPAWQARMIQMKAM RYREHNLKHG OJF2_RS29055 MRLALNFARIDPSKGGAETYVIDLCRSLVRAGHDVDVFAESWQP GALPPEVRPIRVAATGRTRGSRIWSFARNSEAAIRRSDYDCTVGFINTYAHDVIIPQG GVHAGSLRANAQRFRNPILRGLYLLGKRLNPKYALYGAIERRQYAASRRARVVAVSHM VRRHLQEHRHVPSERIHVIPNAIDVGRVRLEQPGAVRCAFRSRLGLEPTDVVGLFVGH NFALKGLRPLLKALAERSAAGGKPIHLLVGGGGRVEAYGRHARAQGLGDAVHFLGYHP DIRECYAASDFFVLPSYYDPCSLVVLEALAFGLPVITTKQNGAGELIADGREGYVLNA PDALGELVAALDRMTEDAHRAAMARAAAELGAKQTFDRHVEALVRVFEEVAATRDGHD SHGPRGGSRPHGHRSKGRTDTSGCPERPVEPGRMT OJF2_RS29060 MSRKAILLAGGKGTRLRPYTHVLPKPLMPLGEEAPMPIIEVVLR QLARHGFDDVTIITGYLTELIETFCGDGRKFGTRIRYRREVAPLGTAGGLTLIKRPTQ PALVINGDILTTLNYGAMYEFHTSRGAAATIASYPREVKIDFGVLEFGDDPHVLTGYR EKPEYSFQVSMGVYLLDPIAWDYLTPGEALPMPDLLEAMRGSGHPVHCYKQKCYWLDI GRHDDYATANEIFDARRAAFLGGADRPTLTMGRDQ OJF2_RS29065 MIGADTPWLQDALSGLAGYLIGGIPFGYLIFKAIRGVDIRTVGS GNIGATNVGRMLGFRYFLLVFTLDLLKGLLPTLGIPWVVARAGGEPSPDLAVFSALGA ILGHNFPVYLGFRGGKGVATSLGALLALDPVASAAAAVAWFATFGLTRIVSASSIAGA VGFVAAYFARTPEPWSRERLAMSLFAIAIAILLIGRHRKNLKRLMDGTEPRVSLRKGP KVNDESDKQPPARIVPAGLITSGALLAAAAIGAGAWLVRHASAPIEVAAGPLSLREAH RELTGQQRTTRVRFANDGEMLAVMCPRYNKVLVYRVDESLRTESGVEIALDGRPVAIA TEGDVLRVLQRPPGDDKHLGPGWWECFALDGARRGPRVPAGYYPDDLAVTPDGRFALV LSSGRAEGDATKPSPRLEVFDLASRADHGDAPSPAGRLDLDPADDPDRLVLSASGTRA LIRLAHADQALAVDLSRPAEPKLVGRSAVNTSGAPYLSRGPDGDSILMPGSPESEAIA MEIPGLSGGVARTGLGDASDSPSTATPFLAIARPEESCLEIVHDGSPRQTLGRFPMKG PFNLGGTHPSGLAYSPHRGLLAVATKPGTVHLIEVRLRTGR OJF2_RS29070 MRFTRRAGFTLIELLVVIAIIAVLIALLLPAVQSAREAARRVQC TNNLKQLALALHNYEGAWECFPAAAQSSPDYAAYSVYFNFTGYAQMLPFLEQANLFNA TNFSSAIPGNFWGWDSWDNSTAFGVQVSTFLCPSNPRDARPAFTGQSGQSWHVDQAGV TDYLFNGGADPYVSAPYVNPGLRGPFGFEANVRLAAVTDGLSGTILLGESAGGNAANP RYAVGWGTTRTCAPLQAFKGAGGSKTYTGVVYENLMFMGYGREVASDGVGIMGGLIAR TVDASGAFYGPNDCGTYSGTGLFTPHLPFTGVGQLTPNFRGLHPGSVQFAMGDGSVRL IRSIIDGAAYASLSTIAGGEVVSADSY OJF2_RS29075 MHTRRRACARAVALYAVLLAAAGCGGDASPHRSPTSEDDPARHE AAVKAAQSKAIADREAEAKAMRRSRRAAPSL OJF2_RS29080 MRRIDMTTPRAATTLAGILGFFLLSPAVAHAHFLWLKAGHEGGK PAVRAFLSETPEPDDPALLRVIEGAKVTAAGKPLSWTRQADAYLVGLGGASPGCVDGS VDLGLKSRNGTSFLLLYTARAQFVASPAAEPEADPAGLRLRLVAREGKAPAVLVLLHG KPQPGVGLKSLVGNDTAESKSDEHGLAELPDVASGKAGLLAKFVESAPGERDGRRYSE VRHYATLTVAPAGAEAASVTGPTPTAGHPGPAPARTIATMPEAVNSFGGAVSGDWLYV YSGHAGETHRYHCGMTSAHFRRLNLRDCKTWEELPVGPSLQGVTLVAHGGSLYRAGGM AARNEPDKPEDLLSTASFARFDPATRTWTELPPLPSPRSTHDAVVVGDLLYLVGGWTM PGGAASNAEFCDDALVIDLARPSTGWQSLPTPPFRRRALAVAELDGKVYAIGGLEEGG TVSRRVDIFDPAARNWSRGPEIPGGKYQGFAPSAFSTGGALYVSGADGTVRRLSPGGD RWELVGRLSTPRMTHRMLPGFDGELLVVGGTAARRSVDSIEAFSVAGKMPPAGR OJF2_RS29085 MKSMEGDPAAVRPSSDRPTFCARCKKSATPSPSGTACPECGDAL RPRGYCQVCEDYRLLPVGATCPKHEIELDGEGPPREHPAVAGGPSTWVTVMTLADAVG VAPARIRLEAEGIPTLVEGERMGSRSMYQVATGGIRLKVPEPLAAEARVILSQTWRAT AAELGIDEIDGEEKDDVDDHDERPREIPAEELPAEAAPVRNALFLFLAGGVPCLLLLI YLAVHDWL OJF2_RS29090 MTRLGIVTYNIAKDWDLPTILSRLEKLGYQGVELRTGHAHKVEV GLTKDQRAEVRKRFEDSPVELAGLGSAFEYQAAEPAVVRKNIEETKEYVELAHDLGSP GVKVRPNGIPRGANLDGTLRQIGRALHEVGEHAAGFGVEVRVEVHGAITQELPHFARI MAYADHPNVFACWNSNPTDVVDGSVKGGFAPIAGKVREVHLRDLTDSAYPWRELFSLL SARNYEGYTLAEIPESGDPERVLRYFKALWQAYQPAPGGR OJF2_RS29095 MSNPPTPRRRPSVHITLQRAARLHRLVRFVAEEARTRDVILSHL NIGLRTFYRELELLKRCGVKLRHRARLYTLMSTAGQAEGRLPFPDPQLSFAEMAELAA CDCDAGRRLAELLATVVNQPEPAKKGRGRGGTGRKAPRSPEAE OJF2_RS29100 MLSALSTKPTATVSGTDTKGDHWTLTLYGPGTMNVVDTAGIAFT KANRNQARDIGAITVSGTVTSQSRLIGKVTPGANSDGRVFFQQMNIEATGAFSRLDPT RPLSLTDPTAQNGIAAVNMPDFWLGNTSGTKPTQSSPLHAGFFVAGSITATEGINVLR FGGVDATYTPTGGTPLNTTSQNNEFVVSIGTPVAGGVSVIVKKSITDAQTVAPTGTNT TGQVFQQSATFLATGRLNLFQANEIDGNTTPSTATLPAASPSQFLLNPPAPTPTTTEA GGTYVVSQGGAVTGQIGNIKVLGNATNFTTFTIENDVFSTQQEGVLDPRITNFYIGGE TNNVAVVAPGGTRNVSFGRGMDNVKINTQFIQYLKVNRGAVNSSVTSSRQIGNMIFGG DVINSLIQSGYSQTLATYANTPSSGFTSGGGVFNGVRPPDISDRQQNSLTGVFAPTAH GGGAIKGRIAGNVIDSVISTSVDPDPSGINEPGTFSPATTKFFPFGTPQNIVLPRGTI DVKVEGVVDNSGVQSGGNPLVSPDVPADTAFFAAHVKVKHGPVVPPNVDQLSKSEPIR FGHSQRWLKGLIRRDNSINFPGRKA OJF2_RS29105 MKVLVIGKGGREHALCWKLKQSPRVTAVYCAPGNAGTALDVQNV PIEANDHRGLLQFARREGIGLTVVGPEEPLVKGIVDIFQREGLRIFGPRKDAAELEGS KTFAKELMRQAGIPTADYRIFRSAPDAEHYILSREVSLVIRSRGRSTIRHTLHCRTAA EALEAIDRILDPREMMAPGVQVEIEERSNRRVFSAVADARDYVLGRPLGLVIKADGLA AGKGVYVCNNLRQALAAIDEIMVRRVYGQAGDTLLIEEKLDGFETSVLALTDGRTIVP LESSQDYKRAFDHDEGPNTGGMGAFSPTPRMTPELMEEIEREILVPVVHALKRARRPF RGLVYAGLMLTNQGPKVLEFNVRFGDPETQVILMRLKTDLLDALEAVVDERLDSVRME WDRRPSVTVVMAAEGYPGHYERDHRVENFDAADRLPDVKVFHAGTKLRAAAAEGREAR VVSDGGRVLNVTALGETLEQARDRAYEAVRTVRFPRGYYRRDIAEKVPAAAK OJF2_RS29110 MEFEFGTALSGDYKWLIDTYGTGHSCDLPVVLNPFAMAEGRNLL AQMKPLLAHNRSSPTYRRPFLHFPEPGGLLAVAQDLNAGSLFWLTAGDAGNWALFHYD GGGRRHQAHPTTLVEFLVAWIGGRSPESFFGVGNSQ OJF2_RS29115 MAKRAAEPLKSRDIRGVKYVERLLPLLDSLHEVGCDRDRAGNRC LFYDQYCMLVLLSMFNPVVRSLRAIQQVSGLRNVQRKLGCSRASLGSLSEAVEVFEPG RLLGIIDALAADAGPVRDVRQGHLAHALTAVDGSVVKTLKSITEAAFMGDKNGGSHSG WRLHTHFDIDRGVPVRIDVTRASNSGKDDEKNRLRDRLEPDHCYVMDRWYAQFTLFRD IVAAGSSYVCRVRDNTNLMDVVEERPVTEAAKAAGVIRDVVVNLGGDRKEGERPGHPV RIVMVRTTPHTKRGGRKGGTAGPSSDGILRIATSLLDVPAEIIANIYKHMLTIELFFR FFKHVLGCRRLLSTHEAGIEIQAYCAIIACLLISLWTERKPTLRTYEMICHYFTGLAD LDELVAHLEGLKRAEKAKRAAS OJF2_RS29120 MLCDGRGTVLGIYATPGQRHESRAFEPTMRRVYLPGRRGQPRWP RRLAGDKGYSYPGIWRWLSRRRIGRVIPTRKDQPRAADFDKDTYRKRNIIERVVGWYK ECRRLLTRFEKLAVNYIAFRIIATVVRHL OJF2_RS29135 MEGFLAYEVRAEDMEPGCLLRIRGEGSNRDRFIRPQVRHYITAA GLTIAVESED OJF2_RS29140 MPSSHPTTAPCQWFSWLAASLDRRSAPRLALLFLGAVLARGRGT VTTWIRAAKLSDRFQSC OJF2_RS29150 MPLLARLYVRRKDLPGIDPKHRPPFRTKLELAVELLRWAAPWLG LLSLPIWVVADGACAKADFLKPAKAVGMTVVSRLRKDAALRTLPGPRPAGKRGRPRTY GEDKIDLAKRGSQRRGWSSGTFELYGEVVVKRYKTFLATWRPAGGATRVVLVDEPTGW RAYFCTDTEASVADVLGAVADRFSLEITFRECKQVVGAGQQQVRFIWANIGAFHVCLW TFTLTEIWAWVRSEEELVDRTASPWDKEPRCPSHADRRRAWRRKLLAEEIRAARRPGV TDAEIRAVVEHLLSLAA OJF2_RS29155 MKTTVREKLRRSKRRLERRLENRPGEERESPMFTATDVHYELSS RARGIACGGVGALMMVARASGLIGDIDSRLKLLRRHLPYHESDHVLNVALNIAAGGSR IEHIELRRNDEAFLDALGAGRIPDPTTEGDFCRRFEGPDVLDLMDAFNAARLRVWRQQ PAGFFERAIIDVDGTLVGTGAECKAGIDIAYDGTWGYHPLVVSLANTSEPPFLADRPG NRPSHDEAWVYEEKAIALCRRAGFREVLLRGDTDFSQTRRLDRWDDAGHVRFIFGLDA MPNLVALAEALPEGAYGYLERPEPPIGTVPRERPERHKAKVVEAREFETIGTLEEMVA EFDYRPTACDRAYRVVVLRKRLARDKGQMRLFEEYRYFFFITNDRERPAEAVVLDANR RCNQENLVAQLKGGVHALATPVDDLVSNWAYMVMASLAWSLKAWSALLLPISPRHAER HEAQKRALLAMEFRTFRAAMIEMPCQIIRGGRRLIYRILSWNP OJF2_RS40175 MSTISVVASIANAAACLAGAYGAVSRQARLVGCSRQAAYDHARK VLGAVRRLDPRGGDPRRLREELGRLRREVADLRGRLDRAIEVPLGKRREFAAVAAGMG LSLAHTREVLAFFLGEAAAPSRSALGRWAAAAGVAAGAALARLDALCRPLILAGCLDE IFFRGRPVLVAVEPASMTWFLGRRPGRLDGRTWAEALGEFPALRHVVADAGRALQAGI ATVRELRAAGGGAALDSTLDVFHTVHRAREALGPARHRERRAAEAYEAADRKVEKARR RGVPAQAAAGEAKSAWRKVEAAIGRAEAMEATWGLLSEALEVFRPDGRLNDRAWAEAR VAEHLPELAGKEWDGVANHLEGPTSFTFLDRLHADLEALPIAAGLREALVRLWWLRRR RPRGTGPAAGAGHVAHLVQRVYCSKLDDDWAKWYAAVSAILRGVVRASSSVECMNSVL RMHQSRHRTITPGMLDLKRLYWNTRRFRGGKRKGECPYEHLGLVLPTYDFWALIEDDF AIALAEAKAVAARKARARLIARATAA OJF2_RS29165 MAQKAAGNAEDTMGWGDDPAEAPVRDLTGVTLGDFQIQRMLGRG GMGEVYLATQVSLSRPVALKVLHRRYLSNPTYLSRFEAEAAAVAKLNHPNIVHVYMQG SVDRVRFIAMEYVDGTNLRDYLSKKGALDLPLAVSIMRQAGMAIGAAGEVGLVHRDIK PENILLTKKGRVKVADFGLCRDLEADRMHVTQPGTTMGTPLYMSPEQAQGKHLDHRSD LYSLGVTFYHMLTGEPPFRAESAVALAMKHVTEQPIGLRLRREEIPVELERLVLKLMA KSPGDRYQSAAEMLADLARIRGQIMGSAQVTGAELSVASPSLSKGEDPPISISGVKAL AAVGPASPRRDGIGDRLARPAVLAALAAAGLVAGAASAWMARPTIRFADAKPGTAAPG LRLETAWAGVPRQESAEAQFRYALLRAPMLELPAAWAAVPGYHPKPSEWVSSAYLHLA RRYFREGDVRRLNTLRDELAAWAAARTEDRELVELLGPALKLLTGDLDGVIAGMSGLT FAVDRPAAQGREELRLFDPGLLEFGAEIASRAIKLTGQAGESAGQIKRDKLVGIQRRL MVSLRRVRELEWRRQSGPV OJF2_RS29170 MALLKRANGEAAGQIIELKQERTVIGRSPEHCQIILDPNGVSRR HAEIYRSGADYFIADLNSRNQTRVNNVKLLPGNDHLLAPGDRINICDVEFLYYPKLPI ESAARDADVVIVAEGDAADVPHLHTLDASRSSAMAAMVKPELKLKAIIEISRNLSTEL RIDKVAPRILDSLMESFPQAERLFLILVDPDTKRLIRKAFRCRPGRRSSFSSTVPADE AQVSISRSIVNQVLVQKKGVLSQDASMDKNLPTSASIADLKIRSVMCVPLLTPDGQAL GILQLDTSDRKQFGNDDLEVLTAVASQAAIAIQNAELHEKSLERERLDRDLKIAEQVQ KRFLPQSVPEIPGYEFFAHYEPTYEVGGDYYDFVPLPGNRYAVAVGDVSGKGVAAALM MAKFSGDTRYCILTENSPGEAARELNSLLFAAGIDEKFITLSLSVLDVETRTLSLSSA GHPAILIRRASGRVEEVGEDIAGFPLGIIPGTEYQHTEVSLEPGDVAVVYSDGVTDGR NTREEIYHTKEHPRLSKRLRETTGGPDVVGRAILQDIREYTAGHAQVDDITLVCFGPV SRPAGR OJF2_RS29175 MSLKISNLRLEIDDREEDLPRRVAGRLGIAPGDLLRWRILRKSL DARRHDDLHFMYAMEVDIPPDAAGRLAAASDPDVRAFEPEPFSWPPPGDRPLEHRPVI IGAGPAGLLAGYFLAEQGYRPLILERGRAVKDRVADVRRFDAGGPIDPESNYLFGEGG AGTFSDGKLTSRATGPDVTRILEVLAECHGKPSIIYEHRPHLGSNRLPLVVRTLRRKL EAMGGEVIFSCRAEDLDVADGRMRGVATSSGYIPAEVVILAAGHSARDTYGLLLRRGI PIAAKPFQFGVRIEQPQEQIDAVRYGGRSGHPALGAADYGLSVRAGGCDLFTFCMCAG GYVMPSVSEPGYFCTNGMSESRHDSPYANSGLVVTIDPAETGSRHPLAGVHFQQRFER MAYLAAGRSYAAPIQWVRDFLRARPSRGKLPSSYSRVDTVPTDLNAILPAKVCEALVR GLPAMDRRLQGSFLRHATLTGPEARGSSPVRIPRDPESRQSPAVAGLYPCGEGAGFAG GIISAAVDGLRTARAIAAAFARPG OJF2_RS29180 MIIECPKCHFSGRIPSYALDTPYNARCPRCRFRFELNTLLAGAP DDALEAPGIDGLEAETGDGPAGDPGASSYELKAIAEEFDAGPSVAEDLDWRGDGHSAD LAGVAASSGAPIDDRGDPTVAASGFLGPRWSASDPWYSRVLQAWGVAFLIWAALILGR SLQWMFAADGDASGPGHLVATVCCVVLLVPGSAALFLLVDLSRYLRRRTLAPEPSEDA RRPPVFDGRLSGPMGLWGRASRIANGTRPQV OJF2_RS29190 MPTPNHLRLEDVDGVAVIDFVDSSLMFESGLVQSIGDELIAILT EQARHKLLIDFKNVQYVSSTMLAQLARLAKEVQKAKGQLKITGLGPVLKDTFRISHFE SLFMIYDDRASALKAFRP OJF2_RS29195 MKTLAVWIPIGLLAMLAAPAGLPGAEPRFERIFGPEVKTGPYKH PACMTELGNGDLYLVYYGGAGEYAVDTGVFGSRRAKGSRDWSPPERIAHDPFRSVGNA VVWEAPDRLLWLFYVVRYGDTWSTSRVQVKISRDGAKTWSDASVLVQDEGTLVRNRPI VLRDGDYLLPLYHEGGYDTEATGPDSYSFFLRYSAREKAWTKTGPIRSPKGNIQPAPA ELSDGRLVAYCRRGGDYKPDTIGYIVRSESRDGGRTWSEGVDSSFPNPNAAVDLLRLK SGRLLLAYNHSMNRRTPLSLAVSADADRTWPIRRDLCTGDGDYGYPIAFQSADGRIHV VYTSDGRKVVNHAEVDEPWLMDGPRP OJF2_RS29200 MSSIFSKILTWVAATVLVSLAGLLATSAFVSARLPGKVDFFARA QKVQLNAAREAYERGGRGALAAFLRRQDSDYHARHFLVDRRGVDLVDGTDRSRMVARA GPPAWPPLLPRGPLVIVRTSDDGAHRLLIELPPPFGPLQFLPYFLWFLAVIVALGYLL AVNLARPLRALKAAVERFGRGDLEVRFRSDRRDEIGQLGRAFDRMAERIETLLTAERR LLQDVSHELRSPLTRLIFALELARTAPDREAALSRASKEAGRLTELVDELLSLTRTEG DPAARVREPVPLGPLVRGLAEDCSLEAARRGGSIVLDADPDVVVLGDPELIRRAVENA LRNAIRHSPDGEAVDLRVSRVDGSARIVVRDRGPGVAPEHLDDLFKPFFRVEADRDRE SGGVGLGLAIARRAVGLHQGRIRASNADPGLAITIDLPASSTDVAGSATANA OJF2_RS29205 MADPASQAGQAASPTTRLLLVDDDAELCDLMREYLVGRGFAVEA VRDGRLGLARALGGEHDLVLLDVMLPGLDGFELLRQLRRRSAVPVIMLTARTAPEDRV AGLDGGADDYLPKPFGPEELIARIRAVLRRSGGLAAAPQAIEALGVRLLPGRREVTVD GNPLETTSTEFDLLELLVRSAGRAVSRRELTAVLYQRQVTPFDRVLDVHVSHIRKKLG PRGDLIRTVRGVGYLFCAEAGGAAER OJF2_RS29210 MNQTIPSSRHHSLDCVRASAMLLGVYYHAILFAGMVGGGGPFGP PGMAGGAGSMLFQEWLHSFRMPLFFLVSGFLCRMMYRKYGPRRYLERRWWRIGVPLLV GVFTFVPLYLVTMQLIGGGPGGPPPGPRGGAGPDWSGPGAPPPGGRDMPGRSGREGPG GPGMSRPPGPPPAWGMEAGPSESLRGAGEPPGAPGGPGGPPGGLGGGPFGPPGVVSQW LFGAYARYFTLQHLWFLWYLLVFATFTPAAAGLAEWAIGRRVPGLLDRASEALLRLDL LPLALALVGAPTLRLAGGGFGGWSLGLAAGIGRGFPDFVFHPEWDMPFYLCDFLVGWW LHRERAGLAQVAARWLPSLAIGTAAHAAAGFLSMAYGRRTTLPSYAFIQAAGYSLYAV GSAYTAFGFLGFFQRYLDRPTRAGRYLADTAFWIYLVHQPLLLPVLKVIVPLGLPWWL QALAASTLASAAALVLYELVVKPTPLVALFGPARASKLAQASSESASDRSGGSAEGEG PGEDRSPPIAEGDPGYNLERLGVEPEAGARASLG OJF2_RS29215 MKLSVVIPIFNEAEVLPSLLAALHPVLEGLGCVFEVLFVEDGSR DDSPTILDAAARADSRIKVLQFSRNFGHQAAITAGLDFATGDAVVVMDADLQDPPELL PAMVQKYEEGYDVVSAQRVQRDGETAFKRATASLFYAIMRKAVDERLRPQVGDFRLLS RRAVDALGGLREQHRFVRGLIAWLGLREAVVPFHRRARAAGTTKYPVWKMLRFAWTAI SSFSALPLKLSLYGGMALTLLGVVYSVLVLYETFVLRTTVRGWASLVCIQLLFSGAIL TAIGLVGDYVARIYEEVKGRPLYVVAGGRNLGEPAWPARAACPEDFARPQDDLRVDGA ANGANGEAKLPRTVAVQGVAR OJF2_RS41270 MLMQLSMKGDGRDRAERIGSGRGRPGAAVLAGWAAVLLVAAGIR LWGLEKNGYGNPYYAAAARSMLVNPTNFFFASFDPGGFVTVDKPPLALWIQAASAGLF GYGGTSLIVPQAIMGASTVLAVGLLVRRAGGDAAGLIAGLVLALFPISVAIDRDNMPD TILVLLQVLAAGAAFRAIETGKGRWLVASMALIGLGFNAKMLAAFVVLPTFYLAYLLF APGSPIERAKQLALASCVLVVVALSWTVAVDLTPASRRPYMGGSTNNSALGLALGYNG LGRVFGGMGNMGPGGGGPPPGGPALDADDDQGPGGPPADMAGMPGPGGPFPGGPFPGG PGGPFPGGPMGPGGPNGPPGFGGPPGPLRLLGREMAGQFAWLLPLAVLGGLSACRGST WRRPLDRRATSIFIWSGWFLTYALIFSFSRGITHSYYTVELAAPLAAMVGLCVVSMWQ WQWQAAAGWGNLRALLLSAAIVSTAAWQYALLAPFAEWQSRLEPALVAAACLAAAGGL AAWGTGGTRWQWGRGPAPALITLCAGLAALMVVPAAWSLMAVAAPGMGMMPAADPFLL ESVDGMAGPGPGWRPPGMRGGPGMELMGQEGLADFLAANHRGERYFVATSSSMPAAPL IIRSGLPVMAVGGFSGQDPILTTDQFASIVEAGELRFAVEDPRGPGGPGPGGPGGGRL GRGPGGPGGPGVENREINEWIRKHGKLVGPEVWGDDRGVPDEPDDGLNGGPPGFPGRR MRLRVYDLHPERGLVRVGPVPGRVAAAGKGKGVRP OJF2_RS29225 MIQPGTVRVAAVQMEPALGRVAENLARIEADLARAAESGARLAV FPECALSGYGFGSREEGLAHSVPIDGEELARVARAVARTGCACIFGLLERDGSRLYNA CTLVGPRGVVGTYRKVHLPFLGIDMFVDPGDRPFAVHEVPGLDLRVGMHICYDGTFPE TPRILALQGADLLVLPTNWPTHSEAAADHMVATRALENTVYYMAVNRVGVESGFRFIG TSSIADPGGRILARASADSAEMLFADIEPERARRKLLVRVPGKHEVNRIADRRPAFYG PLVEPNGRD OJF2_RS29230 MNVRRTFRALGGLFVIACAAGNAAAAGWADSLFQERTHDFGAVP RGAKVKHDFMLVNRLAEPITILSLRPSCGCTSGKASAGTVNPGQAAVIEAQMDTRNFL GLKATTLFVTLVTASGKEAEVRLGVSSNILADIVLNPGSADFGTVLKGQAPSQVITID RIGGASWRFERMVSASRVLTAKLVETKRDAGGSVSYALTVGIRPDAPAGPVRDEIRLI SNDRETPSIPVLVTGYVRGDLTAAPSVLTMGEVSSAAGKQGRFVVRSTRPFSITKVEG AGDGFSVAAAEADRKNTHVITVAYRPGEGNMRGDLKRVFRVHTDLPDEPPLDLTATLH VNP OJF2_RS29235 MPAIRSGPLLALLLLALMRQPIAALAGDDAYRAEVEQFRRRREG DLKSADGWLSVVGLHWLSPGRTRLGSDPSSDILLPPGAPSSVGTIDLDGDRATFRAAP GVKVSKAGAPFESGEIRSDAGGKPDVLAAGDIRLILLRRGRRFAIRVKDPGSEARRRF AGLRWYPVDPSWKVEARFVPAPENTRLVFDTIVGEQETAESPGFVVFERDGKSYRLQA AAERDGSLWIVFRDGTSGRTTAGGARQLEAARPGPDGAVVLDFNRATNLPCAYIPFAT CPLAPPQNRLPLPIAAGELKYEADSSRP OJF2_RS29240 MRLIVTGGAGFIGSNLVDRLLADGSDVVVVDNFDPFYDRAAKER NLAAALANPRCRLVEMDIRDAEGTDRLVADVRPDAIVHLAARAGVRPSIEAPALYADV NVLATVRWLEAACRIEPRPRFVYASSSSVYGDRPDAPFRETDPVDHPVSPYAATKKAC ELVAYTFHHLHGLPVTGLRFFTAYGPRNRPDLAIAKFTRLIDRGEPVPMFGDGTTRRD YTYVADIVDGIVRAVERCTGHHLYNLGNSSPTELRELIALIAEALGKEARIDRLPEQP GDVRQTYADVTRGATELGYSPKTTFRQGLAEYVAWYRSRSGA OJF2_RS29245 MSHTSEAQPLASDENSGPRRRGEDASILLVLPLAFRRDDDGSLM IESQAANGLMRWAENFDRVTVACVEWPRGQDRGSASWTWRRIDELPCADRIRPVPLPE AFKPLEFAREYRRVRKLLGDLIRDSRYLFFGISYTWGDWAALGCVEALRQKRPYAVWT DLVDYQVIRFAARQKSLPRRLHKQYVQASLVKHYHHYLIKRSGLGLFHGRDCFDAYAP LCRNPFVVHNIHLKPEDAIDPGRLEEKAREVQSGSPLRLGYVGRADPVKGGMDWLDCL KAVVDRGHDVRATWLGDGPILDAMRARAAELGLTDRVSLPGFVSGRGELLEFLRGCHA FLFCHKVPESPRNLIEAMVSGAPIVGYDSPYPRDLLADHDCGLLTPANDVATLAGAIS GLDRDRERLASMIRKTAAFAAHYNDEAVFRHRGDLIKQYL OJF2_RS29250 MAGQGHEHGPTSPAIAAPAARQAADEVEVRGHIVDSLLLPKILD RILQMGGSFEIRDCKIGMRRTDPSYARIGIRAESPEAIDAILADLVEHGASPVHPEDA KVVPADIAGAFPEGFYSTTNQQTQVRFKGRWIDVQDQEMDCGIVLDPAAGVARCVPMV RVVVGMPIVVGHAGVRVIPMERPRESTLFGFMSSNVSSEKPKSVSLRSVAEAVRSTRA AGKKVLLVGGPAIVHTGSAPHVAAMIREGWIQALFAGNALATHDIEQSLYGTSLGVSI ERGEPIEHGHEHHLRAINAIRRAGGIRRAVESGLLTSGIMYECVRHDVDLVLAGSIRD DGPLPEVITDTLAAQDRMREAIRDVGFALLIATALHSIATGNLLPAWVKVVCVDINPA TVTKLSDRGTFQTIGLVTDVEPFVRALAAELATAPAAG OJF2_RS29255 MATPTMPPDPRGPRPRLLMCPPDHFGIEYEINPWMDVTQGSDAA IARRQWQALREAVVALGAEVELLEPAAGLPDLVFTANAGLVFRGLFLPSRFRFGVRQG ESPLFERWAREHGFEVVPLPEGMYFEGAGDALFCGETLFAGYRFRSDVRGHQWVGERL GVSVLPLELVDPRFYHLDTCFCPIAPDAAIYYPGAFDEYGRSVIRDQVPRLAEVSAEE AASFSCNAVVVGRTVVLNRGAPKLAATLGAMGFDTRPLEFSEFIKSGGSAKCLTLRLD GEEAAAWKSSPAAG OJF2_RS29260 MGTTRILDISPPIREDLAVFPGDQPFRREINMHLDRGDSVTLSA IHATVHLGAHVDGPCHYGKDAPGVDEWPLDTFIGLCRVIAVRVPAGGTIGLKDLYSEY DEPRILFRTDSNPDPRRFREDFVAIDPAVVDHLHRRKVRLVGIDTPSVDPMRSTELPA HRRFLANGMAILEGLDLSGVEPGRYELIAFPLRLVGLDASPVRAVLRTLA OJF2_RS29265 MTPDQGATDPLLRWRGEFPILAETNYLISNSLGAAPAAAAGSLQ DYHRTWATRGVRAWEETWWTMSAELGDRLAPLLGAGPGEVVFQPSVTIAHAVVLSGLE YRPARDGIVTDAMHFPSILYLLDGLRHEGARVRVVPSRDGIEVETSRLVEAIDERTAA VCLSHVLFKSSYVHEVEEIAAKARRVGALTVVDGYQAVGTIPVDVRALGVDVYIGGCL KWLCGGPGAAFVWVAPELRARLRPRLTGWMAHRSPFAFEPGLDRREDAWRFLHGTPSI PSLYAAAPGIEIVRRAEAEAIRAKSIRQTSRLVELADARGFPCTTPRDPGRRGGTVAI DVEHGYEVSRSLKALDILCDYRPGAGIRLSPHFYTRDDELEKAIDAVEEILRTGTWRA FAEGRSTVT OJF2_RS29270 MPGDDHSVTLWLSDLKGGKTQDAVSRLWNRYFHRLARVAESRLR STSAGNLVDGEDIAASALEAFCRGASEGRYAGVAGRDDLERLLYTITVRKALKQRRRE HQLKRGGGRILASGGADSDGPLDELADQDPGPALTAAVEDEIRHLFASLADESLRIVA LLRMEGFSNNQIARALDCSLRSVERKVETIRQAWEPQVR OJF2_RS29275 MIPIKYNVRNLRVRWKTTLMTMAGTALLVGSSCILFGLVDGLEY SLKVSGDPLDIIVLRKGSSSETSGGFEAAKADEVLNVNGIARDEEGKPLAAKELLNIA IAERDNGTHNNVIIRGGQPASRKLRPDFRIVAGRDLVEGRGECIVSRSLARVYKGAQV GGQLNFGEKERYQVVGIFTAGGSAAESEIWADLKDVEKNTGRDGSVSCVQLRAASPEA FEAIQKTLTEDSQFKLAAMPESTYYETQARSSLFLKVAGSLIAVLLTFGAMFAAANTM FAAVKSRTREIGTMRALGFSQWDVLLCFMGESLLLCTLGGLLGLLLTLPLNLLTIETS NFSTFSSVSITFRFGWFVAAVAMTMTIAMGLFGGILPALRAVRQEVISALREL OJF2_RS29280 MKYLTYILRNARRNPIRSFLTVASTSICLFLMMILLAFFAINGE VSEQSRVYNRIITMNANGFAGMVPIARVGQVSAMEGVVAATPFSWYGGKYHDQVMPFA QFVVDADTVFTVMDEYTVPPDQLADFKANKDGAAIGRKLASDWNLKVGDPLPLKGDIY PVDMNLTVRAIYDGASNRDLRMCLFHYDYFDEAMKRVTMGAGSGGSLATSSARNSGNA GSIFIKCKSADAMASLCKKIDDEYRNSEYPTRTQTEEAFGKMFADMLGDLKNAIYGIG AAVVVSLLFVAGNAMAMAMRERTSEVAVLKAIGFSKGRVLYLVLTEAILVAGLGGALG ALGCKFLCDYVDIARFTAGFLPFFYIPWNIALFGVAVSLFIGFVSGVFPAVIAANSSV IDGLRKVV OJF2_RS29285 MSEPSIILRQVYKDYRRDEFVVPVLAGLDLEVSEGEYLALMGPS GSGKTTLLNLVAGLDRATKGEVIVHGQDLGCLSEAEITRWRANNVGFIFQTYNLIPVL TAFENVELPLLLTGLSRKKRRENVMTALKIVGLQSREHHYPRQLSGGQEQRVAIARAI VTDPYLLVADEPTGDLDRNTAGEILELLEMLNREFEKTIVMVTHDPLAAARASRLLHM DKGRLVDDVIQDSRVQAAS OJF2_RS29290 MASSLRDELASLKIERPGRSPVERPRRGPREPSLGAEYRRRGGG ALRLLSWALWLIPLGIIGGAGFYGYKQYDQIRAKPEVTVGLVQKMTTGEAEKLLTAKG YLKSQKQAMIGTKVAGRVDQMLVKEHDHVEGGALLAVIEHHDLDAMIEQREASLERAR AELEEAKAEGWQKEREEQRAERLLSKHMVPQEDFDKAKASNAMTQAKIKGLGASIRVM EANIKEMKSTLRYQMEIRAPFSGTVVEKQGEVGEIISPMAMSSSLGRSAVVTIADLQH MDVETDISENLMSRIELGQPAEVSVSANPSRRYQGRLRQIIPMGDRTRGTVKVKVEIT DPDDKLFPELAATVHFLPFKAGEQSEANKSFVFVSKSAVFQENGHDYVWVVDRKSRVA RRPVEVATTREDLARVETGLEPGENVVLNPTKALKDNEAVRIAE OJF2_RS29295 MPASPAEPPAGPPPTRLRVPVAGPPPAPGPGVRDADRAARTPPA SPPLEEDPDTPWWTPAALKGWAGSMALHALLLLALALLYFSPPVRKAIQIDGRLAGSQ NGVPEGLALVGGLNTPSDMFEVVPEAPPAPIPFEERPLDIGTLDAESLLSASKASRPS AGGGAPSDNPGAGDGDGFGLARFGEGGEVVRGVAVKVGDPQFTLIWDSDADLDLHVIE PGGKEIYWEEPKGKQGGELDVDNTKGFGPENIYWLVESDGPGSQKVRGPGPPGTYQWF VVYWGGFGGIPKPTHWKVRIKHQGKLTIINGKFRALNERSRTYTLKVESPKPSDAAPT P OJF2_RS29300 MDPHELSQMLEAVAAGALAPADAARRFATHPYVDAGDFAKVDLH RRVRCGFPEVVFGQGKTAAQIEAIFRKLLEHGQGGLVTRIGPEVAAHLKAAFPEGEHN VAGRTFRVVGPDGVEPKVGRVVIVTAGTSDLPVAEEARVTAEAWNCEVSLIADVGVAG LHRLLHQLPRLGDADCLVVVAGMEGALPSVVGGLVACPVIAVPTSIGYGAHFHGLAAL LGMLNSCASNVVVVNIDAGFNGGHIAGLIARRAGLARQSSPAAGGNASTAARGQGD OJF2_RS29305 MSLQRIESLPSLPPRPADSNKGQFGHVLVVAGSRGLAGAAALCG ASALRSGAGLVRIASPAEVQPVVASFEPSYMTYPLPQDEDGLIDFEAARPRLERLAAA ATVVAAGPGLGQSDGILAVVRWLLESSGKPLVLDADALNVLSAGHLDLLGRRDQPVVI TPHPGEMARLVGDTAKDVQAARVDQAAKLAGRFEALTVVLKGTGTVVTDGRRVYVNTT GNPGMATGGTGDCLTGVVAAMIGQGLPPFEGAQLGVYIHGLAGDIAKDQNGVIGLIAG DIVDALPDAFEHASRDPDIGF OJF2_RS29310 MLYDDEFYASQSGGSLRSAKAVVPYVVSLLAPKSVVDVGCGVGT WLSVFREQGVERVLGVDGDYVKADSLLIPADRFLPRDLSRSIGVGERFDLATSLEVAE HLPPDSGPHFVDELVKLADAVLFSAAIPEQGGVNHTNERWQSYWRELFAERGFLPVDC IRPQFWTDPRVMAFYSQNILLYVKKEVLDQRPDLKALADGAAIIPFDVVHPEQYRAGL ANQRAVDSGLRTMLSRLPKAAVKTARRYLVRQ OJF2_RS29315 MKYASHLACSVCKREFPADRPMNLCPHDGRPVQVSLDLGRLRKD YGRDGWWTPSRRDLWRFGGLLPLDVNDPDDARHVVTRGEGHTPCLPLPHPIADRVGCR FEVKDEGKPYPGFGANPTLSFKDRGMAMTVSMARALGLDRLAVPTQGNAGDSLAEYAV AAGIQAAVVMSPDTDLPVLARVAALAALHPDRVRLELVPGTIIDCGKRVREHYVPAGY FNVATFQEPGWRTEGKKTLGLEMAEPAGDRLADRTWRVPDVIVYPTGGGTGVVGMAKA FDELEALGLIDDRRPRMVCVQSEATAPVARAFAAGEPDISPMPPGRTLATGLNVAQNV GHVNVLRIIRETGGLAIAVTDDAIRTVIRDEWRARRFAWSPEGAATLAVAEELADRAM IRPGDRVVFVNTASAEKYLPTTRDLLGGGL OJF2_RS29320 MEVSPTGQGPGAASSPNPNDPALVLHLLANLQNQTLDTLRQMME IQRQQLELSREIVQVNREQRARQGAELERWQNGHEYVLDACKDTLGRLEQVHASLMRE MAEYVEDNHENLLEGDFSLGDFVDRFGPRLAHLNTMLAVLRPLAAANRKAEG OJF2_RS29325 MVGKKLYVGNLTYRTNSSDLEQLFSQYGSVESAEVISDRETGRS KGFGFVEMGTEAEAKAAIDALHEHEVDGRRLTVNEARPREPRSGGGGGGGYRGGGGGG GYDRGGRY OJF2_RS29330 MTAPGRLETERLVLRMFRESDLDAYAAMCADPEVMRHIGDGVPM TRSEAWRSMAAVLGHWSLRGFGLWAVEERSCGVLVGRVGLWRPEGWPGIELAWTLRRE SWGRGFATEAAVAALGVAFGPLAQSHVISMISSSNGPSIRVARRLGMRLEGRTELLGG SVEVHGIRRRPAPIRP OJF2_RS29335 MADSDAIAIPHGFRTAAGKAGIKPSGGPDLAVFVADGPCAAAGT FTTNRVCAAPVKWCRGLLPADDIRAIVVNAGNANAATGAQGAANAERTAARAAELIGC EPRQVLVASTGVIGHQLPMDKVEAGLDRLIPRASADASSFDEASRAILTTDTHPKVVS LSYSEGGVAFSLLAMAKGAAMIGPRMATMLAFILTDAPVWPSDLQPILLDAVEDSFNC LSVEGHASTNDTVLILSSTASTAPTLRGEELTAFAGMVRSACESLARMMAADGEGATH FITIDIEGCRDREEARAIAREVAESALVKTAIHGADPNWGRIVSAAGYAGVDFDEGEL SLWINGVCVYEAGAPTAFDAAALSAGLKAERDVTLRLALRRGEGRIRFWTCDLTAEYV HLNADYTT OJF2_RS29340 MNEPMTVQPELTRTEQFGRAMTEMLNQAGLAMMTSIGHRVGLFD AMSGLPPASCRGVAEAAGLDERYVREWLGAMVAGGVVDLDRDAGLYRLPDEHAAWLTR QGSLPNAAGSAQWVAVLAGAESRVVEAFRHGRGVPYSAYDRFHEVMAEQSHQTVVSAL LEQILPMAPGLMERLEGGLSVVDVGCGAGRALLRMAGAFPASRFLGIDASPEAIALAQ DEAGRRGTSNARFQVMDAAALGPGEAFDLVTAFDAIHDQARPEAVLRAIHDSLRPGGI FLMQDISGSGCHHADRSHAFGTFLYAISCMHCMSVSLANGGPGLGAMWGKPMAQVMLR EAGFGKVETRESPHDPLNFYYIATKG OJF2_RS29345 MTSSKMSVGIHILTVLALKRDEPSTSEFLAQSVNTNPVVIRRLL GTLREAGIVDSRTGVGGGWTLRVEPERITLLDILHALEPREEPFGLHHSKPNPECPCG QHIVSVLCETYEEVRDAKARVLKGITVADITRKILDRIEKDPAASAIASAGC OJF2_RS29350 MVNFFIGRPIFATVSAVLMLIIGGICAFRLPIAQYPQIAPPQVQ VTTTYTGADALSVARTVTTPIEQQINGTKGMIYFSSDSTSNGVSNIVATFDVGYSQDM AAVDIQNRVQTAQAQLPPEVKQYGVSIKKTSTDMVCVVNLISPDGRYDATFLDNYGQI HVADVLRRIEGVSDATVLGRKYAMRIWVDPDRMANMKIAPSELITAIQQENVQAAAGK IGGRPVPTGQDFELPITLKGRLEKASEFEEIIVRRGDDGSIVRVKDIARVELSSENYE SASYIDGKPAGGILIYQYADANALSIIQQVRAEMDLLKKKFPEGLDYTIVYNTTDYVE ENINEVQHTLVESFVLVLIVVFVFLQGYRATIIPMLAIPVSLVATFAVMAAAGFSINS LTLCGLILAIGLVVDDAIIVVENVEKFLHRGLDPHTATRAAMAEITTPIVTITLVLAA VFVPVAFMPGMTGRLYNQFAMTIVFSFVFSAFNSLSFSPAMARLWLREKEGETRFFLF RWFNRVLSWIENSYDSVLEWTAHHWYTIVVPSLLLLAFTGWMIASRPKSFIPTEDQGY LICVIQTPDGTSGEKTAEVIQRVEKLCRDEEGVAHTVAIEGLNVITSTNQTNCGVVFV RLEDWHHRRSPELRATALTQKVQGKVMSVRDALVMVLQPPPIRGLSQTGGFELMVEDR SGQGVGALQQVVDRFQDEARKRPELAGVFSTYSARVPQLKFDLDRTKARRLDVPITDL FAVLQANLGGYYVNDFDLYGKVWKVMVQAEGGTRTRPEDIENLYVLNRKGDRVPLSSL GEVRYALGPIDVPHYNLYATAKMNGGPAPGYSSGQALAAMQEVAAQVLPEGFGTEWTG TTLQEQKTGNQATYIFALSVVCVFLFMAALYESWIRPTVIILTVPLAMFGAMVGLWLY DMPLDVFGQIGLVMLIGLETKNAILIVEFAVEMREKKRMNILESAKAASRERLRPILM TSFAFVMGVLPMARATGAGAYSRNSLGIVIAFGIAISTVLGRFVIPIYYVLGERLIDW NDARKARKRPRPKSEQAPAHSFPAHDRDHGHVPVAAN OJF2_RS29355 MQSEALGRGVGVGFVGKAWLGVVGVALAVLPGCQKPAAPAAPPS PTVGVVESRVMDVPIQVVPNGTTRALEQVTIRARVRGFLTERHFEEGATVKKGQLLLV IDEEPYRVALESARSRQAEAQAALRKARESKAREVSAAQVQLDQAQLGLARITERRNA ALVARNAGSIEDLDRSEADRRRWESQVEADRANLAQANADYAVGIAAAEAQVSAAKSA VRDAELNLGYCRMVAPIDGRIGEARVKVGNLVGPDAGGGGAFTDLATIQQLDPMGVDL RLSSRDLDRTTELIQGGLEVRLSRPGRTGSIEHPHPGRCYFIDNNVDETTSTFLAKAR IPNPGGKLLPGEYVKVRMTVDQLKGAVVVPAPAVVESDTGTIVHVVDKDGKVAVRRVV AGQSFDGLRVIAKGLDGGASVIVDGLQLIRPGLPVKTEPAVLTRRDSEGTKVTSSDQP APHGS OJF2_RS29360 MLRNAFAAAVLALGLGLVATASPARAASYAGGGSLRCCPPTQDC GGHVEYQLQRQTVMQNVQETVYETQQVPCVRTVCETVMQPRTITTTRNVVQQHVREEA YTVNRPVYRTVNREVQYTVQRPVTRTIWKDVAYTVYKPVRETHYETKAYTVQRPVRET SLRTQVYNVSRPVRETSFKDVTYTVCRPVHETVMRTVSQTVCRPVQETSYKTVCYTVQ RPVQKTCYRTVPYTVQVPVQTTVLQSQPTTVMVPVRQTAYKTVPYTVCRPVSETVMKE CRYTVRVPVQRTEMKTCSYTVCRPVRETVMKECRYTVCRPVQTTTMKTVCKTVCQTVQ ETAYKDVCETVCVPKTVCKQVTRECGRWVEQAYTVPGKTVCVNGCLVQCPPTTCVKKV WCPQTVVENVAETVYEKQQIRKQVPVTVCKQVPTTVTEQVPVTTCTMVAEEVVKQVPV TVCKTVQETVSKQVPYTVCEYVPQEVVKQVPVTVCRMVQETCTKTVPYTVCTTEARTV NRCVPVSVTKMCAKTAYRQDPYTVTTYVPETQTKQVPCTVTRAVKEVQYRQVPCTVTR MVPQTCVKRVPVTVCRMVTEQCVKQIPVTTCRMVSETRTCQVPVTTCRTVAEPCVKKV CQTVCETVTQTCTKIVPQTVCEMQAVQCVRKVPYTTCQQVVESQVVHCPVTVPKQVTE MKSVCVPKTVCKQVPVEVCVKVPVVVHCEPAVLPSSQSVLATAQSVPLTTVPPCDPCD AKHPLFARKLGFLHH OJF2_RS29365 MEAVDMRIRVADLATLVASAAVSMAVLRLHPWIGIVIAVTLTLG WARCIAIGARIEGLRGTPWGRRLIYFDSLVVAFTIVLLGLVTAVVSVVVANVVAGLIR DQPRLDPGRLAEAIVLGLVAVTTARLSGRYIHRSWSPVESRPREIQARPSAGGPNLPR PSK OJF2_RS29370 MAKRPSLDDRLAELRRLRDRDPSPELTEELRKAIGDRSNLIAAT AADIAGERTITELANALEAAFTRFMADPLKNDKLCRAKIAIVKALDRMEHGAEDVFLA AAKHIQREPVWGGTEDTAAPLRAAAILALTRTNAIGLMTILVESLVDPEKDVRAAAAQ ALGAVGSEAATLLLRLKIRVGDGEPEVLSECFSGILACDPAAGPDIVREYLASGHMPT LEAAALALGRSRLPGAYEALRERWQQPILPLPVKETLLLALGMLRLPVATDFLLDVVA DEPVPVALGALTGLHIQAHDPRLRSRIEEVVARRKAPELLARFARDFSA OJF2_RS29375 MIRAARYSPWLALAALTLGTANGAEPVRLVVDASRVERPFRAIH GVNNGPIDVGGTVDLSGGYRELGIPSVRLHDTHWPTPDVVDMHVVFPDATADPSRPQA YDFAATDEYLKAVRATGARVVYRLGESIEHHPRKVHVHPPADPERWAAACLGVIRHVN DGWAGGTHLAIDDWEIWNEPDNRPNQWTGSDEDYFRLYATTARAIRREFPSLRVGGPA VGNVGTLQGGRFVPTPFVRRFLEECRRREAPLDFFSWHLYTPDPEAFGAMARAIRDML DRLGFARTSISLTEWNYLPDGRWAEFQPSGQGLARERFFDRIGGAEGATFALASLLAM QDAPIDTAHYYAGDTNPFGLYTLHAVPKKTHRAYRAFRELIRHPSRLACSGGVPSHVA IAASSREDRDEIVVLVANLDARGTPAALRVDGLAWGDRAGAVVRMLDSSHDFDAPSAP VRLDKGSITLELPASSIAWMTIAPMGR OJF2_RS29380 MRRTLLMLFAACGVARAADRQPIVVEDFDDPRVSRSVWVVNIPD DNASVRLTGEGPHQGKSCLELRYRFVATGNFQYLGVPIAVKILAPIHRLRYWVHGDGS GCSYGVQITDARGETHQFSRNTGQGGTLDPRGWREVVVPIDEGHETWGGDKDGKLDYP ITGITFTVGQPKYGEKLRAAEGTIRFDAMSVESDKGAAETLGARVDVVSPEYGSDVKG DTKVVVSAPGFETLMVKCWQAGAGHGEDSAVATVALDAKGGGSFLFPADRYPHGPITV RIRGEAGGLKDNCYLQLYNRGGISWREGIPSGPPPAAEGLRLIFADDFHGELSVSGTD PKATYYDHKPPNGYQDFSVHTFSDFHSPKNPFRQVDGYLRIRADDRTHASGLLSSIKN DGSGIKARVPCYFEARLFGPNAVGTWPGFWLMTDYMTDFKAKGDKAPVDELDIIEAYG GEGHGSPNAFDSYMVTPHCWNQGEEGKAMEKKAFEGLRNPIKMGKFGIPSAWFEAFHT YGCKITEVDTVYYCDDVEVGRHPTFPVSRANPHFFMINLATGGGWPVDLSRYHGIADM YIDYIRVYGR OJF2_RS29385 MSQTESSHASPVLVLGSRNRKKCAEMADLIRPAWEAAGALGRLD IRSLDGYPQAPEVEETADTFAGNARKKASELASALGVWVLADDSGLSVDALGGAPGVL SARYAGEPCDDAANNRKLLEALATVPEERRGAAFRCALAVADPSGAIRLESEAACRGR IVGELRGPRGFGYDPLFLIPEYHRTFGELSPLVKHQLSHRSRAFARLRPELRALVASL PTTG OJF2_RS29390 MNKPIAALAVLGTMAACPAWAQTGYPASGPGAQPGAPFVSGGGE APAQGAADVAQGHVFPNASMLPEPAKEDELKAHAVQLPDEPVEPYLLTKDAGPFMVLA KTFRGPDSQKLALALAKELRAKYNLPAYILRTKDFPGFSNIRGVPPTADPAVVQANVK SPEKYRTFDEAAVLVGNEKTEKDSVALLHRVKKIKPDCLNGMPKFFAWREGLSTAIRT TNPYVPAQHLYQHKHDELVEKMNRGPRSVANCPGRYSLQVAQFSGRSTFNVNDKTFKD EWFRSNLKKSPLATAHDDAETLADKLARDPDVRKLNQPVFVYHDRTSSRVFIGAFSEP RDPVAGDLRDELLKLAVPMLDTKRSNGGMDKMIVPASMLTDLKPIKQGLEN OJF2_RS29395 MLRLAIAFAVIALIAGLFGFYGLSDVSASIAKFFALIFAVLFVV ALIAGARIFGGPQAV OJF2_RS29400 MAINVQGLQGRWNQLKGEVKKQWGQLTDDDLNWSGGNIDHLVGR IQQRTGESRENIEKYFDRLMSEGSSTVSKAVEQVGSYAQDMSHRLRDHYGDVAEQARE GYYQAREYVGQAPMQWVAVAFGVGLLAGMLMGGSFSHQQQSRSRFWS OJF2_RS29405 MSGRRKVAIGLVGFGSLIVAAMATGVRAVKPGERVVVRRFGRVV RPGWGPGIHVGLPLGLDRFESVRTDEVRRVSVGTGPSASVAVPDPAAGEFLTGDLNLA HAQAVVQYRVARPEEWLARAQDPERLLRSRAESALARALARRGIDPILRDDRPAISRE VAGALGRAAIEVGVEVLGVSLTEARPPAEVAEDFLAADSAQSRRDGRVTEATTLAGTT ATRARAEADAVVERARSSAERRQVAARAEAGRFLAMLEAARLDRPLTIRRLYLDAMAE FLPRAGRKVIVPADGSVDLGILGDTAGASVPR OJF2_RS29410 MSPRSNWRRALPSAGLAILATMALASACVVAVDESEFVLVTSFG DVAAVHDGGAGASGLHAKRPWQSALRVDGRLRVYDPPAREVMTGDKRSLDVACYVVYR VADPVRFLRGSGTLDHAEARLEERVSAVLSGAIGRRELADLAGVDSSRRGADAVAEEV LSAIAPAARADLGLEVTDLGLRRFNFPLEVRPAVFELIRSERRQVAARLRAEGEAQYT TITSRAERAREATIAQAEGEAERIRGRAEADATRILNEAHGRDPKFYELLRSLESYRA LLDPRATVVLSANSPLVRLLVQGPEEASAPPDPSPDGRPRRATP OJF2_RS29415 MLDPREAGLYLQGRGRLSPAGSSAAEPRRPPMHREYQPDELSPL DADPFSAARRDREGGGDRGPYLFTAILGLLLGLDLGRDAIGLGPPPFLPAGLSLTHVA AVLGAVRIVYGALEALVHRRIGADFALAQACLAALVLGQPFVATEVVFIALVGEVLEA FTFSRTRKALGRLVGQAPRTARVVRDGREQEVPARDVAVGELAIIREGERIPVDGAIE EGRSTVDQSAINGESIPADKGPGDAVFAGTLNQYGVIRVRAERVGRESTFGQVVRLVS EARRRKAEVERLADRLARYFLPAVELAAILTLAAGYLRGWPDVWSRTVAVLVVACPCA LVLATPAAMLASMAWLARRGVLIKGGYALERLAACDTFAFDKTGTLTEGRPRVASIVP LGGLDEAGLLGMAAAAEQGSRHPLALAVVAGARTRGIEPGPAGDVGVLPGAGVEATVP AGAGAGSGRILVGNRRLLAARGVALGEDVESNLRELDGRGETPLLVARDGAAVGLIGL RDAIRPEAHEAIHELKHLKVGEFAVLTGDRETVARGVARRLHIETVLAERMPADKAAW IRERQQAGRRVAMVGDGINDAPALAQADAGLAIGGMGADLAAEAGDFALMGDALRVLP ELVELSRATVRVIRQNIVGFAFGLNGVAMLTASLGLLGPVAAAVLHQAGSLLVLLNAM RLLAFGGWGDLPPVRGLRALGQRIGRLDDRIDPEAARRWLIGRRRPILGAALGLAAMA YAAGGISRIGPGEVGLVSRFGGYRGALGPGFHWRWPPPIERVVAVEPEKVRGLEIGFR GASAGEDAEAFEESGGDGLLLTGDGQLLELSATVQYAIDARRADAARTFALGIDRPEA VIASIAEASVRKVVSRRRLLDLLTSGRLEAEKAAAAEMAPHAGRLGARIDAVLFRAIR PPAAVLDAYRDVSRAESDRRRRAIEAEARASERITLAGAAASTTVGAAEALREASLAR AGGRADAFVYELEARRSAPGLSDFRRYWEAISEALADRPKLILAAPSPKPQRLFLTAP PPPPLAPSAATAGDDPSPPQPTASGGHR OJF2_RS29420 MGRDDRRGFFRGGELGRLLLLAAIMVVGWAMVWQYGHARPQAEE PTPRAGAIPEPVAPDKATEFESVSDRTPLGFRDNAAYAYLLRKARDLSPAELAARARR DILLTHLWERPELYRGVPVHILGTARRTLRYESKLSRTGWLYETWVVTPDERKVPYCC VSEEVREGFPLGANVAETVVFNGYFLKIMKYEAADTARGSPLLVGKVGWDAPATGAGG AAAPAIPGGAMPPRLFWTLAALGVIFLLTLGRWARQLRQSFGSRPRASSGPRPTEDIQ PEDLEDWVNNQARADGEGWDEDRD OJF2_RS29425 MRPRRARRPKIDEPNVPVAPMLDMAFQLLTFFVLTYRQAPQEGQ FIMSLLPPSPATAMAAAPAAAESAPASSDLPASLRTLPTTLRAGDGGRLAQVVVETTE IPSDNKQALVQALLRYLQDPDLPFDQTLIKVDPNLKYSELMTVIDAFATAFEQAKKDP KISFDELDPNEGG OJF2_RS29430 MSGSVSSEVHAEPNLTPLLDVVFQLITFFMLVINFTSDNYDQRV HLPLAGSARPVEDTQRVSEDRVVLNIDREGHLLVGGEVQTINEAIATIKHQADLVRIN LKAAGIKYDQGLPTTIVFRADKDATFSTVNGLLKACQNQGFRKFALKAMKG OJF2_RS29435 MRQTSDLRPAGAGDRAWFLFLTLACLCAWLAAGRSGLAAPQEPA KGEAPAAKADDAAKADGAAAKPAAPAAEGEASKPSAAPANLTDDGSRPPARENMLQWA IRASGPIGLFLLCLSIYFTALVIRLFMEYRVSEAVPAPLVERLEAAIRDKKFQEAYDV CKDNDSFLARLVRTGIANLPNGRQEAKDSMLAMSDEIVTTLEMKISYLATIGTLGPMI GLVGTVWGMIMSFQTIATAGGEQPRPEKVAEGISTALFITLEGISLSVPAIFFFAFFR NRVAQMAMEANRVADRTISSLVAAAKTTKPAA OJF2_RS29440 MLRPAGLALAFALLPLSLPASAQSPPTYPLWPDAKTGPSPEVGD ANHAGDVPTLTAFLAPKEKATGAAMLICPGGGYGFLATDHEGKQVAEWLNSLGISAFM LKYRLAPKYHHPAPLDDARRAIRMIRFRAKEWEVDPGRVGVLGFSAGGHLASTLATHY RDAHEVPGDAINGFTERPDVAVLVYPVISLNTDFAHRGSAVNLLGKDAKPEDLAALSN ETQVTDNTPPTFLVHTLADTGVPVENSLAFAAALRKHKVPFELHVFEKGKHGLGMGKG APDLGVPGEPAFQAWPALCATWLKSRGFLDRK OJF2_RS39550 MEATTIGQAAAPAPTRRGRITLADYESWIDAGDIEEGAPIELFE GRLVRKMTKGRRHSAASTKGRQAIERVLPGGWHVGAETPVRMPASEGMPEPDLSVVRG VADDYLERAPGPEDVALVVEIADSSLAEDRRRAAVYLAEGYPAYWIINVRDRRLEVFR RDAAPEVLAEGDEAELVLDGAVVARVAIADLLPRE OJF2_RS29450 MTPLLALSCCWMLAAPAVADDPPRPAAAASDPVSALEDAVAAAI ARAEPSVVAIHRDKAERPEEVVIRGRPRLPYERPGGVRFAMDPIQGDVISFDFGSGVV VGGAGQILTAYHVVKGAGRLVVRAAMRQQFEAEILAADPRSDLAVIAPIAIAGVPSPK LRPIPMGDASKLRKGSFLVALGNSFNAARKDGKPSASFGILSNVARRIDEEEDLSGVS ARRSSFLNFPTLLQLDSKLNQGMSGGAVVNLKGELVGLTTMAASPAGFDNMAGYAMPM DRMGRRAVEILKQGKEIEYGLLGVRPPRDRSNRVDSVSPNSPAGQGDLQANDEILAVD DEPILDFDDLILSINARSPGDRVRLRIRRGNEELARSLVLAKFPVDPEAIATVRPAAW RGLRVDYQSTALVTTRLPFAEPSGPGVLVSEVEDGSPADKAGLKKLQVIRQVDGNPVT NPAEFAAAVAGTKGEVSLTTDSGPVTVPE OJF2_RS29455 MKTDELREAYLDFFASKGCVRRASDVLVPRDDPTVLFTPAGMNQ FKREFMGLGDPSFRKAATCQKCIRTGDIENVGKTPRHMTFFEMLGNFSFGDYFKRDAI HWAWEFLTKTLSIPRERLTFTVYLDDDEAYDIWHKEVGVPAERIKRMGEDDNFWPAGA PTHGPNGVCGPCSEIFYHGDGIEEVEIWNLVFTQFNRVGPGQLEPLPQKNIDTGMGLE RAAAALQGVPSNFEIDIFRPMVAAAADVLGIDYAKVKDTLDGVRIRRAADHARALTFC IHENVQPGPEKQGYVIRRLLRRAVLDAYQMGQREPFLHKLPPVIAEAMQAGYPELKDS VPRIQNVIREEEERFLRNLENGIGRLGETFRKTRAGGSDVIAGADAFDLHSTYGIPVE VTESLATDQNLRVDMEGFKKAQEEFSKISRGTAEAADVFALSPLDTLKEAYHHGSEFL GYEGTEAEGKVIGILEQNALAQSARETPGGPPIALILDRSPFYAESGGQVGDVGTIRG EGFEFTVEDTKKDRDFILHIGRVAKGEVDLNATATATVDASRRQAIRRAHSATHLLHR ALHEHLGKHAQQAGSKVEPDRLRFDFANPEAVGAERLEKIENTVNQLVMTGSAVNWKH MPIAEAKALGAMALFGEKYPDVVRVVQMGDFSKELCGGTHLDNVGQVGLFKILGEESV AAGTRRITALTGQAALDFVNEEEDVLAQAAAALRVPQAQVGARIAALLEEIKTLKKQA TQRKAEPTEKVSVDELVAGARPVNSATVVVRAVPGANPDEMRVLIDGLRRKVKDGLAV LLLSEAEGKVSLVAGLSKDLVDKGLHAGNWLKKVAPVVGGGGGGRPDLAQAGGKDPAK IPDAIEAALQAIEASLGA OJF2_RS29460 MRYVALLRGVNLGRRQVKMEQLRGVISAMGFSDVSTYIASGNVL FTTSGRTDASAMERRIEAELEGAFGFPIETMLRTRHEVAEAAGFRPFPGGEMEEPGNT VHVGFLRTHLSDEVAERLVAFGTEKDEFRVRGREFFWLCRGKTTDSLVKWSKVEKAVG VTSTMRNIKTVRKLAELCGDGHTR OJF2_RS29465 MNCFQAKTGQLARQWFVIDASNQVVGRLAAQIAPILMGKHRPTY TPHIDTGDYVIVTNVDKVVFSGNKWREKTYQRYSGYPGGQREEAAWKLFERRPERILE LAIQRMMPKSKLGRHMMAKLKLVVGPNHTHQAQQPITLEPLAGRPASAGALFAPEVPA PGSRKRKRAEKAGEAKGAPAAETATATATQPEAQLEAPAAEPQLEAPATETHHEAPAP ESTDSATPPPQEG OJF2_RS29470 MAVATEKKTFIWGTGRRKTAVARVRICEGTGQFQVNGQDANVYF PLEVQQTDIRAPLKATEMGDRIDVIVKVGGSSKPSQSGAVMQGLARALKEFRPDLIEA LRDGGYLTRDARMVERKKFGHKKARKSFQFSKR OJF2_RS29475 MSPDATAPTGSLAERAWRRATSIWLWPWHWPGREARRALPKPRL ADLPAHATVSFWRREAGRRILLGFSMVAVVMTYGVSGYMAMGWSFMDAFYQVFITISA VGLTEVHPLDSTILRVHTMATISMGLFAVGYTVGGFISLLTEGEFQKFLGQQRIMKSI ANLTGHTIVAGYGRVGAMVCEGLAGAGMPFVVIEQDPARAAEMQTRGFTYILGDATDE GVLRDAGVGRAAVLVTSMPGDAANVFITLTARELCPNIDIIARAEQPSTQKKLRQAGA NHVIMPAAIGAHRIVSLLTNPTAVEFVELVTQRSSLQIEMDDIPIVEGSPLAGLSLRD ADVGRLTGVIVIAIKNRDGHLIFPARGDEVIGPGDSLVILGKRSNLDQFREKFLS OJF2_RS29480 MDFGLSESQRRWYDEAVRFGREELNDPEATAREQRGEFWREGYE RCGRFGVLGLPVPAEYGGKGQDIPTAVSAMEGLGYSCVDTGLIFALNASLWTITMPIL AFGTEAQKARYLPPLCDGRSFGANGASEPEAGSDIFSMTTRAERKGDRWILNGRKVWI TGGPIADTFLIFATTDPTKGVLGITAFLIERGTPGFRVVREIPKLGMRTAPMGELVFE GVELPAENLLGREGRGSRIFNAALEWERGAILASVVGTMQRQLDRCIKRARARKQFGQ SIGKFQSVSNRIVDMMTRLETARWMVHRYAWLKQQDKDASIASSMAKLHVSECFVQNS LDAIRIFGASGYTVEEGLERDLRDGVGGVLFSGTNDIQRNIISQHLRL OJF2_RS29485 MTGLMVGPFVTSADEAREAIYGILEASLTHPDGGVWGQLVLADA QRRAIAAADLLREIAMSVSYPRLEGEGPARDLDLRALMVDLCQPLPQLKAGYERVICT KKPRPGCSPFALDHRRGLTERKAREALEALKGLYLSFEDFDAAEGRRRADHVACEIGF MRWLIGKGRVASLLCPFDPHAGEYAARCGLAQRDFFGNHLASWLVPFATGLQRNARSG YFETLGRFLAALAPFERHHLGIPAEAGAAPSRGRRRRISMAS OJF2_RS29490 MNPENVGVVRGERPFEVEAVRADFPSLHQEVHPGRPLIYFDSAA TSLKPDSVVQALDAYMAVYPSNVHRGLHVLSERATEAFEDAREAVASFLGVEDSARVI FTRGTTESINAVAMSWARSALQPGDAILLSELEHHSNLVPWQMVAKEKGLELRFAEIT DDARLEMDAIEAALTDRVRLIAVTAMSNVTGTLPPLADIMDLARRRGIRVLIDAAQGL PHGDLDIRRLDPDFVAFSGHKILGPTGVGVLYAKRELLEAMPPFMTGGSMVVRVTRDS AEWNDLPWKFEAGTPPIGEAIALAAALRYLAAFPADQVAAHERSLLEHAHEVLGRIPG LRIVGPTDPAKKGPIASFTMEEAHPHDLAQLLDRHGVAIRAGHHCTMPLHTRLGIPAS ARASFSLYNTTDEIDRLGEALESIRAMFRRRASKPTPAAARQTSPAH OJF2_RS29495 MDDDPLYREEILDHYYGSAHRGRLESPQLCCDLDNPLCGDKVHI EMSLGDDGRVDQVRFEGSGCVISQAATSLLADRCEGATLDEVRAISPADVVKLLGVPL SPTRMKCGLLGWKAIQTAVEQGRS OJF2_RS29500 MSRMLLASLACLLLPSTGLADPIFLLKGQSAAVDSNTNGTYAVQ GGTLSILQGARILSAAAAYDGAIAMSGGRVDAGIEVSGGTFQASGGSSTGFDSTAYGG DGLSVSSTASILGGTFTGGNSGGQAGSGVVGSAGEANGLPFVSNLDIRGGTFAGGTGS GGYYGGTTGYSLLSLGDATVTGGHFLSPIAINAAFGGVTNFLGKSLSYDPATSVLSGT LADGDLINVHVYLSGGYATVSPDGTQVRFAASTSSPTDPGTGAGSGSGTGSGSTGGTG TGSNPAPPPVPEPGTFTIFGVMALAAMTCGRHRHRRKSETAG OJF2_RS29505 MNGADGTSGGEAFAYSIRPARVEDAEALVNLVRELAVYEKLEQF AVATADDFRRHLFGPRPYAETFVAEVAGEVIGLAMAFPTFSTFRGKPGLYLEDLYVRP QHRGRGIGKALLATLAKLTRERGFGRLEWAVLDWNAPSIGFYRSLGARPMDEWTVFRI DDGALEQLASLAPELK OJF2_RS29510 MNAPFQPHILDLAGYVPGEQPQGGGFVKLNTNENPYPPSPRVIA ALGEAINERLRLYPDPLATEFCRTAAALHGVSPDMVMAGNGSDDVLTVLTRAFVGPGE LAAYPSPSYLLYSTLIRLQNGTEHVVPFSAGWKLRAEDIVRPGLKLVYLANPNSPSGT ALSRQEVADLAAAIPCPFIVDEAYADFADDHAIPLVRDFPNVIVCRTFSKGYSLAGIR LGYLIARPEVVEQLVKVKDSYNCDALSLAAGTAALKDREYHDQVRDRVIATRTRLTEA LRGMGYTVPDSQANFVWATDGPPAEPTFARLKERRILVRLMKYPGLQPGLRISIGTDA EIDRLLEVMREPG OJF2_RS29515 MNDVHRTAEIARKTGETDIRLTLDLDGQGRGEIATGVGFLDHML ELFTRHALVDLTVACKGDTRVDDHHSTEDVGICLGQAIDRALGNRAGIRRYGHCILPM DETLATCAVDMGGRPYFAWDAAFPTAKVGTFDTELVVDFWQAVCTQGRMNLHVLLHYG RNSHHISEAIFKGLARAIRAAAEPDPRSAAVPSTKGVL OJF2_RS29520 MAAGGAGTGVAARRPRLGSLVNAGLVALAFALLGLVLYQNREKI QQVFSHPLDYRLLALGVLIFQTSLILTYLRWFLLVRVIEPRFTLRSTMLLGFIGYVFN LVIPGAVGGDLIKAAYLVRMHIRKTQAVASMVIDRIVGLLGLFVLAAIAGGVFWSSAP VEIRRLIVAAWIATAAGFGLLAVILFQLVSRLVPGFGAAKPGHAHGRLAGVATELTAM STTYRNRLDVVAAGVLLSVVGHALNVLAFYLMSRMLFPDNLPTTLGQHYLMVPLTLFT MVVPLPFGALGLSEGVGDQIGNLVGHPGGALAMLGFRVLMYACGLISACVYLANLREV RGLSAEAHHIEEELEEGEIDDDEAAAAPPTA OJF2_RS29525 MRTPSLLLLAVGLSCGIGAPDALAARPGTPGGTGSDKTPDLERV AKLLLESTNAFRKEQGRGELKNEEKLASTARDFARFLAENDKFGHEADGSNPSERVKK HGYDYCALAENIAYEFNTEGFASEELARNLVENWKNSPGHRKNMLDPDATESGMAVAH SEKSDKYYAVQVFGRPRSAAFSFQVANESGEKFDYKMDGEAYSLEPQYTRTHEVCRPG QLEFPWAEAVGKAETFTPAKDDRFVVGKKDGKLIVIRKAAEKKDAPTPAAEGTKAEAE GPTP OJF2_RS29530 MPRLLSQLFQRKPVGELIRELNAGDRLHRRLGPIELMSLGVGAT IGTGLYVSTGIVARDIAGPSIMLSFLLAALGCGFAAMCYSELASMVPVAGSAYTYAYA TLGELVAWIIGWDLILEYAIGSCYVANSWSNYFDSFLQHVFHIRLDPRFLSPPWDFDF RTESFVEQIVTLADGSRVMAWFNLPAVAITALITVVLVVGIKESAGFNAAMVLVNVGV VLTVIGVGAAYVNPDNWHPFLHEETKSRGIALGAARIFIAYIGFDSISTHAEEARRPQ RDLALGILGALLVCTILYVGTAAVLTGMIPYKDIDKAAPLASALQSKGLTFAGGLVTL GILAGMTSSLLVGNLSQPRVLMAMARDGLLPTSFFADVHPRFKTPWKSTILVGLVVAL GGALAPLSFLADLVSIGTLFAFVIVSAAVWILRVTDPGVERPFRVPFAPFVSAMGVLV NGGLMFSLGRDNWIRLFAWLAVGLCIYFLYSRRHSLLAGRLLAETGGTVAAEVPEPVS PD OJF2_RS41275 MKSPPRILLLAAILLPFEARAEVIRAETGFPTTVAVQPGPLLEC RLGTVQAGRTYRFGASIAAPADTDASLHVNLRIAGVSVPGKSLHAGDPDVSIHVRAQA SGPAEVRLRRKVGGGPNPREVRLDWAEVPLADDERAAIEAEPNNSWQEANPLRLGRVV YGSGDDVDYLANPSEGRTGIDWFRFEVAGDRPVLATFHLDLLDRDISANMRVYTLDPR SREPVPYLAGKDPTEIVHDRERERYSTFLTRTLARGTYYLEVNANHPAYVLRTRTFPT PPYEDPKQAVEAGLHYILGAGDAWFAQVPRAGNIYARADNIHDTGTRCTGCHASSFPL EAGLAAHRSGYAIRDRDAFQYLADRLASSVTPLYGDDGLYWQRYIASPLQSQGLQGGL VADIEREVTGRQTVAAGRFAPFLKAAWLDRKELPEDEQNAVVPVDSKFGAAWRDWRVL KDAARRTGREEYAKAAANLAAVVCSQRSDLRAENLQDRINRLIAWSLMDREGSAGKIR RESNRLIALQNSDGGWHESSDGPGPSAVYATGQLVDALLTAGLPPDHPAIARALRYLL SQQQGFGGWFQTTTHENFRTPMRETLHAVTALARAYPAKGGPLRSWGNRDGGPARPPR ADSIVHTLDDLEGLWDVPEADCPRLAREVAVLLGHPEPMVRAAAATCLGRIGRGESAA PLAKSLGDPSKVVWRAAAWSLRRIGNGGEGLDEIRRVLASPEPAERRGAARIFAYQFA GLDDHLDLGDRLVELTRDPDLWTRLQAVKSLRQWFYRTNDDRFRRRIVDAFLERMGEP VDPVIRKNLVEGLYIMLDENLGGGISLQHNLAVLPSDIRSRVLKARADLERDVLLTPI LGVLERGDARQRSAVLAAFDGSFLGGRDYARQPEAALDIGNDREFGFLYEVPTEPLDR AFAAILGDATLDPISRRRAIQLCRFFKLPGRTANEAIQSRLLAALWDGDSGVREAARD AVGRDLALAGAEDDPARIASLCAVLAPGKSSDVRSPALAAIGRNARLASRPEIRRAIH ALVGDAGASADLLPVIGQADFTADERLAVIDRGWPRLAAPRRIEALDVLLALSGETDR PEPTPRALSILRRAAADPSAEVREHLIEGIGRRPTLRAGAAGASLLLGALADDEPAIR RLGLALTSSSTAFWDRPDAQEHLARLLLDPDAAVRSDALDLTRHHRLAARVPAIAARV KALMADAALAPRAEAILRAAGLEPDRVRADANLAALREPNLEAFRRRINPLLYRPSAD GQSCASCHGSHAVLRVAPVAPGKEPRPEEVLVNYRSALKVINVGRPESSLLLRKPRSP VGAGLPDASSPTGLTHAGGTRWEGPDDEAYRSILDWIRNPKRP OJF2_RS29540 MTRRREHFLIKSCWRMARNARKAGVPFGAVWAHISQVVERTMQR MRTEQERETFVAIMQRLRDELGRECGVATMRKAG OJF2_RS29545 MSANAPTPPLSNKERVKIPRQHMPEQDAGLRRSNFEEVNQGLTV LGATTEALRCLQCASPKCMAGCPVGVKVKDFVELIVEGDYLRAAAKIREDNILPAITG RVCPQETQCEGCCILGNKFEPLGIGYLERFVADYERQSGQLGLPDRAPPTGKKVAIVG SGPAGLSAAGDLVRMGHAVTVFEALHEIGGVLIYGIPEFRLPKEIVRREVDVMRAMGV DFQTNVVIGKTVTIDELMADEGYDAVLVATGAGLPKFLGVPGEHLNGVYSANEFLTRV NLMRANEFPEYDEPVFDVRGRDIAVVGGGNTAMDAVRTAARLGAKTSYLIYRRSEAEM PARAEEAKHAKEEGITFLCLTNPVAFLGEEDGFVRSVRCMKMELGEPDASGRRSPRPI PDSEFELPIQMAIIALGTEANPLVQSTTPDLKTTRKGYIAADPETLRTSKKGVFAGGD IVTGGATVILAMGAGRKAAASIADYLNAGTWDQPQGA OJF2_RS29550 MFLIVAARFLAPDVKRFTIEAPRVAKKRKAGQFVILRLHAHGER IPLTIADSDPDAGTITIIVQGVGKTTKLLNMLEAGDAVLDLVGPLGRPSEIERFGTAV VIGGGVGAAIAYPTAKALREAGNHVIAIVGARSRELVILEDEIRAISDELLITTDDGT YGTMGFVTDQLRGIIESGRRVDYVLAIGPPRMMQAVAEATRARGIRTVVSLNSLMVDG TGMCGGCRILTTGGARFACVDGPEFDAHGVDFDLLVQRNRKYARQEAESLRRFLEHPE RDLGLVRESCRLEQQHPEVRCEARPS OJF2_RS41280 MEGLERRALLAAAAPGLPLLVKLDTLSPASLTAWLADKGVSVSA TDLPQVMAVSGPDASLTGMAPWLRGAPGLGYVERSSAMSVDQVPNDPSYASNSLWGLN GAYGIGAPAAWNVTTGSTAVVVADIDTGADYNHPDLYQNIWINNAEIPASRLKNLKDE DGDGRITFYDLNYATPDGSHPNQGAGKITDINGDGRIDGADLLAPMKKNADGTDAGSG GWADGISQDGDTSHVDDLIGWNFVTDTNDPFDDNGHGTHTAGTIGAIGNNGTGVTGVN WNVQVMPLKFLDSSGNGSDLAAAEALRYAADHGARVSNNSYGGGDGGSTLGDTITYAA GKGDVFVAAAGNSGANTDSTANYPSGYANDNIIAVAAIDSSGARAGFSNYGATTVDLG APGVNILSTYPKSRYATMSGTSMATPHVTGTVALLLAVHPGWTYSQLIHQVLSTATPD ASLAGKTVTGGILNASAALGAPTTTSPFVGANTTARGNWQGAFGADGYSIPRGASALP SYAAVSTSGASLYTWSTTTTDARALSVPGSTSARLATCWYSPTSFTIDVNLTDGQAHK VSLYLLDWDSTSRSEQVQVVDASTGVALDARSASSFNGGTYLTWNLSGHVKFVVSRSA GANAVVSGLFFGGAPATSSPFVGANTTAKGNWQGAFGADGYSIPRGASALPSYAAVSP SGASLYTWSTTTTDARALSVPGSTSARLATCWYSPTSFTIDVNLTDGQAHKVSLYLLD WDSTSRSEQVQVVDASTGAVLDTRSASSFNGGTYLTWSLSGHVKFVVSRTGGDNAVVS GLFFG OJF2_RS29565 MGYRSLADCLRDLKRTGRLIEIDAEVDPHLELAAIQRRVYQAGG PALLFRRVRGTPFPAASNLFGTMERARFLFRDTLERVGRLVELKIDPSAAARAPWRFR GVPAALWTLRPRMVSRGPILDSLTTLRALPAIQSWPADGGPFVTLPQVYTEDPDRPGP ARSNLGMYRVQLSGNRYEPDREVGLHYQIHRGIGVHHAAAIRRGEPLRVNIIVGGPPA MAVAAVMPLPEGLSELAFAGALGGRRVAMVRVPGGLPMPAEADFVIRGTIDPNARKPE GPFGDHLGYYSLAHDFPYVRVEAVHHRRGAIWPFTTVGRPPQEDTTFGELIHELTGPI IPTVVAGARAVHAVDEAGVHPLLLAIASERYVPYAEVRRPQEILTVANALLGQGQLSL AKYLLIVAGDDDPSLDVRDIPAFLRHLLERIDWAEDLHFQTRTTIDTLDYSGHGLNAG SKVVMAAAGPRRRELPGDLPPGLRLPDGFGEPRVVLPGILAVRGPAFAPGADHLGAFC RDHPPDDPIRRFPLVVIADDSEFTARTVRNFLWVTFTRSNPAADIDGIDAFTEQKHWG CRGPLVIDARIKPHHAPPLVDDPDVERRVDALAAPGGPLHGIY OJF2_RS29570 MPSDSDPTSPEESGAPDGPPANDDRPDPLVREEPATLVARLIDA GEWPEVGLLERILAAGEGAVAPLLEVLRHPPEGDYGSMAVSHAIGLLGMMHVAEAVPA MVEAARRRWSEASDEASRTAAAFGSAAVAPLLEAIADPDVRGYRRLSLIESAFQAARD DPALHARVTEVAMGLFDQIAAEAREGEALADELVAEADRAANSGDAGDIHGATIEEID DVDDIEDIDDLEEFADEILLPDDQVSRQDRDRQEEMFNEVIPEEELAHLASCLLRNVG KSAASPIEAAFEVGLIDTSIIDRDDLDRPLPAVEPAPLDGWLEEYRSAYEEHLHYLAR VASRRHEPRHATDEPGRDSVDRGRPRAPKVEPTAPIRNTGPRVGRNDPCWCGSGKKFK KCHMSKDSPE OJF2_RS29575 MTGRAAPSPRVPGPERAPSRRRFLGASLAGSAALLSGRRGFAMA DDAPAQSPGDGPRAISGDHSEPDWKERYTLTVGARGGDLVGTTEKALQAAVDQVAARG GGTVRILPGTYRLRNAVYLPSGVRLLGSGAESVLIKEPSVRARLAASADWYDREITLA DARGFRVGDGVCLRAKNPNTGGPVVIKRTLVARDGNRFQLDRALRDNLWLEKGEPTAA TLFPLLSLEDASGVTIEDLALDGNRGANENLDGNYAGCIFAQDCSRLTFRRVLARNNN GDGLSWQICHDVLVQDCVSEDHAGLGLHPGSGSQRPIIRGNAVRRCEIGIFFCWGVRF GLAERNTIEDIRTAGVSLGHRDTDNVVRDNAIVRSGRVGVLFRDERREFAAHRNRIEN NRIADSGPADGIGIDVQGQTEGVAITGNEIRESRGPASRIGIRVGTSAKDVTLAGNRI EGFGRDLADQRGT OJF2_RS29580 MNGQDSLLIVGACTRAAAYSAARAGLVPVCVDFFADRDLAALCD LERAEPSEGVDGLRERAHRMPATDWIYTGPLENRPDVVAGLSARHRLRGNGPEVLHAA RDPARVAGLMERRGLPRAEVREGAAGLPRDGSWLIKPRASGGGLGIRRLDSDASPDAA SCYCQRFLAGDGYSALFLAGSPLTRLVGVSKQILGLPGSPFAYRGSIGPCEMPWSVVE TLRSMGRAMSEEFGLVGLFGVDFILHEGRPHPVEVNPRYTASVEVFELASGRSLLAEH VSACGGEGRGLRPRAGAAPVVGKLVLYAVEPVISPDIPVPGAGSCDPFAVPEVADIPW PGTAIAAGEPILTVFATGRDVEECGRGLRDAEEEWRRRLACRDMA OJF2_RS29585 MRKLLTLVAVGVLFSGMTILKAADEGKKITIEGDGMCAKCAKVD KEAKKCQNVVIVTKDGKKTTYYLTGKLSDESHKSMGFCGASKDEPVKVKVTGTCEKKD DKLVLTPTEKIEKVD OJF2_RS29590 MASEIVMNIGEALAGEGNEIAHIDLLIGKKDGPVGVAFANALAN QSAGHTNLLAVLTPNLVAKPATVMITKVTIKGMKQAVQMFGPAQYGVAKAVADCVAEG TIPAAQADELVIVCGVFIHPAADDNAKILKYNYEATKLSIERAMKNSPTPAEITAQKD KVEHPFAK OJF2_RS29595 MSAPAKPTILVQLDTDPQPSVFDGVVAVDSGVEHLFRHGGVTPE NVRDLVYGALFTRGAGDLSRTALFIGGSRVPAAEAVLEAVKKAFFGPFRVSVLFDANG SNTTAAAAVLAALEAGGGSLRGTRVVTLAATGPVGQRIGRLLGRLGASVAVGSRDLGR ARSLAEQLHATTDAEYVPFEMGRPEALAEQLESAAIVINSGPAGVQVLPASLWRSCKA LKALIDLNAVPPLGIEGVEATDKAKDRSGVLAWGALGVGGTKMKIHKKAIRQLFTSND HVLDAEEVLELGRSLD OJF2_RS29600 MLGNVQVGLPGPRPRAPRRRGARAIARRRGDWSPEWLEDRVLPS GLTYTVDRTTDTGAGSGTSGDLRYAITQANLNTGSTIQFAVTGTIGLGSPLPDIASDV AINGPGASRLTILGTGSAPILKINGFFTVGLSGVTIANGKAYGYGDGGAIDNIYGTLT VTACGFSGNTANTGGAIYNSGHLTVAASTFSTNTAYAFGAAISNDGTATVSTSTFAGN MANDGGGAISNRVNLDVSGCTFSGNSVGVIGRGGAIDNYFARVTIANSTFVNNSAALG GALDNYYATGAPGASHAIATLTDVTITGSSGGGIVNEGDTGGYLTLINSAVAGNNGGD AVGWVNGISSVNNLIGDGSQAVGISNGYNGNRVGTSSNPIDAKLGPLADNGGPTQTMA PLAGSPALGGGSGATTTDTDQRGVPRGRVLDIGAYQASATKVAVSGFPSPSAPGVPHS FTVRLTDPFGQPSLGFNGAVSLTSSDAAASLPTGQTLSAGQGTFTATLNTPGTQSITA ATGGLAGSQAGIVVSGASAAFIQQDTKTQGDWKQAYGVEGYSVIGDRSSLPSYAAVTP SGQSSYSWAASTADPRALQKASAPSDRVAACWYRTTSFALDVNLADGQAHRLTLYAVD WDSSARSERIDVTDASTGALLDSRTLSSFHGGAYLSWSVSGHVRFQVTRLAGANAVLS GIFLGGANAPPASSAAFLSTDTATKGNWSGIYGVEGYSVIGDRSSLPSYAAVTPSGQS SYTWAASTADPRALQKASAPSDRVAACWYRTTSFALDVNLADGQAHRLTLYAVDWDSS ARSERIDVTDASTGALLDSRTLSSFRGGAYLSWSVSGHVRFQVTRLAGANAVLSGLFL GGANAGQAGAGGSASMLAAGVRQQADRTDQPGLAGSAAPSPSATVCGAISPPPAWDNA IAALDDSAWPSKSRKRA OJF2_RS29605 MRRNRLVRRAAGTPLTPMRDGGEGDLVGTLLEAGTNRIAQESKA AGRAMPVIASGGYDVFSEQASGSKTLRKGLMLTPGEVTEVR OJF2_RS29610 MIAKHPRGTGRLVGMVVGAVACACLLVPAATALALDDQAGRDHL RVTPEMLTPPAARPADGFHAEGVEAIFYDGLPWKGKPTRVFAWVGMPSRAAGEKVPGI VLVHGGGGTAFDAWVRLWTGRGYAAIAMDTCGTVPRGTYANWERHEAGGPPGNRFEDS EAPAADQWPYHAVADVVLAHSLLRSRPEVDPGRIGITGISWGGYLTCIASGIDDRFRF AVPVYGCGFLGEDSVWKDEIAKLGPRGRRWLDLWDPSHYLPGGRMPKLWVTGTNDFAY PMDSLRKSCRSAGGRSTLCIRPRMPHGHGGAGENPEEIRAFADAVVRGGKPLVEITGQ SRRGDKVRVEYRGDAAVVKAELLSTKDDGRWTDRRWEAAGAAVDPAAHAATATLPPGA TTYFLNLHDDRGLLVSSEHVEVRGTSESAPVSGLP OJF2_RS29615 MVRVIGTDPGTSSLDLLLFDDGRVRDQRRFEPMELKANPEMLAD AILGWTPIDLVAAPSGYGLPLVRGDRIDEDELDQMSLVRPDERGQDRGVIGFRSWVRA FLATGLPTVFLPGGYHLPTIPAHRKVNAVDLGTADKVAVAALALEHDARLVGGYDRST FAVVEVGSAFTAALVVQGGRLVDASAGTRGPLGLRSGGVWDGEMACWRSPLSKQDLFR GGLDDLGPEGPAAFRESLRKAAAGLRAVTPFERIYLSGAGLDRDDVLGLALEALDCLG RVERLPSLPNAWVKHAAQGSALLADALAGGRHAALAGSLRLAAARGCVWDAVGRR OJF2_RS29620 MNAQLSGRRILLAALSTLAAAGIAGLGPARGQDKEAPKALGTIE RLDPRFDELVAKDAVVERIGEGFEWSEGPVWDRRNNCLYFSDIPRNRVMKWQPGKGVS VFLEKSGYSGAIPRGGEPGSNGLLIDNEGRLLLCQHGDRRVVRLEPDGSFRALASNYI NKPFNSPNDLVLKSNGDIYFTDPPYGLVQPAARELEFNGVFRISAADGSVAVLTKEMT FPNGLAFSPDEKTLYVANSDPKKAIWMKFPVKEDGTLGEGRLFADVTSSVASKKGLPD GMKVDEKGNLFATGPGGVLVFAPDGTHLGTFATGEATANCAFGEDGSTLFITADMYLG RVRLLTKGRVP OJF2_RS29625 MSDRGQTPCGGPSRRHFLRAAAGGAAAAAAMPGLAPAQEAVAPG RAVPTATLGRTGEKVTILGMGTSWAIAPSFVQAAIVSGVRYIDTSETYENTRAEKTLG DVLDRTGFRKDLYLVTKIAAYRKTMGTGTAKLFEDHLNASLSRLKTDHVDCYYLHGLA GNQIELLRDPGVKAAFEALRKQGKTRFFGLSCHDARLPEILEAAAECGWIDQVMFKYN FRDIGGKDRHDDLQRAIDKATKANLGLVAMKTQDGAGNFPDKMADLQAKGFKKEVAAV KTVWLDGRIQVAVSEMTTRSDLRENVAASRDKALTARDRTLLEEHRQRTAHLYCHGCG HLCETAAKGVPVATVLRYLRYYEVYGKRQEARALYQALPPEARALAASDLAAAADACP HGLPVVELVHRADRQMGLREEHRHARA OJF2_RS29630 MMLCRVVAMGLGIATVVIAGSPEGHAEDLQGEALARAAEAAARV EGRVDRAEAWLKIGEARVRRREPAAARAAFEAAAGVALQIHPREVAVRYNRSHPVILV AQAQAASGDREAAHATFLRGVEMLSAPDQFGNRPWDWGPIVRAQIQLEGRDAADSTIR RYRAYAEEGLARDRETLRSGVLKARGDFFEATRLRAWCGDYAGAVRDILNNEDFVGPL GDDPDSSRRFSLVHLLNDVPASDREAANPLLAAARKAVDDAGLPGRPVAGESCWQRAE QYCTIAVTLSRLGRFAEALETIAQAAGVPEPPPPNGGYRHTIARSYVLIAEDLRAAGD REGSLATLRKAIPFYSEPRGGRLTTSVANLTRGFLRLRAFDEARRLIEEAYPRWRRPT LESRTRLKLLESLADAQVDAGERAAAVVTLESALADAEMWRVGAVEIPENQRRFWSKP EPVILYAIAAARIRARLGEAVGARRLIESLKPGEPRDEGRRGFAVARAEAGDWTEALS MVRAIADPKIRDRAWIEVATVEPRPRPPLSRP OJF2_RS29635 MMKPDPATVVALQNLIVGKWLSQALSVAAKLGIADLLGEGPRVC DDLAQQSGADPSSLYRVLRALAGVGVFKEVESRRFELTPMAGLLRSGVPGSLRAVAIM AGEDWTWRPWGKLEHSVRTGERGFDAVFGMPPFEYLPANPEAAAVFDAAMTGWSMHNA AAVAQAYDFSGIGTLMDVGGGHGFLLATILKANPSLRGVLFEMPTVCEGARSLLAAEG LSDRTRVAAGSFFEPIGERADACILKSVIHDWDDAQSTTILRHCREAVGPRGRVLLAE MVIPPGNGPDFGKLLDLEMLVVAGGRERTEAEYRGLLAGAGLRMTRVVRTASPSCVIE SVVA OJF2_RS29640 MPTQGYADHLAFPELTDSEMASLAKMAKVCTFRHGEQVFPTGRR GVPLYVVESGAIAIMDESSAEPTTVVVHGPRAFTGDVSLLTDRPTIIAAYARGETRAF CVSQDDLRHVIQSVPELSDKLLEAFQMRRYLLERGGFVGVRVFGRVGDPDLTRIREFF DKNKVPHTWIDAESPVGRAAMTELEIGEDQLPFVACNRGTRSPRPTVTRLAECLGLKR KIRAEPFDLVIVGAGPAGLAAAVYGASEGLSTLVLDRFGPGGQAGTSSRIENYMGFPA GLTGADLANRGYLQALKFGAELVAPVEVQSMTCEGKAHRLVLDDGQVVRARTVLIATG ASYQRLPIEGCERWDGAGVYYSCTSVHARSCKEGRAVVVGGGNSAGQAAMFLAEHTAG VSLLLRGGDLRKNMSDYLARRIESHPKVEVLHHVQIETIDGEPHLKGVTVRCTKDGSR RPLDCSGVFVFIGAQPRTDWLPAEIAVDAKGFILTGADAANSDRWPLSHREPCTVETT CPGVFAAGDVRSNTTKRVAFAVGDGALAVTCAHRVLAEL OJF2_RS29645 MTRDVQTLFRTGISAGLDDGTLLGRFVESRDESAFEQLVRRHGP MVLAVCRRILANEHDAEEAFQAAFLVLALRGASVIPRGSVGHWLHGVATRTALKLRVA SARRRARERSAAVAIDAAVAPWDDRRELAGLLDEELARLPARYRAAVVLCDLEGQSRS QAASRLGWAEGTVASRLARGRKLLAGRLTRRGVAISAGGLAAWLAGEVGASTLIPMPR LAALRPPTASYALAAGVGGMAGRGLVLVAVLAAGLGVLGTASASRGTPPVRPAAARDA AGPPPANAGMPLPADMSKGLSEEVIAQLPAIEEPEERVWILLKLAILQEKAARLDDAR ATLLRAVAAADEAEIDHRRIDVAAACARLGLHRRAVSIVARIRSRDNRHQAAGAIAEA LAGDGGIAIADRIAGGLDEPWKSQALRIAAEKQADRTDIRGALAAARAIPEAYSRAVA LTRIAAAELHRNGVDAAEILKEARKVAAAIPVDGSGDGGPSVRAELAGVLASSGSVAD AKELASGIARAPWGDIARKNIAAAQAGRDETDEALETAGRIGDASWKGEALLSVVAAV ARKGELARARSIADTIPDELCRLRALVDVARAHAQARRTREADDLFQLVRRQITVVRG DRRSVGTATAATASLASALAESGESDVALRWIRDEKAPLVRAWGLFGVWEGLMGRTPE VPRSAPLPGDLGPGEDLAVAVAGALAPNPTTPAARKPSPSFRGKLILFGTIRDGRTSG STIEQMDPGGTGFETVLRPGDESTINSGRESPDGTRLAYNVARGRGDASREEIWLLTA AGDRRMLAAGGQVAAWSPDGTQLAVIRAKGRESRNVILDVASGRETPLPLPASDVVWD WSPAGTSLAVMGANPGRSFEHPTKGTYPLRQIDLFRPDGTGRIPLTSGPPLDSIAARF SPDGGRIAYEERRHVDGRVRHFAVVRDLAEGPPRDLFEFNQVYPGYADLRAHGQPCWS PDGGSAVWIVPRKRTPSSPRHPELVFARVATGKVDRLDLYDRGLDWVQAIDWR OJF2_RS29650 MNRARWSPALVLAAAVLACPPGALAWNPKGHRVVATIAYRQLDE PTRQKVAAILRQHPAYRKLWLDRATNGKDEVLNLFWNAATFPDDARQDPWKTYGVSKA HYVNYRILAEQGNKVEPPLKDVNIIDSYEEHLARIRDAGVGDEDRAVDLSWVFHQAGD IHMPLHAVARFSKALPEGDRGGNAVKIPNPRSTNDRFNNLHGYWDDLLGSDEDAGLAT QLADELVAGHPPAEFADALKKTTIRDWAEESVQLALSTVYNGLDPEMPNVAAAPDGYD AAAERLARRQVALAGYRLAEELKRLFPGP OJF2_RS29655 MSIRWLALAATVWFYPGPGKNTPDLEEEKAIAALLKLGPGAVRR DPTRGGHAVEVDLREVGVKDADLQWIRPLKFLRKLELRMNEITDAGLPALEGLSRLEE LDLGCTEVVGPGLKHLRGLGRLRFLGLKVTAIKEGGLAHVRRLEQLRKLTLGENELTD KDFQALAGMPLEELSLSDNTLTDASLDTIVSMPNLRALELVDCRGMTGKGFDKLKSMK GLVRLQLYGSAIGFPEMRAIVGIASLEEIDLSCTEVGDEAVALLKRLPGLKSLDLSGD GISDEGLTHLAALGGLTRLNLEGTYVTDAGMERLSGLKGLVDLDLSDNRIGDASLVHL KGLSNLRSLNLSSTRITNAGLGQLRTLTGLRKLRIDQTLVTQEGVRDLRKWLPGLLIS EHGEPLPGDEDWVGPPGP OJF2_RS29660 MKKVIVIGLDGLEPSIVDSMLARGELPNLARLRERGGVSRVATT TPAQTPVAWSTFATGLNPGGHGIFDFIKRDPKTYLPDLSLNRYESRGAFLPPKAVNLR GGTPVWELLGAAGVGSTILRCPGTYPPDALRGRMLAGMGVPDLRGGLGTTTFYTCDPA AAPRESENVVHLRPAADGTIETHVIGPRNPKDRTDLRFPFTIRPEPAARRVIIRSAGS PAELALREGEWSDWLYVKFKLGLLQSIRGMVRLHLVRVEPTVELYASPVNFDPEAPLF PISHPPAFAGDLAAAIGTYHTTGMVEDHTGLVNERLTEEAFLDQCDLAWREREAMMLL ELERFDQGLFYCLYDTTDRVQHLFWRYGEPDHPANKGRTPDPRFANVIADVYRRGDEV VGKALNYADDETLVIVLSDHGFGSFRRGVNVNTILHDMGLMALRDGARPGPDCRDFFH DVDWSRTKAYALGLGGIYLNLDGREGQGVVKPAEADSVRSAIVERLRGLVDPAHDATA INEVRTREQAYSGAHLGDAPDLLVDFAPGYRTSWGTSLGGLPEGHFEDNTKKWSGDHI IDPLLVPGVLLMNRPFRGESANLLDLAPTILAALGVPKGPAMEGKSLLP OJF2_RS29665 MILAYFGPETVMPVTSIIATAAAMVAMFGKGAFRLVFGGLRARF GRNRLGATASKAGIGIGTGSRPHFSHGHQAGHPHAIEAAAHADLDAAEEPAEDLAA OJF2_RS29670 MAEAIQGFTPETGSIGSPRLSRIAGAFLDGEQDDPARGVVASRL MPAGDEAAREAMPPLGEDRPDEPDEVCLAAFAQQSEDATTPSLDPCHIRPAAFDPRLS RRRPRVRPGSLARNATRRGTSAPGSTRLTC OJF2_RS29675 MDDLARIQADPRPARGRLRRLISGEGDSSPASAVGPKGLLLLAL WSGLVTGAVELTLVLLQRGLVARISLESLRTNRHVAWMIPAADLLIFGAAGLLFAGWE RRRPGRAQAPACFAIAAGFALAAFWSVEWLHSAAGVAIAAAVGVKTFGWLNEHAVRMA ALARRTLPWMGAGLIGLTIAVAASVASGERRSWAALPAAAKGRPNVLLIVMDDVRAES LSLHGNARPTAPRLEEIAGRGVRFDSARSAAPWTLPSHASMFTGQWPHNLSVDWTHGL DARQPTLAGVLAGEGYATAGFVANTYYCNARYGLDRGFARYEDYLENEAVSLFETVRS ASLGKGLLQLLGYSMRFTTADADTRKSAATINAHALDWVDHRPADRPFFLFLNYYDAH GPFLPPKDATRRFGLCGLSEREQVEILKRAHETLANPSASAEDKDRARQQVRDLKRDG YESCIAYLDDQVGRLIDGLRSRGVLDETLVIITSDHGEHFEERGFYGHGLSLYRREIH VPLVILPPSGEVGRRVVPEPVSLRDIPATVLEMAGLGGRSPFPGRPLTRCFRPGAGPP SGPGTAVLSEVGHQTTVAPTPGVPASLSSVQAVTTDHDVYMRNGDGREELYDRRADPD ETRNRLEGEAAAAGPLRGLLERAREE OJF2_RS29680 MDMSRDRSARSSGAAPGMGKPRTWRLLRVLLGLFLIWMLASIAG RFRVVETGREPIEDYDAFEGWQEYRVTNVLRLSWDGRVYELRRWTQQEKHSPLGD OJF2_RS29685 MAMRPGVLFVLLAGLAGGCSGEPAATAPPPLPKLSPNAPPDQPV QAKSQAQAEAHQAAIAPYVEQGRKTYPDAKGRYLAGLPADQHFFAVTNLHDTTGMSEQ VFVAVTGIHGGRITGRIASEILGVKGFRSGDVYSFPESELVDWLITHPDGSEEGNVVG KFLDEWQKTQPLK OJF2_RS39555 MGPAYQIPQAARHAARIPSPGRNGAPAAIPVSAQASDATDGATT PAMPVPASWTAALAVSAAQAALGGFEGTPGRPRIAIIAPRRAGSRRAMASRSRLRARW RRTWTVPYGQPRTRAASSWV OJF2_RS29695 MDGRELFERVWAVNDPRGHGGDGLGPVFNARSCVECHDRGGTGG GGLAGRNIDVATVGVPGDAGGGFFYSFSMNFGGDGFQYRFGYDPTAAGAAARSAGDRA AAAAAAAHPGFLESPSVVLHRFGVDPSYQAWRATVPGPHGTLNVAISQRNPTPLFGAG LIESIPDEAILAAARRRNSQSRGRVSRVEGGRIGRFGWKAQAATLAEFVRSAASGELG LEVPGRRQADDPRLPGGLSPGLDMDEADCEALTRFVRDLPRPVAFAPAAAKEAIDVEE GSRAFRSIGCAVCHLPRLGDVDGLYSDLLLHDMGPDLSDVGGYAVFGAGPLAAPAAAA PDPARADRPDTRAREWRTPPLWGLRDSGPYLHDGRAATVSQAILLHGGQGLPSAERFA RLSARRRRQVEAFLMTLSAPARPAG OJF2_RS41285 MAIAKDRTITRQLAALFDAGAIRALTDGQLLERYRRGDGEPAEL AFAALVERHGEMVLRVCRARLADPQDRQDAFQATFLVLIERARSLWVRDSLGPWLHQV ALRTASRARASAIRRRRLEGEAAEVAANREPHEAGVPPEVAAVLHEEIGRLPGRYRVP IVLCDLEGRTCEEAARLLGRPVGTIKSWRSRGRDLLRRRLIRAGLAPAIGIEAVIAAD IARASGSDATAGRMVRAAIRMGSEGSGVGIVPASVRTLSRGVARSMLRQQGGMILAGL LVAAGLGTGIAAAMQAGGDGARRPADAAAGAAHRRPAAPPPPDVPAAAAPGGETWPLS LRDAIRIGLENSEAVRVIEPDAKGGPAEGREAARPGAADGVSPLVIAPASPTGDLERF RSDVMGKVRRIAFEYWFLSAAHVRVWASERAVAEAKEIRDREQAELVAGKGVVGDVAE AAQRLEQFSLDLVTRTSDLMTHERQLRKLMGTPAADNRRIVPTTPPRHVEDPPALKRC LADLFANQPEVLRAKRLLTDGQGHRAEDLDGAAEALIPELADGAGGPAALHEAQALLE PFGAGSLALRQAIDQARGAVVRSRQEAEQKSRQFQNAARLRIAAGQRLDAQRAYHEER RITIDRILDAVSQYYDSVGKEADYRAQYAIAMDSLEADEGTLLKTAGIATAEAPRSEP APLHRQAIGAGRAAEAAERPRAGEDAPGTDTTGRTFSFHFTLKTGPRPIEVHGSFTVG PAR OJF2_RS29705 MDHVHHAAPGSFPWDLFEVFTPRRQCMNFEADVIWLHFVSDLLI AAAYFSIPIALVRVAGRRPGLRYNWMLNLFALFIVLCGTTHLFNVWALWQPLYRLDGL VKFVTGVVSAATAATLWRLIPKVLTVPTAAELARLAEERAEELRASEATLRAFYDSAP VLMGVVEPLPDGDLLHHYDNRAACAFFGVAPEGTQGRRASEMGGATAIIREWLPRGEE AGEPGRTSRFERGVETPGGTRWLVASVGPLGAARSGPARFCYVAEDVTERRAAGAALR AAEERFEFVRRSAGVGFWYCDLPFEELEWDDLVKGHYHLPRDARVTIEAFYERLHPDD RDATRRAIERSIAERSPYDVRHRTVAPGGTSEKWIRAMGRTWYDADGTPRRFDGVTID VTDEVRADEERARLLREVETERARLADVFQRAPSFMCVLRGTDHVFERANDHYLKLIG RRDVIGKPARLALPEVEGQGYFETLDRVYRTGQAVSGSGQSLRIARRPGEPPEELFVD FVYQPMREPDGSVGGIIVQGIDVTDRLRAEEALRGQERRFQQLAEFIPQLAWMARPDG YVFWHNQRWYEYTGARPEDVEGWGWRSVHDPALAPGILDRWRASIAAGRPFEMVFPLR GADGRFRRFLTRVAPFKDGDEIQLWFGTNTDIEDQLRGQDERERLLRQAEAAKEEAEA ANRMKDEFLAVLSHELRTPLNAIIGWARILRTPGIGEEDRAEGLDVIDRNAKVQAQLI EDLLDISRIITGKLVLDVQRVDLGEVVGAALDAVAPAAQAKGIRITKVLDPSAGPVSG DPNRLQQVVWNLLTNAVKFTPGGGQVQVLLERVNSHVELSVIDTGQGIAPEFLPHAFD RFRQADGSTTRRHGGLGLGLSIVKQLAEMHGGSVRVKSPGEGQGSTFVVALPIAAVLP EQAGPARPGQPGVAPSSDPCEGNQLGGLRVLLVDDEPDARHLMSRVLGGCGADVEAAS SVAEALDRIGDFRPDVLISDIGMPERDGYDLIRNVRRIFSAKDLPAAALTAFARPEDR MRAMRAGFQVHVAKPVDPEELVAVVATLAARTGQGDL OJF2_RS29710 MKPSQTTYRFSFGPWNISTGADPFGPAARPEMEYARKLKAYKEL GFDAVQFHDDDVVPADLDWPSTQKRVAEVKKILDGEGLFVEIIAPRLWEDPRTVDGAF TSNNPADRQYAIDRAKRTADIGREIGCKNYVLWLAREGTYIREAKCAKTGVARIVDAW NAILEHDSEIRVLGEAKPNEPMDQAYLPTVGHMMAVTYKTIDPARSGVLIESAHSILA GLDPADDMAFALYHGKLWSVHLNDQNGLKYDQDKVFGSVDLRRAFNTVWVLEKNGYGQ NGEAVGLDVKAMRTTSFEESMFHLSHSKAMFLRLLDVVRGVDEARVEELRKNRQYEQL EMLILNALMGKAS OJF2_RS29715 MLADRQVEVVVSHRLWRSLSRQARELGVSMELLAAGIVCDTIQP AALDRPARPRRQRPRTESHRPVRVVRH OJF2_RS29720 MPRANASRRKSLAATLLNISSSISDPSAPGRVEHWADEEYEYTE FHLPDRLATELDLSISGSTVLIRSIRGGVPVVRVLAEAAAAAV OJF2_RS29725 MKNHRVRRWSAALAFLVASSAGVRADSIQYKSILDSHSQPGYVP QFWLPPATLTSVRFDFTGDGASAFQADSGLFTSYSIAPTAAIDLSVNGSHDIGGTAAD PIPPQSFAVDPTDYVQFSFSFSGSITYSAGTSDLSDFMGTGDIFVQVASTPGFSADAP FSELSNFAYGSVVVTYTFSYPMDVVPEPSSLTMGCIGIVAALMVARRRNA OJF2_RS29730 MSGGFPAITDDASHPSLSTDAGFSLGVTFDAATPNFGFSIAPGF TFGHTTAPLFRSLVWLGGTDKYQV OJF2_RS29740 MRLWHLSATILMLALVMTIARDPVGCVALIVFVTGLGEVVLGTT AVMALFQTIGAIGMARGLIEHGQALAATTAVLVLATGLMSSWLFIGLWLVQAALP OJF2_RS29745 MTTSTYTPEAFPPTWLPAGTELKTWDQIEPWYRKLLAMPIESVA DLERWMAAADELNAAVGEEGSRRYVAMTCQTDDPAREEAYLAFVRDIEPNLKPLLNEA RNRYLDSPFRAQLPAGRHEVFDRAEENRRALFREANIPRETRLAELGQQYQKAIGAMT VQYDGQERTLAQMSPYLEQTDRAVRQETWELCAARRLKDKDALDDLFDQMLALRGEVA REAGFDSYVDYAYRDRDRFDYGPADAARFQDAVERVVVPLARKIQEGQRDSLKLDRLR PWDTAVDSLGRPPLKPFEDVSRLAEGTEQIFREIDPELGAQFGFMRAKGLLDLANRKG KAPGGYQTTFEVERVPFIFMNAVGLDGDVRTLLHEGGHAFHTLAARGEPLAAYRGAPL EFCEVASMTMELFGAKHLHPFYGPAEADRSNRKLLEGIVLILPWIATVDAFQHWLYGH PGHTRDERKAAWRGLIERFGGIVDWTGYEDARDHSWHRQLHIFLYPFYYIEYGIAQLG ALQLWHRSLTDPAGAVAAYKRALAIGGARKLPDLFAAADARFGFDEATISPLMSAIGD ELGRLAP OJF2_RS29750 MISDARLAANRRNARKSTGPRTPEGKAESRLNGMKHGGRSAILG MPVLPREDPKALARLIDRFVREGRPGDSLERSLLERAARLTWAIERSDRAEAAYLADA ARRASAPPAGREGAAEERSRRVTRLAAELFHPLSPHEFRDADWRDDPAAALAGLEETA EGRRWLLEQWRSIRAYFVAGLEPAIGDFYRYIRLHGRHVTDLAWDLDLNAAMAAAEVA WPGCGRLIYGRFLAELHAEDWRLFEQQRQWRTFAPLPATPEEAVAVLLRDAESQMARL AAMLCEGDGEEVDPDAAAFEAELELAGHRRAAAARTRELMQVLEQLRKLRKDRGAAAT TVPLAEPDEPSPSDEAVGAASVRRPGEPSPADALAEGGGFDQPSPALTIPDGGGCDEP GPTRPPHGGGSHGEPEPEPAPTAEADRWEPAPIVIAEDDPEPPPPLDDEDEQAPPPGD PPAPGTWEAFERWFLEAKAARVPDDRTQGETLADREKRKFAEMLSIALDTPTGRAPDY DKYERRRAKQRQKAEEERDRKGREAQPPPLQDGS OJF2_RS29755 MVHAIISWSLSNRLIVILGVLALVGIGVHSARNLNVEAYPDPTP PLVEIIAQNTGAGPEEMERLVAVPLETALNGMPGLEDLRSTSISGLTDIKCQFAYGTN PWSARQEVINRISDVDLPAGVRPRLSPWSPTGEIVRYVLEGPGYTLNQLKSVQDWVLN RELRRVPGVIDVTGYGGTIKQYQVLIDPRLLHQYNVTLADVEDAINRSNANVGGDLLT MGGQSHNVRALGLLGEGVDPLDPSNIEQAFHIEADKLEDIQNVVITSRGGEPIYVRQV AKVEIGHRPRLGKAGRTLKEKDGVPGWHDEDDVVEGIVLMRKYEKSLVVANAVGEKLK EIERRHLLPRGMSLRVFNQRADLVEVTTHNVLHNLVVGMALVGTVLFVFLGDLTSAAI VALVIPLALLFSISVLYVQGESANLLSIGAVDFGIIVDSSVIIVENIYRHITAHGVDR RRPLIERIAEASHEIERALFFSTMIIVCAFLPLFAMTGPEGALFGPMAKTYAFAICGA LTIAVTLAPVLCSFFFKNKLEEKDTIVDRALKAVYLKSLVLVLRHRIASLLLLGGMLA VTAAIIPTLGGEFMPQLEEGNLWIRAILPRTVSLEDASRMAPRLREVIASVPEIRGVM SHIGRPDDGTDVTSFFNVEFNAPLRPMEEWRPGMTRERIQDELSEKFRAFPGLDFNFS QLIRDNVEEALSGVKGANSIKLFGSDLRRLEEYAQKVMGILRGVPGIENVGMFHIIGQ PNLIVRIDRRACARYGVNVADVESVVQVAVGGRAFSQMVEGEKLFDIVLRLPLEMRDD PTVISRLPIEIPPSGDGRSPGRIPLSQLATISAHEPGASYIYRENNQRYIPIKFGVRG VDLATAIGEAQRRVNDPKAGVHLPEDEGYRLEWSGEFAQMEEANQRLLWIVPISIGLI MVLLYTAFKSLKDALLVILNVLTATMGGVWSLKLTGMPFSISAAVGFVSIFGVAVQNG VLLISYFNQMRYAGLPVREAVIRGAELRLRPVVMTSLTAVLGLLPAAMANSIGSQAQK PLAVVVVGGMLVNMLLTQFLMPVLYTYFPGRRGSSGDPAELVEGSAIDETLLAEADGD GNGGYRP OJF2_RS29760 MTTVESQPARAGTPDPSQPAATGADRPGPRRRRPWRRLVLGLAA VLAGAAAFGYYRQKGIPSSRDVGDALAYLERRVGGKASEPPAEPPRPARSASDQAWDG AVTVAPEQGRAIGLQLVAVEPQREPMRLELTGRTAYDPNSLHKLRPRFDTLVEQVHAV PGQVIHKGDPLVELNSVDLAAAKSDLQTKYVQWQRDLRVLKLHEKLVTEGAVSQQTYI DDRNAENKSHLDYVQARDKLRILAVPDEDVDPLTRGLGDMPTGEQDFGTVASKAKMTL KSRVDGIVIQREAVPGNFYDENDILMVIAPLDHLWVLANVYEVDQAKVSVNQEMEIRF PFLQQTTRAKVEYISSEVSRETRAVQVRASIRNPGGLLKSDMLVKVVLDIQPVKGQTI VPRLALVVINGGEYVFVKRPGKEGEPGRYERRKVSVAQENSNFVIIAGGLAAGETVAT NGSLILAQLYEDLQIVDTGMPVQ OJF2_RS29765 MPLFEVETTSHIMIACAEDQEAARAFANTNYPTEEIIRVAHRPR DAWVISKKLLGIQGDADPCHIARDCLAKAAGDKLHAVRLYMQSTGADLDGARKAVESN MSRGW OJF2_RS29770 MPRVISLILGGGRGTRLFPLTKSRSKPAVPIAGKYRLIDIPVSN CIHSGLHRIFVLTQFNSNSLHRHISNTYKFDPFSGGFVEILAAQQTMQHESWYQGTAD AVRRNIAHFMDRSYDLVLILSGDQLYRMNFQDMIRTHMENKAVVTIAALPVPEAEAKA CGIMRIQSDGRIIDFEEKPKTQEKLARVRTDPSWLERLGLHASGRPYLASMGIYLFNA RALVDMLEASDATDFGHEILPQTIARERVQAHLFDGYWEDIGTVGAFHQANIDLTRDH SSFDFTFGEQPIFTRPRFLPCSKIAGATIRDSLISDGCVIGKGAVIENSVIGVRSIIG ENVTIRDSYVMGTDFYEQQSALDENQRLGRPRVGIGAGSVIERAIVDKDVRIGRNVRI LNDTGIVDSDEMPTHIIRDGVTVIPKGLTLREGLSI OJF2_RS29775 MSDWAIRVEALRKQYPGRDGPVLAVDGIDLEIRTGECFGLLGPN GAGKTTTVEILEGLNRPTSGDVEVLGRRWEHDAAAIRERIGVTLQETRFPDKETVREL LTVFRSFYRSGLDVNDAIARVSLESKANALIEQLSGGQQQRLAVAIALVGDPELLFLD EPTTGLDPQSRRQLWDVIRDLKVRNRTVLLTTHYMDEAERLCDRVAIIDHGKIIALGS PRELIDRLGAAHMVDFALAGDGAAAPVDPSAFAGLDSVLEARKDDEGFALTVSEPHRA IPALLDELEAMDRPLARLTTRQVSLEDVFVSLTGRHLRDDDEEPRGPRPRPRRGRARR OJF2_RS41290 MLRNSPFFQLYLARLREFWRQPARIFWVYGFPLLLATVLGYAFQ SRPPAPILVDLVDGPDAARIADAIRARDDGLAPTSGSPAEPASPVLAPVRVVLRPRAA ADDRMDKGKSVLEVIPEGPSSWTFRYDPTRPESVSARQVVDDILERAAGRKDVGRARD IPVTEPGARYIDRLIPGLIGLNAMGGGLWGIGFFLVNLRIGKLLKCYLATPMPRHDFL GALLASRLTFLVPDVSALLLLGVLAFRMPVRGNLLVFFLVDVAGALAFAGIGLLVASR ATTTETVSGLMNLVMLPMYLLSGVFFSTENFGEAAQPFLQALPLTQLVTALRLVLLDG AGLLDLGVLKALAILGAWAAGTFYVALRIFRWN OJF2_RS29785 MQVLACVNGEIVPIEEARVPVWDRGFLFGDAVYEVFRMYRGRCF LEAEHLARLGRSLRELKFEEHDLGRLMGRVRATIERSGIREGTLYVQITRGVAPRSHP FPDPPVPPTEVIVVRPYDDGPTQRAREAGVRLLSLPDLRWKRCDIKSTNLLANVLAIE EARRAGAFEAVLFDHEGLVTEATHSSILWVRDGRLEGTPEGNEILPGMTRQLVLRLTE SLGIPFHAAQVTLGQLKAADEVLLVATTSEVVPVVRIDDAAIGSGSPGPIAGRLHGAY EAAVRAWLAREPEVPARPVA OJF2_RS29790 MRSLRCSVAVLGLLVGVTPWCHAAYGPKDLLKFRPTQPGVDYDV PADQAAIDACKVEMVTDGQKRTVGYALRDGQGKLLRRFVITNGGKSLNQWSYYQDGFE VYRENDLDGDRSPDECRWLNAGGTRVGAIKGGKIAGWKRISAEEASKVLVQALATRDQ ALLESLMASPAELAEAGLPKEVVDKVTAGAARRADAVEALLQGLAGWTPKTVWNRFDG TYPHVIPADPATGVREDLVLYENAVVFAGAPAAAGAAAPGAKVSFLQVPEMIKLGDAW KFVELPRAVDPDKPVVASAGGIRAAIFDTAGPGPQRDEAMEKALKALADYDSGNAPLQ QGEKKDVARFHYGRIPLLGAIVKVAKEPEDQLNYNKQIVDSLIAAYQTGAYPKARQVL EGMVEGGTRISPYAAYRLIGADFVMRNEEPGSNFMANQKKWMADLEAFLTKFGKSEEA AEVLLQLGSSNEFNAEEDKARQDYTKLVEGYPDTPSGKKAAGALRRLDLVGKPLSIKG TTLKGETLDTAQYQGKPVLVVFWASWGGQSVRRELPDLVKLLEKPQAKGLAIVGVSLD NEKADLEAFLKEQQMTWPQVFEPGGIDSRLATEYGIISLPTMFLIDAQGKVVNRSLRT ASEVERQLDKLASQKSSGGVALGAEHGDR OJF2_RS29795 MSKNHGKQNWFDEGTDAPLINDYASRLTGFMDAMADGKIEAHEL KAQEERLVELMRKVEPELSEKLHGDVTRLLCELTAYNIMHTLHEIAAARPKTTFRG OJF2_RS29800 MVKGTTPPDQAAPASTPTPPPGSPPAVPAYDDLVPKWYPGWARE FAQQYFAGTTCVFVLHGNVNDVVKQDDGTPGAYGSVPDFLATQLFGSWDIVLIHDLSY GLRMAAGTDRERLRKMFGPVSERIGEPKLWPKDPDAILALLDQLFQKNILEDDPARRV NVAVIFDHAQFLMPTTDLSQLAGSQGTRLVRFLSWAQSPYIKRSNIAICMLCDRLSEL NERLVGSPQVATIEVPMPDAAARKGFATWFDNRDGRLGNLTDFTPDQLAELTSGLSLV SLERLLARAEKSGARLDAGSLKALKKGLIERQARGLVEFVEPPHTLDDFVGNDGVRQR LVDDASLLAKGRLDATAMGYLICGPVGTGKTYLAECFAGSVGVPCVKLKNFRSKYVGE TEGNLEQLLGVLRAMGPVVVVIDEADAALGNRESGGDSGTSGRVFSMIASQMGDTRYR GKLIWMLLTSRPDLLPIDLKRQGRAEVHLPLFNPRDEEEVRYMFKVMGRKNRAPINPD LLPGGLASRGLSGADIESIVLIAKRGALIANREEITGEDLKAAVEEFVPSAQGLEKEK QELAAVLECTSMSYLPGDWRERLKRPDERARLQERVAEIKRLIED OJF2_RS29805 MILGKLWKAIAAQFNKLANMFSGYDPIAQMQYEYDRSVDQLREG REGLAQYRALVERVTRQVEGQRKVVSTLEAKIKAYLSAGDRETAAKLALDLKRAREDA AENERQLGLHEQAYNNNLLKIKHAVEKLEDVKHKITKYDADLKMSRAEAEMSQLANQF NFDVTTDFGQAEDIIQSQIDKNRAKVRVAADLSGQGVEDVKREIAVEKTMGEDALKEF EKEMGLATPASAQSTKQLGPGTKQLEPLPEI OJF2_RS29810 MVSDSAVIRRVLWRGAVVVAAMAAAVVARAAEKSPIPAYTGDRL YVAEVPGDFSGVRRAIEELERTSPQTYFVVVVRSAGGDAASAGRYVADLERAWREQAK AKGLKLDAARSIITLVAVDDHRVEVAAGSELRDRPGMDRAARVSLIERHFVPLAREQR YPDAIGALLAAMNNAIAANDPATARVPTGDAQLPAVAATAPPAPSAESRPATRAVAAA PAPAATPRETTGQAVMALVASLVAVGLIMAGLIWLGRRRTRNTVESKIKDYKKKAVDV MDRLDALKARLKQLPIEDPDFKEPMGGETLAFYEETQKHLTGLWDRWLEVMDVLDKAQ ELAKKDSALGTQKLKEAEQLVSDSKAFETIDEEAKACAASMDVLNRSHEDARADADAV AAIRKEIDGRVGEVDKEGLPTLPYRPEVEGIAGEAEKAGKILVPDPIGARRSLGAARQ RAASLRDRIQRILDGFADGRKVAESLKSLGQEVGRHRKDGLRLDEDGGDPDHPIARTF EALEGLRRAVHDGDPEAAQAQLRAAHESLDEARRTLDSVLKARESCEKDLPERARETR RLREAMGQYAAFQDELKREFSPGSWQAVSGNLAQASKLLETFDAKADEAAAAATPAAQ KYLLGSRLLGQLADEQRAVFRLMSGVADQLNALKAVRAESQDAARALDDLEHGTDGFF RQNDRAIGSVARGTFASAQESRKQAVGLMRDGRPDWPAARQVLARALDEFAAARNQAE ADVRAYERLADELDRVRREASRVGAFLAGHEEDRLAANQHHRNAEAALRAAEAGGSNG EWVRCLELVRGAAQDLAYSERLAQEDIRLARQAEREIGEAGRMIRKSRGYFSMGVTMG TAGAEGQVGQAQQLYQSQDYEQAIRVAAAAIQQVRQAYADAAQQAYLRELAMQGARAG RVGVPAVGPQIFRAGDVPAAPGPPRAAMATESPAPDPDAGSAGASWSTGSAGAGW OJF2_RS40865 MSFEHLPERQARLAQDLYEELRAASDADIRAMAELLATKPDDEL FGEAEFQLRDMVHRVGAKALQAAAMQRKKGGM OJF2_RS29820 MNPPLRVVCGSCLRSVEIDSPEESGPVTGGVCPYCGDRVDSDTE ATTSRTRVAEGPPIEGDSRGGQPSSWAGLWSRGSLGSLGRFQLRERLGDGGFGEVYLA FDPRLDRDVAIKVLKQANPTERVMERFFREARAAARLDHPNIVAVHDAGFDQGRCWVS FHLVNGRPLNWYRDHHRLDPPTAARILRDLAEAVDHAHRQGVIHRDLKPGNVLIDDHG RPRLIDFGLSRRSDVESSLTRDGAIVGTPAYMSPEQALGQSRNVDERSDVFSLGVMLF EALCGQRPYPMSGQGSFSEVAGGGKAPSSRPRTPSARALNPAVPARLDRICMRAVAQD PADRYPSARALADDLDAWLLRHRGATRLRQRFSAGILLGLTAATILGAAALVALTREH PAAAGPAASGVAGVPEPHAQARALLANGDAPDEPADLPAARPPAKSKAASPPRAKAVA TTARFLGSTHSGKFHLPTCSSVAQITDGNLLELEDPEEATAKSLKPCGICKPLAAPRT KGP OJF2_RS29825 MSHETSNPAAPGAQAASGTPASPHGRRPGPSQQVAASLVPETGW HFLHLFYRVDRERLRGLTAEEREAGRRQLVAALGARQPGAVDQLQGFAVPGHKADFGV VLAGPDLKAVHGIQTAIQSSALGPAIVPTYSFYSLTEVSEYVPDAEEYGRILREREDA DPESPSYKAKVAAYAERLGPMNRHRLYPDFPDWPCLCFYPMSKMRSGDQNWYLLPFEE RSELMAQHGRSGMKFAGRVSQVITASTGIDDWEWGVTLWARNPSYLKEIVYTMRYDES SAKYALFGDFYFGYILPPEELLEAVKL OJF2_RS29830 MSRDAGTPPGGAPELVLASTSPYRRALLARLGVPFRCVPPPFDE AAYPREGLTPAALAGRLAEGKARSVAALEPAATVIGSDQLATIDGEVLGKPLTRERAA EQLERLSGRTHELITALVVVSPLGERRHVDRTRLTMRGLDRAAIERYVARDMPLDCAG SYKLEQRGIALFESIESEDHAAIVGLPLIRLVTILRDLGHEIP OJF2_RS29835 MPPQQRTILLVDDDHEIIESMRTVLENKGYRILVARDGNSGLMV AERENPDLLILDMMMPKKSGFLVLEKLKSRPGGLIPTIMITGNEGSRHRAYAEMLGVR DYIRKPFAMEKLVRSIDRVLGVKPRDYPDDEA OJF2_RS29840 MRPWINWLAGAGLAAACMASGGCGGGDVPDPGSDAAAANDAAPA GEGAPQPESTPPAAAAPVAPVASGPAAPAAGDDGKAGDEPTAASDAAPAATGAASAKA EGGSTTAEMLALATASQPAPPSSGAGDAQGQGGGAPSAYPGAGGSGGPMSSSYPNSGS RGPAGYPGAGGGAPSGYPGAAGGSGPMASAYPGMGGNRSNGPAGYPGAGGSGGPMSSS YPNSGSRGPSGYPGAGGSGAPTGYPGGPGGMMGGSNPGGNQDNGPATTETPEGAVRAF LKAVAGKDRDGLMEATALRAAQTNNPVESVSPKNAEFFGKILDGSISDTELDDLNKKF DGYKIAGVNAVKSTGKLGIYIQKTTDDGDTHRRTITVRKEKKGWGVMDIGGEILFKGM GGQRRTSKR OJF2_RS29845 MLVTKLDLCALLESLVIFFQVCGVMALCVNRLMPRSAWCYRGKI GFIVALFGLGIAGALCGRHDSEFALFAGGTMTVLLIGMTIGNGTIDAADPGVTYAAPE AA OJF2_RS29850 MVGLFAATASMAFGQDATTNPNQATADSVAGALRASRALSGSRI EIDAQDGMVSLSGTLASPALKAEAIGRAQRVAGVRGVVDRIRVADQTVRPVQYQPQLA MGHHHGGGMVMSPDTAGTTYPGPAMGGPGMGAPAGGFVNDGGPLPEGPAGGIGGGTGA APNAPNYAWPSYAPYPNFSAVGYPTAYPWQAWPNIGPFYPYPEVPLDWRAVTLRWDDG IWWLDFKKHYTRPFFTPYPFGLFAY OJF2_RS29855 MKRPEFTPGHESILRAQVITEGQPGAVLHDFAAVLDALGPDGVE AAGKHHLLPIKLIPQLDAKLAHPLKLAQQRPQVRSHPYIQALNLLLRASGLSKLDGKG QKARLVLDAEAKMQWDQLNPTERYFNLLEAAFRIGRGEMVGEDRRADEGLLLPCLAAW AEFPQAGWSPRKPKPEQSYPFPIYGRWYLLALMDLFGLVEVTQPRGKVERWYPGRVRH TPFGDAVMPLLFPVAWADLFPLFDATGTGGDRAVGDDEPGRGLHQPPPPGGSQMSLDV DGTSEGGEDEGETLEGGEVEAEHGEEGPRLGEWQPIFVPYFPEWRRNLTPPAREVRDG TLVFRVSHRAMWRLIAIPSGARLDSLAAAILDSIGFDHDHLYEFVYTDGFGASRRIYH HAMEEAPSADSVAVGRLPLDPGDRMIFHYDFGDDWEFAVELERIDPPGKSERARVLQK QGKSPAQYGGDDWS OJF2_RS29860 MSGTRIASISGLRGVVGDGIDPVVAAEFAAAYASGCEPGPIVVS HDGRASAPVFYPAVISGIAGAGRDAWLAGAAATPTVGILVRELHAVGGVQISASHNPP QYNGIKFFQPRGMVLSPGQGREVLDRWRRKDFAWASWDGLGSVRTIERPDDAHLRRVL EIVDVRAIRARGFTVALDACHGAGGRLGARLLRELGCRPIVLGGEPDGLYDHLPEPTE KNLRTFSSVVASVGAAVGFAQDPDADRLAIVDEAGRYIGEERTLALAAARRLEQAKGP VVLNLSTSMITEELARRAGCRVLRTPVGEINVVEAMLAEDALLGGEGNGGVIDPRVGF VRDSLAGMAMVLDLLATSDRPLSQWVDALPHFAMVKDQYPLAAGAGDPDAIAELWDRV SSSFPDGRTDRRDGLRLEWPDRWVHVRASNTEPIVRVIAEGPEEAVARALADEVGLLV AGGRGPRP OJF2_RS29865 MTRTGWPTFDRPPSAAFIDVDGTLLAETTTFLFARILYRRGLIR RSFLLRALYHGLQHRLGRLDYGRLIGYGLQSIARIPVVELERIAYENFVEHVKPRLYQ GVVDHFNALRKLGTPLVLVSSSPGLVIEPLSLYLGCATTLTTPVIIERNRLVGIGTGP PCYGEGKLYWAERWAGECGVDVGGAVAYADNWSDRALLERVGRPVVVRPKGRLLRLAR SRGWDIVRPGRPAGAAPPRRGHPRINH OJF2_RS29870 MKDKEITPKAPASPPAKPEERTHRETNPNGSSMLARTRRAGPAA MALVVGLAALTAQASETELVRDIQRYCTVCWRNARLDPTLWDDCTQEVCCRLLTKARA GELELALVLSDDTPERRELVRAIDMVRKRVQRTKRHTPIDSLDVGGPDHDQRQRDRIE LGEILEAARRAVLSPRQDRIVELWMRGWTVPEIGTELAMPVNRVSDEKYKALRKLEQH LSGRGEVLV OJF2_RS29875 MVEVGKPAPGFSLPDQDGKTVTLGDLKGAPVVLYFYPKDDTPGC TKEACAFRDAIGEYRKAGAHVLGVSPDPVASHGKFAKKYDLPFPLLADTEKAACEAYG VWKEKSMYGRKSMGVERTTFVIDAQGIVRRIFPKVKVDGHSDVVLEALRDAQ OJF2_RS29880 MGQSETFDHTADLGLRIRAADLSDLFRTAAEALLDVMIANRADV AAESAEAVSLSSDSPDDLLIDWLNELIYRVETEHRIFGAFEVAVAADGRSLEATIRGE PLDPERHVLDHEVKAATYHGARLEGAGDAYMAEVILDI OJF2_RS29885 MPVSPPQPANSGQPARVACAGLVVVDHVTPPLPRMPRAGELVAV DGLVLNIGGGAANTAADLARLGVPTSICARVGDDIFGQFAARTLVAHGVDVRDLLIGS EHETSQTLIVNVKGEDRRFIHSVGANREFTPEDLDPVLDRAPSVLYIGYFLLMPRLDP SGLAARFARARKAGTITFLDVATPGPGDYLGPIKAVLPHTDLFVPNTDEAALILGEED PVRQALAFHEMGARRVVVTCGERGAVSVSAENRLRVGVYPTQFVDGSGGGDAFNAGYI AGLLDDLSEEDCLRLASAAGASCVRAVGTTAGVFTRGEAEDFMARHRLAIETLS OJF2_RS29890 MENRPPQQDPPRRPLANGRKPNNAGGSPTPPWLWLLLIGAFAII FIFWVPKPETTVDYNPWFLDQVEANNIKRITIQANEIRGELRAETPYLPSGSSTSSVK VNRFLTYAPTDQALDATVNRLRGFGKDGKDPVAIEGSPINGANGLVWIMLLLPTFLIL GIFYLMMRRARDQFDGGILGTFVKSSAKRHDKSKQRTTFEEVAGLENAKGELQEIVEF LKSPEKFQKLGGRIPKGVLLIGPPGTGKTLLGRAVAGEAGVPFYSISGSEFIQMFVGV GASRVRDMFKTARENSPCILFIDEIDAVGRIRGAGLGGGHDEREQTLNQILTEMDGFS PSESVIVLAATNRPDVLDPALLRPGRFDRHVTVDLPTKKGRLEILKVHTRSVPLSSDV DLDRIARNTVGMSGADLANLVNEAALLATREDKQAVDAADLEAALDKVILGAKREEVI TDKDKRATAYHEVGHALVGWLTPRSDPVHKVTIIPRGRSLGVTQFMPEEDRVSYNESQ IKAKLYMMMGGRAAERLIYDDLSTGAAQDLDQATRLVRKMVTQWGMSERVGPVSFRTS SEHPFLGREMSEPRDHSEHMQQIIDEEVGRILREADEHAFRLLESHRDEMERLTEALI EREVLTESEITNLIGKRAGLDDEPAVSRAHDDPVVATADNPPL OJF2_RS29895 MRPGRESLESILRRCGIHLERAQLDRIWTYHGMLREANARLNLT RIHNFENMVLKHYVDSLLVLKFVPLPSPLIDMGSGPGLPGIPLKIASPDTHVILSEPR GARVSFLGEVCERLGLDGVEVYPHKLGPDYPGTVQGVISRAVAEIPETLDRVAACLAP GGRMLFMKGPECDDEIARAGREHAGLFRLEADHAYEIPGTEHRRRLVVYERLDGEAPG RRGRTEPEPAFRGPAREVSSESNPSFRLFRDLLAGRGIRKHGQAIVAGNRIIGEVLVR YPGRAIGWISPESGPPPPETASSLTWFRLAPPLYREIDVAGTNSPLLLVEAPPLPAWS DEDEWPEGCTLFIPFQDPENVGAVIRSAAAFGASRVVLLREAAHPFHPRSSRAAGTAL FQVPLLQGPSIHDLASAGVPLIALDTSGPELSESPWPPRFGLVVGLEGPGLPEPLRGG PRRRIAIRPDVESLNAATAAAVALHAIATQRRAE OJF2_RS29900 MSQSVAEHRRDAPGSLDLGILTVSDTRTIETDASGALIVSLAEG VGHRIAGRAIVPDEPDRMRHLLATWAASEALHAILVTGGTGISPRDQTYETVSALLTR TLPGFGELFRMLSHAEIGPACMLSRAVGGLIGPVPILVMPGSRAAVELAMTRIILPEL PHLVREARKT OJF2_RS29905 MRHHVGGKATLGLAGLLALAAAAPGEAAADTIVLRGGVELQGKV LKDPKDPRHVRVLLMKGKRPLQFDASQIVEVIPRPGPLDDYLVRSAKPRDGAREEYDL AAWCDRNQLDDLATVHCEAALALDPGFEPAHKRLGHVLHQGKWLTADELRVTQGLVKY HGKWMSEEEKAKLEEKSQLGSTQAAWLRRIKLLRLAILNGAPDRRREAENEVMLIRDK EAIAPMLKVLGGDDPPVRILLAHALGRIEGKEASRALVSLILAEPETDVRGAILAELA ERDQPPIVAQLVKSLRSGDVRTVNRAAWTLAGLNAVAAVPELPAALVTSVERVVMLPN EGSGQGLASVSPAGPSPALLALNNNYIAYLTPPTVGPGVVAYGAYSVPFYNLGQLPIG NPIASPGPTPGTSVSGGMSSRGPIPRIVNDTYQNTEVLAALTRLTGQDFGYDASAWRR WIKASFNPHPRPARRVDQP OJF2_RS29910 MESLFQPGEFEGPALPAAERLTVLRDLAAEVAGCRRCAELAATR TQTVFADGSPTARLMFIGEAPGADEDRTGRPFVGRAGQLLTDMITKGMGLKREEVYIA NILKCRPPENRTPTPEETANCIHFLERQVEVVRPEFLCLLGRTAAAGVLNTSLSMGRL RGKWYRYRGIPTIATYHPSYLLRNPPAKKEAWEDLQLLMTAMGLQVRTRQKGQP OJF2_RS29915 MDTPQRLSNQTRIAVLLGAIVVIVGVLAALAVGTTARMIRDRDG VARSHATLAEIQETLALVDDAEDQQRGYLLTGDEDLLAPYEEGVARFLKKLDSLRALM DGDESQQHRIGRLALAAQAKFAAMRALIDTRRLLGPNQAADRLAPEVRLGVKDEPRTI LGEMVAAEREVLQARIERARRSDVRAIALFAALLASFLLCLLTFFWLIRKSLRRQAEY AHQLRKSREQFALAVRGSNDGLWDWDIENDTVFYSSRWKAQLGYRDEEIAPHFSEFES RIHPGDRDRVMLAIGKYLSGRLRTYSEEFRMRTKDGSYRWILARGVALRDSHGNPFRM AGSHTDTTERKEFESKLAEQNRRLEAAMEAERDTNEALKRAQALMVENEKMAGLGAMV AGVAHEINNPLAFITNNVSMLHRDFAEIVQLLKLHEEASPLIEREAPRTARMIAELRR AVALDDTLSTLPDLLERTSEGLKRIRRIVNDLRLFARLDEGDVNEADLNAGIQSTATI IQGRAREKDVRLELQLAPLPRVTCHAARINQVVMNLMANAIDACSQGGEVTVRTADDD GHVRIEVADNGQGIGPEIRPRIFDPFFTTKPIGKGTGLGLSISYGIVRDHGGTIEVDS TPGHGARFTVTLPVSPPSR OJF2_RS29920 MHEYDKGSKWLIQHHGDAILWFAGIRDLVEWRAMHAELVQPRRF PDALLEARRAGQEKARLYIIEIATYAEPRVAEQAVRDACLVYLHQGKLPEVLVVILSR RRPRRPARSADLRSEQVWTRLQMTWRTIELWKLPAEELLQAGDVGLIPWVPLCRFDGP PAPIFRRCRERIDREAPPGERENLLVVSQILASLRYTDERLFQLFGGREAMIESPLLL KLKDEWTHEAAHEAACKSIIEFLQARFGPEAAGLRSDLDAIEDPTRLSELTRAAATCT GLKHFRSHLREATEGKP OJF2_RS29925 MARPKAEQPTPGELEILKVLWERGSPATVRDVMDILNGRQEPAR AYTSVMSLMNVMAEKGLLRRSPHGRAFLYEPATPRDRTLRSLLGETLERAYEGSASLL VAHLLDQSAPTAEELGRIRSLLDEYESRSSEEPQQGGAPCRRPRTKRSSG OJF2_RS29930 MQATANEAILGLSGRLIWLSLLHATWLGLLAAAAVATAFQAWPG LSHRARHDASLVALVVVVLGAPTIGIAQHAAGLSRGGEADDAAVSAVVALRAAEQDRT RRPGPEVARNAETPSLPSSLEAASALLRRAAAVMSRAQPVVVTAWSLGVLGMASVLAM AMRAARRLRRSSTAAGARVQARATRLCRLLRVGRAPEIRVHATLAEPCLCGAIRPVIL LPGRWLAEAGPQSVDAVLAHELAHARRADHLVNLLQRLIETWLFFHPAVHWLSRSLRR HREFCADALAVRLTGDALALARALESVALRRPVRPTRLRPGASLGGDFSSLYPRIQEL LGMMPARPPRRLWPLVAFPSAAALAVLSVSVGAAQDASPPARPSPQPATAASLPAGRG PSPAAPLAIGGVQICYEVRVYDVDADTWRACDTSPLKSAGEGRGSQGWVVDSQGLVGI LKPLVGDTWVQTLQYPKVTAFEGSTATFRSGLRGAEKLDLTGAATSGGIRLSVQLLSP LRTQDGIDGRLIGDPSRSASPPVEVRGNKPADPGRSEIASDTIQAPEESKAQYQGKAT VPAGSSFLVCLGDFTREIRGAKVASKRLVLVTPQRIEMQPGMEIGWPPRP OJF2_RS29935 MASKKVESEIRHLRDELNRHNRLYYEEAAPEISDREYDRLMERL AELEAEHPELVTADSPTQRVGGAPLTEFRTVTHAVPMLSIDNTYNYDEVREWDGRVRR GLNLGEPVKYVVELKVDGVACSLRYEEGKLVLGATRGDGERGDDITANLKTVREIPLV LAGDPPALLEVRGEVYMTNAELARLNEKRKADEEKPFENPRNATAGSLKLLDSRICGQ RRLRFVSHGLGEAKGLSARSYHALTQQMKGWGIPVSPLTKTYESIDEVIAHAEAWQEK RNSLDFQTDGLVIKVDDLAQRERLGYRSKSPRWTIAFKYEAEQAITKIINIAVQVGKT GKLTPVADLEPVRLAGTTVKRATLHNADEIARKDVRIGDTVVIQKAGEIIPQVVRVEH EARDGSEAKYEFPTHCPNCGAPVVRDPDEVDYRCSNPPSKCTEQLKGRLRYYAHRDAM DIDGLGEKLIEQLVNGGLVRSLADLYRLDVPTLSELERMGKKSAENLVAAIEGSKHRT LDRFLSGLAIRHVGTRMGEVLAARYHTLEKLREATLEELEATPEVGAVVAASVHEFFR DADHIQLLDDLAGVGVSPEPFKPMARAGSLPFAGKTFVLTGTLPKRSRPEAEEIIKTL GGKVTGSVSKMTTYVLAGADAGSKLEKARTLGISIIDEAEFEKMAGV OJF2_RS29940 MAGKARKNPVLANPFFAALLVASTLFVVTALGYLVAPSAMKPRP VEAGAASRALAAWLDRRGPLLLGLEFGVMLATGVLAMVTEDWFMGRGGGSPPRRD OJF2_RS29945 MQRTLVIFKPDCVHRRLVGQILSRFEAKGLAVVGLKLIQVDRAL AEKHYAEHAGKPFFEGLIGFITSAPVVVGVLEGNEAITVVRTLLGATNGVAAAPGTIR GDYSSSKQNNLVHGSDSPESAEREIAMWFKAGEVASFTPAGSEWVIGG OJF2_RS29950 MPFPRPRPLLPATAMLTGLILLGLPPRARSQAPPAPAVADRAAE FQVAIERNVMIPVRDGVRLAADIYRPAREGRPAPGRFPSLFTRTPYDKRGTGAEGTYY AERGYVVVANDTRGRYASEGAWNGLANDPEDGYDVVEWIAARPWSDSKVGTFGTSYPG GTQHAMAEMSPPHLTTMIPIDALSNCGVAGMRHGGAFELRFVNWIFQTGAPASKAAMA DPALRRALEESGRRIRQHVGLLPVRPGTTPLRLVPEYEAWLVAALRSGPESPFWHVKG MSVVDHVKDYADIPVLHVTGWYDSWTRQVTMNYQALAPAKSSVHRLVIGPWTHGAQGS NLAGEVEFTPEAAVDLLRFRLRWYDRWLKGVRNGVDDDPPVLLYVMGTGDDRRSAGGR LRHGGSWRAEREWPIARTRPTAFYLHADGSLAPEPPREASSRTTYTFDPRRPVPTIGG NISSSQGLMSAGGYDQRARPDGHPATDELPLSERRDVLVFRSAPLAEDVEVTGTVEAK LWISSTAPDTDFTAKLIDEVPPNADYPLGFDLNLGDSILRARYREGLDHQAPALKAGE AVPITITLYPTSNVFKKGHRIRVDVSSSNYPRFDVNPNTGEPLGEDRRTAPADNTVFH DAAHPSSLVLPIIPPPR OJF2_RS29955 MRIASRVVSKVVPTFLVGLAAVIAWSPGTRGDDPPKKGVEGTWK LVVLAYGTDEFAVVKLEEKDGKLTGTVPSVQKQVLGNDAEIAVDPAKPDSDAVAFTLK GQGGSSRFEGRLAKDGPAAGKVLGTFAFRGEPYPALLERTTSDKVGAMSQSDMVKEYV AAAQGRDPKTKVAKLKESIRKHAGSPTLHLFYGALLEAAEDAGLAAEDVKQVVADWSR EAEPYGAAWVNSVRQKALKAIGAHKPYASLAVELAQKVDKEMGETATLEERAAVVGLL ARAATLAGKADIAKEAADRSAKLEAQLDEEYHKKVPPFKTQPFQGRKDGKADRVVLME LFTGAQCPPCVAADVAFDGLLTTYKPTEFIGLQYHLHIPGPDPLTNEDSIARQQYYGT EVRGTPSTFFNGHSQAGGGGPMGYSEGKYNEFKEIVENQLEGARGASIKLSADRIGDV VNIAAEATLSKKSGAPAEKKDQDGDAAKSKPRLRLALTEEAIRYVGGNKLRYHHHVVR DFPGGVEGKDVSSGSGEVKVKLNLADLKREIEEYLSKSAKTRPFPNPLPEVEFKDLSV VAFVQDDADKKILGAVSVPVKLANP OJF2_RS29960 MMPPRRRLVQAAIAASILMARLARAAQLLAPLKARETRPGLQSF GIKAAGATWNCVAHLPAKDPGRPLPVVLVLHGSGGDGASMLERCRWLRKADEAGFLAV APDSLPLDPGRGADFLTNPRMWNAGQLDPGTPRAKVDDVAFFRALLDELPRLYRIDES RIYVTGHSNGAGMTFRLATELSDRIAAIAAVGSICWVKNPRIRNPMPTFFLTGMSDPL VPVRGGISVLPWGKRTTPPVSDTLDAWARALGCPSRPRVTEHRDTGMYFEYGPGRGGS LLAAHLIDGQGHNWPGGRSIVPGFLGPDNRRVDATDMIWEFLRRYRNRGGEKRTRDRD GRTLEEALMRGR OJF2_RS29965 MPSEDQIADRPSPGPAMGRAFASRNFRLYFGGQGMSLIGTWMTR LATGWLVFRIGGASAPWLLGAVSFAGLAPTFFLAPLAGVFVDRWDRHRVLVATQVLSM LQSAALALVAFRAEAGAAAVALIAALAVVQGIINAFDMPARQSLLIDMVERREDLPNA IALNSSLVNGSRLVGPALAGAVIAAVGEAWCFAIDAISYLAVIAALRAMRLPRRPRRD GDASVRRHLVEGARYAFGFPPIRALLLLLALVSFATMPQSALLPIFAADVFGGGPYTL GLLSMATGLGALGAALYLASRTSVLGLGRVIVAAAVALGIGLVGFSVAGSIWLAAPLI AVSGAGIMIQLASTNTLIQTMVDEDKRGRVMGFYGMAFQGAAPFGSLLGGWLAGVLGV RLVVAGSGVLVLCGAALFCSQLPRLRRHTRPVYVRLGILPPAAQAATTPPALGEPA OJF2_RS29970 MKIYTKTGDQGITGLLGSGRVPKDDLRIEAYGTIDELNAVLGQV RADGLDPASNELAALLQDELFVLGSALADPDPAGRFHNAIADEHVRRLETAIDAIDAE LAPLRVFILPGGSRAAAGLHLARTVCRRAERVVVSLARTPGASVPSAVLIYLNRLSDL LFVMARVVNQRAGVADIPWKGI OJF2_RS29975 MPASLLSTALVTIAALAAQPPSGRPLSAEEVAKLESKHLTNIRQ VTFGFFRAGEGYFRPDGKGIIFQAVPPLPESVLLGPAENQYEYQIYTAELSPNAKPVL VSTGKGACTCSFYHPDGKSILYGSTHLNPSPARPQSAYARSGSRYRWSFPEGMDIFRA DPDGKNLARITTADGYDAEGSYSPDGKQIIFTSFRDGDADIFVMDADGENVRPVVKAK GYDGGPFFSPDGKRIIYRSDRKGNDLLQIYVNNLEGTAERALTSNDAVNWGPFWHPDS THIVYSTSRHGHSNYELYLMNVDTGAEERLTYHDGFDGLPVVSPDGNQLMWTSNARGT DRNSQLFIADFRLSPAPQTAAAR OJF2_RS29980 MGPKDVLRRSINGSDMVLTTYLSDLSDEDLLVRPLPGLNHIAWQ MGHIIGGTARFMDMIEPGSAPPLPEGFAQAHGKEAAGSDDPSKFLAKGEYLRLWTAYK EAVLALLDRIPESRLDEEDPNKYPPFAPNVGALFGMAAAHPLMHAGQFVAVRRKLGKP VLI OJF2_RS29985 MTSLLTRLFHPRRAQAAHLTFTVYTREQCCCCHKALDILRDRQA RYGFAIDEVDVDGDPELVAKYGTEVPVVTLGGKLRFRGVVNPMLLDRLIAAEIRQA OJF2_RS29990 MPSAELRVVRNICPLDCPDTCSMRVTVRDGVAIGLKGDPDHPFT RGFLCQKMAKYLDRVYGDDRLMHPLRRVGPKGAGRFERITWDEALASIASRFAAIADS PDGPQAILPYSYYGTMGKLQASSLDRRFFHRLGATKLDRAICASAGGVGYEYTVGRGR LGADPMGTPRCRLIINWGSNTAHTNSHHWSLMVEARRTQGATIVTIDPFRSPTARRSD WHVAPRPGTDAALALGLMHVIWREGLQDGDYLARATVGADMLRRRVLEEYPPGRVAGI TGVDVATIEALARKLATTRPSLIRLNYGMQRHGGGGMAVRTIACLPAIVGSWRDHGGG ALLSTSGTYDFAMDRLTRPDLSPPGTRTINMNELGRALAGELPGPPVKALYVYNSNPA AVTPDQRRVLAGLGRDDLFTVVHELFRTDTADYADIVLPATSQLEHVDILGSYGHHDV MYNAPAIAPLGECRSNNDVFRALAARMGFEAGLFPDDETLIREALHGGPTLAGITPER LMAEGAVRLNIPADYAPFADGAFPTPSGKCELYSERMLADGMDPLPTYTPPLEDPQAR PDLAARFPIQLLSPPREQFLNSTFANNPRHRAAAGDPTIELADEDARSRSLGEGQWAL VYNDRGAFHARVALTGSVRPGVAVATGIYWNRLVPGGSNANSTTSSALADMGGGATFF DNLVEVRAAAAPPTLQES OJF2_RS29995 MLTVSRRWFLGAAGAGWLTPVGELLARQAERSREPARSVILLWL AGGPSQLETFDPHPGSAIAGGTRAIETAAKGVRLAEGFERLADRMGSVSLLRSMVSKE GDHERGTYMMKTGYRPDPSLVHASIGAVCCHELPAVGTDIPRHVSILAGQWPSRGGYL GAEYDAFQVDDPRGKLPDVSSPVDALRERARVQDLEVVERAFAKGRRSIARATLHGET LSRARLMMSSEQLRAFDVSREPAGVVDEYGDTPFGRGCLAARRLIEVGVRCVEVTLSG WDSHVNNHEIHRKLVKQLDPAFAALLRDLERRELLDRTVVLCGGEFGRTPKINPLGGR DHWPTGFSVALAGGRLRGGLALGETDPAGLKDPARPIPAQDVHATIFKALGLDPLKEN FAPVTGRPVKLSEGTPIRELLA OJF2_RS30000 MRWRDLIFLTVMIGGGLALVRGAVRIPAATTTPQRATSGSAVAD SQAAAIRVAVEGLDRAFRQQWAEQGIRPAPPADDLTVMRRLSLALTGAIPSLEEIRRF ESRPAGSRLDAWLDDLMADRRTSDFLAERFARAFVGTEDGPFLVFRRRRFASWLSDAI LANRPYDAIVRDLVADRGLWTDHPATNFVSVTFNPDTGRPDPERLAARVSRALLGVRI DCAQCHDHPFQPWKQADFRGLAAYFGGAYSGLRGIRDGESAYKPLDRKTKAEAEVAPR VPFRPELVPEAGTPRERLAAWIVDPSNPNLARATANRVWAILLGRPLVDPVDDLPVNV ELPPALERLAADFSGHGYDLHRLIRVIAGSEVFRLDSTDPPAGKDPNAAPDDESWAAF PAVRLRPEQVAGALFQAASLPTIGPQSHWFVRLATYTGRNDFVRRYGDTGEDEFHAAG GTIPQRLLLMNGDIVREKTGRGLFSASQRVAELAPDDRAAVEVAYLIVLTRRPTPEES AHFAGRLAGTAGDDRKDRLTDLFWTLVNSTEFSWNH OJF2_RS30005 METTTLLEPDAATAHALPGGVPATPPRRRPIARLAGALWRGLAS AIGWLFGLASLAVGLSVLAAVPVVQFLSLGYLLESSARVAISGRLRDGFIGIREAGRI GRAAIGTFLATLPLALASSYSHSADLIVPGGTAARNWHLATGIVAAMTLVHVSCAYAR GAGLRHFLWPLGTPFWLLRQLRAGGLYARTRDGFWSFVDSLAPGYYFRLGLVGFLGTA AWLIIPASLIAAAGRFPPLGFLGVLLLAIVAPSLPFLQVRYAVDGDASALFSLRSARE RFRRAPWAFAFALMILLLASVPLYLLKIEMIPREAAWLESLVFVVFLAPAHLLVGWAY SRSGRRVYPRHWTIRLLGRLAIVPTSLFYVLIVFLSQFTSWGGIWSLYEQHAFLLPVP FLSM OJF2_RS30010 MTGGSVLEGDDVAERDDRIDAYIARSADVARPILEHLREVVHAA CPDVEETIKWGFPHFQYKGLLCSMAAFKEHCAFGFWKGELVIGPGGGDEASPVDQKTG MGQFGKITRIADLPSKRILTGHVKRAMKLNDEGVKAPARSRPKGPAKEIVVPEDLAAA LAANARALETFERFPPGHRREYVDWIDEAKTQPTRLRRLEQAVAWLAEGKPRNWKYLN C OJF2_RS30015 MPRMKPAHAASLIAAFAWAAVLASPPKGRGAGPGSAPEVAAVNP CGVQRGLPSEVVVSGSRLAGRPRLVAPFPFRAEALEPSRSGPGNWAFRITVEADAAVG AYPVRVRTDDGLSEPFLFVVGQVPQLAEREDNSAFEAAQPLPATPVVVEGQVPGNDVD YFRFAGRKGQVIVLDAQCARIGSGIDPVIRLTTASASRRFVASADDTPGLLTDARLIA ELPEDADYVVELSDTRYQGASRPSYRLTVGAVPIADEVYPLGGRRGETIGLELRGGTL APGGRKIAAAELAPMGGTPWCIPGLGAAGPVASDRRLDLESFRPLVAGDLPEVREPAD GDAPPIRAAAPVVLNGRIDPAGDEDRFAIAATPGQALHVAVEASQLGSALDGVLQVLR PDGGSIASADDTAVKVPGQPVGKDEFAVPDPTLDFNVPPGVSEVLLSIRDLNDRGGVG FPYRIVVTPLLPTFEVQATEPTAGIPSGGTAALPVSIARRGYQGPITVTVVDPPAGLT VRPGTIPAGQTSGACTVSAAAGAEIKPVVLRLVGRGQGPEGSIEVPAARVVVFSRLGI LPTCAVVQRGLPAASVLPSPVVLDTPSAPVEIVQGQGVSIPVRAIRGKGVDAALAIGS LPLPPGLSIPAARLPEKADAATVLVNAGIDAAPGPVTIVLEAKGKLPPGEESIAAPAV ALNVVRPAEVLVQAPAVDLKAGGQAEVRGEVRRRGTFREPVVVRLTGLPGGLKAEPSA PITVAPEGREFRFKIIAAANATPGPAAIQVAPAYQVNKKDYPAPTLPVTVKVTPAR OJF2_RS30020 MIRPSAARLAFTTLLILAGPAPAAPDEPRPRAPEKPKADAHPGP GKPVSFLRDVAPILVRDCIACHNARKSEGKYAMTTFAQLLKGSKSGDGDAIVPGKPDD SNFIDVLLPDAEPRMPYKLDPLATADIELLRKWIAEGAKYDGSSPGEDWAFVLHKTRA VTVPESYPAAVPVTALAFSPDGARIATSGYHEMIFWNVADGTIARRVAGLPERTQTIA ISPDGKRLATAGGDPGQYGIVRLWDVEAAGGLRPARDLAEGSDVVFAAAFSPDGKALA TAGADRVVRVYEVESGKPLVQSEDHADWIFDVAFSPDGKQLATASRDKTAKVLQVATK ESLATFPGHTVPVYAAAFLPDGKTVVSAGEDNAIRAWTVGDEAKQVRQFGGFGGAVFR LRLSPDGKTLAACSADKTVRTFKADTGAPLQTLKGHADWVYSVAFSPDGKTLASGSWD GEVRLWNLADGKPVRTILAAPGFKRAK OJF2_RS40870 MITIGQGTARTPSALSRRDFLRAGSVGVGTMGLMSTESPMRRPS TGGDPPGAVILLLLVGGPSQLETWDPKPDAPAEVRGPFDTIPTRVPGLRISEHLPRLA ARMDRVALVRSMHHDAAPIHETGLQLLQAGRLFVKGGPEAPNLGSATAQVLGSRGGLP PSLIVPSPIGNTGVRISRGQGAGWLGEHLEPFRLDADPASASYDPAAALRRAGRSLIA MGSRLGPSSGPLPLDAPARLDAPARNAFDLRREPERLRDAYGRDTFGQGCLLARRLVE GGARLVTVNMFDTVFDRTTWDCHGASPFSTLDDYARELLPRLDRALSTLLDDLGSRGL LETTMVVATGEFGRTPRLNEAGGRDHWPGVWSALLAGGGIRGGAVLGASDAHAAAPAD RPVTPQELRATMCHGLGLRRDAYLRGPSGEPYRPAGDAEPIRELLG OJF2_RS30040 MESRLRAGVLAMPIVAGLFWTSASARGQQPTVETPQIQGATANP GSSQSRLGPAPGGGDMILGSQPGRDDLLLGRAGPASPRVPTSIATPGQGDQVRRPPPI SVPEPLPVPRAPLYGTLALPSGEESEGPANGLTLDQAIDLLVRRNYDLRSKAMEIPQA RADVLTASLRANPIFYADSQLIPYGSYSERRPGGPTQYDVNISHPIDFSRKRRARVDY ATRAMRVTEAQYQDAVRIEINNLYTAFLDVLAARQTLRFARASEAGSRVLAEKTQLLY ERDIASRADVGEVRSQGQVAQVGVLDSEENLRKANRVLGMMLGMEAEEAEALEVRGSI EDRGPPPPPVDELIRAAVQCRPDVVSYRLGVLTAESGVRLSMANRYQDAYLLYQPYTY QNNAPLGGKSATSWAIGLTVPLPVYNRNQGNIERARQNVTQSRIELEGIEKRVVAEVK QAAREYEVSGQIVDRIRAQVLPTSQASLNDRLRLFQGGEANAVSYLQAQRTYNDTVKA YLDTVVRHRRSMLGLNTAVGQRILP OJF2_RS30045 MAVKASTRTAVATRPSPPKSRSAKVADQRFQQAKRASILLKHVS DPTRLQVILILSEGERHVGALCEQLSQSQPAVSHHLALLRHGNIIAPRRQGKNNFYSL TETGADLARVVKNLIG OJF2_RS30050 MKTTGMNGRRMLPIALIAAALPCGPAGCSGRPGPGAAPAPAAKG GDAPGVQEYRLVGQVKAVDRGAKEVTIRHEEIPGFMGAMTMPFRVDDASALEDVQVGD EVEAKLRVEREAGQVKDYQLLDLAVTRPAPAASLVLDLSGGTPALRQAPKRLQPGEVV PDFAMTGQDGRAFRLSDLRGKVVVLTFIYTRCPLPDFCPYMDRKFADLAAAIATSPRR AESVRLLSVSFDPEHDTPEVLARHARSRGATPPLWTFCVASHDQLAGVAGPLGLVYGP GKGEIIHNLCTAVIDPGGRLARLEVGTQSNRWSSADLLKTVQSALARPGG OJF2_RS30055 MASWRLADVTYGQVRSGPPYQVAVLPLGATEPHNLHLPYGTDTF QVDEIGARACALATERGARVLLLPAIPYGTETNQMEFPLAMNLNPSTLCRVIADLVES LDHHGVPKLLLLNGHGGNDLKWVLRELHRTTRVKLFLCNWYKVASDGYETIFEKKDDH AGEMETSMGLAHFPGLVDLDAADAGAVRPTRFEAVNRGWVEITRPWHLLTTNSGSGDP RAATAEKGEAVTRRVSERIGAFLAELASAPVDADFPF OJF2_RS30060 MPPLLRYPGTVLAMQAFEATNHYFDEAARLLDLSENIRTLLITP DREVRVEVVIEMDSGQIGNFIGYRVQHDNARGPFKGGLRYHPLVDQDEARSLASLMTW KTALVDLPYGGAKGGINCDPNKLSRGEMERLTRRFIEKIHDVIGPVKDIPAPDMGTDA QVMAWIMNEYSKYEGFNPACVTGKPVEFHGSLGREAATGYGVAIIAREVLARRKASVG GTTFAIQGYGNVGSHTARFLSQQGAKIVAVSDAYGAICNLNGIDIPALDEHVAVARKV VGFKGGEPTTNEHLLKMPVDVLIPAALGGVFDREMAQAVQAKLIIEAANGPTWPEADE VFKARGIPVVPDILANSGGVIVSYFEWVQNLQHFRWPLERIQSEEQSRMVAAFHEVYD LAEHLKVSLRTAAFYLAITRVSRAHALGGI OJF2_RS30065 MSNREIYDNPLIGRYASRAMARTWSPQVKFSTWRKLWIALAEAE QALGLNITAPQIEAMKAAVDSIDFAAAEAYEKRFRHDVMAHIHAFGDAAPAARPIIHL GATSCYVTDNTDLILLRESLLRIRDRLASVIDALGTFADRWKSLPCLGYTHFQPAQLV TVGKRATLWCQELIFDLEDVEHRLEGLKFLGVKGTTGTQASFLALFDGDHAKVEELDR RVAASFGFDESYAVSGQTYSRKVDSQVMATLAGLGESAHRFGMDLRLLAHERELEEPF EAEQIGSSAMAYKRNPMRAERLCSLARFLMALPAAASQTSATQWLERTLDDSAVRRLT LPQGFLAADAILSLYANIVPGLVVHAAVIDRHLAEQLPFMATENLLMAGVQAGGDRQA LHERIRQHSLAAAERLKEGAADNDLIARLRDDPAFPPLNFDDVLDASRYVGRSPEQVE EFLRTEVQPIRVRYPEAAEGAREELRV OJF2_RS30070 MVKLRVRAGESIQEAVRRFRKLCERSGLRKEMRRKAYYEKPSER RRREELKRLRKARQHQTSRV OJF2_RS30075 MRTNIVIDDKLMAEAMKLSGARTKREAVELALKTMVQLGRQKEI RRLRGKIPWEGDLEEMRRDS OJF2_RS30080 MILVDSSVWIDYFRGTPAPHVQKLDSLIAVAPLAMGDLVLAEVL QGCTSDKAFNEARRTLSILPLISLCGPDVAVAAARNFRTLRSLGVTVRKTIDAIIATR CIVDDLELLHNDRDFEPFQKHLGLRCVPY OJF2_RS30085 MMFAEPSAASPRELTWLLVILVGMPATAARAQVAADLGPRDDLA RVKMTDDRPLAIRSVRLGRNATPTFETVELIADVAATYANPFDPEQVAVDAEVEAPDG SKLVVPGFFFAPMRSETRADRERIVPDGTPGFRVRYAATMPGSHRIVLVARDRSGAAR SLPLNLRAERGSSHGFIRVAKRNPHYFAFDDGTPYLAVGENVCWSWGRSPLATYAAWL KGLGGAGGNWARLWLSNNEKGLEWLPAPTPKAGSGSYAGLGRYELGNAWRLDEVARLA RENGVRLMFCLGIYGEFRDGGYFNEGMWVSNPYNAKNGGPCARPEDFWTNPEAKRLYK RKLRYLIARWAAETTLFAWEFWNEIRETPEEVAWTAEMAAYLKANDPYRHLVSNTYGT SPTWELDDVDFTMTHMYGKAGDIADFTRMIERDTRAHLRFNKPYILAEFGIDWQTDDG KWDRPRSGLNMHNGAWAAVMGGGAGTSMLWYWDGYVHPANLYRVLTPVRKFVDTVNWP AANFRPIDGIRTEYTRVPPQRHEDLTIPATVEWGGASSDVYTVLRDGSVRGEPVAMTI GNPSRGNRDELPTKLTWHLDMPEPGKVLARLGDVCTKARLRVTLDGELVLDRTLQAGP EGKGPWKKSRFDGRWNIWVSDYAEDLAIDVPAGRHELTFANVEGDWLQIRSLTLPRYR TIQSPPLHALGLASDSQLLLWLHNTASTWRAEHDGKPIEPAENLRVTVPAPDGTWQLE WWDTFRGEVTRRETVRAAGGSLAIDLPRLDKDVAVKASR OJF2_RS30090 MSFAIDPEPDPEDDVDRRTLARIRDHGWQVMAVEADDEGPGFAY SVGLVRTFGHPEILTIGLDVRVLMGMINAVSELVRAGKRFDHLDESGDVLEAYNVAFR KVEPRHFRDFVGYARWYYRADEFPLLQCVWPDARGRFPWHADFPVELASRQPVLSDDR CWPFQAGKNVAYFTTRHVLAGAAVLLVSHDEEGDWQFLCGTTNDPSDGALVCLGDMLA RDSSLAEVADLPEGWMAERQAPGTDWSRSRSDRRD OJF2_RS30095 MVAESRFVYVTYIRTTPEKLWQALREPEFTREYWGGTRQESEWK PGASWRILLPDGRVADTGEILEYEENRRLVLTWRNEFIPEAHAEGHSRLTYELEAKGD RVKLTLIHEMDRPDSRLIGMVSNGWPAILSSLKSLLETGEPLEETRRWRSGD OJF2_RS30100 MDADEDKVFRALADAGRRELLDRLHAEDGQTLGQLCARMGITRQ AVTKHLKVLEGANLVVPIRRGREKRHYLNPVPIQEVADRWIHKFERGRLLALADLKER LEGDHGG OJF2_RS30105 MLDNPIWSSLTTGHARFALADGPARRFAPDVAPFLGIRDPGPES VAAMARLAEPGERITMVGVVPDEAPGWSVEKRAAVAQMVFEGNEPVEEDDPAILVLGA GDVPDMLALTGLVYPEYFRPRTHELGTYLGVRREGRLIAMAGRRMWPGHFREISAVCT HPDFRGQGLARRLLARLVTEILRDGLSPFLHVDAGNAGARAAYERAGFVVRRDLPLVR LRRESP OJF2_RS30110 MSHTPPVTRAEKLQAARQFVQRAPLPAMAFALAARDLSWTQARD FVFGLAAQNYFQLDDTVLEQFTASRDGNGDVVVTPPAEPPAGSGSSVAHTGMFSIRPD IISGLQVLYISKFTSQADIAGTVRRGVLRNLDPRFLVLLAWLCEMLRTRWGATTLYDL GFGGDENHSGNNAHHWGRAADIAGVGGEAGWGRYDITVLKHWGRQPVTMPIDWGPINP ATREHQYKKGHSYPQWPDGFAQTDYRIALPDDPDAFIRRTLEMPAQVDYASRVFQDIY QTAAIEGKDTDSPQARPTTIGKESRFIIHPDHPNTGLRTHHRDHFHVQVGPTEHAGFW KS OJF2_RS30115 MVRPDKVLDSLAGDDPLRAGHSPHGRAGGKAASVIRPTPRAWAR TGLLVMMLGAGPRVARGEEPRPSWDPGAAVRYLDARASWWMGWPAAGRGRGTACVSCH TTLSYAIARPALNRLRTRAEEPEASWRLLAGVRSRVENWAEVASPGSEGDDPFVPFYG GSRREPALDTEAVLNALVLVVNDPRAGGKLAETTARALDLMWERQGSSGGWRWLEFGL RPWEKDGEYFGATLAAIAAGTAGDAHGGSREPSIVAKASALRNFLRTRLAADPSLHHR ALALWAGSRLEGAFTDAEKARMVDELLGYQRPDGGWSSRDLGKVAGKPQSAGWVIRGA HPDGSVSDAYGTGLVALALRRSGVAAAGHGVKEGLKWLSSSQAPDGTWPAVYLNHPQD PRSEVGKFVRDAGAALAILALTDPD OJF2_RS30120 MSPGSVSIRTVGDGDEDSLRQVRELIRSFAAEYDACCGAVFAAQ GLDAELAGLPGRYAGPSGCLLLASDGRLPAGCGAFRDLGDGTCEMKRLYVAPSHRGTG LGRRLALALIAAADHAGYRRMVLDSIPEMADAVSLYRRLGFRDATPYWDHPAGHAIFM AMDLGGEHESDRPGVEPAR OJF2_RS30125 MGKVYDRIDEGVAEFIEKQRVFFVGTAPTGLDGHLNVSPKGLDS FRILGPTQVAYLDLTGSGIETVAHLRENGRITIMFCAFEGRPLIVRLYGKGRVVEPSD AGWDGLIGAFPPHPGARTIIVVDVDRVADACGYSVPRYAYEGERTQLLDWSNRKGDSG LEAYRSQKNAASIDGLPGLSTKAEAGR OJF2_RS30130 MSTIAEPRLMTTEELLALPEDGVDRELIRGVLRARPMTRRNRWH STVESRLVLFLGTWLNGRPEPRGEIVSGEAGFVLRRDPDSNVGIDVAYVSPEVAAAEP SSPYFEGPPVLAVEILSPSDTQEDIDEKIQLYLETGVAVVWIINAKFRTVTAYRPDAE PIMFSGDQELTAEPHLPGFRVRLGEVFGR OJF2_RS30135 MARRPAFTLIEVLVVIGIIGLLVGLLLPAVQSAREAARRLQCTN NLKQLALAAASYEASRGAYPFGVGGGAPAGPGRVPRWSCQSQLLRELDQAPLFNSLNF AGVAWASDTVFGPPNGTAITTSLSVFLCPSDSDRIADTPRLGHNSYRACAGTLPINLA EGSPDGTGRNDGIFWFQGSVRPATIADGLSVTAMFSERCLGGSWRLDAASDIYMSGPA PASCAGIVPRSGDRYGVPWEQSGGRWGDGGLFYTRYNHALTPGRPSCILGGTNDYSTP IVASASSRHPGGVNVAMADGSVHFIGNRVDPAVWKSLATVSGGEAADASRY OJF2_RS30140 MNRQLTGLRAFVLAICLVPAAAAIGTLAVRTGSALSPAGLYLAT SGGEPVSMYNVLKLQRGLPLYQDPRDPPFYPTTLYNAGFYAFYAAATWPWRHDTAAVV PAMRLVSLGLQALGVGASMALALLAWNRRRSPRAAGWAGLAMALAAVATCFGPLLGWW TVTTRPDIGAAAFAGIGLVVMIGLGGRRPLAAAAIAGACLAAAWSFKQSCVLIAGGMV LAAIWQRRYAVAAMLMLPSALVVAAFLAILGPDYRANVLWATSLSAFSLANLARMASL LAVKGGLPLLASAAALPFLGRAGWMRREERATLVACWLTTLLGGLVTSCRTGSEANYF FELWFVVVLLVMAGVRLLWDAAESAASPARVRRARPALAALGLMVAAWAGLDALRIAG VGDGRLGRIRLALEPGHRAEVDRAAGLARRVGGEIYCQPALSGLALDPPFPMPIFDDY VYFHRPAAERGLLRGPGLRGLLERHEFPLIVLEARSEEILAAALAAGYVRQPGWSYLA VLEPPAPAADAAVAAVMRGAGADR OJF2_RS30145 MRANVRRHGSAELGVGPGLRIDELHDAGGAGAGELTLESASSWL MGAPLSYLAAGLCLALVAVWLPLYLAWPWWADLDAYAVMAHSWDVGVRPYRDIAVYNF PGQIYEFWVLGRAFGWSATWSIYALDAALLVGFGGVLVWWSRRVLGRALPGWIGFVTF LTYYLGLDWTNVAQRDWRAAWSALTAMLILQASRSRAAGVLAALLFAVGFSIRPYAIL FLPAALVAAAHGADGAERTRAAAVRSSLAWIVAFAAITAMLFVPLVADGLLGDFLRML EMARSRYGGRSPSTLIRNLAGQCSFGNLAIYLGLLLAWLKADRACRPLVLACGLATVA AFAYRLVAPLDHLYLRHPLRLAIAVDVALLVGIGLRTMDLTATGRLMLTLLLAGAGSM ASGPSTLWYPHCAAMGMRALRAGVPLEKAPPGVTMAYPWRDYQRALDYLRRETSASTR VANLLRERSPALCGPTARLPVFPVDTPSLDWLWLNSFHTEADFVGFLERADDSVVVWS PDEPGWHDPLERPYEALTQSVRRLYRPAARFGTIEVWTRIHEG OJF2_RS30150 MTPTLVRRLTYAGAEARSFKRAAIVMKQVAGQPVSAKTIERVVR DVGLELARRRDADPRTDDSLARRPEGPPALAVVECDGGRIRTREPGHGPGVHRTSEGW RETKNACLIRARPTTSEEDPEPEPPACFADPEHVAKIAETEALSVASMASPPESPSRA GEPPEGMEMVPPADWRPKRSVRTVLSSMADSKEFGKQMAREAKRRRFPEASAKAFLGD GLAWNWSIRKRHFGEFTPILDFIHVLSYLFLVAKAVHEGPEDAWDRYLAWMRGAWRGE VGQVIEELQAWRAKLGEPPATAPDQDPRKVLAVTITYLSNNEGRMRYPEYRRSGLPVT TAWMESLVKEVNYRVKGTEMFWNDPEGAEAILQVRAAALSDDERLEAHLETRPGCPFT RRPRAPRLTRKKIRI OJF2_RS30155 MKFFVSAGEPSGDLHAANLIHALRERLPGATFLGYGGPRMAAEG AELIYPLVNLAVMWFLQVFANIATFVWLIFRADRCFREECPDAVILVDYPGLHWWIAR RAKARGIPVFYYVPPQLWAWAGWRVKKVRKFVDMVLCSLPFEPDWYRERGVANAEYVG HPYFDELDERELDGTFLRDWGVEARGGPLVAILPGSRTQELKRNLPIMLRAAALLAAD HPTARFAVAALHDRHKALVEQIIRQAVADPEEPELPAIEVHAGRTPELIRLADVAWSV SGSVSLELMMEALPTVILYKLNAIDLFIARPFIKAKFITLVNLLADAELMPEYLTTRD VSVELAAHARTWLDDPIAMARATANLAALRLEAARPGASRRAAGRIADWMESHWGVPA PHGAAYHGPHASREGKGAEAAPPPGSASR OJF2_RS30160 MSFEIEVKYRGVDHAAIAGRLGELGARPGAVQTQEDSYFNHPGR DFAETGEAFRVRRLVEEGVNRMTYKGPKHAGPTKTREEIEIGAGRGAEEMEKLLRLLD RLGFRHVATIRKRRESFHLDHEGRPLEVVLDRAQGLGDFAEVEAFAASREDLAAAQRA VLSLAATLGLGEVEPRSYLRMALEQGGR OJF2_RS30165 MVPALAAIGDLPSGILWLVALVVGLVVLCELTGLRYIANNRVGV VEKLWSVKGSVPEGGIIALQGEAGFQAEVLRGGMHFGLWRWQYRIHRVPLVTVPQGKI GYVYARDGEPLAPSQTLGCVIACNNFQDARGFLSGQQVGPDQPAIVGQRGRQRAILRE GVYAINLALFYVLTEDMVYRLGTGGTMEAKTLSNWQNELIELEGFDPVVIGGPVEAAD PLSPERKVLVDSMGIVTVHDGPSLPPGEIIAPAVGTDRNDKYFHNNYQDPEAFLLAGG RRGLQYVPLTDGTYFINRWFATLESTPKTIVPIGYVGVVVSYYGRTGNDLSGQTFRHG ERVAEGERGVQEKPLGPGKYAFNRYAGNIVLVPTTNFVLHWVTGRTETHRYDESLRSI DLVTKDAYEPTLPLSVVVHIDYQKAPNVIQRFGDVKKLITQTLDPMLSAYFRDVAHKR TMLELLQERDAIQHEASQELGRKFGNFDIECVDVLIGKPDTAEAGGKIETLLEQLRLR QLSLEQVETYAKQVTAAEKLRVLNEAQANAQMQTKLSQSLIQVRIVENEAEAQLARAR KEAEQKVVTAQAESQQRILAGRGEGARILQEGLSEASVLVRKIQSFTDPRLYALSVVA QNLAKSSQPLVPERVFIAGGGGGNGNGNGHAGDALAIGAGANAGNGLMGMLLGLLVAE KSGFDVAGLPEGNVLQDFADRISKQAMDNIQEAMAANAANGNGNGVGHGADTTAAVLS GPVPGLKDTEVIPS OJF2_RS30170 MNEIAARILFLAHLASTLFMVGLIWFVQVVHYPLFAHAGRAEYL AYQRRHMDLTTWVVGPPMLVEAATAVLLFWLRPAGIPAWQVWLGLSLVVVLWASTAFV QVPCHDSLLREYAPDVQRRLVSTNWMRTAAWSLRGLLVLAMLWKAMG OJF2_RS30175 MNSGRSLRQTFVKESRIQAPPDAVFRFHESPEALTRLIPPWESM RVAESAGSLRLGSRVVLRGRVGPIPVQWVAVHTEYEPPHLFADRQESGPFAWWYHRHR FLDDGQGGTILRDEVEYQVPMGAVGLLMGGPFVRRKLERMFAYRHEATRRIVEAAGGR GTPASEPGDRTATGPGLGGVGATGTDRG OJF2_RS30180 MSDPIASASPTWARRWLLAAGVYNLAWGAATIAFPHLLFDVTGM ERINYPEIWQCVGMIVGVYGVGYIAAAGDPRRHWPIVLVGLLGKIFGPIGFSVALLKG RFSPLFGLTILTNDLIWWIPFAMILRDAARQGRMP OJF2_RS30185 MPSYVVFGATGGIGSALCRRLAADGASLVLAGRDPKKLQPLAEE LSAHAIQVDATRFGQVEACLAEALEKYGRIDGVANCVGSLMLRSAQLTTEEEWSSILA TNLTSAFAVVRAAERIMKDGGSVVLVASAAARIGLTNQEAIAAAKAGVIGLALAAAAT YAPLGIRVNCVAPGLVRTPLTEPLTRNEATLKASTAMHPLKRIGEPEDVASAIAWLLS PEQRWVTGQVLGVDGGLGSVRAR OJF2_RS30190 MKRLDRWRSPVAIACAWAALSAFARGDEPARRKAWEWGPPSMDD AGIDRSAMEKVWTSLEGRRTTALLVIRHDRVAYERYAGGHGRHRPHYTASMAKAIVGG LGLILAMGDGLIRPDDPAARYVPGWGRDASRRAITVRQLATHTSGIEDAEGGGVPHEW LRGWKGDFWRYLPPPRDPFTLARDEASIIDVPGTRARYSNPGVAMLAYCLAASLRGAP DPDLRNLLKHRVMEPLGVPYDEWSIGYGKTTQVDGLPLVATWGGGSYSPDAVARVGRL MLRRGVWEGHQRLRPEAVARALEPGCVPGHSGLGWWVNQGADGTRLWRSAPDDAFGGA GAGHQLLLVVPSLDVIVVRNGEPLDPALDFDEALDRHLIGPVLGTLAAPVGTARPGAR OJF2_RS30195 MPDASPVAFVTVVESPAAMQSQVLLLAESLRRWGGGLADAPITC VSPRFQFPLRQSTLRRFEHLNSTYAHTNIHGPHGW OJF2_RS30200 MIAKDRGRKRLGYVLGFAVAGLAAGGTESMAQSQPRRPLLGSKP AASAPKADEKAQAPEAPVDPNNKLTLTAIPVNPTDPIAIVNDQVISRQQLADECVARE GKKVLETLINRAIVDQALKGQKKEVTAAEIDAEISNVAHRFGISREAWLRTLDKERNI SPAQYARDLIYPTLALRKLSEAKVQVTDKDLRDAFESQYGDKLRCRMIMVDKLGKAQA IWEELRKNPAGFEKIAQEQSMDSGSRSLGGLLAQPITRHAYPQTLSDAAFQQLVDGDP ADRDPNHKPKDGDFTGPIQMAESTWVIFRREGVIPAVEGVSLKDERIRKNTYDMIYEV KLKETMGLVFQALIKAAAIDNKLIGSIKLANEEQDPDFNTADRSTATVRPNAAGTADD KAVRTSGGDDAVPGGLRLPAPAAASKETVQQMERIQKTPLHTSNGKVVAPQPATGAGT GSN OJF2_RS30205 MATILDRRGGPRAAAMLPVLAISLAAAAGCGTVKTSGTSRTGTE QLLLTNAWDTALAKVDFSPLTGVPVYLDTTNVSAVDQGWVVSSLRQALLTQGVLLRPK AEQAQWVVEARVGAFGTDSYSAMVGVPQTTIPQTISGMPSGTIPEMSLYKKSHQEGVA KLALFAYDRSSGQLVWTSGTSLATSSATDRYVAGVGPIQGGTIRGGTKFIGTKIPVPY DTLNAAAPILGKSKGAEKDKAKPQESDDSSTLPFTPAPARPAAMAGDREQFAPAD OJF2_RS30210 MKVARLAVSSLAAACVFSIASSPSRGQGPGGASAPAGVIALETV EATTAPGANGPLPAPQAGRQPIVLPSRQASGDFRAMGGNAALAKLPNPFLSGQPVGRR GLFGHATPQSPPAGSARPGALPGSGAPTYESAPGTSPYPGTGAAGETPGGAQPAPSQP SATGAAQPPAPAAGAADAFAAASATNGPGFGGGLEGGTSNFAMIGDQSPFRFRSFLGA SPGATLPQPPPVPGPRAASLFYPSMRGFKIAENMSPRPQNRFFFDFNYYNNVNDTINT NDGVPINHIQAFRYLFGWEQTFNEGKGSIGLRVPINNATGDSNLAQGTGGTTRVNVPT RTAMGNLAVFAKYILEENPRTGSLASVGLAVTTPTGPGRFAGAPWFFGLNTVTIQPFL GYLYNYNNWYIQGFSAFDFPGSVQDVTLMYNDVGIGYYLLRSSDPRRFLTALAPTFEV HVNTPLTHRDWKNRFDIAGTPDVVNLTYGLNFQFYGRATLTTALITPVSSPQPFNTEF AMLLNFYYGRSRSTLPVTPPPSL OJF2_RS30215 MTRPAWTLHRLREVEASHVDGLADLLIDCVEGGASVSFMQPLTR ERAAAFWRRVATAVSTGERILLVAEDSAGICGTVQVILDMPENQPHRAEVAKMLVHRR SSRQGLGAVLMRAAEEEAREANRTLLVLDAVTGGDGARLYERLGWLLVGDIPGFALMP DGRPCSTTYYYRDLTNSSPLHGDGEGDGRSPTT OJF2_RS30220 MTATSVSLLDRLKDARPDASDWGRLHAIYLPMITGWIRRVPGMV ADAEDLAQEVFIVVVRELPVFQRRREGSFRCWLRQITVNKVRSHRKRKFRSPHALPDQ AEAFLDSLSRPGSELAREWDLDHDRHVFQKLLSIIESDFQATTWEAFRGFAIEGRPAS EVAAATGLSVSSVLQAKSRILKRLRDEAGELLA OJF2_RS30225 MANIKRPGPQNIPPERSGTLIETEEEVRQAILSGLKGQQQAAPV DRPAPAFAPERPAPVAPPPAQQPVSPFRPTSRPPVAILTVCDDGKTDGETIRIRAPKF VIGRTEGDLRISIDSRISSRHVEITLQSMGGVHRWVVTDLQSTHGLFVRVSRTVLNDR SEFLVGGGRYRFESPQPAGEATMDVGAEQGPGDFGRTRGWADEPGPLRPPALTELIGG DVGNRTLLIRPEYWIGTDPSCPICRPDDPFCEPRHARLFRTPSGGWHVEHNRSFNGLW LKMSQIVVDSTIRFQVGEQRFQIRVG OJF2_RS30230 MAATSAWHPSDVALRSSGLGELEAILAEAVAGHLEACDARGKRV AELSCDTFLGRLQGQASPTSPPPAAPSFSGLSKDDARRHASPGRSPVRTHRFRQKLGA SAHLQVVSADFDGLSRDLRSVRP OJF2_RS30235 MADSRRLGLHRAVAQFQELEEPRSEMNRKHPLASVVVIAPIAVQ AGASGPTAMAQRAAL OJF2_RS30240 MGEWEEVCAGDEVGGRQAEETGRGRRESPAYFQLEAPRDLPRLE AWRGLKSVGVAIGEAVRDGGVADEVRYDINGLPVEPDAVSFVVMSEIRCVNLPDTRTN VPTAFASDMHRRNASTLSLAAKMSATPPRVPSRWKAAADASTASFRSGTGRDRVAIST SRQPEVVP OJF2_RS30245 MSYRIPLTLALLAIQASVYGQPYVFIGPGSTAQGDYLRGLGVAA YGVGQGDVFEAQAEGIRLDNAIRFNEYVASVLKNENSENARHRAEMLRKAKENYDTRL KQLLESPDARDVARGNALNVLLEELNSPAIHPSTHRYVEVPLSIDEVRRIPFSLPRKG VKGFSMQRLTVKKRINWPLAFQDNQFERDRKRYHDALDHLLELHVQGAAQMEALDRLK SAVAALSDHLDAAFAGRETDRQFIEGRAKIRDLNDIIEMMKAHKIQLALVDLDHYDGR TVNDLREFMRRHNLQFAVPETPEEKQLFPDLYARLKIHLEKAKGGADGPIRD OJF2_RS30250 MAAARPAPPPAPATADPTPAAAPAAVKSAARGPVTQQDLVVVNA WFGRPMAARKWDLSRVTAEQEAELGRELHDLVLAFYKPVADGSLKRRVLEAADPIWEA RSRKDLGEPNITILDSDAVVAFSHPGGYIYLTRGLLDWIGEEDDEVLQFALAHEVHHI DGNDALRCLSDPKVQALPFGTATLFYLLIFPRAYTDKMEYDADAWAYLQMRRLRYSDR MSLMFLEKLNHSADRDADLLEGRALPGAKGLASLFENHYRAHPSIRTRLKKIRELSSA GTSKKN OJF2_RS30255 MPNPLTIGSRSDCDLVLNRASVSGRHCRLTRDPEGLLLEDLGST NGTFFRGERITGPRRIDPTPGDTLYLGSCPLLCEEALAHLDRPAVEVIIGRGLACGLV IDQPMVSTRHARLFRRDGLLHLEDLGSANGTFVNGQRIRGPVEIAFSDAVSLGSWLLD IPDAVARLDRAVEEAPIAREGPDARPALETDDPAESTPPAASAAVDVGPAPVRRVRPW RLLAVVAATCLVAGAVAWTPGKSVPATLSSTAVLAMAAGLALGLLPRDAATIVPRRGT CSAELSAGTVGVLASICAALSIVVWMVIARAAGLTAPSMTASVLLILTSLAGMASSLA ILALVRRPSKAWAVAGAATLLGVMLGGFVPALPSMPGLAARASGLSPARWAFEGLLIL ECGEQAPDPGAADRVASHSDAMETYFPAASHRMGLAADVLALVLLLGGSAGLAGFLAM DRHATGDTSVPAPEHA OJF2_RS30260 MSTGMAGGLEAIFAAGTLAGATDRELLERFAGKRDGEAERAFAA LVARHGPMVHSVCRALLRNGHDAEEAFQATFLVLATKAGSLRTPDLLGPWLHGVAHRT ARRLREKDSRRRRHEAEASMSAIQEDRSDRPAMGRDEIEALHEELDRLPEPYRVALIL CDLQGLTHEEAGRRLNRATGTISARVSRAREKLRGRLARRGLALSAGAIASATSMSNA SAMPPALALSTIDLAMHVTCDLAAGTVSESVLALSREISRRMLMTKMTLASAAILALG ASAAGVSALARPTPPPTAAPKAEAPTPAQPKGTPGDEPPSEADLIVRGGAALKRIASA IHAYAEAHDMAFPPQAIDGADGKPLLSWRVAILPYLGAEGKALHAQFKLDEPWDGPHN KPLLEMMPAIFAPPGAGPSEKGLTCYQVLIGEEALFTRGKAVRFSDVYDGTVNTFMVV EAKSLVPWTKPEDLPYTRGKTPGLGGRFKAGFLAVTADGAIHLIRGTIPQQMMDALIT RSSGEVVDITKAGEGVQIP OJF2_RS30265 MLVGTLIALAAPAWAVLASPKGTAGDDPAMGASRGRGGDPAVPR TLPISGRVLDPDGRPVAGARVILDLSSADATPDGFAEASASATTEADGRFALSVQRER LAEAGTRRAGSPEGPVLAAFAAGYGPAWTDDLNVGTDDGATLTLVRDEVPIEGRIRDL EGRPIAGLNVRSIQLDEAYRGDLSRWLEAIRAEAVGMASYGHFRRALPPALASMITPA KTDAEGRFRLAGIGRERLASLLISGAGVETQVGLVMTRGGPSSSVLFRHFIAAGDTRL KKVPIHAARFELVAAPGRMGEGTVDAADTRRPLPGVTVRAGRWMPGGFPDIWPPIDWP AVYVRATSDEKGHFRLPGLPATGMFDLVAEPAEGQPYMPLSRRRIRSSGTEPLRVEFP LSRGVPIRGRVKDGADGRPIAAVVAYYPKTGLDGSELLSSTPRRTHPVGWFEVVAFPG SGVVTARARGDRYMSSDLVGEERGRADQPFPDALGLPSARDFDAYASIVTPEPPEAAS CDLVLTPAPEPVVTVLDPDGRPLAGAVASGVSAADEAREAWWQSVAQSRFRVTGLTGH RIRRIWFHHEARRLAGSLSIRDGDGGPLVVRMRPWGVTSGRLVDREGRPRPGVPLSYR DAQYPDPSLKWQFPKAVTTDGQGVFSFQGLVPEQEYTVMVLGDGTVGPSPRVGDSHTL TPGETRSLGDVREAGR OJF2_RS30270 MIPDAETAEKPRILVTGGSGYVGGRLIPRLEAGGTRIRALGRKP EALRDRLGPSAEIVAGDVADRGSLDRALGGIETAYYLVHMMSGTEDFAKEDRRAAENF GGAARSAGVRRIIYLGGLGDDDDPALSPHLRSRHEVGRILRDSGVETVELRASMVVGA GSLSFELMKSLTERLPVMLCPRWLSTDCQPIAIEDLLAYLLAAKDLPPGQSRVVEIGG ADVCTYADLIREYARLKGLRRTLISVPVLTPYLSGLWLALVTPTKSEVGRHLIEGLKN PTVVRDRSAPEAFPQIRPIGIREAIRRAIEAGRES OJF2_RS30275 MVSLTHPSAEAIRAFLASQSEFPLTYASSRGDATEPPPGYVVDH TRARIGRGEEAFARAKAALERWQQVRLGWVEARPDDAPIRTGQEVAIVARRFGVWWLS ACRIVRVLDDPGPGRRFGFAYGTLPDHVGIGEERFLVEWDRSTGEVWYDILAFSRPNW LVSRIFYGYMRRLQRRFGRDSAAAMKRLVGGQPDRPIEETDDHAT OJF2_RS30280 MSAQPETTSDAEPAATDPNRVRIFDTTLRDGEQSPGASMNLAEK LEVARALAGLGVDVIEAGFPIASPGDFEAVRAVAGEITGSIVCGLARCNDKDIARAWE AVKFAQRPRIHVFLATSAIHREHKLRMTPAQIVERAVAGVKLARSLCADVEFSPEDAA RTEIDFLCEVVEAAIEAGATTVNIPDTVGYATPSQYGKVIRTLSERVPNIGRAVISTH CHDDLGMAVANTLAGVEAGARQVECTLNGIGERAGNAALEEIVMALKTRQDYYGVTTG IRTERLYPASRMVSTITGLVVQRNKAIVGRNAFAHESGIHQDGMLKERSTYEIMRPED VGVPKTDLVLGKHSGRHALRDRVNEMGYRLTDEQLEILFNDFKALADKKKEVYDEDLA VLVEKHLEDVPAHWQLLALHTTAGTSLLPTATVSIRRPDGEVVQDAAIGDGPVDAIFK AVERVTGVRANLHEFVVRGVTQGKDAQGEVTLELEVESGDRSFRGRAASTDIIEASAL AYLNAVNAIQTVRDRGQVREVVGRPGAGA OJF2_RS30285 MAAERVGEWIGDAFCLVGQAVGERLEAAADAVAEQRYLARRRAE EASERSPATTNRAWIELKDLPRLRRRPAR OJF2_RS30290 MPEESRSFQRAGMTVRVFPDAGAASRAAADRIASTIRAASPGHR AILGMATGATPQKVYSHLASLHAAGGLSFRDAVTYNLDEYYPIQPLDPKSYRCYMHRH FFSLVDLPPQQGHVLDGTVPEGFVAAHCAEFERWIEADGGLDLQLLGIGRNGHIGFNE PSELTVAEALALPTRLIELHPLTREDAAREFGGVDKVIPRALTLGVRAILSARSILML ATGAHKAEAVAAALAGQMRASLPASLLQAAGERVTWLVDEAAASGVS OJF2_RS30295 MTSSITAPASADIDRILETVKGLGRHGQVVDVREPLRHVLGPDR PLVMLCPHADDGAITAACLLHEYAVRRGLPVIEVLVFAGERNVAAPWLNDQKKISVRE AEFRLECSVLGAEAVFWNLDAYRAPGYLPSHADLNKVVEWFARRRPGAVIVPPATDAH AAHRVTRALGAIGLVGADLADTLVLTGWTPWGPLPEANAYFSYDGEAERTKEWAIHCH ASQVLLTDYTQFCSHLGRAYAALAREWAEGHSLAGRAHRTDERFVGVEMYQVESFDPE RCKNSPCDPIQVALGMLSGQIPRDQRSAQEGLRRDVEPSAAASPTDLNASHVTPL OJF2_RS30300 MIDEEAASRFMAAPWLSDVAHDAKLAILEALVEERAAAGSVLLA QGQPNDHLTFLIEGSVVLERTFPDGRKETITSLTAPAVFGTTSFFQPKPPTVTVAART AVWMLTLHHPAHEALRRDNAHAAEALSLAVVRALSERFDLLDRLFTDYIAHHREASPA SSEWSRFRSRLFEQQKL OJF2_RS30305 MRSSPSRGFVIPLLLLLLGGPGCAGLQSRLAWTSKGREEARADR PAALPAVAEEPADEAPRAIAPSSPTAARPAAPSSPDSAIWQVPQPRTARLSRWFPLLG ARGRAPGAAAPADPYGRLTDAAPPRRALSSGADTEVRPASGDVASAGDGPRRVDLVLG DPEPIPPSDDASPPAPARRRSKPLRRHNLPAPAGDVALGVMFGDAPASDPPALVETPP ELATPDEPSGPARAPRREEHLRPASLDATPTDLAVTPAPSAGPQDGKPDATAPPPAPP LDAGAPTSRPDPGLAQAPPQMPSIPSTQPPSPSPGGDSPPPIPGVTSETAPTPPAPSP AQPGQKPPAAAPESRPTAEVGPKPITPGQEPATPPAPAATTPPQTPAAASPAPPAASP AAGPQGPVAGPSIPQPPVEGSAQGRPGPSPTTWRAFTPSSQAPSAQSPARPTAQAVRP STAPRTSHRFSLLAWLHDLKHPKDSHAKTVRPSSQSPNAQSSPQLPAVAYPTSYGVTV NPPCVRPTPPQARTVVRPSPQSPANLAGPPGPSPQAVVETSGVSGKHKCAWFHWGILS ETVHKLKAKAGGDSCSCPCHAAHKGLPGGAGSPCSGGACPWASPQGSPGPQAWQVPTA GSASAQAGRVPKSGDILDRIAAKGLDEAPQR OJF2_RS30310 MNVIVVVCNSVHLGFLGAYGNAWIETPNLDRLAAEGVVFDHHFP ENLTTLPTRRSWWTGRYTFPDEERGWTALGPDELILPDRLWDRGVRTALISDVPLLRE VGLGYGRGFDDVVWVRGSGYDPLIPPGDRRAKGIRLEDEPGLRLPPDDDESRDRWKQR WEQFLRNRAALDTSKEENTGVARTVRAAIDWLERRGGEKDEFLLWLDLFSPHGPWDPP QPYRDQYATEEPDEFEAGEEGDLVEEAGDEEEIDIEEVPVLLDVPAGAVGEVLDEAEL FRLRRTYAGTVTLVDRWLGELFDALRRMGRMEDTLVVFTSDQGEPLGEHGYVRRFRPW LYEELVHTPLIVRMPGGKHGGIRRQAIVQTVDLLPTIMAALGVPAGKDDDPPLHGHDI LPLIRGEQTKVRDYACMGMDVEEFAIRTHHWHYILPLVSDPEEPRSSELYRKPEDRWD QNNVIEQFADSADQLELTLRRFVEALGRDAIEDVPGLRDAARLGGG OJF2_RS39565 MSELDPSKIDLGELRNFAAASGASRRSVIVELGGQLLKVSARRP SGVLPRKGEADAAVLRAGAGQGPGRSEDMDRLQEALGSLGLGREAVRLDAAEAFVVTV TPGQLREITRLPLVGVVRPNRTHRASRKRTGS OJF2_RS30315 MAVAAEDIQELIDGLVAGDVGVVVGIIDEDHPYDASTPYLIARG NVVSSKNHQQALDLNGTTPFKIGSVTKIFTATLLTNRFLDLPVPNWGSFADDFTVLGV PQDVAAITLQQMLSYQSGFDADNKGAVWYRG OJF2_RS30320 MLNAAKNQPLPQHAAGSCYSYSNFAWGLLGMCDLGIHSDDPNPD VTSAWVSAIERLLPAIGLRMPDTRPFPAIQASSLPAGFGTDGTILPEDTDYNPISVVL GGAGDVTSTGNDMYQWLAFHMGCVGYTPGEVDVQSVMQGTAYQATTTCGSSANPAVGL GWFFPAVAGGDKSYVSKDGGVTGYTSYMAFQRYVGESGDPTSSPTGVFVLANTRAHGG AVGLGRKLIQRLLGNSMPGAEYPDEGIIPSP OJF2_RS30325 MPTEDATIANLDTPADPIAQLEAEVADLKAVVEAGQVFFARVAK VVSDLATIKATIHGLFPAVTVK OJF2_RS30330 MNTPSPLTIDLGPGDYAGPLPDGYTLSVLMPGGARLERPAGPRN LADLAAATAGANGTSPATPPAPATAPGTAARMAGRIVIGMVIGLATVAAVRGIGADSP DVPPVGPPAPATPVNPQVPDRPSWWPPDRPVPKLDDPPPASQPVNHTPPPLEWRPLAF APGWEGLGREWEGEFLISTWRPIAQPAAQPESQSYAAVAVQPATRAIPVYRGH OJF2_RS30335 MRPDRTATACRAFLGGLAAIALPALALAPAPSPAGVGATPLASS PIRIRPTDLDEDGRVGEAAYVAYLQVGRRDWLDSIGITGDRVRALGVALEVARMEVDC RREARYGTPLEVRSRPIKVNRSSFVIQQTVRSRGDCEVRAEALVTLLAVNPSTGLSTP LPQAIRDAIATKASP OJF2_RS30340 MRHPAMNRRSALKLMAGGLAFPYISRGNAAPPSETLRHASCGAS GMAWADIQSLTASPHVKLVAVADVDLNRTAEVKGRFPGVRVYQDYRELLDKEKALDSV NISTPDHMHAAITMRAMERGLNVYTQKPLAQTIFEARQLARVAREKGLVTQMGIQIHS HPVHKAVVAIIQGGAIGKVKEVHSWSGKKWGDTAPRPERVDQVPAGLDWDGWLGVAAA RPFIRGWYHPGEWRKRLDFGTGTFGDMGCHILDPVFGSLGLTAPNEVLSTGGAPNQHS WGLDSVVRYTFPATPHTAEKPALTWYDGDRRPPAEVTALIGRRELSDQGSLYIGTEGV LYSPYIDDPVLLPADKFAGYKAPQPEGDDHYLQFVEACRGNGKTSAPFSYAGPLTEMV LLGCLSTRFPGKALAWDADALKVTNLPEANRFVRREYRQGYDAPGLARS OJF2_RS30345 MSKATVYIWMPSGKFLKNVVGKGLGVGHAAVELERDNEPIDYIT WMAHGSPFKALVPSVAGDAYRHIGQYTLAQDKRGMLGFFGQAEPSYAIELPELRLPSH RGPLQFGVDVACMANFWEDRLRTSPKYAFLSKDMNCTGCVVDALRAGGLDHYLDDPGS WVIQGASSLLTWVRDAGTKLTELNRRQAAVDDYMRNVRMSYHGPQPLQVPRLEDWKRD SDSKVSFRPFASRSEQVAALDAMIKDYPNARDDLTRCVLLVKMQHEIYSHLTTKPNSD RREAVKTLGAQVTASLERLDLDGDELENLDDARRRWIIRTMAWRTDGGPAADPHKPF OJF2_RS30350 MRQLPHPGIEETSLCGVLAALSDPVRLAIVGRLADGAERGWGDF DVDVCPSTLSHHMKTLRLAGIIDHRKDGTRCFVSLRPDLERRYPGLLACILKHAPDGR PSSAPRGHGSRPGHARDPA OJF2_RS30355 MPGLFDPIRVGELDLPNRIVMAPLTRCRAVGGGRVPNALMAEYY RQRATAGMILTEATSVDPMGVGYPDTPGIWSPEQVEGWRGVTSAVHEAGGRILLQLWH VGRISHPTYLDGKLPVAPSAVQPAGHVSLVRPITPFPTPRALERDEIAGVIAAFRKGA ENAERAGFDGVEIHGANGYLIDQFLQDGTNRREDDYGGPVENRARFLLEVADAVASVW GPGRVGMHLAPRGDLHDMGDSDLAGTFEHAARELGARGLAFLCTRARQLGDGLGARLK AAFGGVYIANEKLTKETAEALIASGEADAAAFGVPFIANPDLPRRFALNGPLNEADPT TFYAPGPGGYTDYPTLEGLARAV OJF2_RS30360 MVVASLETLLMVWAVVIGPFAWLLRDGLGPGATDSGGWQSVGRF LMTFYWGPILLALAGLRFLAGRRLPGG OJF2_RS30365 MTTASELGAAALAHHRGGRLREAEAIYRRVLEADPRDADAWHLL GVAACQGARYEEGDRCIRQALQLRPDWAEAEFNLANALRDQGRLREAADRYRRVLELH PAFPPAAYHLGNTLRDLGDAEQAVASYRTALRSRPDHVEALCNLGELLSVRGRRDEAE ACLRRVLELRPGMAAAHNHLGNLLAGRGRLDEAAASLERAVDLEPGSAPAHNNLGLVY WKAGRLDRAEASFRRAALLDDRPAEVHNNLGNVLRELGRLDEAVACYEASIARRPGYA DAHNNLGTALREQGRLAEALACYRRAIEIDPALAAAHSNLLYALIFCPDHERRDILEE HLRWSRRHAEPLSGSAGPHANARDPGRRLRVGYVSPDFRDHAESFFTVPLLEAHDHQG FEIFCYADISRPDGITARLRACADAWRETTGLDDAQLARLIREDGIDILVDLTMHMGG NRLLAFARRPAPVQACWLAYQGTTGLSTIDYRLTDRLIDPPGRHDDDYVERSIRLPDA FWCYDPLADGPSANRLPALDRGHVTFGCLNNFCKVNARVLSLWAKVLLAVDRSTLILL APEGSARQRASDLLEGEGVGRDRLAFVGRQPRASYLELHHLIDIGLDTFPYNGQTTTL DAFWMGVPVVSLAGATAPSRAGLSLLSHLGLPELAAESPDEFVGIAASLAADLPRLAS LRRALRPSLEASPLMDPHRFARGIEGAYRAMWHRWCAPRSGTPGAPS OJF2_RS30370 MRVHADPALRVLVVDDNVDTAASLALLFESWGHEVAQAHDGPQA IRVAHEMRPHAVVLDVGLPLMDGFEVAQKLRQLPGGDRVLIVCSSGFNREVDRRRADE VGIDEYLVKPFDPFALEGMLAACRPSRQALSA OJF2_RS30375 MKSILVVDDEFDLVGMLRSILEGEGYGTDSCSNGRAALERLKAS KPDLLLMDVMLPYLSGLEVLRTMKGIPGLDGIPVVLMSSVQPGVKQRDYRWDAFLRKP FGLEDLLRTVRRFVGTPEGAGRA OJF2_RS30380 MAFHQIGEPEERQPPPEGPERVESGIPRLDYILKGGFLKGGTYN LIGPPGSGKTILGNQLCFNHIGRAGGRCVYISLLVESHAKMLRHLASLKFFDTGMIPE RLYYVSGYSALREGGPDALLELIRATLRDRQATLLVVDGMESIRQFAEGEQKIKEFVH ELQAFTALIGCTSLLMCFKDPSYSFTENAVVDGVIELSDQLIGPRAVRELVVHKFRGG DYLRGRHEVEITEGGIVIHPRTEIQFDKPPGQATEQRIRMPFGVAELDRMLFGGLPSG STTALLGAPGTGKTLLGLSFLVEGARQGHHGTYFGFYEPPPRLLEKAGQVGIELEKYV EEGLIDVVWQPPLEHMLDSLAEQLLEKIREHQKPRRRLFFDGVEGFRAASVYPDRLPR FLSAFCNQLRASDVTAVMTEELPLFRPEIDMPNPELANVVETVILLRYVELRSQLYRL LSIMKMRESRYDTSIREFRITDEGLDVAASFESAEAILTGLGRATAAPSVKEDQP OJF2_RS30385 MSDHHSVRPRVAKPVPDDERGWLGMMVDLCPFPALVVDLESGEA LIDNPAARDIPLRPPAEGHDGDDYFVERDGARIDPRDLARAIASPATAPGGVEVSWHA RGREFDFRVFCRRLPAADGLAPLAFLTFLDITDRRATEWELRRALEVRDEFFSIATHE LKDPLFSLQLANQLLRRALVRHGEIPAQVVHHLDVSRRQTERLSGLVDNLLDVSRIMN RRIQLDIEALDLADLVQEAAGRFRERAESSSTPVVTEIAGPIIGYFDRQKIEQVLGNL LSNAFKYGGGRPVTVRVHADEETAVLEVEDQGAGIAPEDQARIFGRFERASKGYRKES LGLGLYIVRSLVEAHGGSIGVRSEPGRGATFTVTLPRKRLPVDEGPSAAGSGHGPNRG OJF2_RS30390 MTQPPQSFTPEPAGSGRDETRADVLEDQRRRWRLGERTPVEAYL DRHPHLVDRSEDALCLIYQEVILREQAGQSPQLEEYQRRFPQWATELAVQFEVHRAIE SSLVESTVASDRSTASVDGVAMPAGRMVAGCEVLEVLGRGGMGVVYRARQPGLNREVA LKMILAGADGSPWASARFRTEAEAVARLQHPNIVQVFQVAEHDGLPCLLLEYAAGGTL AQKLDGIPWAVRRAAETAEVLARAMDYAHARGVVHRDLKPSNVLLAADGTPKVADFGL AKLVQGGESLTRTGDVLGTASYMAPEQAGGPGKVGPTADVYALGVILYEMLTGRPPFR AESTHQTLRQVIAVEPVSPGRLRPGLSRDLETICLKCLEKRPAKRYASAGHLGDDLRR FLDGRPIQARRVGPIGRLVRWSGRHPAVAGLTAALATLVAAAAIGLMLSARNERRLRL QAEGNLDVARQIVDEMYTQVAADVEGRPGMDAYQRRLLERALRFYRSFALRQSGRPEV RHEAAEAGLRAGDITLKLGRVEEAEEAYRGAVEVLEGLAGEAPAEPRYRSTLAGGLDR AGRLAAATSRTDRAEAMLRRAVAMYETLAAGSPNDAGYREGLARARVDLGSVFLQAGR LAEAEEEFRKARDLAEALVREQPEVFRHHAGLGTSYANLGRIAQETGRWGEARSNLER AVDEYGKQARGEPSRADSRFDLAMAVSNVAHLASDTRRMVEARAAYLRAEAIVAGLLK EHPDVSPYRKGLAKLRLNLGEVQRRAGRLDEAVQALRGAVPLWDELVEDEPEVTENRA SLALCLNDLGIAYVALGRFDEAEAAYRRAVPLRERLAADHPEQVELNVQLGGIYGNLG QLHSSRRDHRAAIGWFDRASGVLEGVLRAEPRHAEARRYLRNVMSQRGAALDALGRPA EALSAWDRALELQEGLPPDSSASFTCAGRAEALEALGRYPEALAAWDRAIRDAPEPSR EDYVSSRALTLARMGDHARATASAAAAFRSPLNAYNAACVYALSCSAVRHMNNAPPGA ATISAGYAARAVEILGLVRATGHFNDPAQLRLLLTDGDLDSLRDRPDFRLMIYDLLFP ADVFARK OJF2_RS30395 MSETRAVARRVVITGLGTVNPLGLDVASAREGLREGRGGIGPIS PFDANAFPGRFAGEVKGFDPSDLPDPHAARRMDRCSRFAVHAAVEAVRDGGLGFSAGG PYRRGVVRGESDVMLSGGSEAAIKPMGLGGFVSARALSTRNHAPAAASRPFDRDRDGF VLSEGAGVVLEELEQGRRRGARIYRELTGFGRTNDAHGIAAPDPEGRGAVRAIQLALE DAGLDPGDIDCINAHATRTALGDGRGAAESVGRRDDAPCGGPLDRTDHDDLGAEPEEG RASGPLRRSGSNRPLSVGLQEHLEPASTRRVS OJF2_RS30400 MMQGSTDGPGRSDPALGDELARFFTPRPVPATARDRALKDRGRS MAVGDGLAATAWGQGPTVLMAHGWESRGTHWGSFVEPLTDAGFRVVAVDAPAHGDSPG ETTHVLEYGLALAAIGRDLGGLAGVVGHSWGAAAAAIAASRGLDAERFVLLGGPATLR GVVVRWCERRGIAGADALRFQEAVEGRVGQPLDGFDLTRLAPGFSHPALIVHDRGDEE MPVEEAVAVAEAWPGSRLLLTQRYGHRRILLAKDVIARVVAFLGGRDGDEGQAWPGAA RPANAAGGDA OJF2_RS30405 MKAESRRRGAYEQVAGECIAARVRLMNRVVTSPCDEALRPHGLR VSQVNILIAIACGEERRPAGVCRALRLERSTLGRDVELMKGKGWPESEPTDGGRNQVL RLTPAGHELLARARPAWEEAQAEVETIRRLSAKLGLAQGGDRDVEGATR OJF2_RS30410 MPRHRSSPEPSPASWDGIGFLLSQVASHSSARFAAGLEPLGLKP SHAGILRVIGEADGSSQQSLGERLGVFASRLVGLIDDLESRGFVERRDNPSDRRSYAL HLTPAGREALAQISGLSRRLEGEVFASLDASERARMADFLRRIAAQQGLRPGVHPGIG KSGGPDSEPAPPRRKARRREPGPA OJF2_RS30415 MGLNHVQLRVADVAANRAFFEDHFGLRCVADRGDVLAVLADEDS IVALNHFPKAADFTYPDDYWAFHIGFLQPNRERVDAIHASLKAGGYAPEEPREFHGAW TFYVKAPGGFYVEVGHQHSLSDGGVGGS OJF2_RS30420 MSPSDPSQPRFPSLPIIQGGPPPESPRRSMADRYGGLLYLGVAG LVVLAGLLGWFGYRAWALRDVWRDIYVLNDPREPDPSRVEAAARLAGDPRVEPRQLWD LALNRLLPDRARCVLAEGIGSDLVASDPRGFANAVARSPDWPGWLRLALVRPLALAST DGHTLPRERLGDLCRGDDPILRLWALYTLAVQPRPDPQTAAELDLAASTDRPEHELAA MLLEALRGPRAARLEILDRATRWNHEHHAETRALWQHPAPS OJF2_RS30425 MHRLLGRLRREEAGATAVEYAVLLALILVAVMTAINALGSTSSG IWANDTTNITSSIGGS OJF2_RS30430 MKVKREPEDFRVDERIAVRPAERGRYVLYRLSKRGLGTIEAVDL ICRRWNLAGRRVSYGGLKDRHAVTTQYLSILEGPDRPIREPSFELEPVGRLDVPYGPQ HFDGNRFGIVLRDMAEADAASAIDALERLPADGLPNYFDDQRFGSVGFSGEFIGHAWL KGDHERALRLALAEPTPFDRSGVKAQKAVVRRHWRDWAEAKARLDRSSTRSIVTYLVD HPEDFRGAFARLKRELRTLYFSAFQSHLWNLLLSAWIDRMTRPDQRVPVDLKVATLTF PRGLDEGQREAFRAAAIPLPSARTPEPAGSMGELAREVLTPFQLEWKDLRVRHLKDVF LSKGSRAAIVYPEDVRAATEDDGLHPGRKALRMGFSLGKGSYATILVKRITGAAGAAS PTDGEP OJF2_RS30435 MTPAVLYEDNHCLVVDKPAGLLSQGDVTGDPSLVTWAADYLKAR YEKPGNVYVGLVHRLDRPTSGVVLLARTSKAAGRLAEQFRSGGIQKVYLAVVEGGPPS AEGTWDDVLEKDRRTNRVRVVERPAGGSGEKDAEVAYRVLERSRGRSTIELRPITGRS HQLRVQLAARGLPIVGDRKYGASSVLEAVDGGRRIALHARSLTFIHPTRGVAITVEAP LPADWPAAAGR OJF2_RS30440 MFRLQWFGPRAYGRGRPGLPGGQGRRLVVLGLVAAACLSQTGCQ SGPFSPCGFVGRTTSRLMRPFSKCGSGCATGTCGEVVADGGCFPGGVATEAVVAPGIS TAPPIVVPPASSTPSNVGSPDTQLDAIPSATPGPAPTSRSSGRVPPPANQKTSSTYET LRPDSSGRVRGESLARNFGSTPAPAPRSAQEVKQAAARGPADVDSDSVLDRLPPLDLP REVTERGITPPVAPAVEREKKAPAPSPATTSTPAAAPAAAAAAAGTAASQDAPAAGEA PGMAHFAVVDMRLAGGSVPTRAGLDWLADRGYKTIVDLREPSEASAGIISQAARRGVR YIALPAASKAIDRDQVSRFNFEIADNDARPLYFFDADGSRAGALWYIRRVALDRVERD VARREAEDIGLKDSEGWLAATNYLDALADPHAAVPATSSNATSAADPPPVTPAARPVA SSASASS OJF2_RS30445 MAVVAGVSALVFASEIRDEPFVDEYAYITQSYQPDALYAGMGNE AAWLDFLSFDLVPLPKYLINAAFRVTGTPRPGPYDAASWYRNTSSRWGTTRDLMIARL PFACCAVLGCAAICGLAGLTAGPRVMVLAGFALAMNPLFRLHAHRAMSEAPCEAFLIL ALLLGLMGWTRWWSSRGLAPAALPLWFASGCCAGLSMLAKFNGLLALFTMASWGVLGL ATARGASFARRASLVAGVGLAIVSAAGTFVLLNPFMTAHPVGTPEPMRSLAEMGAISR FRFLIDQRRESSASQQRMFAHNALLGPLERAKVVAVQGLGRFGLLGPPKSDSTLRYDV QDLGALLWMPLVLLGLVRAILLGRRQRIAGEPPTAWALVLWAAVSAAVVTLYIPMAWD RYLLPIQAPFAVLAAIPLREALGRLLLQPAES OJF2_RS30455 MTIAPANVYWLILPLVVSISLVYAASRHESWPRIWMHSLRLCLW ILGVLIGSTALLLLINTQV OJF2_RS30460 MPQPTIELRDPYRAAFLAWLIPGLGHFYQGRTGKGWLYALCILG LYFVGFFLGEGKVVYWRWVSPLSNPEKFCIYYPGQFFVGLPALPALLQGTLHYFAPDM NAPFWGFMAEPPQNILNGMHPRLGKLVEIGTIYTTVAGLLNILAIYDAFEGPAYLDSE EPAAEEPAEAIAGAGREGVKAGGPA OJF2_RS30465 MWYEHWGLVRDPFQDEGAPYVPLASHDEAVARLLHLIDSAEPVG FLRGDPGSGKSRVLGRALEAARRPDRKFAMATGRMGRDALLGTLARKLGGRPAEAATE SAALRSLRQAVAVCRIQGAAVVLVVDGDPEDADQRGLLVALLGLAREAGRVTVIAAGE DGDEAGEAEEALASWSLRIRMPRLTFTEAEGYVRGRLDSAGCPEPLFGRRAMARLHLL SGGTPRGLNRLASLSLMAASLRRLEAVPSDLVDDVSGECRLPVGLGPPA OJF2_RS30470 MSARFHAPDPPRAGRYELRAEEARHLARVCRYAIGDRVEIFDGD GFVTEAEVVEIARDRVELVAVGPPILEEPPACPLTLATAMPKGERLDWLVEKATEVGV SRLVPLITERSVVDPRGSKLERLRRTIVEASKQSGRSRLMVLDEPVSWPEMATRAFGG VRLIADPGGLPHSRWPEVARGPGVALAIGPEGGFSPGEVQLALDSCWHPVRLARSILR IETAALVGAALVLGRREEG OJF2_RS30475 MSVVEILMVVLLGLAAGFLSGMFGIGGGLVIVPLLIVFYNFEPK TAVGTSLFALLLPTGLLAVLEYYRRGEMRPLAGLFIAAGLFCGAYFGARITGAISVST MKRSYGVFLIVVAIYFFWSSSPERNPMTARRPRASGDAPALDLSNGSPPSEAPAPPRG OJF2_RS30480 MRRIRFTIRRLMAITAAACVTAAGLVQIRRDLTPPPAVPWSPPS LEPPAGPWFPRPMNHGEISFVNMEDDEKGVRPPRVITLRDSWGDLRFRPPPAPPPPAE LVRFLRWALFIREG OJF2_RS30485 MAARVTSVFLYVKDVRRSLEFYNEVVGAEVLQVHAEHEGAPYTL AILRLGYFTLMIHPQEPHADEFVDARLGVGIHLQMQVDDVDRFYQHCMDEGAILALSG EPTDQPWGWREFALRDPDGFVWSVYQDKTGGQWTV OJF2_RS30490 MTAMGMILGLALLAGGACTEGEVAYRPSPEESSVPELFRLKPEA YRYELEPVLSTPRYTVSRLRFPSPIATADAENNTVHAEYFEPRGGTPGGRPAVVVLHI LGADFPLSRYLAARLADRGVAALFVKLPYYGERRPRGGPGPVPTKFLSSDMERTMTSM RQGVCDVRRGLGWLASRPGNDPARLGVVGISLGGIVGSVAVAVDPSASRGAFLLAGGD LSKILWDMPETAKFRQSWEASGRTIRELKALTDPFDPLTYASRLKGKDVLMIAGNVDE VVPPASTRALWEAAGRPPILWYDCGHYSAVGYLLPGIRRAVDFLAAPGPPPRPRPSRG OJF2_RS30495 MIQNLAERLRSSRPRRICVIKPSSLGDIVHALPILPALRKLFPD ARISWVVNQGFRSLLDGHPDLDEVIAYDRGGSGVSGRSVVATARLCGMLLDRRFDLTI DLQGLLRSGLMTAATRAGVRLGMADAREGATWFYTDLVTSSRLDTHAVDRVLQVASAL GDDGPVARFTIPVSEDDRRWAVGALAGLPRPRLALNMGARWLTKRWPPEHFAEIARRA AMELGAGLVAVGSAEDRPLARAIRDGLGNVPCLDLTGKTSLRRLAAVARECDLFVSND TGPLHLAAAAGATVVGIYTCTDPRRTGPYGANATVVRSCVWCAPSFRKSCDRLECFRE LTPARVWPAVRQQLEKSRHGTIRPELPRKTSGPHHALRQFCNFEMDSL OJF2_RS30500 MGRVTELIGRIGSLFAAPEARRRGFRPAIDGLEGRWLLTTLPKG FAQSVVAQGLVRPSGMAMMPDGRILVIQQTGEVRVIRGGRLLPSPMLTVKTDAAGERG LLGIAVNPNFKANPSIFLYYTVPGSPAHNRVSRFRVSGDVADPASEADLLDLPALGDV HHNGGSLQFGKDHKLYIGVGDNRVSSNAQSLATPFGKVLRINADGTIPADNPFYNQTT GVNRAIWAVGLRNPFSMAVQPRTGRLFINDVGESTWEKIDRGARGANYGWPITENATG DPRFTAPLYAYDHGPAGVNGAAITGGVFYNPARPRFPRSFAGRYFFADIEGWVKVYNP ANGAVRPFATGLAPNVDALNVDAAGNLYVLSQGDGPAAGTLVRIRFGRR OJF2_RS30505 MMNLRTLCGAVVLGIAAAIGGASACAGQVDLYVGGSYTGQDVLN YTYKGSYDGGGGSIDVSSINGVAVPFLYCVDIPDSVNVSADYRNTLVTSDARVWLGSD TSNIGTIENAGSVAYLLAKYGAEASAYAQSHGGSRAAEGGLQAAIWQVIYGKDFAIDP SCAAYSFYSTYYADVTGGNSDPSSWKQAGLGHVLWLSPSNDPSISLDHAPDRVYQALI TAAVPEPASLVAGCTGALALAGFGLLRRRGA OJF2_RS30510 MESYDLVVIGGGPAGIQGATTARILGKTVALVDRHHELGGAGAN TGTVPSKTLRETAVVLSGLRSRDLYGVDLSLRRKATVADFLRHEQHVKQGLNARFNQQ LEACSTCVFFGEATFLGPHTIHVRGRSSGEASDAHKEQAALDEDRIIRGEKILIATGS SPSRPGIFPFGPGVFDSDTLLNLCNLPETMAVLGAGTIGCEYACTFAALGTKVHLIDG RDALLPFLDREIAAALVAEMERAGIVFRWKERATSCVVEQAPCQGGPGRVRLGFDSGE SLVVEEVLVAAGRVSNTATLKLEAAGVKVGEKGLIPVDPSFRTNVPHIYAAGDVIGFP ALASTSIEQAQRAVQHAFGRSPAPGTPELLPHGIWTIPEIGYVGATEEELVKKGIPHV VGRASYADNPRGRILGDLAGFLKLLFRLPDLELLGAHMIGEQATDAIHIGMMAMFAQV RADQFDDMCFNLPTLGELYKYAAFEAILAAEKIGVDRPLPVAAPEAL OJF2_RS30515 MPLPEIRQGAEPSVSISSAPPDLPSSPLLEVRGLEKWYGRRQVV NGVDFEVAQGEVVGLLGPNGAGKTTSFRMTIGLIDSDGGTVKFDGRNVTKLPMYLRAR AGMGYLAQDSSVFRQLSVEDNLMAILQTRPGMGRKQRKARQDELLDQFGLTKIRKTKA NRVSGGERRRLEIARSLITEPKLIMLDEPFAGIDPKTVAEIQDQIRALVETYNIGILL TDHQFRETLEVTDRCYLIREGRVFAYGNREQILNNSDVRKHYIGERFDVGHLLERRKP NALEREPGYDAPGGSGTSSISTMRLPELPGRSGQHELPDVSSQQISTTELVVGPPPCG FADDDPFAALDQDAP OJF2_RS30520 MGRTASALQPGTAPKSKGKTEEERPGDGFREIAEAVVVAFVAAL VARGFEAQAFVIPTGSMAPTLLGRHKELTCPQCGFVYTINASDEVESRGHPRRVDSGV CVNCRYQTRGLTSSPSFKGDRILVSMFPYDLPFLPGASPPERWDVVVFRYPEEPEVSY IKRLVGLPGETIRIRHGDVYVRPPGGQGFSLARKPLEHQSSMQITVYDDRYRPKALEG LDAWRRWRPAAADAWKEDASAPATFRSAAATAEWAELRYRHLAPDPEQWESLEQNEAP LRGPRSSLITDFYSYNTNLSSGDSDLVQPHGEDAERAWLQPHWVGDLTLQADVQADAA AGEVRLELIKGGVTHRATIDVATGRARLDRGDAALKEFATALRGPGSHHVELANVDDR LTLLVDGRAPAEEGEGAEYDRGDDNPVPTAMDLSPAAVAIRGGTFRVSNLVLKRDIYY TQYPGRHDYGSTVFEDRTPRNAAELLDVLADPARFPGFGKGRSHDYQLGDDRYMMLGD NSPRSKDSRGWDSSDTWDTSNRQSWEVPRNLVTGKAFFVYWPHGVPFGPTVGVMGGDT RLPFRPYFERMRWIR OJF2_RS30525 MTQPGPQTGNRWRENPASGVVRQTMDLIVMLCLGVLLFRTFSAE AYVVPTGSMAPTLLGHHRELICPNCRYTFVIGLDEEGRQPRAVCPNCGKDGLERLDAV ECNGDRVLVQKFLFDFRAPRRWEVAVFHFPGDPSQAYVKRVVGLPGESVQVRDGDVYV DGRIARKTPEELQAMQILVHDCRYVPDDSDRYPRWDFLRGWPRRPLPTGWRRDGTGFV HDPIARIPGAYEPEDWLQYHHWDPVLGRYGPIRDHYPYNGGDLAADNVIADLAVEAVM EVGADVESLSIRLRSGGDRFVVRIPVNGRGADRPSLQVARNGRRVAVRPLLDPFEVVD SGPWPRAIRLEASAVDHRLRVAIDGRPLFEPIDYDDPASGPPPDESPFGLGVRGGSVA VRDLKIFRDVYYTSTLGGIPRHPHGVSEPCRLGPDEFFVLGDNSPVSSDSRFWGGSPV VPRSMFLGKPFLVHLPGQVVALEVFGRSVYWVPDPRRIRYIH OJF2_RS30530 MAFDPKYIRNFSIVAHIDHGKSTLADQLLLQSGAISQREFREQL LDDMDLERERGITIKARAVAINYDLDGRTYELNLIDTPGHVDFHYEVSRSLAACEGAI LLVDATQGVQAQTVANAYLAIGNDLSIVPVLNKIDMQAARPEEIKEEIMHTLGIDPDD VLAVSAKTGLGVPDVFRAIIDRVPPPAGDPKGPLRAMIFDSKFDDYQGVVTYVRVVDG VLKVGQKIRMMAGGTEHEVIALGRFRPREVPCDELGVGQVGYVVANIKKLSDVRIGDT ITQALGGASEALPGYQEPVPVVFCGLFPASHNQFDDLRTALQKLALNDSSFSFEPETS DALGFGFRCGFLGMLHMEIVQQRLERESNLALVQTAPNVTYEILTKQGETLHISNPTR IPDAGEVEEFREPIAKVNFIIPSDCIGVIMQLCEDRRGVYIKTEYLSTTRAILTYELP LAEMIYDLYDKLKSATRGYGTMDYELLGYRAGELCRLDILVAGQKVDALSVVVHRAHA DRRGRKLVRKLKGEIDRHQFEVAIQAAIGSRVIARESISALRKNVTAKCYGGDISRKR KLLEKQKEGKKRMKQVGNVEISQEAFLSVLDDAED OJF2_RS30535 MRCISALSTARTMNAAFHQILEKLEGDRGDGPADLCVIFSSMHH ADEVGRMAALLIEQGRALHVLGCTAESVAGESREVEGAPALAVLALDAPGISIEPIRL DGPDPSPTSFASLVGDDPSGRALILLADPFSFRTDEFLRAVNEELRGLRVVGGMASGS QVPRGNQLILDDQAYLDGAVAMLLGGPIGLRTLVSQGCRPVGHTMIVTGAENNVIREL GRRPALEALRAMFQELPPDDRRRIQDGLHIGRVINEFQGEFGRGDFLVRNVMGADDSG AIQINDVVRVGKTIQFHVRDAETADDDLRLALLRVRDDPSRTSRPAGALLFSCNGRGT RLFDGPDHDVRTIRDVFPDLPVAGFFAMGEIGPVGGQNFVHGYTASILLFECPA OJF2_RS30540 MGSLISMTKMLVAVAVGCSALCVLTAAGVILPPAEETRIQGRLT VNGQPVKQTTIVFSPRNMPLGEGWGAGHTDLAGEFHLISGGVEQGLKPGAYTVHLIRE NAALSSDQDADAQIRRQIPPQFFEATTTDIVVQVDGGASCRMDINLPAGPGMRVASK OJF2_RS30545 MKAAAGAASLLAWRSMPALANPLGLPIGCQVYPLKSMLGDLSAF AKTMAGIGVTRLELCSPIGYGRDFASLKDGKEVRKVLADQGIESVSSHFSMSELRKGQ ARSIDWAKEVGITQMVTATLADGNGGDSPTLDQVKHAADEYNAIAEKAAAAGMQQGLH NEGFEVAMVDGRRVYDLLLELLDPKLVKFQFQMSTITNGLVAADYFLKYPGRFNSMHL QDIDMNAPPPAAKKAGRPAQRPQVALGEGTIDWAKTFAAAKTGGVTSYYVEQTWDLTR KSVAYLRTLPA OJF2_RS30550 MKSDDAASARGGAGGLSRRRAIQAGSAAAFAIVPRHVLGGAGYV APNEKITLACVGFGTQAIREIGGILASPDVQVVSVCDVEKDGAHYLEWGKGQLRGEIR KLIGNPRWREGDDRVPGGRDVGREVVEGYYAKQRGKDAFKGCSTYADFRELLDREKDV TAVKVMTPDHTHAAISLAALKRGLNVIVHKPLANRVLEARAVIEAARQNRIATHFMPA SEGAYQRRALEMIRDGAIGTLREIHNWSMRPMWPQFDAVPADRPPVPEGFDWTLWLGP SRDRPYHPAYTHTTFRGWYEFGAGSIADMGHYSLWPIFQALELDAPESVESTPSHVST SVDGICRRIKNDYSFPAACTVRMRFAAKGDRGPIDLHWYDGGIKPPVPDELMAEDREL AEEGMLFVGDRGKVLGGFRAEDPRLIPEPRMRAYLNEKGRPDDAGRGRGGRQGGDAAA RNAAWIDAFKGGPASYGDFTLAGPISDAMNLAAISLRLGGRRVHWDPKAARITNIAEA NRYLSRDYRPGWEL OJF2_RS30555 MRSYQPSAGARCMLVFMTLTLAGPGARADDGPRGHAAVRLLGDM STASLVLDTEPFAEWLKPVIAAAEARFPLAKGRKALVVQVTLRHDGPAVLEIAGNPAP SGEDVKAIEAAATQTSYRTKAASYSLRIVVKEKEGDPDDLPPLTPELRTPRERLLKRV AEAPTREGLQLLQRWAIDEALPLLAAAANGADRKFPGVRYLGGALSKALADPKKGLDV EKLATRNPDFWRATLEMERGNPLAPAVEMSLLLANGRVQWAQQIATMAGIFAGPSGAS DVLGFGKALADAYLASLNGRIEKGIALYDSGKVEEALAAFDAILEDDPRSAWALYERF HTMRTIRMKKEGNLLSGGWAATRAKILASFPLYTSVAEASGQDGFFDLMRRKQLDHLF KEGRPVEKDLVTYADIALDLEAFDMAGLMYWSVLTSIRPEDYDNRPLLESFLYALERL GVRNIKGNFKGDHEAAFAAIEADRRRRVDAALKPDKKP OJF2_RS30560 MEFQQLRMFLKVAEQGSFTKAARDCGVSQPAISQQVGKLEATLG RPLFERQGRQVTLTEAGELLRRRALQIISLVDDTARLLRDDGETGRVVVSAIPTIAPY LMPGLLEAFHEDHPRARVEVNEEVTEVILRRCSQGEIDVGVLAMPASGGYLRFETLFE EEMLLVTPADHPLAGRDRVELDDLRDQPFVLLDEAHCLSDDIRSFCRRRQFQPVSTGR VSQLATVQELVAQGFGISLVPAMAVPVDPGPRLRFRPLDGDRPSRTITACWNPDRYQS RLMLRFLETLKRGRGGAS OJF2_RS30565 MLSVGEKFPEFTAKASLGIGPEALLTISSEQFQGKWVVYFFYPK DFTFVCPTELVAFNERLEDFKDRDVEIIGGSTDNEFSHLAWCRSHDDLKKLGYPLIAA QKLAPALGICDPVEGYANRATFLVDPNGVVQWAAVYNGNVGRSVDEVIRVIDAVQSDE LCPCNWKKGEATIQA OJF2_RS30570 MRSLDELKAVLTDDTKDLRLNIGNVLDNGDLKPEERWAVALASA YFLRAGELAEALIAAGSAHLTPGALADAKAAASIMGMNTVYYRFRHMVGKESYSQRQA GLRMARMARPATTKGLFELASMGCAVIAGCETCIKAHEQSLLAEGYGEDRVHQAVRIA AVVQGFAIAVAAEGVPASA OJF2_RS30575 MSARPTLSHVAELCGVSAATVSRVLNKKKAFSVSPAVRQRIEEA AERLGYVPDLAARNLNRGTTRIIGLFASPATQIAEGIYEPLIEGILEVLHASDYDVFF DLSSSRKRTVPFWRFDGALLLQCPRAEVAEELDRRRVPYVCANEKLGSSSVQVLADDR QGTRRAVEHLAQLGHRRIAYANARATHLAHYSISERHESLVDASRECRIELAGDHDVP FADGADFLRRAVIEAAATAVIAYDHQIAVTLVGAAAALGLEIPEDFSLVCFNDIFPVS LLPTPLTAVSVPAREMGRISASQLLNGLASPTKGAASREIRVPEELVTRRSTAPPTRG AARASRRATSRPGPRPDRRRRD OJF2_RS30580 MSEAFPSIKKIAYGGPKSKDPLEYKWYNADEEVGGKTMRDHLRF SVVYWHTFRGTGSDPFGVGTMLRPWDDGSDSVENAQKRARAAFEFFEKLGAPYYAFHD RDVAPEGASLAETNRNLDAVVKVLGEEQQRTGIKLLWGTANLFSNRRYMHGAATSPNL DSFTFAAAQVKKALEVTHELGGEGYTFWGGREGYQTLWNTDMKRELDHLGRFLHMAVD YKKQIGFQGPFYIEPKPKEPTKHQYDSDAAACLNFLRTYDLMEHFKLNLETNHATLAG HEMMHEMQVANGAGALGSIDANTGDYLLGWDTDQFPTNVYLTTQCMLEVLAMGGFTTG GVNFDAKVRRESFEPIDLFYAHIGGMDAFARGLKIAHAIRQDGRLADFVKQRYASWDG ELGRDVEAGKVSLADLEARALKMGDVATIASGRQEFLENLINEFF OJF2_RS30585 MRALIVVAAMALVSIVAASSPCAASGEASGRPNVVLIVTDDQGF GELGATGNPVIRTPHIDRLASQGVSLTLFHVMPVCSPTRACLMTGRYNYRTGVTDTYL GRSMMHHDETTLAEMLAAAGYRTGIFGKWHLGDNVPMRAMDRGFQESLVLNGGGLAQP GDPPAPVDERGAYFNPTLRRNGRWVKTRGYVSDVITDAAVEFVGREAGGPFFAYLAFN APHSPHQVPDEYGKRHAPGDFDAARYPRAGNPMAAKHDPRMLGRVYGMIENIDDNVGR LLARLDERGLARDTIVVLFSDNGCQDHDGFNAGLRGWKGTTYEGGIHQFCFVRWPARL EQGRKVDRVAAAIDLAPTLLDLCGVPKPDRVAFDGRSLAPLLRGDRADWPDRTLFFQW HRGDAPERYRAFAARSQDWKLVQARGAGESWDGKAAFQLFDMKDDPFEQHDLAAREPG RVARMKAEYDAWFDDVTRGRNYAEPPRIAIGSPSENPVLLTRQDWRGRGASWTKDGIG HWEVDVVAPTRCEITMRFAPAKEGGDAVFSCGGVTERRPIRAGETACTLPGVSLPAGP GRLEGRLEFGPRTAGVHYVEARF OJF2_RS30590 MPKDEIDGPGPMAAIGDAAKSDRSRAGSGGSRAIGGHRLDPAGM EDEEDAATLRHAAPGPAPSDPSPQERAGLPRVGSDFLGFQLLKVLGKGAFGVVYLARQ AELADRLVVLKVTSRRDDEPRILAQLQHTNIVPIYSIHVTPSHQVVCMPYFGATTLDD VGEHIRSQDKLPETGFGLISSLIENRSDGRSDSASRPEMDAAPAPRVSDPDRGDAAGD AAQAGPSLSDDTLDYLKGLTYVEAVLWVGSRLASGLAHAHERRILHLDLKPANVLLTD EGQPMLLDLNLSMDLKQAGAPTPPGGTVLYMSPEQLDAFQSKTGEVDGRSDIYSLGVM LFELLTRRRHLPLSRGSSRGIVDGVIASRKAPSPPVRCWNKAVTPAVESIIRHCLEPD PARRYRDARELQEDIDRHLSNLPLRHAREPSLLERGAKWRRRHPTLASSATMAFVATI CLVLACSMIWVALSDGRRDRAILGHLRFREDFQKSQLLLNTAHDGSTGHLMRGLEVAR SAMAPYLDEDGRLKVSAPAFQELPGPEQASLRSDLAELILLEVRARIALAEQSEPAPR RGETYLWALDRLGCMRSIDRHLPSAFYQDRARLLAAIGRPVDAERDRRRGGRIRVRNA RDHYLLGTSLLAQRQGDRAEPLLSRAVALDPRQFWAWFALGICHSDQGRNSDAAGDFA ICTALVPQLAWPYLNRGLCLARCGRLTEAVTAYDQALRLDPGLAEARVDRGLALLELG HPDRALVDLDRAVASDVVGPAARAARAESLSRLGRHAESEAAFSELIAQSPGDPTPLV ARGFSRLQRDPAGAAADFGRALELDPKNARAYLGRAHLARPRDQRAALAEAERALAID PDFGDALQLRALIRAHLNDPGAEADVDRLLRVPTPQGLYNAACAMSILSRGRAEARYR TLSIRFLERALQAGLAPDNIADDPDLAPLAGTAEFLRILQALPRRPKAGTPALR OJF2_RS30595 MSRSPNAARRPSPRRRPRLDPLERRLALSGGIDPVDLPASVPSY QPAADPTPPADVALTLRPVTPADGAVLTSSPDSLVLEFNRPVFPDTVQSDVVLVRLDD AGNYVWAASLPPIVVDPSATRLTVPLDVQLSPGRYRLWVPGSSSIMDLDGNYLTDGVT DLVLGEFEVTSPRLRLSDATELGTPGSAPTDVVGSLDFGSPSTSVALYRVELAAGHFW RLGVESTAQRDGGALDSALALFDEGGRLISSQDAGRPDAPKDPFLFAGLQPGTYYIGV SGVGNLPDLPGGYDPLGGSYETVTQAQPGGLFTLHVVADAVDSPPAVLGLTLDHADPI DPAPTGLTLAFSRVIAGTSQLGDRQWTLDHGIEVVDGTGHAWPVRALSYPEEDARITY LFDRPLPPGHYAVRLPDRQGLTDLAGLSPVASGLPPGELGQFDVPARQGPRAPGDLGA MLPQAAIDGVSIDLSRAASQADSYRFVVTVPGLYVFRLGPGGGPAVVRLQGQGVNEDL SAADTNDTQLSPGVYTIRLQGPTAHSSGVTVTFGLASDPFEVLLANGVGQGPGLSLRL IARTAPEDTPADTPAAPGLAVGLGPLPGPPDAGRIGPAPLAGSSPPPVEPRAVRSIPS QGSPSATTTFIGAGGDLAGRPSLASPTPDASGQGAAPASATVDLRGALPGQSLNAWPL GPARLSWGDAGLADRAYGETATATIAPSLASLDVRGAIDSFAGIPWWAARQGRSLLEW AGLRRGSSRDAVRIQGRMSDGGLATPEAGSDPTPAIGADESSDDGGSPGGFAPHLVVV SVVAIAQGCRCVVRWAKGRANPRLALPPTAPDASLAWDRTRLALYLGSRNRAG OJF2_RS30600 MMRDRRRGRLSRREPGFRAVRPLLEGLEDRFLLYATTSTQWAKP KLITYSFVPDGTSIGGVPSNLQATLNARFATADWKAQFAKAATVWQKVANVNFSLVPD NGAPLGTSGNQQSDPRFGDIRIGGYAMAGNILAFAYLAPPANGGTNAGDMFFNTTQLW QINGTTVDLETVAIHEFGHALGMGHTTDSAAAMYPTYVTTKQAVDADDTNGIRTIYNS RQNDFFDANGANDSSRSADDISSYLYSNGQLTLSALDSTTPIMIGVNDVDWYKVTAPA STTGTMVVRMQSTNLSLLAPTLSVYNGAGTTMLGQKVSYNMGDTVAVTINGVVPGQVF DIRAQGSTTGDAGFGAYGLQVNFGSLQQPPVTSPNTTMAETADRGGGTMNESTDPADD SAPPQDDASVIPGIPTFWVYNDDDATVSEDAGVVGPNDTGGDSSDTAAGSSDGGGGTT GDGSSTDGTTISVGDLTGQGDALMIDPSAGVPRRPRAADAAAPWAPLWWSARPARPAA MPVQAPAEGLSLITTIDFLNQTNDLNLPFMTLTRPKKQASDTRPAQIVDLAIATGA OJF2_RS30605 MSVAGKRLRGPWREPPPALSAFFPQGGEPETAELDCGPQAGEQG MGPRDGRTGQASLAVVLASIALGLLVESLLGPDWTAAFLCLGAVAGVLKRVPRPAPIV AAAMALAANTWLLPSAAARAYLALAPGIRLVIWCLEGLLINWLLVRLVERASGDRAAA GQPSGAMGADEIDESSLRRLMESSSRSQEQLRLMVESIGEFAIIMLTPEGRVASWNIG AARILGYHASEIIGRDHSEFFVAEDVARQVPGRLIAAAEQDGTLEAEGWRRRKDGSRL WASVGVSPMRNDEGEILGFATVVRDVTEKRDAEQALLRSKDDLEVRVRDRTAELAAAN AALEAEVAERIQAERVLQQQSLVLRSILDSIGDAVIVAEGDGKPLTFNASARALFGVG ERPLTAEDWLGLDMLKVAESAGAPGAGTARERPLGLALRGQRVDDLEITLKTAGGGPV RWLLANSRGLRRPAGESRGAVVAFRDITERRRQAEEVRAAKETAERACRARDQFLAML SHELRTPLTPILLATSSLLEREGLAAELGSSLELIHRNARLEARLIDDLLDLTRATTG RLSLVMSRADVHRAIRLAAEVCRPAIEDCGVELRLELEATLPEMMGDPARLQQVFWNL IKNAVKFTPEGGRVTIRTRNVAGPEGGPPRFEAEVIDTGIGIDPARLPTIFTPFASKP ADQRRFGGLGLGLAISRSVVEAHGGHLACSSGGEGRGATFTVRFETCEAAAAGDAPQE VPGASLDDASAAGGLAILLVEDNRDTLHYLSKVLGECGHVVNPAGDYRTARRLAEACA FDLVISDIDLPDGTGLDLMRELTDRSPTAGIALSGFGSAEDVAMSLRSGFSEHLTKPI EVGKLHEAIRRVAKAKFGGRLGSAEAPPQTHGPTAPPGDGRGRAAAPAASPRVCFDSL QQTGR OJF2_RS30610 MATEESRRGGGLGEAGLIHGESLAIDRPRFDQVGWIRRAFVKKE TKILDNWPMMVYYTKD OJF2_RS40875 MSFEHLPERQARLAQDLYEELRAASDADIRAMAELLATKPDDEL FGEAEFQLRDMVHRVGAKALQAAAMQRKKGGM OJF2_RS30620 MTIDRTHILILNYNGRSLLEECLPTIVEAAEGAGVPCAVSVIDN ASTDGSCDLLAQRWPRVGLIRHENLGLASFNDVLATLDEPVVLLLNNDVKLEGGSIPP LLRAFEEHDDALFSAPLCWTFDGREYEGMRTRVRSRFGLVQGMCRVPGSEAAWREADL TAAAGPVLAVDRLRFLEIGGYDPVYFPGRIEDLDLGFRGWMAGYRGYYEPGSVAYHRG LATFGPAFGDAGCDRLAVRNSFLFAWKNLGGPRLARHLLWIPPRLAYWLARGRIDLAL ALVAAARRWDDVRRRRRSRRDADGTWVDRQEAFFRRFAW OJF2_RS30625 MASRGIQLVIDARPRGPSGPLAVEPLLGRPVLARLLDQAAPLAS DHRPIAVHAREDEHELLRGLVAEAAPGRAVLATGPPLSGATVLRTDRLYDARRLRRAI RRGADVESAVVWRLDQGRGLAAAEEELKRRLTYQPLGRFWAFGLARALAEALAPTRVR PNIVTLAAAGLMLAASAMVAFGGPAPGLAAATAAALAAALVLDTADGRLARLQGTSSP FGRWLDQVLDELADMSLHAAIAWSAYASSGDVRWLLLGMAYPSGKYIFVMQSLGGEAL ERAWEPAASRPAPSRRLRRWARPARRAVEMAGHADVRWHLWIVLAAMRRLDLALVAYA AYFPLRALAGGLRKAVGRA OJF2_RS30630 MPEPRISALIVARNEAENLPGCLDSVAFADERVVVVDSRSDDAT LEIARARADVVAVRDFDDFAGQRNAGRAMASGDWILSIDADERVTPGLAGEIRRAIRD ADSSGLVGFRLPIRSEILGRPFGYSGTQQDIPLRLFRRGLGAWTGQVHETVAISGPIG RMSGFLTHRTLPSMQAFLGKIDHYTTLEASDLYRAGERFRASDLAARPFWVFLKLYLA KQGFRDGLEGLMFCALSGLSVAVRTWKLREIDLAKGAA OJF2_RS30635 MKNLHEAEVASRFDAQRRRFKSAVADDDYRLLGLLDAVGPVRGL TILDLGCGKGRFARALRRRGAAVIGVDIAAAMLAEATGVPRARASSRRLPFRDASFDA VIAVEAVEHMDPAGCEATLREARRVLRPGGRLAIVDKNVAALSARRPWLPSVAEKRID ELRGRWMYPAWGPVRERWFWPSRLRRALERRFERVDVRRLLSPAERERWLFRRLPAAR LMTLWVAEAPGGRNVQ OJF2_RS30640 MSSNLYRPILAPLPLLLWKTPPGLELILGQEGVAHEVIRDAHPY AFRRGRFVLFDGRTSSRAEIRSLTTREQVAIDVDAFRRAEPADPFEALVDQGSSGAIW KYKQWRLRERVARRPKAAIRRALVAAIREGVESGGGAWMRLSPFPYPYRSAFNLRVDL DEPVPEDYHRFALSRNLLADCTTHFVSTQAYEQHPVVMADLLRQDTQSHGHFHYVYRD PVANLRNLERADRILRDRGFEPAAFAGPHGRWNPGLDDAMESLGYAYSSDFQIGYDDL PFFPWKGARFSQVLQVPIHPVCEGLFLEDGATDGRIVAEYLCRVVEAKVAAGEPAFVY GHPERRLGRMPEVIIGLNRVLQGHSLVWRTTLTEMARWWRWRASRRYVVLPREGGRLE IQLEDWDDEYPLAMEIHRGAFSCTIPIRGTRTVVRPEDLAYERREEPGGGLLRPPYPD HRQRGLRETVRRAIDWETVTPLDELSSATLSDRVKKGLRWWKLRRTGTG OJF2_RS30645 METSTDRDRLMAWTSSSLGHDLPTAEWLRSRSAHARADGAILLH APSFAFQAPGGGENQLVQTGRHLEAIGRAVGLFCPWTDRLDRAAVLHLFGMSREGLEL ARRAKARGTPVVLSPICWFEPAALWHLEDRPAARLKGLAGWAARRAVPSMPGWRRELL SLADRVLPNSHAEARQLARLFGVDDRKIAVVPNGVLERFRHATPDAFRGRHGVEDFVL FVGRIEPRKNPLGLILAARLLGVRVVAMGAAPAEHRGYLERCREAGGSLVRWLGEHEH DDPLLASAYAAARVFALPSWFETPGLAALEAALAGSRVVITPYGSTREYFGEHAEYAR PDRVDEVAEAIARCWARPRDPALASFVASNYLWGRVAARTAEVYDQVAR OJF2_RS30650 MTRSPASPRPVRTGRYRYSKLRWRLLAHAVDGVGTVAMRAWRCV QPERAAPAPRRILLIQLDHLGDSVLSSPLIENLRKAYPDAALDVLASPSNHEVFEADP RIDRVRVAAKTWFERYPGRWSMLRAVWDLGRSIRGEGYDLGIDVRGDILTVLVLALGG VPRRVGWTMGGGGFLLTDVARWVRGRHEVRSRMALLEPLGIEAEPEPRAVVHVTGRDR AAAARVLAEAWPRRSARRAEVPVGAAVAADSAGWSRPRDLRPVPLPPIPAEAGDALHA GRFGHMPPLLAIHTGAGTAAKRWPAAHWCELARRFLQDGWRIVVVGGPDDLPSSEGLP RHDRLRNVAGKLSVTQTAALLERADLFIGADSGPAHLAASAGTLSVVLFSGTNHAAQW RPWSRHSLILRHKVPCRPCHQKACPLADHPCMSELTPDRVHRAAIRWWSRVHHAESPH NPI OJF2_RS30655 MTQDPIPARDESGARTPSRTRLLGAVRDWVVLAWVIVWFWVYVQ TALAERFPQLLGWTRRLW OJF2_RS30660 MPDETRPCDERRLRLSLEDRLDDREQAELAAHLEFCGPCRERLE RLAAASRYWGEARSLREGGPDAPAHATVRLDFGRDDDEGDRDEGDGPSRAWLRLLDPP DPDRPGMLGRLGDYEIVEVLGQGGMGVVLKARDPSLDRMVAIKLLNPSLAHSANARRR FQREAKAAAAVGHEHIVAIHAVDEFRGLPYLVMQFIPGRSLQERIDATGPMATREVLR IGMQAARALAAAHAQGVVHRDIKPANILLENCVEKVKLTDFGLARAVDDASVTQSGVI AGTPQYMAPEQARGEPVDGRTDLFALGAVLYAMISGRPPFRAPSTMAMLKRVCEDRHR PVREIDPETPDWLATIVDRLLAKEPADRFQTAGEVADLLEQGLAHLQHPAAVARPASA SRAPSASPEHLLELELPSSKLHPRRPRHRLALAACLAVAVMASIGVVRAGGLAEAADL VATILRIKTPEGTLVVKVDDPEVKVEVDDETIVIGGAGPQQIRLKTGPHRVVATRNGQ PVRDEIISITRGTRKIVAIDFEADEVATTVTTSTPAVRKGRTVEVGPGGMLKVTETKP NPPLAPTLPAPPTPPAHEGPAAPSLPSVPSVPAPPETPSALPSAPSPSPAVPSVPPVP PAAAAPGATSITTITVRHGQGEYVPLVGRALVWSLAYSPDGKRLVIGQQGINGEASPL RTWDVEKRRFADVSVQPDAYRAVAVSSDGGTYEAGTLGGRLELGTLKADAGSIHGASI DSPINDLRYLRDGRNLVIGTWESGAIVTEDAKPTATNRRDYPGRVFAVAVRPVGKQHV AVGGEPGEILIYEVEANRRVATIESLGAPVESLDYSPDGKVLASAGQDRAVRLWDAES GKSLAMLGPFDRAVLGVRFSPDGRLLAASEGEPGAAHDKALPCKVTLWEVATRQKTHE LWAHDGSIYALAFSPDGKTLATGSMDQTVKFWDVASGLLRATIVPGETGASSPPVPVP PVPPSPPGR OJF2_RS30665 MIESPLTRQSLLVRLKDPADGRAWGEFVAIYAPLIDRLARARGL QEADAADLAQEVFRAVAKAIDDYDPDPARGSFRGWLFRIARNLMINLLAARRSRPQAT GDSDVRRLLDQVPAPDAAETALFDLEYRRGLFQWAAGEVRGEFREPTWRAFWLTAVEE REPKDAASELGISVGAVYIARSRVMARLRAVIEKVESETSGTFEARGKP OJF2_RS30670 MALLFGLTLFAAAWLLFVVQPMIGKMMLPLLGGTPAVWNTCMLF FQAALLGGYAYAHLLTARLSRRKGMLVHGGLILLALASLPIAARSDEALTSGGAAHPA AWLLGQLSVTAGLPFFVVAATAPLLQRWFAGTGHPSARDPYFLYAASNLGSMLALLGY PFLVEPNLTLAAQSSGWAAGYGLLGLLVLGCGVAAARDARRAPPPPMEEAVDVRPRAK DWPAWVGLAFIPSSLLLGVTTFLTTDLAPFPLLWVVPLAIYLLTFILAFGSHTWLPHA WIVRLAAPSLVFLMVLLCLHANETGWVPVHLAVFFLAAMACHGELVRHRPRASRLTEF YLAMSLGGALGGLFNAIVAPLAFSWVAEYPLMLVVAATVAAGLAAGAGWRRPSLAELV LPVLLGVALLWLAPGLLDQLGLRSGRLGIILTYSLAGLIALGFWWRGRAVGLGLALAA MLLASQSDLNYEGVVRVQERNFFGVLRVADDATGEYRRLIHSGTLHGIQSLDPSRRDE PLSYYHRTGPFGQVFREWSASAAAGASRKVAAVGLGAGSLVSYATPEQDWTFFEIDPD VVRIAEDPALFTYLKDCRAGAWHVEIGDARLRLRRADDASFGLIVLDAFSSDAIPTHL LTREALAMERAKLAPGGLIAFHISNRFIDLAPVLGRLADDAGMAARVRADVHLAPGLM EQGKLGSIWLVMAADEASLGVLGRDPGWARPPSTAERVWTDDQSDIVRHLRLDLR OJF2_RS30675 MLQDERLGDAGQREPGMARGSMTRRGGQAYRLLATEDKLRKAAR HGSTAASRRPGVPAALKPNGPLAMCTVRSRSAGSAICGRTSARA OJF2_RS30680 MTMTARMVTCLLVWAAALLPMVAGVRACAADDDAAAKKADGWLA AMTLDEKIGQMTQVDFKAFKDLGDITRLSLGSVLCGGNSDPDDITPAGWAKAQDDCQA LALKARLKIPLIFGVDAVHGHNNVDGAVIFPHNVGLGASRDPSVVEKAARVTALEMVG TGIRWAFAPCVAVARNERWGRTYESFGERPELAAELGPAAVRGLQGDSLAAADSVLAC VKHFVGDGGTTNGVDQGNTECDEAALRQIHLPGYVASIKQGAGSIMASYSSWNGKKLH GHKYLVTDLLKGELGFGGFVVSDWAGVDQLSPDFKAAIDQSINAGVDMVMIPNGPGQK NNYVEFIDKLKELVNEGKVPASRIDDAVRRILLIKARMNLADHPYSDPALKAKVGSAE HREVARDCVRKTLVLLKNDRKVLPLSKSIKKLVVAGPAANDIGIQCGGWTIAWQGKAG DVIHGGTTVLAALKEALGPGVEVVHSADGSGAAGADAAVVVIGERPYAEMFGDRRGGK DLGLPADDLAALKKVREAGIPVATVVFSGRPVLLGPVLESSDAILAAWLPGTEGRGIA DVLLGDYKPTAKLPHTWPRSMEQIPCNPEDGAASEALFPFGFGLSY OJF2_RS30685 MSEVHGPPAAGELTPAGSGGGSDSDELLLGLILRHQRHAWRRGE RARVAAYLDQHAALGRDPAAVLDLIYNEVLLREEAGEVPLLEEYIAEFPGLAPELRLQ FEVEDAIRGGRLNDAEDEPTLADRSVVRSALPRPVIPGYEILEELGRGGMGVVHRARQ LRLNRIVAIKTILAGDHATPQAAARFLAEAEAVARLQHPNIVQIFALGEHEGRPYFEM EYVPGGSLAGRMRGQAWAPRDAAQTVEILARAIHEAHEIGIIHRDLKPANILMTADGA PKIADFGLAKWVEVETGLTKSEWIVGSPHYMAPEQAGGTGGREAVGRAADVYSLGAIL YELLTGQPPFRGATVLETLEQVKFAAPHFPSRLRPSLPRDLVTVCLKCLEKQPLRRYA GADILAEELRRFLEGRTIQARRPALPERAWRWARREPALALLALSLVAGLAGVSSQWW RAESHLGEALRHRRLAQGRLDVAMKSFERVEALAGDPALHGRRQEGVRGQLLGTLLEV YKKLQESLAKDDSAEARVRLSKGYQSIARIAFELGRLDEALAASEHAVLVTEQTNASK PGDPLMLQELASAHARQGFSLRVMGRSEEAFGAYDRARSIQERLAKERPSDEPIRSAL AGTYSNLGLIELELGRPEEAVALHARAIQLREAPWHAHPGDAASASDMAWAVRYRAQA TAAMGRMDEARRLIEEAISLLEPHAGAPDAPQMTRWRLARCFDELGRIRMASGRFDDA ASPLERASRALEALDGEYPAFYYGDFVRNQMFLATQRTFAGQPEAAAAFVRRAHEILA RSAKAPREEFLLDLACGYALWSVSCTEGNVDPMEREHRAGRAVAAIRRWVTERARGAD LLRRDPALAPLRGRPDFLGLIKDLSVPADPPGR OJF2_RS30690 MIDAPAFEELIRRVRAGDPAAAEELVRTYEPAIRRAVRFRLADA RLGTLLDSMDICQSVLASFFVRAASGQYELESPEQLLGLLTAMARNKLASESRRQHAQ RRDTRRVSAADGAAEMVADPDDSPSTVVAARDLLQEVRRRLSPDERRLLEMRNQGSEW TAIAASLGGGAEALRRRLSRALDRVARELGLDDEP OJF2_RS30695 MPVPNFRRLLENRLGRRPRRDRRAVRLSVLESLEDRSTPAVTAS FSPGAAVLTIFGDSLNNTITVSRDAAGSILVNGGAVSILGGTPTVANTALIQAFGQAG NDTITLSEANGALPKANLFGGAGDDVLTGGSGGDLLFGQAGNDTLLGKGGNDLLFGGD GNDALTGGDGDDQVFGEAGNDRMIWNPGDDTDLNEGGAGIDTVEVNGGNGSETFTATA NGTRVRFDRTTPAPFSIDIGTSENLVVNANGGDDTFSASNGLASLIKLTVDGGAGNDT ILGGDGNDVLVGGDGNDFIDGNRGNDTILMGAGDDVFRWDPGDGSDVVEGQAGNDRMQ FNGANIAEKFDVSANGTRVRFTRDVANITMDLNGVEAIDLNALGGSDLLTVNSLVGTG LTAINADLAGTIGGSAGDGAADAVIVNGTNGNDAINVFGAGSSVSVVGLSATVNLTNS DGANDSLVINALGGNDGVTASSLPAGVVNLTVDAGAGNDTVLGSQGSDVILGGDGNDF VDGNRGDDVALLGAGDDVFQWDPGDGSDIVEGQGGADKMLFFGANIAENIDVSANGTR VRFTRDVGNIVMDLNGVEGIEFRALGGADNVVVNDLTGTGVTRVDVDLRGPTGGGDGA ADSVTVNGTNGADNILVGGDSGGVSVNGLAAQVNIFSQEKANDRLTVNALGGDDTVNA SSLKANGIQLTLNGGAGIDLLVGSDGDDVINGGTGNDTALMGKGDDTFVWNPGDGSDI VEGQAGFDAMLFNGANIAEKIDISANGGRVRFTRDVASITMDLNDVEGIDFNARGGAD TITVNDLSGTDVTEVNLDLAGVPASGVGDGAADRVIVNATNGDDVAVVAGDASGVAVL GLAAQVNITGAEAANDSLTVNALGGDDVIQASGLSAGAISLVEDGGDGDDVLIGGGGN DTLLGGDGDDVLIGGPGQDVLDGGTGSNVLLQD OJF2_RS30700 MSGPAEIVRLEPSPDRSLRDLLVERGVPFPCGGAMLCTGCKVRV LEGDVPVTEGMAAAFSDRQVAEGWRLGCMARSDGPVVLEIPR OJF2_RS30705 MSRLRELFGGQEVVLTDGAWGTELQGRGLAMGRPGDPWNLERPA DVLAVARAYVGAGSRVILTNTFRANAVALAAIGLEGRVGEINRRGAQISREAAAARPG VRVFGSMGPTGKVLATGEIGPEAVSSAFDEQAKALAAGGVDALLFETFSEIEEARLAV RAARAAGLPIVVSFAFWRGEERDRTMTGASPEDAGAAMAEEGADAVGANCGEGPEAFP GVCRRLKASSGLPVWIKPNAGLPSLREGRAVYAMTPEEFGGHAASIVDAGANFLGGCC GTSPEFIRALAGMLESCASE OJF2_RS30710 MLGGRSIAAAVLLFTSTAGALAADPGAYGARAEEVTEFIHRTYF DPASGAYARSLTERKPDYVWHQGVMLSNLVAAARADRVRYRPLLDRYVRALDAYWDPK VAIPGYEPAATKGNGNDKYYDDNAWLVISLLEDYESSREPRELARADDALRFVLSGWD EALGGGIWWHQLHKDGTKNTCSNGPAAVGCLRLARFRDGERAAELVEMARKIVAWTRS NLQDSDGLFDDRKVVSTGEVKRGKLTYNTALMIQALLGLYRATGEKPHLDEAVRVATA GDWFLDAGTGAYRDAPRYSHFMVEADLDTHRATGDRRFLERARKNADVLYGRWKAKPF ADMVSNAALARILWLMAESETESGREFWRRADASRP OJF2_RS30715 MKNPLDRRRFLASAAAGAGAAVVTSTARGEEAAPALLGGPPVRR EPFPSWPRSGRLEEEGLLGVLRSGKWFRGGGDRVDHFETSFAAMTGAAHCVAVANGTS ALVTSLAALGIGPGDEVIVPVYTFIATVNAVLLHYAMPVFVDTDPETFQIDARKVEAA ITDRTAAIMPVHLGGNVADMDAILEIGRRRKIPVVEDACQAHLAEWRGKKVGTMGAAG CFSFQVSKNLSSGEGGAILTGDADLAGRCYAFQNNNRGRAAEGYTFSYQGGRGANLRL TEFQGSLLLAQMTRLEEQSRTREQNARYLTGLLEEIPGIRPARQYEGCTRNAYHLYMF RFKADLFGGLSRDRFLQALQAEGIPCSGGYAPLNKDGSVSAAIASKGFGRIYPRELLD GFAARNACPANDALCGEAVWFTQNMLLGPRADMDQIAAAVRKVRANVGALAKA OJF2_RS30720 MTTPPRTDSPTTRRGILQAAAAAAAVGTLAGAPAPASAAAKANA SSGRLKQSVCRWCYGKIPLEDLCVAAKGMGLVGIDLLGPSDFATVKKHGLICTMVNSH PLSDGLCDPKYRDSALKAMSAAIEATSREGWRNVICFSGNARGIDRRKGMDNCVAALK EIVPVAEKANVILNMELLNSKVDHHDYMCDNSTWGVELVKRVGSDHFKLLYDIYHMQI MEGDVIRTIERDHAAFGHYHTGGNPGRHEIDDSQELNYKAIARAIADLKFDGFYAHEF IPVRQPLASLAEAVELCTV OJF2_RS30725 MNRRSFLQAGAAGLALSQYRDTALAYADGPPKRVGLIGSGWYGK CDLLRLIQVAPVEVVSICDVDRKMLDEAAELIASRQASKKKPRTYSDYRKMLAEKDLE IVLIATPDHWHALPMIAAAEAGADIYVQKPISVDVREGQAMVAAARKHGRVVQVGTQR RSTPHLIEARDRIINEGKLGKIGFAETYCYYHMRGQGGPDTAPPEYLDWDFWCGPAPM RPFNPMIHPRGWRGFKEYGNGILGDMCIHMLDMVRWMLGLGWPTRIASTGGTFVQKQG PANIPDTQTATFDFGDLNVVWEHRTWGREADPKYPWGATIYGDKGTLKLSVWGYDFIP LGGGTPIHRDVAYELDQYPEDKTEKDLEKHVAPAIRRHMLDFLAAIQSRGKPVADIEQ GHISTSCCILANTALELGRTLAWDAEKGCVKDDEEATRRLARPYRGPWVHPSAG OJF2_RS30730 MFRTIVTSAGLAVALAILPPAAPAQKAAPNAREKEAKLIATLNK ADASRKAKADACRELAAVGTAEAVPPLAALLSSEELGHMARYGLEPIPDPAVDVALRD AMGKLKGNLLVGVIGSVGVRRDPKAVPALVQKLGDPDAEVAAAAARALGRIGNRDAAE ALEKALGTMPAACRVNACEGLFRAADALRTQGQRREARAIYEKLASAEVPEYVKDAAK RAEQGLEQARGR OJF2_RS30735 MSDSRPARGTIEDTGLTRRQCLGTTAAAGAAALMPAIIPATALG RGAAAPSERITMAGIGIGARGEHDLRWMLPEKDVQFVAICDARKERREAVKRIIDQKN GNSDCKPYRDIREFLATRPDVDAVLIATGDRWHASAAIMAMRAGKDVYSEKPSCMTIA EGRAVVQAARRYGRIYQTGTQRLSEPNFVAAIEMARTGRLGHVHTVRAHIAPWDAAEM SHSWLPAEPEPPKDEVDWDEWLGPCPWRPFNTAYIKGGWRGHYDFHTSCIGEWGAHTF AQGQAGIDALNTSAVEYGYVNNPTGDGMVVKFASGVKMILQKDGWHGSCGMKFEGTEG WVTIADNYEKPEVSSPSLLAEADKLVADYSERTGRPMNHVRNFLDCVKSRRPTVANAE VMHRTMSTVHAANICMWLKRDMVYDPVKEEFLNDAEANRLRSRAQREPWII OJF2_RS30740 MIRKLSLVALAAVLVAPTARAQTQVQATGATGAVNDVLFAEVAA IGGLAELTISELGQTKATDPELKKFSSRMIADHTRLNQQLMTLAGQKGIALPKTLDTR STFCSQSLAGLSGEKFDMCYAKAQVQVHMESVAAFEAEAERGQDPDVKALAAKALPVI KEHLQMIKPIAMRYEKDQNAAGAHGNQDTPRP OJF2_RS30745 MRPVTGNPSRREFLARSAALAGVASLAGRQASASEERPILDFPL VDLHAHLDNSSLEQVLPLGAERRVKFGIVEHAGTKENKYPTVLSNDDELLAYLEKLEG KGVYRGLQAEWTDWAGCFSPEVLSRVDYVLTDAMTFPGKGGRRVKLWEKVDEDEVGLR DHDRFMDRFVDWHVQIIETEPFDILANASWLPDALLPSYARLWTEPRIRKVVDAALKR GVAIEISASYRLPKLAFLRKAKAAGAKFSFGSNGRYPNMGKLDYCVEMARALGLKAPD MFIPGTQDQKAVVRRGK OJF2_RS30750 MSLLLPRLKSRRVERRRRPSLLDLEARCLLSAGVVDDPSLLLDE LPAIPAQTAPLYPRASDEYQGYVVAATATTTKNSLSAVPALNSLPGAKATIYLNFSGD FTASYGQYSSISTPAYDQDGDPTTFSDSELASIRQIWSYVAEDYAPFNVNVTTVAPAN MGHGATQKVDIGGDGSWTHQTVGGLCYVNSFTAQSLPNISFVFPGRLANGDPKYTGDA ASHEAGHGFGLEHQSKYSGSALVAEYYSGPGTGVAPLMGNSYLARRSIWWSGPSDVSS TTIQNDESIISSANNGFSFRQVASSSAASPLPLAIQGGTSVGASGLIVLGQQQDFYRL DVGAGQTTIKVSVPADVNNLSPVVTLTDASGTTTVATATVSTTDFSATLDVNLAAGSY RLVVSGGGQYGNVGTYSISGTIVAPSTTDGGGSLGGSLGGSATVAPVRVGPSRLAVTT VDASRVGISWSDVPDGAGFLVDRSTDGVSWGVLAAVGPGLTTFLDANVLPSTTYFYRV RTAYPDGGTPASPTVQATTAAAAIPFIPAPPAAVGNVSVVSRQAQRVVLTWTPSTSPV SGYQVERSTNGKRWTVVGQVSAPTTDFADATVAANRAYTYRIRAVNPYGLSPASRTLR VTTPRQVVHLMRRKWR OJF2_RS30755 MDSASQPSPSPHPDSLSPLRVMILGNGNRTEVHDDALRLAGSLG CAPGVELAGIDLSADSDLSELPADVALVIGGDGTVLHTARRMNDRPTPVLGVNAGRLG FLADLTPSAFCERLPDLADRRFTVENLMTLSCTVTRKDAPAQVYRGLNEAVIRAAPFF HLVEIGLSIDGESVMTYRGDGLIIATPVGSTAHSLSAGGPILPPNAHMFVVTPLCAHT LTQRPLVDSAHKTYDMVPRGEHQPTVLVIDGQVQVPLHPGDRVTVRRGATPFPMIRLP GYSYYRTLRDKLGWGAFPAGDRGPRQ OJF2_RS30760 MTNADSLLARITSPADLRALTDEDLEQLAAEMRSELIGVVRRRS AHFASNLGVVELCLALHQAFDFTRDRLIWDTGHQIYPHKLITGRAAELHTIRTKGGLM GYPNPSESPFDLFMTGHAGCAPSTAMGLRVGDELTGHPERHSVAVIGDGAFPSGIVFE ALNNAGGLRTKMLVVLNDNKMSICPPVGGVANYLDRARMSSTYHDWNKRLKSLVSSVP LVGEHAERWVQQIKDAIKASLNHGMLFEELGFTYLGPIDGHDLKGLRSYLEKVKAMDG PILLHVLTNKGHGFEPAVKDPVKFHAPAPFRKAEDGYVPLKASSSRTYTDAVSAALHA ACGRDPKVAIVTAAMCEGNKLQKIRDEYPSQFFDVGICEGHAVAFAAGMAKAGARPVV DIYSTFLQRSYDQIFQEVALQDLPVVFCLDRAGLVGADGPTHHGTYDLASMRVFPNMV VMAPGDQRDVEPMIEFALGHDSPVSIRYPRAGLEAVDREPQPIELGQAEVLEWETDGM ILACGALLGACVRASDRLRERYGLRVGVVNARFVKPLDRNVVCKAIEEAAFVLTVEEG CLMGGFGSAVLEAAGDAGIPAAHVRRLGLPDRFVLHAERDEQLAEVGLDVDGIVRAAL DQARRAGLHVPDLDPEAEAEHDADLDGHAPVQASGANGAAERANGHANGAASKPAPAA LTAQG OJF2_RS30765 MTSCLQSSQDVSLREYLDQAARRVDEALARFLPEGGGDDGEATP SRLAAAMRYSALAGGKRLRPVLCLMAAEACGGDPDGAMPAAAALELIHTYSLIHDDLP AMDDDDLRRGRPTCHKAFDEATAILAGDALLTLAFELVAGGIEPAGAALRCVRLLAES AGHAGMVGGQMADLQAEERALDSRDEGSLAELEAIHRRKTGALLRAPLQMGAIIAGAP EAHVEALGRYGRAVGLAFQIVDDLLDVEGDESKLGKRVGKDCGLGKWTYPRFLGVDGS RRRARQLADEAVSAIAPLGPRGHRLRELAMALLERDR OJF2_RS30770 MSDDLPLLSRTPDAWGEAALRDTRALLSDHAYLEKKAASNALEL LNRWPEPTPPADWTTTLAAIAHDEASHLASVLRLLARRGGRLDRTHRNPYANALRALV RKGSGNEELADRLLISALIEARSCERFEVLARASAEGDRELSRFFRRLGASEMGHYRV FLHLAGHAVGPGAVEARWAEMLEAEARILAAQAPGPRIHSGDGPAS OJF2_RS30775 MTEDAAAEIPFEIALARIEEIVEALERGEPELAAALEQYETGVR LLSGCYAILERAERSVALLAGVDADGKPITTPFDASATHEAPSPQAAGKAAAPTPAAE KKPPAEKKPAGTRRAKPAADVEDDPLEPPF OJF2_RS30780 MAIPVPLPLGGDPFGSMYESISELTERIKDTLEADFAEVAAHGE LTNLARPKSGHIYFSLRDAGASVRGVMWKSDAQRLAFDLEDGLAVRVLGRLTVYPPRG DYQVVVRQLEPEGIGALELAFRQLFARLAAEGLFDVERKRPLPRFPRRIVVVTSPTGA AVRDLLQVTGRRWPATEILIAPARVQGVGAGAEIAAAIATANAVAAADLIIVARGGGS AEDLRAFNEEVVARAIAGSRLPVVSAVGHEVDVTLADLAADRRALTPSEAGEISVPDG REIAMHLDRLAGRIHQVSRLRIQEARAILDGIAARAKSAIRRNLDDHRHHLERAAASL EALSPLGVLARGYSLTFREDGMTLVRSPGDVRPGDLILTRLGTGEVASRVVEGPSGVP SS OJF2_RS30785 MRTGSDGSLTDDLGMLFRQGIAPPRDGALLERFVAGADDSAFEA IVVRHGPMVLGVCRRILAGSHDAEDTFQATFLVLARKAARLRDPDRVGPWLYGVATRL ARKARVRSARHRHGPLRDRPTPEAAATDWSDVRPVLDAELGRLPRPQRDVLVLCLLEG ASADEAAARLGCPVGTVKSRLARGRQSLRDRLVGRGIAPAIAVAAASAREGHASALPP SLIRTTLGAVASSATATGASILTRGATWIMSSRSTAAFALLTGGLAAVGIAAASWMSP SHAQPPGDEARPTRPVARGAAETQMNNLKQIGLALHNYRDMNDCFPPSSTYGPDGSPT LSWRVALLPFLNEEELYREFHQDEPWDSPHNKALAARMPAIFQTPAAPAGAGETRIRG YAGRTAFFEGTRGTQVPDITDGTSNTIMATVAAEPVPWTKPGDLPFVGGRPLPLPADQ DAKGYQILLADGSVRSIPASSARFLPYLITRSGGEVIDWSVVAPTPAAPAAGANATPV IVPPLPTADQPPAAGAMGSMMRAMMAGGGVPAAGAGPGPVRGGEMTGAGQGMMRGYYG MTAQTRGQAGSPTPAMGPLDSRQGMMAGMLPGAGPDRMQALEQRIQRIETRLDQLIET LDRKRP OJF2_RS30790 MKIDHRFGSPPAPTRRQALALMGLGLAASPRLAAAEDGGAGGRM KLLSVRRIWSGGGHNAFTGLIRRGDRWFCVFRESTGHIPGTDGVIRVLTSEDGRDWVT AAVVAEEGVDLRDPKIDAMPDGRLMILMGGSHYAGRSGEPNRRFERARTRASFSADGR TWTAPRPVSPEGQWLWRVTWHGDAGYGFAYRVDEAAKTSDITLWKTTDGLAYERVADP KLPAGVRPDESTIRFRPDGSMVALVRNDPKPAHAFIGTSRPPFTSWTWSDGGHAAQGP DFLILPDGRMIYAGRDFPDGPKTVVGTMTAERLTPELTLPSGGDTSYPGLAWHDGRLW ISYYASHEGRASIYVAEVELN OJF2_RS30795 MASRRAQGLFVLLLLLASATGVAAAGEVGDFYNIIAPDGADPWV YRHADGWYYATHSTGDGVVIRRSRTISGLGGAESRVAWRPRRGTPYSRDVWAPEIHFL RGKWYIYVAADDGENAHHRMVVLENPSADPFRGEFTLRGKLADGSTDRWAIDASILRL GAGDAERLYFLWSGWDGDVNVDQRLYIAPMSDPTTISGPRVELSRPTLDWEKAAGPPA INEGPVAIVREGRVCLIYSAAGSWSDHYCLGLLTLKPGADPLDPGAWTKSPRPVFEGT RDVVSPGHCCFTTSADGKEDWMVFHAAKRPGSGWSRSIRAQPFRWGADGLPDFGRPAS PDRPIPLPSGEPRRLRLEAEAARPAGGAHAEPEAACSGGARVVGLRGEGGRLTFEATV AQAGSYVAAVRYRLPAPARRSARHRVLVDGRPAGLLVYPDSGGSRWSAAFARLPLRAG TNRVSLAAGEREVEVDCLDVVLDPEPAAADQPNAQNPGVINSARLPSGSRK OJF2_RS30800 MKVGGIVETALYVSDVKRAADFYRRLFGFGTLLESDRLVALNVA DKDVLLLFPEGATGEPFAVPGGVIPPHGGSGQGHFAFSIAAEEVEDWKRHFESHGVPV ESVVEWARGVTSVYFRDPDGNLAELITPGFWAFG OJF2_RS30805 MNPSVLNDCLARALAGAMTFPETIERMIADGVERYDADLALLQM RHYGPDGGAHTEEIPLPRAPAVAAEFSAEGVKGAIASIQRREIGYPEFLRRIMAAGAA AYSVYLNGRKAIYFGRCGDFHVEPFPPPADLRGGNP OJF2_RS30810 MSKKRPSRLEDHAGYWLRCLSNLVSRGFAARLEGHGVSVPQWVV LRCLYDGEGVSLNELAATVGVDNGALSRMMERLAQKGLIVRDADPADRRAVRLRLSEA GRALVPILAREADRNNEAFFGGLSGEDRAKLVATAREILERNGWRGVALD OJF2_RS30815 MVASRTIPVLAILACLPAAAPPGPARAEGPAGLLRELRDRDSAF DGRAIEVEERWVERISPRMQDAARRFHARLAHRVQSTPIAETFPEDYDQPHRLRQRLV VREPAVTIERLDDLEPMKHPAYSSLPNRGCRWSSAGGIERVWSPETKTLHIDGPSSDA GSLRWEAHRIRWGCGHGFARWITSIDSEAEEYGMRTVKGRMRLLGHDDSRVTLVLDRD LIVRHAVVTIPVRNGPGSDRYLVDSRGTVRPEGAPPVAESARFRRIVTPASGRGDVLE DREIRFVSASARLSDAEYDRLTRIDPSSATTVVRAPE OJF2_RS40880 MSSVRSAGVVLVLDAGRVVEWGTHEERLDLGGLYARPFQAQAGG VAIGLA OJF2_RS30820 MRTPWGLGVSLIFVACAGAAADDSPKNLGFEEGSVGQAPPGWVV TTAAYRADLVEGGAKEGKRCVRFHPAQAAEEPGVGILLQPVDARSFRGKAVRLRAALR VEEAAGGDGRAQLWMRVDRPGEKPGFFDNMSDRPVRARDWTTAEIRGDVAGDAEMIYV GLLAFGGAAVRMDDVRLDVAGDAEPIRAGGPRPLTPRGLDNLVAFTRLLGLVRHFHPS DEAAAADWERLAVAGVLEVEPAKDTDELCRKLRAVFAPVAPTARIYLTGQAPPPVPEA LTNPPAGGRVVAWIHRGYGAKPFPAGLLGNVYSSRRGSHTLVDGKLPPGAQDPADAFP ADLGAGVSCLVPIALYADESGTRPAVPKGEAPKPVAGRLTGDDRATRLADVILIWNIL EHFYPYFDVARADWPATLRLALESAATDKDGLAFLATLRRMVASLRDGHGNVVFGEQP PAGPLPIRWDWVEGKLVVTEVAPEAGGPDVRRGDVVRAIDGRPAAEVVEDAERLISAA TPQWARWNALRAIANGPPGSRTTLGLERADGTFHRASLIHAEPGMPPSESRPPKVAEV RPGIFYVDLDRVSDADFREALPRLEKASGIVFDFRGYPSRISPDTFFPHLVDHPVASP QWHIPTILKPGRGGMTFDRGGEWSLRPAAPFLKARRAFLIDGRAISYAESCLGIVEHE HLGELVGGPTAGTNGNINVIDLPGGYKVVFTGMKVLKHDGSRHHGVGIRPTVPVSRTI RGVAEGKDEALEKAIGIVGRP OJF2_RS30825 MSRIRVAIVYHSGRGHTRRVAEAVRAGVEEAECAEALLLSVEEA GTRWDDLDAASAIIFGAPTYMAGASAAFKAFQEASSQVMSARGFAWRDKVAAGFTNSG ALAGDKLSTLIQIALFAAQHGMHWVNLGLPPANNSSTGSADELNRLGFWLGAGAQSNV DQGPSLAPPESDLATARHLGRRVAGVALQLDRGRADSAAGCASNVRPA OJF2_RS30830 MADHSARDAADAFDPHRPALLRVAYRMLGSVADAEDVVQDAFLR WLEADRREILEPAAFLSRVVMRLCLDHLKSARHRREAYVGPWLPEPVVDAPGEEVEDV TLPLLLALERLSPLERAAFLLHDVFGIGFDEVAETIGRGPAACRQLASRARTHVRAAR PRFPVAPERGLEIAQAFFAASRQGRMEELRALLAEDVVVHADGGGKVTAVGRPIEGMD AALRLHESLARLFHRSMSRLVHVGFINGLPGFVTVEEGATLQTTALHVVGDRIAAIYV VRNPEKLRHLSGHLS OJF2_RS30835 MQCARNFAIGIALLAWAGGGRSDGQTPKPAATTPNPDSQYRLGP DSMPQEGVPKGEIRGPFTLPSQVYSGTQHTYWVYVPAQYDPAKPAALMVFQDGQAFKD EKGDMRAQNVMDNLIYRREIPVMIGVFINPGRRPDQPEPTPRNWGDRDTNRPTEYNSL DDRYARVVTEELMPALKKDYAISDDPEMHGIGGSSSGAIAAFTVAWERPDAFRKVLSN VGSFVNIRGGHAYPDKILAAEKKPIRVYLCDGRNDNRGQRRGKYDPTWDWFYQNVRMM KALTQKGYDVNYAWGMNGHGQKFGGAILPDMMRWLWRDGPVSTDPDDAAERSFRPAAR RG OJF2_RS30840 MMKRRGSALFFLAIALAAPGASRADFLTIPNPDAAYLASTTKLE VTGDQFSTLTSLSDGALTVQFSTAMEILDVPDGWTTWSSPPQSESDTPRVLWTQGPSQ VTLNFSSGLSTFGFEAQPDAFGAFGITATFYSGADEVGSITRDVDGNSGALLFAGTVT AGTGPISRVVVSTAAGGFAIAQLRYAIGGTAVPEPSAVLLMATGLIGAGLVRLRRRVR LA OJF2_RS30845 MMVAIASLAAGGRMVDSPVDLPRGGLRGMGRIAAVRQGGMNRWA IDMDDGQVLREDPTPAAGRGGAGSKMTVDLATGLGGLMASPSDGWIGRTALFVGTRRD GRLASPRRNLPLAPDGSSFFVFGPGPDRRPGAVRRLVEVSATADLERRSDQLGRYAGD WSEPPYLRALAVDRLAKIGDPDSPLRRSSRERLYRWRDDRSSPLELRFAADHALVDTS PPDNQWSERRMASLAAVRDDPDSPKERAALARRLIDDAEAMRGDPPR OJF2_RS30850 MPVTTFREAFVAPRILRARRRSAGLRLRIEELETRIVPSLTRTT LGEGSVKDNVVTMGVAHRAETNQQPTVLNGAYATLPASEHCEIAFKFDLSTGDSYNAN DAGGGGYWDSSSVSVTARPYPQRHDRQPGARRQRLQLTPAAGVGEPRDAIEPRACGRT TGMPSGGERACDQLFRRWVG OJF2_RS30855 MLAPLWLSLSCLAFAGDVPGGHAASASRPHQSETDELVRKALGC EAEGREAERLIHLARAVAIDPGNALARALMGLVEVRGRWLRPDGAAAGVEPDRKARER EYLARRAATPTKADAQVRLADWCSKNGLEEQARAHYRVAIQLDPSKESAWRHLGYQKQ GGRWVRPEDAAAEKAEAAAQKKADRSWRPRLERLRDGLSHRDADRREKARKELMAITD PRAVPSILLVLASGGETLQQAAAQALGRISGPRASDALVSLAILSPFRSVRDRASSAL LFRDPGEVIGTLIGLLRRPFKYKVIPGDGPGTTGRLLVDGVAFDLRKTYRYPDMPRPE SHAVNFPPGRNLDVAAVDRLVASKNAMEEQLARQETIRRAMAVDRRMEDDAAQLEKTN AAIRTVNDRALPILRTLTGRDDGPNPESWNSWWANELGLVSSSAPSSKPTYEDVETMP GVSFEVTVPTFHHGCFAAGTPVHTAGGPRAIETLRVGDLVLSQNLKDGSLGYRAVTGI HVNGPADTLRIVIAGEAVVATGIHRFWKVGMGWAMARDLRPGDRLRMAGRSGLVESVT PDARQTIYNLDVQGNRDYFVGIRGILVNDFGMVEPVETPFDAVPAAAGVATNP OJF2_RS30860 MAKTKGGAKEDLGSILETPAEVLEAVRTKADGPGGSLPLTDEML RTWPSGDLFALSQNAGMGWDAPSVARDPYLILSTQGGLRAPDGTPVALGYHTGHWEIS LLVQEAAEELKALDVVPFAGMVSDPCDGRTQGTAGMMDSLPYRNDAAIVFRRLARSLP RRKGVLGVATCDKGVPAMAMALAGLRDLPSVMVPGGVTLPPREGEDAGKIQTIGARYV HGELSLEEAASLGCRACATPGGGCQFLGTAATSQVVVEALGMALPHSALVPSGQPIWK DVARRSARALVNLAARGLKSRDILSDASIRNAMVVHAAFGGSTNLLLHIPAIAHAAGL RRPVVEDWHEVNLKVPRLVSVLPNGPQYHPTVRAYLAGGVPEVMLHLRALGLLDESAL TVTGEPLARTLDWWESSDRRARLRDLLHREDGVDPDDVMMSPARAREKGLTSTVTFPR GNLAPLGSVIKSTAIDPTLADADGVYRKIGPARVFTREHAAIEAIKGQGPRPIRPGDV LVLMGRGPMGAGMEEIYEITAALRHLSFGKHVAVVTDARFSGVSTGACIGHVSPEALA GGPLGKVRDGDTIRIVVDRIRLEGSIDVVGAEGRAFDPEEGARVLASRSPHPDLSPDP SLPEDTRLWAALQNVAGGTWGGCVYDPKLIIATLGRSGTPRDERTP OJF2_RS30865 MDRRQLLGLAAAGSTALLATGGGAARADDDKGEHKGHHHDEHLR ILGECVRACNEASHHCLEELKKSQSEHREHHAMAHELAMDCQDFCVLAAKLMARHSPL AAYSHQACAEACRCCAEECEKGTDEVMKTCAEKCRDCEKVCREMSKRNTA OJF2_RS30870 MPGVESMLAEDELTEVNERLTGAAPTDILRWAVGRFGDRLTMAT AFGPEGCILIHLLADIGADVRIFNLDTGYQFPETLALRDRIAERYGIEVELVGAETSV AEYEAKNGGPLYVANSEQCCYERKIVPLRRALVGYEAWITAIRADQSAHRAKAKVLGW DAKFGLIKVNPLLAWTKRDVWAFIVSNKVPYNPLHDQGYPSIGCWPCTRPVGAGEDER AGRWAGQAKTECGLHSLDSSQL OJF2_RS30875 MRISAKAEYACLALLALAQSDRAAPPLRIREIAGSRGIPERYLV QILLQLKGAGLVASTRGASGGYRLARPAAEINLRQVLSAIDGPDVAPRDAGQAASGAA HAASAHILAGVWEEVRQAERNVLERTTLAQLAAQAAPQDWII OJF2_RS30880 MNTPYGGGLVDLIVGPDRAAEMKAEAKDHPSLTLDDRSLCDLEL LAVGGFSPLTGFLGEADYDRVVGERRLADGTFWPLPVTLPLTPGEGIDEGKTLALRDV YGNLLAFLHLEEIYRPDKGREAALAYGTVDRKPPPVEHLDRTPGHYAAGRLEVIRTPP HYDFVDLRRTPAELRDRLASLGWSKVVAAHGSGLLLRAGEEAARLAARRIGGGLLINP VVGVTRPGDAGHYTRVRCYRALVDGDGPCSPVLNLLPLAGRMAGPGEALLRAIVRRNY GCTHMLVGPGHAGPEADPAGQPSYPPHAALEAMAEHADEIGVQAVESEPMVYLPDDHR YCPAGEVPRGAATVDMASSGMLEGRPDLRRELPDWSVRPAVAEILAQASPPKDRQGLT VWFTGLSGSGKSTVAHALVERLAEHGRRCALLDGDEIRTHLSRGLTFSKEDRDINIRR VGYVAGLVCQAGGTTLCSVISPYRAIRDEAREASRGNFVEVYCSTPIEVCELRDVKGM YAKARAAVAASRPLGFTGVDDPYEPPVDPEVSLDTSRQSVEECVEAIVRKLLDLGYIL PH OJF2_RS30885 MKKQLLAAVAVACLVPATAHAGLIGSTVTGDLSLGGSSNLFNPS TATVSAGTAEFAYFVAGGALIVIDFDDTSLTVNYTNQSTTDTLAGSTLTFTDSAFAGL PFAFVSSSIPGNVTATLAGDTLTLSVTSIAPLGAGVATYSFGNTAVPEPPSAVLSGVG AIFLAFLSLRRKLAA OJF2_RS30895 MTLIESFLDRRTRRALRLFGSRAPGRRRAGTRGPRLLEYLEDRT VLSTIDIVGGVLTYVEASSPGSPNLLTVSTTGPAGQYTFTESLQNITLGAGAIGAGWT GGGTTSVTGPDSSVSSISITRAGTSTVHLASVDDPTAVELGAGASELDYGGVGSPAGV QAAVSVQATGGSATVVVSDSSATTGQTFAVTATQVTGSALSNPITIGAGITGISLTGG SGDDSFQLTGPGSGSLQTYTIDGGGGTDTLAFDSSVGGLDYSVPGRITGSPTPTVDYT SIETIHITEPAAAPAGTGVTIDATEAQAFTNKVVATFTDGDPNVRAADFVATINWGDG TSTTGGTVVANGTGGYDVLGNHAYANPGTYPVSVTVTNRGTSSSATVGGVFITLAQRA AGSGSIASTADVVASTIAAQGAPVSGYRGLTVGNYPTVSTPPANGDVLVATFIDNGTI GAVSAYTASINWGDGGTSSATRIVQTGTANGVVFQVYGTHTYATVGSYPVVTTITKDT GAVAIASSAAAIADAPLTAIASSPIAATEETYFSGVVGSFRDADPSSTAGQFVVTIDW GDGTPQTAGYVTGVTGTGGVTYTINGAHTYADSLPAGKAGSGTPGPQDGTYPITVHVQ SVYGSAIALSNTANVADQALTLTGRLDPASDSGASNSDGITNVVQPVYTGTASEPYAR VSLYATPQGGSTPALIGQATADGTGAWIITPSAALADGSYAIAAQAIDSSGHTLSDVT PISPALVIDTAGPKVTNVYFDRIHGTITLTTADFGGPGNAGVGLSQSTLIDAANYQFQ QTYSPFRLKHLPRFLVSAASTTPGTTTGDQVTTLTINNGKYIRGGHFLFTARSAIPAL PSGIQDIAGNALDGEFYGTFPSGNNRPGGDFVAELDSEHHRVYAPRTQVGTASPVAPG TRGAATTIPTYHPGRSANAASAARRHALASAAATRVQAAKARPRIALRHGKAAAAIEG TARS OJF2_RS30900 MGTEVRRAASARRPLHERFVAPGSRAEDAASAGRRGLYAGEPEA CRRRLLPFLRSMALLGLLLWLFHAYQIEGRAFLALVTIACAALPVHYLAPFRWKKPLF AATSVAGLFWVIGAGPAAAVLGLAAVLIGTCYLPIRWSARAAILAALGAGMGVAKAQG LLAIVPATAWPIAGTMLMFRLILYMYELKHAKRPEGLVDTVGYFFLLPNYCFLHFPVV DYRTFQRGFFAADVHAIQLRGLAMMFRGLLHLICYRLVDHELFITPAEVAGPWSLMGY LACNYLLYLRVSGQFHMACGMLHLFGYQLPDTHHHYLLASGFTDYWRRVNIYWKDFMV RVVFNPVVFRLKRWPQPAALAVATVVVFVTTWALHAYQSFWVRGTWGFSVPDALFWGI LGVLVVINVQLDARRAPARGRASAARARAGDAGGIPFGPLAARGLKTAGTLTTLALLW SLWYSPSLSAWMAMMRRGILGA OJF2_RS30905 MASTKPVSIWTVRMIFLQGVALLAIALIPLPAGWTRLRAAVDSA RSPELNRAEREAHAAGYYEGLIGGGDSSEGARGELNLLLMGKPSGWVRFSDANVSRSL PGDFLQFELLPDVRRVLFGQPFVTNSHGMHGPDVAAEKPPGTFRIALLGASMDMGWGV TYQETYAHRLERWLNNHGTRRGDATGRRFEVLNFAVAAYSPLQRLDTLRRKALAFRPD LVIFSATMLDLRLMEIHLCDALRTRSDLTYDFVRDVLSEAGVTADEMAVEGDKLVYKD AIKEKIQPRYWDLYDRTLGRLAAECRAAGVPALMVIVPRVGKADAPAARAEPVARLKA LAAHNAMPVYDLTGSFDRFDPASLEIAAWDDHPNALGHRRLFLALARSLADDPERYRL LFPGADAPPAPQGPAATDDFIDK OJF2_RS30910 MSRTHLSQLLEAAASGRPDHPAVEDERGGILSYAELLRGSERLA ARLARWGVSRGDRVGLWLPKGLEAVTAIHGVLRSGAAYVPVDPTGPAARAATIFASAG VKVVVVAAALADALRDAWSAPGPMPRLILVGGGDAPDGPHAAAAVDARWADVLADDAT APLPPSRDADDLAYILFTSGSTGTPKGVMLSHRNAFTFVDWCLEALGPWDDADRFSSH APFHFDLSIFDLFVSCANAATLVLIGESEAREPALLGGRIEDRRISVWYSAPSILALL ADHGGLDRPGRTAPRLVLFAGEVFPIAPLRKLRGLWPGSRLWNLYGPTETNVCTALPI PDAIPDDRTEPFPIGTVCPPLRARVVDGEGRDVPPGSLGELVIEGPGVMRGYFGQPEL SARAFFLDAEGGRWYRTGDLVIDDGGGCFRFHGRRDRMVKKRGYRIELGEIESALYRH DGVDRAAVVAKADEAGLSIAAFVSLKPQAKKSIIAMKRHCTTYLPHYMVPDSITFLNG LPATSTDKVDYQRLRALAEGEGKVR OJF2_RS30915 MSTPNPLRGLFHWKFAFYQLLLPAIRALGPGRADAILCALGKAH ARSWPPRRRALHAALEAAGEVLDLGPTDGPDGGPPPSAWPDLAAGACRMLARDYLLDT RTDREALGRFEVRGEGALRRAGESDRGAILVGSHFGAHIAGLHWLFRSGLPVRALVQR PPHVSRTLGRYFDDLQPAGTDEDLFLRRGLAPDAAVGILLRARAAIRRGRMVYLCGDI PWEGANTLKGRLLGREHRLLSIWTDLAALLGVPVFHVFCTHLPGGRYRLDIDDVGRVR HGEEREALADYLKNLEGRIAARPDQAAAHLLWPCYHPAEGPPAASPNPDPTSTPRPSR RKPARGPRSGSPSREGHIRRP OJF2_RS30920 MGRPPRPIDDDLVYHAINRGNNRADVFDDDDDRRAFLDALAKTR ERYPFRLLGYCLMTNHFHLLLKPEPGRSISRILQSITVAHTWRYHRRHRSSGHVWQGR FRGPVVQDGDHLLTVLRYIEANPLRAGMVSDPADYPWSSFLQHGLGRPDGLLSPFPEW DDLGATESARRKRWAAKVRAAQKQDELDAIRASLRSGRPYGDAAWGERMAARLGIDPH PRPRGRPPKAMP OJF2_RS30925 MPPALFSDLSSDEARIKPIRYAVSGRPSLCAATLTLLTAPAFLC VLVVCVRGSEEPKASKPNDLQSFQGRWKIESLSANGKRLPLGLAGIDLSKFDLVIKGD SWLSISDDENHPKKLYSIELFADRNPKEMNLVDHASGETLRGIYEVKKQTLKICLCAD RSLGVRPRSFSSSQNEPYMLMEYSRRVDEKSQGGR OJF2_RS39570 MKRAKVDDKSGGADKVRKAEKGCQQRKDRERVDRERVDRERVSD RERVDRERVSGERVSGTDILDRERVSGTDILTGRVDAGSLGVWDDRIAPPRAASSITP OJF2_RS30935 MGRPHRAAEGGIIYHALNRANGRLGIFEDAGDYDAFLKVLSEAV DRHRMRLLAYCVMPNHFHLMLWPREDGELSRFMRWLTLTHTQRWHAHRRSAGSGHVYQ GRFKSFPVSGDEHLLAACRYVERNALRANLVARAEHWRWGSLARRVEPAGAGPLLSDW PIERPADWVERVNAALSPAEEEAMQRSLRRGCPYGPPGWREEMVERLGLGSTVRPTGR PKKPKIGS OJF2_RS30950 MEPAAKTLACERLNIVGPDGKTKASIHGTNEGSVFTLADSLGRP QLTAQTGGKGGPSIILWDKTSRQRLTLFVTDEGEPSIELFGPQGVAQTRLSLYRNGKQ AITMLDDAGRERISFAVNEDRNAEVKISDRNSKKSLQLSVTEEVKSNPALNITDSQGR LRFQVGLQENNPNLYLLDEAHVRSSYGIIGPDGRPLVTLNDKDGDIIYAFGIDGRGAP FSGEVPKKERKIH OJF2_RS30955 MSSHSAVSSRLLAITLDEFRARFGVPDLGGALCGYTREADTAAV LTLLCHARPARILEVGTALGHMTANLTRWSPEDAQVFTIDLVRGMPRAAAGAAEQLAE VPALAERGRFADHFGAVHKAFFITADSLGYDFGRLAPLDFAFIDGGHDFAHATADSRK AYDALAPGGWLVWHDFGSPVPWVEVRQAVEAIGFDEPVIHIEGTEVAFLRKQAAGGVT GPAPVHEGPVRVAWDGEFRGLHSLGLVNRAICSELLARGHDLSLADDGPPTAEEGTSA PLHPGLAERLGHEPSGGPAQVHVMHRWPPRSDFEPQARRVLMQPWEYGSLPRAWLPLL AAADEVWAYSRSVRDAYLRAGMPHGRVHVIPLGVDPEAFRPGVEPLPLPPGPRFRFLF VGGTIFRKGIDVLLDAFPRAFGPEDGVGLVIKDMGVGTFYRGQTAGDKVAALRERGYP VEYLTRDLAGPELAGLYAACDCLVHPFRGEGFALPVAEAMACGLPVIATAAGPVTDYA DDATAYLIPARRCEFSENRVGEFETIARPWLHEPDRDALVDLLRRVAADPQAARRKGA AASERIRGRFTWSHTAEAVERRLRALASLEPRTGRRFKPRMNTDKHRWGEGFRDGGTG GGWQVGRAGGPGAAPGGRQAGGVSGSPNPVSVSYPCESVSICGSKSPGPIRGAAKAKV SLTMIVRDEEENLPRCLGSVAGLFDEVIVVDTGSRDRTVEIARGFGARVFDFAWVDDF AAARNAALARARGDYAFWLDADDVVEPDERAKLERLLASLPAEESEQAAYVVRCACDP EPDGRGGNTVVDHIRLFPAREGVRWTYAVHEQILPALRRAVVPVRWSDVTVRHTGYSD PALRGRKLERDARILEAELAERPGDPFVLFNLGSIAVERQDWPRALELLQRSLSGSAP SDSITRKLFALIARCRQMLGDLPRAIAACDEGLSFFPDDAELLFRKAVAHRGSGDPRA AEASWRRILGLRRPEEFASVDQGIYGHLTRRNLAALAEERGDLAGAMEHWQAVLEECP GDAEAMVRVGGLVEAGGP OJF2_RS30960 MMGRTWRVLAWTLAAFGMTGAGLRAADGPKYEAKWESLDRRPTP QWFLDAKFGIFIHWGVYSVPAWGAPKQYSEWYWNNMANKKPDNVWWQFHKKNYGESFE YKDFAPLFKAELYDADQWAELFHRSGAKYVVPTSKHHDGYAIWPSAEASRAWGRPWNS VEVGPHRDLLGELVEAVRKKEGMRIGFYYSLYEWFNPLWLGDRKTFVAEHMHPQFKDV VSRYRPSIIFSDGEWEMPSADWKSEELLAWLFNESPCKDEVVVNDRWGKETRHHHGSY YTTEYGAGMANDDHPWEENRGMGYSYGYNRAENIDDYQTPRELVLMLCDLVSRGGNLL LDIGPTSDGRIPVVMQDRLVEMGKWLKVNGEAIYGTRFAGRPCQWSEGERPKQGYKEY QQEYNLMAQVGQAPRDGKAVKQVFFTKKPDALYAITAGWPGSKLLLRNVAVPADGRVT LLGVPGELKHEVKGKDLAIEVPDLNGDQLPCSHAYVFKITGATLPPE OJF2_RS30965 MRAIGGGRDAFELIHPRCVEEAELDYAEGLELWKAGEPEEARDA LRFALQACHDNLWVHVALGRIALEAFGDPDLARGHFGYAVDLVKKSLPPTFGGRIPRD RPANRPFFDALDGLIKALRKGGKAGDADDLQAWGEGLERGTRPGG OJF2_RS41295 MQRRLVRGMSFAILPIAIAGSSLGYYGRDLRVRLFGTAKERAIA EILAKAGSYRVDEAQAGRPVVKVDLSFTEVDRSGIELVGRLPGVRALNLLNTELDDSD ISGLESLTEVRSLNLMATHVRGTSLSRFKGMARLQTLNLQGTDVRDGCLAGLANFPDL RLLNLSYTAITDDGLTPLDARAAGDGAGGTGREGALRSVDLGGTFVHGQGLRHLEGHP HLRGLFLAQSRVDDSGLVGLDRLSSLQVLDLHGTAVGDEGLGHLRGLTGLRSLNLGYT AVGDGLPALEALKDLESLNLESCKLGDRSFLALGRLPRLHSLNLSRCGLSDGAMERIS ELKGLDELSLSSNAITDAGLEALGRMPNLKAVALGHNRCTPRGLKHLRESSPRLRILL OJF2_RS30975 MRARQVLRRSGWGTVALIVGLSCAPGVLAQGFIVDRRPGIPIAR SYEIREVAIDARVRDQVAEVQVSQTFHNPGSVQIESEFLFPLPEDGAVQNFVLMVDGR ELPGRLMTKEEARRIYEEIVRSKRDPALLEYMGRGLYRTSVFPIPPGAERKVTMRYTQ LCKRDRDLVEFSYPLSTQKFTTKPIQRLGVRIAISSKSAIKSIYSPSDDARIDRSGDH EARVSLERRDVVPSADFRLVYSLGDGAIGASVLSYRPSAGEDGYFLLLASPEVKAADS RPLPKTVVFVLDRSGSMAGKKIEQARKALKSVLNNLREDDLFNIVVYDDRSESFRPEL QRYTSRTREEAERFVENIREGGSTNIDAALKDALAMIRDDSRPSYVLFLTDGLPTAGE TQELKIAENCRRDNLRRAKIFSFGVGYDVNARLLDRLSGGNGGTSEYVKPDEDIEAHV GRFYAKLTSPVLVDTRIELSGTDVNRTYPRDVPDLFDGGQIVWVGRYRQSGPTTVRIG GKVGGERRSFEFPAELAEAGRGGGHDFVERIWATRRVGYLIDQIDMSGQNRELIDELV GLSTKYGIMTPYTSFLADERVPLAAATANAMRAGESAQALGSVSGEFGVSQRGLKQGY MQADRAAAAGAFAESERFAHHAMRDMGGYGPVPGMGGAGMGGMMGGRAGGAPARPQAS AKAASSAPEGFGRRADGKDESRLAEGRATVRQLGAKTFYYKDNRWIDSTVKPDEDAKA RRIRQFSDEFFALARSQSAEVNQYLTFGEPVTVNLDGTVYRIDPDEARP OJF2_RS30980 MPENERSDEGPSKGAEGPGPGDTGEWPQVPAGAPLAEGPEPAEG PRLSEVPVPLDVEEEEEETEEVMIPHMLRPHPEPPAVDSTARIAAAISQLGIALEQKF STLQAIFDREVRAETARERVVDRLHAELQEYKQDLLLKVQRPIFIDLIQLHDDIGKMA DAQPAEDEARSAAVRGAFESIRTAIEDILYRQGVEPYRNEAEEFDPRRQRAVTTVPTD LPEQTRTVAVRHRPGFQAGDKVIRPEIVSVYMLRK OJF2_RS30985 MRKSLLSFALLLTAAAPGRAAEDGEGPPATACGAKPPAGAVVLL GQGKPEGWVGSDGRAPIDWPFADGILTVGHGDIKTEKTFGDFELHLEFNVPYMPQARG QARGNSGVYLAGNHELQVLDSYGLPTESHECGAIYSQVAPSVNACKPPLQWQAYSVIF RKARVEGGKVAKKARVTVFQNGTKIIDDAEVVPTPGGLGNPEGSDGPLLLQDHGNKVQ YRNIWIRPLG OJF2_RS30990 MAETRKGEVTFKGNPIELVGPRLKPGDAAPDFECVGAGLAVVKL ADTAGKARLFNVVPSLDTPVCNKQTKRFAEELKALADKVAAYTVSLDLPFAQARFCTE ASIENLSNLSDVHDHSFGQHYGVLIQGLPIPLLARAVFVVDPSNKVTYAEYVPEIGSE PDYEPALAALRSAAGA OJF2_RS30995 MASNRTPYTYDVIVVGAGHAGIEAALAAARTGSRTALLTMNCDT VGQMSCNPAIGGVAKGQIVREIDALGGAMGLLTDASAIQFRLLNRGKGPAMHSPRAQC DKKAYQRLAKLLVERQPGLSLRQEMVEAVEVDGSGRVAGIRCRGDVTYRARAVVLTTG TFLQALMHTGEVKTPGGRGGDAAAIGLSGSLRELGFELRRFKTGTPPRLNGRTIDLAR LEPQPGDADPVPFSFLTGSIAAGQLDCHITYTNPEVHEIIRANLHRAPMYSGQIRSTG PRYCPSIEDKVVRFSDRDAHQIFLEPEGRDTLEYYCNGISTSLPRDVQDEVIARIPGL EHAEVLRYGYAVEYDYAPPEQLTPSLETKSIPGLYFAGQINGTTGYEEAAAQGLMAGL NASHSAKGETPVVIDRSKAYIGVLIDDLVTRGVDEPYRMFTSRAEYRLLLRHDNADLR LTEIGREAGLVDDARWAAFQSRRDAIRGLRERLATTRRGGTTLFQVLRRPETTWEDLC ELDGSLRESPLAADVIAQVATEAKYDGYIGRQTDQIERFRRLEEKPIPREIDYFAIPQ LRAEAREKLDRIRPQSIGQAGRISGISPSDIATLLIHLKRKAPSVPA OJF2_RS31000 MKTVYTTGEAAKICKVSQQTIIRCFDSGQLKGFRVPGSRFRRIP RESLYRFMRENNIPTDALESGRRRILVVDDDQAVVDLISDVLSSDDRFEYKVVNNGFG AGMLAKEYHPELIILDVMLPDINGQAVCELIRSDPSMADIKIICISGMVEEDRIEELL KSGANDFLHKPLDIDELVRRICRHLDMETSAIA OJF2_RS31005 MRGHPGRNTATHDLRLRVDALEHLPVRAATARHVLAEVAPADED GPTRPAAKPGRSPADLDPGWVLGEASGRAEPDPLRLVSERAWWPVAHASGARGEAFQL LWRHSIAVSLAARTIARERGEPDPVRYQRAGMLHGLALWAVAAADPDWLVRWLGEPDR RARGELERSHLGTDLPDLGRRLAERWGCEPDVVDAAWLHDPSSGPFADAASDPGRLAI IREAYLRAEGTPWSLSDASRREAMPQEPHLRILVAEVQSRCGSLFASADATPHEEALA RRHARALLRLRAAERLCASQGRLLHAISTSGPVETPESWAARAGTIWCAEPEVSTARV SWEPATPRGSAGAARPEGSCPEPTSPARPPSARLSLGRGAGPTAEVELWCDPAQPGID ERLAGSVLVGAWESWASAVASRAEAERKLQAVVASARDHVADEALRLQAAKLDALAEF AAGAGHELNNPLAVIVGRAQLLLARSPDAESSRSLGIILNQAQRTHRILRDLMSVARP QPPRYRACRPSELLRLGVEGVREECEALGIRLHADVEAADAPAWADPEILTQLADSLL KNAIQATPPGGEIAVRARLKEGELRLTVADTGRGISGQDAQHLLDPFYCGRQAGRGLG LGLARAARSLELIGGALTWTSSPGQGSTFAVRLPLRNVPDEPAERARSERVA OJF2_RS31010 MKKRKRDRHRALFARLTRHLEISLDALRPRRIRTRSARYAAALG ETLGLIARPHCCSWCRRRGRLQRHHWDYAEPLNVTFLCPDCHAVADGMMTHAQSA OJF2_RS31015 MAGQGDGAPVVGVDLGGTKILAAVVGADHVILGRGKRNTPAKEG GPAILETMKACVDEAIAASGVARGDIAAAGIGSPGPLDVKSGVILFSANLSVRNYPIG PELSAYLDRPVLVQNDVRVGGYAEFRLGAAKGYRDMIAAFVGTGIGGCVVMGGEIVTG STANAGEIGHMIVKAGGSRCGCGSKGCMEALASKTAMQRRIAKAIRKGTPTVLKDKIA RKGRLKSGDLAEAVAAKDPVAVKAVQRAAHYLGLGLGGLVNVLGPEIVVIGGGVVNAM GDPWVDHVRNVARAQIITDTSSTIRIERAALGDDAGVLGASLLAREHFFRG OJF2_RS31020 MLNFTTSEGGGVLIIVFEPTDESNYDWQTTQRDWLYKMIEARED PRFAIDLSEVNYLASSEIGFLVTIKRRIDRRKGRVVFFGISAYLLEIFQTMNLTKVLE IVETRADALARLKA OJF2_RS31025 MSPERNTKQPVSSAKDPMDALAINTMRTLAMDAVQAANSGHPGT PMALAPVAYCLWQNHLRYDPGDPVWPNRDRFVLSCGHASMLLYSLLHLAGVKSVNEDY EALGTPAVSLDDIKKFRQLHSKCPGHPEYRLTSGVETTTGPLGQGVGNSVGMAIAGRW LASRYNQPGFEDIIDYNVYAICSDGDMMEGISHEAASIAGHLRLSNLCWVYDNNRITI EGSTELAFTDDVAERFMAYHWNVVRVGDANDIELLERAYHTFLETNDRPTLIIVDSHI AWGSPNKQDTAGAHGEPLGEDEIRLTKKFYGWPEDQKFLVPDGVYEQFRKKIGRRGKE LRDAWFQKLEGYKAKYPALADELYKIQHRELPDGWDKDIPSYPADPKGQASRNTSGEV LNAVAKNLPWLIGGAADLAPSTKTLLKNVDSFEAGSYGGRNMHFGIREHAMGAILNGM ATVKVRPYGSGFLIFSDYGKTPIRLAAIMELPVIYVFTHDSIGVGEDGPTHQPIEQLP SLRATPGLMVIRPCDANEVAEAWRVVIPQKHKPAAFILSRQNLPTLDRSKYAAASGLA KGAYVLADAPDGKPDVILMGTGSEVSLCVEAYETLTKEGVKARVVSFPCWELFEDQDQ AYRDSVLPPDVMARVSVEQASSFGWAKYVGARGATIGMRTYGASAPLKDLLKEFGFTA DRVAEEARKQIELHAR OJF2_RS31030 MSPRIVAPSIFLSGACAAAIAADGKPDRAAEPASNPRYQVSAAG SSLVLLDVTSGSTWILGQARDGGKAWLPIPRGDGKEVREVPDSLPAAPFAPPPDLRRF LESQGYKRIPLRRMASGYLTTGAKLNGKELTLVIDTGAPNTHLDRERTRELGLKWMER GDGKAAGSDQGTAVAVVQAIEVGQARSGPIRIGIHSMAETNRAVQPYGDGPVDGVLGA DVLDPAWAVIDYRKCELYLRDR OJF2_RS31035 MTATALELIVMPVFWCFLYSLAVGFVLSKLIAGVTFAATRLHAT TRGRTAGGPDWSIGDAGLGGYDRRSSLAASTTRPGAGRSRRSDSTACAGL OJF2_RS31040 MGIRILTVEDDELIAETLVRGLREEGFAVEHAPDGGAAVAALQA GAWDLVILDWWLPGEDGLEVLRRFRRRDRETPVLFLTARDAVPDRVRGLNGGADDYLC KPFAFDELLARVRALLRRGEGRAGTELSFGDVELDLATQKASRDGHPLDLKAKEYALL VYFLRHPGQVLSRTRIYEHVWDERYDGISNTLEVHVFELRKKLEARGGPRLIHTLRGR GYVFGDPPGEG OJF2_RS31045 MSLASRLSLFFLASLAVVLVGFSAALYILGRSYLTRQVDQRLEK ALDALEDAVAVDSDGLEWEPRDRRLSLGMDTRLEDIRWIVQDGRGAEIDRSPDARGEG FPPPIAPGSLAIPPGNETAHADVAGWRLARHHLQLKELLRQMARSPEEIDEDGYEELL LTVGVSIAPMEAGLRMLGLALIAIPAALWLLCAAAGRWLCRRALAPLDRMAAHARELA ATDHPGGLPVPRTGDELEELGRAFNELLGHREEALERQRRFAGDASHQLRTPLAGLLG FIEVVRRRPRPAEEYEQTLDRVHREAGRLSQIVESLLFLARADAEAACPECEPIDLGS WLPGVLDAWSSHARAADLRVEIADPSPVAGAHPPLLSQAIGNLVDNALKYSEPGSPVT VSCRRDSATVLVAIEDRGCGMTPEEASAAFEPFYRSPRARKLGRSGVGLGLAVVRRIV AASGGTIAVESEPGRGSRFLLRLPAAEAATGDAVPIPPCAVRAST OJF2_RS31050 MTPKRSVSLVVPMAVLATLAAGAVAGPLSRGPQIPVKTSELSVL VYRGGHVVERRQLAPGSEASVAIDGALSRRGWTTSFLTYAPSVYLQDSKGQFTINVSG ELLIINDSTGRWPRQRIRTLDEEEARSIRRALRAELGTPSDLP OJF2_RS31055 MGETEDETAGGRLRTLFGAGAVGNLADGRLLERFAARDGEAAEL AFAVLVERHGPMVLSVCRSVLRDPHQADDAFQASFLVLARRAPSLRVNGSLGPWLHQV AYRVSLAARAAAARRQKHERRAGELAAWTVREDGAGDLAEVLHEEVNRLPRNCQEAVV LCYFEGLSPSQASRRLGCPLGTVQSRLARGRERLRSRLARRGVAPAMGLVEAGLVANA NAAGPPASLVAEAVRAAAQSGLGTGAVASMARGVMRSMILTKLRMTLGVIAALAAVIA GARPWLRGAPAPLPPPDLPRIRHELGATEIAEAPRPRPAAEAVPPWRGLAWAEVAPDE MQGILQRLAEQSRGNYEKIRTWKGSYAVVVRKYLDENFVSQLPPAARGGKHRGPLIQE FDRTLAFAFDPAADSLYRDLETSRMRFLVPGTHDEVTIPNVGARDHRSILTPTTYLDF DPKERATSSFLPDHPDAQNKRMAKRFPEREARMREGGEPDPRDFFKTDPGNTIWSEPE LYAQALKGLQGAESLKTVAERLRLLRAAGPGGTWYCGSMSFGNPGEASLTATTVWSPQ AGYNPVSHVRTSGKEDGTPESKIEWGWKRIGDVYVPESMNATSYRPDGVLSRGLEARL KDCAVNRPLDPHQFDERGLGLEDGDLILDHNERVAYIMKGGKPVRLAAFGERSILKSS PEPAPPRPSLPAAKATEHAATGRIYTTASLGTNGEGMPVPSVVAVDPNTAEVTKVFDA FSNGVRVSPDGKNVAYTAIDRSVEAFPERHHQYVWIRSTAGGEPRKVLPLNADGGGAT PVWSPDGRQLLVSVGVQDEKLHRWVFESVRVNADGTDRQVLKIPPDDMVLDWSADGKT LLTASSRGAKVGWQLYLIWLDGLNMARLTDGGNPFDARFSPDGRRIVYTDGPTADRRG IWIIDVAGDGRGPRRLLETGTQSASACWSPDGHQLVVAIDGEKPEDHGRLDILELDGA HRTLLTFPGQRAAAGPDWR OJF2_RS31060 MTGSPATSPDGPEAPDPEASAASAAQMATEPLLRRARDLGSLRS LAAVLVILATSLYLLERLEPVLRPLLIALLLCYLFLPAYNRLRRHMRPVLAFLIIVVG LTIGLQGLARLVYRDVEVISHNLPRYMEREEEIEAKLRDLTKSWLPMLEHPRAGEAPG EADSTLTAELSRRIVGGAASAFVNVFLESLVIAFYMIFLLQSASRFPARIRASFPADR SRGLLAMTESINRAISEYLVVKVKASLLVAIPAAVACWAFGITGAVTWGTITFFGNFL PYVGALVAILPPITLAVLEYHSLWPPLFFAVILLSIHGITSNLIEPAMTGKALGLNPL VVLVGLAFWSLIWGFVGLVLAVPLTVIFKIILERTPATRPIALLMAEDDPAAPAVS OJF2_RS31065 MIHPWHDVTPGQHLPKEFDAIVEIPMGSSIKYELDKRTGLLRLD RMLYSAVYYPANYGFIPQTYAEDDDPLDVLVLCQEPLAPLTMVTARAIGLMTMVDGGK PDHKILAVAVHDPEFNSFREASQLPPHRLMMLRRFFQDYKLLEGKSVEVEEIQSSERA LPIVEDALQRYSEKRRRGFH OJF2_RS31070 MLSTAASALDNIVARPSLDAAPLVANLNPTGLSVAQVRAAYGMN QVTFQGGTIAGNGAGQTIAIVTAYDAPTIGSDLRAFDRMMGLPDPPSFLKYSQRGTKV DGGWATETALDVEWAHAMAPGANIVLVEARSAGLKDLLNAVNLARSISGVSVISMSWG TNEFRGQTAYDSVFTTPAGHIGGSGLPGGISFVAASGDSGAWGGVSYPSTSPYVLAVG GTSLYLGADGGYGSEAGWRWSTGGYSSYEPAPAYQVAAQAASGVSYGVRTTPDVSAIS DPATGIAVYSSVPYGGTSGWFAVGGTSAATPMWAGIVAVADQGLALAGRGSISNVQAE LYAIPSSAYNSVSVGFNGYNALAGYDLVTGLGTPSAVRLIADLAAGPGGTSGGGGTAR VSSSLKFRATRLDVAVASGIPGTTPVGTTQGLFANTTTAAAGPVSTVLAPNTVVIVIP LGSGQFVVIVERIISPPLPSATASAHVENALATEIDSTNPGFTNLLSTSRILPFDRLV SASPARYDGPDVESLIDLVLPPEVAPAGPVAPAPAAATVASRPASPPVLERAAFGLLP SGPEEAALDARLDAPALGGRPSLPALPAEAEDGEARGAPAPRLAGAFAMAGGAAWLAW KDVRRQARPQPQPSAPRALRPKLRRLLLPVA OJF2_RS31075 MIWSQIAEGGAGAAAPGSAAAGNAGSMALLAFRRWCSGLTLSSP GPAPGADGLRILLIALAALLVLAVACQGIGTALRQLLDLPGHVGLARRATGRVWGAGQ LVAAMITVTVLSWTGGLSLGFLSERSDRWKNDMALVSRSRSAGEMALEQGALAALTPL RDVAGLGDNLPILSLAVYLIFRASSGMVSPAMKKADVAAIRGGRAVEGSGWATVVWGC GSLYVLYRIVSRASGGADLPLGGCLLIEAVVVPILMIVCDGFLLAWLLTELRNAGLPG VGADEERFRPDSALRLMPAAMLGCLAALPSRYLGTLVFLSLQHLPRSVGSGAGGRAIR WLLGWGLVDVQGASLVAVGLVGVVAWGRGGLREVLRGGRRLLRAEGGHLVATTAMSAI ATALLAGLAYSVLLLLPLAGWVLPAADSYSHYATLPAGLWTLAGLIELAQRSLPTARA LAPEAVDDARGPGEAAPASDAIGGGPDGPLAVAAGIGV OJF2_RS31080 MSTGTDLISLIASRQNLDDYQKKHWSGTMAEYLEIVRRDPAVTR TAYQRLYDMIMSKGTEEIVVNKEKLVRYRFFEDPDNGGEDAIFGLERTMTSLVNVLKS AAHGYGTERRVLLLHGPVGSSKSTLARLLKKGLERYSRTDEGRLFSFAWREAGPDGEE LLTDCPMHEDPLHLIPHEDRAEVIRVLSEGAPAHPYEMTIEGDLCPFCRQMFNERMAR YGGEWSRVVEDVQVRRLILSEQDRVGIGTFQPKDEKNQDATELTGDINYRKIAEYGTE SDPRAFNFDGEFNIANRGIIEFIEVLKLDVAFLYDLLGASQEHKIKPKKFAQTDIDEV IIGHTNEPEYKKLQSNEFMEALRDRTVKIDVPYVTRLADEVRIYEKDYNDRKVRGKRL APHTLEVAAMWAILTRLEEPKNAGLTLMQKLKLYNGKTLPGFTEDNIKELREEAEREG MLGISPRYVQDKISNALVAHPNERSINPFMVLNELEAGLKHHSLINSDETRKHYRHLL SLVKEEYEDIVKNEVQRAIAADEDALTRLCGNYIDNVKAYTQREKVKNKYTGQTEEPD ERLMRSIEEKIDIPESRKDDFRREIMNYIGALALDGKKFDYRTNERLQKALELKLFQD QKDTIKLTSLVSSVVDKDTQEKIDIVKARLIRSYGYDEDSATDVLNYVASIFARGDVK RGSH OJF2_RS31085 MRKIDRDANRFKQIVRGKIKSDLRKYITHGEMIGKSGGEFVSIP LPQIELPEFRYGSKNRGGVGQGPGDVGTPIGRSGEGEPGQGAGEAPGQHILEVELTMD DLAQILGEELALPRIEPKGRANIVEEKDKYTGIRQTGPESLRHFKRTYKKALKRQIAS NSYDPRDPLVIPIREDKLYRSWNPISLPQFNAVVLYLMDVSGSMTDDQKEIVRTEAFW IDTWLKSQYDGVTTRYIIHDAVAREVDEHTFYHTRESGGTRISSAYALANKIIEESHS PLDWNIYILHFSDGDNWGEDNRHCIGLLRDQLLPRCNLFCYGQVESPYGSGEFYRELE EAFDEAPNLALSEIRNKEGIYDSIKEFLGRGR OJF2_RS31090 MATVTKPEGTIATTRKAEAEGDQLVTMRGIDWQGYLTILDMKTD RRFPRVVFLDGEVSFMSPSPTHEFLNDRLRSFLRAVLSGLGIPFLPLGSTTFRREERR GGVEGGQTYYLVNRGHVRDKKRIDLSVDPPPDLAVEIVVTHPADDTLEVYRRLRVPEV WVCTETELVFLVLDRNGHYARTDSSVALPGISAAEIHSWITRGGYDDEGAWDHDLRRW IAEVLSPRLRETTR OJF2_RS31095 MSIVNTHNLPADLRAIQLETEEHARSYGLDFFDCIFEVLDYDEI SEIAALGGFPTRYPHWRFGMEYQQLSKGYRYGLQKIYEMVINNDPCYAYLLRCNQLVD QKLVMAHVYGHNDFFKNNIWFGQTNRKMMDETANHGSRIRSYMERFGEDVVEGFIDSC LSLENLIDIYSPHIKRRDAISRYDFTPDDAEEDRSGKFQSKGYMDAFINPPDILKAEA LKREAEKEKQKPMSFPEQPERDVLLFLLEHAPLKAWQYDVLAIVREEAYYFAPQGQTK IMNEGWASFWHSTIMTQKTLHPSELLDYADHHSGTMATQPGRLNPYKLGIELLRDIEE RWNKGQFGPEWEECDDYEAKRRWDRQLGLGRQKIFEVRRIHNDVTFIDTFLTQDFCRR YQLFSYKHNDQNDTYEIESREFKKIKERLLFNLTNFGQPIIRVKDGNYRNRGELYLAH EHFGIDLKLDYAQDTLRHLHRLWTRPTHLETVADGRPTLLSFDGTDHSIRPLGGSSHE SESRDRRRA OJF2_RS31100 MSPSREIAAALDENTRAYILPCAVTSEGAGYRFTLHLTALDSVG VGLDSLEFQATDRTEWSSEELNAWGTRLADRVTYLLEPLKVLEIDAGGGEVQLRSQAP TPRNDRRSYYEVRLFRSGLLRLERIAFDEATRRRERAACHLTREVLERLANDIVASSK OJF2_RS31105 MDIAAILGRASASPLLMRLGWTLIHSTWQLAAVAAAVAAAFAML RGRSANARYLAGCAALAIMAAWPAATFARLGAPAAAPRSATGPPAPTTREADREARER EALASGTRRARPVRPTLRGRLEPWVPALVACWGLGVIVLSARLAGGWLVLRGLVRRGV RPVGEGWERTLAKLRDRLGLRRPVRLLESARVQVPMVVGWIRPVVLLPASALSGLPPD QLALILGHELAHIRRHDYLVNLAQSVIETLFFFHPAAWWLSSRIRAERENCCDDLAVA CCGDPLDYARALAALEEIRQGTWSLAPSARGGTLLKRIRRVLGVMPAEEPTSRAMAGT LALSTLSLAAAFLLLIPGAPQARAAVEDRRTLTGTVIDAAGKPVPGADVWIVAETYPE SRTISLDDARTDSEGRYRLAWDDSRLEGPAIGTRAVWSYHETHGIGRAMLQAGPARSG GDPDRPIRVALSPASQTSLRITDAEGRPLAAAKVTPATLRETPLRLPEPLAERLASRT DGEGSARLLGPSGGSIEAVRVEPPGGGAQVFHRPKGFPDGAELKLAATVAVTGRVTAT EPSAVRGLRLWLSASTEPADAGWITTETEVITDDRGAFSGDHLLPGNLSAWPTLPDDA VHRPIDLLVKEVHAEGGVVRIVVPLVRWMRVRGTIREKGTGVPVAGAGVRLHSWMGNA PITPVMARSDERGRFEVLSPPGQKVFCLLEAPEAHIRLTRGVEMPEVVADGQELPPIE LERGVALRGVVADEARRPVAGARVVATWDRIGPGVKQPNGATLHLGSRFNASASTSPR GDFVLQGIHAGANVMLEAERDEARTASPQPAAAGRDEPVKLVISDANTVALSGRVVDT TGAPVARAPIRLQVRRMKDDASAEDLRLDGAGEIRTDEAGRFTTARRIKRGFDYRAEV DPGAGHLMSDGSPWLALGPDTAPKLGDVVLRRLRAVEGRILDPGGNPVPGAKVRQAGD GPNSEEVETDQAGRYRLSGIFAEPAFVFAAKEGYRFAGRLIEAGATTADITLERADGP APVPLRSSPPAMSREQEKKLIHVVFDDDAERALKDPKVRNLDWILRIVARVDPARARE FVDRLPPEDAANRNGYLRGGLAEEIAADSPDEAAEIVAGIRDPNARTYAYELLSRRVP AADRSRRLALLDESLVAGRALAEAENRVLRMADLGRRNLDLGRTDLATSLLREGQQTA LRLPTTGYAAFARRRLAEELALIDVPAAFEVLKGTEEDRDYTASLGHIAHRIAAKDPA EAERIVRTMRDSWPNFRDTYIQRVCYRMVAVDRARALNLARTVMTNYRDKARALAAMA LGIARAKGDRRLAGKLLDEAFDLLDATSRGNQDQWDGLAMACTAAAGLLPIVEEVDPT RVREAIWRTLAMRPAYRGDDGRAEIPLIAGARVAAMVARYDRDLGRRVLDGLIRTQLD KLTTTGGRDTSFQAESLVTAAAFIAPHQAASLIGRFPDEAGEEGRESLRERMRQAVVT VLAIPPGPERWRRLERRFLQVWPIDEEEDY OJF2_RS31110 MAGGKIPRPTEAELAILRVLWREGPGTLKQVHEAASRAKPTGYT TVLKLLQIMLEKGLVARDESSRPHVYRAAQTEARTQRQLVGDLLERAFGGSALKLVMQ ALSSRKTPPEELSQIRELIERMEREQGG OJF2_RS31120 MKAAKKSRLFLLAAIVLAVAAGVSVYVARATGLAGPSSNAIMVV APYWYNGTWVFDDPAVGLRREPFVAGVPEMIDVLVKDIPGAKDGFRLLFSANPFPGHQ KTLTWLRGDSQGNFYRLEGTSMEGWICPAMFRYYRRPPASLYVKAEPIAR OJF2_RS31125 MLRHASFVMAGLAAVGLLVGIGMAIRAHIRRAGRLAAPPGEGPG PIRLGSVPARTDDVGAASRSWRMLRWLLALPLLVPIAALVFLVIAIALLAWFAAVFLI HRAYWLRWKLLGIPMPYRRQWESDADDRFWSIIEADGGSIRDEPEQQLEAIRSRLRQK RPGYADLAEFRAHLARRMADACTADLRAACLLIHGRDSEEDFANFRAWLVARGESIYR AALLDADSLADVVEPYRDDCRLAGLRAVAEEVLREVANDGFFQGPAAIPPATMECGWD FGDPEQVSRRLPRLAALYLA OJF2_RS31130 MGLRMLAKRIIPCLDVNHGRVVKGTNFLNLRDAGDPVEVAARYD AEGADELVFLDITASHEGREILWDVVRRTAEVCFMPLTVGGGIRSLEDIRALLNAGAD KVSINSAAVRDPELVRRAARRFGSQCIVVNIDPKRVTREGREAWEVHINGGRIPTGLE AVAWAREVQRLGAGEIVLTSMDADGTKNGYDLEMTRAVVDAVEVPVVASGGAGNPEHL RAALADAGASAALAASIFHYREYSIADAKAYLAGRGVPVRLVEHGGRAHAPPAVASS OJF2_RS31135 MSTAVEANVEVLPNEPEANKLFRMVMKYKGSDLHLKVGVAPSMR LAGVLRQMQLPQLTTADMERLMYPLLSPRQRGILDEEGGVDFAHIIYDGENETRFRVN LFKQRGRLSLVARRVNNKIPSFEDLHLPQVLADITQYDQGIIILAGVTGSGKSTTIAS MLQYMNERERLHIVTVEDPIEYTFKDDKSIINQREVGIDVIDWDTALKHAVRQDPDVI LVGEMRDRETFSAAMHAAETGHLVFGTIHAGSAPSTIGRLLDLFPRDMHSSLRQSLAF NLKAIIAQKLLPTTKELAAKGTTRVPTNEIMRINPTVRKLILTEEDTKLGDAIRIGKD EGMMDFTESLRQLVVNEKVERAVAFEVAPSPESLKMALKGITIAQPGIL OJF2_RS31140 MIRPFLVIATALAASLGAAGGAFAADFDFVPALLAQSPTVFRGT YYGSYLNLFKFVPVVLIYLLWAWTTDWVEHDTKELNNVKFATWNSVVFFSGILGLVLM FAIPIYPLTLPLLIVSWLAPVLAYSYVRNQTVPDDQKVLTPYHIGEVVNGLLLKLGMR PLFNRDVSSVDRAGPPITFIGKSAGAGKEDPDRIARAEESRSFMAAKELVYDAALRRA TDIHLEPTPEQLSVRYRIDGILHAAEPFDRPTGDAVINVFKVLSAMDISEKRKPQDGS FGAKLQGRDLDFRVATSGSKAGEKLVMRILDNSGSITKLEDLGMRPKLVEQVRALVTQ PHGMFLCCGPTGSGKSTTLYASLREIDRYQRNIITVEDPIEYHLDNITQMEVNTKAGQ TFAGSLRSILRQDPDVIMIGEIRDQETATIACQAANTGHMVFSTVHSNDAVTALIRIL DLGVEPSMLSSALTAVLGQRLVRLLCETCKEPYKPKPEFLKKANIPADKIEVFYRRPE NPEQVCPQCGGTGYFGRTGIYELLVITEPIREMLRENPSLQKIKAEARKNGMMLLQDD GLRQVIQGRTSIEELLRVVK OJF2_RS31145 MKIVTDLVISFMIVLMTYVVSSEGLWGAALMFFNVVFSGMIAFN HYEPLARLIDSTGIGWGFSDTLAMLSIFCVSLLIFRMTTETIAPAMVRFPTPVYHAGR LVFALGTSLVLTSIILLSFHAAPVHKRVFGAMDWKYKPPFGMGLDHHWLGFFQYATKE VFPRYDGGGTTVSSGNRGQRVKVRYFDPKARWLLDHQEARPYGTESILDDSGGSGGEA GGGGSPAPPARGGPPS OJF2_RS31150 MLSRSRRRLPNLAVLLVGLLMGWGMSSLRPASLHAGGGDRSGES IVATGPILVRYDETNKIQVPTEALYLLDYRSGRLVATVPALHQTGATSKYFGAFAERD LVSDFKLDLDTGPKPHFLMTTGSLGTYTSGWAPLYVFETSTGQVALYRVKEQTTGTTV STQLELLETRSLVKAEKPEAGAAEKPQPPR OJF2_RS31155 MTMSAWLLAVCLSSQVPPPPRPDAAEDAAAALQSSARSALERER QELRALESRVASGAGKDERAAEVVRRLLDTARPEGGASRFTILPQVVPSQSPKGLASI DGGREPWKSDLEKARSRAAAALFELAKKAATGTPPRYAMAAAWLREVLDRQPDHREAR RLLGYVPHEGGWARPFAIRQLKDGKVDHPVFGWVPRDWVAHLDAGELPAPSPRGSRKV RWLPAAEADAMRSEWENRWQITTEHFDIQSDVPLGESIEFARRLEAFYDVFFTLMADA VGDNLPLARRFRSPALSGESSYRPHTVYYFANRREYVEHLRVLTGPEIEQSIGYYNPP RPGKGNRGASYFFRDPDGRIPVTATLYHESSHQLLFETAGANACLKNAGNYWFCEGLG TYFETVKPRPDGSVEVGGLIGERLREARKLMLAGGFEPLDRFVGMGEREFNRPDRIRE NYQQAQALAVFLMQAKDLAYREPFLDYVRDAYRGRIRQNTGRSLEDRLGVPLRQIDAA YRAYLEDAPAR OJF2_RS31160 MPRKKFGRNRKNRCRFCTPEGCPRPAYVDYKDIGLLKKLCTSQS KMFSRKRSGNCAAFQRASSSAVKRARFMGLLPYVGE OJF2_RS31165 MEATIVLAEDEPQLRGLYVEWLRRSGFRVHEAADGGEALAQVRA VRPDLLILDLWMPVLNGLEVVEHLARSSEAVGLRIVILSHQDDAETRLEGFSLGIADY WTKDLSLAELLARIAMVLGSPPSGPGASEAEAEVDG OJF2_RS31170 MLDAYQDRLDYLYGRLNYETAGMPGLPSELRIGRMRRLLRVLGD PHSGLRIVHVAGTKGKGSTAAMLASALSAGGRRTALYCSPHLHRLEERYQIDGRPITA AELCGLVDEVRAAVERLEAEDPLLRSAGATFFEITTAMGLLHFARAGTDVVVLEVGMG GRLDSTNVVRPVLSVITSIAFDHTRQLGNTLGAIATEKAGILKRSRPAVSGVLPDEPR EAIRRVAAARRCPLRELGVDFTFHADPPRGPLVRPTPGSVSVRTWRTDWGAMDLPLLG LHQAHNAAVAMAALDVLAEREPGLSIGPADVSRGFASLRWPARVEVLGEAPWLVVDGA HNVASAEALARTLAENFPDVPRALVFGTTRDKDLRGQLRALLPLFPSVVATRYRENPR AVPPEDVAEAVFELSGRAAEVVDDPPEAIERARGRAGVGGLVCITGSLFLAAEARAAI LGLEGIQPRAPSPR OJF2_RS31175 MREGFWVQVGAAWGFLAVAMGAFGAHGLKGRLEETGQLANFQTG AQYHMYSALAIVAVGLLALSGRSGAAASASGWAFLLGSAIFSGSLYLLGVTGLRWLGA ITPIGGVLLLAGWVALAVAAGSPQAKALLPE OJF2_RS31180 MPKYIISVTAADRVGIVYSVTGALLDLGGNILELSQTVMRGYFT IILEVEFPAAKSTKLLVDAILEHGRRFDPQVLVTEVRDGKPRASGADGERFILTVLGG DAQGIIHGIAGCMAAHGVNITDLHARTDGSRFSMVMEALIPPDLTPGTIRAELERYGR EQGIESFVQHENIFLATTEPGPVRVGLASRAQPLSQAEIPGMDPAHDGGGGLASN OJF2_RS31185 MHRTEDVLATLSMIRQYKLDVRTVTMGIDLSPCAAPEVSTLCAR IRERLMHFAGRLRAVCREVEGRYGIPIVNRRIAVSPIGGIAAGHRPEDYLTIARTLDA VAAEVGVDLVGGFSALVQKGWTESERRLIESLPEVLSSTDRVCASVNVGTTAAGINMD AILALGHVLKETAERTRGHDGFGCAKLVIFANAPSDNPFMAGAFHGPGEPDCVINIGV SGPGVVKAAVEDLVAVAASRPTLGEIAEEIKSTAFRVTRVGELIGREVAARLGVSFGI VDLSLAPTPQVGDSVGEILQAMGVARIGAPGSTAALALLNDAVKKGGSFASSSVGGLS GAFVAVSEDAALARAVEAGDLTLSKLEAMTAVCSVGLDMVAVPGDTDAETLAALIADE VAIGVINHKTTAVRVIPVPGKGPGDRAVFGGLFGETAIVPVHAAGGSTAFVRHGGRIP APLSSLRN OJF2_RS31190 MMTPSRVVRSLGFVGLVAWFGMVGWVAKSTLAQNGGTKSDDPPG TAADPKAAPATPPASIPVAPAEDLDDAKGPPPLTILTSAPADEKPADAPKPAPAPPRP VAPPTAERREATAPASGPSVPATDPAVKAVGKPALEPTPAAAPQPIGKAAAPTTGPFP VATAGARVGSDDPEASARSFVERGAREAEEHLRALTAEAEDLRGRLERLESGIKQWEG VLRALKGSRHAREIPGQAIAPRAGDDEPAQLEPIPARTAAAPRAPQRNRWVSSTPATP ADAAPPTASASNEADPSPYLPPAAPPVSAEVPASLPSPPATPAAPPAPSTPPR OJF2_RS31195 MKLLLVEDDRKTGAYLCKGLVEAGFTVDLASRGDDGLHLASGGD YDLVVLDVMLPGRDGWSIVAELRKAGRDTPILFLTAMDAVSDRVRGLDLGADDYLVKP FAFSEFLARVRSVIRRGSARQSQVYRIADLEVDTVRLAASRSGRKLELTPKEFGLLAL LSRRAGDVLSRTLIAEQVWDMNFDSDSNVVDVHIRRLRAKVDDPFPTKLIHTVRGAGY VLEVRG OJF2_RS31200 MSSKSAARSWSMGRRMAAWYAGSSAVLLIVATTSLYWMIAASLD AEGDRWLGESIEYLRNYQLRTGRYPAPEDSPADEARIRDEEGRVIFETPASSDRLPAA IVPGAAGVDHRTAAGRWYRALSRRADGRTYEVCFDRTTELALLGRYRHYMAFVLIPAL AATAVAGVVIARRGLRPVGNMAETVRRIGPERLGERIAIGGLPTELDDLARTFNVMLD RLQESFGRLERFSGDIAHELRTPVHAIRNVAEVTLATSRTRDEDREALAACLDSAETL SRLVERLLFLARADDPRMALDLETLDVAGELTAIRDFYGPAAEEAGVDLSVAAPPRLT ARLDRTLFQRALGNLLTNALTHTPAGGRVTIAASAASKTLEVSVSDTGDGVAEEHLAR LFDRFYRPDRARTAGQGVGLGLSIVKSIVELHRGRASVASRPGEGTVVTLHFPAGGDD ETVISA OJF2_RS31205 MPRRHAPVRGFTLIELLVVISIIGVLIALLLPAVQAAREAARRA QCTNNLKQLALAAHNYESANGCFPMGSPVKVATYSAGWIKAGEYDWGHSLFVAMLPQM EQGPLFNAVNFSVNIELAENMTIHRSQIQTLLCPSDNLGWQTDEPTQWANYAGFRVTH GSYSGCTGTWSHWKDDPRTAPSLATLVAQDNGIFYANSRTRLADVTDGTSNTILLGER ATFDRFRSFSNWWFSGWNGASLFDTLTAMNPQRLVAISSLPMPRPDDWPGVVDNALLN SASSRHPGGANVAMADGSVRFLKETIQSWPVDSFGNPTGVKDGGGTMYPFDGTTLYTL LPGTSLGVYQALSTRSGGEVISSDSY OJF2_RS31210 MTRTGASADPAPAAGIGPGRTIIEVSGLVKRHGALEVLKGLDLT VARGEVATIIGPSGSGKSTFLRCLNGLEAFQAGAVVVDGLRVEAGDPAAVRNRTLRQV CRRAGMVFQSFNLFAHMTVLENVIEAPRCVLGLAREPAVERARRLLERVGMGDRLDAL PRHLSGGQQQRVAIARALAMEPPVMLFDEPTSALDPKMTGEVLAVMSDLAGDGLTMVV VTHAMHFARRVSTTVHVFGDGRVVESGPPGRIFDDPRHEATRTLLSQVLAA OJF2_RS31215 MEEDPDASESDASPVASSPPARPDLAPRRPSPSNLAVALLSLLS LSLGPLTIAPPAKADGLGRVKASATLRYGSDMEGGGPYAYPDPRSPREVTGFEVELMA MLGKELGVAAEFSQGQWDKLLQVLSAGRIDAVVNGYEWTEARAREYAATRPYYVYQLQ LLAPKGSPVASWEDLKRPRPDGRRWTVGVLVGSAAERFAAEDGGSAVDVIPFDGSTDA MLAVQNGQSDATLQDVPAARFYRDRYPGLASAGPPVSRGYYVIYTRAEDASLRDALDR GLAGLTASGELRRLYEKYGIWTEAQAELDAFSGPIEVASGDGVARGWRLINQYSGLLL DAAKVTVILATCSMPLAMAIGLVVALARLHGPRALQPFLTGYVELIRGTPLMLQLYVL FFVLKLPRWVAGIGGLAINYSAYEAEIYRAGLQAIPAGQMEAALALGMSRGMALRRVI VPQAVRIVIPPVTNDFIALFKDTSVCSVVTLVELTKQYSILANSTGGALEFALAAATL YMLMSVPLSWFSRWSERRLAGDLKGGEA OJF2_RS31220 MPSPLNGNLILGLVAIVAVLSTLLSLAALAWLIRPRRGRRVAAR PAFTPPVTILKPLKGLDEELEDNLRSFFLLDYPEYQLLFGVADQDDPAIAVVRRLMAE YPRRDAQLVVGCPPFGLNPKVENLASLDRYRKHGVLLISDSNVRVRPGYLRETACYLA EPGVGLVTNLFAGVGEARSGAIMENLQLNGFIAGNMALASLMRITCVVGKSMMMPAEV LEAIGGFARVRNLLAEDQVIGVLVRKSGYSIRISHHVIDNVNVRRGFNWFLNRHSRWY KIRRQMATATFVAEPLANLAVVGLVWAFSGDSGVAWGGLAGLVGLGMARDAIQTRWLS GRFPRLRHLLFSPLKDVLLLPLWFDATVNARVQWRGHRFRVGRLTRLRESRTSREVRR RLRRARRFRAQHGPSAPLPDAAPAREPRRPLRPGPELPGTPQDLGEGP OJF2_RS31225 MLAIGLGAGSPARADGPPAQDVVAKGKVIHLATALERAGVTTRI DPEPVSRQVVLVDGDGAIVPLIPDEASRALFLDERLRGRPVEIKGKRLRGLPYLQVVT FKVEEDGRLRTPEYYCNVCTIHVRYPQSCPCCDGEMELRMKPEKD OJF2_RS31230 MANFGPGTAGRGGDAAIAADRGRTTSPPEASASAGRGDPRVAAA LEEYLGALEAGVPPSRESFLGRHADIAQALEDCLPGLEFVRAAGLRFEGASSREDRED PARAMTKVAGEAGMGRLGDYRILRELGRGSMGVVYEAEQVSLGRLVALKVLPFAAAID PRRKQRFQIEAQAAAQLRHPHIVPVFAAGSDRGIHFYAMQLVEGRTLAQVIRAARGPG GGDPAATEEPSPADASTREVRPAAQARPEDGPPDPAPPASPEGSGRRSLDPRTVARLG IEAALALEHAHSLGVVHRDIKPANLMVDQSGSLWVTDFGLAQFRGDASLTRTGDVVGT LRYMSPEQALARRGVVDQRTDVYALGLTLYEMLTLRPAFDGDSHHELLRQIAVDEPAP PRKIEPSVPRDLETIVLKAASKEPAGRYATAQEMADDLRRFLDDRPIRARRPTRPERC LRWARRHRQVVLTAVGVLLVAAAVGVAAVAVQARKTHAVELTLLAHIRRSFPAVDLIT MSAMQEATQSSNEHAGAADPGHLQEVYDKALAVYQEVADLPPIDPEFRKIIARAVHRM GLTRAFMSVARGSESGPDRRYWPVAEENFRDAVARFERILSEKPGDFEARRWTADALG GWGLGWFLAMTGRVEEAIPHNRRAFSIRRELTLESRGDSPVAAEELASLVWLTEHFSG LYASLGRKAELEDIRAELLSIGRELAQREWTPGQRRELATPLAAYGARLGAPDSRRFA VDLLLLAVRIDPSSPEANNNLAWRLASDPDVSPHDARLALSAARKAVGLNPSSWANWN TLGAAAYRAGEWQAASEAIDRSMELNKGGTPLDWAFMAMTRSRQGRPDEAADWLRRAR QAASPDEEARRFLAEAESVLGHAPMGRPGTVEAGRK OJF2_RS31235 MATVTSRAAMEFRTDVPARMDRLPWSRWHWLVVAALGITWIIDG LEVTLIGTVSTVLQEPESLHFSGQEIGLLGTAYLAGAVLGALVFGYLTDRLGRKKLFT VTLGLYLVAAFCTAISWNFASFAFFRFLTGAAIGGEYSAINSAIDELIPARVRGWADL AINGTFWVGAAAGSLASVILLNKRFLPADVGWRVGFGLGAALGVIIILLRKYVPESPR WLLTHGHPEEAERIVADLEKRIEGERKEPLPAQTGGSITIRHRDPIGFGELASVMLKM YPGRTVLGLALIISQAFLYNGVFFTFPLILKKFYEVPVDHTGLYLLPFALTNFLGPLT LGRFFDTVGRKPMIAGTYTISAVLLAGTGYLFAAGSFGPAAQTLLWAIIFFFASSAAS SAYLTVSEIFPVELRGMVIALFFAAGTLLGGTLAPWLFGRLVDSGSRDMLFYGDLFAA ALLMATVGVVLVFGVKAEGASLEDIATPLSAALDSEAAEHGSTAPGGKSLAG OJF2_RS31240 MKCRKLFLPLGAMLVLLAPSSASAQRAPFAIPDPDPEIERKSFL VADGFEVNLYAADPLISKPIQMNFDPAGRLWIASSEVYPQIQPGQKANDKILILEDAD GDGRAEKTTVFADGLLIPTGVEPGDGGAYVGNSTELLHLKDTDGDGKADRTRVMLSGF GTEDTHHIVHTLRWGMDGMLYFNQSIYIHSHIETPHGVRRLGGGGIWRFRPETMELDV FIRGLVNPWGHHMDRWGQSFVTDGAGGEGINYALPGAYYVTAPDAVRILQGLNPGSPK YCGLEVVSGRHLPDDYRGSLITNDFRGNRVCRFVVSDAGAGFTAREQAELIKTRHVAF RPIDVKMGPDGAIYIADWYNPIIQHGEVDFRDDRRDHTRGRIWRVTAKGRPLVPRPRL VGAPVPELLDALKAPEGWTRHQARRVLKERGAAEVAPALASWVKRLDPKDPEFEHLRL EALWTYQAIDATEPALLRAVIESPDARARAAAVRVLSLRHDRIPDAVDLLARRVEDEE PRVRLEAVRALAMRPSRRSAEVAMRALDRPMDGFLDYALWLTARQLRGEWLPAVESGE AAFEGDARRLVFALQSAGSPRVLRPLLKLLREGRVLPDRDDAVQSLIVALGGPSELAV VLELAGSQPNLGAARRAALIDALTRAARDRKVVPSGDLGRLAPLIDAAEAPLRAAAVR AAGVWKVRSLEPRLETLARDAATPAEVRAAAVEGLIAMGTPGGRKALDAILARADDRA GQAMALGSLVARDGSAVPRVADWLAGLADDRGAVAEAVLNRVLERKDGPGLLARALAG KSLDADVARVSLRAVRASGRPAEDLAAAIARAGRLEAGTRRFDAAGMAAFLSEVARSG DPARGERIFRSKEATCLKCHAIAGAGGQVGPGLESIGASAQPDYLVDSLLEPGKAVKE NYHATVVATDDGRLITGIRVRQTDEELVLRDAEDREVAIPLSSVEEQKPGGSLMPAGL TDSLTRAELADLVRFLSELGKIGPYSVGKDRVFRRWRTPAPGSEAASVLDREGPEAVV SKPGLDGWAPLYATVGGGLPVGDIPAAGPAKGAGRIGLVRTELQVLQPGPVRIAVSGP ATAAWLDARRVDPKGGAVVADLPAGLHAVWLAVDVSGARGPVRCTLEDEPGSAAKAQV VLGK OJF2_RS31245 MPPTRPRTAPRRPGTPALLIPILLALAAAEAHAFDSPKPWPLRD GDRIVLVGDTLVERDQRYGYLETCLTLLNPELDLTFRNLGWSGDTVAGLSRAGFDPPE AGFKALVDQVKAARPTVLIVGYGMADSFDGEAGLPKFAAGMTRFLDAIDPEKSLRVVL LSPIRHEKLGPPLPDPAEHNRILELYGEVIRKSGGGRDARFVDLTAWFEPTGGPWKDT DDGIHLTEAGYLRLAEAVAFQLGQGRKNPWEVELEADGKPGRTSGVRVLGSKMTGAGI RAEIQDLALPAPSIARPSPEVASLRVRDLAPGRYSLRIDGREAFRGGAEELMAGVPLH LDRSADWDRVHALRRAIHEKNQLFFYRWRPQNQTYLFGFRRHEQGNNAVEIPRFDPLV AAKEKEIAGLKQPLTHVFEIVREGGAAR OJF2_RS31250 MMLPHLRIPARLRVYARFNRYLLWEFRWALGVFASLVLGGGLLL HLCYHHEELNFPRACHAVFLMIFLESSIDFPDEWYLQPLFFLIPIVGLGAIADSVIRL AFLMFSRKQNQPEWNRMLASLCRHHVVVVGIGGVGYQVIRDLLEMRESVVAVDKASDD PLLSDLFDRGIPVILGNARMETILEQANVRQAKAIILTTADDLTNMDIALTAREMNAT ARIVLRLFDETLAAKVVGAFAMPVISTSRVVSPAFIAEAMGRKVYQPFQLSGKSVHLT DLTISEDGGLVGCTVGELQEDTVVNVVMHQGMSGVHVNPGDDIVLRPGDTILVIAPME PLLRLEARNRPERSA OJF2_RS31255 MKAPQIDASAEVESSLSTAIGAWTERGRPGPLERWLGRHLDEDG TPHRIPHDPSSPILDSLLTARGERPGWPDRIDERLGQIVRCLLRTSRVDLTPATRAAG SADATLARATLVRFAESFPRSAEAQVIAWWVRGVPAPHVPPPLPAWSSARRAMAVLRP GWQKADDLLVVDHRQAGSTTDIGLVGAGVPWLGPSWQAPSSEERATAARPTFWQSTSA ADLFEWTFTVGGLRHTRSALLLRGRSLALLADQVEGQPLRAAAPGPAECTIALPEGIQ PAPIAGSRGLLLRPSEGRKSAQVLPVALPCADYQTDLGRFAIAPGGRLSMAVAPAGRR CWLPLLVSWDAARHRKTLSWRVLTVSQDSKICGRDVALAVRVSWGREETFVIYRSLAA PASRVFLGHQTGARFLVGTFSTDGDVEPILAVE OJF2_RS31260 MRALTVLLGILSSILIVVQLVMGLLIRNGQASVGLRTAHSHSGS LMVLVTLAYIALSMTALLSRPRSAGQP OJF2_RS31265 MPDLPPENHARILRNLDDVRARIAAATARSGRPPEAVSLVAVTK KAPVEMVRPLLEAGASILGENYPQELWKKSEALAGESPAPRWHLIGHLQSNKARRTLP LVEMVHAVDSLRLLEAIRELAPGLSRMPRVCLQVNTSNEEAKHGWSPSGILEEADAIA ACRAVPIVGLMTMAAWGTDAKTARPSFVRLRETRDRLRERTGLDLPELSMGMSGDFET AIEEGATLVRVGSALFEGVER OJF2_RS31270 MIELSAHTGGTIVPVHAQPGARRNGILGERAGSLRVAVTAAPER GRANAAIAEFLAESLGCRPSQVGILSGEASRQKRFLVAGIAPEDLRRRLAPLIPGSDP GPTLPPS OJF2_RS31275 MLEYPRELPIQPWNGPPPRASVRVPGSKSLTNRALIVAAMAEGP STLTGALDSEDTRVMVEALRTLGVGVEHDAKSATIRIQGCNGRLPAREATLHVANSGT SLRFLTAMVATGVGTYHLDGTPRMRERPVADLLESLNGLGARATSDLGTGCPPVTVEA RGLEGGFASIRGDVSSQYLSGLLMALPYARTPTTIEIQGLLVSKPYVAMTLAVMRDFG AKPSERKFRRFDVYPSRYQGRQYAVEPDASAASYFMALAAITGGTIVIEGLGTESIQG DVAFADILEHMGCTVAREPNRITVAGGPLRGVDVDMNAISDTVMTMAVVALFAEGFTR IRNVGHIRHKETDRIAAVASELRKLGATVDELPDGLVITPPEAGATGPAAIATYDDHR MAMSFALAGLKVPGVTILDPGCVAKTYPGFWEDLDALRSSSA OJF2_RS31280 MRFDAARVIEMAEWLGTLEAEDGRREDVAADLVAEAFQNLGWRV DRPDEAVVASRPSSAPTRVVIKSPFGRARVAPWQGWIHRSFGRLRGRGLAASDSIEVG VRTGLAFLLELARTWPASRSSRVDVAFSAVRTVRVRQAAEREPRPTLFLDVLAPGLGQ GVVIGGSCRDLAIAAARGLWIPHREKRFGTLPSQSAVIVGDGSLGDEAATVDPAALDR TAQLVTELAFRWAKERVGNGPAPQADDDRRASRSSQNPG OJF2_RS31285 MRAKTVSAAGCLAFALMLHPQASPAADPLTVRVDASNGAPRIVV NGKAVRARMFFGIPGSAAIPVKAGPREVSFEFRARDAADTATMHFRFGPKPGTIDLDE VRIVDLDDGREVMPRRGFEDGPGSFAADWSAWPPDEKNTVGKLAVAPGAGKGGSAGLR IELTAPARAGEPWPDFHIHHHANLRLTRGHLYRASFWVHSHQDRDLNVALYRPGATYV HLGGPQGPFASQVKLAAGAGVDFVSFPFEVPWPPPGQPADWTAVDLACREVLDANPRA LLLPRVGMMPPEWWLKEHPGDRMQWEDGRRDMVVVASPSYRRDAAERLLALVEHLEAA FGDRIAGYHPCGQNTGEWFYEATWNPKLSGYAPADVSAWRRWLTGRYRDDRRLQAAWH DRGVSLGAAAVPAPALRHASPAGVLRDPLREQALIDWAEFQQDAMSDCVRDLAHAARV GSKGRKLILFFYGYVFEFGPVANGPATSGHYALRRVLDSPDIDVLCSPISYFDRGLGQ SGPAMTAAESVALAGKMWLCEDDTHTYLAAQDFPGSTDHVRTLEETNHELLRNVGQEA VRNFATWWMDLGATGWFNDPGMWREMDRLKAIDEPLLEHPEPFRPEIAAVIDERSMLA TAPAAAAVTRPGIYEVRAGLARVGAPYGQYLLDDVLAGRVRAKLYVILNAWRLSASER ATLSGRLRGSTVVWCHAPGYLDGDRPSPEAMRALTGFHLVPTSAHAKAGPTEAGRRLG ILRAFGPDQPIQPLFAAAGLPDGQVLAAYPDGSASVARIDTADGPRFFVGTPGPTAEV LRTAARAAGVHLFTDTDCNVYARGPFVVLHASQDGPITVQAPGDRGKSWTWTDALTAG RLGTGPELRLVMKRGDTRILRYEASPGR OJF2_RS31290 MTPPTPGTQAAPSAVPDDDEATLVRLVDELTAALRRGEHPDVEA VAATHPRLGGELRSLWDTIRIAELLSAAGGEGSPAELVAADGAPTAGPPPAASAVAFG DYVLLEELGRGGMGVVHLAREAARDRVVAIKRLIRGPGASTLDLERFRAESSAAAHLA HPHIVPVFGVGEHDGQPFFTMQYVEGTTLSRRLAEGPMTGLEAARLLVPICRAVHYAH ERGVLHRDLKPSNVLIDRAGHPYVGDFGLARRMDLGGEPSLTPSGALVGTPSYMPPEQ ARGSARRGPLGPSCDVYSLGAILYQMLTGRPPFQGAGPVETMLLVLEQDPVPPRVLNP RVNPDLEMIALRCLQKQPEMRYPSAAALADDLEAFLRDEPVAARSTSLRALAARLMGE SHHAPILENWGQLWIYHSIALIVFFGLTNAMHLSGVTARWPYVLLFTAGLGAWAAIFW AMRRRGGPISFVERQLAHVWGSGIVAINLVFLVEALLEMPVLSLFPMVAVTNSILFMV KAGILSGFYYYQAAALILSIFPIVCFPRFGPLIFGVVAAACFLVTGLKYRRRRIGVSV HGDGSRSIREGEAPAEPPHGSAGASPSP OJF2_RS31295 MWPDAGETQRLLRQVERAEPGAADELWERHRPALRRMIGLRLDH ALGRRVDASDVVQDVLLKASQRLEEYLGNPAMPFHLWLRHMARDLVIDAHRRHRRAGR RSLDRERPIAGRDAGDSGSSRPSFDLAAALRDPSPTPAAEAMLHELRGRFLDALDRLD DVDREVVLLRHFEQLSNSEAAAALGLSEAAAGMRHLRALRRLRAILGETPSQA OJF2_RS31300 MYTVYAHLAYLSLSVAATAWVARTLSRNGRVFLADTFGHNEALA DAVNNLLVVGFYLINVGYVALALKYGPRPNDMAESIEAMSTKVGLVLLVLGAMHFFNL YVFARMRRKALLRNQKPPVMPEQFVTPVGALAAE OJF2_RS31305 MQKLYVLYDSGCGLCSWARRWLASQPAIIPLTFIPAGSATAARL FPGLTRPGEPPDELVVVSDDGAVYREGSAWIMCLFALEEYREWAGRLAHPLLLPLARQ GFALLSRQRARVSRWLSLASEAEIAGTLHQVNAPACVRLPAESSGTWGSE OJF2_RS31310 MPPGPHIENSLRAMRLGRGWSQDDVARRSGLSRAGISAIETDRI VPSAAAALALADAFGCRVEDLFRLPRRASSGIAWAWPPPRQPARFWCARVEGRSLAYP VEATDLGVIPHDGVCRAGGFEHEGRFDPDRTLVLACCDPAVGLLAAELMSVFGIRLVA VPRSSATALARLGEGLVHVAGVHLAKADDPEGNARAVRDRLGPGYRLLRVTQWEEGIA VSPSLGLATVRKAVGSKLRWVGREDGSGARACLDELLGNRRRPLPLASDHRGVAEAIR NGWADLGVCLRLVSEEAGLDFLSVRTEDYDLCYPERLKGDPRIEALVSVVRSPSYRRA LGELPGYDSSRTGEMQPVV OJF2_RS31315 MDRRRHAPGSRGFTLIELLVVIAIIAVLIALLLPAVQSAREAAR RAQCTNNLKQIGIGLHNYLSAIGCFPPGRVNTHVAGMGNCWGMYAQIVPYMEQVQVSN AFNFNLAPDSDPANTTGGGIFISSFLCPTDGDLTQAQAGYGMHNYLVNVGTTYSVVQA PAAPLAGMPDGIFYENSRVGPQSITDGLSSTVAVSETLRSNPALGATNLLNGFVITGN NATSGPPISDDASYATLCLTNSPPGFQVTRGSKWFYGAPGHSMYNHRRVPNDRSYDCR GGLPHSIRSDPLWNQLSLNITARSLHPGGVNSLFCDGSVHFVKGSVNLATWMAMGTRA GGEVLSSDAY OJF2_RS31320 MTPAPRSPRRAAPPRALALAAAVAFAAGCGGGDRAPTVPVEGKV VHRDGRPLTSGFVFLVPASGGGPEASGQILPDGKFRPESLGLDGAAPGDYRVRLAPEP PAPTKGTRGWKAAAPPLDPKYLDETTSGLAATVPPEGGSITIEID OJF2_RS31325 MADIVLINPRFEVSYWGLEHALPILGRRANMPVASLPLLAALTP GGHSVALLDENVEAIDLDRCARADIVGLTGMVVQRERMQQLLAELKKRGAFVVVGGPW ATVKEEDFEGKADVIFVGEAEETWPRFLREWADGRHGDRYEQADRTDMTTVPTPRFDL LAMRHYLFGNIQISRGCPFQCEFCDIIVTFGRKPRLKTSAQVLAELDALRAQGVRGCF IVDDNLIGNKRAIRPVLRDIVAWQERHGYPLTLFTEASLDLAEEPELMALMVEANIVN VFVGIESPNEESLRETKKYQNVRPAGTLAERIRRIQDAGMEVMGGMILGFDHDDETVF DLQVELVREARIINVMLGMLSAIPKTPLHDRMAAEGRLDLENEAAFGTNIVPLRLGRE QLREGFIRVLAELNEPTAYFDRLESLYLDGRMDFSRGANRHWRRHPIQRMRAKGPLLL MALVMLARLCLTVRDRPLRREYLRRIGRLVRARPDSSILWIAVVKAALQHHAHRMARS MVEGRTAVINTYS OJF2_RS31330 MTRLRWLVLLGSLLTRGGVAAADLPVGDAPAPIRFEHFPTRLHG VVWRNWGLVPARTLAEVIGCREEQVVELATSMGLPAGPGLPPVPVRRYYITLLRRNWH LLPYEQLTGLIGMSPDELAFALREDDFLFEKLGGRKPRCEPVRYAEPDDASKSRAGEI ARLVRDRFGAAPGRGGEPRFAFLRKLGEPGPRPREGPARRDPTGGPRIVYSYCAVYGD ALADGAAESYPDGLLAALAENGVNGVWIHTVLRQLAPGGPDFPEFGDGCQRRLENLRG LAARARRFGLDVYLYMNEPRAMPESFFARRPEMAGPAERGFRALCTSDPRVLSWMTAA LSHVFREVPGLGGVFTITASENLTSCASHLRQEQCPRCKNRPAAEILGEVNAAIERGV HAGSPDARVIAWDWGWPDSDAIDRLPTNVWHMSVSEWSQPFERGGVKGRVGEYSLSVP GPGPRALSHWARARSRGLKTMAKLQLNNTWELSSVPSLPVLDLVAEDLAGLAKAKVDG AMMSWTLGGYPSINLRAAREFARDPQAGPDAVLDALAAERYGRAHVANARGAWRAFSR AFREFPYDGSVLYNGPQQYGPANLLFDRPTGYKSTMVGFPYDDLDGWRGAYPREVLAG QFRKVAEGWKDGLERLRAIPGAEAAEDLCIARAAGLHFASSSNQARFVIARDAGRRDE MAAIARDEARLARELYDLTLADSRIGFEASNQYYYTPLDLVEKVIACEALGRSLGEAR P OJF2_RS31335 MPTPILIAVLVPLMTGLAAVADDMRPVPLKAKVTHVQPMTGIVL WSTNEAAASSPIQLEFRYFGYDEVVREEGMYDWSAVERFLDDVAGRGHQAVLRWHDTY VGKPSSVPPYIRALEGYRGQTAKSEGKPTGFPDWSHPELRRFTLEFFDRFAARYDRDP RLAFLEVGFGLWSEYHLYDGPMEMGRTFPSLEYQRDFANHLSKRLAQTPWMVSVDAAG EWSPFAKDKALLALPFGVFDDSFNCVQHARENEPNWDALGRDRWKIAPAGGEFSFYER KDQRDALAPAGPHGESFEHEAARFHLTFILGDAQPRFQKPDRIREASMACGYRFRVAR FEASPTRSEVTVENKGVAPIYHDAFPAVNGVRSNDSLKGLLPGQSRTFAIASGGESPK LTIESDRLVRGQRIEFDADLP OJF2_RS31340 MPDWSRRIPFKVDVAGVIQIMGTSLYSRAEAAFRELLQNAHDAV VRRRRGDLSYKGRIDVVADPESQTITIRDDGIGLSPEEAEKYLGTLGIGITGMLRRRA GNPDPTPAKDNDEDLIGQFGIGLFSAFMLADRVVVESRRDGSEAVRWSAGDGPDIELC SSEKAEPGTTVTLHLKPQYAALVADASRIEAAVKEYADFLPVPIHLNGAGPRTNLIDA AWFAATPDVEAIEQEILTYFDESPLHVIPIRVETPTPIAGALYVTPRRTPGFAGEPVL TTTIRRMVISRRTAGLLPEWAIFIRGVLELSGCSPTASREDLARDASFQQAREALEEI LLAHFESLAGSDPQRLQALLAWHRYSWAGAALGHARLRNLLRRSYQFTTSVGSMTFEE VLGRSRPDGLLDAEYDRLIWYNTDRRQERWVNELFDGQESPCVHALRGFEESLLVMMA ADATAAGTATDLRIASPGSRGFGEQVLGLADLEPAPERWQAFLESSGAKILVATFRSG QPVMAFLNEKHDLARAFDDLGKQGTVPPGFQRLIEAQLGGEETPRNEVILNRGHDLVS RALDQKTTMPLASVLRLLVHNALTTAGAALPRAAQREQADDLGWIADCLKGKPG OJF2_RS31345 MADDAPSEDLDERFLELRRRAHELSLRGLYRSNAAVAGELRRLA RAEQRIVDYLYGSFELMNYASSRLDPATQSATALELIAALEDEDHARTIQPDLPEAEY SATRAWMTACAYDNLAYATGYQKGLNSEGLHACINEGIEVCRRTGKIECITCFREYAT DVYRSADDLDMALHFARLGESHQVVGRHDRRWVGARDQSRLHLLQGRLQAALDDILRS WKLAGTYHSPRIARWKTRLHLEMILLLMGRDDDPDALLGPALTSDDEPEDAEPVELPP PGEFPELEQLSAQVDALRHLVNNRPDEAAAILEKYDRLLDQRGYLSEWFETRLQLIAA RRFGGRTGEALARLARPLEERARTARDWLTLRRLARLLDESLPPTPLALLADPAEADG AARPAASETAATAGDSPSPEPPEQPAWTPLQQRITDFYRRASQLAAEGTEAQWQELLA ELMAIDPSTVQLPDEAAGLLITAHHFKKQNADLPAVWSWARRLGDRFPEDPAVQSYLA GLGGELRDVPDAPLAQLPSPEDVERLHRRAMDLAPDQPGVFSLAGRYYLEAGNQSEAE RCLARGFRLDRTSSFFALQLARIYQQSDRPRDAMAALDLCLREGSEDPAVSWQAATLA TALGQWEPVLAYIDHYEAHSPGEPWASYFKAFALVKLNRPDEALDAAVEEGRRSPERP FAWQPLAAAATAAKGDLDGFRAKMAEILAQPLSGVDYLTPRGLVQNLEMLRTAVAVLP ADDPIRSRLDALLLSSGLATNEYFDEIRQLGEPVPDVNFYECVVDQPLDESWASSPGC LAGEDDWTAYTIIWGILARDEDEARRIALAWQGRCYPLPARSCEAELTSSGYRDKVGV VWQGMREGRTE OJF2_RS31350 MNLTTTLRGERFTFPGLREVFARANEVKSGDQLAGLAAATERER VAAKVVLAGLTLREILDHPLIDPDEDEVSRLILDAHDAEAFRPIAGLTVGELRDRFLG DDCDGEAISAMRPALTPEIAAALAKLMSNKDLIVAASKLRVVTRCRNTLGERGVLGIR VQPNHPTDDLAGILVSAADGLMLGCGDAVIGVNPAADSVETVAAILHALDRLIRELDI PTQACCLAHVTTQLEAMDRGAPVDLLFQSVAGTEAANRSFGIDLAMLREGRQRVLEHH RSRDVAWAGEQAMYFETGQGSALSAGAHHGVDQLTLEARAYGVARAFDPFLVNSVVGF IGPEYLFDERQIIRAGLEDHFMGKLLGLPMGVDVCYTNHADADQNSADNLLVLLTAAG CNYFMGVPCSDDVMLNYQSTSHHDALAMRRLFGLRPAPEFAGWLERLGLLRGLALVDS APARKAILGGIRSAVESPGGPRG OJF2_RS31355 MNEESPIEAEPIVEDDSARTAPEDASRLHAMGYAQELARRLGGF SNFAISLSIICILAGGVTSFHLGLCGAGGASIGLGWPLVSAFALIVAATMGQLASTFP TAGGLYHWASILGGRGWGWATAWLNLAGLVTVLAAINVGTYRFAFGALGPPPGTLSPW GEYLLQAAALVLITGTQAAVNHLGIGVTARLTDFSGYWILAVSAMLTACLFAFAPTLE PSRLVELSNYGGPAGGNTWPATDQLWLLFALGLLLPAYTITGFDASAHASEETLHAAT AVPRGIVRSVLVSGVAGWVFLAAVLLAAPSVAEAAGQGEGAFLWILDRTLPAGLSRSL VAGIVLAQYLCGLATVTSASRMAYAFARDGGLPFSAMLRRVSPTQRSPSAAIWFVAAA SVLFTLYTPVYSTITAVCTIFLYLSYVVPTYLGARAHGRTWTEMGPWNLGRWYRPLAV VSLVGCVLLIAIGMHPPNERAIWVVGVSAALLVLAWYAGERSRFPGPPNLEQLAGGVG AGGPHERA OJF2_RS31360 MNELEPIRPHQAGPLDAPDLERLRALTPARILTGRAGGSYRTAT HLELRADHAAARDAVLAGVDLAHDLGETFADRWGLFEVRTRAQTRQEFLLRPDLGRAL DDASREAIRRECPAAVDLQVVLGDGLSAMAVRAQVPRLLPLLHEEALARGWRWGRPFL VHRCRVGVLNDIGEILDPAVVVLLIGERPGLATAESLSAYMAFRPRPDHDDSRRNLIS NIHARGVPIPEAARRIAALAQQMMTLSTSGVAVKESGILSMPASNRKGLDTPPVLPGS RPLRKADLEA OJF2_RS31365 MPAVTIRNLSEEAHRALKVRAAHHGRSTEAEMRAILEAAVRPAD RVRIGSALSSLGRRAGLNGADVEALERARDGKPADPMNIE OJF2_RS31370 MIVLDTNVVSEAMKPKPAAVVVDWLDEQAAETLYLSSVTIAELL FGIGSLPEGRRKQKLMTAVDGLLHLFDGRILQFDTDAARRYADLAVAARAAGKGFPTP DGYIAAIAVARGFLVATRDAIAFEAAGVAVINPWETKKGRPSRGT OJF2_RS31375 MQSGPMRVEITEALLDAFPDSGDMCLVVERADIGTRFTNYLVGG VTYEQALHSLISNYADPQDQLLPLLREAQHKNPKNDKLRGVMNKLAELELDFASLRPD RSFGEAERIVLKGVAFEDVAVWVEKLKAKRRAVCRIEPQPQAETTAGFGSGFLVASDV VITNFHVAKPFWNDEARARRVVLRFGYETDSKGINVSEGVEYRLATVWRGPGTPSEGL AERPWQVLSSPEDNLDYALLRLDKPAGTDRIDGVERGFLTLTSWQLNEDDPLLILQHP SAAPLKLSIGAVQSLDLPSHVFYIVNTEGGSSGSPCLNQRLETVALHRQGESSRNRGV TFKAIHEDWSSRVDALKVQGVFWLANQGAMIPEITSASLAKKWTGAPSGDSGTSPDHR ATGVSEHSLATAVPPRGLDEELRLLLRPLMGDRDNRRARLTRAFSNYPGLLDRINLDG ETGVFLSNLINTLREYGEVERGEPALHRLLVPIKEEVGVRDRERIEEILRSHPR OJF2_RS31380 MRKFSEVMAGLLARGSDHPGVLAITAIAGMGGIGKSTLAAALTH DRRVQTRFPDGVLWATLGQEPATSARLGEWLQAMGGEAHKSIDVEELSARLRAIFADK AALLVVDDAWEPEHVTPFLVGGDACRVLITTRRAAVAEEVGSRVFPLDVLSIDESLEL LSSYLKRDLRPEEQKDARRMAEAVGRLPLALTLVAARLGRGVSWSDLSDALEAEVGRL GQLENPRQRWKPQPRLIATFNTSLRALSVDVPVAYDAFLWLGVLPEDTTITAAAAATI FGLPEGDADDILEVLWAESLLMPGDAIVQNGRTWRAYRIHDLLHDHAGTLLIAPVAVA SERDLPGLGLTMVEAHSRLLGRYRARTRQGQWHTLLNDGYIHSRLTWHFEKSGTSEAI HDLLREETLEGRNGWFEARERLGQVAGYLDDLMRARRLVDDVGFGQRPLLGLQCRYAL MAASLNSLASSIPGELLAALVQNKLWVPAQALSLARQIPYPRSRVKALAALAPHLPPS LMIQALEAARAIGDERLRTDALIACALHLPVAERDRALAQALEAARTIKHDWDKSHAL VALAPHLSPLLTPQALEAARTIRDEEYRTLAMLALAPHLSGLERDRVLAQALEAARET WNPWRQSGLLIGLAPSLPTPLISQALETARAIGDQRARTSVLVALAPRLPAQERDRVL AQALEAVRTIGDQEARSRYLAVVAPHLSGLERDRVLAQALEAARAIRSPWRQSEVLIG LTPQLPTPLISQALETARAIGDEVARSGALVALAPRLPAQERDRVLAQALEEARAIGD RSAGTRAMAALKPYLPAPERDYLLAQALEAALAIEYEAARSDALVILAPHFLTPEHDR VLAKALEAARAIEYEGDRSRALAALAPHLPPPLVPQALEASLAIRDERERSLAIASLV PHLPPALIPRALEAALAIEYEGARSRALASLVPHLPPPLIPQALEAARAMERDWDRFN TLAALAPHLPPELFSEALATARTIGDEVARSGALVALAPHLPAQERDPALAQALEAAR AMIRDRDRFTALAALAPHLPPSLVSDALEATRTIDSDNARSVALAALAQRLPPPLIPW ALETARAIENEGDRSRALAALVPHLPPPLIPQALETALAIVEEGSRSRTLAALEPRLL ALPIWDLHPLWNTTLEASSMRTRRDLLGDLSILHAVLAKVGGAEAVAETYWAIRNVGR WWP OJF2_RS31385 MATSSARPGEAQGHQTVADLLDRLGGIPARRVRLRPTPGTATER DVVAIHDHENRLCELVDGVLAEKVTGFDESIEALLLASALMNYLRVHDLGKVVGADGM MRLIPGLVRIPDVAFLSWGRFPKGRRRRGEIPAVVPDLVVEILSRGNTRKEMARKLGE YFRAGVRLVWYVEPRTKTVRVDSSPDEVVALGEGDSLDGGDVLPGFALPICPWLDEAA RSGPRP OJF2_RS41300 MATEAQIQANRRNARLSTGPRTKRGKARSRRNGYGPGGRRREAG PRVREANGRGLEDRVAGFLESAVLIDSEEERALLRKAGELSWKIDEAERAECAYLERA VRAAEERHEAAEAGAGERAEELVRRLLQWGEAGDDDLGGGAREILGELEGSGAGRAWL IRLWRWVRDWVGRTSRFQPMGRYRLVRMLGYDPIDVDTFPQVNEVFRALNALDENGRA DCDAFFARARELAGACHPMIRASMAWRELAGPFESAEEARAYLVGLADEWVGRLEMLQ GETDADRADREALAASAAAGRLRREASAMSREFFKVLEDLRRVRKARPAAKEGEPATP RGIEPSDEADASCRGPGEPRPADALVLAPPERTSGSPRSEAPFIPRCGAGRSGSPPCE GGVGGGASREPRRSNNPPVSPLRKGGMNSGLLASLSAAGGPTPGGPSDRLDRGIAADS ERQPGEPNPAGGERPTVAAPPAAERAVDPGRMGAGLPDPGPLAPAGGGVPAVGSSGAA ARSVREAPAKRHRPGSTGCDRPLQRGRETGRDPRPPGWPWRKRSDAAGSPRPGDGRRR RRRPAHPRRRRASATATPLPARGGRRGSRPPGPACTAMPVVKPPKARMARRGTTPAKR DRSKCLSPNLTLRRDIHELVTTRVSGRFGRAKPPASGVSRIWAAGLGPREEPRLRLDA PASRVAPTCEAGGFARPDHDPTIVVTRTCGAVPSVNLPVMAPRSFGTMPAKPFENRGQ RLSRERLASSVSKQAARRLEPGGARGEGVGRGRLPRGQPGARSSDRRQRSRERTADWL PGRGLGRGRRGHSPFVTAGGRPDGRRHGVSPTGRRTTGFGRFDGETARR OJF2_RS31395 MSLVVKDLIDAGVHFGHRASRWNPKMKPYIYGKRNLIHIIDLKE TVRGLLRATKFFSRIAASNGLILFVGTKRQAAETIIEECGRTGMPYVTERWLGGTLTN FRTIRSRLDRLEELETILDGEQALSYSKKMISTLGRERKKIERNLSGIRNMTRLPEAL MVIDPRREHIAVAEARKLGLKVVALLDTDCDPDLIDLPIPGNDDSMRSIDLVIKRLTE AILEGKAAAPPEPPPSAEPARGDRDRGGDRGRRGPGQGGLRVGPPRMPGIVPPKPAAA PAPAAEAGATEPAPAAEPTPDAEPTPAAEPAPAAEPAPASEPAPDAPADDSAGA OJF2_RS31400 MAEITAQAVNEFRKKTGLGLMECKKLLQEADGDLKKAETLAKER GLKQAELRAGRAAKAGRVEVYIHHDAKSGVLVELNCETDFVARNEEFKQLAKDLALHI MAANPLYIKREDVPEQAVDEQKRIFMEQVADKPANIQEKIALGKIDSWFAESVLMDQK FVKDEAKSVRDVIMAVNARTGENISVARFARFIVGEGR OJF2_RS31405 MEGTTTGTPAFRRILLKLSGESFCRPGGSGISVDEVSRIARQAS RVASLGVELAIVVGGGNILRGGQLSRDNDVIKEATAHYMGMTATVINGLALQDAMEGL GCETRLMTTIRMEEVAEPFIRRRALSHLSKGRVIILATGTGSPFVTTDTAAALRGKEL GVDVILKATRVDGIFSADPEKNPHAVLYPHLTCEQVLADQLKVMDLTAIGMCMENHLP ILVFNFKKEGNIERAVLGEPIGTWVSAGPRPRSSSPPAT OJF2_RS31410 MSIEEIALEAEDRMEKSVTLLTDQLRGVRTGRANTGLVDSVRVD YYGSSTPLKQLANLSTPEPQQILIRPFDQSVVGDIVKAIQASDLGLTPNSDGKVIRLN VPSLSVEQRKKLSNRVKDLAEEARVAIRNIRRDANKQAEVEQSEKILTEDDLATCKDE IQALTKKFETKVNEIAEKKAAEILEV OJF2_RS31420 MFKVLPPSSRSETDLVQPEAMLLEAAWRARIQAPSTDVNFDFEA ARMHAPARSHGSRTTIPPPRREEARGVGAKLPGLTIAAVAFLPSGARDAPGIRSAIAE EWLKPERISPQSVVQIGRKEWACVCSLDQLKGCPRPGISEPAKCSSLQSQFEVQWAIE DSNF OJF2_RS31425 MTTSGISLFRKACGLDEPLVLECRATAAANGSRRFHEFPLPYVM VGRDTQSDLCLPGSGVSRTHAYIQAVDGRLLCTDLGSRTGLTWVDDRGGGDAGWLDAD RVLRIDDHEIRRVDRMMTVPANHPESRSLPSPAQQPGHPAAVPVTALELPFRVEGIEN LWAIEAPLAMIGRSDRCQMVLSDESISRFHAALLRTSLGLWIVDLHSRTGVWVNGMQI RWAWLDQGDAIRVGRLTFTMRYPRSSPAIGRREVPLETGAAPVDAAGPSRAIVVRPRP ARELSVTARPPARLAPLPPAGRAEVIFPTSALESPGGTLDPGPADAFPAFLWQQQMRM MESFHDDMMAFVNNFMLLHGDQSSRLRGELERVEELTRELNSLKEKLTPLPAPTDDRT AARAPASGARTAQEPGVAADRPSRKQRLSEPTNDAGPPRPAPSDRAESPRTDHAAKPP APSPSPVDGHGLLAERIISLQRRRQGYWQKLISQIAGNGSPPV OJF2_RS31435 MNVTSTIKSGPRHRDLMAKIESTTVRVGIVGLGYVGLPLARAFA ERGIAVLGFDTDPGKIEKLERGESYIGHIPDEVIREMREHRFEATNSLSRLDEPDAII ICVPTPLTDAREPDLAFVVNSVRLIGERLRPGQLIVLESTTYPGTTREVVLPLLQARG LKAGDDFFLAFSPEREDPGNPKFSAPTIPKVVGGLDPASLELAAALYARVVVRVVPVS SPEVAEACKILENTYRAVNIALVNELKVLYDRMGINVWEVIEAAKSKPFGFSAFYPGP GLGGHCIPIDPFYLAWVARKYGMATRFIELAGEINTSMPSYVVSRVADALNDDGKAVR GSKVTLLGMAYKKDVDDPRESPGFELMEMLMSKGAEVDYNDPHIPTLPPMRHYPDLRM SSRELTADYLRSRDCILIVTDHSAYDWPSIARDARLIVDTRNATKDVVGGRARVVRA OJF2_RS41305 MNVMAGTYAGFIVGWDGPGQGTYGAISGTAGKPITIQADPNASP GSVIINGRNAKTPSGIDVEGGSNYVTVNGFTINNGVGNISGYGIKVADSNYVNITNNT ISHSGKTGIFTAFASFGIIQGNTSYANAEHGFYVSNSPQSVQILNNISHDNSNGGIQV NADVSMGGSGLAQNLTIAGNVIYGNGASGGGALNFDGLQNSVVRNNLLYNNRASGIAL FQGDAAAGSTGNLVVNNTVIQPSGAREALNDNSSSSGNSFYNNIFLGNMDVEADSLPS AFSNNCLLVGYVNNGAYSFPSSLLSTASALFVSSAGLDFRLSSTSPAIDAGTSIGAPA TDIVGSPRPSGSGYDIGAYEYQSSTPPVDTTPPTVTARAPASGATGVATSTAVTATFS EAMQAPSISTSTFALKSSSGAAVAASVSYNATTRVATLTPASALAYSTTYTATLSGPK DSAGNALAATSWSFTTAAAPDTTPPTVTARAPASGATGVATSTAVTATFSEAMQAPSI STSTFALKSSSGAAVAASVSYNATTRVATLTPASALAYSTTYTATLSGPKDSAGNALA ATSWSFTTAAAPDTTPPTVTARAPASGATGVATSTAVTATFSEAMQAPSISTSTFALK SSSGAAVAASVSYNATTRVATLTPASALAYSTTYTATLSGPKDSAGNALAATSWSFTT AASPIGPGPFSIWSAAEAPVTATDPDSSATELGLKFRADVAGYITGIRFYKGSTNTGS HVGSLWNAAGTLLGRATFSSETATGWQQVVFANPVAISANTTYVASYHTNVGHYADDD YYFSGSGVTNGPLHALAAGVDGPNGVYSYGSSSTFPTKDYHSANYWVDVLFNTTATDT TPPTVSARTPGSGAVGVATPTAVTATFSEAMQAPSISTSTFALKSSSGAAVAASVSYN ATTRVATLTPASALAYSTTYTATLSGPKDSAGNALAATSWSFTTAAAAPADTTPPTVS ARTPGSGATGVATSTAVTATFSEAMQAPSISTSTFALKSSSGAAVAASVSYNATTRVA TLTPASALAYSTTYTATLSGPKDSAGNALAATSWSFTTAAAAPADTTPPTVSARTPGS GAVGVATSTAVTATFSESVQAGTIVFTLKDNAGNALASTVAYDSSTYTATLTSSATLT ASSVYTVTVSGARDLAGNQMTGSTTWSFTTASTSTAPSGSLNIPTNHQRIWWTPERIQ RAKAWWAKNSFVPASDDAWGNAFAYVMTGNAQYGNAAVSLLMNFTISQSELDGVASDN YRWNDWVPVVFDWCYSAMTPSQASTFIARYNNYTSIMIGKSWGGPGMEGNNYYWGILR NELNWGLATYYENPQAQTFLNDAVVTRWQKGLLPYFAGPDQGGVAEEGSQYGRYMLQY SVVPFTTAGLMGLDLLSQTNWYKEALFNQIYNTSLSPIDGGYIGFPSNDDEASYGEPP SGDSNNGDFMTMLANEWPTSKLGQYARQWLNTVSPDRSSYVAATDAGGPATSFTDLPL DYYASGPGFLYTKNTWSPSGTSILLQLGNRSNVGHMHQDAGTFQIYAGNEQLAPEHTG YSDTFSDGSSSEDTIAHNGIVYNGRGEASNYAIGGAQILAVQSDPNFSYAAVDLSNTY QASDSRFDNTYAGHTVREFIFIKPLQTLFVVDRLESSAANVTKSFLLHMPASPTIVDA NHVTMVNGSQQLRLTTLNSGHTYKVVDEGTAGSSGGRYRLQDSTSGNLDDVMLHAIQT GPAGGPAVNISIASQDANTWTITFTSASGGTATLVLNKGVFSLGGSLGYATTGTPQLA QLYGGIQGMTVTDNGPVWQSLGTRSVLASAATSASVSAESAAVNLTALPTAASEVSIL NPVQSGAATAAVATGARSQTVSFVRGTHAAATSSAGGVARAGSSGSQFDGDVTIMPAL SESFLDEFARQLIGSKKKRTGPGR OJF2_RS31445 MLSLDVGGLERIVVNLVRMGGVLGQEPSVICVEKPGMLASQVDA LGAPILCAEKPPGLNYGSVGRIREFLHRLKPDVVHTHQVGALLYAGPAARREKVPVVV HTEHGNRMARQRSLIRRARVGLLWAIGGFYVDRFFCVSEDIADSVRTSCIVPGRKVAV VPNGIDTSIFSWGGDPEVSRRELKIPPDVAVIGTVGRLDEVKSQDLLIRGFSRITDHA PAPHLLLVGDGTERPRLQHLADQLKISDRVHFAGYQSQPERFLGVMNVFALTSRSEGM PLSILEAWAAGLPVVASNVGGIPKLIVDGQTGLLFESGEETALADALSRLLTNTLEAA RIGEAGRQHVRSRFDVEVMARNYGAHYEAFLPTRPADVEGEAQGVRAIDV OJF2_RS31450 MSELTVTVIIPAYRAARTIHRPIKSLLAQTRPPDEILVIDDGSP DDLNAALSTFPAGRITLIRKSNGGAASARNLGIEKAQGELIAFLDADDYWEPCKLDRQ LEVLRAHPEVALVASRYHCETPDGGRTGPYPEIAPRDLGRVLIVSGESSFELATRIWT GTVLVRRSSLGDERFVSGLEPAEDRDLWVRLINRKPVYFDACPMATLVLEPGSLSRSS IDVDCGNMLRVVRRHAGLLGPKGLRKWEAQTFGRWAGNLLIQGKPRDALRYAVARLRR KPFAIGGWWIVAKSGAVSFLFRVARGEK OJF2_RS31455 MNLFLLLGGPIGLVLLGSYAWRALRARAMDLWLPSYLCQALRGR GPKPGQSVHLLLCIADHFEPGHGSVSHDVAAGRVRAWIESYPKLFEAFRDSDGRPPRH TFFYPLEMYEGSEVEALATLCHQGYGEVEVHLHHDDEDAEGLRARLAAYKELLAARHG LLARDRDTGDIRYGFIHGNWALDNSHPDGRHCGVDNELDILRETGCYADFTMPAAPDP PQTRTINSIYYAIDDPVSPKSHDRGIAVGAAPAPERSLLMIQGPLLLDWRRRKWGLFP RVENGCLQGNQPAHIDRLPAWLKAGVQVPGRPDWFFVKLHTHGAPECNQRVLLGEAMV RFHRSLADLSRRDSKFHFHYVTAREMCNLVRAAEAGWQGDVAGARDYQLVWKDWRHLC GTPPRRDNAKSLA OJF2_RS31460 MDQIQQAEIVVFWTGFGLVLFSYAVYPVAIWWLARAFARYESHP DVSSDQLPSLSILIVAHNEEEVIKDRVSNALATDYPTDRSEVVVALDGCTDGTLSILQ GFRSDRLRVLSFETRQGKSETLNHAFESLSGEIVVLSDANTEFDPGAARRLARWFADP EVGIVCGRLVLSDPMTGRNVDSLYWKYETFLKRCEGSLGALLGANGAIYAIRRKLFSP IPGSTLIDDFVVPLLAKLRSGCRIVYEPTAVAYEETPAEVASEFRRRARIGAGGFQSI AILWRLLDPRRGWVAFSFLGHKVLRWLCPFFLLGMLASNLFLLGSPTYRLALGLQCAF YGLSVLVAYLPRSWTFARPWRLTTMFTMMNAALFVGFWRWLLGTNRGTWARTSRSPRP HAIDANREFFRSTQWR OJF2_RS31465 MKSTVLLRRADRTNPSPAVRELMTGCRWEELVPDQASVVIKPNL CTERPEQIHTANTSLAVLRAVCEVLLERTSRITIVESDGARYPAEAAFENNGVYALAS ELGLEVKNLSKDELVEMPDPRLAGFGFSRTWLDADAFLTLPVLKTHATTVFTGALKNQ WGCIPRFDRILLHKNLHELIGTVNAIRPVTLAIMDGLVGMQGRGPINGYPINLNVLLA SRDPVALDATAMRLIGLEPAKSRHVLHAEHMGLGVQDPANVEIDGPFAECRTRAEPAA EDWAIRLMNQVARSPFLTKNLLLNDGIFYPVRRMVTVARRVAGWGHPRSALR OJF2_RS31470 MNTLESPPSIASERRAEAVDEAEQVFLYFGNDWFAENRTSSHHI AEWLARRHRVYYIECPGLRAPKGTGRDLKKIWAKLWRFALGARTVSANLKVRTLFQVP LHRFAIIRHFNRFFVTATLRWLTWREGIRRPIAWFMIPHLAGVLGRLQEEMSVYYCID DYAALPDVDAAAVQAMDEEMTRRADLVFVASDTLLEPKRRLNAETRLSPHGVDVAHFA RALDDATAAPAELAGYRRPLIGFFGLIERWIDLDLIDYLAGLRPDWSFLLIGRLAVPA DRVQRRPNVHFLGKRPYADLPSYGRQFDAAIIPYRLTRQVLHANPIKLREYLAMGKPI VSVRTPEIEKYADVVEIADTAEEFLAKLDVVLGRPESEADVRCRTARVAAESWDARLN MVLEVVRSHLPSSHASIEPSTELRTP OJF2_RS31475 MKAWTLKKQAPCPSLLRRMAKEVTAAALPRSLFMTRGPRGSRGV CLTFDDGPDPEITPRLLDILAEERVHATFFLIGESAERHPGIVRRIASEGHVVGNHTY EHKDCRSSTGHKFLESAVRARAILRELSGQPVELFRPPHGRLSVASLLGLWRIGQRIV LWNVDSKDYLITDPAEIRQSLAERSRRGGDMFLFHDNRPQCLAVLPDLIRVMAAKGLS FTTPSTWITRR OJF2_RS31480 MKILVLTNLYPSPIRPFEAPFNQRRFQAMAAHHAVAIIAPIPWT DELKAGMCAAARPPADRHMRRDEICIGHPRYVFTPKILRRWYGHFYKYSVEAVFARTL ANFRPDVVLGSWAYPDGWAAVELGHASGLPVAIMVHGSDILVHGRLRGCKERTLEGLH RADCIIAVSRNLARHLEESGIPSAKIRVVYNGIDLEQFRPGPRDEARRRLGLDKHGRI VLFVGNLLPVKGLDVLLDASRILRGRGLEFHLHLVGEGPLRRALSTRIKDEGLGGSVS IVGAKGHGELADWYRSADLTVLPSRSEGLPNVLRESLACGTPFVASNVGGVSEIGDDT CRILVPREDPRRLAEAIEQGLVRWASGRRPSALPRFSTWAESAEAMLRILETVRMKPP LSEVLEETPTS OJF2_RS31485 MQFAWFVSLTAILLLRPADIIPLLQGLPLYQVVILVCVALSFGG IFRQLRARSLLENPISFCIVGLLAAVFLSHLSHFRFMSAVESGDFFLRICLFYFLLVA VIDSPKKFRRYLVALNVLIVILVAVALLQYHGAISIPELEAYHQREVDDESGEELFFE RLCGPGIFNDPNDLCLVLAFGVMISLHLRDTSPSRLTGLFGLSPTPLLIYALAMTHSR GGLLTLGAGLLGYYGSRLGLKRAMPVLVVAGTLVLPLLSGRQTDISLSGGTGHDRVGL WREGMALFWYNPIFGIGMNEYVEEVGLVAHNSFVHGFVELGFFGGTCFVTIFAFSLQS LSALRGDKNLPTHRHLDGARNLLTASLFAFGMGFMSLSRVYFVPTYLLFGLITSYFNI IRGRASYRPPGINQSTLRGYGKISLCVLFGFYIFIKLSPR OJF2_RS31490 MKPLVTDSLWERLEPLLPPPPRRRARFPGRKPLWPRQVLTGILF VLNTDIAWDDLPAEPGCGCGKTCRRWHRAGVWLKLHATLLAELNGADRIDWGRALVDA SFAKAPEGGEDTGPSPTDRGKSGSRHHLMTDARGHTLSATVTAANVNDVTQALPLAVG MVRVGGEPGPPRRRPERLQIDRGYDSGPLRRPLRWLGITPVLAERGTAHGSGLVVYRW FVERTLSWLHAFGRLRRRLDRRTEIQEAFLELAYVLICLRFLEV OJF2_RS31495 MEILEGRPLLSTNPIVAENLLQGTPSSQWSVAGAGDSSIQGFTT AISVDQGETVYFKVNDPSGASYHLEIYRMGYYQGNGARLVATVPSSAVLKQVQPAPLT DYATGLVDAGNWSVSASWSVPADATSGIYFADVRRDDTGGASQIFFVVRDDASTSDIL FQTSDSTWEAYNAWDGTNSATGKSLYVYDGDNPDLQYRQHALAVSYNRPLTVSAVPGG LGDYNSPLHAEYPMVRWLEANGYDVSYTTDLDTATRGNLILNHKVFMSVGHDEYWSAE QRDSVEAARDAGVNLAFFSGNEVFWKTRWAPSISPDATPNRTVVTYKESQVNQAIDPM DQSPTWIATPTWRDIRFGDPADAGKPENALSGTIYMNDRTNVDLGISLNVPATDADLR FWRNTSVADLQAGQVATLGQYIVGYEVDEDLDNGFRPAGLIDMSSTTFSTTSHVIVQS GAVVGPGTGTHSITLYRAASGALVFGAGTIQWSWGLDGNNNIGTVAPSLDIQQATVNL LADMYSQPYTLQAGLLRASASTDVSAPTSIITAPLQNSSVTVGSTITVSGTAADSGGG VVGGVEVSTDGGLTWHPATGRENWSYTFTVTQPGPIVIKSRAVDDSANLEIPSAGVII KGVLQPTSTTDLVAEYTFDEGTGSTIHDLSGKGNNGTASNTTWTTGLFGSALSFDGAD SWVTINSSSSLNLTSGMTLEAWVKPAAASSNNQTILLKEQSGNLAYSLYATNQSGSPS AHAYVNGADRESAGSAGLPVNAWSFLTATFDGSDLLLYVNGNLVSDQAVSGPIVTSTG ALRIGGNSVWGEYFQGLIDQVRIYSRPLNAGEILSDMSTPIGGTAETTPPTGALTGPS DGSTVSGVTTLNVTAADNVRVAGVQFLLNGSYLGSLVATAPYTLAWDTRKVPNGTYVI SAIVEDSAGNSTRLGNVSVTVSNAADTTPPTVSITTPYGGLVSGAIVTNAVAADNMGL AGVQFQLDGVNIGPFVTSAPYRLAWNTSGVQDGTHTLTAVARDLAGNATTSAPISISI DKTPPTVTTYAPAGGGTNVPTSGSSISVTFSEPILSGTAQFLLRSPDGLYATGHVTSD ASGRIVSFIPDGALEPSTTYTLTVANAIDPAGNAMSPVSWAFTTTSTVVNASLWGSAT LPAVTWFSDPTAYELGVKFTSDIAGWVTGLRFYKGSSAYSNHVGHLWDASGNLLATLT FTGETGSGWQQAIFSQPVEIAAGAIYVASYYAPSGGYALSSGYFATSPTNTGVLHAVS SPASGGNGVYRVGSSGFPTATTNASNYWVDVIFANILVPAVKATTPTDQSTGVSGLDP QISITFSKAVQPGSISATLTDPAGHAVPSTITYDSSTGIATLTASAALLTLTTYTVTV TGALDTSGNAMAAPYSWSFTTAPVDTTPPTVLTRTPGPNATNISNVSPADAVLVVFNE AVQLGTVSFVLTDPSGNEIPTTMTYESSSHTVALTSDQSLAMGTTYTVALSGAQDLSG NVMAPLTWSFTTGRVVDESLFGTSATPAVVTTDDTSALELGVRFSSDVGGYVTGVRFY KGPLNIGTHVAHIWLANDTLQFGPCPGCCGAACFLKLAGGSLLASATFTNETASGWQE VTFANPVFIMPHVTYIVSYSDPSGRFSYNDNYFATSGVDSGVLHVPSSLAGGNSVFGS LGSFPTSTYNSRNYWVDPVFNNTLDDRTPPTVSSTTPGPGATDVAATSTISATFSEVV NTGTGLFTLVDSAGHSVPVSASWQSAVDSQSGNSLVLTPQSPLNPLTTYTVRIGGVAD VAGNVMSAPISWSFKTARNTATMGVTIWPETASPAVATAADGASIVVGVKFSSDVAGL VSGVRFYKGAGNAGTHVGYLWTASGALLGTVTFAGETAGGWQEADFAQPVAIAANTTY VVGYLAPSGGYSYTGGYFAGGAFDSGPLHAPGSGASGGNGVYTYQVGGFPTSSYNDAN YWVDVAFLPSSGQSATATAVSSSAGPSSYGSSVTFTATVTSAGGVPTGTVTFADGETV LGTASLDATGTARFTTSALAAGGHAITASYGGNSSFIASASAPVGQLVRATIWPVTAS PAVGSVSESAPVVLGVKFTSDVAGLVAGVRFYKGPGNAGAHVGYLWTASGVLLGTVTF AGETAGGWQEADFAQPVAIAAGATYVVGYLAPSGGYSYTGGYFAGGAFDSGPLHALGS GASGGNGVYTYQVGGFPTSSYNDANYWVDVAFLPSSGQSATATALSSSAGPSSYGSAV TFTATVSSPFGVPGGTVIFLDGATTLGTATLDSTGTATFTTASLAAGGHSITAEYVGD ATYQASASAALQQAIVAATTTGLTSSANPSIYGSSVTFTATVTSAGGVPTGMVTFADG ATVLGTASLDGTGTARFTTSALAAAGHSITASYGGATSFTASASAAFGQLVRAAIWPE SAAPAVGSVSESAPVVLGVKFTSDVAGLVAGVRFYKGPGNAGAHVGYLWTASGVLLGT VTFAGETAGGWQEADFAQPVAIAAGATYVVGYLAPSGGYSYTGGYFAGGAFDSGPLHA LGSGASGGNGVYTYQVGGFPTSSYNDANYWVDVAFLPSSGQSATATAVSSSAGPSSYG SAVTFTATVSSPDGTPTGSVFFLDGAAVLGVAPVDGSGTATFTTASLAAGGHAITAEY VGDGTYQASASAALTQTVNAGATSTTLASSAAPSIYGSSVTFTATVQSAGGVPTGTVT FADGATVLGTAALDGTGTARFTTSALAAGGHSITAEYLGDGTYQPSVSAALSQSVSAA ATTTAVASSLSPSAYGSSVTFTATVSSGAGIPGGTVTFADGSTTLGTASLDGSGVATL TTSVLAAGSHTITASYGGAGNFAGSASAALSQTVNAAATTTAVASSLSPSAYGSSVTF TATVSSGAGVPGGTVTFADGATTLGTAALDGTGTATLTIAALGAGSHSITASYGGAGN FAGSASAALSQTVNAAATTTAVASSLSPSAYGSSVTFTATVSSGAGIPGGTVTFADGA TVLGTAALDGSGVATLTTSVLAAGSHTITASYGGAGNFAGSASAALSQTVNAATTVTA VVSSLSPSAYGSSVTFTATVSSGAGIPGGTVTFADGATVLGTAALDGSGVATLTTSVL AAGGHSITASYGGAANFAASASAALSQTVNAAATTTTVVSSLRPSAYGSSVTFTATVS SAGGTPGGTVTFKDGATTLGTATLSGTGVATLTTSALAAGSHTITASYGGAGNFAASA SAALSQTVNAAATSTAVVSSLNPSTSGTSVTFTATVTSAGGVPGGTVTFKDGSTTLGT ATLSGSGVATFATSSLALGSHTITATFGGSTNFAASTSPSLSQAVVRSTSTTLSSSSN PSTFGATVTFTANVSASVGTPTGTVTFTDGATILGTATLSFLGRATFTTSALAAGSHT ITASYGGSSSYAASASPTLSQSVNPAATTTALSSSTNPSAYGGSVTFTARVTSSGGVP TGTVTFKDGTAVLGTATLSGTGVATFTTSVLSLGIHPITAAFGGSTNFATSTSSTLSQ TVSRASTSTTVTSSSNPSSYGTSVTFTATVTTSGGVPTGTVTFSDGATTLGTATLSGT GVATFTTSTLTSTTHSITASYASTANFNGSTSSSLTQTVNRASTTTAVTSSLNPSPRF TAVTFTATVTATGGAPIGTVTFKDGSTTLGTASLVGAGVATFTTSSLSVGSHFITATY SGGVNFNASTSGSLTQRVNNPLYVAGGPDPSPVVATPLTQNQLSPIVAEAVKRWQEGG YGANAIAALSGIRFEIADLPGGALGMSGPGVISIDANAAGYGWFVDPTPGDDLEFAPG ASGPARTHVDLLSVVSHEMGHELGLDHDAGDDVMAAALPVGERRTPYPGAPAPAPGLR VAYGSPDASSSPNPQAFDVALEQVAVAGMRSSQGREQAAGWVGDTPGSRIGQLMHAKL VPAVPANSTAAPVLSLPGARAAGRSRFPSARWNALESREDLRVSSSLRGERGPGLEE OJF2_RS31500 MGVSIAIATHNRAGELELTLSSLSQLRTSTGDYEILVIDNASTD GSPEVVRALAPHFGGKLRYIRESQLGLSVARNRAIAEATYEIIGFLDDDVDVEPGWLE KIDAVFAQTDAAAVGGRALLAYPTARPPWLDEASEGLLTKVDLGMRRRSAQADELYGL NLCIRKDWIARVGGFRIDLGRVGGCLLSSEEAELLGRIVAAGGELLYEPTALVAHRVP PDRLRRRWFFSRIYWGKRGHAKMLPDGDVSCHELARVTWHVIRAIYITGRESIVHGLE SGEAFRAGVSLAAKAGSWIGLGGRLWPKFRRGTDPVPVSPLGAA OJF2_RS31505 MNHAITVDGLSKFYRLGEQQKGRYRTLRESITEVIGARLKRVSR LGRSGRLNDGGTTEHWALRDVSFEVQPGEAIGIIGRNGAGKSTLLKILSQITEPTEGG VTLEGRLGSLLEVGTGFHPELTGRENIFLNGAILGMPRKEIMRKYDAIVEFSEIGKFI DTPVKRYSSGMYVKLAFSVAAHMEPDILLIDEVLSVGDLAFQRKCLDHAKRLKRRNTT LLFVSHNMFSIKAMCDRAIFLSEGRVVSDGATDGVIEEYERESRLDVAGWATGIVGSD PTQCPVYIREFELLNEDGRPCTLFQHGERMRLRLHYTARELVKNPNFNVSFIRSDNVA CCNYNTRMDGFATGTIDGHGVIELITPRIKLVADLYSIGLLIWDHDYQRLHCAQIGKN FHVSHDMLNTEFGVYHEPAEWAWGA OJF2_RS31510 MFRPGHVSNLIAFPDHLVSSDIPWEREIQMSRVDVIVPCYNYGR FLRECVESVLSQPVDLRVLIIDDCSGDDTPQVAAALAFEDRRVEFRRHEVNQGHIATY NEGLEWVSGDYNVLLSADDLLIEGALLRASRLMDANPDVGLVYGRVIWFRSGNERALA EIGVSNDGYTVIPGMEWIESLCREGSNRITSPEAMVRTRLQTELGGYRTELPHTADME MWMRFAARCDVGEIHAPQAFYRVHGNNMSDSYYEKSPSMDLTVTASDLFGRKAAFDSI FHDKGQTLAEADRLHRLAYEGLGWSAFWQAHKLFDRGEASECQRFLNLASDFFPGIAA RPEWTRMRWKQRMGPRAWSKLSRVFRGIRQGGRGGSRVAPALS OJF2_RS31515 MRRLVILGTGGSAYDVLDVVDAINAILPTWQPVGFLDDSRPAGA RHLGLDVLGPLREARGLTGCFLVSAIGGEKSFRDLPRIIGSTGVGPDRFATLIHPAAS VSPRARLGRGVLVNPGVVIAGDVSIGDHVLLCPGCVIGHEAQVGDHVILAPGAIVSGL VRVESACYIGAGAVIKQKLHVGAGSLIGMGSVVTREVECGATLVGNPARPLKREG OJF2_RS31520 MSTGLRKIPIARPTLGEEEAEAARRAILSGWITQGPEVAAFEEE FAACVGARHACAVSNCTTALHLALHALGVGPGDEVVTVSHSYIATANAIRYCGATPVF VDVDPRTYNIDSTLLEAAIGPRTRTIMPVHQMGLPCDLAAILEIADRHGLPVVEDAAC AIGSEIRIGDRWERIGRPHGMIACFSFHPRKIITTGDGGMLTTSDPSLDQKFRLLRQH GMSVPDTVRHAARSVIFEEYPIVGFNYRMTDIQAAVGRAQLERLPEILDRRRALAARY AEALSAIPGLIPPACGPAQKPNHQSYPVRVTPAFPLGRDELMQALLERGISTRRGIMN THQEPAYADMGPISLPHSEAARDHVVLLPLFDGMAAEDQAHVIECLHELASQR OJF2_RS31525 MKNDLRGSTVLVTGGAGLIGSHIVDLLLGEAVREIRVLDNLVRG RLENLPIARRDLPIFFTEGDVRDRRAVADAIAGCDYVFHQAAIRITLCAEQPRDCIDV LVGGTLNVFEAAAESGVKKVVYASSASVYGAAEEFPTDERHHPYNNRTLYGASKLMDE GIARHFFDMKGLASVGLRYFNVYGPRMDITGAYTEVFIRWLDCMDRGERPQIHGDGSA SMDFIFVEDIARANLLAMKSDRCDDVYNVASGAETTLLELWEAMARVAGVSHLEPEFH PPRKVNPVPRRLADVRRARDELGFVASVPLEEGLKRLAAWRRDVVRELRSEAVAS OJF2_RS31530 MTLIATDLPAMPKPASAPAPPVPIMDLKAQYRTIQDEVLDALRE VAESTAYVLGPKVADFEQAFADYVGSRFCVGVNSGTSALHLALICAGVRPGDEVITVP MTFVATTWAVSYIGARPVFVDVDPITYTMDLRQVERKITRRTKAILPVHLYGQPADME GLLDLGRRRGIPVIEDAAQAHGAAYDGRGAGTMGLCGCFSFYPGKNLGAYGEAGAITT DDEAVAARLRKLRDHAQGRRYHHDELGYNYRMDGFQGAVLGVKLKHLDRWTESRRRLA DRYLRKLATLPLKLPVEAPGRRHVWHLFVALHPHRDRIRSELEAAGIQTGLHYPVPVH LQEAYADLEHRPGDFPIAERVASDCFSLPLFPEMTDEQQDRVVAALRDALTRDREDRP OJF2_RS31540 MSSKLKVAVIGAGYWGPNLIRNFSACPLTEVAAICDANPQRLEA IARNYGHIRGVASLDELLEMPLDAVAIATPVSTHFPIASRCLEAGLHVLVEKPLAGTV KESQALVELASARERILMVDHTYLFNNAVRRVKALIDDGELGDLYYVDSIRINLGLFQ RDINVIWDLAPHDLSIVDYILGGDARSISAWGCGHAEQDVEDMAYVNVDYGDRLMANF HVNWLSPVKIRQMIFAGSRKSLVFNELNSTEPIKVYDRGIELGEGTAERSRLLVGYRT GDVWSPYIDPGEALQAVVSHFATCIRDGERPVSDGELGLRVVRMLEAASRSIKAQGGR VVLGGGVMGSGANGYGDARAERSGQRDQGRAGRPAGTRRDHALLR OJF2_RS31545 MTWRDVKVRYKQTALGAAWAILQPLMTMIVFSIFFGRLAGVSSG AIPYPLFAFAGLLPWTFFANAISGAGLSVVGSERLITKIYFPRLIIPISAVSAGLVDF LIAMGMLGVIMAWYGVVPSPAIILAPILVAGLFLGAVGVGSLLAALNVSYRDFRYVIP FMVQLWMFCTPTIYLQSDRLAESGWRFVLPLNPAYGLIKGFRASVLGGELEPYSLAVS LSVALLSFVAGILYFRRVERGFADII OJF2_RS31550 MDPIKIWKAFCRRWKLALLGGIAMSLAAAAVVFFGMPLSKMTAS ALVHVSEKRPREIFETRESDVAYRTYQETQVILARSRAVIEAALKAPEMTSIPLLKAE PDPIAWLADQIKVDFPRGSEILTISLSASCDPEDVARMVNCVTDAYLTEIVEKERLER VARFDRLKSLFSDYQKQLAEKRREFKTMAGSVGTSDKQAAAVRQQMMAEQLGMARQEL LKLQGEIRKEQARLNVLASRSDLAPSDGSASPRVSASPPSGMDLELAEMQDQLAKLKK KEADIKRIARRGAVDPAGRLVHQEIEALSKSIEARRRGTRGDAAARRSQAPGELSVGG VAENRYQEAQELLEILREQEKSLAVEIAQLESQSSALNVQSMDLHWLEDEIAVSSDTA KLVGSEVQSMTVEMQAPPRIRLIEKASAPKSAGHARRLKLTGMAGLAAFVGFLGTITF WELRRRKVDSPNDVACTVGLRIIGDLPRLQLGSRSDEGQEKKEHFVRSIDAVRTMLLR TSGFDSFQIVMTCSALEGEGKTSLSGHLATSLARAGRKTLLLDCDLRKPSLHKVFDVP PEPGLCEILRAEVNWKDAIHQTWSPNLSLMCAGRFDASIPELLPREQVAELFRAIRDE FDFAIVDTSPLLQVTDPLIVSQHVDAVLFSVLSEVSQLPEIQAALERLRSMRVRVLGA VVSGTRIKSRAYYGGYPTYG OJF2_RS31555 MRIGTGSKPRLDVYGRVKRGAEIALSALLLFALWPVGLLCMVAV RMTSSGSPLYSQRRLGYKGRIFTVYKIRTMYLDCEKDTGAVWSKPGDSRVTPVGRFLR KTHLDELPQLFNILRGDMSFVGPRPERPEIASDLTAYYPDYHRRTDVRPGLTGLAQVL LPPDTERGGVRRKLELDFFYIEHGDLWMDLKILILGTPLHIIDFPRHLIARCVVAPPA AWRPSIERLAWDPAGIVGGYPRARTTLDESSIGFGDSPTCVAHSTPRDGGRESITTPP LSHGGWHGSPVASVSAARDLRESS OJF2_RS31560 MRRRRKVDQDQGVVLPAWQITVTRTIDVPGCLPLESVLHEEIIS AQTSQDASHIASRLMSEHTGDSFRLRRHMARVGQKKGQTGEVVVATTEGVDS OJF2_RS31565 MIDPPVTVEGGPGFDPARVGGEGTPLCSIVIPTFNGRELLETCL ASIHRHLPPDRARDVEVIVSDDASTDGTAEWLAAAFPSVRVLRRETNGGFCAAANAGI EVARGHFIQLLNNDTEVTAGWIESGLAPFADPTVGSVAPLVLVRSEPWRVDSAGDTYT LSGWPAKRGHGQPAERWAARPADEVFAASGSSAFYRAEALRRVGGFDPLLGSYYEDVD LGFRLRWAGYRCLYSPRCRILHEISATYDHGRPSLQRRMARNAELVFWSNMPAGRLGP AIVLHAFLLATQGCWRLARLRFLPFFLGKLDAARDLKSIRDRRRLRADLARGSSNPAH FPLGVGSFGAVLGHLHRPQEHSARTPRLDRDRSRDGLSRGSR OJF2_RS31570 MLMNDTLVFARRSGLRRAGRLARNRIILVGARRDARKLLHHLEG SSGEELTIVGFVDAGHRHLSGPRARGRGRHLPVHPQAGPLPVLGGLDRLVEVVDRTGA TDVVVALPPRPRRHLIPGLAKFTNSSVTVHWVHVEPGRGGRASARPSNQRPGPHQEPP ERISGPSASWAVAAFDLARAAKRASDVAVSGLALLLLSPVFLIVAAAILATSGRPIFY TQERIGQGGRRFRIIKFRSMKTDAEQQTGPIWASNHDARCTRIGDWLRHTNIDETPQL FNVLKGDMSLVGPRPERPIFVDQFRRDIPEYDLRHAVPCGMTGWAQVHGWRGRTSLRK RIQYDLDYIQRWSFWLDFVIILMTVQHVAWGKTSWKISRAAKEGES OJF2_RS31575 MKATNLGDHLPPGAPVPTLAVVLVNYNSWPDVDRVVGGLVDEPE FRAGRFQVVVVDNASRGPIPDRFTSLPQGVRLLSRPDNGGFAAGVNAGWRVARGRWLL ILNPDVEVERGWIGQVLGRIAEYDRRPEGPPGIVGFGLRNPDGSTQGSVGVFPSLGRT IREQFIPRSRRKYQAGWRIRPGRVDWVTGACMLVHSGMMSAVGGMDEDFFLYHEEVAL SRSAQDLGWPVEYDPGLGVVHRHPLQDRAVSPKMRVILRHSKLLYFWKHLPGRPFRAI LGIVAAEAAIRGAAAAMLGRTPEARAWRTIRSIVGGFRRGNPVRGRDVLRLAEDAESG DVPADGGIPGREMTGRHEAGPEWRKDGSACRATTISSASASSWRRPPSC OJF2_RS31580 MSRNDHLVRIGLLMAAAAVLLTWLARHTDVIYADGLRYIAQARR FDAGSWKEVFAKGTDHPAYPAAIALTHLARGGIGPADWQAAGQLASVVAGVLLIVPVY LFALELFGTRAAWLACVLTLLVPLTGHVLADVLSEGTFLLFWMFGCWAGLGFLRAGST RWLIAAVAFASLAYLVRPEGVLLPASLAATLVLSAVVPALRLPRARSLRAAAILLVGP VLLAGPFLLLKGGIATKPAVARLFGLSGRSAAMAVERERPLDPDQTVAATCLAAARAV SRAIAEGVSPILLPAAVAGIALAARRREHARRNVFLAVVASAWILALLRLHATGGYCT ARHAMLLSLILIAFAAAGLLALADRAAASVRERLRRHVPETAILAAALLVVLAVSGRA AIAPVNPGYASYRPAGEWIAANTAADARILDLKGWASFYGCRQGYGFGEIEDALRDPN LRWVVAHDAFLVGPWSYCDIIRGAVAGKACVKSFPETPRRGVAQVHIFDRSIPAVATS GGRELPPVRGRDDTHLTDEALRLRQRLSACVFGNPVPVRVVATRPKSSGYGDLVIPSL GEGEGRGEAERAGTPPR OJF2_RS31585 MDDVASSSRSQVDRPALIPSQHVIRVVLLMITTAALLGWGLRHT EATYGDGLRAIEQARQVARGDWRGGLIGSIDHPLHPLLIVAAHPLVGGEDAASWQRAA VAMGFACVVLLVIPVYLVAREAFGDRSAWLGALLVSANPLMVTVVANALTESSFLLAW TWGLWAAVRFLREGRFVWLPSTIGLGVLAYLARPEGLLLPATVAATLLLLPLHRATRI NWPRWAAAAAFLVVGSAVAVGPYVALEGGIATRPAVARILGLAPGASADAPERERPLR ADQTALESYRLASARMIAAVRGAASTPLSWLALPGLLLIRRGPARARLWLFFGILLGA SAAGLVRLHATGGYCTVRHALIPGTILILAAAHGVARILDAVAIPGSWLGQAKSRMRP GTAVWAAAVLALILPTRGGEPASPTIPGPFHAYRDAGDWLARHAGPGDAVLDMTDWSS FFSGRPGYRFAQVREAPGDPSLRWVVVRRPHVEGRWGYSEVVRGMIAGLQPAALVPEH PAPGQVQVEVYDRRPSTGRVAVSGGAASSPGKTLR OJF2_RS31590 MMRSPWEWVLLASIAGGPIALRLRKASADRIVVLGWIHALCGSL YLLGCPDGSPVAAAVAAIGLLLLDLGWKAAGPAAQARLLFAARRKAVFAAVAASTVLL ILPAGVEFACRGLTHAKLLRYHMPIETVWKSGQDDWRVATITADVHREPDPVLLWRPI AGKPYNAQHFKGPILQVPKPAGTFRIMCYGDSLTDGPPRGDWPARLHRLLAERPARPG QAYEVVNAGVSGYSSHQGLLRFLQDVDRYKPDLIVASYGWNDAAQAAGPSDREFRPPS WPVVALQRTMVRYRTSLVLTYYLKKLVAEPRRELEGPFHPRVSVDEYIANLDRFRAEA RTRGIPIVFLTRPHLAAPDELRRVNNWRSHVPDYNGALVSWASREGLPFIDVQAEFAR RPASLFSDECHFFPDGYQILAELVYGRLFEAGTQRSVLPGEEAAPPETATRPVDGRRS OJF2_RS31595 MAQFYTLEEAARVLGMSPEELKSKAQHREIRAFLDGGSWRFRVV DIDELARHRGLGSDAELRLSDLEVPAAADAENVEDLDLSEFQLGAARPDLADETMDLN AFKGGRSDDRPAPEMGSDHDLLFDDLSVPPNPVTGSSSVIIGMKSTGKLPSDSDVRLV PENVKGASDSDVRLASPDPDLRHPSDSDVTLIKDDTADHGFLHPAGSGSNDTAVRPSP MVGSSAEVPAAESDSDFELNPSSDLVDVLKPESGSDFELSALDASDEFESTPLKPSDS DVTAADPNLSGINLSRPSDSGINLQTAGAFDLGGHESIELAPLSDDELKTFKPEAAKP AKADPGKPRPSLSATPPPAVKKGEKDIFDDTDFEVDVPSIADDESSDDHTMQLEAASD FDIEDSDSGSEVFAIDEEDVDQNAATAMAPSAFAGDDEDEDDGFEEAVSSEMATAWSS DEGATSGPAPAMVISREAAPEWGGLWVGLLCFATLCIVFASFVAWDLMRNLNDFHETH HGSGLIQALAGLFPK OJF2_RS31600 MVNRFGGGMIRYGLPGMVMGVILSWAAGMHGPMAEAQTGRGADG PARGMESSRGLPQAMTARGANGEAGGTIAMVTSPPGSYQWLYLVDTRMHALAVYRLDP SNPKGALKLEAARQYQWDLKLEHYNNQAPEPAAIESMVKALTQPPR OJF2_RS31605 MRSRRGEGLVAGPAAWWIAGSLLIPALAGGAAPGRPSGPSTVVM EPVVAPLVGRHASAQMILTEGRPDGSSRDLTREASWTSLDPTVAAVSPRGLVSPRGNG KATIVARAGSSEVRGVVAVRGMDAPAPVSFRRDVIPAFSQAGCNTGSCHGTPTGKGGF RLSLRGYLPDEDFLTLTREASGRRINATAPDDSLLLRKPLGEIPHEGGLRFDRRSEGF ELVRAWIAEGAHDVAASPAPAKLEIVPGPRVLVEPADSQQIAVRLRHDDGSVRDVTTL CYFDSSNAAIAEVNPEGLVRFRARGEVAIIAHYLSLVANVRLTHLVRMPGFAAAPVAE DDVVDHAVFSKLNRMQIPPSPPCTDGEFLRRAYLDVIGVLPTPAELDEFLRDGGDASA GAGSDRDARSLARRERVVDALLRRPEFHDFWALKLADVLRSNGRLIKPKGALAYHRWI RAALERDMPMDEFARALLTTNGSTYAQPAANYYRTCREPEAAVEATAQLFMGVRIGCA KCHNHPFERWTQDDYYGFAAFFSQVGRKKGNQPEEEVIFSTQAGEVRQPRTGRVMKPK ALGGPVLDGAGPDRRVRLASWLTGAENPFFARCLVNRVWYHLMGRGIVEPVDDFRDSN PPSNDELLDGLARRFVHDGYSLKRLIRTIVLSRTYALSSGSTPGNADDEVYFSHAATR MLPAEVLLDAISAVTGTATTFEGLPRGSRAAQIPDGKLDNPFLKTFGRPARELTCECE RECDPNLSEALQLIGGATVNGKLHDDHGRVAGLAASGLPPEDIARQLYRAALTREPSP GELEAAVRHLRSGADLRPSIEDLGWALINSKEFLFRH OJF2_RS31610 MSDPSSERVLVVPSAELDKLGRFQGFDGEAERYLSALLVPELMS YRPRSEVEVDPGFKQIIPYVILRSGDLVFCYTRGKSQGESRLHRMRSIGVGGHVAEED ADGGCTRSAYELAMRRELEEEVEIAAPGTMRLVGLINDDSTPVGSVHLGVVHVLELEM PSVRPREEGLAEAEFVAIDELNAGDYEFETWSQICIDAFLRPRLE OJF2_RS31615 MNRCAGGLTALWLVIALGMSGCASMRAKAPSPDLRPCTDGYAYT KDGWRLGVRHYRPENPDPDKLPVILCHGMGLNATFWTLTDDHLPAQLTSQGYEVYVFD IRASGENARPGRQDRVNRFLRGTPFRERGESDWNVDDLARYDMPAILDYVEADSGRHQ VNWIGHSLGGMIVYPYLELSGRPERIANFIGVGATIIQAKTPQTDMLRANAAIRALLC MASPGRLGRPLTYHQLPGMEWINKFYYSAENVDPKTISRYYGYTLEDPGPGLLRQFEP YLKKGHLLSGDGRIDYAARLPDIRTPTLLVAGAADLISDVPSTRMTFEALSSPDKTMY VFGKANGHIADYAHCDLAWSVHAPREVFPVMLEWLDRHQPGVALSRRGPALPLPSRQG ALPTQAATIRPASLQAGPQERVDADL OJF2_RS31620 MPFGFWGVVGIVALVVVFALIWVAGAYNSLVALRNRFRTAFSQI DVQLKRRHDLIPNLVETVRGYLAHEKGTLEAVTEARNRAVSAVQSAAANPSSASAMAG LAAAESQLTGSLGRLFAVAEAYPDLKANQNMVALQQELAATENQIAAARQVFNASVMN YNTTLQSFPTNLVANAMGFAPAQLFELESDKERQVPQVSF OJF2_RS31625 MDPLPIDESLPEILDALGRHRRLVLVAPPGAGKTTRLPPAVVRS GLLPASHPGIIVLQPRRVAARSTAARIGEEQGWALGEEVGYQVRLDRRVSARTRLIVE TEGILNRQVLADPFLEGIGAVVLDEFHERSIHSDLALALLKEIRREVRPDLLLVVMSA TLDAGPVASFLDDAPLVRVEGRAFPVEVEYRPSDRPASPEAVTPAIRDALGPAADRGH VLVFLPGMAEIRRVRAAAEPIVREAGAVLHVLHSSIPAEDQDRALRPSAARKVILATN IAETSLTIEGVTTVIDSGLARVAHHDSRRGFDRLELSRISVASATQRAGRAGRTAPGR CIRLWSPREDRGMEPFDRPEVHRVDLCSTVLTLHSWGVSDPSGFGWYDPPAADRLDAA DRLLTMLGAVEAGRGTVTGLGRRMLDLPVHPRLARLLIASAAEGRAAEGATIAALLSE KDVVERGGATGPSAGPPRATQGRGASDLLGRMALLEEAERGRFGAGLRDRGIDAAAAR QVARIRDDLIRLARRLGSGGGSPPTPGDEAESPLRWLLLAYPDRVVRRRGAEETGVMV GGRGVRLDRSSVVRDGELFLALDPRQERRRGTLELLVRLASLIRPEWLEELLPGLLRR EVATRYDEERERVVGVTRLWYQDLLLKEDASRSIPAEEAAGVLASALRPRAAALFRED PAAAAWLARFALVREAVTELDWPEIGDDRLAEGLEALCRGKTRAEEVRQADKIGFLDG LLSYPLRRELAEGAPRTLTVPSGRQIALHYEPGAPPVLAVRLQELFGWTETPRLARGR VPVLLHLLGPNHRPVQITADLRSFWATTYHQVRKDLRARYPKHSWPEDPLKAEAIAGP RRRST OJF2_RS31630 MWERIRKTVQLGKRQGLRASTSPAAAGRRRPLVEILEGRQLLTA SLGALSNLSVPAQQGYQLPLDGSGNTDGTQTYTVTSDNPLVKVSVAQGPYWTLNVSHQ AASSSDISFSGALVFQLFADLTPNTVTQISNFTNTGFYNGKNFARIMNNFPGTTDYIA QGGSVNPDGSGTSPFANFADELVQSIAFTGTGQLAMANSGVGTNTNNTQFFVTTGTPT FLDYNHTIFGQLVAGSNILGQMTQVQKSYNTVYNETSLPTNPVLINSATLSSSNVNGV VHIDTTSATAGQSANISVTATDPTDGSTRTETFRVTVGAYQGPTSPVINFIPLVSNVA TSTNGNSPVLVTLAGKSGYPNTSTPATLAYAIATQPAHGTLSNLNASAGTVVYTPNPG YTGPDTFQYNVSSTGPKSSPVRQTSVNATVTVNVGQAIVNTGAVRQVADVLIIQAQPR ATGGGNTIRILQQPDPTSTTGGEKIVVLVNGQVDQLQPSTDSLVQIMASGTKANTSIT VDPNVTVPVTLNGGHGRKNRVQAGGSGAILHGWYGRTTLIAGDGINEMVGRKGHVRFK ATSATVLAYASNANPNLSNFLPTPSGGTYYRFIRGRLVAVKSN OJF2_RS31635 MQTPDDIAANEPSSHAGGRAPTGAGAGVAPGGPQDPPTVVRSSS SMARKLQGQPATAPPAPVMLPRLGEAVDTFVLEEAIGVGGMGAVFRALDAQLDRHVAL KMLPLDQTDDPEIVQRFYQEGRSSAQLDHENIARVYSIGQDGPYHYIAFEYIEGVTVR RRVDEKGPLPPAETVDIALQIAQALVHASERGVVHRDIKPSNIILTPQGRAKLVDMGL ARRFERDADHGLTQSGMTLGTFDYISPEQARDPRDVDVRSDLYSLGCTMFHMLAGQPP FPGGTVLQKLLQHQEEPAPDIRGLNPSVPVELARLVAKLLAKDRDRRYQTPEQVARDL LVIAGQMGMPVIPSDAHPWASGGHRVTWERHLAWLLPGLAFVAVIGLLAWWSRELNDP AAGPALPSPRTSVAESAGAAHAPAPFAGSVAKSAGTAEDARPLPAPPPRNIAVRPGED LLAVLAAAPPRAVVTLTEDGPFLVGGRSGGHRGAGPLDRRDLTIRADSGARPVLRFAA DSGFGEGAGPPGRLVGAARDGGPEGDGQSGSSSSAALLWFAGGNVTIEGLTFELDGEG PAVQTALIGEDTALTVRGCMFRQISRGEGRDRTAIRTRPARSPADAADRDRPPRLLVE TSHFDGFQVGVASEGALDLVLRDCTFGPCSPAIRVENRVAGAAVPVDIRLRHASFMAG NGPVLDFEGALARVQAEDCVVAPAAGTAAILVAVDSPRDLEWQGRSNLYYRVRTFLKP TREADEARAIDDFDDWRDAPGEIRETDSLVANSPVWNSARPLQDLIIEQGDPTEAFSL SPALLATDYYGARQGPYGARTLEPPRGSPQPRSPATAGVEATAGAAAGAATASPLDPS IPKSPRPDSEPPAALAAGAGATNPASKPAPAAAGAGAARGGGEAPQRATIGPWDPMRK DARPPSPADVAGFAAGSPAPGRTPAAADAAVPATRPRPAARVPSDEEDVIRSAEQFAN SVARFGERGGILKIARDVDLDLPPTEFGGGGDWVVQAEDEGSRPRIRLRSPTFASRAP SSWTTLFGARSGSLEVRGLDLILPEQESEIARANPQAAVAVSPGARLNLVDCTITVAD RSPRSAAIVLPPADAAQNATKRGIPEASVVVADCFLRACGDAISVSSGRPLDLQLRNV LVATDGSLLRASGEAASSVADAFPLRSPSPTIRVKIERSTARTKGGLVYLESTPDQDE PPLTAIDATNSILTTAGQEPLFRVDGQGPMERLRDRIAWKADRVAYVQITTYRRDQVR QTGVSPRDYTRSDWRTAFDPTDILPIVDGLKFRTRPDSGRTACSLSREDLTLDAQGPG RERGPDLARVPAPPPADS OJF2_RS31640 MAALSPPGRGAIAVVQVWGPGAVRAVEACFRPARGGGLMLGPAG RLRLGRMGRGAGDEVVAVVLEGEPPAVEIQCHGGPAATELVLEALEGAGVGRAGVEQW AAAQAATRIRAEALVDLPHAPTLRAAEILAEQAGGALDRELEGLDAEIRGGRLDRAIG RADRLLADGRVGVRLREGWRAILAGAPNVGKSRLLNALAGFRRAIVAPTPGTTRDVVT ATAAFDGWPVLLADTAGQRAAEDPVERHGIDRARRMADSADLVVLVLDLSRPLEESLG VASGWPRGRSLVVANKADLPAAWGLDEPSLRGLRALRVSAERGDGLDDLTAAIAKAIV PGPPAPCAGVPFRARQVEGLGRVRAALRAGDVAAAIRAIESLRGWA OJF2_RS31645 MAYWLFKSEPDCFSFADLQAAPGATTGWDGVRNYQARNFLRDSI KLGDEVLFYHSNADPPCIAGVARVVREAHPDPTAFDPDADHYDPKSDPEDPTWVQVSI RAVRAIDPPIGLPRLREIPELGGMELLRKGSRLSIQPVTPGEWSAIMELAPAAEASDA PASGAAPAARKPGSKAKSRSARSR OJF2_RS31650 MARIEHFAIFAQDAPALKDFYVRTMGMTVALESGGSPSGFFLAD EDGVALEIIGLPAGSAVANTRWVCHVAFLVDDVAARRKELEGQGLVFETGTEVDTPTM KTAFFNDPEGNRCQIVWRSKPLVP OJF2_RS31655 MTILVVYYLDGKWYGEEVAHKRSGSGFYREYSRIEIPQSRAKIE EFAASNRYKIEWRGEIPAEAPAASVAAG OJF2_RS31660 MKDGLTWVGTNGGPLIVIPGEIAPRWRGGDDTDYSLKDLDRWWE TLDAGSSDYGRACGIREVVGMLAVGPGRALILRKASMPTAFVPREDGGILVRGIYAEE EATLRRALCTMPESAWKPTPHRITVSREGLLVFDSAQPGDHLPTSMDEEETIPCLRLG LHAGTYHVDTADHSPVKTNRLCLHRLRREWTSAA OJF2_RS31665 MPPDPRRVQSLFLSVVGCEDLAERESILERECADDPGLRERVEA LLRARDGRESPPERPIVGRGGRATGREVELDLSLDNTSTHAASEVHLPDAGALTRGVG VPPPGVPCARNAIPGYEIIGELGRGGMGVVYQARQVLLDRPCALKMILGGAHAAPEAY SRFLIEAQAVARLHHPQIVEIRHIGEADGLPYFELEYLEGGSLDRQLDGTPWPARRAA SLVASLARGVAEAHRAGIVHRDLKPGNILLAADGTPKIADFGLAKAPGIDSGLTATDS IMGSPCYMAPEQAQGRIREVGPPADIHALGAILYELIVGRPPFRGASALETIEQVRDV EPVPPRRLVPGLPRDVETIAMTCLQKQPARRYATADALAEDLRRFLEDEPIAARPVGP LERAWRWCRRHPAPAALSAAILLVAALGIAGILWQWREAVRARDLAARRALAEAVASR AAQAARLEAEATLVDMYATTGIQAGDQGDNGRAALWFANAARRARDDPERRDVNAIRA RTWGRGAFTPLRALVADGTWPGGIVFHPGGHHLITKTITNGVTRDARLTLWDLDAERP VPFLSGMDDAPAAAWSPDGHALAVGRAEGDVIVAGFPDGQGAVRTRFPGRIRHLTYSA DGRFLAIAGGSTARVWDVRARAFATPRFTHPADVTSLAFHPSGGRLATGCRDQRARLF AVPGDSPRPLWPLMPHLQHESDGVWVRWFCSPPLFVDGGRGLITYDGKEGLTWRDTEA GAKVRTLDVPDLSGRLAEIVPSPDGRHFAAYGRELPATIRIFETAAGRPVGRALEHRN SVFGAAFSPDGRMLLTCSSDNTARLWSVPGGEPLAPPLDLHRPGRVVAFATGGRSLAT QDGDLVRLWAPPEAGVPTTFLPLDGDGSFAAISPDGALAIPTGMTYRRVLRSTTVSYV ATGRPAGPPLRPGGRIVDAAFSPDGRTVAAATARDGPSEAGQEVVTWDWARGRSGWRA SLPSEPRSLSYRRDGRLLAVLCGGGELLVLDPEGRREALRWHAHDGEVAGHWINNGAV RFSPDGRGVLTWGMGNDARVWEADTGRPRYPPLRFRDKCHDLQFSPDGRSIALASYDG SVRVHDLETGAVLVELPAHPDIVYSAAFSPDGRLLVTACRDRMVRAWDWRAGRLACPP FEHAREANAATFTADGRWVISASDDGTARVWDWRTGKPVTPPLAMNGSPMSVVVTPDS RHAVVGGFVDALATLDLTALTSGADPDSLCLGAELLSGRRVHEGGGTVNLSAEEWLER WRAYRRSSPAEPRDATRPRTVAGFAPAAADRAVDVGGDAPEGVQVDPDTLAGRFVEAA EEMTRGRIAEANAGGRALAVLMLRAVDASPDDPSLRHRLALALTLAGDHRGYRRACAQ TLRWFSQSEHPLIVEAARSCLVEADAAADLSEARRWVEAALSRGPELAWNRYVAGLAA LRAGCYEQAVEFAQSSLDRGAGWGAVPLNHPVLAMAHHRLGHRDEAWRCLERAHGRSG DAAARRRVAYLASSGTWWDRVEFHLLLHQADAMILDAAFPADPFSPRGAKGGRADPRE EDGPARREKARTRGIRP OJF2_RS31670 MIINAAVGVPAFSPGEPFEAHLASSRIREQSPHRGRCVLDRRER PDRRISLVRIAPEWTYARARISIECGG OJF2_RS31675 MRFPVAPAISAALVARERAIATRGGRGRAKVKLVREGTQGYANA FGPGEVHQRTAPTPPGRPTT OJF2_RS31680 MTAKLAETQMWQTNLASLIRSGLFTRAETGELNGLFTVVGVYGD ETYSAPMAKYSDSRRAADAANIVNQLAKAPRSVESN OJF2_RS31685 MADGWLLGIEIGGTKLQLGLGRGDGRLAALQRRTIDAGAGAPAI LEQIREAHRALLGAEGVPPRDIRGAGVGFGGPVDAGRGRPTTSFQVGGWADFPLADWV REELDVPAVAVQNDADTAGLAEALLGAGVGCSPLLYLTIGSGIGGAVILDGAIYRGAG RGAVEIGHLQVPDVMSPGSPIAELEAIASGWGIAARARTVAVDRLAASGESWVVLTNA GGDPRRITADLVAAAAREGDALADAVLDRARHALAYALRQAVALLAPRRIILGGGVSL IGEDHWFAPIRRLVDAEVFAPFRGSFDIVPAALGEEVVVHGALALALEAASESSPRAR REGSTAD OJF2_RS31690 MIGATMSAKDYLALVCQEIEKMDTAELEKVSDLIEQAYDAGRFV FIIGNGGSGANASHLCEDLAKCTLCDFEGQKRLKVLSLTDNTAGIMAWANDEGYDRIF LEQLKNLSSPGDLLLAISGSGNSPNILRAMEWANAHGLTTVGITGFGGGKLRKMAHHG LHAAVDDMGIVESLHQVVFHWLIDDLHRRFKEKAVPANGAKAR OJF2_RS31695 MDATARTPEESTLPPHRDHARLFRGNRYVYPVLSRRSRGISVGI NLNPDKACNFDCVYCQVDRNVAPAVREVDRPRLLAELDGTLELVRTGRLFEDPRFASV PGPLRRLNDIAFSGDGEPTTYPAFGPVVRDVAELKRRRGLGAVKLVLITNATMFDRPA VAEALATLDENRGEIWAKLDAGTEAFYRRVGRTSIPFRRVLGNLRRAALRRPIVIQSL FMTIDGEGPAPDELDAYARRLEEILEGGGRIAEVQVYTVARPPAEGSVGPLEAAELGR IGARLRERIPVPVAVFGG OJF2_RS31700 MVALWGLLTNLLLGLGAWCLARRVFRLTGGVEAALGAAVVAFAW VVLGMEILGTIGSLNPGAILGWSASLAGAGLIAMRRRAPAAPPEEGGEASGVERWRLD VLAAIGMTLWASAVLGMTSLLLPVKVVSDAPIYHLYFAVRWWKAGRLFLVPLPFGENA ATYFPANGDVWFSWLTIAWGGDRLARVGQAPFLVASALASFAMARRLGAGRNAAAMAC CWFVACTPFLIFSFEANVDTIFIAMYLCAALFFLRAAHGEAPGRSLALGGLGAGLSLG TKSVGVVFVIPLLALAIATVFARVRPIGRALRLAGLVGLAAVATGGYWYARNWLVTGN PVYPLRVTLLGRDLLPGCYDASAMRRSMYYIPREDLGALGDTLLAALDPRMAIFWLAA LLGAWGLRAAGHRPPGLDRGTWAMSGLAVLNVVFYWAFIPYRTQQRFMLQAMGLAAVP LARMFDRRIGVFGPVAMGLLALHLLTPATWPVALEEANIPWDLSPLIPNTVGGTIRVF DLARDAASGRASLRPAPAAVLWTSAATGSCALLAAWAAGRRRPLVASAGLIGLAAAAA FGTGAIGADPRLLMYPGFRDFHAGWMNLEGRSGPEGVRVAYAGTNIPYYLFGGQLRNE VRYVNVDGHRDWLLHDYQRAAASRGEPPWPNSRPGWDRSNPDYDAWLANLEAERIQLL VVTRADPGEGAHNVADAERFPIERAWADRHPSRFEPLYGPAEGDPFFRIYRLRPRPR OJF2_RS31705 MRLTLRTLLAWLDDTLPPSQVREIGKQVSSSPFARELVERIHRV TRQRRYTVPGKTGPDATDPNIVAGYVDNDLGPEQVAEYEKKCLTSDVNLAEVAAVHQI LSLLGQKVQVPAEVKSRMYLLVKGRESIPPPLANGTAERPREPVTRPIQPWVAPEPPR RHWAERFGPIAACLGLIALLSWSAYQSLGPAQEPSGDVGSPAVATRSAPVAKKAETSP PPAPEDTGPPNPLEGTEKSASSATPGPDAGRAGEAASKEADARAAETAAGGPRPADAK AADAKAAETAAKPRAVPAGALGVVDGARGVLLRFDNEKQSWEKLADGNPLAAGDRLLC LAPFRARLVIGRVPVTLVGETAIRLTGKAPDDPPSFELADGRVLVEPAAAPATVRVEY AGHAATVERASPGAIGLERMSQWVFGKPADAPAPLAIFAAEGDVSAAMGTAKEALSGP GRIVADASGSFRSSADRTVPDWMTGADAASRDPKPGQQFLAKFAPDRPVIADIVVLID DDSPAIRNYAIQGIKAMGDLSYLMPILRRPNDPSSRASTAAALRSYLGLGPQAVRRVQ QGLDEDLGPEDGRVVRKLLAGYSAEEAAQPETLRRLVDLLSPKVESLVVRELALENLR TITGRDALGYDPEMPDEKGYNAWKNLASKGELKPAAKRKSA OJF2_RS31710 MTRIAWLVAATAALSLSRGLTSDARAQGRAPSQARGATGGAVRP PSPADGGGGEAAKAATDRLPDEFVILNNVGDFDEFLKRLKQPDWIFMRPGAQVVAAAG AAQAPAARDFVVKRVAIRGRVVGELADLRLELDLELMARGEAWVPLGIRSQIVTSARE RDQELELRGAGEGRWDVRLRGTGEHRIVVAMERPLRVSPDRTQLEVAIPEAASTYLEL DVPQAVRDVGLGPGESIATAPLPDGLGTRLTAHLAPRSSLAVAWTNQDGAGSPLPPLL TAQVEMAMDADAESMTTRSSWVIRCVRGQARRLEIRLDPTDVARQVKLDDQFIAAGIE GNVLTIPLGEPLRPGDTRRLMIETRRGFPPGGGRKFAFNGLPLAGAAEQTGAIALTQA PNLWLDISAAAGLRRIDPRELPTDLRTRPGTSMAFQFLDQPFQLSLSVEDSPPLYRAS TATRIVLDGEAARVEMSAEIQRVRGRLFEVLVDIPPGLELTSAGPPDVVESVATAEEP AAGPRGGGPASTAGKLARIHLTAMARDLASIPLKLGGLQRIGADGEVGLALFSPRGDV ATSGTVAVLAPRNVTLDVPDAAPGADGSSGFRSLAGEDRAAVVTGAGGGGRVPVAVLA SQGNPSRLRGRLVRHRTEISHDVKVAARILEGWVDVRQETAVEVRYGSVRELHVLVPV ARRELWQVKGRPSITVGELEPAADGRPARCRLTFDPPIEDATTLTFSMRQPITRAAGP DAPAAGKVSWARIEEGKAAGVAVELSTAPGVMADVDASGWESPEARGDAGTPAIYRLT GAGANDGLPFTPRRAERAALPPLVAPRALLRTSLGPDGSCRTQAWFWIEDHPGHAELA LPAGSQWIRARVDGRLAEQVERAAEGDAYRIPFPPESAGHPVLLDLEYQAGVASGRDW APPELRGGAAVLQSLWEVRVPWNQAVVGVPAGWDDENQWYWDLYAWKRRPRRPFARLL EWLSASRPAEGIADDPMADSQDETHAYLFARPGPPSAMRPRVASRAWLLAVCSGAVLA AGFCAMFARRRPRFLAPALASAALLAMAVVDPSVLLLAAQSSICGVLLVLLGLATRRY IDGVPAAAAAASAPGVGGGSGIAELPASRVGSDDSTAVRNRPSSTMDYASPLSFSAGP DPTTGSRLGQGGR OJF2_RS31715 MRLFSRQTGPRGAAIARGCLAPLAMLVALANAGPAPAGEPPRVA RIRVPAAKVADWFPAGTPLRMISPAELDGLLRAAAKGDAAAGPDGPRLVRARHRARWD GKSLAGRSELVVEPPAAGTAPLALDPWTPTIRPAEGVRPPIGSLANGQAVVLLGPDAA PRPGAAGPPAVATVVLEWEQPALPDSEGRSFALGLPGDESTVLTLELPEGSTPTGPEG PREGPLAAAGAGERSWTFHGRPGSMNLRIHDARRPRHARDGDLVWVGGDTRIDLGPGP RLDPRAANWRAEWTVQPGARGSLEFAAELDPGLELVDVVGPDLREHRVTRPGGRTRIR VVLGRPAGPETKVTFVAHAKVPMEGVWSVPAIRPVDAVWTGGSTTIRLDPLRVVADCR ERAGRRVPAAGDPGGGDSLVFEAESPQSVADLVFRAPARATTCRVFGRMKADGSGAGL ECQVFGLGGQGTAVELEAELSPGWSPSRVAWGGAEQALAWNHAPMPGGGTRLRAILPA GEGTAGAGVLRIEATSAASAGRQPLSLPRVRPIGVAVEDEVWVAMADRGTRLTPTSAR GLAWVDASNAGDPARFAPPPPGMQAAFAWRWVGDDGEARVDRDRIEPAAQAEVSARAR IDRGGRRLLVEGEIAQSGPADPGGLPVWIGGPEDDASAWTFREADDGAPIASRPLTAE ERARWGFPGAGLARSLDLPRPPGGKARVAFRAAIDWEGRGPVPLLTVPTQYRPRAVLL IEAPRELPTSARAVGASRIDAGVAERMAETWRRAGPQASEARDAFAVAHAFSYRDAGA SVTLATTALPELAWRGVVRDATLTTLLHPDGPRPNRLRLLAQIEPGANLGFRLPAGAS LIRARVDDEDAPVLSRDGGLAVVTPAAAASPRPRTIDLDYETAGAALADGLAIAPASP AVDIPCLSFTWELVAPPGWLAVAGGGDLLATDPEASPRWPFELLGVPALPWRGADRSA STVAEATWKRLDESLSGLAAGETALAGWLTRWDAAPAPVVVDRVSLAAVGYGPRTLCS IPRDATGESVARQALRRHGLALVPIDGALVVTTAAALPDFEANRAWKPAVGEALLWGS DRSDRLQGVARWRGEFTPGGAAGTPDSATFRPMPGWSAWHFAGVSWPGPSTRARIRDA RAATAPGWAIAAGLSLAIASLGARMPARRALALPIALMAVCVAGRLWLGETLSGPLAG GFVGSATGLLLTLGSTISARNLRRQPAQGPGHAGVGIRLTRSMARITPPAAALLLLHA ATAAGREDAPIPILFPYEGTYEPGRLPAQVVLRQGDYEALRRMARPAHAAEAPGLVLL EALHRVSWRGEDDLDVASDLTLLKSDGPAGRWIIPVDGSREIRATLDGQAVPVFIEPG GAKAGVSIDGAGRHELKVRRIVALRPGDASGGTEVPVNPHPSARLVVEKPPEGRQAYV PGLGGDGKPGADGSIRAAMGPAGRVEIRTGAPDGGGVRAAGTNMEGLLLYDIQPAGDR LRARFTYRGSSPLPRVSFLVDPALIPRSMKVPGLVAGTWGGTEEEPVWTARVDPPLRD GAVVELDLWRPRAKVRKGGPGGPQEGAAPAETGLDYPRLEPLQVDRYSGALGVRRPGH WTGRVEPLRGVEPLTDEGFVKLWGPLPDDRLTLCGTTRLGRDDRPEFRTEPGVARVRV RPALELTIEEGRILLQYDAELADSGGAIDHLDLTIPQGLEVMSVDSEGLTAWTRRSPR SVALRYDRPMPAPRRRLSIAGWIAVPEEAARRGGRAHRLPTPWIEVAGMESLPGSLAV ASDVPASLAAPPGAVTAASAATAATAAKSPAGKAWNQAFRVDDQARLGTLSWTPAPPR VDVRIDSQVTLGPDAAEWVAALRLDVRGGPLESVRLRIPRPWAAAATARFDGEPPRRP AEDRSPASTWTLTPDRPIWGSRRLVIRSSIALTAGQEIQHPEIILAGASSVETYLALA YPEGSPLTTAGSSAFQQVAYAGRFRDEEFRLLPGMQARAFHVERDPWSLKVQLPPAPG ASRGDADATARAVSAEMDLTVLPDRSVRGRSVFEVQPRSGRFLAVELPPGGVMLWATA DQAPARPVRAADLRWLVPLGDRAASQVAVFWGAPPPEAGRAGAAWSQPIPAAGPDRVP ARVTIRVPEGLAVRAVSGELEATTADRLIFDRADRIRRQAGEFINQMDRGSSRDREHV AGLLIAHELSLRGADRSIRWSSRHLAADRRERAERDLAVIQSARKGMLETLHAAALDD EIAAGQSYLGAGPAPSPAAISVAEPVAGDRMRGLGRPAFLLGMVPGLSDVPAAVTLAG VDQPVASEGETADRARSMLMLGVLAAMGLAAVVRPHPGAWGLFILGATLGLLAFLGGP LPTAAAALAAFAGRLSRGAASRPSRPAAEPSSLRPMPAGTA OJF2_RS31720 MSRQPRSTRRPRKPARRAIPNAAEARLEPRMMPAVITPFAVRYS SNANGDIVFAANTLMTSPGNTSAAVNARNGTGSQVNNNDFSQVYVDADSDPSTFDSSA ANLSLPAGGSVLFAGLYWGADSSSSSRNKVSFKAPGASSYSTVTGTIIGSTSDNNYQG FSDVTSTVRAAGAGTYAVGNVQATTGTDEEAGWSLVVVYGDPSAPPRNLTVFDGFAVV DSKTPNVTIPISGFKAPLTGTVNASLGFVSYEGDLGFTGDAVKFNGTTLSDAQNPADN FFNSTISNRGSLVTTKSPNYVNQMGLDADIISKSGLIANGATSASIALTTGGETYYPG VVTTAIDLYAPIVSVSKSVVDLNGGGVEPGDVLEYTDTISVTAGEAATQFVYAEPIPT NATFQAGSLTINGSSQTDASGDDQADYQAALGKITYRLGTGASSSAGGTLAVGQSATV KFRVVVNGTALNGDVVDSQGSAAFVGQSTGASLTATSNDVRKVVAREEDLALTQTVDN PTPQVGQTIKIDLSVVNSEDVVVSGVAVKDLLPAGLTYVSSTPAAGTTYDPTTGTWSV GTVDGLSPLTLTIYAKVVGTVAVTNTATITASGSPDSDTANNVASVTITPQVADLSLT KAVDVARPNVGDTVTFTTTVNNLGPAAASGVSIQDGLPAGFSFVSASGGSYSNGTWTL SSVPVGTTTLTIKAVVSSPSAATMTATILGSSTYDPASSNNSASVSVTPRQVDVAITK SVDVAQPNVGGAVVLTTRVRNLGPDAATGIVVLSPVGAGLTIVSVSAPAGTTYNQATG VWTVGSLAPSGQLTLAVTATVASPDLITSSASLSDVDQYDTVAGDDSSSITVSPQSAD LAPTITLSTSSPVVGQNVTLTVQVTNSGPNAATNVKIAETLPAGFQYVSSSADAGTYS SATNSWSLASIASGQTRTLTIVARVLSTGAATSTASVAAADQYDPNAGNNSASVTSSA VQVDLALASAFSDSNPKVGDTVRYVITLTSPGTADATGVQVSAPLPAGLTFVSASAGA GTYDSASGAWTVGAVAHGSTTLLTIQAKVGPAATTRTTATASLSPAPSGDAVASNNAA SASLTAQSADLALTVSTDSTTPKMQSTMTFTLTVTNRGPGDATNIVVQHQPIPTGLTF VGFSGDGSYVPGTDVWNLGSMVLKPGQSATLRIQATPTDSDPHTISASIVASDQYDGD DSNGSASVTFEAQEAELGVSVDLPETAPTPGEAVSIVVHVTNSGPDAATNVRVQTALP PGLTFVSASGTGTYQAATGLWTVGGLPSGAAGTVSLTINAIATSSSSGDFTATILPQE QYDSIPDDDSASVLVSPRAAGLSVATAVDDATPNVGDAVTATATVTNAGPDTVTNLVI HVVIPDGTTLSSSIVPDGTTYDPATGTWTIPSLAPGVPISLPLKLLVGDPAVKPFSAS LQTVDAFNTDPDHTASSVAITPQQADLYVAATADVTRPDANQVVTFTFTLSDLGPDPA TNTSVSIPIPSGYTFSSASATVGSYDSAAGVWTVGTVAPGTPVVLTLQAAVTAATVPP MVATISGADQYDASPANNTASVFIKPRTVDLVIGKVVSDPTPNVGDTVSYTIVVKNGG NDDATGVAVSEVLPTGMVYVSSSGDGSYDDTTGVWTVGSVAKGGEATLNLVVTVDTGF STINTATVSAVDQFDVDSANGAATTTVDPLQADLLLTTVVDDPSPLVGQSVTFTIDLK NLGKDGAGGVAVTVSLPAGLTLDTFNAPAGTSYDSTTGIWTIGAVAVGETTSLTITAT VGTTDASTLTAAVTADDQFDPNVNDDSASATVRAQTADLGLKFTIDQPRPAKGQFITA TLDLTNAGPDDATGVTVRVPIPAGFELVSPIPWDGSYDQATGVWTVGNVAAGSSTRLM LGLQVLSGDPATLTAQATADQEDPAPDDDNIAAVVTPLVSGLSIATVVDDDRPNSGEL IAFTVTLADAGPDDAPNALVQALLPAGLVYVGSQTTRGTYNPNDGIWSIADVPSGSTS TLTIWARTSSPLAATLVASIVGSDAFDLGQGAQSASATETPHAANVQVTSSVDNDHPN VGDTVTATVTVSNAGPDDATGITVNSVIPAGAVLLGYTTAQGVFVPITGDWTVGDIPA GQSVTLTYQFRVAAPGAMTLTTTVASFDQFAAAAAEPSSVEVTPQSAEVGLTMTAGTA KANVGDVVDFFIVASNSGPDAATDLRISTGLGAGLSYQLVNPPDGTTFDPITGDWIVP SLAANSSITLTLKALVTSPGTLPATATISGADQYDPDATDQSVEASVQTRVVGLALAQ SLDDASPTAGAIVTSTVTVSNAGPDDATDVVIHQPLLPGMEWVSGSSGGATYDPATGD WTIPSIPAGGSVTLTLRASVTAPGEKELAAGLSSVSEQQPSGVLPAIATLTPQAASVS VTTVVDDDRPDADQLVHVTITVANSGPDAATGVIVGTSLPAGLTFVSSDAAEGAYNAA TGAWTPGTVAAGGSRVLHLVARATAAGTETASATLTSVDQFDATTADDSSSVTLTPHQ ADLFVRLEGLPSSVTVGSRYDLRVVVSNSGPDAATNVGLPVSIPAGFRLVAHADGLGS FDPTSGVWAVGEVPSGGSFVLTLTLEPISAGSLQVYAGPASSSQFGTDAAVATASAVS QESTTTTPTGPTGPTTPAGPTPPTGPTATAASLTGVVYLDRNRNGVYDAGKDMGVATI RVILVGTDAEGHAVRMTTTTGADGSFAFRDVPSGTYSVIEQAPARYFRSARAAVGTLG GTTIGGKQVQQVTITTASAGAGYDFGNVPRPGCRMNLLMHATRSGGLPRGPILSRFFP ATRTPIAARASHTAKRGAAH OJF2_RS31725 MTPRPLPNPSRRHFLGHLAGTAMGVPALQFFSSLRAGAETARRN HRSCIVLWMSGGPSHLDIWDLKPESEKNGGPFRPIDTSAAGVKITEHLPKVAKQMHHL NIIRSLDSKEGNHDRGNYLMHTGYVPNPTVVHPGWGSVCAFEVGEQLKNFDLPHCIAI NEPGQGAGFLGMSYSPFMIQNPNAPIANMRPPAEVDGARFARRLEMLGQVENDFIAQR KSQMATDHKAVYSKTVRMMNSRLKSIFDLNTESPAIREAYGKGSFGSGCLLARRLVEQ GVTYVEVALGGWDTHANNFDTLSNRLLPELDQGMGTLVADLAQRGLLDSTLVVWMGEF GRTPRINQNAGRDHWPRSWSVVVGGGGLKGGQTIGATDKDGVDVVDRPVGVMDLIATM TKSMNINVETQYTTPRGRPIKVVDGGQPIKELIG OJF2_RS31730 MILTAALAAATARAGDTPRSAASSKPAPARPPGRAAFLDRLLEQ SWKSAGVTPARPATDEEYLRRVYLDVLGRIPSVAEARAFLLTKEPDRREKLVDSLLEH PDYPKNYGTIWTVLLIGRGNQGRMVDRAALAGWLRKQFAADRPWNEIVNDLVSASGSN KENGAVNYTLAHLEGEAVPLTSRTTRLFLGQQIQCTQCHDHPSNDWKQGDFWGINAFF KGLKAEDRTAVNETGLEAYDHTELRDEPTDAFVRFDKRNGLVGIAFPRFLDGRKISQG TDVNRRLELARMISDPKSDLMARAFVNRMWAHFHGRGFVNPVDDMGPHNAPVQPEILD KLALEFKSSGYDVKQLIRWITSGRSYHLSSYKPKGADKEDTLFQVMQLRPMTPEQLFD SLLTATGAHKAGNAQAADRKRDAWLRQFTFAFGNDEGEEATNFQGTIPQALMMMNGEL MNDALSGKGGSFLEGAVEQAQQQSRAPGAYLVNQVYLAALSRLPNRKEADRAVHYLEN HPDSLQVVQDLFWALLNSNEFILIH OJF2_RS31735 MLRECMEAHRANPSAAAVPMKNFVRLVRHAWPHRYRFVMSIGAA LMVALFYFTELGAVLPLLNILFKSETPQLWITSKIHTIESRILLLDAQAEEARKIAQA MELGDGAAEDLPAYFDRLNRQSDDLEKRLRDRQHAVDLGTVAGLSRKDIEQEKAAIDD LRLQNEIVEGRITEFNHGSKSLKDGDRAAIRYRLEQLEKDRRSEQKWLGVYERARPFV FKYLPSDSFRSLLLLLGLVVIGVAIKGFFMFIQEVLVADVMQRTQFDIRNLFFKRTLA LDLGSFSDQGSAELMARFTNDMDSFGQGLVTLLSKLIREPLRVGFLLAGAFYLNWRLT GLTLIVVPISGLVTYRVGRTMKRAMRRSLESMSSIYKILQESFLGIKVVKAFAMERPE RRRFFVETKNFYRKAIRVAMIDAMSDPVLEMLTLITVAIALLAGSYLVLKKTIYLPIG PFNLQLAGQVMAIEELLTLYAMLAGASDPIRKLSNVHSKIQRAAAASDRICALMDREP QVVEKHAAIELPRHKESIEFDDVHFHYDGRQPLLKGISLNVRHGETVALVGPNGCGKT TLMSLLPRFWDVESGAIRVDGEDIRGVRLRDFRRQIGIVPQETILFQDTIARNIAYGD PSASREAIIEAAKRSYAHQFIMQLPQGYDTLIGERGHGLSGGQRQRIALARAMLRNPT ILILDEATSAVDIQDEALIRKAIEEFSKGRTTFLISHSLGTIQFADRIILIDDGRIIA QGTDQELRRTSPLYRKLYEIHYHRESA OJF2_RS31740 MRYKILYQPSFSMAVVELARGEQIMAESGAMVSMSPTIRLQAAM SGGGLFGAVKSAVGGESLFRTTFTAEDGPGEITLAPSALGDVMAVEMAGSRFYVQPGS YLAGHPALSIGVQGSMRGMLSGEGLFLLTVEGSGLLLLSSFGAIHEKRLGPGEEYIVD TGHIVAFEGSVQYRLEKATGGGQGQGIGGFLKGMVQSALSGEGFVCRYRGPGSIYMQT RQLPGFARQLLPFLPRAGGN OJF2_RS31745 MTERQLGKSGLRVSAEGLGCMGMSEFYDPKQMDDAESIRVIHAY LDAGGNFLDTADMYGVGRNEVLVGKAIRDRRGAVVLATKFGNVRGPSGEFLGVRGDPQ YVRDCCEASLKRLGVDAIDLYYQHRVDPKTPIEDTVGAMADLVKAGKVRYLGLSEAAP ATIRRAAKAHPIAALQTEFSLWSREPEEAILPTVRELGIGFVAYSPLGRGFLTGQFRS VDDLPPDDYRRNAPRFQGDNFRKNLQLVEKVGEIARARGCSPSQLALAWVLAQGEDIV PIPGTKRLKYLEENLGALDVSLTADDLAQVDAILPAGAASGDRYHAQALKAIDQ OJF2_RS31750 MILRDKSRRARRPSRRPGIGSDLALEARRLLDGGSPGLMISEFV ASNKNGLKDQDGDASDWLEVYNPTAGSIDLTGWGLTDDSAVPRKWLFPSESLAAGGFL VVFASGKNRAAAGSELHASFKIGASGGYLALDRPDGTVADVYDSYPQQYTDVSYGHPF GTTYLVGAGAPATYKVPTDGSLGTTWTGTRFTPDASWSQGPTGLSYGMVQPGFTVHYV ESTLDLTDLATVKTMLATPSEQLEARDATPGVINYLDTGPSGNFGDDNPFPGQDIGVD NDRFALQANGTIRIPASGDWTFGVNSDDGFELVLTGNGQTYRMAYDAPRAPGDTLATF NLAAGDYAAQLVYFENNGWASLEFFAAQGAKDSMDGSFRLVGDAASGGLAVSSPPSDP AVIATDVGPAMAGRNATAYVRLPFDASDPSAFDTLALKIRYDDGFVAYLNGVEVARRN APAATSWSSAATASRGIGDALGTEIISLTDKVGLLESGTNVLAIQALNASASDSDFLL LPELVATSIDTTRGSYFKTPTPGDFNGQPYLGVAADVSASTGRGFFSSPFDLTLGTAT AGASIYFTTDGSTPSPTNGTLYAGPIHIAGTTALRAVAFLDGYIPSHVESDTYIFVAD VIHQSEDGSPPPGWPSASNGRFTINYGMDPTIVDDPTYGGQALEEALKAIPTISISTD LSNLFDPATGIYANPYGKGDDWERAASVELINPDGSQGFQINAGLRIRGGYSRSTDNP KHAFRLFFNNDYDGALDFPLFGSEGVSEFAKLDLRTDQNYSWSFDGSGANTAVREVFS RDVMRDMGDPYTRSRYYQLYIDGQYWGLYQSEERPEANWAASYYGGSKDDYDVIKVEN YSYDVYATDGNLDAWTRLYNAAVAGFSSNADYYRIQGKNPDGTANPAYEDLLDVDNLI DYMQTILFTGNFDAPISAFLGNERPNNFYAFRDRTGQHGGFRFVTHDSEHTLFDVNED RNGPWPAGSTLETFNPQWLHQQLMASPEYRQLFADHARKNFFDGGALTADSNIARFQA RAAQIVQAIDGESARWGDSRREPAYTPADWQNAINYVLHDYFPARNAVVLGQLRSNGL FPGLDFPEFYVNGVVQDGGRVPSGTKVHLSALAGRVYYTTDGTDPRLIGGAISPSATL FDPATSADLVLTGTTRLEARAFDGTTWSAIQSADFQVNDPASADNLVVTELNYSPYPA ADTSVDRETFEFIELRNVGARELDLTGVRITDGVTFAFPAGTYLAPGAYIVVVHDLAA FRSRYGNAPTVAGAYAGSFSNKGEHVQIVDAAGADIANFAYSNKAPWPTAPDGKGASL VAVATAPRSDLNSASSWRASVDHGGSPGSLGDTAPDLAAPDAAATDEDTPANLAITVA DAETGAAGVLVTATSDNPALLPAGGLVLGGAGSARTLALTPAKDQSGKATITVTADDG QGGVTTRTFVLTVNPVDDPPVAADDSYAGDEDSPIRADAAHGVLVNDTDVDSPTLSAI LVAPPKHGTVDLYADGSFTYVPDAYFHGTDGFTYVASDGQAQGPAATVSLVLRFVNHA PVAAADAYATDEDTPLLIGGAGGVLADDADVDGNDLAAQLVSTTSHGTLTLHADGGFF YMPDPDYNGTDSFRYRASDGASQSAVVTVSLTVRPVDDPPLLGAIAVQTVVEGSTLHF TATARDVDTPAGGLTFSLADGHPAGMTIDPKTGVITWKADRGPQALDVGVDVRDDAAP GVVSHGDVTIKVLNVAPTVDAGPDGQAEAGSPFAASGSFDDPGTESWSATVDYGDGTP AASLPLDADKSFRLSHVYAAAGSYTVTVGIGDGTATGVDSLVVTVSPPPDRTPPVLVA VSSTSSKKAVTGLALAFSEPIAGGTATNVDAYRLVQAGRDRKFGTKDDVVVRLRSATL DGSGTHVILAARKKLQANRKYQLQVSGSGATALTDAAGNALDGDGDALAGGDAVRRFT VARDRTVRPASLAVPSGAAARRLHASRSSRFGAR OJF2_RS31755 MRLLALVDSPEHVCCRYRIRAFDEAMARAGWGVQYRAIPTGLIS RLRLLAAAAEFDAVILQRKLLPGWQLGILRRHARRLIFDFDDAVLYRDSYDPRGPYSR RRSARFAATVRASDAVIAGNDFLADCSLRAGAPAHRVRTVPTCIDPREYPSPAFLSPG NSGEHVDLVWIGSASTLQGLQARKPLWDHLGREVAGLRLRVICDAFPAFDALPVIPIP WSRAWEAADLAAGQIGVSWIPDDPWSRGKCALKVLQYQAAGLPAVANPVGMHAEVIEE GVTGYLADTPGEWAAAIRTLAADPGLRRRMGRQARERLEARYSVAAWSDAFVGAISGD DDRGEVEPWPPRATTSHAIREPFSLRLRRARLGGTAAPARFDGGDWDHE OJF2_RS31760 MTTLQQDRTTNPRRQPPHRMFKPPEWHWTDAGEVGWWCRGPWRD TLLGPDGLRLEQWRAEGRLSTVKSGPHRVVYRVDLPEGPIFIKHYLVPDYRAMFRQWF RRGKGRNEGKRSELLRSIGVPTIYPIALGERRKRKFLFENYLVTWEIPGSLALDEFLE GHLHELPEPGRSRVRQRIARELGVLTARLHNHGLTHIDFHPGNVLIRLEGGDRVELSM IDLDALRQSKRLTWKQAQRNLALLDHYFWVRSSRVERHRFVRAYLEAREGETPDPRRF ARGIEEMTRAWAERLWRRWGRRCRSTNKYFRVHPGRGTWAVAAQDVEPAQVEALLADP DAPFRGEGAAILKDSRTTTVAEANMSVAGEPTRVVYKRFNRKKWIDPWLNLFRPSRAW RSWQAGQDLCSRGIPTPRNLLFVSRKRRFLTHPFSWFLPHETYLATIKEDGVQPLSRY IRTVLPALPPEDRRRRVRRLNDELARLIRDLHDRSLSHRDLKASNILIRLDPSGHGEL LSLIDLVGVRLITPVPRGRRVQNLARLSLSLAHAPGRTRTETLRFLRAYLPWGLSPLS DWKGLWRDVQAAISAKQERNHRRGRPLS OJF2_RS31765 MIEPSPTPRRRAGEARPRAPRRGPLPALVLAIAAIQAGCATMGE RGVSLVPTKYQVRTGPFLIFSNSPIPADSPAVRCLHALEGDLQARLGYRDRGDQDPVE IYVLNDREAYTHFLKFYYPELPPRRAFFLAQGDRRVVYTFLSDRLEEDLRHESTHALA RGYYGDLPLWLDEGLAEYFESRPDPAGRDEHMSRLPGDLRSGWTPDLARLEALKDIHE MTPRDYREAWGWVHLMLDGDPPQRTILLDYLDAARSGGRRPSFAQALAARGTTGKSLV SHIEAVQSRAIARGPAPGPDAQARDRTVRFQDRSLEPPTVRVTGPTRQGFLKRIGSWL GF OJF2_RS31770 MSAASEQDLNRRSCVPCEGGVPPVAPDEAREQVRRLPGWSITAD GRGIRREWTVKNFMAGIEFFDRLARLAEEEGHHPDLHLEGYRKVAVVLTTHAIGGLSE NDFILAAKINQLPIASKS OJF2_RS31775 MASEERRVTVPDFAAWKQQGRKIAVITAYDYPTARLLDAAGVDC LLVGDSMGTTVQGRDTTLSVTLPQIIYHSEMVARGTKRALVVADLPFLSYHVSPRQAI RSAGRVMKGTGCQAVKLEGGRRMAATIRAVVDAEIPVMAHLGLTPQSIRRLGSYKVQR EEDALREDARAVFEAGAFAVVLECIPARLAAAISSEIPIPTIGIGAGAGCDGQVLVLH DMFGLLSDGFRPRFARRYAELGEQMKQAAAEYVRDVRGGAFPTEDESFR OJF2_RS31780 MRGTPRPRLLLASLVLSTALIRMAFAGQPIVENYVGRQIPTAMV ARNLDRGSGFLRPSLDTAPLPNYFVVEPPVYEAIVVGFRRLTGMALEASGRCVSALAA AMAAWGLCGLVRRRDGDAAAAAALVAFCAFPVTLRYGRAFQPDALMLGGVLAGLECAD RASRGARGWWAPAFALLATGLAAKVTGAFAIVPLLLVIAGHRRRAVLLLAAAAIVPAA CWYAWVPRLIASSGSRASAENRAIWMAVVGLSALARPETIRQVGRFLLVRAFGPPGVL LGALGVAARSVGGVDGARRPEPRDLWKAWAASALAMLALLGAKLHHEYYWLSLAPALA AGIGRLWARHAGSRRAAAWLAAAAFLAGCGYFSRTTWRTPDEWAGLEEAAAEVRRAVP GGDWLVAAEPLLYQADRRGCRLERTPSAAARAAAEWPGAPTRDALDPADLVEFYRARG ARYVADLASGPADPARDALHRTIRARYKVVKDTPSVLIAELESRGRGGAGGHGQ OJF2_RS31785 MLVRDVLREKGNRVISIDVDATVHEAVAKLVQNNIGSLPVVDQD GTLLGIFAERDVLRLMHNRGEGFGRMKIAEVMTPGPVTCGEMDDVNDVMGQMSERRIA KVPVVADGVLVGIISVGDVVKVMYDKVAAENHHLLSYIHGAV OJF2_RS31790 MRFPRSSGVLLHPTSLPGRFGVGDLGPEAHRFVDFLGDAGQRWW QVLPLGPTGGTPSPYQSPSSFAGNPLLISPELMVEEGLLSARDLTGLPKPEGERADFR AAAEIKGSLLRKAYDGFPAEHSAFGEYCAANARWLDDYALFMALKDAHGGRAWTEWER PLVARKEPALRKWREKLAAEVRFHRFVQFVFASQMARLRAHCDERAVKIIGDVPIFVA HDSADVWSRPELFHLDGKGRPTVQAGVPPDLFATTGQLWGNPLYRWEAHEEEGFAWWI ERLRGLLNWVDLIRIDHFRGFESYWEVPGKAKTAAKGRWAPSPGVAFFKALERQFVEL PFIAEDLGVITPEVDALREQFGLPGMRILQFGFSTDPKEDKNLPHRFVPNCVVYTGTH DNDTCVGWLTSNNVQTTQTPEQIAAERAFALRYLRSKGTEFSWDMIRLAFESVADIAI APMQDLLGLDGSARMNVPGKAEGNWGWRFESRQLTAALGRRLAELTATYDRWNGDVPA EHDPRRIRSASEPLRGRRVAASRNGTAAGSPRRMPARGARASRPPGD OJF2_RS31795 MPSIFVNAHSFYYEEMGEQGEPLVFLSGLGGDHRAFGLAQRYFA ARYRTLAFDARDAGRSDRGRSAYTTEDMADDVAGWLEAIDSPPAHVLGQSLGGLVAQQ LALRHPRLVKSLVLVSSHAGSNEWRRAVIDSWVLLRRQVPVGHFTRATLPWLVAPPFY QHHSQIDGLVRFAERNPWPQDAEAFARQARAATTHDTRGRLGAVDVPCLVLVGELDLV NPPRVSEELAGLIPGSRFAVMPGVGHMPHIEDKAAFRRHVEEFLGGIGP OJF2_RS31805 MPRQAHRSSIPDLMTLYEVGRIGGLTDRELLDLYAGRDRAAANQ AFEAIVLRHGPMVLGVCRRNLADEHAAEDAFQATFLALALKGGAIRKPDSLGHWLHGV ALRASRRARACTGRRGGQPLGAIRSEPETSEIDVAEGRELRSSLDEEIDRLPSAYRRA VILCYFQGKTQEDAARELGWTKGTVSGRLARAKDLLRTRLTRRGLAPSAALVAAPLPA ADASPAIPADLLGTTVRASIRLSLGRGATPAGLGTASELAASVLRSMTLSRIRTTAAV ILATTAVTVAMARAGARHEPSAQDTNAASRTATSPPRTPFSGSPLPRHAIARLGATQL RHDGVVTGLSFSPDGRTLASGSWDGTVKFWDAATGEASASFAPIRADQAGVLSVAYSP DGSRLVLGTDNGWIVLRDLVENRDLLREKVQTGRVYGLAFAPDGRTFAAADFEAPVVS IRDAGTGRERRRLTYQATQIFQGPLAFSRDGKRLAVGVTPRSSDGERIVIWSLDAEPT PIVIRGAHDGGLNGLAFAPDGSLISSGASYRTEHGPDGAESFVSRPQVRSWDSATGQR LREFDPGIERGGGGVALSPDGRSLLSVHSDCILIRDLASGTIRRKLAIEPEQGSSGMV AGIAISPDGKTLAAERHDHAVHLWDLETGQPLLVRKDAHDSPLWATSVLEGSLVATGD DRGRISIWELPRGVRSRTLELGKKGRVWSLHPSADGRSLAAVGEYFEPAGGFRGFYRV WEIPSFALRHEGRLDDRAVCVTFSGDSRRMAIGGWNQDAPKALPAAKAEETPRGKVRV LDRATGESLASLAGHEGRVRALAFSRDERTLASAGEDAVVRLWDVASGRQLREVAVQE PQSGDRAAPPGHRTSLDAAAISPDLATVATASFFGDKILVWGLSNGQVRRTISVPSYS QAVLAFSPDGRRLASAVQPSGDGGPSARISLWDLATKRELLRLEPRVEGINSLAFSAD GKRLVSGQNDTTALVWDVSAADEASRRPGD OJF2_RS39575 MMNLPVVGTLGIGALLLGPVARLPIDLGAWLAGWVLDRLPAARD GGQAG OJF2_RS31810 MLTILGREGGRFCDGVSRRDFLRIGGLAMGGLAMPQVLRAEAAG LPSRAGAQPVGKSLIMVFLAGGPPHLDMFDLKPDAPAGIRGEFRPIATDVPGLMICEH MPRLARMMGRFALIRSLVGAGGEHSAGQCLTGYKDTISRAQGGRPSLGAVVSRLKGPV QPDIPPFVGLSPRVGHPPWANPGDPGYLGLAHAPFTPFRGEKPAPNQDKSDESSAGLA LDERTIVPSRLGGRRALLAQLDGFRRDVDRSETMRSMDAFTQRAFDILASKRIYEALD VSREDPRVRARYGVGDMNNEDDGPPCCMDHFLMARRLVEAGVRVVTIGFGRWDSHFNN FGANAKRIPKLDVALSALIEDLHQRGLDKDVSVVVWGEFGRTPVINKDAGRDHWPAVN FALLAGGGMRTGQVIGSTDKQGAQAKDRPITFQNVFATLYHNLGIDPATAVSDRGGRP MTLLDEKEPIRELV OJF2_RS31815 MTENTTPLDAPLDPAPSPARAKAVRKVSVREAQDDVPTKAVDRR NPGRMEQALERARMAARIADDNRAKDILVLDLRQATPLLDYFVIATANSRRQSQAIAF EIDAEMKKIGELKLGMEGVEEGRWTLIDYGDFVVHVFSADARTYYALEEIWGDAPQLE WREEGRVPRERPAESADEPPADDEAGDDVADFES OJF2_RS31820 MNVLERLRAAFAAATPEGGDPKSFGSAVRSTNDPKFGDYQANGC MGLGKAMKVNPRDLAARVAEAVDLEPLAGKPEVAGPGFLNVRLHDLWISRTLGELLAG GFPGIEAPPKPKTVVIDFSSPNVAKPMHVGHIRSTVIGDSLARIFEALGHRVIRDNHL GDWGLQFGMILFGWKNELDPEAYALDPVGELARLYRLVASQIKPAEDLGDGLREVLAL ESKGKADEAAKSLAKLTAKSGMSREEITVAVAEAKAVADASRAETVKLHAGDPENVAL WKKFMPYCLEALRGVYQRLGVRFDVELGESFYNPMLASVVEDLQARGIAEESEGAVVV FTEGFKAPFMVRKSDGAFNYGTTDLATIKYRDETWKPDQVLYVVDHRQGDHFKQLFAV ARKWGRDGAALEHVAFGTILGTDRRPFKTRAGDTVGLESLLDEAVSRAREVVEENSPH LEAEEKARVAEVVGLGAIKYADLCQNRLSDYVFDWQKMMAMNGNTATYLQYAYARIRS IFRKGGFEPEAVRAAKPEIILTNPAERGLGVRVLRLPEVLELAALELKPNILTDYLFD LANAFSTFFEECPVLKADTPERRDSRLAICDLTAQTLKFGLDLLGIDVVDRM OJF2_RS31825 MKPASPLPGRILALAIVLAVPASALVASLRSRSVGGEVDASPSR TTPKEEPHRSPIALALSADGRRLLTANQTAGSVSLVDTEANKVLAEVPTGDRPAGVAI SPDGSRGLVAHWYGYDVALLSLDGDRLAILGRLEVGPEPRGVTFSRDGKSAYVAVGVS NEVVKLDLDPLRIAGRVAVGREPRGLATSPDGKLLLVGNARSGDLSVVSTESLGVLRT VPVEGNVLRQVAISTDGRYGYVAHMKNRGFAATSNNIDQGWVLGQRLTRIDLTDPKPS YASLALDPRGKAAGDAHGMAVSKDGKYLAVGLGGTHEVMLFRTDLRRLPWRIDGSRDL IPPELLNDDGRFRRVALGGRPTEVAFAPDGKTLYVANYLADAVQVVDADSAGLVRTID LGRPKAISLTRRGEEIFHDATRSFNQWYSCNTCHSDGHTNGQTFDTFNDGRYDLSSAH EGSHKKTPTLRRVVKTGPWTWHGWQTDLDDAAFESFTKSMQGPKPSDEDLKALVAYLA TLDFPRNPYRDPSGKLSPEAERGKAVYSSAKAACNTCHGGPEFTDGKIHTVGLEEPGD RYRGYNPPSLRALYDRDPYLHDGRAKTLREALSGPHSAGAVTNLGELTDAELDDLLAY LKTL OJF2_RS31830 MRRGRAPGPRGAFTLIELLVVLAIIGLLIALLLPAVQSAREAAR RAHCQHNLKQLGLALHAYHDAWGSFPPGYLPSRAPRPGASTGAELGAGWGWGTLVLPY LESRPVYDAANFDLGFGEVTGEVVGLRENRTVRQVSLSTFLCPSDGDASGPLDLGGAT IAGSPGQYVASAGWMDTSRSPIQGDGLLYPGSRVSLGDVIDGTGSTLLLGERSRNLAE AAWAGSFGSHADPAPLCTKRGWPVRSCVGLMFLLMGRTGPPGDIIGGQAAGGITPNHP SAGADGFWSRHPGGCEFLLGDGSARFIKSSIDTRVFRSLSSRAGGEVIGADSY OJF2_RS31835 MRLLAGPIVCGAILGGGCGGPGAGVDLPPPTPGLELRGEDFAQA RAAFSTRLTRHAPPPGQAQALPAIPDAETVEYASGHLRLKAYRSRPSAGPARRPAVLF LHGGFSFGEGHWEMSRPFREAGFVVMTPVLRGENGQPGDFSLFGDEVGDVLAAADVLA SAPDVDPRNVFVAGHSVGGTLAMLAAMTSRRFRAAASFSGSPDLNIYLKVSRTPAPFD ASSAAEVRLRSAVAYASSFKCPARLYYGEDEIWVQSSTSRTAVLASRAGLDVAAVELP GDHFSSVQAAIRESIAFFRQHMAAD OJF2_RS31845 MRSEREKMLAGELYDPADPVLVAAMRRAQELCVALNQTAPGDEA GRRRICRDLFGAGGDSVRIRPPFFCDYGSHIRMGESVYFNVNCVILDVCEVTIGDFCL FGPAVQIYTPLHPLEHTVRRFVEYGKPVEIGSDVWVGGGAIICPGVRIGDRSIIGAGS VVTRDIPEGVLAVGNPCRVVREVASGDGPTGVRA OJF2_RS31850 MSSPGRAACLALLAATAALPWRAALADDFDRLDGEVLGKLLRDP KTKSPASLGFRELEALPTALRGVSSPLIVLKTDQGNYARLLVAPGFHKRKDPPGALVP VLVIERFDTFDGGQAGTRVAKGKDVTLFPGFRVDLDTGRIVPEGLGGDLRFAAKGEND GLLAAIEPARLATLDARPPLPAEKASGPSAGKVVRASDYAGKYRLVADNQWSGELSLQ VDPAGAVSGWFVSDGTGGEYPVSGRVEAEGPPKLSFSVQFPRARQDFAGRLWSEGKGV IAGLFTMGSHESSFVAVRDGVRNAADLDLDVAPGLPIQGVSPRADAGGKPWIRVALGS QPDRYRVRKDADEKTAAELIDVLKEAAAADPGARVVLAAPASAPYSRVLAAVDAIRGA GIGTIRVVPAAGEP OJF2_RS31855 MATANAIRTNGRGGKPAAANGGAIANSKGKGRKATRPTEALPTT PAEAAAQEGACYGRDWPAENVELVRSLRHRLFRFLEEQADVQVMSIDAPYPDSADILF YTSPDNGICHRLTLSING OJF2_RS31860 MTLEFMFRNLLSTPAFAPALGDVELERSDLPKLPITRNYNSLLA AAKSAAISLEDYIPRDEKRRQASDFFADIATHFLHYHELRHILAGHLDYEDNDRGVAY IAEYRGGDATTQPSIVSQVLEWDADRSAMLMLTRSIFAIRIRSMVAETMSGQVGPYSD LFRDRDSLAVKCLIAASALLRLFDFDILPASEWAEQYYPPPQVRRISLSNVVVEWVQN NCGVPLAPTMMDDIRDTIHSGTSEVVEHTFRELWDVKYNNEFRFLVARDESREYLARL QGMFENMRQELSKYSYVAL OJF2_RS31865 MPDELWARIEPILLEFWPAKATGRPPAQWRRMLEGIIFRMRSGC QWDQLPERFGPKSTVHDWFRRWAEGGVLEGIWAVILAECDELGGVDWRWQSADAMLGK APGPGGEKTGRNPTDRGKQGTKKSLLTDADGGPLGVVIAGANVVEQKLLAETIEAIVV ERPEPSADEPQNLCLDKGYDNPRSEEAATASGYAPHIRRIGEEKKAVDTSKGHKPRRW VVERTFAWLSKCRGLLVRYEKNDINYLGMIQLACALLWYRRLYRLTQGKPKVAVT OJF2_RS40180 MSDAELFERAILKLRAEFRRRLPDDAPQRSNERMKKQQSILARL VDEFRVALKKLSENLAFRNALCLKGFVS OJF2_RS31875 MALVGKISIAMMADTAKFKSGLASAQRSLQSFEKSATGTGALIA GAFTAAFGAASAIGFGKMISAASDLSENINKVQSIFGKAAGKITADADAMAEAFGTSK NEFLDASGKLGGLFKGAGFTEGQAADLASQFVKLSGDASSFFNLDFDAAFNKLRSGLS GESEPLKDLGILMNEDMVKAKALEMGLVKAGQELSNQAKVAARASIIMGGLKDAQGDL AKTADGFANASREATGRVGNLAASIGETLLPVVGKGLAEINVGIEALNLAWQGNKAAA LDWAAGTVGGASQAAESMGWLQKTAGGVADAFQTIARDWKLAQASITEGVGEIIDRLS TLKPLISMAMGDFSGVFDKKNFGAFMDPNAGRRIGSIGDPEMKKWANSFKATAEAQRK EFEKAALAPDASASVNEYFDKARQKIDALRKDLARPAGVDFSKLGIAGGKEKADKAAK APREGKAFSGAALAGSAEAASITLRSKFGDPSGKTQDQIAKNTNATASGVNKLAQAVQ KLAANLSQPADLVTLDAMV OJF2_RS31880 MAIETVKLTKALIHNGEIRGAGELLTVPETLAEHWVEAGKAEYT VRIKALREGVVAHRHILAAGQEGIASQFRALDLHRDGAAEIVDPSQLTEPLPDRRPPV KPTPPPDPFNGQPRIKVTVTADCLMFNSSHHGKGVALDLPEERACQELKGGFVKLATG ARLTQAGERYLSALRSPRARAGDIFPTYA OJF2_RS31885 MSNHQYSLFSAIKRCVDGKRINSGGFEGEAGQALARSLGISPQG FLVPIPALLGGSFEERALDTTAGSGAIYKYLAGTADILRPKLVCGLAGAFLGDLRSGE TTNYGQVQLPRKLTAAQTSWVNEAAAPGSSTNPTTAAVVASPRTVAAYVDITTRMLSQ GGPAVERMVIDDLITGAAVEIDRAALNGSGSSGQPTGILQTSGIGSVALGTNGGVPTR ATLVAMEKAVAAANGDAAASASLGWVASVATRSKMRSIDGSSAGSGAWLWSDADRVLG KPAWSTGNMPDNTTKGTGTNLGSIVYADWSNLVIQLFSVADVLVDPYKASTLGVVRVN LFQDVDILVRQPAAFCAATDIVTS OJF2_RS31890 MTTKTSAGEPESADLGGRTRRGMDTDRMIREGLARTASQQGKPA PAMAPEPTAEDRQPDRVDIGKIDLSAPLKDASVRLIRGCLERLCESRDNAADVRAVAV AARDLINRQRRAGHLPSREALLLLIEISEAGI OJF2_RS31895 MPSPRPRYRRNPAAACDALTRFFLEWGDNSRGRALFADDKLLPA WKGHGEQIIREWAAANPGTRPAGFWQWDAPEPRDAFREGETAYLERLALLLPGEAEAI EAQSRTQNGEP OJF2_RS31900 MSKHHGTPSIGMSPEPPGHLSEGSAALWRSIVRDYNFGQYPEAL AILLEAMNARDRAEQARLIIAAEGITVCDGRNSIKGHPCVAIAKEAQNVFISAMKALR LDPDSSAKGVS OJF2_RS31905 MSITAPASASTGPGHGDRGRPATLSRYVSIAAASRIVGLSEKAI RTLIRSGELPAHVLPCQTRQRVDVFELNDVVTRSIRPARAE OJF2_RS31910 MTLSYADPACAAASAAPVDFPPASEAPAIATMPPAVEPQPPSTR RPCDQGPPQFDPDQARRFLEAIHVPGSCFEIRILSGKFARGGWIERNAEYDTTLAGWY DDLDSAVADLGRLRGVSAYVTPNPVKADLLGISRNKITKVRTATADDDIQAARFLFID IDSERRAGISATNAERAKAIEARDRLLMDHPEIAEAAIYGTSGNGAWVLARIKPIADI EEARRLAASALAYLGSRYSGNGATVDATTRNPARVCACPGTLKAKGSHLPDRPWRLAT LDSPDGRELVPLDLAAWVADHPAPDKTPVDQAGPIAIPGKPSASGGTDPITRARTYLS KMAPAISGQGGHNQTFDMACVLVKGFGLTIDQARPIALEWNTQCVPPWEPWEIEHKLS QADSKPDDKPRGYLLAGRPMPGEPAPSPGSRPSGGLPGDDGEPDDAAGQEANPHRLAR LVLDQFSHPDRHKLIYWQGECQAWNGRHYAPMPDAELRPIIVRVIRLEFERLHGLAME RYEALLAASDDAPPPKMPRLRDVTGSLTRDVLAAIQELAHERIEDTPAQPAWLGGDHV WDAMGVLPAANSLVHLASYARGLPCTLPPTPRFFSPFAVTYDFDPDAPRPRAWSAFVE SLWPDDQEAVECLQEWLGYLLTADTSMQKILMIIGPRRSGKGTIARTLRELVGEPNIA APTLTSLAGPFGSQQLIGKSVAVCTESRLSGRVDSQAIVERLLSISGEDPQTIDRKHQ APWNGTLRTRFVLTGNSVPKLGDYSSALPSRVILLKLTNSFLGKEDRELERKLRAELP SILLWALDGWIRLMDRGRFIQPKSGAAALEELEATSNPIGLFVSEACELDPDAYAIKE QLFGAWLRWCESSGRKDAGNLQDFSSKLYSAFPEITDQRPRLEGRRIRVFSGVRLAEG PDIPF OJF2_RS31920 MGRKFTGYWDQTKGRWRAAIGEIGPSGRRRPVVLVGPNGKPLGP NDAAMVRWAIERQRAEIAAAGQPPNEGAVGPPWSLADLFREYRRAAVKARRRARTVAD IDFHCRRFLAACGNRLACEIGPEDWFRYERLPGGAKRQTFNVVRAALEWAARPISGRE PAQVIDRNPWRGIRPVRNPRPRGDAPEWDQTRAILRTMRAYARSPVSPRGRPRRTRVS RWLRAACFTFGAYTGCRTGETVGLTWKEVDLAAGIVRLDPDRAKTAKRRSLPLGRCAR LIRAIASLPERHKTWVFWPSSCKSAREAEHWRWLREDVRPWAATQGRAIPARWQPYDL RRGWATDAIEALGEDRAAAVLGHSPEVLRTIYDRPGDRRARTAGAEVAAFRAGRKVK OJF2_RS31930 MLLDHFDYEKLLVPLNLFYDLHTGGPRRPIFHDVAATRPELLEL DRNFSAIREEVLNVLPERASIPRYHELDAMQTYISGTVDKDRDWKVFPLNLMGVKPKG FADRCPRTVAILDTIPGLFEAFFSILEGGKSIPAHEGPYRGYLRYHLGLVVPEENPPS IRLKDQVYTWKEGESILFDDSWDHEVYNSCTQDRVILIVDIRRPMPQPFDAVNRAAQA IMKPIYGGQIARKLATMTPPATDGDAPAMAEEKQTDDKEKASEELAKKLPDQADPVQE WGQREATGKAPATAGHSAHGDKKPDAPE OJF2_RS31935 MRQIGPAMRLVLVVASLAGMALVAARLGRRGERPEAIEGMRAIA EGRAGEAAAAASRWLAAEPRAAGGHVLRGRVDVAEDRLPEAADELRSAMAMGGEPGDL ALLRALIAAKAGRHAEAIPSLERASSGAHRADRQVAEALARAYLETYDLNRAAIVLDR WARDFPDDPKPHLWRAEVHGRDGSETGVVEADFREALRREASLAPSTTAAAVAGSVAA DLAKVDHHRPTGGDHAAAGSHGDHRTDHRGEIHGGHHRERETE OJF2_RS31940 MSRKAAIAGPILLASLILPTAAAGARSQDEPSDARPQASSAGET EVIITGASQQAFPKIAVQFEVKKPDGSYLLDARREDFRVTEEGNEVKVLEFQAPQTRE TVPTTVVLVVDHSGSMQNEDRIGGLKRAVASFLEKLPAGSRVAVVGFSSRVETLCPFT TDRAEVRNVVDELEPDGATRFYDAVLEALDMLGEEQGRRAILALTDGEDTASQMGNLA MTIAAAKRVGMPVYTLGLGSEREIASNDLKRLATSTRAQYYRARDADQLKAIYEEIAT RLGASYSLVYESDRRLPDGTLRPVSIAHATSKKAAETAVFIPGMVVPAPGWSPLFLAI LAVLAVMAVLPSWAARRVASR OJF2_RS31945 MPAPAGTANEMWILEIVRGREPGRTYSLAAGETILGNALGGMPG LDLSGQEPTSSPRRMAARQASVTLSGGGPSIRDLDSPGGTFVNRQRLFSAQARPLQPG DLIQLGGVQLEVKRVAAPAPPPPRQPAPERPRSAPSPAPAPLPQPRAASPSPGPPVGG GLPAPYTLGGATCRAWDDFLPLAAQRWRALRDELTSGRLADFLRRIGRPDLLPSATAT GDPDERLDAWLAGLPVTRPSGPELDVHPATIVIRTSVVGTVRQTLRITNVGFRLLRSQ VSVEAPPATRPGASQPRIRVSPGFASTPFATIDETDVPIEVDLPDEPSRGASGEALGA VVVRSNGGVRRVEVRAERPPRAEAIPEPFDAAASSPPSTHAAAAMTWARPVGKRVASQ SLGRRVLAAAIALMLFRALVMASGLLPTLLGSTSPLGHWAELRLAGMAMVLAAAGALL GAAWILRGSPGEPSAAGDLIPAAFAGALLGVFAAAIGYAVIQSVEAPLGPLSSTSVAV VSLWGVVGAGLGLLSWIAIPPDRPASSPAPEAPR OJF2_RS31950 MTRTTPATSPRPTEPSPQAGPGSTPASPSPRLAGRASRRQAYDL ALAGAIGALLGLYLYVELVQASSVNVRDALAGLLIGGSVGSFLGACGPFRDGAWRRLA RAVAWGVPAAAIGGALGLVLGEQVIGLFQGGILGRACSWAVLGLGIGVGQGIAGRSRQ RLVMGLIGGGIGGFVGGMSFEAIRVAMGNRTELGQALGIVILGAGLGLSLALVEQALR RAWIQVLSGRQEGRTYLLDRPICRLGLDERAEVGIFGDPGVARQHARILGGPHGYAIE HLAAAASSRLNGKAFTGTETLRDGDRIELGQTVLLFRQR OJF2_RS31955 MATFVGIDLGTTNSVVAHRDRHGRPEVIPNREGANITPSVVYFG TEPPAVGHEAKEYARLGDSEIASFFKPHMGSALYQLQFGDRTYDATDLSAIVLKRLKE DAEAALGEEADRAVITVPAYFGDAQRKATIEAGEAAGFRVLRIVNEPTAATLAYGLNR GDAPDETVLIYDLGGGTFDVTVARIAHGDVAVLSTAGDHDLGGKNWDDRIATFLSDRF AAETGFDPLDDPVALNEVLVRSEQAKWALSERAATRITLQLGAERKTFELSRAEFEAM TAPLMDRTRRLTEEALGEANLDWRRLDGVLLVGGSTRMPMVRSYVAGMAGKPPRTGVN VDEVVALGAAVQAAIEVGESIGDALPRFTLGAVAVGKGAHAPAAAPRVTDVMSHSLGT IAVSADGSAYQNDIVIRRNLPIPARNTKTYLHATHGGANSRLEVYLTQGESESPLDCT ILGKYVFDGISPTDAEVMVDVGLSYDANGVVQVSATQRDTGHRLALEVEPVPDDMTWL ALPPAAVAEAAGAGMPERIRVILLMDVSSSMIGGPLAEAQTAAREFLDRCDFTTMEVG LISFSSMVALQCAATSNVRRLYAAVGRLEAEGSTNLTDALEMARGQLAATDARRYIVM LTDGFPDAPESAVEQALAARQQGIEVVAIGTGDADREYLGRLASSERASIFARSGELV QAFGHIARMIAEGGRGLRVLS OJF2_RS31960 MSQGEGQGLKRVYGIDLGTTYSAIAYVDEHGKAVVVPNQESERI TPSVVLFDGDSVIVGNTAKESAKVEPHRVVSRVKQHMGDPNFVFEYEGKSYGPEDISS FILRKVTGDAALALPGEDVADVVITCPAYFGTPEREATANAGRLAGLNVRAILNEPTA AAIAYGLEQGEDQTVLVYDLGGGTFDITMIEIKDRLIRVICTGGDHRLGGTLWDEAIV MYLAEQFREQTGEESDPLDDPEVLNDLFLQAERGKKTLTQREKAPFRVTHAGKQARVE LDRPKFEEITRHLLDRTIELTREMLADARAKGHASFDKIILVGGATRMPQVHARLVAE FEKEPESYDPDEAVAKGAALYGLKESLHDEVSSILAAGRDGEAGGAGAADSGPIDLSD VPEEEVAKALDHLEKQLGFTLTGPVRELVGTRIVNVLSKSLGVVARDDQSREVVVYLL PRNSEVPLERMTDFGTDAANQAAVDIRVMSGERDSTEPADCQEVGTATLNLPEQLPAR SPIRVKFAINQDGRLNVSAVDLTAGGSIDVEFQTEAVLNSDEVEERSTALRLLTVS OJF2_RS31965 MAQDDAVASRGRIFDDITQTIGNTPLIRLRNVTDGARAQVVAKL ESANPLWSVKDRIAVAMIDDGEKAGKITKDTVIVEPTSGNTGIGLAFTCAARGYRLVV TMPESMSLERRRLIKAFGAEIVLTPAAEGMPGAVRKAEEVLRNTPNAFMPQQFKNPAN PEIHRRTTAEEIWRDTDGKVDILVAGVGTGGTITGCGEVLKARKPGLQVVAVEPLNSP VINQARHKEPLRPGQHKIQGIGAGFIPDVLNLEVIDQVVTVRDDEAFEMTRRLAKEEG MLCGISCGAATAGAVAVARKPENEGKLIVVVLPDLGERYLSTPLFPE OJF2_RS31970 MSDAEGPAAGATRPLEIPRAHCEAMIAHCRAESPLECCGLLGGR SPRVSAFFALPNVAAQGETRYEADPIAVIEAARTLREEGKEILAIYHSHPRWQAIPSR TDLELNYWGEMPRIIVSLLSEPPEIRAWRLDPESFEELPWTLIESDG OJF2_RS31975 MRHIRLAALTACLALMLTTSRLPAAGQDRDKPADEATALAVKLT TEGAALFDTMNAKAMADTYTEEGEIVMTLRGGDGNKKTERYVGRPEVEKHYGKLFEKP QTIKSRNHVEYAKLVAPDMLVIAGTFDVNTLQSDSPKVPFYQVRVKEGDKWLVSSMRI IVVLK OJF2_RS31980 MNTRRWIPVAVGVALILIAPLMRSVCYVVTERELAVLLQFGQPV ASRTEPGLYFKLPFIQEVLRLPKTLQVWHGTHESEKLVDVPTADGKKVEVTVWAVWRI SDPVQFVRTLRTVPNAESRVKEFVRSMARDTITTNNLAEIVRSTNRKMTYTLGLPPEM VAAATAAATGPAGDAKSASSLDQAIPDFVVPPEAREPIHLGRTKIMDAIRLDAQHGLA QDGKNDAQIQGRGRGIELVDVGLSRIEFVPQVREAAFDRAVSLMEAIAAKTIAEGQQR KQEILNRTQAEVQKIEGEGKQEANILKGKADADIIDAYAKAIRETGEFYTFVRTLEAY KEALKGDTRLILTTDSSLLRMIKSLDPPGPRPTPGPTADAPSRTAASLSAGK OJF2_RS31985 MESFDDYVRRMRGRRGPSEPWQKLLVYIPWGIGLLLILGLLFDG SYTVAPHEQAVVLRFGRYRATVAPGLHVKLPVIDQVMKVSVEEHGLRLPLGSPSSGEN APGSVEGSRPLEEETLMLTGDLNTASVEWTIAWRVTEPSDYLFRFPRDVGDEGANAFA SELITFVARTVMNRLVGDYSFDEVIGPKRSDIANEARAGMQHILDEYRCGITVTALQM QRVVPPDLVKPAFDRVNASIQEKQKLENEAEAQRNKLLPEAKAARDKLIREAEGYASR RRAESQGEIEALLAKHRAYQRAPELTRQRLYLEAMQDLLIGIKDKTIIDGDLKQLLPL LNLNTKGGRDQ OJF2_RS31990 MGAAFALLPSNFSWSAVAICLFLHWLTGGIGICLTYHRLLTHRS FTLRPRWLEYPLTIIGTMASEGGPIGWVADHRRHHAFSDDEHDTHTPLQGFFWAHMGW WMVVDDTSRHTAAYYKRWAPDLYKDPVLRWIDNYHILFPIALMGLLYAAGGWSWLVWG GFLRTILVLHTTWLVNSASHIWGYRTHATRDQSTNLWWVAALTYGEGWHNNHHAFQTS ARHGLDWWELDPTYWVIKGFSYVGITGNIKLAKVQRKRAAADAEPALNGGTVQSIVDD PNQFVPADDPHQFASVES OJF2_RS31995 MADIVIINPRFEKSYWGMEHALPFMGKSSNLPVACLPLLAALTP AEHSVTLIDETVEDIDWDRVAKADIVGVTGMSVQRFRMMEIIKELKERGCFVVVGGPW ITVQEDYFEGLADVIFVGEAEDTWPRFLAEWKQGLHQYRYEQAEKTDMTRVPTPRFDM LKMNRYAFGSLQFSRGCPFQCEFCDIIVTFGRRPRIKTSAQVIAEFEAILASGQRIVF VVDDNLIGNKKAIKEVLREVVAWQERRGYPLSLFTEASIDLADDPELLDLMTRANFVA TFIGIESPSEDALRETKKFQNVRSGGTLLEKVHRIQAAGMEVWCGMIMGFDSDDATIF DRQIEFVQASRIPFAMTGMLSAIPKTPLHDRLAAEGRLDLSDRPEFGTNIIPLQMTRE ELLEGYLRVLRELYDPVTYFERTDALFLDPDFDFGITKRRRWWRISPSWMQSEALCMA RAIGLFARLMARVPDASLRALYRRHLGRFIKVHRRPGLVFTYVFHMAMHFHVWRLERD MASKEAHLVNSF OJF2_RS32000 MSIPLRATIDDRREAEAGVPPFEPPPWLRNAHAQTVAGRYLLRG DIRVPASRHEIDLGDGDRLCVLETIPPDWSRGDPAAMLVHGLGSCARAPYMARIAGRL AALGVRVARMNLRGAGDGFGLARGIYHAGRTGDVRLALAWLGSRAPGSPIALAGFSLG GNLALKLAGEAAVDPVPGLDCVLAANPPVDLAASAATITRPQNRLYDWNFTSWLRREV RRLHGRFPDLGRPDLRGVRSVRDFDQRYTAARNGFSCADDYYERSSARAWIARTTLPG LVVHAADDPFIPAESFAGVAFPRPLEFEMTRHGGHLGYLSRRPWHGDHRWLDVRFAAW LADRWGLPADRLDLSAPRRTRRITALPGVHTRHA OJF2_RS32005 MPEPSINKYRAAMDVLIRGRDQLVEALAEDVLEQEENFRDAGFQ FHEFLETQGARLHFLGLIMGHLEQSAELVEEQEAQRTRQAAAAKKGDPSLPRKRSKSR NAQSSKPRRQAPPDSEGSIDDIPF OJF2_RS32010 MLRLAQGGRPRPVRARRVPTRPARRRGFTLIELLVVIAIIAVLI ALLLPAVQSAREAARRIQCTNNLKQIGLGLLNYESANGSFPPGALAYFLNGNINSPTF ANNQGPSVHARMLNFVEQASLFNALNFSVPIFNEPVGDLMNSTVTLTQIQSFLCPSGP GPSWTIQGGDSVFDGLKAVGNSYFASVGSSLEFAAQQSGGPPNGPFPYVGTKGHITKL SEITDGTSNTLAFGEWKLGSGQLNVYSVQDVVFNGSFPAGTRRNDGTLNMPHPALVAN FKPWLEQCGQLWRSGGGRHGKTPTLGESWAFGLTGYTMGNVLLPPNAKYPNCNAAASG IANPGVFGLSSYHPGGANILLFDGSVRFLKDTASDPTVWALGSIKQGEIISADSY OJF2_RS32015 MMRIPSHILAVSLLGLAPAAAGEPGPAPDAQRVEFFEKKIRPLL VDNCYNCHSANSGGKSGLRVDDRNGLVQGGDRGPAVVPGRPEESLLIQSVKHADDAPS MPPKKRLSDEQVADLTRWIKDGAAWPSAAVGVDLSKHDPKYDRLRAEHWAWQPLREAA PPAVRDAAWPRGDLDRFILAKLEEKGLRPVGDADKTALIRRASFDLTGLPPTPEEVSA FLADESPDAFAKVVDRLLASPAFGERWARHWLDVARYGESTGGSRNVPYPHSWRYRDY VIDAFTRDKPYDQFIREQVAGDLLPASSQAEKDAHAVATGFLAIGQKDVNQRFKVRFV MDNVDEQIDAVSRSFLAVTASCARCHDHKFDPIPQADYYALAGIFQSTDLCAGVRNKM GGGGLDYYDTSLLITLGKEVKAEEDPALAEKIAKATRAYEDARKEFQKIRGTPEGLAI QPNGRPKQFQFRQKMNKAQAELSALTDPAAKGNVAIGVRDAKQVADTEVRIRGEAEKL GPVVPRGFLSIPAVADAPKLNAKQSGRLELARWLSSPRNPLTSRVMANRVWQHLFDQG LVKTVDNFGVNGDIPSHPELLDYLAAGFVADGWSVKKLVRRIVLSRAYGLSSEARPEN LNVDPANRLVWRHSPRRLSGEEIRDATLAADGTLSRSHPEGSAAKDLKVMELPNNGPL ARTLAEKALASVDRSIYLPLLRGLTPTSLEVFDFAEQSMVTGSRDTTTVATQALYFLN DPFIRRHSLNLAERLLARAELDDDARVELAYRLTLGRGPTPREIERGRRFLAEYERSE AEAIAAGGEGSNGPSDTVVVDDNAATLAATGTNGGAGAGAAKPPIDPDQIIPTDAPVK EEVIRASTPRDAAWAGFCQAIFGSAEFRYVR OJF2_RS32020 MPDFPSLPIVGGLSRRQVLKNAGTGFGYLALAGLLGEGSPRAHA EGPAKPGPLSPKPPHFPARAKRIIFLFMSGAMSQMDTFDYKPTLQLSDGKVGPGGGTL TASKFKFARYGETGTWVSELYPHVARHVDKLCFLKGLHTDTPAHPEAVIQLHTGAAIA SLTRPSLGAWLMYGLGTENQDIPGYITINPPANFGGAVNYGSAFLPAHFQGTKVSDAG YLPNLKAKSRESLQRKQVDLVQSMNRDLGSSPGAPDELDGVIASYEMAFRMQGKVPEL LDISKEPQSVLDAYGVQPGPAGSFARQCLMARRLSEAGVRFVEVSQPGWDHHTNLHKG LISNSKATDQPTAALLADLDQRGLLDETLVLFGSEFGRLPTAQGVDGRDHNITGYPMW LAGAGVKPGFSFGASDEFGLNAVEGRMHTNDLHATILALMGLDHERLTYRYAGRDFRL TDVAGNVAKQIFA OJF2_RS39580 MRSAISLCLHDRRDAVALPSLFARAPFLVLLPFVLAVDILVPTS AML OJF2_RS32025 MMTALLLLLATSSLGPEPTSGTTWRQTDRSLALVTDGCVVWQFN LEDNRKPCFHPLTIGGGPSFTDFRPKDHPWHRALWFSWKEINKVTYWDEDPTTGRSPG VTEVTGVTFTPNRDNSARIALEASYHPPGQPAVLTEHRELAVSAPETSGAYHIDWQSR FTAGASDVVLDRTPIQGEPNGASWGGYAGLSLRLSPTLKTWQFSDRDGPVKALWKRAT WMAFSGSVDGGKSASIVVLEHPSSFRHPTPWYLISDMPYFSPAVIYLGPHTLAAGQSL NLRYRILLQPQAANAVAIEAAWRKYASEP OJF2_RS32030 MRAPSETPRAGSNRRNFLQATCAAASLAPALGVASASAKGANER LGVGFIGTGGRAQAHIDIVMALRERGVAEPVAVCDVYRPRLDAAAKKTGAKAYMEHEA LLADPRVDVVCVATPDRLHAPQTIDAIKAGKDVYCEKPLTHWGQFEVAKTIEDLAAQQ GRLVQVGTQHMADDNYPEIIRLVREGIIGKPMHATCSYFRRGDWGERMPIPDAAARPG PDLLWDRFLGDAPKVPFSVSRFFQWRMYWDYAGGPATDLLVHTFTPVFCVLELGYPDR VFGGGGTFEYNREVPDQCNILADYPGGPSVVMTNSLSNATPADTVIRGTDGIITWGML QGGQEYGVRIVPFGKGRRELIIPWKGQGDTSKLWVDFLSCVKRRKQPLCNIAMAVKVQ APLSMGIVSHRSSKVVKFDQASKTFACV OJF2_RS32035 MGRVNIGVNMEFVRRDDKPFEWGVAKAAELGYEYVEPMVHWGRE LLSEAGYFHSVSMLDDPLRIRRACEKERIKVSGLSAHCPLIKPEISVEYLKQAVRYAA EIGAPVVNSDEGPKPDWTTDAEDHVLMRYTLMEACRVAVPRKIRIGLEPHQQYSKTPA GLDRIYALVDSPAIGINFDTGNSYLAGRDPYAWLERVKDRLVHLHAKDISVEQSNTER GKVTGTPVGCACGDGVIDWQRVVSICKTCDRDIVFSVECGTIDQAARSIDHLRRFV OJF2_RS32040 MGRTTPIYAERDRTYQADTCRPLVRGVQSGEVTLRALARGHYPG HPLTSRSIPEVKSVGYWDAQQPQSWGLDWHRNEGIELTFLESGTLAFAVDGQRFRLHP GDLTITRPWQRHRVGGPNVGAGRLHWLILDLGVRRPHQSWRWPPWLVLTREDRDGLTR LLRHNEQPVWRAMPELRLCFQQIARTVEQVDQGGHLSRLAIKINELLLLVYETLQAHD PVLDEGLTDTARTVTLFLEDLRGNLDVLSEEWTVPEMAARCGLGATRFNDYCRSLTNL SPLQFLNQCRLDAASRLLRESPELGVTQVALRCGYGSSQYFANAFRRRFECSPTAYRS G OJF2_RS32045 MVDFLTITLALVTLIVVVRRIRAFRPMPGIVLTLLAAAWTWANL RDAGWEDMVGGGTPEKLDPVTKAAFWRDWPLEPFMLCLIYFSRYQPRCLEGLASVSD OJF2_RS32050 MKRLRVGVIGVGHLGQHHARILAALPDVQLVAVADSRPEQARLV AGRLGTEALDDYRELLDRVDAVSVAVPTTLHREVAGAFLARGIPALVEKPLAGSLAEA EELVALARSTGAVLQVGHIERFNPALSALQQKPLRPRFIHAERLSTYTFRSTDIGVVH DLMIHDIDLILSMVSAPVQSVSAVGLSLFSEREDVADARVQFEDGTVANITASRASYT AVRKMRIWATEGYASIDFATKQATLVQPSDAFLAGQLGLEGVDTAQPAAVKEHLFGKI LRVDKVETSGREPLALELEEFVRCVRGEARPRVGGEEALRAMRLADQILKSLEAHRWE GEPSVATAPALPPASTSVFRGPHAWRLNSLRRSQASKPAGY OJF2_RS32055 MATMIADTASIDPRAEIADDVEIGPYCVIGPDVRIGRGCRLIAH VCIRGHTTIGEGNTIHPFSTLGGEPQDYSYRGQPTRVEIGDNNVFREAVTVHRGTEKD RGVTTLGSNNYLMANVHVGHDCLLGDRILVGNNTMFGGHIHVESHASISGGVGLHQFV SVGGHAYIGGLSRIVHDAPPFMLVEGNPSKVRCINVVGLKRSGFSAEAIASLHEAHRL LFRARMTPVQATAVLEAHDHLTPEVKRLIEFIERQQQGKHGRGLERAKG OJF2_RS32060 MLTSKRLQRTLARTTEVRGIGFFHGGDVAVRFHPAEADSGLVFA RSDLEGQPSVPARVQYVVPSQRRTTVEDGPARVEMIEHVMAALAGLRIDNCRIEIDGP ECPGCDGSSRAFVEAFDQAGIVEQDAPRQALVLERSSTVREGDALLAAHPGSAEGLTL SYHLDYGADSAIVNQSYLVDLTPASFRREIAPSRTFLLEAEAHALQAAGIGRRATPAD VLIFGPDGVVGNSLRYPDECARHKILDMVGDFALLGMDLHGFVVAHRSGHHTNASLVR RLLQGLEKEQELVPRPPTLPFREDGTLDIQGIASILPHRYPFLLVDRVLKVNAGRSLV AIKNVSANEPFFQGHWPGRPIMPGVLIIEAMAQAGGVLVAATVDPGARVALLASVDQV KLRRPVVPGDQLRIEVSAERIKPTSASVTAVARVDDAIAAAAKIRFVLVDAKLSA OJF2_RS32065 MVMSSRAIMAMGAGAVGLCLVVGPTLGQQAQDPAVRRSANTAPA APAAPAPAVIGTIDMDEVFQKYEKVKASSEEFKSAALLRKADLQKLQQEAQGEAEQLA KLIPGSEDFKKHENRVTELKAKFEAGRELAERDFASREAEAMATLYKEIQTMVARIAK WRNLTYVVKVSNQPVQGSNPNSVMAAMANTMVYADPRNDITKDVVHNLNLIYRKTSGG AAKPAAPAAGAASAPAAGAAAQPEGGN OJF2_RS32070 MPRKEMKVATASNGQSHEGTPEISEQSIRELAQVFKLLSDETRL RILFYLALSENRELHVTDLCHRLGQSQPAVSHHLALLRVSGLIESRREGKHNFYSVRT EHFGDLLLSLFSAAGEVPRNKKYKFHDFILAYNGA OJF2_RS32075 MSTKGRMFAGCTVALVTPFRDGKVDEDGLRRLVEWQVSQGTPAI SPVGTTGEAPTLSHDEHERVIAVVVEAAAGRAKVLPGTGSNSTAEAIRLTKFAARSGA DGALLVAPYYNRPSQEGLYRHFAAVAEATDLPQVLYNIPGRTGRNMEPETVERLCRLA PIVGIKEAAGSLDQVSELVLRTDLTVLSGDDSLTLPMLAVGAEGVISVVANLVPRDVI GLIASFREGRLDEARRAHARLFPLCKDLLGLGPNPVPLKAALSLLGVGNGEIRLPLCP LEGAPLATLEASLSRYGLRPSAS OJF2_RS32080 MIRLGVNIDHVATLRQARGGREPDPVWAAALAELGGADGITIHL REDRRHIQDRDLRILRQTVQVRLNLELAVAPEVVDLALETRPDQVTLVPERREELTTE GGLDILGHRDRVRDVAARFLDSGIEVALFLDPDPAQLHAALDLGVHAVELHTGRYANS AEGGPRGRELRALGEAAAIINGGGVALHAGHGLNYRNVLPVAALPRMSELNIGHSIVS RAIFVGMERAVGEMRDAMNGRPPRD OJF2_RS32085 MDLLSDLTPEQRAAVTHIDGPLLVLAGAGSGKTRVITRRVARLL DSGIAPENILAITFTNKAAGEMRERIEALAPGSRVWVGTFHGFCARLLRSYARLVGIE PGFTIYDQADRLRAVKDVLEQLAGDEARVTPERVESAISRAKNDLVTPQALRRRARDE DEGLTAKAYAAYEEKLRACSAVDFDDLLVHVVRILKENPDVRASLDGRYRYVLVDEYQ DTNLAQYAIVRALSVDHPNLCVTGDPDQSIYGWRGANLSNILEFEHDYPGCRVVKLER NYRSTKNILSAADQLIRHNRDRKPKSLLTENPQGAPVNLTILARETDEAEAVAAKIAG LVREGEYNYSDVAVFCRMTALTRPIEQALRSARIPYQIVGGVSFYERQEVKDILSYLG LMANPKDDLAFARVVNVPARGLGKTSLDHLVKAARDRGLPLLAMARQADQAEGLKDKA VRGFRDFARLVDELRALCDHPAEEVIRQVLERTNYPDYLKANSDDKGEDRLANLDELV SAAHEFELEHPESQVHDFLADITLASPIDRWDEQTGAVTLMTLHAAKGLEFPVVFIVA LEEGLLPHSRANNNDKELEEERRLLFVGITRARRELYLSRSRIRTFRGQQQATFPSRF LDELPLDEMEMNDRSGISDPQYPPPRGNGSGSWTRRPDAYPAPRSSPGGFRLTTAAQL SGSGGGPASPADLDALRPGVTVIHPEFGMGRITAVDGAGPRRKGTVAFATGQSRTFVL AMAPLKPLVRTGGTGPARSG OJF2_RS41440 MADALLAGVNGQGASAPEAHRAVGAAYTLSEYSIDAQSPPFAEG AMGSILMARDEVLGRTVALKAMRREHLARADLRARFRREAAITARLQHPGVPPVFGVG TIEDARPFFSMRLVEGTTLAEQLKASVDRCADRPRFLTIFGQVCQTIAFAHDQGVIHR DLKPENIIVGDFGTVYVIDWGIAGLIDAAHPLPGREPAGDRPLAAELTTAERPPAQEP GAGADGADADCSLTAVGDLLGTPQYMAPEQARGGVHQDERADVFSLGALLFEILTGEP LRPVATVRAGWLNAFSVEYACTVAPRLSAARADAPVAALAARCLEPDRERRPRDAREV AAEMTAYLLHVLRRPEREMARFFELSPDLFGLASLDGYFRRVNENFARVLGYQGDEIL SRPYLDFVHPDDVEQTRRQMVKLTEGKPVVRFENRYRDHRGEYRWFEWNAQAVPDEDM VFAVARDITGRRNLERRLLGTVESSPMGVVIVDRSGRIVQVNREAERLFGYDREELIG RPVEMLVPARFRGEHEGRREAFLASRESRPGRGREVTGLRKDGREVPLELGLSPFDTE EGTFVTAVLCEAGPRGTRAGWLLALLRSHPEAVLLVDEHARIGLANERAERLFGYGSG ELAGRPIADLVDGFRPDAPAALLEGRARDGARFVVEVRPVPTPGGAAAVAVRLVAAAV DDPAALGRGEESP OJF2_RS32095 MEILGIGTDIVECPRIGKMIEQYGELFLRRVYTEREVRFCQSRK HAIEHFAGRWAAKEAILKALGAASIRGLSWTDVEIRTGNDGQPQVRVRGAAREVAIER GIGDILVSLSHCRTYATAHAIALAGRPGRLATAEPDPA OJF2_RS32100 MDRDRFEQAYRERPPWDIPGPQPALVELAEAEAGAVRSPVLDAG CGTGENALFLASRGHDVLGLDYVEAAIEKARAKAADRGGSARFEVGSALELDRLGATF ETVIDCGLFHTFDDDQRRAYVESLRAAVRPGGLYVMLCFSDREPAGQGPRRITQEEIR EAFRDGWVVESIRATRFRVTDHPEAWTFSPGGPHAWLATIARAAAPES OJF2_RS32105 MRWPPLVPLLIAAVAGIVADRTWDGCTTRSWIDLAFVAAASGLL GLRRPRISSAAMLAAALCLAAGWHHARWSDRAADDIGRLADDTPRPAWLRGVITDVLG RHRTEGYGAGEPDREVTRMFLAVTQVCDGRRWHAASGNVAMVAAGDRTDIQAGQPVEA AGTLSRVAGPLNPGEFDYRAYLRSRGVDAHLFVDGPGGLSRDPTSAPWPWTARLARAR DACRAELESHLDGPTAALASALILGRREDIDPADVDAFSLTGTTHLLAISGLQLQALA LFLAWLLRLASVPRISAYAAIAIGTVGYAALVGLAPSVVRSAAMTLAFCVAGIARRPS RPANTLALAGLLCLAWSPFYAFDVGCQLSFLAIAALIWLVPRAREGAAWLRDRLADRF RRRPAEIVALEREFEPRWRRWPRRAARGIVQGMILSAVVWLAAVPLVALRFHLVSPIG ILLNIPLIPITTAALLLGASGLGIGLTGIPGLGVLLIRLAEWLLRLTEIIVRWGASQP WGHRFAAGPAAWAVAVFYLLLMLASIAFAAPVLLPGPMRGRQAALLAAAAAVLIPGWL LVGLRIDPPPIEGDVLAVGHGLAVTARLADGTTLLYDCGRMGDPRVGRRIIAPALWSR GITRIDQVFLSHADLDHYNGLPDLLDRFAVGEVVVPPGFGGEANPAASSLLDELRDRR VPVRVITAGESWRRSGVTFAVLHPPAGFPPETSDNARSLVLDIEHGGRRIMLTGDLDA EGTAALLQGHRPEPSPDLFLSPHHGGRTANPTRLYDWARPKAVVVSQRTPQFGTKDAL EGLDGRAMPVLRTWQRGAVAFRPTRDGLTLAGYLDAPATAEAATMAGGAAVLLGVFPP AAWPIAGITGLAIGLLGLLIGLAACVLMAIVEYGAWTLVVPPRPRVGDDEEGPRPRVG RRISIQAADGTRLSGRWHPASDRPPSGRTAVLIHGFAEASSQIQAARVAALNAGGWDV AAIDLRGYGESDGAYASFGGREAGDVSRWLDELARLVASENPQGSFVPVLWGRSMGAA VAIRAAAEDCRIRALVLESPMVDLDDAMRVWFRSRRFPASRFLARLVTRRAGRIAGVS LTRPQPIELAPRVECPALGIHGEADRLVPSAAVRSLLSALRAGDRLIEVPGAGHTDVI AVGGEPLLGQVVAFLDAASAVGPGQATG OJF2_RS32110 MERRYPCGGVKRRGFLAAAASAPILGAMPGTGLLAADGTPQPPS QPQIRTTPSHAGADVKAASKLGVPGLYPGRVVEVRNKAMIRGGVKDRGAIKSSLEKGL MRLTGAPGAPDAWRTFFEPGDVVGIKVVPNGQPYAHSSFELVLEIIEGLKSAGVKPRD IFVYDRYREEFLGAGYDKILPADIRWGGLTAEGGDQFQVDFPSYRSDPVAGYDPDAFV WMDLIPTGDDPKDDRKFRSHLGKLVTKVVNKIIGVPVVKDHGSAGVTGALKNMSHGSV NNVARSHSNSSTNVCNQFIPQVASHPTIRSKFVLQVMDGIRAVYQGGPFAWADSKGKW TWENNALLLATDPVALDHVEWDMVDARRVLEKLPKVAACGKLAADPFGKEGFDVRQPQ HIALAGALGLGHFDYKSPRGRRFSIDHVVVDA OJF2_RS39585 MLTLAAAGRPALEARQESPKPAGPPEAKAANANAEKPADLPHAI GADEESRPQDRRPKNPPPAGMYSTKAEAVKAIDKLFEAYDFKPHGQPPVPDDPPPHEG AMIDYPIVIQPPDLLLVEVLEALPGRPISGERLVKPDGTVSLSWYGDVHVAGLTVEQA RVKIIRHLRTHLSDDGLGLLQSSPWEEDDSLPSSAAGGSPPAPAPPADADPARKPEAG ASKGISGPPARNIRRTSQAGEGRRAEPRQPSRRPAKAAVGRFVASHQEPDEKKAEDSS KRVEVPLSAGEKATITITIDVKSEGKPAQPKEEDAPKVRDLPDDPDSESQGGIRVFPP ESSKQVFVDVTRYEADNYFVLGDVAAPGRLPCTGRETVLDALQYGGGLLPTADPKDIR LVRPARGGKPAKVYKVDLEGIRDRGEITLNYQIFSGDRLVVGRDGTVQKTVQLDRLAA PLSTISDAILREANALRSIQTLDPARRDAIMRGLVDFWSREMTRPEGAKIDDAILRDV LLKAFEVNPNEAKPK OJF2_RS32120 MHFDDSLPARPATDVRPGLDDAPWWRGVTSYQWFVFVVASLGWL FDTMDQQLFNLARKPAVTKLLDATPGDPATALRVDEFGGYATSIFMIGWALGGIVFGV LGDRIGRARTMMLTVLCYSAFTGLSALSRGFWDFAFYRFLTGLGVGGQFAVGIALVAE VMPDRARPYALGSLQALSALGNMLAATCGIVLGRMEEAGTIGSAWRAMFLIGLAPALL AIPIFLRLKEPERWKAAAREEEADASLAGGPRPAHKLGSMSEMFGDPRWRRNTIVGMV LAFAGVVGLWGIGFFSFDLIRTVFRKHFEAQGLPAQQIAGKLTLWTGIASLVQNAGSF LGIYAFTYVTARIGRRPAFAISFLLAAAATSATFWLLDDLWQVFVLVPIMGFCQLALF GGYAIYFPELYPTRLRSTGTSFCYNVGRLVAAAGPLTLGLLTSRVFAGYVEPMRYAGI AMCSVFFLGLLALPFAPETRGQPLPE OJF2_RS32125 MPTEANETLATPDPDGSTGPGPLGELWAWLPRLGAREVRLAGRL RGWSGAEGPPAWLARLRDELELDLEIGAPELVWRASGLRRPGLISQLRWPRLGTRLGV GLEVALAHAVVDQLLGYDRPLAESRLQLSPVEWGVWGYLASRALESMADPAPDAAGRP ASELRLDRVGPDPFDPTGLGAMVTVLWAVRAGSTTGTARLWVAEQALGEMLDAGPGPA PATRPLAPGRAGSLASLWVARAGSVAMPLGLRRLRVGGVLPLADSRLSGTPQSPSGPV RLACDLSGSGERLAFPAEPVAGSGGRLVRLAGPLEREPRPREALNLGTNATMTTDPRP APSAGGATPNPDVDPLDVPVTLAVELGRVNLPLSRLADLKPGDVVELGRHSREPVELT SNGRLVGRGELVLIDTELGVRVTHVFL OJF2_RS32130 MESTTPDTSPPVVDAELALRLSALAWGPSGMDAGRERAASALRR LRAAHRAQARPDLGRVHPSWLERALQDESPSVRRLVRDGGPARSEAAAWALALWTERL VGGEPPDPSEPAAILALAGLPPSGLYRLVVLAGIAKVALAGDPRGMLAGRPAWAARGD WFASRLGGALGEGEGRPRAWAARDIEASPRAAARTVAEAGPRRGLASLGLVTLARLLS ACDPHRVRWALQHVPYPVAKRLRGLMAASPEVAAGVRDIETLILESARERSAQEQARP SAGPRHQGELHAD OJF2_RS32135 MPTALIVEDEPEANKLLGMLVRLRGFQIRSAFTGKEALRQVEAA RPDVVFLDLMLPDLNGYEICRALKSDKETSLLPLIIVTARIADENRVESFCLGADDYV SKPYTPDHIFQALERAGRWDALCHQEVVRGEIPFGRHDEAETLRRLGQLRSVTFARSP LALRQVHEINDAIKLAWCTAFECIDDRPGEPCLVLSYTLDRDRLELRFRSQETCIRRI AGLAQDPASSIYLAAFDRVAVDDASASATLTKSFPHD OJF2_RS32140 MEQRRHTLLIVDDEVDVLESLRHQFHRTYRVLTATSGDQAVSLL EQNQVQLILTDQRMPGMQGDAFLSRARRIQPDAIRMLFTGYADIQAVINAVNEGHIFR YILKPWDTTELEGIIRQAADQYNLLAERKRLIAELQAANAQLVQANEELAQAGQLKTA FIEVASHEFNTPITLVLGLTELLRLSNPERSDDDTEILRQITASGRQLARLVTNMLTL LRAEDFRRTLQRSPVDLADLIRGVLDQIRPFLVVRQIQPVLHVAPDLGTFEIDADKIS MVLVNLLTNAIKFTPDGGTIELSARLNGEDEATISVIDHGAGLEPQALKHLFQPFFTQ FDPSRHSSGDFGFNKRGLGLGLSIAKQFVEMHDGRIAAESTPGQGTRFTIEIPRRVSP AVADRASSPPTPAPYQEAST OJF2_RS32145 MPSPKHCLLVVDDEPHVCDSVHDLLRREFRVLKAHSAAEGYEIM QREEVHIVMSDQRMPQISGVELLTKLKARHPGAIRMLFTGFADLESVIAAINQGHIFQ FLRKPWQPEELLDAVRQAAAEYDRLEVAARERDELISEIRDLKSRFVALEAEVRRISA GHAPPGMDPVPAGGPDSPDSGEASN OJF2_RS32150 MTSSPDIDGREMAPAPGPSDTPCADGARTTPGGPAGSLSARALC RLLESSPQPFAVADLEQRIIHANRAYGELLGYAPEDLLGLRVAELTASQPHDMTRRQH EDIVATGRNRRIVKDYRRRDGTLVRVEAMMDVFRDDEGRPAGVYCFATDISERIRAEE ALRVSAEQYRELYDKAPVGYLEMDRTLRITKVNQTACELMGCEDGSLLGSSVLDLVEP DGRGALADALREKLDGMRPLLPYEQKVRTKDGRSLVVEIQERERRDGEGRVAGLRSVL QDVSGRKEAEARLIESERRARILFDGIHDAVFLHDQRGRILDANPAACRLLGYSRDEL MSMTTSQIDSPEFAAGFEDRLRRQLQEGELSCEGLHRTKGGRLIPVEVTSSTVRIDQQ TAILSMFRDITERKALERTRREFAAAQMRNAHEMERKNRALSESESRYRRLAEASLDG IVVADEGGRITLFNPAAEKMFGHDSGDVLGRPLDDLIPGLGDPGDPGPDRDVEEAAGA ARPIFAGVGKTVELLGRRRDGGEFPLELSLSIVEVDGRPQYLGSIRDQTERQRMRAML AHTDRLASIGLLSAGVAHEINNPLAYVLNNLAVLQREVKDLRNLIGLYESSREALAGA DAAALAKIDEVAEEIDWAYLRENLEPMVERTRAGVQRVANIVGKMRGLARTTLPEWQV VPLCELVDGALEMMRGRLKHRRVDVAVRLGEVGKIECVPDQITQVLLNLLINALQAIE GSDRQEGGRIEIEASLSGPWVSVAVKDDGPGISPEHLDRLFDPFFTTKPVGEGTGLGL AISHQIIAGHGGRIEVEGRPGGGTCFRILLPRRSRRGAGPEASPAHSSTQGA OJF2_RS32155 MPARSSRPGFTLIELLVVIAIIAILVALLLPAVQAAREAARRSQ CANNLRQIGLALQNYHAAVSSFPVGFLYPTGKVPPTTSPLQYRWSALAQMTPYLEQSS LFHAINFDFPLAYRPPAASPFWPFYPANTTAMATRVGTFLCPSDGAQAPSEDSGPTNY AFCTGDGSGGGDATAADGTFILGPAISLAALTDGSSSTAAASEQLLGIAGPYSQTTPT PIPAPTARAFARVAAGPLTDEACAGAPSGWLLNKGAGWWDGNYLNTLYNHHEPPNSPR PDCITYHNPGWKAGRSLHPGGVDALFCDGHVSFVRDGIAPATWRALATRSGGEAVSSD AF OJF2_RS32160 MIPIDVKAWRLFSFALLAGAAGAAALADEAKTRTGKEALGDYST DAPGVRRKITTADLATPYDTPSANNHPHVVRRPEGAWPKAPAGFEVTEFATGLREPRV IVRAPNGDLFVAESGGNRLRVLRDSDGDGKPELSEVFATGLERPFGIAFFPPGPEPTH VYVGNTGSVVRFPYKNGDVKATGPAEKIVPNIPTGREQVGGGGHWTRDLEFSPDGRTL YVSVGSRSNVSDDSSENRRADILAFDPDGKNERVFAWGIRNPVGLATHPVTKEIWTSV NERDGLGDHLVPDYITHVQEGGFYGWPWYYVGPNEDPRHAGKHPELKDKVIVPDVLVQ SHSASLDLAFYDGDQFPAEFRNDLFAAEHGSWNRARRTGYKVVRVPFKDNKATGEYED FLVGFVTPEGNVWGRPVGVAVAKDGSLMVTDDGSGTVWKVTYAGKK OJF2_RS32165 MPPPPASRPGPDDYAAAPGAYVSLVPEGDILASMEGGLASTLAT YRGLADAAALAVHAPYTWSLKQVLGHVIDCERVFGFRALAIARRDPSGLPGFDENAYM RSVDFDAIPLAGLVDEYEHLRRSHLAFFRHLPSEAWTRAGVANDERITVLALAYVIVG HERHHMRIVSSRLARA OJF2_RS32170 MGDYLRLLTINDREVPLASLQRAVPFGAIWSVDHPGTLGNYLAL GPDLHDLHGVWATVERNPVGPNTLGAEEVAEFIDSLESGGPPPAVRWLSDYLETIRAI YAIRIYPESILKHPDALNAVFAVRSALHDAVGGIGQWDDHGFTNEDDHLIWCSPQMTL KGTTQAAMLDESTGEWISFELDLDDPEELNAFIRGEVPRPRRRGAGRPSS OJF2_RS32175 MSLTCYLLPYMEADGAANMALDEALLERVAAEPGAGYLRTYGWS PATLSLGYFQDLDEVAADPRWRDAPLVRRATGGGAIWHDRELTYSLALPADHRGSQPG PWLYRAVHASIGAELRARGLDARARSEAGPHAPPAGAASGRPDRPFLCFTDRDPEDIV AASSKVVGSAARRRAGAVLQHGSILLRRSERAPELPGICDLIEADPRPEAWAGPIARC VAAALDLTLVDPGGPLVGSLHERACALEQAVYRDPAWTGRRSPTARRRAAARDG OJF2_RS32180 MKVQLVVVRGKPEGKVIPLTAPKFKIGRGETCNLRPISEQISRE HAEFELQGETLTVRDLGSRNGTLVNGKALTSGPCTLHDRDLVQVGPLTFAVAIQQTKP AAKPSAAPAASKPASAPSPDDISTDDIDSWLLGERGVEPDQATAVYGGDTITIAAFKD AQAPAPPAKPAAPQPNKPPSVADDSEYERQTEEEEEEQEEEEEIAASGGDDESEEAEE EAEESGPEEEFMDPSNPFYAAKKAQKEKEGAKPSAGQAGAANQQFKDSSDAANEILRK LMERRRASKS OJF2_RS32185 MANDDPSLADRMMDGSATAAQEGLERLIGRLEHLVVGQRGLLER LIVALLAGGHVLIEGVPGLAKTRAVRTLARALDLPFRRIQFTPDLLPADLTGTQIYRP ATGTFDVRPGPIVTSVLLADEINRAPAKVQSALLEAMQEGQITVGDETIVLPETFWVL ATQNPIEHEGTYPLPEAQLDRFLMKLVVSYPDRAAELAMLELPDVAEASHVESWSGDE PALFSPAQVLAFRKRTESVRVAPAIKEYVVDLVRATRDPAAYGLGLAPLLELGASPRA TIALIRAARAHAFLSCRDYVTPHDVKTLARDIMRHRILVSYEADAEGLSSDDILGRIL DHIPVP OJF2_RS32190 MRQVLFTIPFLGWPVFGYGAMLVLAFVSSTWLAAWRARKEKLNP DVILDMAFWVFFIGLVAARLFYCVQYWGTEIQSLAEVVKYWKGGIVYYGGIIGGVLAF FGYWKLHPFPMRPYLDALSPSIMVGTLFGRLGCFLNGCCFGDVCNLPWAVSFPKPSPP WSYERALKLIPEDAAWSLPLHPTQLYSAFDGLVIFALLTAYYPVRRRDGEVLGLLMLA YPVTRFLIEYLRSDEGDFFAGFTISQNISILLFLGGLAYWAWLRRYPPGRYADEAAGE GLEKPAVATAAAR OJF2_RS32195 MRLTVLKSKLHLATVTRSDLYYHGSLTIDPDLLDAVGLLPYEAI LVSNVATGLRAQTYVLPGRRGSGSIELNGAMARLGAVGDRVIVMAFAELEPDELEGYQ PRVVALDHDNRIVERVEYPPISQACAPGFFSMAEPG OJF2_RS32200 MAEGSSGLEVVTSVEGVREAVARARAAAGGGRVGLVPTMGALHA GHAHLIERCRELADHVVVSIFVNPTQFGPTEDLARYPRTLERDLEVAAGAGASIVFTP TEEVIYPRGKAATFVEVPGLSHVLEGAVRPTHFRGVATVVLALFEIVRPDLAVFGRKD FQQQVLIRRMVDDLHVPVQVVAEATVREADGLALSSRNRYLNEEERAAATVLHRALQS ARAAVLAGERDAGRVRQILDEAISLERLARLDYAEVADADSLEPLVTIDPARPAVALV AARFGSTRLIDNLLLAE OJF2_RS32205 MRDAFKIELKRRGVAARTRAYHASRLDQCEHGPVVVIYPQGIWY GRVTPGDVPRIVEETILGGEIVGDLAIDETCLNNPASPHRRGPAGSS OJF2_RS40185 MSSKDADKGKDKPKDKDKDKAKAQGGAPKGDAKKADAKPKEKKA KEGAEAAEAKVEKAPEPPRAPADPRMKYIKKFHGKFLPRGPLRDRHKDLMTKWNSGEE HGGVTVEQLKSLFDDWKASREKPSKKKATV OJF2_RS32215 MPVPSRLLAGTIALPLLLLGAAPADDSPLRPAQESIRPERILEH IKVLSDDAFEGRGPGTAGEEKTVEYLVGQLKAMGLKPGNPDGSYLQNVPLIGFQATRV TGSFRAGDAAIPLEFPRNFVAVPGKPGEQPKLDGSDVVFVGYGVDAPEYGWDDFKGLD AKGKTLIMLVGDPPIPDPKDPSQLDPAMFKGRAMTYYGRWTYKYEIAAAKGAAAVLLV HETGPAGYPFSVVQGSWSRENFDIVDPKAGQTPKVDVKGWIDLPTATELFRASGQDFA AMKAKALSRDFRPIPLDAKATIAIEARSRLVQSHNVIGRLEGSDPTLKDEFVVFTAHW DHLGRDPELKGDQIYNGAADNASGSAAVLEIAHAATKIQPPPKRSLLFLFVTAEEKGL LGSKYYASNPLYPLTKTLADINLDVVNLWGKTSDLISIGMGQSSLDDLLVEVAKGYGR TVGPDADPEKGYYYRSDHFEFAKQGVPALDPKGGETFPGRPDDYGKQKADEYTAKDYH KVSDEIKPDWDLAGAAEDLKVILEVGYRVAQGGSFPEWKPGSEFRARREAMLRQSGR OJF2_RS32220 MTRTDAARAADLVVLIPIYNDWASFRLLVGRLDEALMRRPHSET CRVIAVDDGSTEPPPSPFELPALRRIEAVEVLRLRLNLGHQRAIAVGLCQVEARCPCR AVVLMDGDGEDSPDDVPRLLDRCEAAGGRKIVFAERVKRSESLLFRAGYLAYRLLHRA LTGIPVRVGNFSVIPAPLLSSLVVSSDLWNHYAASVVKARLPHDLLPTPRAKRLVGQS RMDLVALVTHGLSAMSVFGDRVGVRLILVLGLLTALAVVALAATVTIRLSTSLAIPGW ATTASGLLVVFLSQLILLMTVFVFVALGGREGSSFLPARDYAYYIAGIDRIFPQHE OJF2_RS32225 MSEYDYAGEELELFAGAVRWKAYCRERLARFIRGDVLEVGAGIG GTTAAYCDGTQASWLCLEPDARMAADLAAKAAGGAFAIRPEVIAGTLAELPQDRRFDS ILYIDVLEHIEDDRGELARAAGHLREGGHLVVLCPAHDFLFTPFDRAIGHFRRYNRRM FRAIAPPGLTLEVLDYMDSAGMLLSLGNRLLLRSSMPSSSQIRIWDRVFVPVSRRLDP VFGRRLGKSVVAVWSRRS OJF2_RS32230 MRFTRILPFCLLAFAGRAEAQPAQNGDWPRVGNDPGCMRYSPLD QINRENVARLKPAWTYHTGEIESRVGKTIECTPIVVEGVLYLTTGYLRVVALDAATGK ELWQFDPLRDHPAGRLLASGGVNRGCAYWSDGKPGGERRIIHGSADGRLFSLNARDGK LDPKFGEGGIRDLRKELDPKYAGLNYGPTSAPAVWKDTIVLGLSNDEGPGLAAPGDIR AFDVRTGAQLWEFHTVPRPGEFGGETWATGSWKDRGGANAWGGISVDADRGLVFAGTG SAAFDFYGGDRIGDNLFANCTLAIDARTGRRVWHFQTLRHDVWDHDLPTYPNLVTVRH GGKSVDAVAQVTKTGFVFLFDRETGKPLFEVKDVPVPASDVPGEKAAATQPIPVKPPP FAAQVLDESNVTDIGPANRSFVLERLRQYRHGTAFEPPSARGSVVIPGFHGGANWSGA SFDPATGRLYVNSNNVPNVIILAGTKPEEKARLGPYRHTGYVQFLDQEGYPAIKPPWG VLSAIDLNAGEIAWQVPLGEIPALTARGIPRTGTETFGGTIVTAGGLVFIAGTKDEKF HAFDKATGQLLWEHPLPAGGYATPCTYQVGGRQYVVIAAGGAGKLRTRAGDAFVAFRL PD OJF2_RS32235 MVAKTGDKAEGMMPEADASTTGGEAIRAGSAGAWRAWLIGLVYW ISLLPITSPPRHSGNVWSRYMTIESLVERGTLAIERSPLLAISGSPDQVKIGPHLYSD KPPMLSAIAAGLYAPLHRVAGWKMSRPGDFSAVNAALVWGIVGLSSALALAGLRRLLG LSPVRPIVADLLTLAFGYSSLLLSYGVTFNNHSVAAGLITWALAIVATGARGARAWRG EFAAGFLAGLGATIDLPAGGFVTAALAVWLAARRRGLPLAYLIGCGGPLLAAAWLQLR TSGSPLPVEMNPRLFDYEGSYWTTGPGRWVERGPRWRFGLELLFGPQGWLTVTPALLL GVIGAGWVASRRGDPMRPLGLVVLATILVLAGYYTWGVRRTDFAGLSYGTRHLLAISP ATFALAVILVGRSRSKAVCAVLALLIAVGAVYAIAGMKDPWSRIERRDDSGLRLVKSL ALYPWSSYAR OJF2_RS32240 MNSPSENETGARPWRRWPILVLLAILAFDAWYRAHTFAAQLPGP LGSLCPAAKGPSEPLDCDETAYAYMGHRMLRGDVLYRDLAEHKPPLGYWIYELAVALG GYDELAVRLLPLPFVLGTITLLWWLGLRIAGPSCGCLAAAIFAVASTDPYLYGNGSNM EHFMNFFSVAALALFVRGRAGHRRWAIAAAGACVGASALVKQVAIVPAAVFAVAVLLK PGEGEADARTPAARLLDGLIFGIGLAAAIGLAALALWLQGAGISAIDEIFRYGPALAT DTLPEPNAPPGLIRWLTGNAAPDGKLPWPFGRTDYLVWWGTGSWPIWLATAAGVAYLL TARGVSSTRRLVAAWTVASALQVILPGLYWQHYYLLVTPGIALTTAVALVDSLGPLVR STRRTGSVPRAGAGRIAASIFAAGSLSLAIAATVVLQVRCYLLVPPQELTVRYKGGGQ WVALRAWGEELDRRRSVWADPRLYIWGYQAPLHFYGKLDGVTRYFFVDNLLRDQAERD HPLIRPRIEEIVASLRAKPPSLIFVGYPPFPALRAFLRERYRPSSLIPGGNGMGLWVD GEHHDAFEAAGSRGRAL OJF2_RS32245 MATAPASPLPDALVTAEEFAARPDPGRPEELVEGRIVPMTVPKP RHGYLCNKAGRILGNFCEEHRLGWVFNNDTGVITKRDPDTVRGADVAFYGYARLPEGE LPDGYPDVAPDLVLEVLSPSDRWPKVLTKVAEYLDAGVSAVLVLDDERREARLFRGDG TIRVLSAEDELGIPDILGAFRITIGRFFD OJF2_RS32250 MRVTLVTETYFPQVNGVSRTLGELVKHLLERGDEVQLIRPNYGA EAERTADREGDGTDGLRVLRVKSIPMPFYMELFLPLPPFGPARRAIDEFRPDVVHIAT EATLGLAALRHVRRRGLPVVSSFHTNFDQYSRHYRVGWSKGIVCRYLRWFHNRTRETY VPSPTTIGELTTMGFERLVLWPRGVDGTLFRPDRPGRAAVRDALGFAPDDTVISYVGR IAPEKNVGYLAEALAQVAARAPGVKVILVGDGPSRPELERRLGPIARFVGYRTGEDLA DHYAAGDLFAFSSLTETFGNVVLEAMASGMPVVALRAGGVGDTVREGVNGLLVEAGDP PSRFAEALLSLVIDPGRRVAMAHAARAFALTQTWDAIMGGLRDRYEAAIRPEAGVVAL AAGR OJF2_RS40925 MSFEHLPERQARLAQDLYEELRAASDADIRAMAELLATKPDDEL FGEAEFQLRDMVHRVGAKALQAAAMQRKKGGM OJF2_RS32260 MESSPSGPRGESRRSFLKLAAAAAFPAIVPASALGRGGKVAPSN RVTLGVIGTGNQGMNDIESFLKDDRVQVVAVCDVNRESPGYWDGKVGGREPARRLVEK HYARHKPSGEYRGCDAYVDFREVLGRKDIDAVEIATPDHWHAIPVLEACLAGKDIYCQ KPLSLTIAEGRAMSYAVNKHRVVFQTGSQQRSDPHFRRACELVRNGRIGDLKVVRVGL PGGRPDFGKTGDRKKPEPVPPGFEYDRWLGPAAGAPYAPARCHVNFRWIYDYSGGQVT DWGGHHPDCAQWGMGTELTGPVEIRDARAQFDPDPLWNTATAFSFEAVYEDGVRMIVS NENTMGVTFEGTKGTVYANRGKHTADPASILDSKIGPGEIHLYESDDHFRNFIDCVIS RGPTAAPVEVAHRSITICHLGNIAMRLGRKSLKWDPRTEQIVGDDEASRMLGRPYRDG WKLPAV OJF2_RS32265 MKSNPVIRALRAGSPQIGTWLSLGSVGAARFMARAGFPWLTVDL EHSPTDIQTAATMFGAIADAGCVPLARVPTGKHEWIKMALDSGAMGIIAPMVMDADEA RAIVAAARYAPRGNRSVGGGFHAINYGATADEYYSRADDEILVVIQTEHIKAVEIADE IYSVPGIDAIFIGPNDLTWSMRAPDGTFPSKEEFEATLARILAAAKRHKVPCGLHVLT AQDALRRAEQGFQFIAVGSELKFMLDGAADAVRRINPSAAEEDLAKY OJF2_RS32270 MRKAPRIALALGGLACLAALAGSQRLGRPDRRAAYVVRFSPAGD RVAAITADVESRQGRLQVWDATTGRPSLSVATGDLLLSLAFAPDGRAVAVGGWGGAVE LRDAADGRVLRSFAGHSTRCAGWPSCPTVGGSRPGPPTAGYSSRT OJF2_RS32275 MTSGELTREFRRGMRQPVNQMAVSADGRYLATAGGIGAGSVGVW EVETGREIAVTSLASAWLSIAFPPGTGVLAAGIPGPSLTSMPTASLIDIEGDRRVASI PIGLARCLAFSPDGRLLAFGGDEEVVAVRDRGTGRIVATHDGHRHADSPVAGRVRSLR GGMGLAELPRANSAWSLDFSPDGGRLASCGEDGSVWLWGVPRADGTRAADRNILPTPG VPARLMPHQVALAVAALAMLAASALRRATSAALHEIGRRGGKSADQASSIRCSNGGLT RSGRWTMPASSATCKPEST OJF2_RS32280 MAESSGKPVAVVTGGAQGIGAGIAAALGEAGYAVVVADVLQELA GETACQLAGRSVEVAGVRLDVTSADEWARVMREVDARWGGLDVLVNNAGISPRGTIES TDEALWDQTMAINLKGAWLGIKAALPLLRKRKGTIINIGSTRATRPMPGLFPYVISKA GLYGLTRQVANECLAEGITCNMVAPGWVDTPNERKIQARHGRPDFPAGIRNLTTPEDV GAAVVFLASRHGRKVHGDILYVDSGLHVADDAGMVHLPDRVRPPFEQRIEEA OJF2_RS32285 MMRSSRNRTAAMLPFAATLATAALLLLTAARSEAADPWLAIKGG DGPGRGKHIVLVSGDEEYRSEEGLPQLAKILARRQGFDCTVLFAIGEDGTIKPTRTDH IPGLDALKEADLMVILTRFRDLPDDEMKAVADYLAAGKPVVGLRTATHAFNIKGGRKY ERFSWQSKEWDGGFGRQVLGETWIAHHGDHGHESTRGVIAPGAESHPILRGIKDGDIW GPTDVYQVRLPLPGDSKPLVLGQVLSGMNPDDPPVAGPKNDPMMPVAWVKTYAGESGK AGRVFTTTMGASQDLAAEGTRRLVVNACFWALGMEDAIPAKTDVTIVGDYKPTPFGFN KHTPGVKPADLLKD OJF2_RS32290 MDVPAGLAGSGRAWGRNEDDASRRAPGWPGDPPRWTTAAKQGIG TAAANPPHGTNLAWFTLACGALTEVYYPRVDSAVLRSLGLVVTGPGGFASDERRDASH RLEPPADGVPIYRLENACRSGRYRIRKEIFTHPDHDAVIQRTEFEPLHGGAAGYRVFV VLEPHSGGEGEGASVWLGDARGIPLLMADAPGGSLALACSSPWGEATAGFAGTSDPRE VLAERGRLSRRFEAAGPGNVVLAAEIDFASRGGDFVLALGLGRDPDEAGHRALATLRL PMEEARRRYVRGWRDWHAAITPPPVPEGVRDLSRISAMVLAAHTGRVVSGATVASLSV PWGEARAGDEEGYHLVWPRDLCEVAGGFLAVGAKAEAARALRYLEAIQGADGHWPQNM YVSGAPYWEAVQLGQTAIVLVLLDHLRRDGAIDEAEVARLWPTARRAAAYIVQCGPST QEDRWENERGYTPFTLALVISALLIAADLADERGEPAAGAYLRASADAWNASIEDWLY VSGTGLARSMDVDGYYARIIAGGGDVRVSDVEGGAETNIPTSLDREFAPDEVVSPDAL ALVRFGLRAPDDPRILNTIKVVDALLKVETAHGPVWRRYNGDGYGEHADGTPYDGHTR GVGRPWPLLTGERAHYELAAGRRGEAVRLLSAMAAFANDGGMIPEQVWDADDIPEKGL FRGRPSGSAMPLAWAHAEYLKLCRSIRDGRIFDMPPRTARRYLEEKVRSDKALWRPEH RREFIPAGATLRIELPSPAVIRWTHDAGPLARQQATRDTTLGVHVADLPTAALAPGSV IRLSIEQGGEEGDEARVVVEEAAGAGRGS OJF2_RS32295 MKSKLIHEAQGERTFVLIFNTGDQVMPNLLSFAKERRLSAARFT AIGAFRDVTLGYFDWEKKDYVKIPVDEQVEVLSLIGDVALKDGEPAVHAHVVVGRRDG STRGGHLLDAHVRPTLEVTLVESPAHLRKEHDPESGLAIIRL OJF2_RS32300 MDVIAKPSEPQRKLNVFRGIQPFARDDDRGGAVRVNAIHEDEGA IRAMADRLQRAGYGVLRERSPRAGRVYYRLNATWADDGEPPADPLAGGG OJF2_RS32305 MTTMLTTAGTRQRRLSDISPSDASALEAALRASVRGEVRFDAGS RSLYATDGSNYRQVPIGVVIPTSVEDVIATVAACRAHGAPVLSRGGGTSLTGGCCNVA VVMDFSKHLNRVLWVDPDRKLARIQPGTKLDHLRLEAEKHGLTFAPDPSTHAYCTLGG MIGNNSCGVHSLIGLGTGRTSDQVDELDILLYDGTRLTVGPTPEDELGRIVSGGGRRG EIYARLKDLRDRYADEVRRRYPEDLPRRVSGYNLDDLLPERGFHVARALSGTEGTCVT VLEAQVHLVPSPRSRSVLVLGYPDVYQAGDHVAEILEAKPMGLEGIDDVLVSDMKKKH IHPQDITLLPEGEGWLIVEFGGANRDESDAKANRLMERLKGLENPPSMKLFDDEAEEE HLWKVRESGLGATARIPGAPDAWEGWEDSAVPPSKVGPYLRDLRGLLRKYGYGCALYG HFGQGCIHTRIDFDLKTAEGVETFRRFLDEAGDLVVSYGGSISGEHGDGQSKAALLPK MFGGDLVRAFGEFKAIWDPLNRMNPHKVVDPYLPGENLRLGPHYHPPQVETHFKFPDD KGSFAYATERCVGVSLCRKDEFGTMCPSYMVTKEEKHSTRGRTHLLFEMLQGDPMKGG WKSEPVKEALDLCLACKACRTECPMNVDMATYKAEFLSHYYEGRVRPRHAYAMGWIHW WARLASLAPGVVNLITHLPIVGKAIQAAGGISTRREMPRFAPETFKAWWRRRAPRNLD RPRVLLWADTFNNHFHPQTAIAAVEVLEAAGFRVDVPMQHLCCGRPLYDFGMLDTAKQ LWRDILDALRTDIEAGTPVVGLEPSCVAAFRDELINLFPMDEDARRLASKTYMLSEFL QKHAPDFRPPKLKRKAIVQKHCHHDHVMKFTDEGAVMSRMGMDFEVLDWGCCGMAGSF GFEAEHYDVSVAVGERGMLPAIREAPPDTLIIADGFSCREQIAGLTGRGALHLAQVLQ MALREGPGGPSHGLPEADYQPLGRWRPEPSSAAVAAVAGLGALAGIGLAWGMVRAFRP ALASESNRGRLPWT OJF2_RS32310 MTTREASPGASPPPTFRADAVVDRVEASAYRIPTDAPEADGTAA WGDTTLVVVEVSAGGGTGLGYTYASSAAASLVRERLADVVLGVDAMATSAAWAGMVAA VRNVGRPGIASTAISAVDVALWDLKARLLGVSLVSLLGPIRDAAPVYGSGGFTTYSLD RLREQLGGWVAAGIPRVKMKIGTHPADDLGRVRAARDAIGPDAELFVDANGAYSRKQA LAQAERFAALGVTWFEEPVSSDDLEGLRLIRDRGPAGMDIAAGEYGYTPSYFRRMIDA GAVDVIQADATRCGGITGFLRAAALAEAVGIPLSAHCAPSLHVAAGCAAPNFRHLEYF HDHDRIEHMLFEGTPSPRGGVLRPDATRPGLGLEFRRQDAARFAV OJF2_RS32315 MADTTADVLFDRLIDWGVDTIFGLPGDGINGFMEALRTRREKIR FIQVRHEEGAAFAACGYAKFTGRLGVCIATSGPGAIHLLNGLYDAKMDGAPVLAITGQ TYHDLMGMHYQQEVNLLGLFTDVAVFNEQINGPRHARSLADVACRTALARRGVAHLNC PNDWQERTEVDASAMNVKGHTSAAWRPPIVIPQEESLRSAAAILNAGTRTVLLVGQGA LGAGDEVEKIADLLGAPVVKALLGKAVIPDDSPFCTGGLGLLGTLPSEKAMEECDSLL MVGTSFPYMAYLPDPGQAKAVQVDCDGTRLGLRYPIDVGLVGDAKATLQALIPLLQKR ADRSFLEKAQVRVKDWWELMKTREDRTEVPLKPQVIAGHVNELLADNAIITTDSGTIT TWAARHLKIRRGMKFSCSGNLATMAVGLPYANGAQVAFPDRQVVAFVGDGGFSMLMPE FITAVKHQLPIKVIIIKNNTLGQIKWEQMVFLGNPEYGVELQPIDFVRFAEACGGVGF HCEKPEEVRPALEMAFKSNKPAVVEAVVDPFEPPMPAQASPKQAVNFAKSLAKGEPNR VRIATTIFRDKVTEFFQ OJF2_RS32320 MPSPVRRCLLITARRRDEEPPAVEDVLDNLLRYPPTGPSEADVM TDPTIRTDRLLLRPWREDDLEPFAAMNADPAVMEYFARPLERAESEAFIARIRVHFAQ NGFGFWAVEAPGVAPLVGLVGLARPAFLAHFTPCVEIGWRLARAYWGRGYATEAADAA LGHGFDVLGLDEIVAFTTAANARSRAVMERLGMSRDPADDFDHPGLPQGHPLSRHVLY RIRREGRRAAGAERQA OJF2_RS32325 MPRLLYVTFHGGSGGIRNIAAWDGNGGDKPAVADVLDTSQLDHD LDELRGFLVVADGTLYLANSYKTSGASPQSVGEILHFDVPGGDGIRPYLGAFCAWSEA GNPGLQHPFDAVLGPDGNVYVANQGQKADPESTNAVTSYHGPGSSQAGQPLPTPHAIY PGTFVGPDAKAKHGVKVLRDAVFGPSKDGQDCLYLSDEKRKEVRRYSSSGAYLDSPVT GGDGLDKPVHLLVSRSGKHLYVGSQGNNSVLSLEVASGKVSTLVDSSSGIDSTAGLAE DEDGWLYVASRKGRQVLRFDHKTGKPDAKPFIASLGDNPEFIMWI OJF2_RS32330 MPEADPVFEEQRPRLARLAYRMLGTLADADDVLQDAYLRWSRGD RSGVESPGAYLSSVVTRLCIDRRQSIEARKEAYVGPWLPEPIVEPDEAAPDRRLEAAE SISMAFLVVLESLSPIERAAYLLRRAFDHGYDEIASILGRSEAACRQLVSRAEGRILE RRPRFEADPAEAERLTGAFLGACSTGDMDGLLRLLAPDAVVVSDGGGKASAALAPIRG ADRVARFFLGVTRKAPPEMAFRRVLVNGRPGLAAVLGGEVFHVLTFDVDDGRIAACFI IRNPDKLARVHLGDGQGWGGGTPDVDVDEVARDPGISGFQGPG OJF2_RS32335 MTPRTEYPARVADLVVVGGGLAGLTAAALVARAGRTVVVLEGSK AIGGRAATQARDGIHWNLGPHALYCRGHAFRILRDLGVPFTGRFPDPGRGLLVEGESL FPLPSGAGSLIATGMLSIREKWRLARFLSGLGRLDTRRQDEIPLRDWIRQAAGEGRLA ALLRTLIRVSTYADDQERLSAGVALDQLRTALTGNVWYLDGGWQTLVDGLREKAAGWG AEIRTSAGAESVAAGAGGVSVRIAGGETVRGARAIVAVGPAEACDLLLDLAPGSGPPG WASGRIPVRAACLDLALDRLPRPMNRVAFGLDRPLYFSVHSASARLAPDGVAVAHAMK YLGGGDEPSPSDARAELEAWLDRVQPGWRAHVIKSRFLPSMTVAHALPTAEGRGLAGR PPVEVPGRPGVYVAGDWVGGRGLLADASAASAEEAAGRVIEDLSLAAARPSRRLSHA OJF2_RS32340 MHILDIFHAHPTTFSFEFFPPKTDKASDELFETIADLQELKPSF VSVTYGAGGSTRERTHDLIVRIQRETDLTAVSHLTCVCHSREELGAILDRYAGSGIEN ILALGGDPPRNLAGYDRAQDAFRYASELVDFIRGHAIAGDPRGFGIGVAGFPEGHPGT PNRLQEMDNFKRKVDAGADYICTQLFFKNEDFYDFRERCELAGIKVPILAGIMPVTSR ETLTRIAELALGARIPSGVLRGVDRCDGDNAGVAKFGIHWATEQCRDLLDNNVRGLHF YTLNRSHATRRIYENLGVKDSESLVARARA OJF2_RS32345 MTPSELSQALKAEALRLGFDGVGIAPAVSPPGYEHYLDWLRAER HAGMRYMERQAEARRHPGSVLDGVRSVVMLSAVYGRPGRGGEAAPSDDPARGKIARYA LGEDYHRVLWIKLDALLGWLEGVHPGVRGRGVVDTAPLLERDYARLAGLGWVGKNTML IDRRLGSFTFLGALLVDVELAPDEPHARGHCGTCTRCLDACPTDAFAGPYQLDASRCI SYWTIEHRGPVPGPIAERLDGWVFGCDVCQDVCPWNRKAPGTRMPELDGTAEVADGPR PDLLAWLDRDEDAWSEALRGTALKRAKRAGLVRNAALVLGTRRVAEAVPSLGRRLADE AEEPAARAAAAWALGSIGGDRARDALMRGRHASPDSVREAVVAAEAAIDLRDAATEGR PARPA OJF2_RS32350 MDPSETSPRIKRAQQFAIEQLDERRLLSAGMGNTFAIMPGTVST AGSMTSVQFKIDPSQFTAPRNGKLIVGIDVAPSTANSPFKPYIVSVKSSTGRTIMAQH AMYSKALVKANKLGSPVSSATLVTLPVPKAGHAPVTYTLQVEGANKTTGSFLAGFYLP GDTTGKGEVTSASLSTILSEVGQNSTSSKYTFDADSNRDGKITMSDVAIASKNLGAKT TISPVVDVNLAAADSGSLNSRITDHKTVTFSGTVTPKATITFTEANNNSPGATATADA TGNYNIAVPLGNGSNTFKVSTMDAFGQAITGTIQPVTYSTNPPTVTNTPSTSTTTATS OJF2_RS32355 MLEIGSAPRRETLRRFSPYVFWLLWAGIVLVAAAFYYPKAADDR SAFVRWRPQVLRFWQGENIYDKTFFPNPPILPITVGPLMAMPAAAAAMTWFAIKIALT TVAMVICFRVVRPGSKPYPLFFQSMILLLSLRPILGDLHHGNINLLILFLIVGMFEAW RRGHDVVAGLLLGLAISYKVTPALFLPYFAYKRSWKAVASTFLGLLLFLVVVPSLIVG PRFNLECFAMWWHRMLTPFLVEGASSPQEVNQSLPGVLTRLLTEIPPGTNRYDLHLDV NLVSWPPQAVGYLIKGVSLGFLALLAFFCRTQSEDRRDPRFLAEFALVVLTMLFVSER SWKHHFVTLLLPYTYLVAELYAPSRRPGSRIAIGAVLALSCVLMATTSSEVGGVFAGG RGHEIAQGYGMFLWAAMALYAGVAWRLRRASVAGPVPAGEEGRPSPPAPHVAHRKEAS DRYLTN OJF2_RS32360 MADLDTIPFADDGPTDEEARRAVDAAMARARARGPRLRGAPRTA ASPALWMAATSIVSTLHVAAIWLGIGGMEGLTNGWPIWRDDHPIYFHTAMVNRPILSA SWTTAGYDPFFMAGYPKSVVFPSSSTLPDLVIWAFGGTRPELAYKVYVLVAVAALPWL VSLAAAAWRLGPRGIAIATTLFLLYLWTDFPINYAAFGMVPYLVSIPLALVAAGLFAD YLRDGGPLRWLLAAAGAIAAWLAHLTVPMVLGPAAGLAYLGAISSAEARRRQPVEPDG STPPRGLPWTRHLGVWMLVPAVLAANAFWWLPALWLGGTKGPSDFAFAHSGEPLGGRL LKIFLVEAEAESILIAAGLVGLTVLLTRSRILGAALAGFALAGFFWGYLAAFTPALDF LQPGRQTYAFYAALAVAAGAGGEAILRRLADSPSRPRLGLPTIVAAVLILVRVLGPEL AGSVRARLGGPEPFLSSRPSGRLLWVADRVKAHVKPGERLLYEEGGKDLPGIPDPFRG GRFSGLIPERFGVECLGGPYLHASLTTNFTQFGEGKLFGQEDWDRDFFVEYARIYRPS AILCWSPHARRFCKANPDLVKVVDDDGTLLFGRVEGFGGDAIEGSATVRAEAGRLVVS GLRPGVDGSVVLRYHHVPSLRATPPVPIELRQLGGDPVPFIALRPPRGVQDVVLEMAA PFGIGADGSGPNSKP OJF2_RS32365 MNAQHAVADVEAEGSRDGHEGPGRSGRRPPPLASSILEAIGGTP LVSLGKLVASRGLEGRILAKLEYLNPGSSKKDRVALEIVRRARADGRLREGQPVVEVT SGNTGTGLAIVCAALGHPFVAIMSKGNTIERARQMAALGAEVVLVDQGPDAVVGEVTR CDMAIVEETARRLVAERGAFRAFQFECEDNCRAHEETTGPEIWEQSGGTVDAFTMIAG TCGTYTGVMRYLRRVNPEVRGYLIEPARAAVLAGVCVDDPRHKIQGAGYARDLPLLDR SLVDGYVQVEDDESAELTRALAVEEGIFAGISTGANLAVALRLLAGPERGRTVAMIVC DSGLKYLSTDLYP OJF2_RS32370 MTAARRCFPSLCFLLLATLPVTHAAGADGTSGRPPNVLVILADD MGYSDAGCYGGEIATPNIDALAEGGIRFTQFYNTGRCWPSRAALLSGYYAQQVNRDPP GARPKWAVLVPDLLRRTGYRTYHSGKWHVDGPVLAGGFDRSYELVDPDRDFGPKEHRL DDRPLPPPRPEERYYGTTAIAEHALGWLDEHEAGHAEKPFFLYLAFMAPHFPLMAPEA DIARYRGRYDEGWDAIRGRRLKRQRELGIYGGELSRRDPRSVPGWNLKEDELRARIGP GEVGRALAWDELTPEQKAFQSAKMAIHAAMVDRMDREIGRVVERLRKSGKLDTTLILF ASDNGASAEQIIRGDGHDRSASPGSARSFLCLGPGWSTAANTPFRLHKSWNHEGGIAT PLIVHWPAGIRDRGEIRHAPGHFIDIVPTILALAGVAAPDEWGGERRPPLPGRSLVPA FTKDAPIGREFLFFKHAGNRALRLGDWKIVAAGPGSPWELYDLSRDRAETTDLAAREP SRVAELSAIWSRADAEYDRQGTTGKPLQKPARGEPAKGASR OJF2_RS32375 MPAEVEDGIDQLLQKSAAARLLAEMGPGTPIDAKVARLIDRLSL RDRVHTQAAATALIMLGRPAVPSIVRRMDDRRDVGILSFENRSPDAFEGVRHMGLPKV VDCLDEILSEITGEPVGSMAAGGREPGTARDERVAAWTEYLARMSPPVPAKSLPALRP AARPGR OJF2_RS32380 MRTMTIARLAGVLVLAAWNLHAGAGDLPAPTMAPLEERFRAEVR PFLAEFCLGCHGKDHPKGDLDLSGFTSAGSVAKDLPQWEAIQEQLRSRTMPPAKAKIQ PSDGARQSLVAWIGAVRKAESARNAGDPGRVPARRLSNAEYDHTIRGLTGVDLRPTKE FPVDPANEAGFDNSGESLAMSPALAKKYLEAARRVAEHLVLTPDGIAFAPHPMLADTD RDKYGVKAIIDFYHRQKTDLADYFLAAWRFRHRAELGRPGASLREFADERGLSRKYLA TVWSTLEEGSEEAGPVAALRATWRSLPTPKDGPADVARGGCERMRDLVVALRGQLVPE VKNMRARGISEGTQPFVLWKNRQMAANRMRYAGGSSKVKLGPLHLYGDAARAMSLPGS PEHAARYEAGFARFCRVFPDAFYVSERARVYLKDGDKENTGRLLSAGFHSMTGYFRDD GPLYELILDEDGRRELDRLWRQFDFITGAPMRQYASYLWYERAETGFLRGDKAFDFVR AEDPDASSEDKMRRFEEAYLAKARRLGAGELQQGAIRDQFRIIAAAIRSVQKDRGEAE PRHVEALQAFAERAFRRPLAAEERRAVARFYRSLRDEDGLGHEDAVRDTLASILLSPH FCYRMDLAGAEPGVHPLSDFDLASRLSYFLWASMPDAELLSLASSGELRKPEVLAAQA RRMLRDDRVRGLATEFAGNWLDFRRFEEHNSVDRGRFPSFDDELRRSMFEEPIRFFLD VVRNDRPVTEFLDGSHTFVNPSLARHYGMPVPKGGPHDWSRIDDAARYGRGGLLPMSV FLTKNSPGLRTSPVKRGYWVVRRLLGENIPAPPPNVPDLPDDEAKLGDITLREALARH RADKACAGCHERFDGIGLAFEGYGPIGEARARDLAGHPVDARAAFPRGGEGEGLEGLR AYVQTTRRQEFVENLCRKLLAYALGRTLQPSDDEAVAAMRRALDADGHRFGALVEAIV ASPQFRNKRIEAPSAE OJF2_RS32385 MSDPSSTGQDLGRGRRRGATRRMFLRGAGVSMALPWLESVPAWG RTPAGAAVAPPKRFAALFMGCGVNPENWWAKGSGATMELGRCLESLAPLRSKINVVNG LFNKHALGVGIHPGQTGNILSGAALQRGAELKGGISVDQMLAMHLGEETDQPSMVLGC EQPITGYHETNFSMAYSSHISWQSATSPVPMEVYPSLAFDALFDNRGSKRNRSILDRV REEAAGLERKVSAADRAKLDEYLTSVREVERRVVPMRKDKAAGDARAEGAGRPTLTMP RPANGLPEDIREHMKLMCDLLALGFQTDRTRIATLLLCRDISGLFYPFLSVSSAHHGA SHDDHSEGYLRVTQLYVGQLAYLASRLEAMREGTGTVLDNTCLMFTNSMWSGSKHDAS KVPLVLAGGLGGTLQTGRVLDYATKGDDHRKLCSLYLGLMDRMGVKLERFGDADSRLE GL OJF2_RS32390 MNFFEQVIGILNARFPGEFVCRPGDRGMASGLEIRRAGKSGHAF LYDAHASRPLHHLLSLHDEDGRESGETWSTSLPDECVARILPWAFPREWTRPAPLDPA EERRRLSTLAEGLAGRRRIPVRLEGPDPNLMEALTAEGRLAFERDVAALNPARIRQNF PWDPHGRLALDAAALLAVYPCSRRLEGGRFLTLAAIGPKRRRGEQEIRVGPALLFPVN QTHRWLDRPWLWQATEPPASERLGIGGGSAEEECAARSLRLLDEGEIEEALALHGVAM EDDLLRLLGGQRIDPPACCAHPDEAWTDLLVATLRQSAPWLLPAAVAMEGERIRHHTG KKSGRPPTSWKLVVFPGQFHSRKASLMLVADRDGRNPRFEIEATASNARLADTSWKRP LEVDLRRYGIATEGQDARGTSAGLVASRSP OJF2_RS32395 MTTRTVLVAGSQGVIGRNAAAHFATQPGTKVHGLSRRGAAGLDG VQEHPVDLLDPADVHAKLSRIPDVTHLVFAAYIERPTAAEKSKVNSALLENLLDVLER TAPGLKHVTLYQGGKAYGADLGPFKTPAREDDPRLMPPNFYYDQQDILRRRQPDASWQ FTILRPEAVCGFAVGNPMNLTMVIAVYATLSKALGLPLRFPGPLGAYRALYQVTSADV LARATDWAGTSDAAAGQVFNITNGDYFRWEHLWPRIARMFDMDVAPPVPLSLAEYMAD KGPLWDEIVGRNGLQPIPYDQVASWPFGDFIFHSEFDNISSTIKARRAGFPDCIDTEE MFADFFSRLRKARVIPA OJF2_RS32400 MARSNRLLIIVTNVGEYEKVGYRTGLWLGELTHFWDVAEEAGFQ MDIASPAGGYVPIDPESLMLQEAGHAVGLGGEVHEHYEDRAFMDRLTDTRPVADVHAA DYDAIYLTGGHGVCFDFPRSEPLAKLTADFWEAGKVVSAVCHGPAGLLEVKRGGEHLI AGKALTGFSWTEEGLAKRDKAVPYSLEDELKKRGARYSKSTIPFKGYVVEDGLLITGQ NPASAARVGEAVVRRLKEAD OJF2_RS32405 MIRIHDFRDDHPDLLKLLGRGALPDPKVVAAVAEIVDSVRTRGD EALFHHMKRLDGIDLAAAGPRVPEAAIDAAYRATPPALIEAIDAACANLRRYHEKQLP ADYRIDGPDGEVLERRYRPISTVGVCVPGATAPLLSSLYMNLIPALVAGVPRIVVISA PRDGRVDPTILATAAHLGVREVYAISGAQGVAALAYGTETVPKVDKIVGPGSIWVSTA KRLVYGVVGIDSLAGPSEIAIIADASADPRNIAIDLLSQAEHGTGEEASVAFVTTYAQ AEAVRSELERLARKYDLVAAVKPALDRHGVIFVTSNPDDAVAAVNRLAPEHVELLGPE AEALADRLENYGALFLGEQTPEPVGDYYAGTNHVLPTQGTARFASGLTVADFLRSSSR VRYTPASLARAGDHIRALAEAEHMRAHGLAVEIRLRPES OJF2_RS32410 MAGGVEGKKRSGRSYRPAVEAMEALRLLSGATAAASLPGVAAEH GVLSDPPAGLAPLGRDVPAVSGDAWDEALIQTQLADLLGRGTTADAAARAATSGTAAV DGSSSEAATPDPAAVSSGLSQLDRYLNRTWYRAAIPVHQQDDCTQAVYATLLQQVGRA RFEALLGDVGHSGIKDVFTRETNDGLAFFRAVDMVKKRAQRERSYVSIDAVDVASPAS GPDAHAWRDALREAIDQSLSPKEASLIHETLMGKTPAEIAQAWGVAPKTISNEKTRVI QKLRQALLVEAVD OJF2_RS32415 MLGLEPHDIPLIAWYVVSLVFLEGLLSADNALVLAVMVRHLPRE QQRKALRYGVWGALGFRLVAVLMSALLLRFWWFKLVGGAYLIYLALAHFLGGSHDEAP AEASGVRPARRPSWRKSFWGTVISVELADVAFSIDSILAAVGMADTLPERFGDNWRLG IIYAGGVLGIVTMRFVAGYIIRVLERFPGLAVAAYALVGWIGVKLIASGLHDGAHIPD EIPDWLFWAVMAAIGVVGLFLKPRDELPPSGGAGLGVGDVGSGSLGQAEPEAAADRG OJF2_RS32420 MPATHEERPVRRSMALAAVFVAAMALPPSWRDAPPSAQEPSRKP PAGEAASGTNTGEGPRKPGPSASKPTPAATPRRPATAPQVMSRAAADAKRPRSSFLLP PGYATSPQDRYNGEEIDWAELPAWRQTSFFGIHARGQFFIYVVDRSGSMIDDDRLVRA KIELRRSIYGLQPPQRFEVIFYDDEATPMPGGPTPRSADQRNKDQLTSWLRLIDPDGG TEPKVAVLQALSLRPDAVFLLSDGDFPDGTIEAIAHANSKKVPIHCVDLAGGLAGDHL KRIARDSGGVYASRPGSLHANP OJF2_RS32425 MKRCVAVSILVGLAGLVGGSRAAVGQGAVEGDRKGPLAHALFPF CIDWYDSKKRSYEQQAMMLKQLGYDGAGHIWLDGVAERLKTLDAVGLRLYQVTMPIDI TPGKPAYDPKFKEVLGLVKGRGVQFLLIVNGGKPSDPSADDRVAAVVREMSDLAKGSG AELLLYPHTGNVVERLEDAVRIADKVDRPDVGAMFNLCHWLRVDASRDYNALLKQAMH RLRAVSINGADEYDAGPGWSHYIQPLDRGTFDVGALIDALDQLGYRGTIGLQCYGIEG DVAEHLARSMAAWQAMRGLKAHAKAAGPR OJF2_RS32430 MRFLVLDDSPDDRLVAARLLRQAHPGAEVDEVSDPAGFERALGR DGYAAVVTDYLMRWTDGVRVLRRVKALWPDCPVVLFTGSGNEEVAVEAMKAGADDYVI KSPRTVGRLPLAVTLAMERVSARRRIEALEGHLLDLTEALDVGVFRATPGGDLLTCNG AFLRILGLESPGEARSVDLSGLLSPPGRADAMREAADGRAMARREKEIVRPDGGRVWV LISERVGDDAGRPVVDGLVEDISGRKQLERGLTAQAEELREADRRKDEFLAMLAHELR NPLSPIQSAAQILQLIPALHSDEHVRYACEVIERQTRALARLVDDLLDVSRVSRGKIV LHPEFIDLGLAVARAVETARPLVEARRHELKLQLPSEPVRVSADLTRLTQVLSNLLNN AAKFTPEGGRISVEAVREAGQAVVRVKDNGIGIAPDLLPRVFDLFTQGEVSIDRNQGG LGIGLTLAKRLVELHGGTLTAESHADEPGSTFTVRLPAALRPTPGGGPPAEARPSAGA RLDILVVDDNRDAADVAALVLRTLGHSPRTVYDGPSAVAAVRESHPAVVFLDIGLPGM DGYEVARAIRADRDARDTVLVALTGYGSEEDRSKSREAGFDYHLMKPAEVEDIIRILR SVKL OJF2_RS32435 MNLGEFRVLVVEDDSTDALMIRRAFRKANVGNPLQFVDNGDSAV DYLAGRSPFDDRAAYPLPGLVLLDLKLPRRSGLEVLQWLKEQPGLRRIPVVVLTSSME TADVRKAYDLGCNSYLVKPVHFEGLLQAIRAVGPYWLAMNHAPDTEKA OJF2_RS32440 MSREGDAAALATGVARPGRGPLIIATLLAASAVVAGGLASYLNT RGAAAAAEAVAHTHRVEAELEGLLSVLKDAETGQRGYLLTSKEAYLAPYLAAREAVSG RLDRLQALIADNPSQRDRLLGLRRLASDKLSELAETVRLRQAGQADAALAIVQSDRGR QLMEEARATVADMEAEEDRLLSERTRVARRSSLRTAASLAASTTLILGLLATLYWVAI SASRRLQRSAEWLSVTLRSIGDAVIATDEIGRVKFMNPVAERLTGWPAGEAGGRALED VFRIVNEDTREPVEHPVAVVLRERGVVGLANHTLLLARGGAEHPIFDSGAPILGLDGA VRGVVLVFKDVGEQREAERRLQASEERNRLLIEGVRDYAMYLLGPDGRVASWNAGAAR ISGFPAEEARGRPFSDFFAPEEVAGGAPARFLSRAASDGRHNEEVRQVRRDGSPFVAS VAVTALPPEGGAPRGFAVVTHDITERMLAHKKLEDAASELGSVLDNVVDGILTIDDAG VIRSANSAAEAIFGHRREDLIGADVGLLMPEPDRSGHPRHLADYLRTGAGRVMGVGRE VVGLRKDGSRFPMDIAVGEFVREGRRYFTGVVRDITERKAAETRLSRSEARLRAIVDQ AAVGIEEVARDGRFLRVNPALSALLGYREDELLALRFTDVTHPGDVEADAGMARRLFA GELRAYTLQKRYVRKDGEAIWILLTSSAVGGDPGEPAYRVSIIQDITERKRAVDEVHR LNLELEERVESRTRELSEANAELEAFSYTIAHDLRAPVRNMHSLAEALDEDFGEGLPD EGRDYTGRIVAAALRMDALIQDLLSYARLSREAVRLQPVDLDEAVADVGRQMRPDLAE RGAEVAVAGPLGRVVAQRTMLDQALTNLVGNAIKFVEPGRRPAVRIRSEARGGMLRLW VEDNGIGVGPEHRERIFRVFERLHGQEAYPGTGIGLAIVRKAIERMGGASGVESGPGA GSRFWIELPMGGGS OJF2_RS32445 MTLACWLGLCLVAQSAAASPPAADVAAAYREAKARAGRDPDALV RLALWCEQNGLTAERLKSLSLAILYRPDHEAARGLLGLVNYRGKWKRPDDVRAAEEAD PEARKLQQEYLARRARARPTADSQQELARWCEQNGLADQAAVHYRQVVRLAPERPAAW KKLGYVKSGKGWARPAEIAEAKAEAEAQSRADRAWRPKLEKYRDDLIGKDAAKRRRAE EALASITDPRAVPMIWAVLVRPDAATQLRAADAMAQIQGPAASNALAMLAVSSEFPDV RGRATQILSRRDPREFVEPLLERVHRPFKVTVSSDVSLGSYGQVFAEGERYNLRRTYQ VDAERTLARIPSRLFADGMPFLGGMDPQSAAIMNSLAGSSWSRGGAIDVQRMAMQRDL DIASFWSRIDSNVQLARARMAEDVAGLEAANREIRAANDRVLPLLKLSTGRDFGEDQD SWMRWWSDQLGAVYSSSTPAEKPTYSDFVSIQTEPPHTGCFVAGTLVNTARGPEPIET LQVGDRVLSQDTTTGQLSYRAVTATHENGPMPTLRLTLGTEPIVATGIHRFWRPGKGW TMARDLKPGDLVRTLGGTSRLSAVEPGPVALVYNLDVAENRDFFVGKGGYLVYDFSLV CPATTPFDAAPASVATAP OJF2_RS32450 MPTEKKERERRQVAALLRDEAYLIVQSAALDPPDVCVRRDGKTI LVVEVTAYHSGNAQVRASDKWNNRLWPKIDDLRRQEAILKGIMGSVAFKDINALRLNQ EQYSTLATEIVELARSISPNLDDHERVKATFAETADTAPVSIIDPRWLQVSAKLLPLA AKSLLSVTYSKHPVDWQPWSCPQIDAGWSKVSAEKFRSILEEKSAKVWKNSGDPSRYP PGASSWLLIICDEVNDMSAHVFPSDSVARTALLQTIANCGFDFEKSPFNEIWLFSEFR QSKLRLFPAMIAERGSSSIPLETRDDTELLGGGGQEPLF OJF2_RS32455 MSTAVATTSPAARGSGRALPPVLGRLLSASFWLALRVPLQAIFA LWTTRLIVGAIGPGMSGAYKFAWGFGFFQMLFEFGISSALQRQISDSWTRDDRDGVNR AVACGLNFYAAMALVQVAALLAVIYGALPYSQFAVGSAAVTARALGEGLLGDPLHGLG AVASSLREYDFIAKLLWLQILTAPCYGLSVVVASVLQAARRYDFIPRLEVAITILRFL ILLGGVVAGIDFYWIVIGQIAVQVGLSLVPGLWVMVRELGQPLRFRGARLEDYKALGH ISFYMALIQISVVLGDKIDTTILGFMHPNPGQATAIYDVVSKPFLQLRQTGWMLAYMV MPAVASLAAARDLRGLERVKYDGTRLHVAVLLPVGLLAWIYAAPFLSLWMGARLGQDA GNYAGLMRLFLTAALPLVLSVPVQMAIGINRIKVIALAAIGGALINLPISCYLTARIG VAGVIWGTVLTTFFSNLVLPGLYVFRVLELDPRTTLTRTLGAPLAGGLALVAATWLLG YALPIAESAADLRARTAPLLLHLTIGTAAYAAGYLAAPYGRRDLAEMLGKLRRS OJF2_RS40930 MDPIQSVDELAKRNVSIIAEMERAASGIRTRGERIADRIASAVG SWPFIIGQTIILGAWIVLNLLAWIEHWDPYPFILLNLALSFQSAYAAPILMISQNRQA KLSERRNHLDLQINMLAEQESAEILRLLKRLCEHTGLKLDEGGGGEGTEEDGRSGLVK QLQGEIEAQIEQVAEALDAGVEAAAAVSAAAAVVVVEGVPPESARAADPGGEAVAAAA DALAEAAGRRAAG OJF2_RS32465 MAAMLAVDLGLRTGLALYGADGRLVWYRSQHFGTRQALRRGVHG LFDAHPEVTHIVLEGGGPIADIWLREAARRGIAVRQVAAETWRARFFDPGDVRGRDRA KLGADSLARRVIESSGAPRPTSLRHDAAEAILIGLWGVLDAGWLESGPGRARG OJF2_RS32470 MNPKTHERASRPALVLGLAAMAAILVAGNAAALASDGLRAAVFA VDASPPIGSPMAYNPTLEVQLPLSCRGVVLAGAGDPIVLCAVDWIGIGNDGQVEFRRA LADATGTSPDRVRVHTLHQHDAPHCDFSADRLLAEQGINGEVFDSGFNRRVIARAAEA VRSAMKATRPVTHLGLGEAEVDKVASTRRILGPDGKVQHVRYTACKDPKVRDMPVGTI DPKLKMISLWDGDAPIVALTYYATHPQSYYLTGKANPDFPGMARDARQAATGVPHVHF NGAGGDIGAGKYNDGSHAMRQVLADRVASGMERAWKATRKTPIAAGDVAWRAVPVTLP PAPHLDEAKLVATLRDRSAKLTERSRAANDLAWLRRCKAGETIDVSCLHLGPARILHL PGELFVEYQLAAQKLRPGLFVAMAAYGDYAPGYIGTEVAYSQGGYETAPTSSLVAPGV ERVLMDAIGRLLRD OJF2_RS32475 MKATTESTGALARGLEAVFRGAAGSSEGDLLRRFVASGDEEAFA AIVRRHGPMVLGVCRRILGGGADADDAFQATFLVLLRRARSLQGTDVLGPWLHGVAWR VAARARAGNARRRAEESKAARDEAAGSPPVSPAEAAEVQAILDEEIGRLPEKYRVPVV LCCLEGMSREAAAEHLRWRPGVLRGRLQRGRDRLRDRLVHRGLAPAVAATAVEVIGSP AEAAVSCELLAATVAAVSRDLAVGAVASAVAPTAAATLAGAFLRGQTVARAAVAACVL VAAGLAVASFSALVMAAGPRADEVTSGAEPLPQDAPAEKPRTFEVRVVGPGGKAIPEA TVEFQTTPSLGEELVRRGTFVPRNGYGTEMKADGDGVVLVELPRGLKGLEVFVKKRGY GRYFAGWSAEEHQVAIPDRLTADLDPASPLGGVVVDPEGRPVEGAVVRCNLNYKGGPD LARRLGYQQTTRTDREGRWRVDDVPDSVADLSVDVNHPGFRPLLRLIPRGEFGLAGGR EPSARLALDRGLTITGRIKDEAGRPIAGAIVRTKFVNDRREARTANDGSYRLEGCEPR MARVVVLARGHATDMKEVRVATNMGPVDFTLRPAVGLKLKILDAAGKPIPRTRIFFQR WRGAYQYFEFDGVNQYADASGEWRWDEAPLDEFAADICPPDGTQLPEQPIPARDAAKV VTFRLPPTLVLTGRVVDAETKQPIRSFRVVPGGRWEANNQLSWSEGEAFPASDGRFEY RPSRPESVTLVRVEADGYASVVSRDVRREEGSVTLRFELSRARGLVGKVLTPKNQAAA GARIAVGVEGSQISIRNGAFDEQSTYCKRASADDVGRFSLPAQAGDFQLIILHPEGFA CINSPATWETVRLIRLEPWARLEGTFRIGPRPAPGVPLDLYVPPVRLAGAIKGAPNVF WRHQAVTGPDGRFAFDHALPGRGTVGREITLMADDGAAEATSSCKVGVDLPGGKTTHV DLGGTGRAIVGRLELTAGPGEKPPWNFAEVASTPVPEARADLPSLMATVAMDGRFRID DVPPGRYRLVARFGPYEAVRRRGLQGLSCARTIDVPAPDGRPVNEVDLGVMKMEKR OJF2_RS32480 MADWIIETLAGDHDKSSFSCGRPILDDFLRLRAGQYESRRLGKT FVAVAPGEKRVLGYYTLAAGSIHYEDLPPAASRKLPKHPVPAVLLARLAVDRSVQGKR VGEGLLLDAMQRSSDLARSLGIHAIVVDALDDAAVSFYRKFGFTPLLDNVRHLFLPMA TVQRLLG OJF2_RS32485 MDTETRTTDAKARVVLPKSFANATVIVERVSETEVRIRRARVVA EDELPFAEEATITLSDRDRDRFLELLANPPDPTPALVKAAARHKARRG OJF2_RS32490 MSDQPTNEELVRRARGGDIAAFGSLYDRTVRLVRSVAADAGRDA AEDVTHETFLRAFRTLGSLRDPARFAPWLVGIARLVVLEQRRVRRFEPLPERGPQTAE ADAQADDDAEELLRLVARLPEEERLAVRFFFLNQRSIEETARLLNRSRSGTYAVLQRA KGRLARWLEECGVSR OJF2_RS32495 MRRYARGGAIAAALLVAATFAWQLARPAPVFARVAGAMSRAQGF RCDMIYVSRGYNDTEKAEFISDVFWIPSGAERMDHVQDGKLDESLIYRRSQDGLSIAH GSKQYRIVPKSSAREYSVGLFGNLGAYRGKTEPILGSREIRGVKAEGFTVPWSTVVGD DTHSNANIQVWIDPTTALPVRVDLVGLAPPPHSDLVIRLENFRWGPQDPALFDTTPPA GYAKMPTVNTKADEITQYVKDGLSIFAKYNQGRYPAVTYVYGDDQGEALRSLMKMPRD AMGWAKNDDPAWRKTKEGEFAYGSYCLSWINVIQRDLPEGVYNGKSVTPKDVGKVLVR WQLDDGDYRVIFGDLSSATIPPDRLREIEGR OJF2_RS32500 MCSASSKGTRPSTTRRSGLESHEPARRYERLVPGLSSRCGRARR RVNALKEALLWGGHVFMTGLVLQELLQGFAGPKGRTPLLERLSALAFLQPDREDHIEA AEIRNSCRRRGGQVGTIDALLIQPCRRHDPVLLATDRDLHYAAGHVDFRLWAPP OJF2_RS32505 MAANLALDAELLERAFRLSGEPTKKAAVTRTLQEFIARREQRRV ADLFGQLERDASFDYEAERARKS OJF2_RS32510 MTPTLVRRLTYAGAEARSFKRAAIVMKQVAGQPVSAKTIERVVR DVGLELARRRDADPRTDDSLARRPEGPPALAVVECDGGRIRTREPGHGPGVHRTSEGW RETKNACLIRARPTTSEEDPEPEPPACFADPEHVAKIAETEALSVASMASPPESPSRA GEPPEGMEMVPPADWRPKRSVRTVLSSMADSKEFGKQMAREAKRRRFPEASAKAFLGD GLAWNWSIRKRHFGEFTPILDFIHVLSYLFLVAKAVHEGPEDAWDRYLAWMRGAWRGE VGQVIEELQAWRAKLGEPPATAPDQDPRKVLAVTITYLSNNEGRMRYPEYRRSGLPVT TAWMESLVKEVNYRVKGTEMFWNDPEGAEAILQVRAAALSDDERLEAHLETRPGCPFT RRPRAPRLTRKKIRS OJF2_RS40190 MTELQARLDQLLKEAARVAVALDRADGTVVGIPHYSVIEARAHE LGRRLSRTVQARHMGELASHATRSVKCPECGTRCEVVPRSRSVTSIDGPLDFDEPMGH CPRCRRGFFPPPGGAGP OJF2_RS32515 MLDRIAYQGITFDDVLLEPGYAEFMPREVDTRTQLTAKIALNLP FLSSPMDTVTEAELAIALAQEGGLGVIHKNMSIEEQTREVDKAKRSENGIIVDPITLP PDATVGQARTIMSGHNISGVPITVSGGFLKGILTRRDLRFLESNDLRIEEVMTKNNLV TAPADTSLEEADRILTRNKVEKLLLVDDEYRLKGLITIKDIDKLHRYPNACKDGRGRL RVGAAVGVHDYERVSSLIEADVDVLIVDSAHGHSKNVIETVRRIKQDFDIQVVAGNVA TGEGTRALIEAGADAIKVGIGPGSICTTRVVSGVGVPQITAIYQSAKAAAGRVPIIAD GGIRYSGDITKAIAAGAHSVMIGGLFAGLAESPGTTIIYRGRSFKSYRGMGSIGAMAK GSHERYRQDAAPKGTDGKPAQGQKLVPEGVEGRVPYKGPLSDFVFQLVGGLRAGMGYC GTRTIDELRTKGRFIQVTGASVQESHPHDIAITQEAPNYSSFSNEVETGRGSG OJF2_RS32520 MRPSTTALTTTILGAALAAQTVSAQQSSPPSSWRRDGNRATATA SEPSPSSQPISAAADEQDPTINMAASRGARYLMRNGIDYINYQEYERALKFLREAENR QRELSEPEKRTLKQAIERAQRGLREAVGAESPYAVSQRPRRTGGFAPARTTSQLARVR MPDVPGEKAPATASLAQGAEQGKARTPSREGDDRGDPIRLAGAEVVDATPGGAPSPAG PEASAEPAATAGPGDQPAMLPLADETPDPAKMPPTYRAIRRATSFDDAPAVAGSGTIT PPAGPQHATAAAAGQPVAEPVAAAEPAAGLPPVSDDAPAVAGSGTITPPPARLEATAP AEPAALASPDVGEPSRLGAEAMPQLDPKADGPAGPQVAAESPAPVANADREYAKPKAD AGATPAAAPAPVGGPAPAAIDLEPPSDPAPKAADASPATDREELPALPLNDPGRPEPK PDAGPASSPAAASAPADFPAPPAAGTATPAGDDLPQLPLQGASEDARQPAPRAEAPAA PKPEAEAAKAEAPKAEPVPAVAAAVDDLPATPSSAPGRGDGASQAEALPPPAAEVAAS PAPAAEPVPAAEPAAKPEAVPSPSGPARGDDPAAAPSAVEPGATMPDSGAGLGGAASP LGLPSAEAPKSTLLPEQQRRIEEIARRQDEEMRRNPIRPGQPAPGNRDTDLPASDARN QTVTQIDISRAPSPAEARPIRAIPVPDDFVPLGKREWSPQRKMWAAAATCHLPLYFQD PMLERYGHSAETYFGRAGRFLTYPVDDPRQTTQRNQLIQPAFSSALFAWQILTLPYAL VVDPPWEAQYDLGYWRPGDKIPTDLYYQPLYGTGPPLRGKNY OJF2_RS32525 MPGIETGAPSPWDWGEQRVTVGRHFLRDLESLWSEVLKLAAVVE DALNQSLRALCDGRPDLADEVRGRKPSMERWEVQIERECVRVLALHQPVASDLRRVAA ILRINSDLERLADLARHIAKRVKKLAADPEAFPIPQPLENLGMEALAQVRESLDALTQ ANAARAKAVMAAEKRLDRQYRSVQGMLKREIVAHPGRIDTWLRLVNTARNIERIADHA AKIAEAVVYLKEGEILRHRPPADQAASRTSL OJF2_RS32530 MPFLGYFKGQPTEHVIRYSSGRIAREGQGLAFFYLKYNTQLVVV PTSSMDANLVFNEVTSNFQTVTIQGQFTYRIHNPRKAAELLNFTMDPATHRHLSNDPD RLAQRITNIIQMETRTEIQKRSLEEVLTQYESIAGSVQGRIKGSSLLDPLGVELLSVY FVAAKPTPEVAKALEAEYRENLLQKADYAISARRAAAVEEERKIKENELNTEIALEQQ RRRLIDLQGENELRQANHRGQAAEEEAAYQNRVKQRELALYQGIDPRKVLALALSGLG ENAGRIGNLTITSEILASLLDAKDAAASSESSPMA OJF2_RS32535 MPTRDKLVIVTRKTALEELIERFNTRDQARFYIEHMGGDFDGYQ AAHDAYRRAAEALREALPRGLRTHWIERSFLPTFTFGEPDVVVVLGQDGLVVNVAKYL DGQPVVAINPDPDRIDGVLLPYGVREAGGAIARAVRDAEPWRDVTMAQAALNDGQRLL AVNDLFVGARTHVSARYRIRHEGREEAQSSSGLIVSTGAGSTGWYRSIVTGAAGIVAG VLGSEGILAVQGRYAFPWEARELAFSVREPFISKTSGATIVHGRIVADEPLEIVSQMP QNGVIFSDGVEEDRLDFNSGSIARIGLADRTLRLLVPPGHQDPAGARGRDDGG OJF2_RS32540 MADPATPQRGPSTRDVLPRLPAWVLGGLVLGYLLGMGVAAKGGQ QGPDAIAGAMGWTMLGGILGMYAGWTRAVVHPAAATDRIAPPAPMGPQLWDDWLDDGR EEETIAAGPPESGPENPQPEAEAANRPLVRPRVLAADGSGESMPLHDEIGRLLQEGSR GAVALLGGPGSGKTSALLHLVDVLPPWAEVDLGDGLLDDPGYPGLEIRLRLAIHTGET RGEGPPTRFAATFRLAPWDRDDRIEYLLKRHPDACSSVMARLAQSGDEHLMGGNPELT AMVLDRMAEDPAIPGAREALLQHLDRSIPSSNRRDIERMCFLIYQDGSAIGKIVEEHP ELRARLQQEGYAGMLRLLRHAPVRRLLAARDIAGELSRHNPSANLASPLPLDLLEEVG RILRGLPEARGFLRALLVYGFHRQHHAMAASLLHLAGRSWRPEPGTRPGLAHAQLEGI CWMGVDLTEADLHRANLARADLSGASLLRSRASGVQLIEADLRRAKLKGILAAGAKLR GADLAGATADFANLARADLTRANLEGASLQAAVLQVATLEAACLRYACLTEASLAGAW LKKADLRGAELSRAGLEGAIIDDANFAGANLQGAYLCGLILRHARFAGASFAWANMKR CDLEGMTLEAAPFAHANLEGAMLTHSAMPGASFRRANLRGAGLAGINWPRADLGEADL SGASFHLGSSRSGHVGSAIACEGSRTGFYADDLDDALNGSRPPEEIRKANLRGANLVG ATIEDVDFYLVDLRDAKYDDAQAAHFRACGAIL OJF2_RS32545 MRLETTLGIVRDGGAARRLTGTAAWTAGETPFLHRTGALCPTDR RIEQFLADFFGDLNLETPLKLPAESLVLPRHGLARELSIPEGEDSYQNEYLSSYRLHN GVLNNPRSDRRTTEGTFHVTDGGLPVPGDKKAVPRATFAALFRQAVAPPPELLAIPYT SRRPRPLRTFVSLLLRPIVCPEVPGVCPEKSMEVHFFAPGGLISNLDFVESIFGNAGD PYLPENDAGLDVEGWSGHTGCVILAPHLTRLTKRSLGLPAWDKATARQRRDGMCWRDP EEVYNDGTAFKLTCRSAAGVVVTLIADNYYGYCKKEVKTQISFAANLLGNVEEEHSGG ALAFASYSLGYQFDASRYRKDDRTVADLERAEPGVMELQPEGHAVDRRHPDLIYIPSD ARADISELKVWWSNGGEEASIPLEPGKVYMTPCGYKMHMEKHPGAASWRLIDTVPEGL SCHKPCTVSGGGKSEISKNLRDYMIYGPIFVADVERDFELVQQIFDRDHSDRWKPGRA PDYAKRASRPVLSPLRSLGSVVKLLTPSEDYTDEYNAWLASFPNYIFPIVFIIKRFAP QDDLGRWREMFGVDIVNGFPGHELKAFGRQLVGTYLRVGLHSGQGWRTFKLRQDFVAA AKVQTGDDITASVVIPADALESPPPGPPAGSYKFAVNCESRLFQRPDDAIHRGLDRTT ESDLARPDNFLSNFEPLTAAQASAMVARVTEFEEFTPPMQNLIREVAKSGTGFFVCSA NPRIVDGKPSKNPRYLQTRPDLLNPLPKYVAERGMRLARGIPEGRPLAVPVGAVLLGR RNNPPDAAAGIRPLAVYGPIHYQELPELFMDFICSLTGKSPSTTGAGSEGALTKGPFN ALRPIVDLNAALVSYILTGLAGFSTAAGYVGPRMRVDHDISLLIPEIWCRLSPEERDP AFLIREGHLEPLRDFEHEGEPVLASRLGYRVTAKFTHTFLGRVFDHPARVFPEELLRP EAQDLDAFVDGVHNITEAQQRVARQYFEDGSIKDACPPLRALLAIMAEGSFEGKDAHH PEVRGLFTREAMLASDWYRQRLAAKQESDIRLWSRRVRYLEDRAASAREGSDDAEDLR GRCDRARAELERVSSRGYLASLNGRIGLDPSLLPKS OJF2_RS40195 MKRPRPWPLVPWLTPALLIAIAISPAAAPAQEGKDTKKEPPTAK ADLSPAERYRDALKAIDKSMQEFMTAYRAAKTQEERQKLIESKYPDPNKSADLMLKIA EDAPKDPVAVEALVWVATNARGPAADKAAKVLAKDHVQDPRIASLCSRLAYDDSPQSE QLLREVIARNPGAEAKGMACLALGQRLKRASEAEADKGKADAKSKEAEALLDRVAGEF ADVKGGRGTLGESAKNILNDLRNLGIGKTAPEIAGEDIDGKPLKLTDYRGKVVVLDFW GDW OJF2_RS32555 MKKLADRPFALLGINSDPDRDHLKERIKEEKMTWRSWWDKTTSG PISSSWNVQGWPTLYILDHKGVIRHKYLGFPGEAKFDGAVEALLKEAEEDHPAPAEKA RDEKPGKEAKG OJF2_RS32560 MPAPDASAIFAALDRELWIVTAAAPGGRRGGCVATFVLGPSLVP ELPRACVALARQHHTHDLVESSGAFALHLLGERHLDWVWRFGIGSGRDGDKLGGLDVS AAATGSPILKEALAWMDCRVEARCGIGDRTIYVAEVVDASKPNAEPPLTVTGMVRLAP QDRLEQLERLLRRDRAIDAAAILEWRRGHAAGDSP OJF2_RS32565 MTRDLRPAGPDDLEELGRFLAAGFRAGPAADFAAPDVLRWKFLD PAGDDGSGLPRSFLARDGAGRIIGHVGVFRTSFEGRSVADGTAGTLHMVDWLGSPGHP GVGASLMRMAHQQAPTQFTLGASEAARAVVQRAGYEPRPAVPVYTRVLRPSHRLRVPG QGAAGRLVRLGRDLVGNLRASRRLPSASLELREVGAFGPEVEDVAAGAGAHAILTRRT PARLNHLLAYPRGGITGWHLVEPSGRLRGFAVLSVVPQHGGRVRLGKIADLVLDGPDP SAWHAAADRLRRELGTQGADVAQGFAAPAWAAEGFSRAGFRRTFHLDLNVRDRRRLLP PAAEAVMHLTPLEADYAFT OJF2_RS32570 MTAEFPHQRPAPWNDPEFNLSGIAAKRPRVGRGWLELRTWSLLI VVAALWMGLLFNPAVGPLVLGTLMAFSLALSVLACAMALGLLGTGLFAAGDLLLGWLR RGSRWPED OJF2_RS32575 MRRTVLLLLLGLNLGIWAAPAPADEPDDPARRSVVRIISSIRTP DPYHPWSRSSPSEATGSGVVIAGNRILTNAHVVNFAGQVLVQFDRSGDKHTAVPVAVA PGIDLAVLRLEDEAAFAGHPALPVGAKLPAPQQTVLVYGYPEGGVDLSITRGIVSRIE FTQYYLFINGLRVQVDAAINPGNSGGPAVVDGRLVGIVFSKLDRADNIGYIIPMEEIN LFLADVADGRYDGKPVLPISTQDLENPALRASLNLDRKTTGVLVRKVDRPDPGFPIRV DDVLTRLGDSPIDNTGMVRVEGDRHLKFRYLVQHLCREGKLPVTVVRAGRSMDLSVPV DSDQRWLVRRYYEGPVPYFVFGPLVFAEASDKYASEWIGNVSGSDDLLGVAGSGPLFW RAFDRAAEPGERMVIVASPMFSNKLGKGYRDPYGEVVHEVDGVRVRNLPHLVELLRDG KGEFVSFSFQGHWHDKVVFNRKEAIAATEEILSDNGIRQQCSPDLLKIWDLSRSR OJF2_RS32580 MTMKASKGILTLAVGGMVCFGMAGLRGSAMAQHEKMAPAKSTVT KAVAILLPTKGSKVEGRVTFTEEGGKVKVHAEISGLAPGEHGFHIHEFGVWSEDGMAA GGHYNPTAQKHAGTDTPKRHVGDLGNITANSNGNATVDIEDENLSFHGPTSILGRGVV VHEKADDLKSQPSGNAGGRVAVGVVGVAKP OJF2_RS32585 MPPTASNEAPSRLHSWLDRHEERRRGGIPTVSVVPAAGGWALRE VLAWGRAAGRGRALVASTRDDWTEESLARGWLRTLIAATDPEHAAAGWLAGRMGREAG ELLRSLRARSRLERGLFLDSALPAADHDPEEAACRGILGGLAGDERPGTRTADEIIEG LGASPLRWASDGRWSGLPAAVATVCGQGRLPLLVLSASAEGDDDRAPPAPPSPDRLAA AAGILAGLVSAHPPLPAALVIDPAAWRDYLGLGEESRARSILRQSVIPAEAVQAGSRT AEEHARRSLAESLADPGNAEKADRARSAAERYLFERLEARPETAGLFRLNETLDFPFN SSRPIEIDLLAPSLKLAVEIDGYYHFTDRDAYRRDRRKDALLQTHGHMVLRVLAEDVV THLEETLGAIREAVAHRRGRPSTGTERKDDA OJF2_RS32590 MNDAHDLTPLEALLLTRHLVAGARPPTPAAVRKDIGPLVEDRWA GSALTSMLERAELKLITLGHLARPEPPPAPPTRGKKVARPKALPVELTPAGRAAALRF LGVGELPPKATWAKVKASLLPARAMDLDAGALAGKGALKAMLLAKALGVEPGEAATMK QVLDLWTRRQFDIGPKAKLDVAAIQQALACRELGEHRPSDPKKAFDLLVSRQVGARKA DDKELGDAILRRWAGGGHERGGPAGPSPDVASPEPPPPEPRIVHPPGDLSQFAARVKD AAMRCTTGRIGDNRVFICRVWDSLQADPEIQSMGLEAFKRRLAEANNRRLLDLTRADL GYAMDRDDVHRSEVHYLDSVFHFLWIGSERH OJF2_RS32595 MSTPPHAARPEPSPGSDPRVAAFCSRAHPGLFYSVAQAADVWKR DPFDVESIHGNVRAAFLGMVDRATTPGHLPTGRMLLLLGDSGSGKTHLMRAFRNSVHA ARRGYCAYMQMTAFTDQYGRYVLNNLIQSLDKPYADDGGKATGLMRLSAGLADAVAAD EPSRVMLDRIRDDDLDQDALDGIIHELTDRIILDDRFSRIDDDAIRAILYLQHGDPRI KARVLKYLRCEDLTAHDRRLLGEIVPRTYPDAPHWMIQRLGEMIWALEQVPLILCVDQ LEDMLNLDDAPLLFRRALSTLCDLVSRLPSCLVVISCLRDYYDQLKQHLAKPTQTRVQ GSPGPIDLKAPREAGEIAQVVGSRLRFLYESEGVAWSPADPTFPFPAEFVAGLAGLST RDVLEKCHHYRERCVAEGNLVPFEGAPVEPREPDPTEIEQAWNELTSGSPREVPSREA TLAEILAGAIRGCSGELTTGHDFHAEVSDRFIPVEIHAPDDSVERLYAGVCNKSAKGG ALGRQIEEVAKLASEQTAVLVRSTAFPSNPRAQITQQLGKLTDRGARLVVVEDSDWRT MMAYPEFAARHAGHPAFATWRTRSRPLSSLESLRRILDLDRIEGGAPAPVEARPREEA ASKANGRAAGGAEASTELGGVAIVAGTRTPLLLGRTNSRREEPVTLDPDLLTRHAAFL GTPGSGKTTAALAVVEQLLLRGIPAILVDRKGDLCAYARPGMGLRDDLDDVLGERAGQ LRARVEVALYTPRKASGRPLSIAAAPAGLGSLPDDERTQGAKYAAAALGGMMNYRSGK ADQANLAILGQAIEILCQEDREGPVSIKDLIAFIADRDRALVDAVGRLDTRRFDKLAE DLQTLHLTQGDLLAAQGEPLDVDALLGRAPRATPGKTRLSIVSTKFLGNNQDIQFWVA QLLMELARWASRHPAPDGSLQAVAMFDEADLYLPAVGKPASKEPMENLIRRARSAGLG LFLATQNPGDFDYRCRENVQTWLVGQIREANSLTKMRPLFADNRVDIADVLPGQKTGE FHLVREGQSIPLRADRSAADTRQVPEDEIPLLARLTIGMD OJF2_RS32600 MILVLSILLASSLSEDPPAAASPGWRFVLPPAGDGFEHPPFRAI VLSREKPEDVAEKASYRGANRRYAQVRFGSPGSIRVTVVLDEVGPGDAELYVDANRDR RIDDRDRVAPAASSPPQRGRTWRLPLDVAMVEGEHTRMTPRAVAFRLGATGRTLGYAA AGYVEGTVVLGDDSAPRAVRRMDGDGNGLLSDPQDRIWLDLNGDGRWDGASEQFLFAT VLNLGGSRYVLRSDTLGTRLAFEPLVGTGTVKLAAKAKGTITELHATLVGRDGSAYGL SADESAVVPVGEYRFSTVSVTLDDPGGGPAWSFLFSDNGAKGDPRWYAVGKDQVRELD PLGALEMAVSAAEDAKSARAGEDLTVQPALYTGDGLLINVGYRGTPASPGAQEAMGAT TTLATAGGEAIGSAHSGFA OJF2_RS32605 MYSSTWTLLAISLALDLPPSADVGPVPVVGTVVDEAGKPVAGAD VWLTPAVRLDDERKSGMALSWSATRSREDRTPRVLARARADGSGTFRMEIPAEIASRP DPIGLAAWATQAGHAAALHRLPPGIGAGGESVTVTLAVGPSARAEMTFRDPQGRPLVG AKIVPSVVRQVPIPAELGEAFATATDAEGRATVAGLDQSAVDAVRVSASGLGEQEIAA DVSPPGTPIALAPVGKVAGRLVAPADHRGPLKGLAVRVRSRVGGFEGSGVSAEAVAPC DAAGRFEFPAIATGLLEIRVVAEGEGATPLRAIAVEDLVLEPGSAIEREVPLRPTVRV RGEVREKGPGRPIAGVLITINERDGGDAWVLSDAAGKWAALVDREVNQPFGWPMRVRV PYYIPAGSPEPPQRMPPRGVDELTLPILELARGVDVRGVVRDPDGRPVAGAEVELFMT SGDYGTFARLVRTDAEGRFLVAGVDAIGELKLRARRGSSTSGPLLAIRAKDMKEPISL MLRRSRIARLGGRVVDPSGRPIAGAVVRIQRRSLDGKAVVAIGPAADDDGATAWRTGA DGRYRVGPLVAGDEYTVEASATGRLPARSGTVLLVPSGEDAVASAPDLVLPGVVAVEG RVVDRAGAPVPGAVVRQSGDGPLRTRATADIYGRFRLPGFVEGPAFAFATCDGFRRAF LPITAGSGPVTLTLIRATEPPERAYRTLPPALPPEESKALARRLFVPMAEQVLAKGTQ VEKFRMFRDALDVDPLAVLEKLESLNLGDTVLNGLARAELAAALAAESFDEAVALAEA GPDAESRAAAYAAVLDAVGTADKARARSLADQAALNVRAIKSPSQRLLVSVQVADRLV DLGDRDGARSLLKEAEDLARSGKAAGAGGYELGHVAEVLGRIDLPSALRMMDEMIEDV RKVSRADRTYVFARLYGRLAHRLAADSPADAERVLDIVRKLKPDESARYIVAACARMA PKDPARARRIAETMIPPESARQIPWALGLIAGGLAATDRAAAARFLEDAFASLEALAD EGKSSGLSDLADIAGAMLPLVEDVAPDRLGESLARTLALRDGRRADRPLAGEEGRVAQ LAMIVAPYDRDLAARILRPAVDRIPRLRALGERDYGSWRVLAALALIDPKDAASRIEA LPDDQAAGLVANTPKRYAIGYAAKLLARQGKARWSFILENLLYLYSPEQRFL OJF2_RS32610 MIVWLLLCLGIAVGGITILVVAINVSFGMGWKTGRMEHVALLAG AAVGLTMLEVLRRWWLVPVVEWPWAMQAAAAACCLVAVVGLPLATWRRWSRTTPEGIE RADTVLDLAGESGPSRFIGEGHHNWLLRIPGNESLGLVVHHWTARVPRLPAELDGLSI LHMTDLHFHRAYDRRYFEAVFDAAVDEPADLVLVTGDLIDDPACIEWITPLFERLQGP FGRFAILGNHDHMHLTGPIAEAVEAAGFTVLDGTAERVSVMGKAVAIGGTCAPWGPPI PADALAGSPADFRLLMSHTPDLVYKAEKQGWDLVLSGHNHGGQVVLPGFGPVLMPSRY SRRFEQGFYGVGRSLLYVSRGIGGKHPIRIGCPPEIAHFTLKAADPNPRPAESSTTRP ASRSLV OJF2_RS32615 MRGPARRLEELAHRERRVVAGLMSGTSADSIDVAICSIRGGGIP GPESRGAAVELLGYREEAHDPGIRRAILELDRLDVRSVAELNVRLGEAFAAACAEGLR RAGLPPSELDLVGSHGQTVYHHSSVPGAIKATLQLGDGDVIAERLGVPVVADFRARDI AAGGEGAPISPFADLILFGSTRGTRRAVLNLGGVANVTVLDPEPSAVLGFDTGPANSL IDRLARRLSGGRLACDCDGAIARSGRVDESLVERLLAEDAYLARRPPKSTGFEMYGDA FVDRAARLLGRCDADLMASLTEFTARSIARAFAAFVPPRDEVIAAGGGVRNPALLDRI AALLAPARLLRSDDLGVPGDAREAMAFAILASEAILGHPTSLPSVTGARRSAVLGKLC FPC OJF2_RS32620 MHSIDLVVIASYLLGCIALGWWLGSDTKSLNEYFLGERSIPAWA VMISIVATETSTATFLSVPGVAYKVDLTYLQLPIGYLFGRVIVAMLLLPSYFRGRIET AYQVLGHRFGTTTRRTASLLFLVTRSLSDGLRLFLAAKVLQLIAGSTIGTAILVMAAA TIVYTYLGGMKAVVWADVLQFAIYMVGALIALLLLVGKLPGGWTELIDRGQDAHKFRM FDFSFDPTRAYTFWAGLIGGMVLNTATHGVDQIMVQRYLSARSQRAAAGALVASGLVV LIQFALFLLIGVGLFAFYQVDPPSGMPLAPDDAFATFIVGYLPVGVKGLVIAAIFAAA MSTLSGSLNASASAVVNDLYRPITGCDDERTLLRLSRGLTVAWGAVQAAVAMGATQLE DSVVNNALAIASFATGILLGLFLLGILTSRVGQRAALVGMVAGISAVSFAKFGTSLAW PWYALVGSSTVFAVGLAASYLRREPVLEPSTPTAASNLDSSA OJF2_RS32625 MALEDTLLTESRNPRSEAIDTLDALGIVTLMNDEDMAAVRAVGA EAAAIAKAVDWAADRFRRGGRLIYVGAGTSGRLGVLDASECPPTFGTPPGMVVGLIAG GPAALTRAIEGAEDRPEQGAADVAGLDVGDRDLVVGIATSGRTPYVLGAVREARSRNA ATVGIACNRPSLLGREVDLEIAPIVGPEVIAGSTRLKAGTVTKLILNTITTGAMVRIG KTLGNRMIDLQPANEKLRIRSRRMLRELAGIDDARAAEILDGCGGKLKPALVAALAEV PPDEAIALLDAHGGKVREAVIARTGVDPR OJF2_RS32630 MSPGEPLLLGIDGGGTSTVALLGRGDGTVLGRGRAGPSNANAVG EEAARAALEQSIAGAFADAGRERSKAAVACLGLAGFDRPEDKELLRQWSESGRWANDL ILGNDGDLVVAAGTPEGFGVGVIAGTGSIAVVRAPGGRSSRSGGWGHLLGDEGSAYAV VLAALRSIARRADGRESPRRTPDPLTRHLCDAMSIPGPEGLVRAIYAPGMDRTRIASL APAVLAAADEDPSIADVLLRPAGRELALMASAAARAVGWEAGRLDVALAGGFLLSSRV VRESLLDGLRAEGYDPAAMDVPEPAAGALVLARRRLGSP OJF2_RS32635 MPLRDHFRPPVEARHSWDELHGMWPGEIVRQLFPILPEGYVAAP RVHLGAAFEIDVSTFRETEPVTQSQPPRDQGGVAVVPWAPPRPTFTLEADLAEPDEYE VRVYDARFERRLVAAIEVVSPSNKDRPESRRAFVAKAEALLRRGVCISMVDVVTIRRF NLYADLLESLDGSDPMVGESPPAIYAVTARGRRPTGGAPLLETWFSPIELGRPLPTLP IWLEDGLAVSLDLEASYEEACRLLRIA OJF2_RS32640 MIRTLAALAALSIFVASSAQAPAAEPAGRYAIVVRRDVAAGPWG RVVRALEARHSGRTFAYDKGPEDVRRDVGAFRPRYVCFVCAPTEDFPAFALVANEFCR GLDDDPYVDAIWGILTGLDADHGLTLANAPPVAIRRAFTKTQGAWLDWVPEGDYVTEW ARDRGELGEKKPGSAFALKPGGPASDAEDARYVHKLLSEDRYDLIIGSGHGGHRDWML MYPRGKGSLTARDGGLTMTAPGVDLPLATSRPKVYWAVGNCLTGVVNSGRDRFQDSYA LAWMNHGAVQYLGAVQSTWYELNWNMADWFLKQEGRWTFSESLFLLRQWSRHLLAENI ATGRDREGTEFTDGIFVLYGDPALEARVEKARDPALDESLDVRETEDKDVVRITYKVK VNFVGEGNKRTAEKYDGWRIFVYLLPFQVADAKVEKTDFAKVVLPGETILWDAGPGLK VGDERSVTFTARRLRD OJF2_RS32645 MVHEARKLAAGARCPDATRVRPPSLASIAGRALAALVLPMAVGL AGSPAARAGEEARPPGAASEHPALERRLEEVLATPGYRHGHWGILVVDARTGKVVYER NPDELFAPASVTKLFSTAAALAELGADFRFETPVVRTGEVDGHGVLNGDLVLVARGDL NMGGRMGPDGTLLFRDDDHTYAGGNPRSDVVEADPLAGLDHLAAEVRAAGVRRVTGDV RIDDRLFEPAESTGSGPRRLAPILINDNVVDVLVRPGKAPGDPASVSIIPVTSYVAVD AQVETGTAAEGTKVDVRAAGPRRLIIRGRIAADQSRLVLIHEVDDPASFARALFLESL QRRGIRTEASPLGVNSAAGLPPPGELLRRPKVAVCTSPPFRESMKIILKVSHNLHAST LPLLLASRHGERTLDAGLRLQGERLRGLGVDLEAISFGGGAGGSRSDLVTPRATVALL KAMMARGDFADFDAALPILGRDGTLARAVSPESPARGHARAKTGTYWVEDDLTGKSVL TSKALAGYMETAAGRPLILAFFVNNVKLDAPRPGRPVSDATADAGRLLGRLCEILYGS DTPPDDPSPRTAPGASRPSTTAR OJF2_RS32650 MESEMIVNGAIVDSWVESSGLMNESPRLVLQVAPRGRPRDLVIV EAQADLVPDSGWLEDLGENACHGSPVMAIGRRMLNGFLSATCLQLVR OJF2_RS32655 MEPSASGPEAGGLGELRTESLLRSVEVYLGIAYPSGKIPETVRR RLAWPEGPITGATLAKPPFEKSGRAADGSTPIFALRLGNERYPHMKLQIQPWTNHAGF LLSVNTHDQVAGLDVGTADAQAFRELQAENQRMKEAIESAWEKAGLPTFLQYLREYLA SREADLQAGSQ OJF2_RS32660 MYVVDFLRSRHVEFETLLHRPASSSERLAGSVHVPGRAVAKSVL VRAGESLCLAILPATSRVDFERLAQALGRPGGEVRMATPEELESTFVDCEPGAVPPFG RLYGIPSIVDESLARCETIVLRANTLHQGVRMRFPDFECLEAPLIGSFAGPILGPSSR PSRRRAG OJF2_RS32665 MLSGQRAFPAPSRRSACAWLLTVAAAGCLGGCHAITSDVHQYYS QMAVNYKEAEDKARFQASMSESESSMLLKAGEIHKASRARKEAERLKEWADRCAHQKE RFKKAAEKLEPPDDSTKGDQPEPAREADGTPGKP OJF2_RS32670 MKPPLMGPIAAMLVATTLTPAAAAQDFGSAFASTPSGGTRGYDF SGFGAYLSGSSPTYVPFGGGMGGFVPYTPGPGGGLGVASPMRDPAARRPSGGMAGMGA RPPFGIPSGSLTPLSPITTGGMGGMGRRPSAGGMGGLAPRVGAGRPMGGMSRPPVGGY PFRQPPSLIGPSSAGPAMSM OJF2_RS32675 MANSAGEEGPPARGRDPRVVPARLESAREREGPDRPEVSAAPLP PGGALEDEPRPSAVPGAMPLDACIRAALDGNATVRAARFNVEALRQRIPQVTALDDPI LSNSIYPIPSVAPQYSLMGYMPYGALLAQQFPWCGTLRLRGLAAEKDVRIALFELAAT ELDAVAATKRAYHDLRYAERAEVLLIRNRKLASEFLEIARARYPTATASQPDVLRSEV AVTDIDREIEDNRAALADARAELARVMHADPEAELRTAPDLAVEGIPQPLDRLYQLAL SARPDLQGRLAAIDRDEAAVALARKKAYPNVTLGVLYQDMEKTNAMTPQTAGGMPNVG LFVGMNLPVYRKKIAAGVCEAQARAAADRALYEAERDQSRRDIKALFALARSQQNVLA ILRRSNLPAARQMLRLTASEYRSNVAGVDFLSVAAAWRDLLQVELQVARSEAELGKTI ASLERGVGVQLNDSPPPAAAGGGPRP OJF2_RS32680 MPRHLRRTRLTWIALAAIMGMAWSGAATACSMDSGSGCCCVAAT PEKIGCCSKVAEEPPSASSDHQVAPLRLIPVEARSCNAYLCGGPAPAPGDRPRPAPGS VEGSKSVVPCSLAAMAGVAPWRADRGPDEAAAPPDPAIPIYLRTLRLIF OJF2_RS32685 MNAEGSSVRDGDGNGEAAAPELSRWQKVRLVVKVVELRLRFVAI MAATGLTFAYWDTLRSYYEKHTRPPVEVADASAGHEFFCPMHPAVVQAEPGNCPICGM PLSKRKKGEKAALPEGVVSRVQLAPFRVIQAGIRTVEPEAVPLSESLTTVGTVSFDER RLARISSKLKGMSRVESLAVNFTGVSVKAGDVLAEVYSPELYQTVRELLLARERAREL SSLGGGTGSTARALLGGGEDLARLAEEKLSLWGITKVQVDEILREGKASPRMRIVAPT GGVVVRKNVVEGQYVAEGDPLFEIADLSHVWILAQVFEGQVGLVRVGQPVEATVEAYP GEVFKGHVAFRDPALNPATRTMAVRYDLENADGRLQPGMFATVTLKTPAAESPAFRSR MAKAAARPSPGAAGHGDSAAELTVEQQEKCPVTRAKLGSMGDPIPVQLASRKVWVCCN SCTTKLKDHPDRFLARLESRPAGEVLSIPESAVIDTGDRKVVYVESEPGVFEGRPVVL GARNGDRYPVLEGLSVGDRVAAAGAFLIDAESRLTQGSSQAAAPAPDAAAAAQHAH OJF2_RS32690 MIERVIEWSIRNRYLVILAALALGGLGARAMMTMPVDAIPDLSE NQVIVFTDWMGRSPQEIEDQVTYPLSVNLQGLAGVKVVRSSSEFNFSMITIIFDEATD YYFARQRVLEKLSIATTFLPPGVTPYLAPDATAVGQIFWYTVEGDGRDLAELRSVQDW FVRYQLGSVPGVAEVASVGGAPKEYQIDLDPNKLRAYDVSLGEIYSAVARSNSSVGGR VIHQGNAEYLIRSVGWIEGVEDIRDTVVARRENGTPISVGQLGAVQVGPAFRRSALEK DGREVVGGVALMRYGENPLEVTRRIKDKISSIQAGLPAGVRIVPFYDRTPLIHRAIET VSGTVREELLVCSVAILVVMGHLGGAFVVSLTLPMAILFSFLLMRLAGVSSNIMSLAG IAISVGILIDQAVVMAENAAHHLTRRFGREKVRGDTTEIIVAACRTVGRPIFFSVLIT ILSFLPVFALSGREGKLFHPLAYTKTFALVGVAILSITLVPALIPIFLRGRIRSEDEN PLVRTMIEIFKPMLSWLMDRPMLVCWSFAVIVGLGYVASTHLGNEFMPALDEGSILEM PTTVPRVSLTQAADDLRVRDALLRGFPEVWQVVGKAGRADTPTDPSGLDMIETVINLR DRPLWPRRMLRLEDAAAQAGVVLTALESGGLVPPAPADRRQGLIDEAVMGILTKLDGA LRDLAALRLAEFRPELGRALVGDAIDELLRRVDPRAVRRTPDAPARAAIAEALAPSYA DRLATEPLRDDVSGLIKEASGRLVELGLLEARPDLLSPAPGAWERARDAAWQLLGEPP ASLDDRITDRVAEAHARRLRERVGRLNWELFDRGVDIINRAAVEELTRLAGDPKSDAG GRADRLRTLQDELRKPLSDRLILWPKTKNDLVSEMDSAVQMPGWGNIFTQPIMARIEM LSTGVRSQVAVKIFGDDLGKIQAVSQEVAAVLRTVPGAANVVPDQIVGKGYVEIRIDR KKAARYGVSVGDIQDVVEVAMGGKPLTMTVEKRERYPVRVRYARAFRDDVEALKRILV AGGSGMAAQAGGTGGGSGGMGMGGSGGSSSPAAAAPAVPMQVPLASVADVRVVEGPSM IKSENGRLRAYVQLAVRGRDETGFVEEARRVVERKVALPAGMYVEWSGQFEHQVRARQ TLRIVFPAVIAVIVFILYLTYRSVVDTLLMMTSVLGALAGGAIFQWLFGFHFSVAVWV GYIACFGMAVETGVVMLVYLREAIDERGGLARIDSVAELKRAVLEGAVHRLRPKLLTE GAAIISIAPMLWATGVGAEVIRPMAAPVLGGLLIADEVIDVFLPVLYFAVQKRRWNRL NGISLFRPAVIHEAQDGSGVITSIPAGS OJF2_RS32695 MRFSRPAAAFAVSVVVVATVTLGALAQSGQFVRDRALPKPDEIV DARAVRAAPAVATAIAYNPAMEVTVNPDLQLDAPSQLIYQQMANTLKGSGFVPNARLT YYSSVNNQGSYSITGWLAFIENVQPDGSGGYIVTISVSPAINDASYSLNSVVADFNYT EKYHIPNNSFTYIDSFDAEGLAGQYPAILRL OJF2_RS32700 MKPLFVRFKGGRRRIAWIKLFAFCTLVVALTAAAFEGGWYLISG RTGLGPVLASLVPSMLIVCRAVAQTLSYQYQESLEESPVGTA OJF2_RS32705 MADARIRRQVAFLAAQMMYQRLETEYFTAKRKAAKQLGVEYRHR PGDLPSNREIRDEIQAMARMHEGPKRLEKLRDMRLDALRLMRQLARFRPRLIGSVRTG HVRKGSDIDIHLFCDSLALVTDVLDELGTQYTVEHKRIVKHGEERVFTHIHVFDNHNY ELTLYPEDKAHYVFKSSITGKAIERASIAELEELLKEEDPDLDLDGEVERLEDHLDRF TLFRMLLEPLEGVKQSPKYHPEGDALYHSLQVFELARSERPYDEEFLLAALLHDVGKA IDPADHVGAALEALEGTISERTAWLIEHHMDAHAYRDGSLGARARVRLQASEWFDDLM SLHDLDLGGRVRGAVVCEVDEALDFLRDLDAEGED OJF2_RS32710 MSTTAKIRGIFTPHMVPLDDRGDIDEAELRRYIDWLIDRGVHGL YPNGSTGEFVRFTAEERRRIIRIVCSQSAGRVPILAGAAEANVRETLAACEAYAGYGA TAVAIVSPFYYRLGTESVYAYFREIARNSPIDVTLYNIPMFASPIDVPTIRKLAEFPR IVGIKDSSGDLAFMMRLIAEVRPIRPDFSFLTGWEAVLVPMLLVGCDGGTHATSGVVP EVTRTMYDLARAGKFEEAMTWQYRLLELFDAMLYSADFPEGFRAAVELRGFRMGRSRQ PMTADQALDREALGRVLQCILADFGYAQPPAEGCPPKGGTVAPPADQVSLLVTSVVDE LRKRGAL OJF2_RS32715 MTGAGDDARMPRARERNRFRMALAFFLAWVAALAITALLSAYRP APRASRGAPPEAPAETAPADTSEQPGQG OJF2_RS32720 MDIRDQLARVIATDSRYTIEAYAFVLEGLKLARSRKLRARRKRE ERLRGTRRKKKRESIQGKEGDSERQSGHVTGRELCLAARQVALRYYGPMALTVLERWG LHSTSDIGEIVYNLIRSGDLDKTPSDKRSDFDDVYDFATALRPEPKPVDEGEEAP OJF2_RS32725 MTYVVRYGRMRFLGDYRAAAGHSYLRGQRVVVQSDRGTELGEVL CAASPRTEALLESAGRGEILRPITEADSARAEGLAKAQEEAFAGCRDLVAKRRLQMEL VDVEVLFGRERIIFYYLAEKRVDFRELVKDLARSLHTRIEMRQIGVRDEAKLLADYGD CGKPVCCNTHLTAMPPVSMKMAKLQKTTLDPAKISGRCGRLKCCLRYEFDTYRDFERE LPPVGAVVVTPKGRGKVVAQEILALKLLVEFEDRRRIIVGRDEVLSVLPHSRGAGGPA GGDAAGRKNGRGRDHHGPGRHPDAAADAEGGGPEDDLGGVGDGDGHAAPRGG OJF2_RS32730 MINEELLALLVCPVGKAPLRREGEALICTRCGLRFAIKDDIPDM LVEEAELPAGCHSLADLECVKAGDASLD OJF2_RS32735 MHLLEPRNSREHVEAFAAAVRAGLSREPKTLPFEYFYDDEGSRL FEAICKLPEYYVTRTEDAILRRHAPDMVKCLRRADGPLGEPTLVELGSGSAVKTRRLL AAALKRCGRLDYVPIDVSASALEESAGQLARKFPGLGITGYVADYRRGLERIMATAAG PRLIVFLGSSLGNYTMEGASDLLSMIGGLMGESDRLLLGTDMAKDAPLLEAAYDDSMG VTAAFNLNLLHRMNRELAADFRPDGFRHRAVYRPDRGRVEMHLVSLRDQSVQIPAARI AVRFREGETIHTESSHKYTLGMLAELRGAAGFVEESAWTDHRGWFRLQLWRRAG OJF2_RS32740 MSKPKPISLKVGDRPINLTQVLKLANCVMTGGEGKLLIAEGRVK VNGEVELRKRRQMSVGDLVELEDGTTVRLD OJF2_RS32745 MTVYDLAMVAVLVLGMIRGAWRGFTWQVASIASLVLGYVAARTG SASLAVKMPGEPEVQRVLAMGLIYMVVSGGIFGVAWLVRGTLKKMKFEAYDRHLGSLL GGLEGIGVGLLATLMVVSMAPATRGPIFASPTGHVVGAIMDGVGPILPEEVRDVLKPI WTRGDDASGDVAVAGQGEGPQAAAPVAGPAPALAADPSPSAAPAVAVASATPDSKPCP SPAAEPGPADLPALEEIPDPAPAAPRAAMPSQAARGPAGKPASVRDAARESARQAASG LKEMANQARQEIEQTVAETIDVDPSSAQKAPTLDQVLAKDRQRIEQTVQNVKGARQKV DALARQRAGQVKQQAGQVKQQAKQQAGQVRQQLNQAILSTIDKGQEKLEQAVGQAIDD QLQVLGGLEPAPASTPAPAKAPR OJF2_RS40200 MTELQARLDQLLKEAARVAVALDRADGTVVGIPHYSVIEARAHE LGRRLSRTVQARHMGELASHATRSVKCPECGTRCEVVPRSRSVTSIDGPLDFDEPMGH CPRCRRGFFPPPGGAGP OJF2_RS32750 MTPTLVRRLTYAGAEARSFKRAAIVMKQVAGQPVSAKTIERVVR DVGLELARRRDADPRTDDSLARRPEGPPALAVVECDGGRIRTREPGHGPGVHRTSEGW RETKNACLIRARPTTSEEDPEPEPPACFADPEHVAKIAETEALSVASMASPPESPSRA GEPPEGMEMVPPADWRPKRSVRTVLSSMADSKEFGKQMAREAKRRRFPEASAKAFLGD GLAWNWSIRKRHFGEFTPILDFIHVLSYLFLVAKAVHEGPEDAWDRYLAWMRGAWRGE VGQVIEELQAWRAKLGEPPATAPDQDPRKVLAVTITYLSNNEGRMRYPEYRRSGLPVT TAWMESLVKEVNYRVKGTEMFWNDPEGAEAILQVRAAALSDDERLEAHLETRPGCPFT RRPRAPRLTRKKIRS OJF2_RS32755 MRRFWQWLASAATASVVPFGLIVLLCPAAERAEDGDVRVARPRG QFVKEIYVTPGLIELARQRRSFRSHEELRRALEGLAGEKDWYEVRIGVRSDDGEEALA RHFVFPYAEPNYFAEVRAVLETIVQKGPGLEGIRFDEAKVYRVARPR OJF2_RS32760 MIRVLGSPKRLCDGLTRRDLLHVGSLGLLGLGAGGAFSPVARAG GSPSGFGRAKSCILLFLYGSPSQIETFDPKPDAPREVRGELGCIATSVPGLNVCEGLP NLAAVMDKVTVIRSVSHAYPVHGVAYATTGNPAVPLAAELSPRDPLHWPFIGSVVDYV DGRRDGTPGGAFPGVPGNMVLPWAFSSRRVGEVPRAGPYGGFLGQAYDPVSTEFVGEG TRKATKTLLQQTWNDLEPYRGITPESRFRLGAVSELGPGLTLDRLDRRRTLLQQIEAF RKAEGDAKTASVDRHRETAHRLLASDRLRQAFDLDREPRETRDLYGMTLFGQAALTAR RLVEAGGRFVTVFWDEYGLAGTGWDTHWDHFPRMKDELLPGLDRTLSGLVMDLDRRGL LDETLVVVLSEHGRTPRIQSNVPGGGRDHWSRCYSAVMAGGGIARGRVIGKSDRIASD PVERRVSPKDILATIYHLLGIDHGRSLTDRQGRPLAIVPDAEVLTDAIG OJF2_RS32765 MLPLIVTSLILAALPARLFRSNLRAYRPAPRPAGTPDRAPDSCS ILIPARNEESGIAEAVSAALASRGVEVEVLVGDDHSEDRTAEVVRAMTQDDPRVRLVA VPKLPDGWNGKQHACWTLANEAKCPLLLFVDADVRLAPDAVARMAAFLAESGADLASG IPRQETVGLMERLLIPLIHFVLLGFLPIGRMRRSRNPSFGAGCGQLFLARDGAYRTSG GHSAVRSTLHDGLKLPRAFRAAGFSTDLFDATDLAACRMYRAPSQVWSGLAKNAREAL AAPSMIVPMSTILLGGQVLPVGLLAMACLGWPRPWGRAEVLLAAAAAACAYYPRLAGV ARFRQPLLGAMLHPLGVLLLVAIQWHAFLRGLLGRPATWKGRPAPECRGADSSAFAPG QAAAAINRSHP OJF2_RS32770 MANFASPSLTTPTAPPVAVQPRLLKAFLWYARRYVARHFHALRV AGDGRLPDLPRGPLVVVMNHPSWWDPMIGLAIISEMPSWRRHFGPIDVAGLAQYPFLG KIGFFGVEPGTARGGIQFLRRSLEILASPESTLWITAQGRFVDARDRPVRLREGIGHL ARRMRGGWILPMAVEYPFWDDRCPEALARFGQPIEVSERPALSSGAWTARIEAALEEN QDALATLARRRDPSAFETIVAGTAGVGGVYDMWRRVRARLRGEHFRPEHRGTKRPRA OJF2_RS32775 MSATKGSRVGVIGGGLGGLASACTLAARGYEVVLFEKNPWLGGK AAVLSEGGYRFDMGPTILLMPSVLRRIFAEAGRELSDELDLVPLDPQWRSFFSDGSVL DLHADVDRMARELDGFAPGGAASRGYRSFLDLSRRLDDISERYFFWRSIGSLRDMFDA SATFQASTLSDVMSMRPWSTVGATIRGRVADRRVSQMLDHFTQYVGSSPDLSPAVLCG IAHMQTDEGVWYPRGGTRAVAEALIRLAGELGVEFHTGRGVGSIRTAADGSACGVELD DGHVEELAAVVSNCDAVRTHRELLAGRPAARRFEKRRGYEAACSGVVLYLGLDRRYDQ LLHHNFVFSRDPHEEFDAIYRRGEPAPDPTCYVCAPAATEPEVAPPGGEALYVLVHTP YLRPGHDWSRMFPAYRRTILDKLRSTAGLDDIESRIRVERWLTPQDIHDRYRVLDGAI YGLSSHGRFNGAFKPANRSPDVPRLYLSGGAAHPGPGMPMVMMSGWIAADALDRDGLV DRRGPRMERELAADARR OJF2_RS32780 MAESKRVVIIGAGPGGLASAMLLAAAGCQVTVLERQGRVGGRTS TIGGDGFRFDLGPTFFLYPRVLESIFEAVGRDLHAEVPMVRLDPQYRLIFGAGGKIDA TPDAERMRREVAALCPEDAARLGRFLEDNRAKMAGLKAVLESPFSKWRDLLSPHLMKA LPHVRPWLSLDGELRRYFRDPRIRLAMTFQSKYLGMSPFNCPSLFSILSFLEYEYGVF HPIGGCGHVSEVMAGIAEDLGATISVDDEVEEILFRGRRAVGVRSRSGVHMADALVIN ADFARAMTRLVPDHLRRRWSDRKIARKRFSCSTFMLYLGLEGRQDDLAHHTIYLAKDY LENLADIETRHVLSADPSFYVQNACVTDPSLAPPGCSTMYLLVPVTHQARTVDWAREA PAFRELALSQLAKIGLEDVRPRIRYERMVTPADWEGQHQIHLGATFNLAHCLSQMLHL RPRNRFEDLESVYLVGGGTHPGSGLPVIYESARITSRLIGADLGIPVAAGVAETVLGK AASLVAVE OJF2_RS32785 MTAAISIRGVTKRFGGVVAVDDLDLQVPRGSLYGFIGPNGSGKS TTLRMIMHILLPDEGEIEVLGERDTRAAHDRVSYLPEERGLYKRMTVRRLLRYFGALK GARQPGLDRAIGEWLERMGLSDWIDKKVDALSKGMAQKVQFIASVLQGPELLILDEPF SGLDPVNAEVLKEAVLDLRRAGTTVVFSTHDMAVAERLCDRIFMIFRGRKVLDGTLDE IQSLYGYDTIRVRTDAGVDALAGLPGLNEVNDDGNSQEVRYAGDPQDLLSALMARTRI RQFEIARPSLHDIFVRIADPDDAGDGHQAGAAAPQVEAAYHEG OJF2_RS32790 MKADVRKIWVVASTEFGSAIRTKSFLIGILLLPIIMGASILLQV FVAERVDTKPRRFVVIDHTGVLAPVIEKAAKAHNEALPEARGKHARPSLVMEPAPTIG KAAPGAGTGEEKLDPDYALALSDRIRNGDLDAFVEIPATVVEPGKDPAAKRAMEYHSD NPNDDVLRNWLNFIVNAEVRARRFRAAGIDQALAERLSQPVEVENLELVERRAAAATG AGGAGEAGRGEVKAAGKVDQVRTALVPAALLFSMFFVIMTSAPQLLNSVIEEKMSRIS EVMLGSVTPFELMMGKLVGNAGIAMVLATLYLGGGMGVAAYHGYGDILSPGLVAALVF FLILAVLLYGSMYIAVGSACSELKDAQSLMMPVMLLSMLPVFVWTAVLRNPASPLSVG MSLFPPASPFLMLMRLALKPAPPAWQVGLAVVLTALTTLAIVWAAGKIFRTGLLMQGK APTFAELARWVMTK OJF2_RS32795 MGDIRSRPLIVLKGLLFLAMSVLAGVLLVAESPRWQTAALAGVL AWASARSYYFLFYVLHAYVDPSLRYAGIGAMLSNLMGRRGGGA OJF2_RS32800 MLDYYCERCGPGLWAEPLNATSNLAFFVAALAAWRLARRLRAMT AGAATLIGLAAAVGVGSALFHTFATPWANVADLAPILAFQLAFLWLYLRHAARLGTRP AAALVATHAVTCVLMVFLPPYFNGSVLYAPTLVVLIGLATYHARTGQPGRWTLAAAAG VFCASLAFRSIDELICPSFPYGTHFLWHLLNGILLYLAMRAIILTAGADPGRTPGG OJF2_RS32805 MRAEDFDELVMSVREAGRIRRGEAQASRITDFAPVDVQAIRLRL AKSQSEFARMIGVSVATLQNWEAGRRRPEGPARALLRVAAENPELVAAVLDPTSRDS OJF2_RS32810 MRFIETSIFTRVIGGLLDDEGFRALQLALLLAPRAGSVIPGSGG LRKMRWASGGGGKRGGCRVIYHWHEPSETYYLLYAYRKNEQEDLTGEQIQALGRIVRE EFR OJF2_RS32815 MSAPATPASATPAARPIRRVAILFAGGPAPAANAVISTAAAAFH RDGIEVLGIQNGYSHLVEFGPENPMKEGRDYVILDQAKLRRTRNTRGIMIGTARTNPG KDVAHPSHLSDAKRTAPMKRVYDALMSLGVDALVSIGGDDTLKTANKFKMFQDYLPEG SRRIAVVHVPKTIDNDYRGIDFTFGYFTAVETLAGEIRNLLADAEATRGYFIAECMGR SAGWLAYGAAIAGEASLVLSVEDVEEKFGAEETLTDPKTGVTSTRKIMNIDKVVDVIV KLMTAREAAGKEFGVVVLAEGLAQYLPASHLEGARFDEHGHLSLASTNLARTMVKAIE AEYKKRTGKGKRVTGLQLGYEARCAQPHAFDVMLGSQLGVGAYRALVENGLDGVMISC SGQLNLFYVPFETLVDPETLVTVVRFIESGSDFHRLARFLENYPHD OJF2_RS32820 MSNDPGEHRTEPQPEAAPPEGDPGADDPSGVAGPRRRVDLVGLS MAAATVVMLCGAAWLRFGPAPADKIEVGSTFPPLRLLDLETEEARLLLGQRGRVLWVV FWSAGSPSGADLLKGLEPAWGRLRAHRGFTLAAAAVESDRPELVRRAVAASHASLPVY LAGPEARRRFSAGDADPPIHMLIDADGHIAVLSRGADRETIQQMAARAEGWLRQIDPL GNTRFAASHP OJF2_RS32825 MRHANRPRPGFTLIELLVVIAIIAVLIALLLPAVQSAREAARRA QCTNNMKQLGLALANYESSTGSLPMGDSNDWYPEWGQHWVGHGVFLSMSQAFEQGQIY NATNFSTPFFRDEQRTVFAYAVGTLWCPSDPTVMEKFDIPDGNYVSGSHPYYVNYTSY TANCGTWFQGTRNYPDYIYSAGPNPTRVAQMNGLFWNCSSVKLASITDGTSNTIAFGE HAHGLLKDEYNDNYDLSYERRYWHWWCDGGFGDTMFTTMFPMNPQKKLQDGTWADSNN GGVSAYISSASSFHPGGCNYAFVDGSVRFLKDSIDSWALNPATGQPNGLSIDGNGLYI LAPGMRFGVYQALSTRNGGEVVSADTY OJF2_RS32830 MIGTSGDDVRRRAAGILVVAGFLVAVGCESGDVGTVSAAKASGK GAASGPAEGSAPAAKAGKKGVDESRAPQREALPKAARRKG OJF2_RS32835 MTGPIGRRRFCLLGAAPLGLDGAAPPPAAAAGDWINVRAFGAAG DGDADDAPAIRAALAAAGGRTVFFPVGRYRVTAGFRSEVPVHIRGEGTGAGPGSGAQA NDHCTRIVCDFPAGHLFDVESMFPSIFRDFQVNVAPSRRPMARGAAIHLRPPGTATVA NYHVEGVAINHFHTGIAVIRPAWGTIRGCYFGDWAGDAISLTTDMRIEGSGGHVRNNY FFGQTSGATQRSCIHLGCGYVHVAENEILGARHGVHCAIANHPAGYIRVFQNTIEEQA EAGVHVESVGDEQATMMAICDNEFSALTNGPTYAASVRISPNGSPGVNFIQDLLISRN ITRHVLSADGRHYRIGNGRNVKLADNLLEELGQHGPVGIQVGDGEASGLMVPLVVEGN QFLGGFRQRYLLPRAGTVIVRESQGCPHALLPGHAADGSELFCPDGTPAGPVAAGGPG CFARRLGKAWRA OJF2_RS32840 MAFARGRRRRRRGGHGAGLFTRLREATGTVYGDIGTSVLYTFME IIRETVALKRHAHGDDLAEILGPGGDLITRTEALGGLSLVFWALIFLTIKYDLIVMRA DNHGEGGTFALWGLLKGAAARVFGITLIGYLVVAAAGLLAADGVITPPISMLGAYEPL GEPLAVAATLVSLFVLFKPQWRGTSQVGGFFGWFMMLVWFPWIAIKGVPWVIRHPDVF LALDPSYAVALLRELPGAGVFVIFGVVVLAITGGEAKYADIGHFARHGEGQAVEGTSL DPKDSGRLPVMYSWFAMVLPCLVLNYAGQVGYVLERGVPPRANTFYALTPRTGDERID QVIAAGDMVISAIAAFIASQALITGMFSIVKQAIAMGFCPRFAVHFTSREAEGQVYIP AVNWAMFLGCAAITVSFRTAGNLAAAYGIAVTGTMGITTLAFGYVAHYRWGWGLGKVA AVCTPILAVDLLLFASNLSKFAHGGYYPVAIAAVLLSVMLTWQWGRAELARAFYAFGV QGGKQVSWLVALREKVDEIQLAIDENLPLAKLLVQGRRRLVETDRAFVFLCSRPVQDV GEYLPVSMRIFLKKFGVLPAHVTLFHVRQLAVAEMDKHQPRFEVVDLGRNIVSVTATY GYLEQPDIRGALRELQLEGRIDIPSDRWIIEVGEEEIIVGDDLGFLDRLRVYYFRLIL RLSTPAHKFLGLDYDAGVSKEVIPVVFNRHDVTVALPELEINEPEPAKVAAT OJF2_RS32845 MATRRGFLQQGLAAGAAGAAASRAMARDGAEDPPAGGPAAGHLR IGMILFPRMDQIDFTGPFEVLSRLPDSTIHVLAKEEEPVRDVMGLILTPEGSLASAPP LDILVVPGGPGQEALMEDEAVLGFLRDRSAKARFVYSVCTGALLCGAAGLLKGVRATT HWASFDLLKYFGAIPVDERVVLDGKHLSAAGVTAGIDGALTLAALLRGERAAQQIQLG IEYAPKPPFDSGTPKTAPPEVLDAARAATAALTRRRLETARRAARRLGVSPAE OJF2_RS32850 MSSTAPATNPAPIIAPPFTLEAATKKVRAAEDAWNTRDPARVAL AYTEDSVWRNRDQFVTGRDEIRRFLASKWARELDYRLVKALWCFHDDRIAVRFQYECR DAQGRWWRSYGNELWEFDARGLMKRREASINDVAIEERDRRFLWDAPGPRPSDHPGIP EVR OJF2_RS32855 MMEADVVIVGAGPAGSAAALALAPARRVLVVERHEQVPDRIGDS LAPAARRLLVDLGVWEDFLRDGHAPCYAARSTWGSGAVTERDTLGDLDGHGWHIDRRR FEARLRAAAVARGATLLTPARVTGLARTPGGWEVRIDWLGRATTAVARIVLDCGGRAS TLLRPFGAERDVRDRLICGWVTGRRRESRPIPGVSYTESAPDGWWYTAPMADGRRVLA WHTDSDLPAAALVRDRAALLAEAGHSAGLAAETADADFRGDEPPRAIAAYSSALAPPA GDGWLAAGDAATGFDPLSSQGLFHALYTGRSAAMAADRALAGDISARGDYAAGLSRID AAYRRNLAAWYGLERRWPGREFWRRRGGMPG OJF2_RS32860 MPSPGDSDRSAPANLERSDEPGPAPVAGDAPGDGIVKAVIYPPI GIARVGNSPDDYFIGPEVPDPPARPPGCYRDAEGRLKRQAARFRVYGVDARGRIVRDL TAKGSGATVRWSVKLANTKAAWYAFQLALDIPEAAMAPPTMLRNPTVADRARLAITPS ARRVEGPDAGPERFDDGAFMGTPVYLGEIRTDEEGRLVVLGGRGVSRSSDGSIAITFA NNEGWHDDVSDGPVTAEVTLGGRTLEAVPAWVVVAPPNYGPMRKSVRTMWDLMRDVAI KAGTLAAPRRPSYSSDILPLFRRLAGLQWVNAGFASGFGWKGLTDFTDESLLKRLGDP TPAEQELRRVVCNAFRRFDVDAWSPAPWPWVYGDAMNIPPPQSPREFVALTDTQLQML KQWAAGDFEADYDAAPGPYRDIDEVPLAERGESLTRAALEFCLADAFHPGCEMTWPVR VATMYMEPFRWAHQAPDWIEPGLGSVLTADVITTPDGPFFGQVPGGITRWMAVPWQTD TASCSSGYDKSFDPYLPTFWPARVPNQVLTKENYAIVMDRSRPLDERLAAFADRTPWR NPLGSVSYTDQINNMVRGFGQLGVVEARPGPGDAAFPAELEVEDQHPPISAGPVAAAH PAGAAAARTEAVAPGRGGPASADAVDLGGIEKVRRFPAIRR OJF2_RS32865 METGVLGAGGGKSIWVVGDRYTIKCHGRETDGAFALIEATIPPG GGSPPHIHSREDEAFYLLEGQVDFHADGRTYPAAPGAWVTLPRGSLHHFKNTGPGPAR MLIMVMPAGLEDYFLEVGREAREGEAERAPTPQDIEKLIEAAPRYGLELRIPAE OJF2_RS32870 MGEGRNPGGAVVSEGAIRDRLRGLGDPEAAALAARYFKTGPGQY GEGDVFLGIRVPVLRGLAREYRDAPRPVLLSLLRSPVHEDRLLALLILVGQFQRGGEA ARRRIYDLYLANTRFINNWDLVDTSARDIVGAFLATRDRAPLDALAGSESLWERRIAI IATFHFIRAGQVADTLRIAEVLLGDPEDLIHKAVGWMLREVGKRDATALAGFLRAHHR TMPRTMLRYAIERLPEAERRAFLEGMPASPTGGRSPGESRR OJF2_RS39590 MDRDASDRLVSDLAVLGSITSRPMFGGHGIYWNGRMFAIVFKGR IYLKVDDESRGDYLARGMEPFRPNARQTSRSYWELPPGVRDDPEELIAWAKDAIRAAQ S OJF2_RS32880 MAKSHKEKEGHEAGDDDSGGFAMKGEFRSGPIAGTAIVTGVTFP SKPLQFAEVDGLAIFEGDIVLGTVDQVKAMTSQVSDRMAGVGPVLESIGITGQRFRWP NATIPYDFEAGFPNPQRITDAIAHWEANTRIRFVLRTAANAAQFPNFVRFSNGGGCSS NVGMQGGMQAVTLGPNCTSGNAIHEIGHTVGLWHEQSREDRDTFVRIEWANIDPSMQH NFDQHIADGDDLGAYDYGSIMHYPPTAFSTNGQPTIVALRPIPPGVVMGQRTALSAGD IAGVHAMYPGPTTIKEVPKDPILDPTAKEAVKDPTADPTIKEVRKDPILDGTFKEIRK DPIFDPTFKEVVRDPGGGGGTIQEVTGPAFPGAGLGQGGFGGATPFVIAGPSQFGGGG AADATTELMAQVQALGEALLQAQAQLAQLAAAHDSLVQAIAAMRGGQV OJF2_RS32885 MILVISYPGEDHTEEVVRRLESRGRRVVPIDLSDFPSRASLRLE WAADGEPAYLVEDSRGATDLSAARAGWWRRIRPHEVAPAVADPSYRAFAASETSQAIQ GVLDALPCRWVNPRAADAAAHHKTLQWTVARQVGLKVPRTLVTNCPRAARAFVDELGL GRVVVKAFLAMLEAWRETRLVTRDDLDRIELVRYAPVILQEFVPGVDLRITIVGEQVF AAEIDARRTSYPVDMRMVIGESDVRAVALPAELQAALLRLQRRLGLSYGAIDMRRTAE GEYVFFEVNPAGQWLFVERRTGLPISQAVADLLARLAGA OJF2_RS32890 MRTIEGSIEVPVGVARRVYGRMVVEVRDVSRADAPSLVVAEDAR DDVVVDPGEPLRFALQVPDVEPGRSLSLRVHVSCDGSPGVKRGDLLTTASHPIPPRGD RSSLRVPVKVV OJF2_RS32895 MTGPLNPPVTRRQAIARIGGGFGALGLAGAFAQAGLLGGVARGA EQVVPPNPLAARPPHFPARAKRVIFLFMNGGPSHIDTFDPKPKLRQYAGKDAPESMTK TNRKKKGAIMPSPFAFARRGQSGIEVSELFPEVASCIDDLCVIRSLYTDNPNHEPSLL MMNSGNMQPIRPSLGSWLTFGLGSENQNLPGFVVLCPGKPVVGPQLWSNSFLPGVFQG THINNKTVDPERIIRDIRNRHLSPAAQREQVDLLQRLNQAHLEARGRDEPLEARIASL EIAYRMQFEARDAFDVGRESPATRALYGDGEFANACLIARRLAERGVRVTQVYYGNDQ PWDDHSDILNHRNHARKSDRAVAALLRDLKSRGLLDETLVIWGGEFGRTPTSEGAKGR DHHSTGFTMWLAGGGVRGGMVHGATDELGFHAVTNRMHVHDLHATILHLMGLDHERLT FRYSGRDFRLTDVHGSVATEILA OJF2_RS32900 MRRAPFGSIGLAWVLAFLATARERAWAGDESQAEFFESRVRPVL ATRCFECHGEKKQKGGLRLDSSQAMRAGGDTGPAIVADDPTHSPLIEAIRHEGAVKMP PRGKLEAAEIDALTEWVKRGAPWPAAEGPVRPQAASPGQAISEADRDFWAFRPVRDVP PPAVSRAGWPKSSVDPFILAKLEEKGLQPSPPADKRTLLRRASFDLIGLPPTPEEVES FVRDESPEAFARVVERLLASPHYGERWGRHWLDVARYGEDQAHSFQPRLYPNGFRYRD WVADALNRDMPYDRFILEQVAGDLLDEPGRIERLAALGFFALGPVYYGDPKKFDQVDD RIDTMARGFLGLTVACARCHDHKYDPIPTSDYYSLAGVFLSTEYEEAPAAPERQVRAY DAAQAAIDGKARQIEALLRSCSANARAAESVRYLVAALELRAVRSGEGRPTSRDVAKR EGLESGRLDRWASLLERSKDQPRVAELLRAAGPAAGKADDESKRAVAHAAEAFRKHVE AVLASQDAGRPVDAADGTLVGALFDGKGPLALNKDRVEKDLPPEARARLVSLRSEEAR LRQEAPPKYPVVHTLKDAAKPADASVLVRGNPATPGPKVPRHFLSILGGSTPFASGSG RLELARAIASPDNPLTARVMVNRIWHHHFGRGLVRTTSNFGNLGERPSHPELLDLLAR RFIDGGWSMKALHREILLSATYQQASRADARAEEVDPENVLLSRMNRRRLEVEAWRDA VLAVSGRLDPEIGGPSRSLDDPGNRRRTCYAAVSRHDLAALLRLFDFPDPNITGAERT RTTVPLQGLVVLNDELLVDGALALAARVRASAADDDARIRAAYALLFGRPATDQERRI GRDYLAAPEPEGATPGGLSRWDRYAQALLATNEFVFID OJF2_RS32905 MIRGWILTCWAVLVSAALGQVPSIESTADLVGRGRDNVISTPVN QVLTPYGRQVELDGLRPQAIALSPDGRRLLVSGKTSELLAIDPDQAKVVQRVALPPES QKQPPKVASPNILNPDRKGQVSYTGLIYAHSGRRVYLSNVDGSIKVFAVAGDGTIEPT HVFNLPEAKAPRRKEEIPSGLALSDDDTRLYVCGNLSNTLLEISTADGKVLRTWDVGV APYDVVLAKGKAFVSNWGGRRPGPGDLTGPAGRGTVVRVDPVRHIASEGSVSVVDLAA NRVSKELITGLHASGLAASPDRKFVVCANAGSDNLSLIDVDKEAVVETVWAKARPSDL FGASPNALAFDDAGKRLYVANGSQNAVAVLRFDPEDKGDTKLQGLIPAGWFPGAVLFD ARRKVLCVANIKGLPERPKAQKNGSKGFNSHHYSGSVSLMPIPSKEDLPKLAERAAKN MRRGAIAQAALPARKGQPPRAIPERIGEPSLIEHVVYVIKENRTYDQIFGKLPRGRGH ADLCVFGAEVTPNQHKMVDEFVLLDNTYCAGICSADGHQWSTTAFGTDYMEKSFAGFP RSYPDGMGEDEADALAYSPAGFLWDNAVAHKKSIRNYGEFMAPKVRWKDPKRKGSPDY LSCYRTWKGESGDVIFECEPSVESLRPFSPTDYVGWEMAVPDQFRADYVIRELKEFER KGEFPNLVLICLPNDHTSGTSFGSPTPASCMADNDLAFGRVVEALSHSRFWGKMAIFG IEDDPQAGWDHVSGYRTTAYCISPYAKRKAVVSTQYNTTSLIRTIEQILGLPPMNQFD ASATPMFDCFTDKPDPTPFESVANKVPLDQMNPNPRAIRDEALRRDALVSAQLNFREV DKAPEDVLNRILWRAQKGTAVPYPEWAVTLVEDDD OJF2_RS32910 MSDPLRIEKLRPDHALEGFDCGSEELNRFLIRFALANQRAEAAQ TYVAVSGSIVVGYHSLAVAEVAFDDAPDRLRKGLARHPIPIMLLARLAVATSWQGRGL GGGLLKDAMRRTLQAADIAGIRAFAVNAKGEAARAFYEHFGFIASPTDPLHLFLLIKD IRRLAGS OJF2_RS32915 MTQRSARSAKLDLRLSPEAKERLQAAAHVAQRSVSEFVLDSALE RAGEVLTNRTRFGLDAQRWEAFLEALDSPPRDLPRLARLLQEPSVFEGGADR OJF2_RS32920 MSTEPLPPTRRDFLASSAFGIGAFALAHLLRRDGLLADVPSKPG ENLPLDLKPRPPHFAPKATAMISLFMHGGPSHVDLFDPKPELQRNHGKDYGGEVTFSF VNRASKKLLGSPWKFAKHGQSGTDVSELLPETARMVDDLCVIRSMHTGHNGHEVSIRY FHGGIAALTGRPTLGSWVVYALGSESQDLPAYMVLSDPDGPPVDGTNNWSSGFMSPLY QGAVLRPQEPRILNLDPPPHLRGPAQARNLAFLDALNRRHAAMHPGEAELEARIRTYE LAASMQTAAKEALDISGEPEYIKRMYGLDQDATREYGTRCLLARRLVERGVRFVQLFL GGQPWDTHSSIKSSLPAICRRTDRPAAALVADLKQRGLLETTLVHWGGEIGRLPVSEG NLDDSAGRDHNGQGFSIWLAGGGIRGGMTYGSTDEVGHRAADNVVTPNDFQATVLHQL GLDHAKLAYHANGRAQSLTDGRPAHVVGEILSSPPIPAKA OJF2_RS32925 MGLSMRKLGGTLLILLALADGPARAGEAPTFERDVRPILKAYCL DCHGGGESLKGKLDLRLRRFAVKGGEGGPAVVPGKPGESELLSRVRDGAMPPGEKKVP ADRVAVLERWIAAGAPAARAEPESLPPGIDITPEERAFWAFQPIRRPEPPPSGPKDRV RTPIDAFVLARLRDRGLEFAPDADRRTLIRRLHADLTGLPPTPGEVEAFVADPAPDAY EKLVDRLLDSPHYGERWARHWLDPAGYADSEGDGPQDTPRAYAYKYRDYVIRALNADR PFDRFLIEQLAGDELVPRPWTNLKPDQVELLAATGFLRNAPDGTAGGGVDGLTSNQVV YDTIKIVSSTVLGLTVACAQCHDHRYDPIPQSDYFRLRAVFEPALDPQHWRQPGQRLI SLYSDADRTRAAAVEAQAQAIQKEVDAKTARFLAAATEKELAKFPMDVRDRLRAALDS PADRRTPAQKALLDSNPSVIISAGVLYQYDQKAADELKKDAERVAAKRAEKPPEDFVS VLDEVPGVAPETRVFHRGDHRQPKSPVGPGDLTIAAAEGKRFEVPADDPTLPTTGRRL AYARHLVDGRHPLVGRVLMNRIWLHHFGRGIVETPGDFGTLGLRPTHPELLDWLADEV ARGGWGLKRMHRLIVTSTTYRQTSRRDPSRDAADGEGALYGRYPVHRLDAEALRDKVL AVSGRLDPTPFGAPVPVAEDTVGQVLPDKDSPRRSIYLQIRRTRPVSLLAAFDAPALA VNCDRRQPSTSPQQALMLMNSDFTLAHARSMAQRLLSATTAGPDRSRRLLAGAWALAY QRPISPEELEAAVAFVAAPREGKDPELAALTDLCQQLLCSNEFLYVD OJF2_RS32930 MARICLINPRFPTSFWGLNHALPLLGKKANMPVLALPTLAGLTP PGHEVVLIDENVEDLDLDALDAFDIVGLTGMSVQRDRMLELLVELRARGIFVVVGGPW VSVAELWFEPGLIDVAFIGEAEETWPRFLEEWAEGRHASRYEQAEKTDMTRVPTPRYD LVPFRHYAMGCVQTSRGCPFQCEFCDIIVIFGRRPRIKTAEQVVAEVDAQYRLGARVV FLVDDNFIGNKKAAKVILRALIEWQHANGYPVALFTEASLDLAEDEELMRLMTEAGLV AVFIGIESPDEAALRETKKYQNVRGSLEDRIRRIQEEGLEVYAGMIVGFDSDDPGVFD RQFEFLGRSRIVGAMAGMLSAIPKTPLYERLEAEGRLDNAAADDPNIATNIIPLGMSR EELRDGWVGLMERLYDAENYFARYDALFVEGRLPLGTAKMTWLRRHRPLSYLKVQVLT ILAAIVILARVWADPRTRPYRPTYARHLRRLLAARRPPRYLFQFAWKCALHLHLAVLT RRMALRETALVNT OJF2_RS32935 MQAIDLSKEDIHSYLARHQRKELLRFLTCGSVDDGKSTLIGRLL HDTKMIYEDQLAAVRRDSEKVGTTGAGEVDLALLTDGLKAEREQGITIDVAYRYFSTD RRKFIIADTPGHEQYTRNMATGASTCQLAIILIDARHGVMTQTRRHSFIVSLLGIRHV VVAINKMDLVGYSREAFERIKDEYTGFVAKLDLRDITFIPMSALKGDNVVSRSEAMPW YSGPPLLDHLETVHIASDRNLADLRFPVQYVIRPNLDFRGFAGTVASGILRKGDEVMV LPSGRRSRVKSIVTYDGELEAAFAPQAVTVTLADEVDVSRGDMLVRPDDPPHVSGEIE AMVVWMAEQPLVPGRTYTLKQTTRQVSAEVASFRHGVDVNTLEHRSIARLGLNEVGHV QLSLTQPLAYDPYRINAATGAFILIDRLTNNTVGAGMILEAGGGRAPGDAWGSEPAVR LKLRESLVSPEDRQRRLGQVPATVLLVGLTGSGKSRIAYGLERRLWDEGRAVTVLYGQ NMRQGLNRDLGFTADDRSENLRRSAEVAKLMNDAGMITIAAFVAPHEAVREKAKDLIG RDRVLEVYCTAPMDVLRSRDTSGAYRLADEGRIAQMPGVTAAFEEPKSPDLVLQTDQV SLDQCIDRIVALMRSRGYLG OJF2_RS32940 MVGTGSYNLTHLKVLEAESIHIIREVAAEFERPVMLYSIGKDSA VMLRLAQKAFHPGRLPFPLLHVDTTWKFRAMIEFRDRYCREQGLDLKVWTNQEGVAQN INPFDHGSKKHTDIMKTVALKQALNHYQFDAAFGGARRDEEKSRAKERVYSFRDRLHQ WDPKNQRPELWNLYNGKVNKGESIRAFPLSNWTELDVWQYIHLEGIPIVPLYFADVRP VVERDGTLIMVDDDRMRLRPGEVPMMKKVRFRTLGCYPLTGAIESDATTLPGIIEEML LARNSERQGRVIDHDESGSMEQKKREGYF OJF2_RS32945 MPDPISRRSFATMFGTSVVLASATEPSSGDEKRAADPGPVEAGF ERDYPAPGFKPRWKKPQINRQFVQDFVIYAHSDLDMTRKLLDREPALLNAAMDWGAGD WETGLGGASHMGRHDIVEFLLGRGARIDLFCAAMMGRLDAVKSFLTLQPALIDAKGPH GFTLHFHAQVGGKVAEPVLDYLQSIKKIELKPNPFLKPPAGPAGAAK OJF2_RS32950 MSRGTGIGLGMMLVLATIAPAWAQDRKDDSPADPTAAARNRMVE RHLKERDITNPRVLEAFRTVPRHKFLPENTRRQAYDDESIPIGEGQTITPPYDVAFMT QLLDPKPTDTVYEVGTGSGYQSAILSRLVKEVYSVEIHEPLYKRASAVHRELGYTNIH TKAGDGYEGWPDAAPFDAIIVTCAPQKIPRPLIDQLKEGGKMAIPLGDRFHQSVHLIT KKDGKLIDVVKKPTLFVPMTGKALKEKADPKPSAEQPPDDAPKSRRNRGR OJF2_RS32955 MVLGLAVGAPVRGRAQEPEIERPGPPALDDLEKDSDRDGVPDGW YSARDAVWESRGGVVGPHFVRFESKRRGRPSGISRAFGVDGSKTEAIVIGVWLRLGHV QQGERTGQEPALMIDLLGDQLRQLSRGTMGPWTHSVGSQWTRVAKRIPVPPGTRDAIM SIGLMGARGTLDFDGLTIDLVPRADEAATSTNLVLNGDFELGDPAPAYWIANNDPARI FPGHRSGSALELGRSDSGLPRILTGLAMPVEGFGALTVSAYVQAKGLRGGGGAGAEMF FLDDAGRPIPGTDSPLMTWAGSFDWRRDTAEVRVPPGARRAVIQFEKMDALGRVAIDD VVVSASPTPDAGAWTPFHVSDDTDDWLKVPPSTKIVAGSPLDVSFLVPAPAGRNGFVT AKDGRLAFEKGGRARFHGVSLLAPGAFLEPARADELADRLSRSGINLVRLGDLDSAIG PDRSLFDDTRDDTKAFDPGAMARLDHLIAAMKSRGIHVALELQSRRIYRDDDGVPTAG LLPPGGGPAALFDPTLTKLAMRTARDLLGRKNQETGLAPKDDPAIAWITLLGEVSLFD LQEHPEVALPGEYGAALRALGAKSTSGSGRRFWQSLEAAHYKAMVEALRAEKVRVPIA GCSHWRRDAEFAAGLAAPPLDLVDDRLYWSPSTFVAPEIRSQLWSLDGALDAGARKKR HAGVPYAVGQWCPLSQGVWAFPHEAADQLLAAQTAAHEDWDALVRRGVFIFPIEWGAG PAGTSGGEDIYQLAEVANASPHVYALWPHMASLLLREPGPAAQGEREAAARRKPRPGS VPGWDPARGRLAIDTPFTQGIAGWYGGELTSLAAVDIVEDNPFAVVVATSVGAEPIAS AKRLLVTAIARVEPTGFAWTDRFRREAADPGRPPLLQEPVSARVSWRRKGTIRAYALD NDGGRIGEAKVEPLADGAGATLVIDGKTPAFHWELAAD OJF2_RS32960 MAKKAAPKAAEPKAAAPSKAASKPATKTEFYSALAEKTGLSKKQ VASVFEALVEFHNKELGKKGPGIVQVPGLYKVKVVNKPATPARPGFNPQTKEAITIKA KPARKVVKVTPLKALKDMI OJF2_RS32965 MMRPRWTRLAACAAVMGLTMGEAGASDEVFSRQAVASQEEHASE AGAEALRRGGNAVDAAIATAFALAVTLPEAGNLGGGGFLVAYLADRREVVTVDFREEA PASSTPGMYLDAAGKLLPKHRLGARAAGVPGTVRGLALAHSKWGRLAWADLVRPAARL AREGFPISAELAGALNAQLAPRKPGAEPARGPYGRLADIPSSVAAFARPDGKAWQGGD RLVQPYLAATLERIAEHGPDEFYKGKTAGLIVAYMEANGGEIRARDLEAYEAKIRPPV HTTYRGKDVYGMGPPSSGGVVLCQMLNILERYDLKADGRESPATVHRVTEAQRRAFYT RATRLADPDFVAIPVAELTSKRAADDLARTIGDRATPSASLAPFPILPAEPEHTTHLS TLDAAGNAAALTYTLEESYGSKAVVAGAGFLLNNEMGDFNLIPGRTDAAGRIGTEPNR IAPGKRMLSSMSPTLVLEGGKVRVVTGSPGGRTIPNTTLWVVLNLLEFGMPPREAVAA GRSHHQWFPDVILFEAGKWPQATLDGLAARGHSRLPTRAIGTANTIVVGEGPGPIHGV ADLRRTTSAARGD OJF2_RS32970 MLNHARQRAAGAIVAGGLTVVLGLAADDSKAPQDKAAPAQAAKA GRGRIAGVITKVEPLGGADASHARAWRLTVNTAVVWRDFVRDQATEPEKASHTGVNKA AEKGKESVATEGHPQASDLLATAELDGQTNISQRYRSSTDSVSDGAPTAEGASAVEDA AAQAPSDRGIASKAEAKDADKGGPKARKLEVADLKPGLWVEVDSRVNDQSGHAVRIVV LRPVGGADVSPEKEKVPETKAVTPRP OJF2_RS32975 MTPPDEPIFVRPGSDQPDPPPGGGLGHDPTRREPTVADARPGSP AIHPAVAPATPPRPAPSAHPRPDFAARPAHDAAPAPFGMSTVLASGALALVMGGLGAW GYQRFVEPSMAKAGVKDSAGQDGEAGGAPQAKVDDRLKDLASQVDEIRDRMAKIPKQS APDLEPLNQRISAVEDVPRKLAALELRVSELPTRLDEEGKKLAVMSADLEGLRKQFSS LQTDVASESKPANPGAARDADVGRAASTAPDPFRLAEERAAKGPSLESGVALFRSKKY DEASRTFEELTKSNPDDARAWYYAALSRGLATRDWKGDTEALVQRGVDREKAGTPAKP EIDSAFAGLTDETGREWLAFYRNRARENNAGR OJF2_RS32980 MRYLALATDYDGTLAHDGRVDEPTREALRRLKETGRKLILVTGR ELDELLEVCPEIGLFDRVVAENGALLYRPDGREEKALATPPPPEFAERLKERGVGPIS VGRVIVATWEPHQAAVLDVVREMGLELQVIFNKGAVMILPSGVNKATGLDAALRELML SPHNVVAVGDAENDHAFLGSCECAVAVSNALDMLKERADWTTPGARGEGVVELIEALE ANDLAELEPRLGRHHILLGHDDEGGEVRVAPYGRRVMVAGTSGGGKSTLTTGFLERLA EARYQFVIIDPEGDYTDFELAVTLGDPKNAPSADAVVDLLVKPEAQDASVNLLGIPLA DRPAFSDGLLPRLQELLAKTGRPHWTVVDEAHHLMPRAWTLAPAALPSGLGSALFITV HPDSVASSVLEAVDILLAVGKDPEGTIRRFCEASGRAMPAGLEPVDLGRGETLAWFIR EDRAPFRVKTVAGKSELRRHGRKYAEGNLGPDRSFYFRGPEGRFNLRAQNLQLFLQLA EGVDDPTWLHHLQQGDYARWFREEVKNDELAEAAGRIAAEPGLSAADSRARIREAVEE RYTLPAEPAESATDPSKFG OJF2_RS32985 MRQPRWFLTIQAFMLGTVVLALLMGGWVTSAMQAVALLVVWQLR RRTRVRSGPLLLCISPPEQRRRNRIVLVLALAFSLVLTVALGSGQLDDMVGYLMIMSI YSWLILGWAEPEFTERGLLRGRRFHPWAQIRAWWWGVDGRTLQIKLPFEIYAVRVAIA DRVPIQSILEANLGAAKELKPDFAIEGRDGGG OJF2_RS32990 MRRKISALAVGAALSLAAFTGQARAQFGYGYYPQGYGGYGWGGW GGGIGGTAQGDIARGLGYFNIGAGQYNKDTAVANSIDTDTAMRWNQYVYESQREANRT YYNRKNDGIARDQAAYDSMMKRMQDDPSPRDIESGDALNAALDQLSDPRIHSSVLRTA SEPIDAKLIRDLPFRSATEAITFSLTQLKASSQWPAALLEPRFEPERKDFETLVDEVH KENAEKGEVSPRTLADIRGVNNRIRDKLTAMPLSSQAEQREAMNFVKTTTALTRMLER PDIDKVLGELSKIDRTTVGNLLSFMQTFNLRFGPATTATQRQAYAALYPELDKTRDRI IKDAQLDGPTASGSGKGRLHDFFSAMDIDQIQGRRRDQPTANGAGGTDAAPGGTGDAA NAAPGAQGGSGTTPAPPPPAPGDSAPPRPRENRQPK OJF2_RS32995 MSYQLVPAQPGPQPAISLQRPVLLIGRHPECDVRIPLPKISRRH CCVVLAYDRILIRDLGSRNGVRVNGQVVEEARLHPGDEIAIGPLIYRLTSESTQAARP APPQAPPTPPLPPQLDESGLVPLDDS OJF2_RS33000 MTARLVALDEGPDILLDRAMVVVGRHPACDARLDSLRVSRHHCC MMQENGEVVVRDLGSTNGIRINGQRVEMGRLKANDELSIAHIRYRLDTGQGHDQTLAD GGPYSPTPPPVAGPRIPVSGSGDSGRSGVNSLSDDPFPLSPVPPEENALAAAVRRILP SSVAEKCRIQVIVQMTGDPSSGNGTAAAPPSGPEAGPEPEKPTG OJF2_RS33005 MSGLAIVTGGAGFIGGHLVRQLVEAGREVRVIERPGADVGGLPA GVEVRFADIRDRDALEPALRGASQVYHLAANPNLWVRDRGEFDAVNHRGAVNVLELAL EAGAHRVVHTSTESILTRHRGGGPIDENVDIKESDAVGPYCLSKLRAENAAMDMARRG APVVVANPTMPVGPGDRGLSPPTRLILDFCRGKLPVIMDCTLNLIDVRDAAAGLVLAM ERGEPGRRHLLAGENLTLAGLLEVLSGLCGVPAPRWRVPYGVGLAVAYASEFWADRAG GGAPRASVTGVRLARRIMHFDPSRSLSVLGLRPRPVRDALADAVGWLRETGQIPRGRS A OJF2_RS33010 MSATGRTSPRDAAKGRGPATAPGAPGRARGGVPAAAMVALVALE LAWLAWFLIVPMPNFPREQGTLRRGLLLLKAFPHVVPDTPWSESLLGKAAEELSHVEN LPQRLPIAAAAGLIALAAVGLGELALAALRIRGPFRAAERVAVAFGVGASGLGVLTLL AGRLGLLSPPPVRIGLAAVAAAGLAVSWRRRAASGEGGGIWPPGLWPPAAIAVPFVII MALGAMLPSVDFDVLEYHIQGPKEYYLAGRIADLPHNVYTNMPFGVEMLHLLAMEVMG DWWWGALAGQLLVALFAPMAAVLIAGAAGRVASPRAAWLSAVVYLSTPWIYRLAVIAY VEGPLCYYHAALLAAAILAWEDPQLPRGPWWGLLGLLAGGAMACKYPALISAVIPFGL LALADSWRRRSARPLLAYGLGWALVMVPWLGKNVVDHGNPVYPLGYRVFGGHPWDESR EAQWARAHGPRPVEAGALAGSVVDVAGRSDWQSPLYVAFAPLALAARRSRRLVLAILG YTAYLFLTWWLLTHRLDRFWLPLLPGLAILAGVGGDWSPGSAWRALRGFILATGVVCN FVDCSTALTGLNEWTGDLATLRHDLPRRLNPPLAAIDRELPPGAKVLLVGQAAVFHVG HEVVYNTVFDPETIEGLASGTDDDFRRALRGRGITHVYVDWKEVRRHRAPGGYGFTAF VTPERFAGWARAGVLGRPIAVGPEQELYEVP OJF2_RS33015 MSSDDPRGVLLRAEDDVAVAARPIPAGATIELPGGRVTAREPIG LGHKVAVRDIGEGRPVRKYGQIIGFASRPVAAGALVHVHNLRADLFERDYAYAAERPT VPAPDRPRTFRGFLRPDGRVGTRNYIAVISTVNCSASASRLIADRFRDSRLRDEFPNV DGVFAITHKGGCGLPFEGEDHGILERVLAGFARHPNVAAYVIVGLGCEGAYAQHLVET RQLTLLPPPGAGGAKGRHDGGPAPTVLNIQEEGGITRTVEAAVRAVRDLLPAANAWER TEQPASKICLAMECGGSDGNSGVTANPALGAAADLLISHGGSAVLGETTEIYGAEHLL TRRAVSREVGEKLVRRIKWWEWYTGVFGAKIDNNPSPGNKAGGLTTIYEKSLGALAKA GSTPMVDVVEYAAPVTKPGLVFMDTPGYDPPCTTGLVASGANVLVFTTGRGSVLGLRP TPCIKLATNTPMYERMADDMDMDAGTILDGEPVEAVGRRLFDRILEVAGGEPTKSERL GVGEEEFAPWTIGPTL OJF2_RS33020 MAKSRDWTEILIRRGIIGPDQLKEAQRSGAAVEDALVKLGYAEM DDIVKAKAEQHGLPFIELREVEIPPSVIGLVNESLARENIVMPLAEGNGSIKVIMHDP MGFETIEKLRFVLNREIEVALAPKEAIVEAINKYYGASGTETESVDSMLQEFTDTQID FAEDGGTGSKPGTTNTLEEGDAPVIKLVHLIIQEAVTNRASDIHIEPFADRVRIRYRI DGVLMERDSAPRRLLGAIVSRLKIMGQIDIAEKRRPQDGRIKILVAGKDIDLRVSILP TTHGQSVVMRILDRENIKVGLQDLGFGDEDFAKFKSLVKRPNGILLVTGPTGSGKTTT LYAALNELNRPDVKIITAEDPVEYYLPGVNQCEVKAKIGMTFARIIRAMLRQNPNILL VGEIRDLETAETAIQASLTGHLVFSTLHTNDAPSAVTRLVDIGIQPFLVASSVLAIMA QRLVRKVCPKCKVRYEPPAHILAGLGLRPELAKKANFMKGKGCSHCNKKGYRGRMGIY ELMTMTTGIRELAFKGESTMALRKLARKQGMRTLFEDGIIKAIKGLTTLDEVLRITKN DVSTDPVPAAKKEVKPA OJF2_RS33025 MGTLLIDKLLQTVCTQKASDLHLTVGSQPVVRLHGHMRPLATKV LEPPDTVALMKSITPERCQQELQELGGTDFGFAFGEMARFRVAVFKQRGNVGMVLRRI PNEFLTFEQLGLPTVMGELIQRPRGLILVTGPTGSGKTTSLASMINWINNNMDRHIIT IEDPIEYFHKHQKSLVNQREIGIDVPDFPEAIRRALRMDPDIILVGEMRDLATISAAI TAAETGHIVFGTLHTNSAEGTVNRIIDVFPKEQQDQIRTQLSVAIIGVLAQALLPRKP KGLVAAYEMLVVTPAISNLIRENKTYRIDSSIQTGRKHGMILLDDSLFNLWRQGLVEE QEVIYKSRKPKDLQDRIENAKKGIFDDDEEGGDEEE OJF2_RS33030 MARRLGTIMVDMGYLDEEGLWKALEEQKRSSNELLGKVAVRLGL VKEEQVLKALGEQLGMKVMKLADTTIPAEMTELVNESMATAYKVVPVSQNKKDKSVTV AMAEPQNPSTIDSLRMFLGVDVKGAIASEADVMSAIERLYAGHQESIQDVVKQIESDK GLSAFANRNQNTIDLEAIEEMAEAAPVRKLLNMVLLLAIKDKASDIHFEPFEEEYKMR YRVDGILYELVPPPRHLAPAISSRIKVMSNLDIAERRLPQDGKIQLALGGNNVDIRVS TLPTMFGESVVLRILDRSVVQLDLRKLGMPEDTLATWMQVIHKPNGIILVTGPTSSGK TTTLYATLNELNKIEDKIITTEEPVEYEIEGLIQVPINPEIGVTFANCLRAILRQDPD KILVGETRDLETAEISIQASLTGHIVFTTLHTNDAPSAVTRLRDMGLPTFLITATVEA VLAQRLVRKLCVNCKTEFTPSPEVIMELGLTPEQGAAQKWFYGKGCDRCNNTGYKGRM GVYELVVMNDVLRDMVVSEVSLDEFKDACRKYGMRTLRESGLQAIHEGRTSIDEIMRE TMTEI OJF2_RS33035 MPTYQYEAMDHTGREVKDSIDASTQEEAAQLIRQKGFFVTKISE KAKKSARKSAAKKGGRKKKKSFTIGRISTKQLCTFTRQLSTLQDAGLPILRSLKILEG QCRPGVLKNSLGDVVEDIESGMTLSEAFAKHPKAFDRLYCNMIKAGEAGGALEAILQR LADFKEKSQSLKRRIKSAMVYPLVVISVACIIVGFILYFIIPKFEAIFKDFGVPLPGM TTFLIAASHFVIKYFYLCFLAPLFFWIFIKLLYRNRTGAYVCDRILLMIPVMGTIVEK STVARTMRTLGTLVQSGVPILESLNIVRDTAGNAVFERAFTRIYESIREGETIAQPLR ESRIVDDIVVNMIDVGEETGELDTMLNKIADNYDEEVETAVESLVSLLEPIMIVVLGG IIGFIVIALFLPLITLITKLSG OJF2_RS40205 MTRPRPTHDRPDPTRRGGFTLVELLMVIAILGLLIALLLPAINS AVRTSRKAAIGAEINTLAQGLNAFKAQYGDYPPSRVYLAENGDFGSAQAIQIAPGDIT FAQLSQRTVSYLRRFFPRAVLNTSGGNVWGALGSSPKVWYDFNGNGVMDPPYILEGHE CLVFFLGGIPLNNGTSFSMTGFGKDPTNPFTNNGGVINSSDNRTSPMFEFAANRLVLD PLQIRRYQGDVPHYQAVAWNSPAYVDNSGNAINDVASAQINFYAYFSAYGKGGYDPND VNFQLAISSNEVYGEKDANLAIPIQKFRVAYPIPTTAITGVANVAASAPPNPYTSSST VPATGTAVQAPTFLNPQSFQIISSGPDGQYGLGGIYLPDSTGATVLPLDPNAATIGTS DTSIRTRERDNVTNFHNGSLD OJF2_RS33045 MRNQRPRATPRSRQAGGDGTPPGGCESHRAARRAAFTLVELLTV IAIIGIIITLILIAASGAQRQAEMAATQSLIAKLDSAMNDRLDALLQTRPDYNLTHRY MASVYYTDSNGVSRQSESQARAQVIAWYDFIKAEMPDVFYVYNTTGPYPLNFAFPESG LPGTPIDSQGLGHVMLPLGNSLRNNPSGNSFGDSNFSNTVGTGIYGASYFAAAGVYKN LGYLPAGWDGADNSTSSVSGGAGLVDEWGEGVNSTNSAQVVANLTNHKHHTARAEMLY ALLVEGVGPLGSAFSRDDFTDREVRDTDGDGLPEFVDAWGNPLQFFRWPILYPTDVQR GQSEVVDSGTGLLTLLPPYYSTSATAATFLPRENSPLDPNNLLVAPAWWSATQNPMSP FGMGATSQNGSPAVTLFENFFHRLTEPLQHTGSVMDYWDRGGSADFGYRRAFYTRPLI LSSGPDGAPGVYLIPDGGTSSMPLNAAHLIRFENNALIFDPVEAGFNGEVLGIQSFGA ASKSYAIWQAGKDDITNQNRQTAGGGGGS OJF2_RS33050 MGARAARGRRSGFTLIELLIVISIILLVSAATLPAVVSAYNHRQ VSEAARIIQAALVGARDAATKNGAPAGLRFLPDPALNVFDGTTLRMDPTKILAADRFV PIQLAPDYTEGRIRMIASGSTLNYDTSAINPYTYFIYPPSKSPTGVTTYYPALSNRLL YVEQEVFDKDGLLNPPTSWYWNVRIGDKIQINNTGVFYTVVGPMQVNNPELFVNVGVP GTVPPMKAVTSAQVSGNHYPEFLFLVNGVDDDRDGFVDNGWDGVDNDLDGVTDRAPVI SSAGVVSSYWEWTETETWQSSSTSYLTQGQLPYTLVRRPVIAPGARETGLPSNVVVDL TTWNAAVPERSRVPLDATNGTFDILITPDGSVVPTTTYSSPTSFGMGASFFHLWLAER GDLYDPITPTSPAVNYLPQVANANTPAGETRFLKGDRMLLTLFTRSGQLVTNPIESFD AHNVNLPFVLPQQGIRGDNR OJF2_RS33055 MKIRTTAGRAAPKGRAGITLTEILIAIMILGIGLISLATLFPIG LLRLREAQRQTRSSLLFESAACDVAARTLFDRNSFLYVDLVNNNYGWPFWYISGGARF DPLVHDTPYYGGAPTGDGAGNGASSTSYGLPFAYDPLWRQITVHPVTGTPGIYLDPVN QDTAEFRFADGTGLLPNDPGGGIPSAHGLQRLTNFNRPGFAGTGPSAFVPSIFVSPED VVWQDSTINTYNVAFNPTVGVGPSPSSVVPDLSMTYDAAGNQTYQPVNDFRFSWMLTA HQANGSAGAAFDGNVVIFENRIFGTEPAPAPANFRAAGEFVCEGVFGASKNVQLAANA ATIGSYGYGMGADRTVLIRWSNTLADPVVKVGDWIADVTYERNQLVVAKRFLFNSGVG AARASTLEWDNMPAQRCYWYQVQKVTPAQDDTTKAGFRSMTVYTNRKLEARTVLNTAG NPLYQNAVLICPQVVNVIPQTFFLR OJF2_RS33060 MRQRRHNPDARRAANARANRRGVTLIEMLVTLAVLLVMMTLVVR IFQAATGSLNAAQVYQEIDGQFRRLDSVIRSDLAGATAKMTPPNDPQNHTGYFEYIEN EFADIQGEDCDDAIRMTVKAPAGRPFTGRVWLPPPNPSTLTAVEASNYFSSTASFQPI TVTSDFAEVIYFLRNGNLYRRVLLVAPERQASIVPTLNNTATIMVGTPSTPATMPTSP PSPFLSSFMPTGLNSLQVSWQSMNDLSAHPAPRGTPYNGNVVILNTLSDLTDRENRWP NQRFADDFLNLSGVDAPDGLADDFNQDNVPDYYPTLYPTVISAPVNNTLVWEPVPYPR THMPAMAFPFVFPGMYSRPQVWNTGGLNPGWIHSPEPLAGAALNQYDQAPLAYLNSIN HAPIDIGDNLPVPITGATGGSSEYQTWWGFPTWRETLSPFWTDPTYPVQGVTSIGLAP GQPRGLAYQDASATVVSDSGNLLPAMNGNYRVIPQPFTDGMGTGVDGAGNGFFVSTNA ATNTALSNLWNSGWEDDLVMTGVRSFDIKAYDNSLGTYADLGWGDDPRLTTTLVGGST TAGGVTTPTPMGTTLFTPYLYGNYDAYNGAYAFPAYANVNGGFFDVVNQTFAHEGRMP PLVNDNRLDASNPNPTYVSPTSYTPAYPAVPTYSSNVGDDNVSIFRLRRTWDSWSTAY TKAPATGINPPRSPLPGFPAGPPFSPPIYPSYPAPYPAPLRGIQIQVRVTDPTSQRIK TLTIRQDFTDKL OJF2_RS33065 MLAGTRTRRGRRRGVILILVLGILGLMAVIGITFATLSGQARVG ARQNAQAMQQPQRDELFDYALSQLISDTADIRSAIRGHGMARDMFGNDANRNGYLTQR PDGLRMAPNNDAFFYITAVASAGGTLYDLTTNIPTADNAFYGYDFTRWTLRVAMLTAP TSPATGVVNQSLEVLIDNTSGANHVFRVNIAPTDAATTLLNPTVTPTHAAGYTTQLPG DYLVAAAGGGAVGTQQFILDGRWLHAFNGPGMGSNAYKGNFRFNGVDPNSAGMDEDYD AADLENWFLALQSADGTVMVPSFHRPGIVRYDISATTTTPINDWDADYYVSGPAAWRE AAQRVLRPRRVDGHDGTTFPDLIPDETGRLTFDVDNDSDGKTDSVWVDLGYPARTNAQ GQLYKPLFAFMVVGLNGRIPLNTAGNLAGNGSTHAAHLGNSVSEVDPTYGLQNGVTPT TNQSDNSGQDVRLTQLRNLLAGTRTFDNDSPTSYPYAPVPGLSQTNGDANWVQVGFDA ATGSPIPIYMPNGKVDAGVDYRADVAATIVSDPANQAVMRSTEPIAGRWGEAQAVPGN PTLNPNTGTPMNLLGQGYNNQVRAGYSLDPTDQVSGQARDAADDNFNSFDPYPPLSAR TGEVDDLDFLDPAGGYLMPVERMRRFVTPPDINGSGAVVQWDGIATSAGANRGADQWG RVEFSSYFRPPGLPGTIAPGAGATAIGFPWADTDPYPATMVSNTTGNSLLNNNNPLHG FLAQCFPNLNYTGGFTPQRVGGVPVDLNTKAAPLRYLPNTLPTYDMGANARQRSDGLN EADEMNLYAPNAQLDAPFGYADLEWLYRGHDVDGTSLTSRLSKLAPVSFRNPVDSTRH RRLFSVDTWETTNFSWASDRPVNTFNPASPGLNFTNNSRFASGNAGFRNIPVVPATTP PTSVPTASLMHRDRKINLNYPLPVSNDPNESVRQKWIADAYYTLKAILPPRSVDSPEE LAQLSQFVINIVDFRDTDATMTHWRNPDVWLRPGTTVAPYVVLTANKLATDMPLDQYG MEHNPVAINEVLAYCFNRKTGTGGGNTPTPRFFIELVNTLSAPELGNNTNAGLGTPLN NASVLDLAGFLSNSTPAPPTPWDGACWDLVFTADNPVSRPDPILGQLQPGGTFYSLFP FSQSSVMAATPAMTPTPPPTGDPVLLPLPQAPSPKNTSMYMGDPAKTATAGGTGAAAT LSHNLYFMTIGNAAPAGGAESAPPVTSYQFQASWDPVTGSAPTGAIPAGVLPPPAVGG TVPTVYPAAKLPQPAAGRSAFYWVCLRRPANPFAPVSATNPMIVVDCMRFPYTEAGGT GTTSGGMDTATTGSNNIYSYQRFQPYRGGQAVPYGTSTGISDPRYGYSEQIAPPATNS GNVGKYGTATNNITQPIYHTLGAPNDYTWDNLTTNPSIYEAWDYFPFNDRDFTSVAEL MMVPGCPPGLFTKQFVEFAPSSATANNLKSVTPLRTPTPATLPTYGDFSKSSTALDYP AAPAAAPATPLTPHTFPYLVDKFFYTAASPATVPPNGRFGDQTGDGWFKMFEFFEVPS QVNGSIGSVAQGMNFDWARQDTRPGQINLNLVVDEEVFFSVFGKQDGSFQQNLLNFAE LTPPTWTGGIWPPQIGSTTPYPPLPVGTPPVPLVVSAQNAFGAPSYAYPMPNVGMGYL DPITNTYLNHMKASFAQFLTLRHGGSGFVFGYGKGAPGQNYAVQLAAANPNAPTFANL PRNPIPADRPFRSLSYPDINYTVMRPAALPLSPYTDPKPSTAAVAWPPTGYTGNYAGD PGLRNPSIHHAYITSVPGATPTPPNGPASTPGSRLHLPPPIPARRLFQPADRSTASNA NVAGDPYVNNTKPVTATVATGALPPWGGVGINDGVPSIYWPTTETLPYAAGTLGNDNS TATVPWKIDRRQHPYFRSEQLQKAMNLTTVRTHQYAVWITVGFFEVTRTGDLGMLAAP SVSPTLAFDILGPEIGAATGQAGRYRGFFIVDRLKLTGFDPNTPGSFRPAVMYRQTIE OJF2_RS33070 MNPRRRGFTLIELLVVISIIGVLVGLLLPAINSAREAGRRAQCQ NNLKNVGLALTQFSTAKNSFPNSGVFFEDATKVNPQNPTTSSIYLATSLQSAPSGLSA SSLVYTWGRSWVVSILPYLDQQDLANAWDNDGNYLETATTGTALQASNYTIGSTALAI LRCPDDLNAQDGKGNLSYVVNGGFSRFPTVPIAWQGTVANGQNAGFTLQWLTSADGPA TAQSIGVRLGVMFPGAVVHSGQTAGTGLGTTKFSWNTNTTLSSIQDGLSNTILVSEST TAGYAAQGQGIFSDVETNWACPHPNFTSFMASDNVCGGADGQGTTGACYNAGLGPKNA TGGQVDGGNWDFANKLGTFENIGYGLSGALKGGFPYINSGHPGGFNVTMCDGSVHYVK NQISGTVFSKIVTSAGSKLPPAAAGFGGLLQLPVNQDEYAQ OJF2_RS39595 MRIRRRVRPGRGAGAFTLVELLVVIAIVGLVSVAAFAVLSHASV AASGAARELQAALVAARDAAMATGRPHGIRLLPDPAFPVSRLADGSIDPSKPLCASRF IPIETAPDYAEGFVVLNIDPGPGLQGFPGKYPRSSTESYPYPGKVLMIEESPVDIFTN LVHPPTSWFWNVRIGDRIRIDGSGTWYTVVGPMTTPNPEMFVNCGTPGVDFQGTKSPL IRYFDSWVEAEYLFLVDGRDDNGDGFVDEGFDGIDNNANGLVDEIGEWEQEAWSDAQA QAFFQSRRYVISRRPVPSPGGVPVTLPSSTVIDLSTWSTTRERSRLPVNAYSGEADIL IGPRGDVMPTTVYSTPASTPFGAPFLHFWIADRSDVFDPDLSATPRLPVLGDEDPGNG RALRGSPFLVSMNARSGRIESTTPTPIGSSTAGAGRDPGFPFRAVEQGGR OJF2_RS33080 MRLPLVATASLSLVTLMMIAPIASGDGPARPGGDERLQATFRDY LNELFRREPLTATSLGDHSHDDRLDDIEPAARKATLDFKRATLETLSREIDPATLSAD GRVDLDIFRNALTRDIWLAENFKPFEEDPRVYGDYTTGSVYLLLTQSSLPKDANLAHA MARMEQIPRILSVARRTITSPPKVVTETAIRQAEGAVAFYTTELFELAGQKPGEGPLG AKAAALVPAIKAHVEFLKKEVLPRAGENWRIGREKFARKLEMELDSGLGADEVLAEAR REANRVQREMEVIARQAWGTLFPGKVIPTDDPAGRRELVRRVLEKVADDHGTAETLVD EARATVGDIKAFIRDRKILALPEPDQCRIIAMPEFMRGNSVAYLNPAPPLDARGSSEY AISPPPADWSKARADSLLREYNRAMLKILTIHEAYPGHYVQLEYSNRCPSLVRKVLSS GTFAEGWAVYTEQMMLDQGFGDGDLGLRLNQLKFYLRAVVNAILDHEMHAGTMTDDQA LDLLMNRAYQTEGEAVGKIIRSKQSSCQLSTYFVGRMAFYRLRQAIQAQQGESFDLAR YHEAALSHGTIPVKHLPALVRRTLALPAEDHKPGL OJF2_RS33085 MAQVLLIRPGATIYDEQNRVQGILDIPLSEGGRARVSRLAEELA GTPDGEAITALYCGPGESVMRSAEIIGRALGLRPRRIDELRNLDQGLWQGLQVEEIKR RNTKLFRQWIEDPRTICPPQGETVEDALDRVKAAFRPLIRRHQDGELIGLVVGEPLAR LVSCFLRKTSRFQLDEQLPCCGCERIDVPADLLANGSS OJF2_RS33090 MAKMGGSANAWQGHSESKRVPEGVWMRCDGCSATLFRKQVEQND KVCPECNFHFPVTAKERIRQLLDEDTYEEWFSELQPADPLGFDDRRPYPERIKAEQAR TGLKEAALVGQGFIKGIRLILGITDSSFIMGSMGSVVGEKLTRAVEEAMRQKLPLVIV SGSGGGARMHEGIFSLMQMAKISTALGRYRAAGGLFISVLTHPTMGGVAASFASLGDI ILAEPKALIGFAGPRIIEQTVRVQLPEGFQTSEFLLEHGFVDRIVHRRDLRSMIAQLI NFAAP OJF2_RS33095 MSTDVRILAIHAHPDDVEFQCAGTLALLREAGCAVTIATMTPGD CGSAEHDSESIAAIRREEAAKAAALIGARYVCLEFRDLAIFNDDDARRRVTEAVRRAR PDVILTAPPVDYLCDHEMTSLLVRDACFAAPCPNYATRQWDPAGALARIPHLYFVDAL EGTDRDGRPAPVDFHVDISRVFEVKRRMLACHASQRDWLLRQHGIDEYLDSQAKWAAH RGAEIGVERAEGFRQYRGHAYPQDNLLLKLLRQDGRGGAVA OJF2_RS33100 MANLEVLTPKQREIYAFIRSKIQGRGYGPTVREIGVHFQIKSPN GVMCHLKALQKKGLIHREPNMSRAIQLLEDPPTAAGPAAGVKLIGRIAAGQPIEAIEQ QDELTFSEWTDSSDRFALKVSGDSMIEEHIADGDFVIIKKQEQARDGQIVAVRDDEGE ATLKKLYRDKNRFRLEPANRAMKPIFRDHVNILGVLVGVVRKY OJF2_RS33105 MEADPSAPADLVRLFTDGACSGNPGPGGWAYILQHPATGREQNA SGAEWDTTNNRMELTGPIEGLASLKRRCRVELVTDSQYVAKGITEWMANWKRQGWKRK EKGQLKPVMNADLWMRLDELLSGHDVKVTHVLGHKGHIENEACDRMAVEAYKELKRNG R OJF2_RS33110 MGHKLPRRDFLHAASAFGLGAGLGPIETLRAMTPATAAEAKVDP EIVRFRPEIEPVVRWIEETPREQVFDRAAAELKGGLGYRPLMAALFLAGIRNIKPRPV GFKFHAVMVINSAHLLAQTSPMAERLLPMFWALDNFKSSQAADVREGDWTLGLVDESR VPPPRRARAEYVRAMEAWDADAADAAVAGLCRTSGAAETMEPIWRMAVRDQRNIGHKA IFAAQSWRTLQAIGWEHAEPVLRSLTFGLLDLQGDRPGPIGPYETSLELAAKVRDDWQ VGRADPAATRSLVQALRQATPEAAAAEAAKLLNQGISPRAVWDAAALSAGELMMRNPG IISLHATTATNALHYIYEASGDETTRKLALLQAVGWQPLYRDRSKANNPVEIDTLAPT GTPAGPEATDRAKADEAIAEILGSLDGHRGQAVTKAVEFLARGGSSMRLFAGVRKVIL HRASDSHDYKFGAAIWEECLAASDSRWRPPLAAAALQHVPGPSAGDSPLMTRAREAIA KAT OJF2_RS33115 MTSPIARLAVLCHCLGCIAVADGPARTPAAILAEYDAVKVPTPD RSRAGDAAAINAYREEAARASARKAGLALELSRVAPDNPRVPDMLIERWVQTMMSPAT AGATVAEIDGTLKHFKDPARRKVARQMKAIATVVSHPDDPKAALPEVDRFLSDYPKDP LGANLLNGFALSIKDPDLKRTLLKRLAAEFPDSPLAESAATALAALDLVGKPLDLDFV DAVGKKPVSLKSLKGRVVVLDFWATWCGPCVASMPALKALNEKYKDEGLSVLGVNMDE GDEGREKMAAFVARNGLPWPQHHDGKGWESPLVERLGVRAIPALVVIDRAGNVAELDA HDRLAEVLPRYLHAPSPAR OJF2_RS33120 MPFRTPPKPRRRGFTLIELLVVIAIIAVLIALLLPAVQSAREAA RRMQCTNNLKQIGLAAANYESSNGAYPPANIYTFSGNSQFGFSEFVRMAPFIEQGAVF NSANFNWSYAAPCNSTLASVSLAMLLCPSDPTAGNPSSVDAAIYGFSGTTILQARTYY SGCGGPWNANGFQVVGTLGADPALSQYQKGVIVDQGSVKIASITDGTSNTMAFTENGH GFINPKTADYYHSWNDGDPSVGVSETRFPPNSWKKYQGLPSYWIICNPMSFHPGGVNV AFADGSVRYIKDTIDSWTIAPPASNGLPVGANPEMYSNVGPGDYGFTLQNGAYMGVWQ KLSTRNGGEVISADQY OJF2_RS33125 MKPERPSKPTAAITMAPALCLSLVLGAIAGCGDEASRMAGTIDL PERNAAKPDFKQAAADAKARAKAAKAGKPASQAH OJF2_RS33130 MTDAGPPEEAVDPTLAGLAEQITAHLQRGGAGAVEAILERHPAQ AGALRAMLPTLGRLAELARGAYTKHPGRRLDAGRPPDPPAARAGNPDDPPNREAGAHA AR OJF2_RS33135 MTTEGAPTLDALLERSLAGDASARRELLERHRDDLRRMVRARLN RRLASRIDPSDVVQDALAEASQRLDDYLRDRPLPFLGWLRQLTAERVVDAHRRHVTAQ TRSVRREAPGPLSWDDSASALGNHLVANDTSPSGRMALQERLDRVMAALQAMPEKDRE ILVMRHIEQLPAARIAETLGCTVGAAEARIYRALVRLRGCLEGLDA OJF2_RS33140 MPERVPEGEVPDADAAATARAAPPSGDIDPGLAGLFEDLLKRLQ GGAEIDVEAVAAGHPAWAAEIRRMAPVLLDLAMLGRGEPAGLPGGRPFGGYRIDREIG RGGMGVVYEAEQLAIGRRVALKVLLTAASLDANALRRFQLEAQVAGLLQHPRIVPVYD VGIAEGVPYYAMQLVDGGSLADLIAAMRGRAGRGGGPDGSDLDALAEGMLSGRFAPSR DGDPARPVAAPGSLRSRSYVRTVARLGIEIAEALAYAHDRGVTHRDIKPANLLLDRRG DVWVVDFGMADVQGEAGLTRTGDLPGTLRYMSPEQALGRRALVDRRADIYALGATLHE LLTLRPAVGGADRQEILRRIVEEVPEPIRRANPGVPADLATVVAKAMARDPADRYETA GRLAEDLRRFLDGRPIAARPVGPAARAWRWCRRKPITASLVAALAASLVLGLAAATWG WQRSARQGRLLEASERATRVEAAKLAAVHRFLIDRLLGQASPEINPDGGRSTIREALD RASADVGPAFAGQPEVEAAVRLAIGKAYHGMGDYATAERHLRIAHALFGSRPGDPAPE AMEAEAELGHVLGHLARLDEAEPLLIDATDRSRRRLGPDSRVAMQAAGYLAEFLSLRG RNDEAEDEFRRILAAADAAPDAAPEVVLSARNDLGDLLRGRGRLDEAEATLRRTIDDA TRLRGRKHPAALSALNNLAAVLEKRGKVEEAERIFRECLDVNREVLGPDHAGTLTCEY NLAHVLAKRHRDGEAEAAFRRVLDAHRRVFGADHPSSLYVASGLGDFLRTTGRAAEAE PLLRTTLEVQRRILGPEHPATRLTSGRLKSLLDERVTSTPPRSLRP OJF2_RS33145 MIEKSRQGGLRILFADDEAHLRDLMQMELPRLGHEVVVCPDGAA ALKALERGSFDAALLDIRMPGITGIEVLNQVKQVSPDTQVIILTGHATVDTAVQALRL GAFDYLTKPCKWAELEVLLQRIVERRDLTNKTAALETRLKIAEGTPLLIGDTPSMQQV HRLIDTIAPTEATVMILGDTGTGKELVARNLHDKSDRASRTFIPVNCGALPENLVESE LFGHRKGAFTGAEANRKGLFEVANGGTLFLDEVGELDKSVQVKLLRFLESGEIRRVGE NEPFRVDVRVLCATNRDLREMVKNDQFREDLFFRLNTFEIILPPLRERRADLPALCRH LLKRYTARRGGGEIAISPEALEILAAHDWPGNIRELANAIERAAILAGAGPIRPEHLP TQLPARGARASQPAAAHAAIGSPHFAIPEGSPTLRDVEMSYIQAVLEKHNGNKVAAHK ELGISLKTLYNKINQLQQTGAAGGRPEPA OJF2_RS33150 MVRWPIRVKLVAGLSVVVGMMLILLGGSAFGLHCFHISYLTQSD QLHEMGASVDLIDRVFRLQLHGEGTPEERQVLVVRVLEARDALLTYFRLLKENTVRGN RANSGLDELGLAFRIDDDLTAVLAELSPEKTADPVLPGTSYYVNKRPDLLPQMEPAAD LKSRLARLNRSVMLLPKELFGDFNDVLVLSKGQYLTSRAIVWTSALMVMAMLGGLMML FHHWVLYPVRLLQRGVRRVARGSFDYKIELKTGDEMQDLAEAFNDMTAKISLTYADLE QQVNERSRQLVRSERLAGVGFLAAGVAHEINNPLASIAFCSEALESRVGGLMGASDHP DHRVIQNYLKMIQEEAFRCKNITEKLLDFSRCNDIQRERTDLAGLIQGVVDMIRHIGK YRGKAIAFHPKEAVMAHVDGQEIKQVVLNLVVNALDSMEVGGVLRIEARYSHGMAEMV FADGGCGMPPDVLENIFEPFFTRRRVGKGTGLGLSITHRIVSQHHGEIMASSPGEGMG STFKVRLPIHPSEVDEGRAAREATAPRAAGLAFAG OJF2_RS33155 MLLVAALSFGLGGWVASRVARAGYASGRVFRRYVQGSRLLTLAG LGIYAWIVHGVGWSDLVLSNWKLEGSILLDDLAIFAPYIVIQLVTWSGLYLAERALHD ARNCPPWHTYLTLKTRQAAGLTLPVILIFVLRHDVFGRLWPGWHEHPAAEPVELACLG FGILFASPLFIRLAWPTRRLPDGPLRRRLERVAGRVGFRITDFLVWDTGGMMVNACVT GVLPWFRYVLLSDALIESLSPAEVAAVFGHEVGHVAHRHLPYFGFFFMGSLALMSLAA GVVTVPEAWVASLPWIPPDEVPRATEIAEAGVLLAGAAAYFWLVFGHLSRRFERQADV FGCKVVSCGDPECPPHFDYDEEAAPAPAGGRPRAVEGLCPVGIEVFTDALSCVARHNG MDIRSRSWRHGSIAGRIAFLRGLQADPRGEPAFQRRVRILRASLGAFLLATFALAVLS HSWEMLP OJF2_RS33160 MRLLRYGSFLSVTVLATGLAAAQEYKVEELKEAAPSGFAPEVSS ALNPHGYRITDAEGKPLASLWLRKEVPAASKPGAPQGVIQFPFLASSELLGVIRFDGE GHDYRDQAIPKGLYTLRYGLQPVNGDHLGVSPFRDYSMLLPAAKDKTVGVLPKKQLET QSAEAAGTSHPGILFMLSASADAKAPSMIHDGEKNTWRVVVPLSLAVKGEAKPSPYPV SIILVGASEGA OJF2_RS33165 MRYSLAALCGALLMASAGVAGAAGLEGDYVEARTADVFTGPCIS NSEVFTTGHQAVLAWKVRQGAWKGVDVGGLCVAAAVEGTTTFSQDRPEAATAVVIVDR KASPAQREALVAMARELGGARLGNIKAVKVASMALKVEEHVASEADSAHAAHGMPQAP RASFWAAGLAQVITRPLDDNDHFCGNEVIAYQPLSQGVVAKPAYTLGHEFKGEGLPSR WSDPNCRSSFVGRFAL OJF2_RS33170 MARADRLQGRGRLALAAFAALLAAMQSGCTLATRAQVEECRRFS QDVRTENARLKDEVLALRTQNEEYAERAVDDGKRLAQLEQARRQLESSVMAYQDQKSQ LESAFRQLRDSIPGAPQTQLSLKDPDETPPPARDTPPPAPAEPRSRAIPRSSPSLARS GREDGDEADPIEPADDPPRSRRKGGARSSGGWLPSRSATRPPRDEDAEGVGKP OJF2_RS33175 MVMRTSRRCLARPVECLAMTEGEWMSTAGPWEMLEFLRGKESPR RFRLLACALVRSVPLHRDGRSIWELVPAFEWFRDPIPGGRLMTCHELVEIAEREADGE ASAGDWAAAKTFARAVLIRAEADASEHDPALGTGIHYRGSALRLAAAEALVHITEEDP DRLCLGMLDYQSLNEPSDRRSRDVLHPDMATPTRGLIREVFGDHPRPRDPEPARLPPE VTRLAGLIYAEQAFDRMPELGAALAAAGCHRADILSHCRSRAGHVRGCWALDDLRESG LPSRR OJF2_RS33180 MNESDYIRAASRLWPREGEVPADALISLVERAVREHPRSPELWC LKGDLLQLRFRPFDRDFDPRLPLECYETAIALDDRCADAHNEIGYLCDVYLDDFERAE AEFRRAIELGLDHTAYMGLARVLAQTGRAAEALALLDSCPHGDNPEVVRLRGEISDGD WGDSSGGSRGAGPS OJF2_RS33185 MQRPLLILDLDETLAFATTEARPDLGVPDFRVGEFAVHRRPYLG EFLRTVASWYDLAVWSSASAPYVAGLVGRLFADGPALRFIWSSERCTRRYHPETQEYY WVKDLKKVKRAGFALERVLMIDDTPAKLERNYGNHLRVLPFEGQAGDVELRNLIPYLG FLRDVEDLRAVEKRDWRLRLPEPDG OJF2_RS33190 MRDIRIAAAQFEHRDGDKAYNLGRIRDLTRRAAGQGAEVVCFHE CSVTAYTFLQTLSREELDALAEPVPDGPSTAALIDIARESGVVVMAGLIEREPDGRLY KSYAAVGPEGFLTKYHKLHPFISPHLTPGRGYNVVEIRGVKFGFLICYDNNLPENVRA TALMGAEVIVMPHVTGCTPSPMPGRGPVDPALWDNRERDPARLRGEFQGPKGRGWLMR WLPARAWENGVFAVFANNIGRDYDTIKPGLAMVLDPSGEVLVESQALGDDVVVALLTA SALEHAPGRRYLRARRPELYGGLVAPHPEGRKAETTPGWRLAYEASGGPAAG OJF2_RS33195 MKIVILDGRTLAPDRAAWAGLEEFGEVVYHDVSTAEQVVERAAG ATVVATNKTPIREETLARLPELRLITLLATGYDCVDVKAARARGVTVCNVPVYGTRSV SQFVFALLLELCHHAGLHDEAVHDGEWTNQPDFSLRKTRQVELAGKTMGIVGYGRIGR QTAVLARAFGMDVLTHSRSGGDNSPAEGVRFCGLDELFAGSDVISLHCPMTEQTAGLI NRDQLSRVKPGAFLINTARGGLVVEEDLAEALNSGRLGAAALDVVSKEPIRPDNPLLK AKNCLITPHIAWATDEARGRLMETTVANVAAFLGGKPINVVN OJF2_RS33200 MRSNQTKKIIYGLVILMLFAAMWPYGEWLAYEKKRRDLGEAAIG QIDTGSFMMKLFLLGGFRGIVANYLWQKAEENKRDHDWDRLKTNVDMITKLQPHFLAI WTFQSWNLAYNVSVEWDAPEDKYEWIKQGIKFVQRGVDYNRNSPDLVWDTAWYYYHKL GFADESIILRRLFRDDEDEEFKTYYDPDAGLNVVKHDNFQLGYGWFTKAVNLVDTGSS RLTEGTTADVQQVQYVDPTPQRKGRPDDIAFRSMPAHAQTHYASALEKMSTAGIEATF GEVAMNEWQKALDQWVKFGEHVFESPNVIDRNGKFERDKIRIDDRTIPEKYNGKELNE NQKYWADRWASQMNYPYWKQRCEAEKTPTGVQARQLFYEGTKAYKTGDFAQAAVKFRE GLLLWKDNLKGFPVFTEDDINKKETGLIVKRYERVLTQLQQKLPDNFPFKELLEAAKA DTTVDPFDAIEMLGVGPETTGAPAPSRPAAPAGR OJF2_RS33205 MSPLLALPTLWAQATASPAGQGVPSPWLRNFLFWAFLYGEPSIV PDSGGGYLGGWLVWIRSISLLCLIGWVGYWLVTAIKERYLVRNRWYDYVALGAFFMIF VSVLLRTLEEAKQIPAYKVGAFGLTTLLSYAVVLVLVLWAELGLWRTIRRFGKAGDVL VLLGVHLALLFGLGVGYGMHHFGFLSGVTAGGKEATLADGLLLGARLSATYMGYVILL RMVVAILRELFSVRGRRLFAIARLTVHEANRRMWAPWVVLTVFALILAFTHWFLVPPR PAEMGRLFVGTLTLLCSMLLTAMVTLLTPLSLPTDIQQQTIYTVVSKPVRRLEMIWGR MLGYMFLVTVLIVVFGGISLAYLWRTVGSTIRTTEQAAVKAKAENRQRDYRLLSDQAD QLRSRMQARVPVKGSLSFLDSRGTPHAMGIDVGMEQNMREPRSHIEGATAATAIWSFG IVPDPFSPATRPRPLDRRIPVDEFLAPGTVEGQLDRLYGLQSLVVQEQRAKGNPNLSA AEVSKAEQSLARNQAEVERVRGEYEALRKQSADLEAQVAAEADPTRKEDLQRQLRKLH SDPIAIEMTFNVYRTTKGKVGEPVLAEMRVQNPRTGAENVSIFAVKEYYTNRQFIPAA VLAGGGGDLKVEIRCIPSTQYLGMAESDLYLLSSKGNFGVNYMKGLFGVWLQAMVLTA IGVFAGTFLSWPVALLTTIAFFFAGQLAYSFLIDFTRQSILGGGPFESLVRLLTHDNQ MSELAPTAGALIAKTLDSLVMPLMSPLVYLVPNFQALDVSNTVADGFAVTWGAMLSNT LLAIAYALPFSIVGYFILKNREVAA OJF2_RS33210 MTMTPEVIIETRNLTKVYRDFWGRKKVQALKALDLQVHRGEIFG LLGPNGSGKTTTIKLLLGLLFPTEGDALIFNEPTSNVTKNERIGYLPEESYLYKFLNA EETLQFYGRLFKIPASERNKRVGQLIDMVGLSGAKHRQLREYSKGMQRRIGLAQALIN NPELILLDEPTSGLDPIGTAEIKELIRDLREQGKTVVLSGHLLADMQDICDRIAILHR GELKELGKVSDLLTVQDVTQVKVRNLPPAALEEVRQVIQRHGGEDLTIDHPTTTLEEL FLRIVRESELHPGRRKVAGDRVESPKPAATPELAESRSTS OJF2_RS33215 MSTTIMTITLALAVGGAGQCPGAGRCPHGHAAHRHGSGGWILPD GPGDGWGYPNGNPDGYGWFDPAPYLPLGGNRTDAYYFPRYFAYPPQQMFMGIYYNPYV TAGQRYIPYSGGGGCHPAGGLPPDSASVAVRPYSSLNNERPVTTVPRLRGRVEATPET ASGKTGLTP OJF2_RS33220 MILLIDNYDSFTYNLVQRLGEIDPAIDLKVIRNDKVTVGEVEAL APSRLIISPGPCTPREAGVSNDLIKALAPRMPILGVCLGHQCIGHTFGANVVRADRIM HGKTSWIHHDGKGIYKDLANPFEATRYHSLVIQPNTLPPEIEVVAWTDQGEIMGVRHR AYPLEGVQYHPESFLTSEGTKLLKNFLER OJF2_RS33225 MLSVEEALALVLETATPLPPATAGLAEAAGLVLAEDVPADADQP PFDKALMDGYAVRASDVVEAGRPLRLAGTIHAGEVAAGALGPGEAAAIMTGAPMPAGA DAVVMVEHSEERDGAVRLRPPRAVAPGQNVLGRGRVYRLGDRLLAAGDLLTPPRIGLL AAVGHAKVRVVPRPTLAIVPTGDELVEPDQVPGPGRIRNSNAAMLAAVAIGRGLAPRV GPIAADEPAVLAQALAEGLESDVLLVTGGVSAGRKDLVPAALESRGVRQVFHKVAMKP GKPLWFGSGPGRGDRPGTLVFGLPGNPLSGLVGFLRFVGPALGRLAGRPEADETVPAR LAADCTQHGDLTHFRPAALASPPGEAGEPAAIELLDSVGSADVLAAARADGFAVLPPG DRVFRRGEIVRFLPLR OJF2_RS33230 MTSQQDTPRDRPGGRLASHPLAAGLLSGLILWTAFPPLEWSWLA WVALVPLFWLAVQPRGRKLFYLSAWLGGLAFWLPAVQWLRLTDDTAWLAWLAMATFLS LWWPTFLGLCRVAVFRLRVPLMMAAPIIWVGLEYFRAHVLTGFPWYYLGHSQYRFLPL IQIADTTSALGVSFLVALVNACVVDLITLPLLYATPRGPRLRPRQAVGIAIAGGLVLA AVGYGSVRIASSSFRDGPRVALLQTNFEQRYKMGAEPELIRESIGRLVEKAAAGTPRP DLIVWPETAYPYRFIMVDPAVPADEMKRQVAAVAGARSVESWLDFRRWNDEVLHGLAD ATGVAMLVGTTAHEHTPTRMNKYNSAILFEPHAASVHAYHKIHLVPFGEYIPFFQSMP WLRALTPYGDDYIPTLTFGQDATIIPFGPYRLAVGICFEDTVPHVIRRFFAEANGPEP DVLVNMSNDGWFHESEELDMHLAVSVFRAVEHRVPLVRAVNTGISALVDGNGRIRESL GRGIEGVLSVAVPLDDRSSAFTALGDWLGLSCLAVAIGLVPMGLMKRLRQPRPSSSPD SRPTGA OJF2_RS33235 MPRPRVYIETTVPSYLTAWPSRDLVRAAHQQVTREWWSRRHEFE LFSSRLTVQECQAGDPQAAADRLAALAGIPLLEPTTEAAALADALVREIPLPERATAD ALHIAIAADNGIDYLLTWNCNHIANVTLRPRIEAVCRAMGLEAPLICTPEELPPGGRD DE OJF2_RS33240 MNEDDVLKGVREAREAYARSHGFNAWAMVADLRERDASGDWPVV RLSPRRLTAPHRPSPDPLPASTPIQSLAD OJF2_RS33245 MDGNGRIRETLGRGIEGVLAVAVPLDDRSSAFTALGDWLGLSCL AVAIGLVPMGPLKTVRRARPSPEGGSSPDV OJF2_RS33250 MIEAAREQTARAVNSALVGLYWHIGARIRRDILKAKRAAYGEEI VAALSRQLTAEYGRGFGRRSLFRMIQFAEFFADERIVSALSAQLGWSHFIELIAIADP LKRNFYAELCRTERWSVRALRHKIAHFLFERTALSKQPDEVIVRDLSALRDEDRMTPD LVFRDPYFLNFLGLPAGGFDEKEIETAILRELEAFLLELGSDFAFVARQKRISVDSID YYLDLLFYHRGLRRLVAVELKIGKFEAAHKGQMELYLRWLERYETRPGEEPPIGLILC ADKSDDHVELLQLDRSGIRVAQYLTELPPRELLEKTLHESVRRARERLAIASQRAEPE AIENRPAQRPLRSGPRKPKRPRP OJF2_RS33255 MRWKALACGCGLGLVLAVAAPGCARRAPQAAPAEPPSVPVSRPV TRKITDFVEFTGRTEAIHSVDIRPRSTGYLVEMPFKEGTEVKAGDLLFVIDPRPYKAQ LDQAAGQVNLYQAQLKLAKSTLARDVAINRITPNAVSRQQLDQEEAAVEEAQARVDAY QKNMEVYRLNQEFTRVVSPIDGMVSRYFLTLGNLVNQDQTLLTTVVSLDPMYAYFDVD EPTLLQVRRAINEGRIRPRSSGRRLQVFMGLQGEAGFPHEGEIDFINNQVNPTTGSIL LRGIFANPKPPNGVRVLSPGMFVRIRLPIGEPHDASLVIDRAILSDQGIRYVFVVGPD RTVEYRRVTTGALQEDGLRVITQGLKGDESVVVGGLQQVSAKAAIVPEEVPMPSLAQP AGDGTGAGAVAAPTSGSGTAAAPGTTAAGPPPAVPQGTRKADAGQPAGRPAP OJF2_RS33260 MISHFFIDRPIFATVLSVLITLAGGLALLALPIAQYPPITPPTV QVSINYPGASAQVVADTVAAPIEQQVNGVENMLYMSSRMGNDGSYTLTVTFDLGTDLN TALVMVQNRVSLAMPLLPNSVQNQGITIKKKTPDILMVMAISSPDGRYDDIYLSNYAM VNLRDELLRVPGVSDINFVGQRDYSIRAWLDPQKLAARDMTAMDVAAAVRQQNLAAAP GQIGQPPITRGQAWQLPMDTLGRLSTPEQFGEIIVRAETASPISGPAEGSAAMGTTAT MPRPGPSSSGSSGAMSAEDQAPSLLSQILGGTLPGMSSVDASRTNPPVSVTSGTTGTL SVFTPAGATGLTGTTSVFSGASSTGGGGTTQAGASTGAGGTTGGGATGTTVQPGQGEG DELSAASGRRVGSALGSGQAHGGSTISGPRRPTDAIVRLRDVARVELGAQNYNQSCIF DGRQAVGLAIYQLPGTNALDVADAVKLRMRQLSSRFPEGVEYAIPYDTTPFIRESVEE VVRTLFEAVVLVAIVVLAFLQNWRAVLIPLAAVPVAIIGTFSVMAALRFSLNNISLFG LVLAIGIVVDDAIVVVENVERWLEEGLAPRDAARKAMDEVTGPVVAVALVLCAVFVPC AFISGITGQFFRQFAVTIAVSTVISAFNSLTLSPALAAILLKPRHAKPDILTRALNLT LGWFFRLFNGAFNRTTSAYAGVIGRLLRGSLIVGLVYAGLLFLTYRVFGSAPTGFVPE QDQGRLIVNVQLPDSASLEWTQRVMAEVSRITLETPGVAHAIAISGISFVQSANSSNF GSMFVILKPFDERQSPALRDTAIMARLRREWRRQLKDAQVVVFGAPAIPGLSVAGGFR LMIEDKAGMGAANLQQRTDALTASVARIPGLTGVSTQFRSNTPQYFMDIDRTKVQALG IPLDDVNQTLQIYLGSLYVNSFNAFGRYWQVNLQSEGTYRDRAEKINLLEVRNRWGGM VPMGTLAKVREIGGPVFLTRYNLAVAAPITGNILPQMSSGAAIEQIEARAGAELPRSM LTEWTELMFMQIRAGNTAIYVFAFAVACVFLALAALYESWSLPLAVILVVPLCLLCSV VGVLYAGSSVNIFVQIGLVVLVGLACKNAILIVEFARELRQQGRPVHEATVEASRLRL RPILMTSFAFILGVVPLVTAHGAGAEMRRSLGTAVFSGMLGVTLFGIFLTPVFFDVIQ GMSESRLFDARRVRWVGSLAIAAALGAALGWLVTRVRPESPHWLPWAAAPAAIFLAAL AVGRLDARRAREAEAGEAGRAEGHHDPDDTQPPGGASA OJF2_RS33265 MISHFFIDRPIFASVLSIVFVLAGGVAVWTLPVAQYPEVAPPTV QVTALYPGANARTVEDTVAAPIEAQVSGVEGMMYMASQCTNDGGYNLTVTFQAGVDSD MAQVLVQNRVSLALPVIPALVQSEGINVRKMSPSTMMIINLVSPDGRYDNLFLSNYAT IYLKDEIGRLPGVAGINYIGQRDYSLRAWLDPDKLASLGLSATDVATAIAQQNVQVAA GQVGQQPVPRGQQYQLTINTLGRLTDPEQFADIILKAGTDTPLTQESPVGAAAGGGAT GSSGGSSASTAAGSAATAGTSAPPPQAVGIVRLRDVARVELGSQQYNQSCTLDGKPSV ALSIFQLPGSNALETARGIYARMEELKQRFPEGLEYRIVYDTTPFIRESIGEVFNTLR DAIILVAIVVLAFLQNWRAAIIPLVAVPVAIIGTFPVMAALGFSLNNLSLFGLVLAIG IVVDDAIVVVENVERWLEEGLSPRDAARKAMDEVTGPVVAVALVLCAVFVPCAFISGI TGQFFRQFAVTIAVSTVISAFNSLTLSPALAAILLKPRHAKPDILTRALNLTLGWFFR LFNRTFEAGTNLYTRIVAGLLRASLIALLVYAGLLALTYFEFVRMPTGFIPQQDKGYL LLNVQLPDSASMERTQRAIERIEKIAGSTPGVAHTVGVAGQSLILNANSANLGSLYVI LEEFEHRAGPGMTADAIAAEVLRRCRREVRGAVVTPFLPPPIEGLGTTGGFKLIVEDR GHLGPDELSRVVDRVSSRANRTEGLRDVAGSVRSNTPWLRLEIDRTKCAALGVAVSEL FNTLQLYVGSYYVNNFNEFGRTWQVNIQADQSFRESPADIERLRVRNRRGDMILLGTL MAIRNTSGPVMVLRYNMYPAVALTGSPAPGTSSGEANALMDQIAREELPRSMATEWTE LTYLERAAGNTAIFVFALAVVFVFLVLAAQYESWSLPMAVILVVPMCLLSAIIGLNVA GSEINLFTQIGFVVLVGLSSKNAILIVEFAKQREEDGEDPYRASVDASRLRLRPILMT SFAFIFGVVPLVIARGAGAEMRRALGLAVFSGMLGVTFFGIFLTPIFFYTIRRLRRPA VAERHPSDGPGHGEGARPSSHE OJF2_RS33270 MRRRNPIVQLLLIAVACALGLGSRRFGRLLPGLVAAYAGDTLWA LAAFLGFGLILPRAQIRTVAAMAMAASVAVELSQLYHAPWIEAIRRTTLGGLILGFDF VWSDLACYAVGVGLGVMGESLWDLASGWRDRPGRPT OJF2_RS33275 MENALDIPFSILDLSPIRRGGTAADSYRNTLDLARHAERWGYRR FWLAEHHSIPGVASSATAVLLAHVAGGTTRIRVGSGGIMLPNHAPLVIAEQFGTLESL FPGRIDLGLGRAPGGDMRTARALRRSLGSSGDAFPEDLRELMAYFRPGGPGNGVHAVP GEGLSVPFWLLGSSDFSARLAARLGLPFAFASHFAPDYLLAALDLYRREFEPSEVLER PHAMVGVNVFAADTDEEARRLFTSLQQAFLNLVRGTPREIPPPVESMDPLWTPQEQFH VDRMTRCSAVGSAGTVRLGLRELVRATGADELILASQIYDHEARLRSYEIAAGLREPG QAA OJF2_RS33280 MTFVGKILVILIMALSLIFLGISTVVFTTSQNWLVAANAQKKAA GELKTKLDDANAKVAAAEKDLEAEKVKYEALTKQQDNQIKALEAENARQQQEITRAGN EVAGAEATAKTALAEAEARRNEAIQLREKRAAVEDQANKFKLKQAELFDRIRELERIM ETANKNNADLKDRVARYSTLLRQNGLSEDITRVKGTEAAPPVVGKIKQVDPANRNLVI SIGSDDGLSVGNELYLFRQDPRPEYLGKMSVTIVDPDQAVGRVIGGTYQGKKLREGDI VSSTINPRG OJF2_RS33285 MPAAKSDVYVAMLGIALGAILIACLLMLLMLWRYDFKVSAKLGS LDRPPAATALASLEAPAPPGAAC OJF2_RS33290 MEQHLDLFAALAAPFEHDEVRIRPQGNQKLQYVTARTVMNRLDD VLGPSNWWDEYIPQEKSVICKLTIRLPDGSTLTKSDAGGYAGMSDQGDDDKSGFSDSF KRAAVKFGIGRYLYRDGMPRYVRDRLHAGAQQARERANGQHAPAHAEAAAPPQGDEGP AVHGAHGDGNGHAGAHGNGNGGGPMARPAGEGPTVPQSGKALFAWLKQQDEKHDYGLL RIVSDWAKRQDFPARMVEWDAAQVQLAFSEARDALKASRPAAKPAPVASRKEAEPAAD GPPAGGKAAAGRDGGTAVASRSAPAARREREPAQPRASRSR OJF2_RS33295 MNIVCVASEAVPFAKTGGLADVAAALPRALRKLGHDARLFLPCY RRVWSAGPEIAGTGLTLEVPVGSQVVRAFLHESHLPGSDVPVYLIDRPEYFDRDDLYQ SGGKDFDDNCERFVFFDRAVLEAIRLLGLRPDVVHCNDWQTGLIPLYLKTLYRRHPEL GGAGSLLTVHNLAYLGLFWHWDMPLTGLDWKYFNHRAMEFYGQISFMKTGLVFSDLLS TVSPTYAREIQTPALGCGLDGLLRDRSADLHGIVNGIEPHLWSPARESMLARNYDATT FREGKAACKAWLQQRAGLPMRPDVPLLAQIGRLDPQKGWDLLAEVADRLLDRDVQLVV LGTGHPKYHELLGQLAGRHEGKVWAYLGFSDDLAHQIEAGADLFLMPSLFEPCGLNQL YSLTHGTVPLVRATGGLADTVINLNPWTLGDGTANGFSFAEPNAGALWNAIEVALATW KNRTVWESLIRNGMKADWSWAHSAAEYVRLYQEIARRVQRPAA OJF2_RS33300 MPVVSLSLMWHQHQPYYPDDVAGENPMPWVRLHATKDYLGMAMH LDEVPEFRCTINLVPSLLTQLEAYVAGSTDTHLTMSRRPADGLGHDDACYILDNFFMA FADSMIRPHARYHELYMLRASWAGPADQARGRFRARDFRDLQVWSNLAWFHPLLFEKE PELAEFKAKGRHYTEDEKQWLLDKQRELLGRVIPLHRKLAERGQVELTTTPYYHPILP LLLDKKLAKEAMPDVALPAYREGYPEDAEVHVRRAVESHIERFGSAPRGMWPSEGSVC QAIVPLLARNGIEWIATDEEILGCSTGGMVGRDSRGHVRHPELLYRPWKVREGDHEIG IVFRDHSMSDQVGFHYQRSPGPTAAGDFIGKLHAIGDACRHNPATLVSVILDGENCWE YYPDGGVSFLRSLYQGLAKDASIRPVRAGDFLREHPPQDTVPRLFAGSWISHNFAIWI GHPEDNRGWDAVHATRQFLVAEQAAGRHDEATLARAWEEIYIAEGSDWFWWYGDDHSS AQDGLFDHLFRKHLRNVYTLLGCDPPGSLFTPISQAGSHRPMNDQPTSFLNVKVDGRA TYFEWIDGVRYLCGNDRGTMTLVTRGVMHCVWFGFDADRFLVRIDTEGAPAAERLAEV DRLRIGFVDPAEREIVVVRPSAARPVAYLNHAGNQVANGTTVSVATGAILELAVPFAR LDRNPGDPIRFYVELLKGDASVDRAPREGIFEVTAPCPDFERILWQV OJF2_RS33305 MPELRKDPIVGRWVIIAHERAKRPDDFRSTPSASNEPKVCPFCE GHEELTPPEILAYRDFGSRPNGPGWRLRVVPNRFPALKIEGNLNKRGDGIYDMMAGIG AHEVIIESPRHEISMATLPEDNIREVLWVYRDRLVDLKKDNRLVYGMLFKNVREGGGA SLEHTHSQLIVTPIVPISVWEEMTGSLEFYNYRGRCIYCDMNQQELAVEKRVVLDSTH FTAFCPYASRFPFETWIVPKNHASHFENIPKPGVDDLGHVLHQVLNKLELALDNPSYN YIIHTAPFDQPELPHYHWHIEVIPRLTRIAGFEWGSGFYINPVPPEHAAAFLREIEVN APSPIYAGPHRPASARTPAERGRA OJF2_RS33310 MTDGTRWEMEIRAMLTRPIPPASEGLSNDARPALLALSILMDRI SAMPRADRDDLFELLEEWRGSERPEERESIRRAMEEILGQARPTYRELPGGEGQPMPG GLSRWAQGVGGRLRAARERAGLSQAQLAERAGLTQSHVSRLENAEHSPTRLTLEKLAR ALGVAAEGLDPSEE OJF2_RS33315 MRILDRAIDDAREFLSADQYAHLALQFKEMAREEEPTRCETVDI RPIEDYHELRDKGGILGRINVRVFFFVQHPARSIVVLGAVKKENEGQTPTAVKVLMRY RMRSYLATFDRAPDPSGGGS OJF2_RS33320 MRSGQPGSALRQLRALFAAGTAAARTDGELLARYAARRAESAEA AASAEDAFAALVDRHGPMVWGVCRRVLGDAHEAEDAFQATFLILARKAGSVRVDGSLG RWLYGVAHRVAARARAECRRREGWMERAEPESSDDPAAAAEATEIRAVVGEELDRLPA KYRCPLELCNLLGMTYEEAARQLDWPVATVKSRLARGRDRLRRKLVRRGLAPAAAGAI TSVAAEARAAVPAHLAHATARAAAMPGAVALPASITNLSEGVSSMMMWEKLRLVAAVA VVALGLSAHAISRAAPGGEAGVPQPPRAEGPGPAKAGDQPADPRWTRTLPCGATIEIV GVSDCPTGPTTWWRPDGSPCPALCDPADGRIQFDSGTLRAVVARVANVPDGAQNDWSI DQGGSSMRRQAVRGGKRVPGLSEVITVLPSEAAFATARFEVAAGPWKTMQTWGPSPGA RGSRDASYVFSAPIPTREGTALSVSHNIQDKSVRLIAIEVGGREVPGKVLSGSGASDF HQLTVEFDLLPARITEFRLQARPFEKVDIAGIALRRR OJF2_RS33325 MEELRVAIVGGGFSGTMVAVHLARAGGVRVVLAEKGDRLARGAA YGSRCERHLLNVPAGLMSALPDEPSHFLDWLRGRDPEAGAGTFAPRMTYGDYLAGLLG DAASTGRVDLIRDEVVDLEAGPRLVLRTSGGRAIEAERVVLALGNPPPGEPAGMAITP GLRGYVPNPWEPGALDGLAGDEPIGLIGTGLTAVDLVVEAMAKGHRGPIVAISRHGSL PQAHRPSAGPPRPHVLAAGRPATARALLRTVRAEADRCRSEGGDWRSVIDGIRPVAQD VWRSLEVPERDRFLRHLASRWDVHRHRIAPEVEEVLASATLAGRLRVVAGRVESIEPD GDSLAVRVRGRGRADSEVLAFGRLINCTGPSRDIRDRAPMLVRSLFGRGIARPGPLAL GLDAGASGALLDRDGNPSDRVFAIGPLLKEGLWETTAVRELRVQARDLAEHLLGRRPA G OJF2_RS33330 MDGRSRLAELLRLWDAHERAIPLAVLKQGLAGLDLSVEDLADAI GFDDRAYRRAAIHSRPHYEAFVMCWRSGQASPIHDHHGSSCVVLVVHGEATETRYRRA ASGQLAPVRAESSAAGAVIGCCGGCTHQLANLQAPGEDLVTLHVYSPPPSGWRYHALD ETTLAANDRLIQERPATVRVDLAHPAAGPIAPARPSRRKSWKS OJF2_RS33335 MEARQFRHVLALVECGNFHKAAESLRVTQPALSKSIQGIERELG VRLFDRHGKAVAPTVFGTLAAKAARQVVDAIDGLARAIEQTTALDAGELSVGAGPYAA DVWFGQVAGRVLRAHPGLRITLHVEPWEALPEALRSGRIDLSVANSEPVRGRREFRVV EFPSQPGIWVCRAGHPLALREKPGRAALLAYPLIGPHMPESILRWLEAGTRAHPSVLA RKIDTTSMTMVKAMIREGDAVSLVHPASVRAELRSGEFATLELDAPPLAFDSGLAWLS DRSLSPAALAFARELLAEVGLDPESHLN OJF2_RS33340 MRPLHPRPRAARSRLLLAAGFVAIAWGLPAAASDADGPANEEAA IRAVDEAFVRKFNEGDAKAIAALHTADAEAVEADGARYRGRDLIERSYADTFAAEKGA KIQLDVASIQFLSPTVAKEEGRTIIAPAKGAPVSRFYTVLYVKQDDRWLMASVREESD PQVPPRERIKELEWMVGEWVDDAPDSQSKVTCRFSDDGNFLLRDLTVRRAGKVILSGT QRVAWDPVTGEFRSWEFDSQGGFGEATWSRDGDRWVVKERGVRPEGATASSTRVLTRL RPDIVKWTLSDRVISGQAVPGEVGSMLTRVPPAPSLGGTPTAAPTTTTATPANERGDR OJF2_RS33345 MTRDGFRILGAVALAAALASGAAHGRGFGGGGRGFGGGGYGGGG YGGGYRGGMGGGYGGGGAYRGGYGGGGAYHESAGAYHGSYGGEAYGGHASYGATGAYG GTAERSGGAYRGYNPYSGVSQAGGYRTGSYTGSRGGSVDYAAGGRAAAGPGGGEAARG AGAVQVTTPGGRTYTDAGRVGGVQGPGGNAAVGRSNVGAISGPNGTAVAGSRTGAAGY NGYGAYGHNAYGAYHSGWVNGAWNGHGAAAWGWHDPYWGAMGTGLGWGLPAWGYGSSL YGMGYMPYSNPYYGAGLGGIGAGGGSYDYSQPIDTSSPPAAQDVTSQSVSTFDAARGS FRQGDYAGALQQADSALSQTPSDTALHEFRALCLFALQRYDEAAASLYAVLSVGPGWD WATLIGLYPDVGTYTAQVRALEAACKASPTSASDRFVLAYHYLTQGHTDAAVNMLRAV VGLKPDDSLSAKLLTILSPPATPSAPAAATSVAAAPASPAQPASPPAGATIAGTWTAQ PAADTAITLDIKPDGPFTWGVTKGGQTRTFSGQSSFGSELLTLSQANGPALVGRVTWA DPTHMTFRVAGDGPSDPGLTFSK OJF2_RS33350 MRIGSLRTALAATALAAGVGIAGAQQDDRPRSALPVPDAPFGGV SNRTLAGSRPDYPTPVAAPKGAPNVLLVLIDDAGFGNPSTFGGPCQTPTLSKLASQGL RYNRFHVTALCSPTRAALLSGRNHHAVGFGSIAEFAGGWPGYNATWPKSAAGVAQILQ ANGYSTAAFGKWHLTPDDQQGAAGPFDRWPCGLGFDYFYGFLGGASGQYDPVLAENNK VIGVPKGKGYYLPDDLTDRTITWIHDQKIQAPDRPFFIYYATGATHSPHHVPKEWADR YKGKFDQGWDRLREETFARQKALGVIPANAKLTPRDPAFPAWDGLSPDEKKLYARQME VYAGFQENTDHQVGRVVEALEAMGQADNTLVLYIWGDNGSSMEGTETGTFNELTTLSG IPLTPGQQMKLMDAYGGIDAWGGPVMQPHFACAWAWAGNTPFRWGKQVASHLGGTRNP MVVSWPRRIRDRGGIRSQFSHVIDVTPTILEAAGIAAPSRFNGIEQMPIHGTSFAYTF DDAAAKERHTQQYFEIFGNRALYKDGWIACARIDRIPWRLDPATAARLAPGKYDPEQD RWELYNLAEDFSESEDLAAKYPEKLRELQQLFWQDAETYHVTPLLAGFSKFFGINPPQ AGRKSYAFFPDSENIGSGMIPPIYNESYSIAADVEVPEAGAEGVIVAESDVMGGFSLY VQDRKLKYTYSFLGLQVETLAAAEDLPAGRVKIRLEFAADEPGKLGTGGRNRLFVGDR LVAEGRLEHTCPMRFTTYAGMDIGKDNGEPVVPSYAARSPFAFTGKIGAVVFDLGPAA APR OJF2_RS33355 MRPLEPFRRLHATIGKFGILFLAIVALFVATPQLIAGRGHVAIL GVLTGAVLVAGLYAASPGLSSILLGLALAVGDFAVGRFAFAVDLRWLVLLQSLFWFGT LVYVVATILGVVFRSRSVTEETLGAALCVYLLIGMIAAFAFSVVEIALPGSFATPDGP AFTWADERSRAGEFLHLFVLSFGILSGSGFAAVTPATGFARNLASLEAMIGQIYLAVV IARLVGIQVAAKVEPTGGPE OJF2_RS33360 MSASSSEPESGGSHAANAPSTPGPGVEQAAGRLLAMVYDELRVL AARKLAKEKAGQTLQATALVHEAFLRLVRGGDAASWQGRGHFYAAAAEAMRRILIENA RRKGAEKHGGGRTRIELDFALDAADRAIGHSPEELLDLDEALRALAVEDPDAARIVEL RIFAGLSVEGAAEVMGISRAGAYEQWTYGRAWLTARLRDGDPSGPRGRNPRDFVDIPE PDFA OJF2_RS33365 MNVEAAKAKALFLRAVEQRPPHDWPRFLDEACDGDAPLRGRVEA LLRAHANSAGLLDVLGGTWDAVPPPPAPADAPLPLGSMIGPYKLLEVIGEGGMGVVYM AEQQAPVRRMVALKVIKPGMDTRRVIARFEAERQALARMDHPHIARVLDAGTTEPGRP YFVMELVRGVPITEYCDGHNLPIRDRLELFELVCRAIQHAHMKGIIHRDLKPTNVLIT VVDGVPSPRVIDFGIAKAMGGEALTEKTLFTGFAQVVGTPAYMSPEQAELAGSDVDTR SDVYSLGVLLYELLTGTTPFEPSTLRAAAIDEVRRILREDDPPTPSRRLQTLGRSTPA GPRSEHGEGLDDSHLAAIASRRNIDPRKLCRSIRGDLDWAVMKALEKDRRRRYESAGA FAGDIRQYLAGRPLEAGPPSTWYRCRKFLGRHRTAIAATTAALMLLGLAGAGLWQVAR VRRAEEVARRQGEEIRRHRREIRLARYSADIRRAASLVATGEAAAARDILAPHREATG AEDPRGFEWHYLDNVLDASAASWVAHDGRPVYHVEYSPDGKAIATAGADGTARVWDAT THRLIHVLAGHDAEVNWVSFAPDGRRLVSAGEDGKLRIWDAASGRQLAALADRGGEEV AAAFTRDGRELISLNRDGTTVRWAERTAFEVTGRFAYPAKFIGDTLAISPDGRFAAIG MQRDAQSPSARTVVYGLRDRQLTVEKIIDLEPRIGCVAFSPDGRMFAVSSGGDARIHL FEAHHWESIGVIAMAGDKPLSLSFAPDGKTLAADNRGAAIRLWDVATRTSREILLGHS DRIWCLAHSPDGHRLASTSSDGTVRLWDLDRRVSRDAYHWLHRDGTNVPTSLAFLADC DRLLVSTARGDVLACDLAAGSSSVVRKEPDPTPCTYSSISPDGSTLALARRDELHAVE TEHFVLHDLAGHRGPVTLGRGVLGSESCWSPDGSRLAAFDLLPGTALHVWDRHGRSVA RADLRAGWGRSIPTFLPGESLLFFSEPSPARGPFDMVIWDPARGGEEDRRSAGPGLGV SMGRMVLSPDGKTVASAAFAAAWDFPSLEFRYRLIGHRGTVNDIAFSPDGRTVATASQ DCTVRLWNAESGHELFTLDGHTGPVRLVAFSPDGRVLASCGNAPEGGVEVIAWRAGPR PRGDPTVSDGTAAP OJF2_RS33370 MRNFAISLAALAAISLVGPPPARSESITYKMQATASGTLGGTAF INSLVTIWATGDTDDVAAGGTADHQFLLSNVAYSGSIAGLGNFEILGSGTIDVRTFAT ASGRESGGIGFNVFDGSNGVFGKNIFGMSSFPLAGYELKAPIVAPAWNVVVAALDNDF KGFGTTAGKLVFTGVDPASGVFTATTAAVPEPTGLVMLALGVGAVITLRRTALTAIPA FSRPSHRS OJF2_RS41320 MVVTLILVFSALALLLALACAPSCFAGRSRRAAVSSPSPTR OJF2_RS33375 MRTRGRVVSLARALVLMLLSAAPAWAQDRIYWTVPQPGNAKEVW SMNADGSGKTNLFPAGIAPPPGYDSISSIAGPSAGAYPIDPSSPGSPSARWWLASVSG AGATAVFAFAPNGQGGVAWRQLSDIPLSPSPDVSRSITGLLALPTGASDDFASFQFLE AVHDGTAWTSTYSLVRFANPFTRPSGSVTLDQLQFLTSAGPGDAPGAQTWSPDGRFLA YIGSQPYPNGSTRRALRILDTMTGVDHFVLDTITAGVQPNRPRWSNNGEEIAFDSNSY FGSNSGVYAVNPSSGAVRAIATAGNSTDFFSAPVWSPDGTALAVMQSYQGSKASLRNR GMISRIPSSGVTKKSGPVPLTANSDAYLIPTPLGWR OJF2_RS33380 MAAKTKPVTKPAPKPVTKSAPKPKPVTKPAPKPKPAPKPKPRPA PKPKPAPKPRPAPKPKPAPKPKPTPTPTPTPTPTPTPTPTPTPTPTPGYPGNPRNDRE IAIFNYVNSERALAGAGPLTWNNQLAVAAQEYADLFATSFSETDTFGHDFGCTTPAQR ARAAGSAFRLVGENLYEGLVGPFMEPEGAMTAWMHSTSHRENLLNPVYTVTGIGTAMD AQFNVFYVQMFALPIN OJF2_RS33385 MSTVRLILTLHNHQPVGNFDGVFEAAYRDSYLPFLEVLEGYPEI PFALHTSGPLMEWLVERRPEYVARIRALVEAGRVEILGGGFYEPIMTMIPHRDRVGQI RDYSAYLEEIFPTRIRGMWMPERVWEQHLASAIAEAGIEYTILDDFHFQRAGVSGDDL FGYYLTEDEGRLLKVFPGSETLRYTIPFQEPHATYEFLRRLGERRPGSTVVFADDGEK FGCWPDTHDHVYKNGWLHRFCDMIVGNRDWLEPTTFGRAVGATIPLGKVYIPDGSYRE MTEWVLPPAVHEEYLAASKRLAAGPLEEAARPFFRAGGYWRNFKARYPESDEMYARML GLSRRLGELEAAGSADPDYLDVARRELFRGQCNCPYWHGAFGGLYLPHLRNAIYRCLI SAHNALDEAAGAAGPRVSLEVGDFNLDARQEVKLENESLVALVRPAQGGHLYELDARK AGVNVLATLERRPEAYHHAILEAIRRGASGEALEAATASIGDKVVLKQEGLDRMLVYD RHPRKALVDHFYPVDATLDDLAACRDIERGDFAAGTYLSRVHRDAKRVALVMERPGHA DGRDFRIRKTIELAAGSPAIEVRYELSELPRDACLHFAVELNLAAMAGHADDRYYADP RGGRLGMLDARIDREHAEGVSLSDEWLDLSLGLSWSRPGGLWCHPIETVSQSEGGFEG VYQSSAVIPHWHVTADDEGRWDVLIRLEVGACRPTSSAAEVQARDSTLAGV OJF2_RS33390 MIWPFRRSKPNEPARQAVDAAQIVRRARRLRYRVRPEAVAQLAG AYHGARPGVGLTFAELRAYEPGDDVRHLDWNVTARQGRPFVRRFVEERSLTVWLVLDV SASMRFGAEGRTKADRGAQAAALLATAAVYNGDRVGLAMVSDRIEVELPPNGGIRHLS QIVRALVATPTTSAKTQLAVGLARVRRSARRALIVVLSDFLSDEPITLWRRAARRHDT IAIRIVHPLEEELPAAGILSLEDAETGNRLIVDSSSKKQRAVYARSAAERKQSFPRWC GSAGVDGFTLSTEVDPIRGLIELFSRRSTRRGSP OJF2_RS33395 MTMDEPAAKTLVPPRPNPGPEPLVEPAIGLPQVAAVALGLLVLA ILGTWLLRRRDRARGGPSPAGAADAFDDSPSGRVAVLALRARQSLASRFGPQLHARTT EEIALDDRLREALGPDRMGPLLLLLEAADRCKFAPARSPDDDATLEEHLLGWTSLAES LHNGQVKAAARSSDPPPRHRS OJF2_RS33400 MRLAYSDTRSSPIVVLLHGFPLSRAMWDGQVEALGAVCRVIAPD LRGHGESESPEGVYTMDAMADDVIELLDALGIDRPVVLGGLSMGGYVALSLALRHPAR ICGLMLMDTRAAADTPETARGREETARTVLHEGDGHSMIETMIPRLFGKATREKLPHK VGAMLAVMERTAPQGIAGALRGMAARPDRRGELGRIAIPTLVLVGEDDVVAPVDEARE IAGMIPDARLVVIPAAGHLAPFENPAATNAAILGFLAEIPARAAAAPA OJF2_RS33405 MEEDLISTPAQLRELAGHIRDSGRFGFDTEFVSEDTFEPVLCLI QVATRERLAVIDPIVVGDLSPFWEVVLDPAIEVVMHAAGEDLRICHLRTGSLPARVYD VQVAAGLVGYSYPLSLVNLVSQSLRISLAGSETRTDWRRRPLSPAQLRYALDDVRYLL QIADDLDAELAAKGRAAWAEEEFAGLLRHVARRSDEDRWRRLPGLNSLNRRALEAARR LAAWREDEARHANRPLRHVLRDDLLVAIAKRLPASRRDLEALRDFNRPALLSKSQSIL AVIEQARLTPEDMLPEFSQRFEDGPGASTVASLLSAALGQWCVRAEVATSLVATVGDL KHLIRWHLDGRPDDDRPSLMTGWRGELCGQMLLEVLEGRRTFRVVDPGSEFPVAVEPS PRGDAEGPGSEGRP OJF2_RS33410 MTRTLSCFTNCYGAAGVYAAAERIREAGIDHLELALRGHDFGGL VIPESAVVTEKAGDDEAKAFVAHLERHGVKVSGCNVGGADIRTAEGLELTARRIRFAA RWFGVPVCVSGAGQPQDAAERAVVVDHLRRLGDVAAGVGVTLALETHKGPTQNAAAML ALMGEVDHPAVRLNFDTGNIAYYNAGADPCDELEKVKHLVRNVHLKDNRGGFEDWYFP AVGDGGAVDFARVREILDGVGFAGPYTIEIEGIGGEPEPGLEARQDRIARSVRHLGAC GFLDD OJF2_RS33415 MGLDLALGVVVLLAGIRGWLRGFVSQVVRLVGFVSCFYLADPVR DLARPYVAAKLPTVDPPVLDRILWWVAAVLSYVLLVGLTSLAIALIKRPAEKGAVTEG RHANRLAGFLLGASKGALLAAVLAAGVAKYGPAATENLPWAARQTEGSHALKWTAQYQ PVPKIWALPPVRHFVQHIQRNGRRSMPEAEAEAEAEVTKQMAGKASDDLLGPAAPRME LPRAEEDEPGAPPSVLGLDPEVVEEIERYKRELDARPGPR OJF2_RS33420 MSSTDATGGVPAAEDGAGDAWPIWPAYILILGVYLTLRGYHSLD GDQAYRLPLLLHQQEPSVYAADPFVLAFESFNPHRGSQAVLGASCRVLGLSAGLLALF VATFLATCRGLDRLARSAWPGTQAGAVGLVAIVLVLTAKAGSLGTNHLFEAMVLDRLM ALAAGWLAVAAAVGRPESGWWRAGPPLLLAAIIHPSLGLQLALLVAGSWIAWAATRGR AAVGWGLAGRGILVATAAVLPGLAINVASGGAIAEGLSADDFWTLAVELQGPQHMLPH LWRMPQWLAGACFPALAALSLRGAWSSAPGRRLVLMLGMAMLWLAAAWAAIEALHSLR VTIFQPFRMATVTRGLCLVLIAGRLVSLWARGTWLDRLRAILVGVGVMGDWMLVAVTL AELAATVSGTDFVRRPRRPWLARWPGGAGSHGLAQGLVYAAMLAMGLFYLSRHDTESG QRVILVVSIGWGGIAIWRLARGASALRLTHPTREGIQKVAMVAAWAVPAAALLAGLVP ADSTLGRSSAVRGLVARCRFAAVPVDDFERLGAWCRRNTPADATFVGPPGPKTFRLWS RRSLAFNRSGSPYHAAGLADWFARFQDHVGRHIPPAEFAREYLSGRHRLESRYDAMTA DELAALARRQGADHVLATADDRMIPAGGPLELLHVEGRHAVYRLRPELASRAGSEDGP HRHR OJF2_RS33425 MPEPDRVLTCEQADDRVVVEAAGARLAFLREGDRWIHSLAFEVA GMATQPAPAAPLIATVETPSDHDDPARVISPVYQGLHRHDLGDGNAVCILLTGLLHLH YFSASVVCRIDPATGGVEVDFDVADRCRSPVSALAATYLVGLSSSDLADAGPHRVAWH LADPASRLELTAEPPEALALAEAGRRASRVQVVAAIDAAGFTHRLRYRWRWGPSSDPA LDASSGRSR OJF2_RS33430 MDADLKRNAKAVIDRILHLRDSLDFGDKQARRDELDKEMQSPSF WNDQEKAKGIIAELKTLNGVLKPFEELVKQADDLAATIDLADEMETDEFDDELREAVK RAERDFESFELRSMLSGPNDHCHAFLTVHAGAGGTEACDWAEMLLRMYMMWAESRGFS VQITDREEGGAAGVAEATIHIKGDYAYGNLRGETGIHRLVRISPFDAAARRQTSFASV DVIPEIDETIDIVIKDDDLKRDVFRSGGPGGQHQNKTESGVRYTHLPTGIAAESRSER SQHKNDANAMALLKAKLIRMEEEKREAEYAKKYDEKGEVSFGNQIRSYVLQPYQLVKD LRTGHEVGNPRAVLDGGLDGFIESYLRMKLAKGSDLTKA OJF2_RS33435 MVYKVALFASEEGYSVQVPGLPGCCSQGATEAEALDNIADAIRE YLEVAAELAEEAQAELREVEVEA OJF2_RS33445 MRSDCLRVGRLAPSPTGGLHVGHARTFLIAWLGARQAGGKVVLR IEDLDAARARAEAIDSAVADLRWLGLDWDEGPFLQSRRTDLYEDALGRLREADLVYPC TCTRADILRAASAPHAEDEGPAYPGTCAGRSAADADSLGDRPFAWRFRVSPRREPVVW DDLFLGRVAKDPARLGGDFVVGRRGAGASYQLAVVVDDALMGVTQVIRGDDLVPSTPR QILLYEALGYSHPRFGHVPLAIGPDGRRLAKRDGSIKLATLRERGLDPRNLIGILARS CGWTDRPEPSRPADWISRYDGARIPTTPWMVPAELIQYGLTTEARRAQRRPEEEWER OJF2_RS33450 MSDPSLTPMMQQYRELKARDPDALLLFRMGDFYEMFGEDAERAS ALLGIALTTRDRDKGDAAVPMAGFPHPALESYLARIVQAGLRAAVCEQVEDAKLAKGL VRRDVVRVVTPGTLTDDALLDPKSPNYLAAVVESGNKLGLAWVELSTGKFSLSGLLRH ELADEMARLNPAETLVSELSADAPWLKGLRANAGLAVTIRPSWDFQPEEARKTLHDQF RTATLEGFGVDDRALEVQAAGALVAYLRETQKSSLGHIVRLTPYRRAETLSLDEMTRR SLELTRTLREGKREGSLLHVIDRTVTPMGARLLADYLTSPLTSIDLIRERSAAVEELV GDASLRGDLREKLGEAYDLERLAARVATGRATPRDLAALARTLALLPAIKARLTARRS PRLAQLEAAIELCPEVRASIEGALVSDPPLSVKEGGLIRPGYHPELDRLRTVSRDGKS WITRYQAEQVQRTGIPGLKIGFNQVFGYYIEVTHAQASRGEIPNDYIRKQTVKNAERY YTAELKEFENEVRNADERANALEYELFAALRDRVSADAPRLIQAGSVLAQVDVLASFA ELAARQGYCRPDLSVDPVFEVEAGRHPVLDAVMRPGDFVPNDVALHPDAGMILLITGP NMAGKSTYIRQVALTSILAQIGGFVPARRARIGVVDRLFARVGATDELSRGQSTFMVE MTETANILNNATSRSLVILDEIGRGTSTFDGISLAWAITEHIHDNVGCRTLFATHYHE LVELEKTKPRLRNANVAVSEHDGEIVFLHRINAGGADQSYGIHVARLAGVPSPVLDRA REILAFLEKQHGPDPGLPEGPIRRKVKTGRALSGSLFAALPDPLLEELRHVDVVGLQP DQALNLLRRLKELAE OJF2_RS33455 MSQGPRRLLRLENVEGVTVVSFVDTKIVTEEQIVEVGDQLYSLV EDEGHKNILLNFGNVQYLSSAALGKLINMKKKVAAVKGKLKLCCIHPDLLEVFRITRL DQVFEIYAEEQAALDKF OJF2_RS33460 MSSGPRPRLRLEDVDGVTVVSFTDSKIVTEDQIQEVGDQLNALT EDGAKQKILLNFGNVQYCSSTVLGKLVGFKRRIDKNKGKLKFCCIHPDLMIPFKLTGL DKVFEMYAEEQAALDKF OJF2_RS33465 MPAAVETFPNQFPQREYEIEITCPEFTAVCPKTGQPDFGTIVIT YVPAEACLELKSLKLYLFAFRDRGIFYEHSINTILDDLAASCRPRRMKVVGLFNPRGG ITSKITASMEAAAR OJF2_RS33470 MPGIATLTTDFGTDGPYVAAMKGVLLGLAPGTQVVDVCHTISPQ NIVEGAFVLAGIVDAFPAGTVHLVVIDPGVGTDRRLIAARAADQWFVLPDNGLISGVT RLHEPEQIWEIKNPAVRRAVVSNTFHGRDILAPAAAHLLLGREPSELGPPVSKLVRLR NLDPTRDAGGFQAEVIFRDTFGNLITNVGASLLADCPPDGWVVEVAGRRIEGLSRTYG DQPSGSLIALVGSSGWVEVAVVDGDAGRLLTAGPGTSVWIRNSKSPAPPHAALARG OJF2_RS33475 MIGNSPGPAPSTPSPDLLDAPGEAARPPAPAPPRPAPPPGSSTS SGDEFYALLAEPAPDPFLQGGSGEGAAPAAPEPTGPAMPAGPEPRADHAGGPDPDGLP APFLAPPPSTDNGIDLPVVFTPPPDSPPSPASHAAAAAAAYPDQGANPDAEDPAEMAP VRWRSLLLASYASAVTLALIWILWTGRGLRPTPATAEPAGEARAVDRGNSFEGLIAKP SPPLPPGNIVALGSTARLGGLEVVPSRITLGEARLERLEGTMDEFREVADVLILRLRL RNLSEAQAFAPLEAAFARDSGLADDGTYIETADGRRIPMYRLAVESEWSFPDQPFTTL KPGAQADTVLVSQPVRDADLAGPFTWHVKLRTEPYRTDVLGVRFQASDIRR OJF2_RS33480 MGVTSMESAAASLRVLFEAGTLAGLGDDRLLDMYRAGERDEAER AFAVLVERHGPMVLGICEAVLRNRQEAEDAFQAVFLVLAQRSGTIRRGTAVANWLYGV ARRVSLRSRRSLARRRMLEERRVAAIGTRDQGGEAAAVSPPTPYPELYEEVDRLPEAF RAAVVLCDLEGASYDAAATQLGCPVGTLQSRLARGRQRLRERLVRRGFGPEFLALGFP MARAVPPSLARQVAHAAGAVVARGSASGLAPDVAVGLAVAEVRRAVAGRILGAVALLG MAASVGLAALLVGRGDERAVAREEKQVVVIPPAPAEIERVYIRVLDERDKEVPGQVLS AFSEIDDYRALWSYTTDAEGWLVIPKQTIQPSQVLAHRGNRALLGANAGDGLIHEQQS RRGTRDNPYVLRFQPLTHAVEGTVVDSRGRPIPGVRVVAQSGELTRDEALVMSQSVYN VRATTFRLSFFGDLRIPGLPGAFTDEAGRYRILLPAGATISMRPYHDRYFGREAEAQP ESTTLKPFVMEPAGSIVGRIVDSKTGRPVPNARVVARIQENRGHQYPGLWGGQADGEG RFGVLGLPPGVYVLCLSGTPDRQDVSARAAEGLRVHAGRSTSAQLEVVPLRPLRGMVV DRATGEPVAGVTVRLNGPQNPRIGGALDSRITDPRGGFEFRTIPGTQYLDIPGPRMAF GAWGEAAVVVPEQGDIGAVRLVRALADWARRDSAVNDRTLEAAVEQTPSPSKAPVAGR RTLTGHVRDPSGKPLAGVSVYPKGIEFELNAITDRDGTFILENIPSDRRELLLSFPRS RSQKVLAPTDRDEMDIVLEPRPE OJF2_RS33485 MSRRSPAYQIDGRVSIALDALDERQRRAVGAMIANRDRFLESTS DPSRIRKISRTRPLYALEAPDGLRVIYSHVGDEIVVMDLMHQATLDQFRRKTSLPNDR RVKGEEIHQEVS OJF2_RS33490 MIGLKRVIGYLKGHDSYELRARTILRRIGPFHKETLPVDDIETW TCYPEMIFDVVEVRLKDGNTVTWLDYKNDLLAILNGLDLSGKDAGIADNDDPTQDS OJF2_RS33495 MALRTIEQAADILNVSRAYVIKLLNDGRIPYCGIGEDRRLRSDD LMTYKKDADAARLMALEELSAIDQEFGLGYES OJF2_RS33500 MPTPDELYDLASDLRDKGDKAAAIAKLEEAVAIDPNFTIGHGML SKLYADMAEADKAIAHAQRVVELEPDDTFSYTALSVIYVRCGRIAEAEHAKAMAWEKQ NGFQ OJF2_RS33505 MPLARIALAVLLAGLTRPALAQPATPASPGADDAWIATARDDLS SLYTHLHANPELSNQEVKTGERIADELEKAGAKVTRHIARTGAVGVIENGPGPVVLVR TDIDALPVVERTGLPFASKARATNPQGQDVGVMHACGHDVHMTCFVGTARWLASHRDR WKGTVVLIAQPAEEAIGGARDVLADGLYTRFPRPNFALALHCQATEPAGHVYYRPGPL LASSTSMTVTIRGKGGHGAWPHRTVDPIVLASLAVLDFQTIVGREVEPIQPAVVTVGS IHGGNKHNVIPDEVKLQLTLRAFSEPVRLQLIEGIERRVKALAEAHRAPAPTVEIDEF APATINDPDLTARVVPSLESALGAEHVHATDPVMGSEDFSRYAEGGVPIMMFWVGTQP ADRLAAAKAKGEALPSLHSALFFPDAGPTIAAGIKAMTGAVVGLLPTGGPAKD OJF2_RS33510 MTRWADIDFSAVPSPSLLIDRPLLERNIRTTIGLARDPSRVRPH AKTHKMIEVARLEAGLGITKHKCATIAEAEMLGRAGAEDVLIAYPLVGPNLARFARLV REYPGTTFRATVDAPESARALASAAKGLDRRVPTLVDLDVGMGRTGIAPGEMAEALYG LIASLPGLAPDGLHAYDGHVREADLDARRAVVRGVQEATFGLRDRLVRSGLPVPRIVF GGTPSFPLHAAIEEPGVECSPGTMVLHDHNYASRFPDLPFTPAAFLLTRVVSRPGAGR LCLDLGHKAVAADPPAGARCHLIDVPEPTFVGQSEEHLVVETPAAGRYPVGSCILAIP AHVCPTVALHRRAYVVEDGRIVDEWEVAARDRVIGI OJF2_RS33515 MMSPDKDPSDPLLAAIGELRRELIGWIDSRLGPLRDQGRETAAP AAFQPAPPVGPAIPARLRPPPGARSQPSPPAADAGDPRHRLDALARRLGEQLRLAEPS GGEPDEPRAHA OJF2_RS33520 MPSDPTYCLFRCDTRPLAMAVEHVAEIAEVGPLVRMSRCPRQVA GLFVYHQHVLPVLSLDGHPRAPDAVALVARTEAGLWALGIDRGGTQIVAARPARHDPA RGEDGLVTAGLIRREGADHALVDAEASWRSFRILIEGSFARPA OJF2_RS33525 MTPSTRWLLLGALLGLAAAAAGEGARAVMGPAPGAIAAAAAGAV AGAAIGGLAVRSAFAAAASRIALVADPGRDDDRRGPHAELGHARLDAELAALARSLEA ASEMHEDYARTERTARLYWASLQAPGGAIRPENALSEVGARLPAVLDAMRQAAIAIHR DASSLEELNERVASGAADQSEAVSRTASAVEALSEKIDRISRNAEEALRACERSRAEA RRGLEQVHSVIEGMDRLLAQIEVNGRTARRLEERSEEIGTIVDLIRGISGRTDMLALN ATIESVRAGEHGRGFAVVAEEIRKLAERAATATRDIGTIVEAIQADTHESLRALGEEQ AEMQREGERVRLTGAALDRISQVAEDSARLVEAISRSTNDQVVATQELVRAMQRISDV THETLEGTTRSRASLEALVKSCEPWQRLAAVAAPADVAPRELPPPGFGPATHAPRRTR ELAASEARP OJF2_RS33530 MTPAAGGLASLAGHLDDLADRLQPALAAEAGAADLRAIREFLSD LSEEARRRARVGLGRALARVGVLTELWECRAGEPGDAAGEIGLFCIRALARLAREEAG GGDGEAVAAWVLDQSSSNWGDYLGLLEGDAASPAGEADPLAEPEAEFVGPEPDEPPAI DAQALLRLFGVATATAPETHREGGPPAEPAPPPPRPILDRGPGRFGASGTYEPPRGTD RQGPPGPAGASPSRCGSASPVGKARLPEKDAQAIVIPPLPRGIDLDDEIREAFLADAT DLFERIESLVLDVGRGAGSPDSLRELGRCFHTLKGASGSVGLTDLAALVHELEEHLES ARGPLPPGLIDALHQILVYLEGILGLLRGGEAPAPATENAATAAEPPSEIHAAAVVPT AAAAGGSPSGDGQEGPIRLASGRLDELMDVASELIARRRSWMSQAESLKAVAAMARGL RGRMHACLDRLHEAGLGREEGTPGAVGPRGSRVDVPGQLLKLGELDDDLAVMADTAMA AAAPLADHGDALGRLTMQLWDELQSIRIVPVRGLFQRLARVAIDAARVEGRRVDVVMA GEQMGLDRAVQDRAYEPLLHVVRNAVGHGVEPPDERARAGKPAAGRITLEARREGNSL AISVQDDGRGLDHAAIEAKAQRLGLIPPGEAATAERLNELIFHSGFSTRDAANAISGR GVGMDVVAREVGLLRGTIELRTERGRGTRMTVRLPARLALETVMLARVDGQAFAIPVA QIEHAQPVEPGELGGARFPFRDAEIPLADARAVLGVADTPAPAWPKLLVVRSAGGPVA LVVDAIEGTEELVIRPLNALLAGHPLISGTSVSASGEVISVLNLAGLHAEANAGPAEP GPGPDGRAPAKGAGCSVLVVDDSISVRRVMARQLRALGLAVDEVSDGQEALGRLRDGS YGLVVTDLEMPRLDGLGLLAEMRRLPPLAATPVIVASTKADAETRQRARSLGAGAFLP KPVDPAALAQAVGGLVPPAARAAGLEGVRTAEEPWKAR OJF2_RS33535 MESPLILVIDDSLTIRKMVECHLSQAGYRVIMAAAAEPGLEMAR ADRPDLILLDHQLPGTTGDEVCRALLAREETAAIPVVISSALRNRAFAQYTEFTNVVD QIPKPFTPELLRSGVANALQTGAMVVQAQRTGCAMPEAVGEARDATLEGTTAAFPLRA VLDFLNHARQSGRLTVEAGRDRIRFAVSGGRVQAVYSTTVGPEAVEAALPAELADLAP LLALTLGEQQDPSMSGLVRMLERSLSDPRRLRALLRCQAAALTYRAIEGEPGPFAFEP DAPLPPMFQAMPLQTSVAALIVEGVRACGEPLDADEWGRLAFARQAARGGSTDRTGLS NGDVRVLTLLDGSRPLREVAEQAGMGLPDVAATVRGFELAGMVERRTLGAGESILAFD EDPEAAALIQRVLGPEGADQRVKVVRDRVAAQLLLRRQSFNLVILPLDRPEHEAFFRL CKQQCPPGTRFIGIASIEDESELARLDAMGLDGVLHRPLNEADLTATVKHLLTHAPGS MAGVA OJF2_RS33540 MSRLQIHDERGEGGRDEPDDVHLETIALLQEEVARLEAELLARD EADRGRACAGDLDDDARVEADRAAREEAGRLRSDLAARDETIKLILDQLSLVEEAEAA GRAEWEQLAAWVSEMEERVERAEAAPPPAASLELERHRREAEALRAELDRERKAWAGR RAELERELERLRGLLARAPRGEGAGGSDRPDRAAIEAMEADNRRLRERCREVEEDAAA RMGSLRAAVDAARAEAEEARGQVAVIQDLRDREQREFEVAVASLRAQSSRAAAAAAAE VVRAEPAAPGGRADIEPDMRIHAFRQHLREIHEREAEDRRNSSLAARLSRLWGRTAPA R OJF2_RS33545 MDSPAPTPRPKDAPAAAPEAWARELEELRRRVILRLQSIEDEAR RRASVPAGGDARTEAELRRRVEDLERERARLLEDAERQAASRRQDLARLEDDRRLLAE SWQRLERERIDAAAASARGRPGPAPQSRLAVTAPAEPPGGPRAAADPVNPVAESILRQ FQTLSQDVRRASDMRGSPR OJF2_RS33550 MRSESSVIDRLEGHPGGAARRPPGGPASPRPPRPGLGSRLLGWL SPRAGRAASRAEAEGAAPRGTEGTRGMAGRLIAEDRYAFVLLAEAVGRVAEQDAAAAW KALGSQMAMVPAGSVPAVLCDGGVAELELGGFFMDRHAVTNRQYQRFVQARGYDDLEI WPREVWPSVARLVDRAGRPGPRDWEGGRFPAGRADHPVAGICWYEAVAYARWVGKRLP TAAEWQKACGWPEHLGGGTCNRYPWGNAFDPSRANLAPSGQGRTAPVGDFPSGATPNG IYQMTGNVWEWLDDPLEAIPCGPGEAFRPWKPMRRILGGAFDTYLPGEAACQFVTGQG ELDRRDNIGFRCVLPLDQLRPLP OJF2_RS33555 MTGRPYLTEYNRPHSDQGCPLCGGHVYEGEPCGACFLPYKVIES IRSRLAAPRFVVVLGPTGVGKTVYLGMLLDLLSRGAGGLRGLSRSPFSLALHRNLILA LERQRFPEKTPVETDRWHWLHCEILPAKGRAAVDIVAPDVAGEAVSEEMESPGSQKTI RALMGRCAGVVLLMDLVEVVADGRAQELFAMQLVSYLDALRPGRKKGRKVDLPVAIVF TKADLLDDGIADPVAFARGNVPGLYGQCEARLGRFSFYASGVAGSTAKLLDDSGAERL VPLRVEPRGIVEPFAWMVGQLGG OJF2_RS33560 MWAEHAIFTSILRQGRGGYHLVARSPGLLDDEARAISRWSPSHG SLLLDADNPAGVSFYPLPGGRFALARSCEGPPEYSGRGGRQLYTHTMVLDEAGLRSVG WQPLAVYHNALAVGALLYEPSPPTSLRPLRLPDLHIPLGTSDWEARAAERRLPDLKPL RERLLAGRAVQVAHEGDRMALAECLLGTLPPAAVGNVSFAASLRPSTVRPFLLSIVAP DALANGAAHAGAAGASSTR OJF2_RS33565 MLASLSKYLRMFGHLARYTLTRELSMRGNFLVKVSVEVIWLGIM VAFYRTVFARTSYVASWSESEYFFFVGCYFALNGLIETLFLENCNEFAELVRTGDLDF LLLRPIDEQFLISFRRVDWGTFPNILMGAVLMGIALVQKGWTFDPARVAAFFVTFAAG TVIAYSCMLVLTSLSVWLVRNQSLMEMWWLFTSLARYPREIYKGPWAAALGDVFTFLI PILLVSNVPANVMVRVLDPAMVALTVVSSILLLWFSRAFFQHALRSYRSASS OJF2_RS33570 MTPPDAPAATADPAPMPDATVTDPDAVSAPPRRGPAAAVRKYAR ILRVSLVERMTYRADFLIGTVLRFLPLITTILLWRAIYEGAGRDNLAGYKYREMIAYL LLTNISRMFSSMPGLAAGIAREVREGTLKRYLIQPVDMIGYLLSYRVAHKLAYITMSF LPYGLLFYICRGYFDGFPGPATMLAYLASLVLAFLVGFYFEAAVGMVGFWFLEVTSLL YIVMTLNFFISGHMLPLDLLPQPWAGILKALPFQYMAYFPAVVFLRKVTGWELASHLL LELAWAVGFMLLCRALYRMGLRRYSAYGG OJF2_RS33575 MAIIEADGLTKTYRVFQKREGVLGAIRGLYRREYREVRAVDEVR FRIEPGEMVAFLGPNGAGKTTTLKMLSGLVYPSGGDARVLGFVPWERTDAFRRQFALV MGQKNQLWWDLPAADSFQLHREIYSIPADQFRKTLGELTEILDVGKLTRQAVRELSLG ERMKMELIAALLHSPRLLLLDEPTIGLDVVAQGAIQKCLLDYHESRGVTMLLTSHYMR DVEALCDRVLVINQGRVVYDGDLSGIIEKFGERKLVKLQFAGPAPEGLEQFGEVVRRE GPFAEIKVDRSAVAAMLGAVLDRHEIADVSVEDPPLEEVIARVFEEAKLSHDAA OJF2_RS33580 MSSGTYNAKSITVLEGLEAVRRRPGMYIGGVDKAGLHHLLWEIV DNAVDEVMNGHASRIVVTLHADGRTMSVADNGRGIPVDTHPKTGKSALEVILTTLHAG GKFDNDAYKVAGGLHGVGASVVNALSKSLIAEVRRDGTTYVQKYRRGKPLGPIEKGEP SRGTGTTVTFTPDSEIFATLDFDTTLIAERLEVKTYLNKGLVIQFVDQKNRTSVEFRH DGGVADFLDAVTKERNDHRVAPLAFVLEREDEEDGLRCHLALAWTEATDEDIRSFVNT IPTRDGGTHELGMLSGVSTAVLRFMETHDLVKKGMEIKREDIREGLVAILSVCVREPQ FQGQTKGRLNNPEVRAQVESMVRPALESFLLKNKSVGDAIAARVIQAARAREASRAAA TQVRRKTAVGGRLNLPGKLADCDSTDPEYSELFIVEGDSAGGSAKQGRDRSIQAILPL RGKVLNAEQAGKAKVLDNKELTDLISALGCGMDDQFDPARLRYGKVILLTDADSDGHH IATLLLTFFYRHVHPLFAEGRVYLACPPLYRIAWGKEIYWASDDAHRDRIIGKMPKNA KPNITRFKGLGEMPAKLLFETTLNPETRRLLRVVVNEDDRPYTDRTVSDLMGKEPEAR FKFIMEEAYTAKDIDI OJF2_RS33585 MGKRNRGGGQDPGRKARRDVFVEDVALAEAARTRYLNYALSVIT SRALPDIRDGLKPVQRRILYAMFADLHVTAESRYIKCAAVVGEVMKTYHPHGDQSIYD ALVRMAQPFSVRHTLVDGYGNFGSIDGDPPAAFRYTECRLTPIAMSLLTELREQTVDF RPNYSATEEEPEVLPAQFPNLLVNGASGIAVGMATNIPPHNLKEVCNALVALLDNREL PAEKLSRYVLGPDFPTGGTILNGPDDIRQIYATGQGSIKLRGTYEKHPDRPNTVIITA IPYGIEKDALVERIGDLIGKGQVPQLVNVKDLSTDDIQIVLELRPGASPDAALAYLYK NTPLQVNYNVNLTCLLPAAGAEVAVPARLDLKTILQHFLDFRMEVVTRRLQFELKNLL DRIHILEGFAIVFNNLDEAIAIIRASDGKADAAPKLIARFGLTEIQADAVLETKLYRL GKLEIKDILQELREKKKRAAELKKLLQDEPARWAIIRDELKQVAKTYGDARRTRIEAQ SAPIEFREEDYIVDEDSWVIVTREGWTKRQRSFADVASIRVRDDDSVGWVYRARARQT ITFFTDRGIAYTLRVNDIPLTTGHGEPIQKQFAFEDQEHIVGVICHDPRCLPDPSKHP QTAPRMVQRLFGDGEGEGDGGEARKEPSANGAAGANGHANGDGHGPGTPALPPPPYAI ALTAGGKVLRFSLAPLAAVSTRKGRVAAKLDPSFRGDRVVGVEATDGSENVCLATRMA RVLIFPVVEANIVAGAARGVAAIKLHPKDQVVGFVLANKKREGLTVRTNRGSTQIVRA TKYPVTGRGGHGYAILQRGSIDAVLPADVEPVPPADQVDTSADGKGQEE OJF2_RS33590 MSGLDEALNHAEICARETRRLVIEAGARHRAAEAFAGLFAGEQA VVVADERTFEAAGREVFEGFRRAGRPASGPVLFPPDIVAEDARVLELQSALEGLPGIP VVVGSGTLNDLTKLASHRLGRPYMVVATAASMDGYTAFGASILHEGSKQTFACPAPVA VLADLDVIARAPREMNAAGYADLLAKNVAGADWILADAAGVEPIDGRVWEMVHGPFRS WVESPAGIARGEPPALGRLVLGLMTTGLAMQEARSSRPASGAEHQFSHLWDMQHHIHG GSAPSHGFKVGIGTLASLALHEDLLGRDLQDLDVDRAVGRWPTLGQIEERIGVVLGTG SLGAKAVEEARAKYPTREQLRSQLASACNGWPASRARLARHLIPARDARDMLRAAGCP TEPEQIGIDRDRLRASFEQASYIRRRFTILDLMQRLNLMAPAMERLFGPEGAWPVQD OJF2_RS33595 MPASLDHVTPATPMGATLIADGATFRVWAPKALEVYVLGDFNGR VADDSSLLTEDEAGHWRGFFPGARDRHRYIFHIVGTGSTGRKRDPYARELETPFPGEC VIRKPDFPWHDTGFVTPQFHNFVIYQLHVGTFYTPNRPRKNGTFLDVARKIPHLAGLG VTVLQLLPIQEFMTTFSLGYNGTDYYSPEMDFAVEDGDLAPYLDEANRLLDDRGLARY RAQDLRGEMNQLKALIDLCHVHGLAVILDVVYNHAGGSFGDESLLFFDRQPESGGKKA NSLYFNGKDHAGGEVFDFGKPEVRDFLIRNAKFFLDEYRVDGFRYDQVSVIDHDGAPD GWRFCQDLTSTLRFLRPSAINHAEYWNVNPWVVKPVPEGAGFDTTLTDGLRNAIRDVI GNAAQPDERPLNMTALAGSMWPDGFPQSWQFVQGPENHDLVLQGRQQRVARLGDFNDP RSWYGRSRARVATGISLTAPGIPMLFMGQEFLEDKQWSDNVDDFPFLLLHWAGVEGGD KQMLDHIRFTRELIGLRWRQPALRGQGFRPVHMHDQNRVLAFHRWVPGEGHDVLVVVH LSTFNRFDYRIGFPGGEWREVFNSDVYENWVNPNVVGNGGRVLADGIPMHGFDSSASL ALPANSILVFARG OJF2_RS33600 MGYDCTLHLVDEAAIRDEFVPRLLGRSRKRTALDRVMPDAAELW AKAREALAGDDPREAASLVCQLAVMFSACSLPHQYERGFALSLWDRQEDEVAVEFPAE LASSPEPLFAEVVAQHPELHGRFPTWFSGNFSTGVYVPADRVKEVLAWVEGRVAAFTK GRQRDFRGLLGILRAAAAKGLAYWEATDLAIPMAGQFPGDPALMIAAYLGNEPGAASR EVEMAPLGGHVATLWTQIIDERLVSTDYDPLGTNVWDLGTWPPRQEHRVGDFAASLAR SREGRWLLLSAVDPKARPRVFRPRLYADLAGKPEPLPPVVLDGAEREASGCGFAGETP VVFLSKRWDCKAGDPLAPPVWLEGEAWKSIPGLPAAAARPSSLRGSVQEPVIGTVPLA DGGDVIIWDGDGYERRGDAFEKTFAMGARQPESNWTCAPAGRDGFFYLSDRRLFEVHR GVGPVAHAKGWKNIMTIGVGPSGSLLLKEGNNDDGDAAKLYFPADGSFIHIDPALFDD REYTAIHWSPDAGRFLVVGGKFLAVPTSRVLALPRYKASTGKPVR OJF2_RS33605 MHLVATHPVRGRVSTALSLLAIAIGPANLAAAEEPGPVRPLYHF TAERNFINDPNGLVVADGEYHLFYQHNPEGDRWGHMSWGHAVSRDLVRWQHLPIALRE SGGIMAFSGSAVLDSTNTSGFGRGAMPPMVAIFTGDGLGKQTQNLAYSTDRGRTWTMY AKNPVLDIGSKEFRDPKVFYHHGTGRWIMATVLADQHKVRLWGSKDLKSWEKLSDFGP AGATGGVWECPELFSARVERATRMWQWVLKVDVNPGAPNGGSGGQYFVGEFDGKEFRP ERKPDAPPLWIDGGKDFYAAQAWNDAPGEDPTWIGWMNNWQYANDIPTSPWRGAMTAP RRVRLRRTRDGHRLVQLPAESLRSLRGREMKLGPRPIPPGDIPLGGEGVEGTALEVAA AFRPGDCATVGLKVRTGEGEETVIGFDRKSGELFVDRTRSGKVAFSRDFPGRHAAKLP AGAADDVLFVYALIDATSVEVFADGGAVAMTDQIFPRPDSRGVSLFATGGTARLESLS AWPLRP OJF2_RS33610 MNASQPGPASTAPPARASMSSTLIASALIAALGGFLFGYDTVVI SGTLDSLKRVFVLSEGSLGFTVAIALIGTIVGSIVAGRPADIWGRKKALIVLAVLYLV TSLGTALAWDWYSFLFFRFWGGVAVGGASVVSPLYIAEISPARFRGRLVAVQQFNIVF GILLAQLLNYAIARMGLGENEWRWMLAVLAAPSLAFLILMVPTLESPRWLIGRGREDE ARAALLKLGVDGGGVEQEVAEIRASIDPEHHKEGDSLLRPAYRIPVMLAVAIAMFNQL SGINAVLYYAPKIFEMARGSKDTALLQAVAIGGMNMVFTMLAMTVIDRAGRRALMLVG SIGYILGLSVTAWAFYTYGANFTPAGGAIVLGGLLLFIAAHGFGQGAVIWVFISEIFP NRVRADGQALGSTTHWVMAAAISWTFPIINERFGPGNTFAFYAAMMVLQLLWVLTIMP ETKGVPLEEMQKRLGIE OJF2_RS33615 MAYKILAVGEVLWDMLPSGKQLGGAPANFTFQCRTLGADARLVT RIGDDSLGAEVLDRFRSLGLPTDAVQVDPEAPTGTVDVTLDGAGVPHYTIRAGVAWDR IEVAWPAREAAAAADALCFGSLAQRDEPSRSSIRALVGASRAGSLRIFDVNLRAPFID RAIIEESLGLANALKLNDEELPQLAAMFGLPEGTREAIAALAGRFGLSLVALTRGPGG SLLMAGGSWSDHPGVPAAVRDTVGAGDAFTAALVVGTLAGRPLDAINEHANEVAAYVC SQPGGTPALPDKLKILTKPSPEVGP OJF2_RS39600 MVRQQRLASFVGIFILAIPPATAGAAEPWKVGEPIVAYWAGPGF PGGGPLDDAAADQLAAGGWNLAWCTRPEELDVARRHRLRGLFSSTVLSPDSLADPTRR EALVALVDRVRSHPAMYAYHITDEPGASAFPALGRLVAFLRERDPAHLAYINLLPTYA NNQQLGVDGPILAAYEEHLRRFVEEVRPGLLSYDHYQFRRGDDAPDYFLNLALVRKRA LDAGIPFLNIVQASSWVPGAAASPSSPRVPGPDELRFLVYTTLAYGAQGISYYVYHYP AHEGGMIDPDGKPSARYRALRALNPEFVAIARELQPLRSLAVHHAGPRPPGAVPLPED GPFRLAPPIPPEPFKAGDRARGILLGSFGPAGAKDDSYRDATHVVVVNLDYRDERTTA LAGPSPLEVFDAATGRWAPANGPRVELRLAGGAGKLVRVAAAPRAER OJF2_RS39605 MVPKSMTFLVVHGKAARREDFREAIHTVRNEGHRIEIRTAEGPD DAFRIARQAAESGVPTVAAGGGAGTINRVLAGVLAAGPGAGSQVAFAAIPLGTTNDLA ASCGIPLDPTEALRLAATGQAVPVDVGRVNGRCFLNMTTGGFGAACTPGTPQEAKATL GRAASLLTALPHFDSIRPARGKLSGPGLDWEGEFLALAVGNGRQAGGGHHLCPDALID DGVLDVLILSHVPSVEIHRQLRTLLHGDPSASSHALVATRVPSFRVESAEPMHINLDG EPVEGTRFEFDVLPGRILMRLPLACTLLVRRAG OJF2_RS33630 MDRRILVVDDSELIGQQLSQLLTVPGREVTVAHDGTTALEWLVE RPYSLVLTDLRLPGISGLELIHEIRRRDLPVTIIVLTGHPSVEAAVEAMKLGAYDFLQ KPIDTLRLELLVNQALEDRQLLDQVADLRNRLRKRDAYHNLLGRSRRMMDVFARVERV ASSDCTVLVTGETGTGKELVAQAIHYSDVTRSGKLEAVNCAALPEHLMESELFGHERG AFTGADRQKKGRFELAQGGTLFLDEIGEMPLAMQAKLLRVLQERAFERVGGTEPIPTS CRVVAATNMNLVEAVAEGRFREDLFYRLNVVSIDLPPLRERLDDVPLLVNHFLRKLVE RGLPERTVARDALSRLARYDWPGNVRELEHVIEQCVVTTPGSVIAAENLPPHIVPLHE EPFSLEFDHSRELMELTDEFTQRIERAYLVRVLEKYHGRIDRCASHCGLSRRSISEKL RRYQIDKSDFKPHVRGSAAGKRLAYTAE OJF2_RS33635 MAILRAWFAGRHGIVPARMLFVPAFFFVVVADARPARGQSADLD TQLMLATVKVADPEATGTGFLLSRPSPRDAKVAQFLLVTAEHTLARTKGEEVTLFFHR RKADGTYEKAPAKVRVRQEGKVLWKKHPDLDVGVLPVVPPADAAPPAVPVDLLASDAD LEKYEIHPGDPVRCVGFPHPNQFEPSEAGFGIVRAGCIAGFPLLPTRSTRTFLVDLNT FEGDSGAPVYLVDLQRPLQARPELARVRLILGLMVGQHFLDEEFKAIYQTSKFRHRMG FGIAVHATAIREAVEMLDAKP OJF2_RS33640 MEPTALHTTGVSTQPPHGAGPGHPVDRVRIVCTPGVCGGRPRID GHRIQVEDVAIWHERLGMSPGEIVSEYPSITLSDVHAALAYYHEHRARIDADIEAATR YAEEMRADAGPSLVQGKLRQRTADGPNDPLPPG OJF2_RS33645 MARTIRFHLDENCAGAIAAGLRRLDIDVTTTPEAGLMGAVDEQQ LAFALSEGRVFFTQDQDFLGLDANGVAHAGIAYCIKGSRTVGQIVQGLILIWEVCEPE EMAGRVEYL OJF2_RS39610 MTRVARRRGRWAIDQASTFRHRMGFGIAVHATAIREAVEMLDAR P OJF2_RS33650 MGGTSPGFGPPEALRQARRGHVPVRVLCAVCFTAAAFFCSGVEL AYSAFAPLDQAFMRDLSESYDRPNDPCVQAMGRKVREYRALAGRAARFAQNTCLWASG VLAGAGVLGMVIGYHFTRIKIELQPDPAAKGQSTGIDPELA OJF2_RS33655 MKPTEPVEGGAEPTVDFAPAGRPRPAPAGPSTQASASAFGGSLP RVDVVAGSGIHLSEETRSLLRVRLRAVSLAMSFAFGAFFVRDLFLGGHYHDLFVAAFH AVVVAAFIASFLRLSGSRPIALRRLRTLELALFGMTIAFFLTVHYRLVQLRVAEGDRV MLIATVKNSILFIFAMIVLYGMFIPNTWRRAAAVVTCMAAATILSPVALRLFHPEVFR FAAPLLTFETLTDNVLMLLIGAGVTTYAAHIIYALRVEAFEARQLNQYRLTGELGAGG MGVVYLAEHRLLKRPCALKLIAPDRATDPKAMSRFEREVRTTARLSHPNTVEIYDYGR TEDGTFYYVMELLDGMNLADLVARHGPLPPGRVVFLLRQACGALAEAHAAGLVHRDLK PANIFAARRGNLHDFAKVLDFGLVLPPPEPGLAEISREGNIAGSPQYMAPEQIAGAVR PDARTDLYGLGAVAYFLLCGRAPFAGPTAMSVMIAAARDDVDPPSRYRPDLPPDLERV VLRCLAKSPADRFPDATTLDRHLAACPCAAEWDFERASEWWRAHRPGPETRDPHPSAG R OJF2_RS33660 MPASGLDLATMPLLIAQEMPLVPQAPPSHSAAMLLMVVLGLLGL LALGALYYRRRKVQASVEEQFRAFRTQAVSLMDQLDALRKRHKTLPADDPDFTVPMQG STLSLYEGVNRDLDALWDRWLKVMEVWDQAQKRIKAGGGLGLKPTQEAKTLLEGGEIT ELVRATASCKERLDTLNRAHETARADLATALSELTAVQNRVTGGTGVLIPSDRHRDEL RSAEDALRNAGAILAADPIGAEEQITGARWSLSALEDRPRPAPRATTHAHPTSYPPFI NDLAAAVDRFRESVAKFRVWEVLGMLAKAWVFIWVVGLLFGLLTPLMPLFIILVGFLV MAFGGMAVMRSISSWMWFGMGRRWH OJF2_RS33665 MSNAESPDLEAAEAPGDDLAPPPVQDEPESRPGGLPLYVWVIAA VVVAVPLGWYLGDRASGLEILPRLILRALTALAAPLVVLAILSAIVTNEIHGRHGALM MVFYLINTLVAMVIGLTLSNVFQPGSGASLATPGSLPQAPAAKSVTDLIVELIPRSIG EAFATNNLAQLVLLTLALGIGLVQIRDAQRARGDTSFRPVVDLLTIGFELLMKVLLWV VALVPFAVLGIVASSVGKQEGMSVFRSLIGLILVVVLGLCMQVAWYLLQMALLARMSP VRFLRNALDVMASTFSTASTAATIPITLGALARLGVSRRNSQLTACIGTNFNNDGTAL YQATAALFMAQSLGFTLSLGDQVLIMLTTLVASVGAGGIPSGSFVTLPLIFSAVRLPA EKLPILLTVDWLLDRCRTTSNVLGDMTVAVLLDRVWGGKPGTPEAADATA OJF2_RS33670 MTSSSEATRPRRRWPRWLAIGAAVAVAGLALLVLAIPWIVGMPW MQRRLAAAGSRYLAPGAVDFDRLRVSWFGRTEIENLALIDPQGDRVVVAPRALVDWSL KEILVTQPKVLTLTLDHAAVDVERSESGAIDLLDTLRPILSDEPVRDILVRVRDGSLR FRDAHLSEPFHADRADIDLDLNAAPRPIAWRLDLEQDRDSGGPGKLDIRGRAGRAPEA GGGPGDIELAVRADRWPWVVSRPDLRAGGELDGTIDVAHGQGRTAASADVRLLGVRAG GSRLSGDELSLDAVGLVGKVSREGPTWTAEAIDLSLPFAKAHATGSYPPAGDRGGRVE ASLDLPGLARQLPHTLRLREGLKIEKGSVALLADVQGDPAGPGQAISADIRLADLAAR RGEQLLTFPDPATFLAKLRRASGSFSLDQLDVKTPFLTATGKGDPDRGIDVAATVDLD AADGRLRNWVDMGKVAVGGKGSFDGHYKRTGDRFEAHADSAFEGLKITGLPVLETFQR DRETASLKATGGASPSGLPLTLHDFAFTGKGDGEELTATATLDRAANVLAGEVRGKVQ VVIAGKKQVAEGTVRGRWGESEITADPIVAALSPVVGPGGQFLPADPKTWSGAGRYDA ARDELLIEEKAPTPGAPSTAFAVSPTRVRVSGLKSRDAAAVDAQLAGDLSRLGLDGWG QGRLAGRLEGLIQGRQDASGWDLGARVQVRELATLDGQGGRQLLADEVSAGLRGKVLG KLESVDLAEIGLITPYGRIQGGGTVADLKSEPKLDLKGTLSPDWTVLSDLLARKVEPN ASMSGSDRAWSVSGTLPRAPGGKLPEALRGEVGLNLEHLDVFGMKLGRAPIVVRAEGG KVRIDPIDSTLNSGTLHLEPEVVADDKGGTWLHMGPASRLMDAVVNDEVSHRVLSYAA PVLDQATRVQGRVSLALGDAYFPISAGEKAEPRVDGDVLFDNVEFMPGPLADQLLGVF RQERRPLLVLRDPVSVRVVGRTVYQEGLVIPLGNVAAIGIDGSMDFDQNLKLVASFAV APPQREIPVLSKLLEETQIQVPITGTLKKPRIDGDAVAERFKNMGLNMLDNLLGPGAS GLGRLFQRRPGDDRPRDFFPPFRPPTGDEPGAGETGRTPAPPRPGGDARRGDDADEGV AKGANGPDGPTPMQLRREERKARRLERKADRRMRRGLPPE OJF2_RS33675 MTGEESPLLSVVVPLFDEERNVEALHRRLTAVLAPRGEPYELVL VDDGSRDATGGLLDGIHDSDPRVTVIHLSRNFGHQAAVSAGLDHALGRAVVVMDGDLQ DPPELLPRFLERWRAGADVVYAVRRNRKEGAVKKLGYFAFYRIMNAISDLDIPLDSGD FCLMDRRVVDALKALPERMRFVRGLRTFVGFRQEGLEYERDPRATGRPKYTFRALVGL AISGLISFSGYPLRIVTYLGIATAAMAAAMTAWVFHDAFTSGTAPQGWASTMVTVLFM GSIQMVAMGILGEYIRLIFLESKGRPFYIVRTYRSHESPGGPGRPAGPPAANGLATGR GDRVP OJF2_RS33680 MITRWSEGLADDNMAEDILVDLGEVIARHPWWRARAALTMDLLR RLGVRPPARVLDAGCGWGTTLEALEARGYRAAGADISRRALEKLDRPGRELIEADLTR PLPPGLPPYDAALLLDVIEHIEDDREALARAGSLVKPGGVLIASVPAQPGLFTEFDAI QGHRRRYLPETLRGAFQGTGLDLERTFWWGAWMVPVLRWQRKAKRAAPGESPAETYRR YLKLPPWPGPLALRLAYALEHRRALAGKLRTGTSLFAVARRPA OJF2_RS33685 MMVIEGGRRGRPEFTAARGWIMATGRELVEEVESTRLAGGQAAY WWLGQHGFVMKFGDAVCYVDAFLSPFPDRQVPPLMRPEEATNADLILGSHDHADHIDR DAWPAMAEASPRAKFVVPALLRERVVREVGLPDDRVLGLDVDRPVEVAGVRVLGVPAA HEFLDVDPATGLHPYLGFVFEASGLCVYHAGDTCVYEGMQSILRRWSFDAAFLPINGR DARRLAADCIGNMTYQEAVDLAGALRPRLVVPTHFEMFAMNSEDPRLFEDYLRVKYPG VVAKVPRHGERVVVDRGE OJF2_RS33690 MFRRSLLLFLMGLSVSTLAGCDRLKQMLRSSDHATKADAAEDAD PTSPTKIQAVDADAKNPKPFFSKGYSSGGLSKEAREIESHLGVGP OJF2_RS33695 MPEKASKVRALWNRVFGDRGEKEAARFLRARGMKILVRGYRTGL GEIDLVALDGDVIVFVEVKSRRGGTPAEAVTPEKQRRLTLAALHFLKKRGLLDRRSRF DVVAIVWPDDGRPPQVEHFRDAFEARGRGQMFR OJF2_RS33700 MEVRDGLVEAAAVCDHRPGSRRFLGPRTPGGADTMTLRWGILGC ARISRRGLIPGIEGSRTGELAAIASRDGAKARAWGAEFRIPRPHDSYEALVADPDLDA VYIPLPNELHAPWVKAAADAGKHVLCEKPLALDAAEAAAMVAHCRDRGVILMEAFMWR HQPRTRGLLGHLAGGLIGELRLVRASFSFPIEAGDWRLDPARGGGAVWDVGCYGVSTA RLFAGTEPEGIRARAHFGPSGVDMSLAATLSFPGGVLASIDCSFEQPFRCAYELVGTR GVIEVPDAYLPPAASPALAHVRTIGSGSDSDAGTDRSRVLEFPAVDQYAEMVDAFAAS VAAGRLLAPAEDGLAQMRALDAVLAAARD OJF2_RS33705 MPMPRALLCSLAPLALLWPAIAGGGGRDDLVVLTPSAAVETEPV PSEGDAADDPAIWIHPEDPARSLVLGTDKKGGLHAYSLDGRCRQAISPGSRPNNVDIL YGFDLAGRKVDLAIASVGKGGKAAGVKAWTIDPADGRLAELSEEETFRTFDGGVPYGI CTYRSPRDGANYVFVTDREGVVEQYRLEAATDGGPGIRATRVRAFRVGSQAEGIVADR ERGRLYIGEEDVGIWEYGAEPGDGEARRAVARVGEHGLAADVEGLAIYYGRDGKGYLL ASSQGNSTLVVYDRSGDHAYVATIDPKPGMAEDIDETDGLDVTNERTSSRFPNGFLVV QDGKCTGRQNFKLFAWDEVAGGRLLVDPKDSAR OJF2_RS33710 MRRPKVLAALVPCLALSLACGCGAGAGSPLPATPTVPLKGRITY RGKALTKGHVTLAPTDGGREAESDIQPDGTFTVATAGLGDGALVGVHQVVVSGTDQPL RTKGETHVRVVADRTDYAIDIK OJF2_RS33715 MNRQRRRGFTLIELLVVIAIIAVLIALLLPAVQSAREAARRIHC VNNLKQLGLAVMNYENANGALPPQQTMVIVGNNQPTSYTSWGVSARLAPFMEMGPMYN AMNFSLKYSDKPNTTVSYLQIKYLLCPSDVTTEPADPAKPFGVSNYGWSVGDWYVFGG GGAQTNRSAFAVNVSRTVAAFTDGLSNTLLAAEVKAKQPLYKSCATPAGLSPTSYPMD LATGAALITQNYTNGCKADTGHAKWSIGSACYDGFTTAMTPQYQVLVGTPQVDVDYDT NDENNGGPTYAAITSRSYHPGGVNTLFGDGSVRFIKSSVSLPTWRALGTIAGGEVISA DSF OJF2_RS33720 MLKFSRNTTLAFVAASALGLGACARAAVDDEPAASPPGKSDRIA LLVDGQVVKGAVREEGRTVIVSQPVGSKKFPKKRVEKVFDSMEQVYAYKVEQLPAEDF DERIKLARWCLLHKLQPQAREQLQAILDQSADHRVAKAMITALDQEQARLALAGKQDP ELQQAGAEQVQPQGDGAAAARPGTLDPSVIAGARRGMGVSDLPVVFDLPQAQAVRVAE EFKRYVHPVLQASCARCHNERYEGTFQLVQFKTKLDRTPEALRANLDATLKLIDQENP ARSELLSSTLRAHGRGPNPRPIFQGSNDTSYRILATWANKLQARPARDASAPARPASP GGESDETFASQRGRIAAGPSSITGPTSRPFPTGPVINKSTPPVRAVPGRGMVVDNSND PAEFPVPFAVSGARPPASPATKPALAGRPRAATPPAKPAPAAASPADDGVVPASAPAD AAGDDTGDEPPLPEPGKKPKKPVKLDPGLLQKALQLRNQGRASD OJF2_RS33725 MENAELSPLLQSFVGKTVVIDFVSPYVCLGKLVGWDAQFLELRD ADLHDFRDSQATREVYVYDSVRIGIRRNRARVLVRRDEVVAVTLFEDIATF OJF2_RS33730 MLKRTWLYAIALTAVPFFAGSRAEASEIRDKAGMFSAGAVRKAT AELDRAEQRSGVSVVIETIESLPNVAANAPEAARRNAVQELADRRAREIGHEGVYWLI SQKDRVYSEPLVKERYASLLPRSTRQAVGNALVSEFKKQRFDDGLLAAVGEMTQSLSS HAAAPAAVPGRRLVPGAAANHGVPDRAPRFGIGSLLFIGLAIFGVLLLFRVIGGMFRG ASSMGGGMGGPGYGPGPGPGYGAGPGYGYGGGYGRGGGFFSNMLGGLGGALAGNWLYD QFSGRHGSGHVDASSYMNPGETQYTGIPDDGGDNFVGGDDNGGMGGSWGEAGDAGGGS WGDSGGGGGWFGGGGDSGGSWGGGDGGGDWGGGGGDAGGGW OJF2_RS33735 MRSPILRRLTGLAALSTLTLAVGTLLGADDPEMKCLFDGQSAKG WILCDGKPLAKEFVQPDGLNPHGTGSYLVVHEAKAADFVLDFDYKLSPGCNSGVFIRT SDLKDPVMTGIEIAIDDTTGTGMHDPGALYDLVPPKSNAQKPAGEWNHMTITCKGPHV AVVLNGTEVSSANLDEWTTPGKRPDGSDHKFAGVAIGKLPREGYFGFQDHGKDCWYRN IKLKTL OJF2_RS33740 MERIPRRRESLRRKLAEGDLDALLVTSETNVSYLSGFTGDSSVM LLGRDGDGDVILSDGRFTTQIEQECPGLTAIIRPATQTMVQAVAEAAKARGIRRLGFE SAALTVAEFDKLREALKEDAALRPTAELVEDLRQIKDESEVAAIREAIAFAEGAFTAV RAGLKPGMTEKQAADRIESEMRRLGATGASFPPIVAVGLRAALPHARPTEGAKIGDAP FVLIDWGATGRPYKSDLTRMVVTGKVTPEFETVYRTVLEAQERAIRSIRPGARAHDVD AEARSVIEDAGFGRFFDHGLGHGVGMEIHEAPRLRRQSETLLKPGMIFTVEPGIYLPE WGGVRIEDDVLVTPEGCEVLSHLPKAIDTVCL OJF2_RS33745 MAENAADPGGPLDVQKIHYLVRLMKRYDITDLNINDGPLQVRLR RRGHEPAPAAQHLPGMLHYPAAFAPPPAAPAPAAPAAPAAPAPAAPQGILIKSPMVGT YYASSAPDTPVFVNVGSSIQPDTTVCIIEAMKVFTDIPAGVSGTIAEILVKNGQAVEF DQPLFRVNPA OJF2_RS33750 MFQRILVANRGEIALRVIRACKELGVEVVAVYSQADRDAPYLEL ADRAICIGKAPSVDSYLNIPRLIAAAEVADVQAIHPGYGFLSENPQFAEICRSCNFEF IGPPHEAIRKMGLKTEAKAIAAEAKVACVPGSDGAVADDAEALRLARAIGFPVLIKAA AGGGGKGMRVCRDESSLAGALQMARNEASAAFKNPSVYLEKFIDRPRHVEIQILADAH GNVVHCWDRDCSLQRRHQKLVEEATASTLPDSVRAKIGEAAVRLAKTVGYVNAGTCEF LVDPDDNFYFIEVNARIQVEHPVTEMVTGIDLVQQQIRIAAGEPLPFRQQDIVTRGHA FECRINSEDPDNDFRPSPGRITALKIPGGPGVRWDSHAQAGYTVPPNYDSLVGKLIVH GATRDAAAARMRRALDELVIEGVKTTIPLHQRVFRNPDFLAGRVDTTWVERVLMPSSA GRQAQPA OJF2_RS33755 MNVGLLTGGGDCPGLNAVIRAVVRRVAAAGGRCTGILEGWRGLI REMTVPLTVAETHGIVGLGGTILGSSRTNPYKNPETDVASVRAHFEALGLDALVAVGG DDTLGVALRLHKDFRFPVVGVPKTIDNDLDAADFSFGFDTAVNIVVEAADRLRTTGES HRRVMVLETMGRRCGWIACYAGMAAGADYILVPEVPVDLGRMLDSLARRRQAGRHSAL VVVAEGARLEGPADPACYPPRDAPGMPRPEGIGASVAWIIENRARVETRTLVLGHMQR GGIPTAADRVLATRLGLAAADLALRRRFGTLLTHRGGQIAEAPLTAENIGPRSLDLAY YDAAAASFA OJF2_RS33775 MFQGFSVSFNPVEPKLLFVAAAAAVVALTVWAYARKLRGTSGGW RWVALGLRLAAILLCLLAALRPSVVLQEKKRQPSEILLLVDTSKSMTLADEVGGQTRW AVAKKSTEDALEATKGLAPNVSTVPLRYDSAVADALVDGKLPAEPEGRETQLGTAMLE AEKRAAQDGKRIARMVVLGDFVSNNGLNPLVVARILRDHQVPVDTVGFGSENAGKQSR DIAVRDITTAPSVFVKNQLEVRGTLVSHGYPGQPVDVEMYVEGQGAPVAKTQVKVPEG ADSAPISGLKYIPQTPGEKMVTLKVTPRDGEFITTNNEISTFVTVLSGGLNVMFLQGP NFTWDYRYLLRSTMSSQVIQVEGFVIRKPAQGDASEIDDAEFAPGKYNVYVLSDLPAS YLPPRQQKMLADAVNKGAGLMMLGGRTSFGAGGWADTPLADVLPVEIHPGDGQLEPEG GVKFVPSNNGLNQYVLQIGGTKEETARLWEMMPPVLGTNRFSRPKPSAEVLAQTGGAV VEPLLVILNNVGAGRSLAYGGDTWVWPRSSEEGRLAHRKFWRNVIFWLSHKENEGDTQ VKLSLDRRRMSVGQKVEMTVTARDAKGANLTGLTYETKVEREGLSPTPEMVDLYTQGD EARGSYAAVGEPGAYKVTVIGRKDGQEVGRDSSRFLVYQDDRELENQSADLALARQIA EITGGEAVAHESLAKYLGSIDQSQFTEYLSPTEHKVWDNWPFLLIFAALLTLEWWIRK RHGWV OJF2_RS33780 MEFSLINAGLAAGAALAALPVILHLFMRQTPKHVVFPALRLIRE RQKRSKKRMRVKNWLLLLARMAVLALMALALARPTFTSEMSLGDEAVPSALGLVFDTS LSMGYKENDRTRLDEAKERALAILDKVPDSSLVYTVNSAVPGTPVPLSPAAARKWIDD LTIRPVNRTLNAAVGQIYPIVADCDRPRREVFVFTDLAGSSWNPGEHAAGLDQAEKVL KEKSGGKISTFIIRVGPAEARDVAIDAAEPASTVATQGEEMEVRGLVRNSGGQAATRV VEFYLDGVKKGARTIEIPPGNQAEVTFTTPRRLDEAEVHRGELRLTGSPDPLEFNDRR YFSFRVRPALKVLLISDVPLDADFVAAALDPDQAPGAPRTFQVARARPSELVQRHRDT LKDQAAVFLLNVAALDEEAWGLLNAYVHEGGGLVVGLGNRCQAANYNGPTASQVLAAG LDAVQNPGKEMTFGAIADFTHPLFQGYAKEMEPLLAITPVYHYWSLKPAKESRALLGF SDKAPALLERPFKGARTGRSLLWSSPLAYRYDPKDPARWNDLPLPTYGWPFLALMNRT VAYLAGTSSEQLNYVAGENVRLTLSPATRYKDFTLTGPDAKSSESLAPPSSGDVLEIV APQAVGQWSVAAKDVDDKQTKMGFSLNPPQAESRFDPLKPAELDAIFGKGGYVLAEDD KALRDAVDTARVGRELFPWLMMLILIIITAENVLANTFYKEAPGGTPVASGAPA OJF2_RS33785 MAGTAEKYLKPEVIRQVARLDLRAKFIVEGFISGLHASPFQGFS VEFSEHRKYTPGDNISDIDWNVFAKTDRFYVKKFQAETNLTGYIVMDLSGSMGYTYRQ ELTKFEYCISLAAALTYLMIHQQDPVGLVAFDTKVRQSLAPGSKRSQLANMLSLLARL KPTGETDIEASLNQVASMLRHRSLVMVFSDLLGEPEAIRRGLHRLRFGGHDVILFHVL DEAEAVFPFDGMLKLEDNETGEVLEVDADAIKADYLDEVESFRSGFKTDCVRARMDYV PLHTGMPFDKALMSYLLSRQARG OJF2_RS33790 MNRTIASTAGESTLHGTVPGGPGTDPLSWDWDRLHDLVQQRLGR LRQGVLAEEPAARCEVGRTSTPGFPLFSCLAFYHLDGGDFDPIVAGLTIFRPAGDVRV EGELSGDESGHVYFDDGCTLRVAAEPGAVERAVVAIADRLADQSRIVIDAIRRRIPQA VER OJF2_RS33795 MAQGEDRRLVYRSGSARYENLTPRPGKDLTPKPGSTPGLSTFDS LELAVDPGGKAQVIDLNLLQEPLRAFPDRPSEGGTQGHVAIAPATRAGEVDTSLLEEW ADTRDKGAGHPLTTLLAKAVVDTVRRPR OJF2_RS33800 MSTAGDRITQLLDEFRHSRDVMVSELNKVIIGQREVTELILASI FTRGHVLLVGVPGLAKTLMVSSIARILDVGFKRIQFTPDLMPSDITGTNVLEEPEAGR RAFRFVPGPIFSNIILADEINRTPPKTQAALLQAMQEREVTVGQETLHLPDPFFVIAT QNPIEQEGTYPLPEAQLDRFMFDVRIGYPTLDEEKRILLATTKGDSPELKKVLSAKAI VNLQKLVTSVPVSEYTVDYVARLVRATRPADDKSPQFIKELVDYGAGPRAGQNLILGG KAMAAMDGRYSVSLDDIRKVAVPVLRHRISVNFQAQAEGQTTDTIIKRLVAEVREPET PKYDRKSG OJF2_RS33805 MAKYVHALLRVVVLFGGATTLLALLISMGRAGGPEARPSWMGRW ESVFDPRWGSSASQEERIFLVDRESGDVRPMALPGGERWEYVGISPWSVGGDEFEAVG RYYRVDSRGGGCGLGRIRLPEGEVMERFDLDVLPTSRPCWVPGRPGRILFTAGDGRLY RYDLAVGHRAPEGGRSTPRPLEWRCPPPPKVPCFLTDPALCTDPRFRDLAVVTLISQA RGPGREIVQSTSLWWLRLDDDATAVEACGPIVEEPALDGGGARPLRRFPTLAAGRDGA PELVYLVKDERSPAMQMVLLPLEVDDRAGGLRMRPGAGPTTVSRGCAAVPPVVGAGGR TAYGFAPDGGLPIRRPI OJF2_RS33810 MPSPSQCRARLLAAVAILAAAAAAAPSQAGVTREEVERSIRDGV RYLKQQQRPDGSWRDAEDDARTGTTSLVTLALLTAGEKPDSPEVAAAIRYLRRFGPDD LNSTYAIALQTMAFAAAEPEKDLLRIANNAGWLEAAQIRANDPVPWPGSWTYSRSKRA QPGDGSNTQYALLGLNAAVEAGVPVKPEVWALARTYWEKSQKGDGSWAYKPDSAATTA SMTCAGLSSLVITGLKRTQGLESLDGDAIRNCGKGAAGSRGLQQAIDWLASHFQVGKN VGNGQQWKYYYLYGLERAGRLAGIRFFGNHDWYRLGAEELVHDQNRLGGFWEGVLIEG DRNVATSFALLFLAKGRAPVLINKLAHGPRGDWNNDPDDVRNLVSVVSRDWKSLLTWQ VVNPSTATVTDLMQAPIAFLNGHRAPVLDEQGRRNLRDFVEQGGFILADACCGSKEFD EGFRALVHAVFPEEEYRLRELSPDHPVWRARHLLTPADHPLWGIEHGCRTVVIYSPTD LSCYWNQAERSPENPAVVLATKVGQNIVDYATGRELPPDKLVLREARDFRAELPHRNA LRIAKLQHGGQWNVAPLAVPNLMNVLRDRPLYFDVVINHKEMLPSDPSLIYYPLAYLH GRAAFSFNKDDMDALRKHLQPGGGTIFGDAACGSPAFDAAFRRFVAELLPGTPMTPIP RDDPLYKVGSDLSDCQYTKAAGGGKDYPQLEGVKIDGHWAVIYSRYDIGCALERHSGL DCKGYTYESAIKIAANIVIYSTLP OJF2_RS33815 MTRRARFLPGILLTLAAAAAMLGPAAAARGAVTRDDVERAIRDG VRFLKQEQRDDGSWQDTNEQARTGTTSLITLALLTAGEKPDSPTIRKALDYLRKFGPH DLGSTYAIALQTMVFQAAEPEKDQVRMAANVTWLEAAQIKRREFAVGCWSYTDAPAGG DNSNTQYALLGLNAAAEAGVPVNPETWALARLYWERAQRRDGGWGYHAGDQLSTSSMT CAGISSLVITGSKRFQGAEFLQGPAIRNCGQGTANSNLQRGIDWLGANFSVTQNFPSG QQWKLYFLYGLERAGRLAGIRFFGNHDWYRLGAEELVRTQDRLGGFWRGVGEAPTVAT SFALLFLAKGRAPVLINKLAHGPRGDWNNDPDDVRNLVSVVSRDWKHLLTWQVVNPAG ATVADLLQAPIAFFNGHQAPELDERGRQNLRDFVEQGGFILADACCHSREFDEGFKAL MKRVFPEEEYKLRPLSDDHPVWRARHLLTPGAYPLWGIEHGCRTVVIYSQKDLSCYWN QAERAADNTAVLLATKVGQNIVDYATGRELPADKLVLREARDFRADPAKRGALRIAKL QHGGQWNVAPLAVPNLMNVLRDRPLYFDVVINHKEMLPSDPSLIYYPLAYLHGRAAFS FNKDDMDALRKHLQPGGGTIFGDAACGSPAFDAAFRRFVAELLPGTPMAPIPKDDPLY KVGFDLSDSQYTKAAGGGKDYPQLEGVKIDGHWAIIYSKYDIGCALERNSGLDCKGYT YESAIRIAANIVIYSTLP OJF2_RS33820 MSETTLAKLLSPHPRVRALRPGGPDPAGACVVYWMQRAQRGLDN PALNMAIAVGNAAGLPVVAAFGLTADYPGAQRRHYRFLVEGLVDAEADLAKRGVPLAV RLGRPADVVPAFAEEVRAAFVVGDENPVRVGMQWRDRVARALRVPFHLVDADVVVPSS LFPKEEFAARTLRPKIHRVLGDYLKPIPAISARHAWPDGKAPRGEAIGVDPLMAKLKV AGAGEVPGYVGGTREALRRLRRFVRERLDRYASDRNEPTPYTTTELSAHLHFGHISPL TIALEVRDSGAPEECVASLVEELIVRRELSINFVARNPNYDRLAGCPAWGLKTLAEHA DDPRPVRYTAKQLEAGETHDPLWNASQKEMVLTGRMHNFLRMYWAKKILEWSPDAETA FDVTLDLNDRYEMDGRDPNGYTGVAWAIGGKHDRPWPSRPIFGTVRFMSYESTRRKID SAAYIARVRDLERG OJF2_RS33825 MAEAKAGAAAPGISAGGAQPAVAVEEKPASGKPDRRREASLLRG RSIRSNCPRSSHAGWRPAAGRPDPVALLEESSKGRIPDLIPVRYGRMMASPFAFFRGA AMNMAADLAGTPATGLRVQACGDCHLLNFGGFATPERRLVFDINDFDETLPAPWEWDL KRLATSFVLAGRDNRFAAAQSRDAALACVRSYRESMAGFARMRALDVWYQRADLEGLI PRIEDEAVRKGVRKRLEKAREQSAREHADPRLAEVAGGEAAIRDSPPLIYHFPGEGRD AFTSSVITAFAGYRESLADDRKRLLDRFALKDMAMKVVGVGSVGTFCAVVLLLANEDD PLFLQVKEARASVLEPYAGESVYANHGRRVVNGCRLMQSASDLFLGWTELEGGQHYHV RQLKDMKIKPTVEVYGPGTMLQYAELCGWTVARAHSRSGEPAEIAGYLGKSDAFDQAV ADFAVAYADQTEADHRALEEAVKSGRLRAVIETPAEEKADGKAG OJF2_RS33830 MRRAAILWVLAFSGGAALVAADGPARHAFAIGEESFLLDGRRFQ IRCGEVHAPRVPHEYWRHRLKMVKAMGLNAVCAYLFWNLQEPRPGEFAWSGQADAAEF CKIAQEEGLWVLLRPGPYACAEWEMGGLPWWLLGHGDIKLRTRDPRFLSAATRYLKEV GRVLGPLQVSRGGPILMVQVENEYGFFGKDAEYMGAIRAALVEAGFEVPLFACNPPGH LRDGYRADLFPVVNFGSDPAGGFRALRQVLPKGPLMCGEFYPGWFDTWGAPHHRGDAA KYLADLEFMLKAGGSFSIYMAHGGTSFGLWSGADRPFKPDTSSYDYDAPITEAGWATD KFRATRDLMAKYLLPGESIPEPPARNPVIAIAAAEAAECAPVFANLPTAKADAAPRTM EEHDQGYGAILYRTILPAGPAGAIEAAAVHDFGYVFLDGRRVGVLDRRGRRFRVVLHE RKEPAVLDILVEAMGRVNFGAEVHDRKGLHGPVRLSAAGSPAVDLTGWQVFPLPLDGP MLAGLKYAPASASVPAAAADRGPAFWRASLDVETPGDTFLDVRTWGKGVAWVNGRCLG RFWNIGPTQTMYVPGPWLKAGRNEVVILDYLGPEKPVFAGRAEPILDELRPALDFAKG RRPLVHLALEHRKPAMEGRFPAGPERQDVHFPEPRAGRFFCIESIDAHDGKPFAAIAE LVLLDPSGSPLNQEGWRIAHADSEETSREDGSAENAIDGQAASFWHTEWGDGQPGHPH RLVIDLGRRVAVAGFRYLPRPGDDRVGGRIKGYRVYVDDGLAVP OJF2_RS33835 MIMAAIVSLTLAGQAAPDKDADSAFRQLKRYHASFQDVSFLHIV SKNREEGQVDASARWHRSRGRYTYRSDGASLLDNQSLALGDEPVYREIRSLLKHRLEI LDATPGAGPPIRERVPGSGPGGPGSLASPDSPERFFWLWYLATLGDPAEHKATLEGFE DIDGHRCVKIRMLKQPQALLRGWIGPLPFVRMWLDPLRDGYPIRVEWLSGDAVETRVE ILSMERVELGEGRRIWLPVEGRTSSYIKIGMDNSLTLSARPTYVEKHKILINSVKIDQ HPGDDYFSVKTRALADGDGTAPHAR OJF2_RS33840 MEDQASKPATIRPIVDILIAATAAAAIAAHLVLRFVARDAGAVL GVPAPEVPLLLALAGGVPLVFDLVAKLLRLEFSSDLLAGLSIATSVVLGEYLAGTLVV LMLSGGQALEAYAVRRASFALEALARRMPATAHRKRGGQVEDVSLDAVAVGDAVVVFP HETCPVDGVVVEGRSTMNESYLTGEPYLLPKAVGASALSGAVNGEGALTIRAERTAVD SRYAKIMQVMRESEQRRPRLRRLGDRLGAVYTPVAIGIALAAWLASGDASRFLAVLVI ATPCPLLIAIPAAIIGSISLAARRGIVIKDPAALEMIDTCRVAIFDKTGTLTYGRPKL AEILPAPGFTRDEVLAAVAGLERYSRHPLAAAVVSEAQAAGLPIEEAEEVGERPGEGL RGLVGGRAIQVTSRAKVASLAPEAAGMLPPLAGGLECVVLIDGRYAATFRFRDEPRAE GRSFVGHLGPRHHLGRILLVSGDREGEVRYLAEKVGITEVYAGQSPEQKLALVRDETS RAGTVFMGDGINDAPALTAATVGIAFGQATDVTAEAADAVIMESSLESVDELLHIGRR MRAIALQSAVGGMALSVVGMLAAAAGLLPPVAGAIAQEVIDVLAVLNALRAAAAPRTL SDFATGPRRDAIGEHGNAAEGPRVRVG OJF2_RS33845 MSGRGPARAVGMIAAAALAMMAGAAAGGGTGRAEIRVGVRDGDI RGEDNRAIQAAVDHVSSLGGGTVRVGEGRYAMRNALRLRDGVRVVGVPGATILAACDG AETPLACDGDCNERQVTLGDPSAFRVGDGVSIQDQDCGAGFMVTTATLTARLDDRTFA ISTPLYLDYMVSKKATARLASPVVGGWGVHDAAVEGLTIEGNRARRGKLDGCRGGGIY LFECRDVAIRGCVVRGYNGDGISFQVSTGVVVEGCTAEGNAGLGIHPGSGSQGPIVRD NRSIGNGGDGLFVCWRVKHGVFERNELRGNGGAGVSIGHKDTDNLFRGNSILGNGGAG ILFRDELEAMGAHRNVFEGNRILDNGGSSANDTPAGIRIKGTHRDLVFRGNTIGSSRE GTPKGVGILRGEGATGLRSEENRFQNVAREIETER OJF2_RS33850 MARRRVGLWLVGGYGGVATTITLGLATMARGLNDRTGLVTELLD FRGLPLPEPGDFVVGGHDIRRTSFEASAREFRENSGVFEPSWLEACRDELAAASARVR PAPRLGLSRAVARLADWPDAPECRTAREAVDLIAADLAAFAESESVDHLIVLNVASTE PPFSLDATHERWKSLEPRLGDPGAVALPSSSLYALAAIEAGHTYINFTPSLGASIPAL LERAGSTGSLLAGKDGKTGETLMKTVLAPMFAHRNLRVQSWVGHNIFGNRDGVVLDDP TSKSSKVETKDRVVAQILGYKPSSLVTIEYIPDMGDWKTAWDHIHFQGFLGTKMTLQF TWQGCDSLLAAPLAIDLARLADVEKRRGARGPMPHLACFFKSPEGVEENDFFKQFDAL LAHCRHIKES OJF2_RS33855 MRIAFSSNAYLKHTFDETAGRIAAIGYDGLELLADVPHAWPAGL LEVQKQGIRDAMARTGLAFSNVNCFMMNAVADPRQPYWYPSFIDADRHYRQVRIDHTR RALSLCAELGAPHVTTEPGGPLEPGQSRQAAVDLFVEVLKPLAEHAERCGVLLLIEPE PGLLLETTDQYEEVADKVDAPSLGLNFDVGHAFCVGEDIPRAIARMARQIRHYHLEDI AATRVHHHLVPGTGAIDFAEVVGAIRGTGYDGWLTVELYPFQDDPDGAARRALEVLRP LVAPAVGA OJF2_RS33860 MAADPTHAAPPPPPGAPAARRRRMLAWLQLVRLPNVLTAAADGL AGWLLAGGSLAEPARWGPLVAASMTLYAAGMALNDAFDREVDRRERPSRPIPSGRVSP RAAFGLGFAGLLLGPLLAAASGSASSAAVAAALALAILAYDAGGKRTPLGPLIMGSCR ALNLLLGLSHAPALGGPAAWLAASMYGMFVAGITWMSRSETESGRTRNLLAGLAVQDV ALVGLMAAALRAGRFPGARAELPLISPEALLLLALVGLAINSAAGRAIREPVPARIQG AVKAGILSLVWIDAALVMAVRGPAAASAIAAIWAAAYLLGRMLYST OJF2_RS33865 MRLGYNTNGLPHHRLADAINLLADEGYESVAITLDAAALDPYQD PGALAREVAATRDLLDRRGLARVVETGARYLLNPRLKHDPTLMDPDPARREVRADFLG RSIDIARALGAECVSLWSGRLPDAVGDEAAMDRLAGALGPVLAHAEAAGIPLAFEPEP GMFIDTMERFARLDGRIDHPLFGLTIDLGHVHCIEDGPAAPHILRWAPRILNIHAEDM VRGVHEHLMFGEGTMDFPALFEALREAGYARGVHVELSRHGHAAVDAVRASAAFLTPL IRPASPSPTAAAPVPGPGRPSAPDRGPR OJF2_RS33870 MTVLRTTEMWPSRCLAVAAACGLALCLVGCGDGVEHGSVQVGGK DSMAPAASKNAGADAKGDVKKPDAKVMLPGGKKM OJF2_RS33875 MNPWRAQRPSRRRSAFTLIELLVVIAIIAVLIALLLPAVQSARE AARRAQCVNNLKQLALAAATYEGAVGCYPPGLYWCLLTGDYAGYLGTNCGPLVHLTPY MEQNQVYNATNFQVNIYYNANLTVHAIGIKTLWCPSDGSISDTQTLDPNSAFFEVVPA GQSARMAYSSYAGVCGPWWPNTWSIPGVGAGARATHSQIKANELGLFGVCSNVRIASV TDGTSNTMVFGEHGHGLIAPSDQPSWQWWDSGNLGDTLITTMFPLNPQRTVANGSGSG AGGSVFVNSASSLHPGGANFAFVDGSVRFIKDTIQNYKIMPIGQDLNGAPMPASVTAT NAGTNPYWDQVYALVPGTQFGVYQALSTRNGGEVISADSY OJF2_RS33880 MSSYIDPHIHMVSRTTDDYKRMALAGCVMVSEPAFWAGFDRLGV EGFRDYFRQLTQFEPRRAAEYGIEHRSWLCINAKEAENVSLSREVIAMIPEFLDNPGV LGIGEIGLNKNTRNEAIVFRDHLDLAARLGELVLVHTPHLADKYMGTRMILDMIREDG RLEAGRVCIDHVEEHTIRFALEAGHWVGMTLYPITKCTPARAADMVEQYGPERILVNS AGDWGHSDPLAVPEFIFEMKRRGHDEALIRRVVLENPLAFFSQCSRSRAPQKAGAAVR AV OJF2_RS33885 MMNAREALAILDRDFLETRCKVLEVAAALDRIDRAPAHHPEHPD PRLGQLRQALEALLIPGPDRAETIQLIFSREYDPAWMDGMKRGAGGEAR OJF2_RS33890 MRSIVSRLPMAAAIALVLARAGTPARGDELLYLETADWIPTSRV VEVPTAYVTPSSYVLPTSYLLPTTYATAYVTESAYVTPTTIVTPTTVVTPTTVVTPTT YLEPTFYETRFRRRGLFGRRLVETTRAYYVPTVAYYPTTYYYPSSYATRAVVDAAVVP SAYLASSAATSCCEGQPAAVAPAAPIRRAAPAEKAPSASPAAPRTGQAERREPLRSEA EESAVSSDVPSLPSREDDAAQPTGATGPAGRSDSPPAAPAPARNPAAAKPPAAGAGGG TGTKGAGTGGTQGAAETPRSTAPVTRPAPPAAPAGGTDAMPPLAPADDEDLKAAPPAG DSGTIRRDSQRYVPSTTTVRTLPPERRNVLFGWVKSRGTSEREEGVRVTLVSKSNAYQ DREGLSDAFGRFAIKVPDGDWTVQVTMPSGRSYAVSDITVANGTISDEKGRDIPSLII TR OJF2_RS33895 MAGYDPEIPEVRLCEVGNEQEAAMVVGLLNEEDIPARSDATGSS PAFGGLPFESGHAIFVPASEAKKACEILSRYPHFKDLKDVHEPLD OJF2_RS33900 MSQHPEKPLRIALLVSYFHPFASGAERQALAQGVELVRRGHTVH VVTRSVPGYPIDDEEYRGVSIHRWIRTWDRGPLFAVSFVRGAIRALARLRAEIDLVHT HQGLWEAVATGLGRRRLRGLPALVQPASAGYYGEADELGRTRGAAVLRRLILRNTAFA AISAEIERQWLQLGVPPGRMVRTASGVDADHFRPGPSAVEGELLPRPRVLFTGRLHPQ KNLPMLLEAWAVASRRVPGSLILLGPGEDREALRALSEGLGLAGRVQLAGPVADPADY LRAADLFVLPSVAEGMSNSLLEAMATGLPCLASGIGGNVDLIADRVTGRLVAEPTPSA WSDALVEVLSDPEAATRMGAAARSRIEARYALPAVVDRYVSIYRDMIAGRWPGADARL P OJF2_RS33905 MQLRGIVMKAELREDPQGSDRIEMVLWAQGVGPDRPRSVVVPYE LLLADPSLDPDAVRGRGFQAVVEQGGDGRWIVREIGFAAGRALRPDGP OJF2_RS33910 MIPQLGAPIVLAHGLFGFSRIGLGPLTLTSYFRGIPDILRAAGN RVIVTRVHPIAGVEFRAQRLGYRIRTALPEGPFHIIGHSMGGLDARLLLEDPHWRSRV LSLTTIGTPHLGSYLADFAKLRVGRVYRLLEAMGLDHRGFLDITRLSARRFHRRHPAP ADIPCFCAAGDPPPGEVTWPLQRFHDILMELEGPNDGLVSVASAEAFGTPLPRWPADH LRQMNWMTPGPGWTCPPIADLYAGVVGRLAALGFAAEQHVA OJF2_RS33915 MAWHSNSTPPAPRRGGGFTLIELLVVIAIIAVLIALLLPAVQSA REAARRTQCVNNLKQIGLALHNYHDAVGALPPGYISALPKGADPITSDQDLGAGWAWG ALILSQLEQDPAYHAVNFNLDVASPANQTMSVFTIGAYLCPSDVTRPQVGVYPFYDSP FPPSGATPVDYVSGSNYVGTFGTGEIGDRPGGGDGCFFRNSRVTIAGITDGTSQTFLV GERSHTLSYATWTARSLNGWLYKTSAVEGGRDQFDPEPEESWTMILGPIGTDGGTRTP NNQMAHVEDYWSLHPGGVNFLLADGSVRFIKSSIHPTVYRAMATRAGGEVVSADQY OJF2_RS33920 MRRLRKWLTLFFVASTLASGAAHHHAHEELAAMACPEKGCGEGR PHFAGHRAPDLASLSDDCSACALGTDTIPLASSGVLFFQHIAREECRPAAVGRAPRLA SSPSTRAPPPPSA OJF2_RS33925 MKRFLLLLAVAILASSTAGTARAQQGSRFYDMGNEIESQRKEAK AKMRHAGGAGPSAFSLGRSSAADIYGRMDMAGHSAPGYPTIRSNVAGQLPPYMDRGTY VPAARRPAAAPRRAAATAASSRAAASQAAASKAAASSRRGSKARSAKDDGPPPLPF OJF2_RS33930 MAFKPDRLTVKSQEAVQAAQSLARDRGHQRIEPMHLLAALLDPD QSVIRGLLDRLGVNPAQVLKAAEEGLNALPRVTGGEQSLGPDLAAALDAAQAEADRMK DQYVSVEHLLLGILKTRSKAHQLLDALGVTEKDVLKALQKVRGGQAVTDQNPEDKYQA LEKYGRDLVELARKNKMDPVIGRDAEIRRVIQVLSRRTKNNPVLIGEPGVGKTAIAEG LAQRIVSGDVPESLQNRKLIALDMGALIAGTKFRGEFEERLKAVLKDVTQSEGRIILF IDELHLVVGAGKADGAMDAANLLKPALARGELRCIGATTLDEYREHIEKDPALERRFQ PIFVGEPTIDDTIAILRGIKERYEVHHKVKIKDSALVSAAKLSSRYITDRFLPDKAID LVDEAASRLSMELQSVPTEIDVLQRRLLQLQLAQRMLQQETEAHAVERLGEVEEEISQ VEKQVQDLRRQWEMEKSGLGDVQKLRERFAEVQAGLKRGMDDLHAMQQRGERPDERQY QELARLDAERQDLEKKIAHAEAQGDGQPKESRRLLKKEVDSEEIAEVVSQWTGVPVAR MLTTEREKLLKLEDQIHLRMVNQADAVHAVADAVRRSRAGLQDPNRPIGSFLFLGPTG VGKTELAKALAEFLFDTETAMVRIDMSEYGERHNVARLIGAPPGYVGYEEGGRLTEAV RRRPYSVILLDEIEKAHRDVFNVLLQVLDDGRLTDGQGRTVDFRNAVIIMTSNLGSQV IADLSGTGNEEKMRREVQNLLRGAFLPEFLNRIDETIIFHPLGRAEIEQIVGIQLRRL EHQLTEADLHLRIGDEAKKRLAEEGFDPAYGARPLKRVIQQRLANPLATALLEEAIKP GQVVEIGWDGSRFTFRPGKPEAATAS OJF2_RS33935 MAEGEKIIGIDLGTTNSVVAVMEGGDVTVIPNQEGSRLTPSVVA FTSKGEILVGDPAKRQAITNPAGTVYSIKRFMGRRHEEVRGEEKMVPYKVVGGQSDFV KVEVNGKDYTPPEVSAMILRKLKEAAESYLGHKVRKAVITVPAYFNDSQRQATKDAGQ IAGLEVSRIINEPTAAALAYGLEKKKNEKIAVFDLGGGTFDISILDVADGVFEVLSTN GDTHLGGDDWDEALINHIADEFKKEQSIDLRKDQMALQRLKEAAEKAKKDLSFQAQAD INLPFITADASGPKHLTMTISRAQFEKLTDNLFERCRGPVMKALEDAKLKPSQIDEVV MVGGSTRMPRVNQIVKDIFGKEPHKGVNPDEVVAIGAAIQGAVLTGDVKEVLLLDVTP LSLGLETKGGVMTVLVPRNTTIPTEKKETFTTAEDNQTAVTIKVYQGERPMASDNRSL GDFNLEGIPPARMGVPQIEVTFNIDANGILNVTARDKGTGKEQTIKIESSGGLSKDEI ERMKRDAESHAGDDKRKRELAEARNTAEQRVYQLEKLLDENKDKLSDYDKAALRAAMD RVNEVKKGEDAAAINQAVDELQKASQAMAEHLYASSSPGAGPGAGGPAGPGGPHGHPD GANGGAAKPDDVIDVEFEEKK OJF2_RS39615 MRGPPLFFWGMAALVDLAAGSCGSPTVGAIHAVLGVLFLASSLR DVRTLRSLDGRA OJF2_RS33940 MDKQRQLIVDQFTRQAAPFSEMHARDDAGVHRLLIETAMIGPED EVLDVACGPGLVACEVAKVARHVTGVDLTQAMIEQAEARQQSLGLANLTWAVGDAQPL DFPGSTFSRVITRYTFHHFTDPAGVFAEMVRVCRPGGRVAVCDVFTTSPEQGEAYDRL ERLRDPSHTRALQRAELEALFSGLRDVRRAFYKYPVEVDDLLSRAFPEPGGAEAFRRT IAADVGVNRLGIDASHEGGLRFSFPVVILSGMKG OJF2_RS33945 MARKSAPASKTTTAGKAAPAEAPDARRAPTLSSLRAEIDRLDLD LVTLLNRRAEIATQIGQIKHSQGLEIWSAAREDEVIARAVAGSSGPLPNETLRLIFRE LMSGSRSLQKNLRVACLGPKYSYSYLAAVAKFGEAVDHVPVGSIAAVFEEVNRRHVQF GIVPLENSTDGRIADTLDMFIKLPNIKIRAEIRLRIHHCLLGRCEWSQVRRVYSKSQA LSQCRNWLGKNLPQSAKVEVVSTAAAAELAQREEFAAAVASRAAAAAYRLNILAENIE DQPHNVTRFAVIAEVAEQPTGKDKTTLMLRLHNQVGSLNRILAPFEKYAVNLTWIESF PVPDAPKDTNPAYLFFLDIEGHVNDPPVQKALEAVRKKCDRLDILGSYPRSECIES OJF2_RS33950 MNGAEPGPPGGPRVPMVVLSCLVVGLGVAAVYLLWSGSARPRAT VSGIVPMLTAGRFDDAEARLREILRADPDHIEANMLMAQAALVRPDPKPELALRHLPR VRTSRRDARAVVRLYEGKARAELGRNDLAEALWLEALRIDPAVPEAGWNLLGLYQVQG RREDAHRLAMELFRREPDPRDRVQLLMELLRQDAQPIGTDSLIQTLEPIVAAHPEDPQ AAIALARAYLKNSRPGDGLPLLGRVLDRSPDNPYAWDTLLAGLDDTASAEEFARCLAR IPPAIAGDPRFLKHRGSAALKGRDWPLAVDWLRRANASDPSDGQVLYRLCQALKVARR SEELGPMEERFRAFRDARERVQPLYKEANAVPDLGVAPHPELCRRLADLREDLGRADE AEAWRRFAAEGRPSPAPAGSDADRRGIGMVR OJF2_RS33955 MSAPASISRPAPASASPAEGRRPGRPGWRSRKTRVALSLLALAA VGLGGWLALRPDPLIRAARAAYRARDYRSALIAARARLDRRPDDTDAALLAARCLAKL GRWQQADELYRRAGSLGLDDANDHAYGLVRAGDLPRAFEAYERLLDRWPDDVLALKRQ AALLISKADWKRVRRISERLIAIPGGRVAGRTLAGIGFHSTRHAEEAVAAFEEVLRLD PELKEMPLPQRVFWGHLALDLIALGRSSDARAHLERALAREDDAGLRELLGVTFEKEG RMDEAERCWRESLARDPNNADTLLDLGRQEVASRRIDEAIGHLERAAELSPESIDPVY NLSRAYRFKGDLDRARRYEARAEELRRAHPRQGGMGERPPGLEDPAGRGDGMRDPMR OJF2_RS33960 MKKPSRTRGFTLIELLVVIAIIAVLIALLLPAVQSAREAARRAQ CVNNMKQIGLAVHNYVSTNEAIPPSAIYSTTQNYQDQGVLCRLLPYLEQVATSNSINY NYGVRGIWVTGGSWSAPPMDQDVWAGDWGRCNATANITYISAFLCPSDAENGGNNQFF INGQNRLVSTTDYYWNVGTARFFNNGMVNGPSYSPGVLDNGQLNGAQCAGRPVRMASF TDGTSNTAIMSESLQSRSGQELGSLGLRMVYGDNNGPNYASFVGKGTPGNPADWQAAQ FCQNTSLGDNYYWKGEFALSGGHNLYSHTQTPNRKSCYWMDSSQAPGTSGGQDYQGAT HTMVAASSVHPGGVNVLFMDGSVKFVKNSVSFAAWYAIATVAGGEVVSADAF OJF2_RS33965 MRAESRSRAFLIPAAFAACLLAPAAGCGGNPNEAENAKTMAPGI PSANPNESFADRRARTRQVSKQEQKNEERNQAIAAKNAAKAGAKAETKN OJF2_RS33970 MPDGLGDERLERLEKELERVKREADRANASARTLGAVLAVALLG AGGWLATMYSQGKLRLEPITGGVAEAVEAKEFGFYNRDNTRVFLIDDDKFGHPELILM DLKKKYKMGLKVWADGGGTPGLAFYDDSGLRGHLRMNGEGASLLKLMGPHDKGSILLS VSEEGDPRLVVTDKAGKVLLAVPEGARDEKPPPQYPPGRAPGVGAQGPPAAEKR OJF2_RS33975 MRSWIAAGLLGAAACAGLALLAARDRGRPAGGADAYGRGDWAGA ATGAREALKRRPADRDALRLLARASARLGKDDAAEAIYRRLGASAMQPEDLFLLGRGL LARGQPGPGLAALGAALDADPDHAESLHARALHRLRARSLLPAEDDARRLSGRPGWGP RARLLLGRIRRELLDPAGAATFLDDAIKDGPAIAASGADLREIRLLLATSLIEAARPA EAREVLRAALAAGPDPRASWLLSRACLLAGEAGEAGAALDDSGEGRDGADPLRSQPSP YAGASRCEPCHAAKFRSQQQSRHARTFLSGPGLAEVPWPSGIVEDGDAAGVSHVFRKG DGRVSVATRVQGRSFAAVLAYGLGSNHQGRTFVGRDASGGARELRISEYPNAPAWART SEHPARPPDDAGYLGRPLGEESLRRCLDCHATNFRAALEPAGRPEAGDHGIGCERCHG PGAIHVRSAELHFADLAIARPSLAPADRVVALCADCHRAPDASSRPAEGFIRFQAPTL VRSRCYAESGTMSCVTCHDPHRDASRKASDYEAICLRCHPGSQPREAAPATVATPPGR ERTWAPCPTRATRGCLDCHMPRIAEAVPRTVFTDHHIRVRRDEGPR OJF2_RS33980 MNPGRKKRLTDYANCAGUAGKISPLGIAQVLRGLPPRPHDPNVL VATETMDDAGVYRLSDDLAMVQTLDFFPPVVDDPFAFGQVAAANALSDVYAMNARPVT VLNIAGFPDDELPLEVLGEILRGAADRVARAGATTLGGHTVRDKEVKFGLSVTGLVHP AEMLTNAGARPGDVLVLTKPLGTGFVTTAAKREECPEGVLARALASMIELNEVGRDAL REAGGAHALTDVTGYGLAGHASEMADGAGVTIEIDTGSLPAIEGAEALAIPRFHTRTS RTNREFLQGRLETAQDAAPMGVELAFDPQTSGGLLVAIAADRVGALIKGLESRGAAAS AVIGRVVERAGDTAIILRR OJF2_RS33990 MAERQGRYATSSQVVLAVLAVIGALYLMRIILVPIALALVLACM FAPVASFFRRWFPFGSLGALALVLLLIAGGLYVASLTAESLIRGTQTIPAEVERLAGQ VSKRINEVVRAQPYLRGVLPDPGTIDRLGDTNSAILIEKMTYSFADLSFWAVEGFIVL VLVIFLLVEGPMLTHKVIRFASRTTGEADRTSLMLGQVTRKIRVYLVARTIINLGLGL VIAGGLWLLNIHYALALGLFAGVTNFVPYIGQLLGGALPTVVAIGQNGSIGDALLVAA MYLAAVGVEGYVVTPMVLGRSLDLNGTTVLVACLFWGFLWGLIGLVLAMPITVSLKLF FQSVPELNRWAELMSVDWQSPDPDDGDPALAATLVETLHGPPIAPAGVAARAVAKGKE QAGAATGEPRG OJF2_RS39620 MIRDGERWRTWGRAVLLGVSALMLAVRSPWASHIMILALGAAVP TWFRAWRASAGTALRGALVWGAVAIGLAMAVQGAAILEGPRGGGVWTGRVAYLMTLSV LAGLISVLGAREPGGGAWAILMVLLVVVFLIPWLEGGGRVRRGEGAADLRLLSPWTLF YGLLATAGVTNYLPTRYGPAAAALGVGLGLEYLGLTRPGWDAETRKQASLGVAWCLAA AAGLAGRAGARPLPASSRADALWRWFRDGWGVVWALRVQERFNRSAELAGWPIRLTWY GLAPAGEGAGPAPTAEIPEAAEATLRSLIRRFATPERSGLAARSGGPEACHGGGPAG OJF2_RS34000 MVFLGTCPVIYLIEQPAILGPVAKGRVAGLLGRGEVLAVSDLVR MECLVGPLKRDDAVLLAGYRLFFESPDVRVLPISPAVCDRAAEIRARHGIRPLDSLHL AAAVEHGCSLFLSNDAQLKRFPDVVVEILA OJF2_RS34005 MKPMAVIVEGMVQEDGTLDLVGRVNLPSGPVHVTIQPVAAAVQP DRFWAMLETIWASQAGAGVAPRSREEIDAEIAASRDESEGEAAEAERLQDECRGGRER PR OJF2_RS34010 MITAMAGAVLLLVSTGGSIHCGHDKVRQECSACGMEDPEVQRQI DRLHSSGWMGRRRAARALRKYDWKSHPEAADALAAAVLHDDCLLVRQEAAESLAKMKP CLPSVHEAVARAAKCDKSLLTRHWAKKALKSLGKACVETCSVCGEVPGEVIAPGEVIA PGEVIVPGGPVMESPPVDSGVEALPPAIDEAPARDPSAVPPPPSPFRRESGRPAYPAP APAPMLEGPAIEGPGAMLLPAPRRLPPGRPAGPDAYVPGLPSRPYAAPLLMGRPNLAG ALLGVGAPGEE OJF2_RS34015 MRYVLAFACVFTSLCLRAPAQERPTPLFNGKDLSGWTAVFEAQG ADPSRTWSVADGVLKCTGKPVGYLKTDREYSDYVLTVEWRWPAGTEGGNNGVLVHSTT PRALGIWPKSIEVQLFKGNAGEFWVIGTDLDVADEATRKQDRRHRNLVYGSEKPVGEW NRMEIACKGDTIRVKVNGTLVNEATNCSVSRGAICLQSEGSPIEFRNIVLTPLAK OJF2_RS34020 MNAPRWVVALGRAVHPGDRAILGLLALAEGVALVRWPVVGTELT PLVLVQSALLVGFLGASAVLTRREGCRWVPYARAVVAVAVVFTLYTSLGKLGVAAMPY LADAWLSHADSALLGFDPSLALQPYQTPGRVEFFSFIYASFIPYIYLSLFLGCLGRRP LEREQFLTGWIFAYVISYLGYIFVPAHGPVVYQAGQYSAPLAGGFFHDTVVRANEATG GLQGVFPSLHVGCSAYLCLFDLRTNRLRGLTYLPIVLLIYGATLFLRYHYVVDLIAGT AIAWGCNGLGRHAVLAWARARQRVGRPALPGGEGDDLSFLPRRGEAGAAPLLPSH OJF2_RS34025 MIYRFFRAVARLALHLFFRRIEVEARHNAPPVGPVLFVPNHTNA LVDPLVLMTSLRREVTITAKDLLGRNPLLRWLMAALGVVTFHRRSDVGKGADLRANVR SLQLCREILAGGGAICIFPEGVSHSDPGLRGFHAGPARIALDYLKKDGNPGRLRIVPV GLLYTEKDRFRSGVWLRFGHPIDVARWAQAHPLAGPAELTAELERQVRALTLNYESRR ESAILSWTAEIAATRAEAPAALGGEDRPVADWFRLLGRLQAGYRELLRSDPRAARELA RRIRRHRSELRRAGIAPHEVYLPMHPGRAAFFLVRELELAVVGTPLALFGAVNHIVPY QIVEWLARRLSTDRDHWASNVIYPGIVIFPASYAVLLAAAWWILPPAWAALYTVLLPF TGYYALLFRDRAGHAWRRTVTFLRFLRRPGDQARLAAEGRAIVDRLRELGGRLEREQA PPPEPGAGPLPRSGAGPLFALSASALEEQFRDDIATLRDVLAGLDRLQAEWAEARGTI RARDRGYFTPAEDDRVRRLLLAYRSYRMVLYEIVERYLDHDQLEVPADQVRGFLIAYA AGLTLYASAMKFIQAYEREPLIRKKLNEPDPRSGLGPGFFEEVFRTYTSLINYRTLAG CGRYWLAHRREARAPGLRDDPDWPWLVGVIRGQRARFRTTFWSILRLRARHDGRFLLR SLRGPIHRARYGLRAFVGATLGPRHTVLRYEPALDAEVLSRLAAGLEPGDVLLARSEH KFTTAILPGFWCHAALYLGRRGDLERLGLASDPAVRPHLDRLSKEGGPFGATIEAVAP RVAIRPLEECLRADHVLALRPDLPEADRRAAVIEAFRHLGEPYDFEFDFNVPGRMVCT ELVYRSYHDRGPIAFPLTRRLGRYTLSCDDIVRWLLDRVDAAAAPEQAPFHPVCLVLQ DSDRGAKFVPRDREIEALRLLRDGVAPSAVLRSAACSISPPPQRVP OJF2_RS34030 MDLVIGLDRPGGAIPSLVGGKGTNLATLTLAGFPVPPGFVVTSE AYRRYLDAIDWLDEALGALDFGSPSRLRDQCEELRGRLREHPLPPDVAAAIVEALEGL GASEECRFAVRSSSTLEDMAQAAFAGQHDTYLGVSGRDAIADRVRDVFVSLWGDRAVL YRHHQGFDQRQARMAVVVQRQVACDRAGVGFSIDPVGGRLDRLVIDANYGLGESVVSG EGEVDHFELDKGSLEITCRSIGHKDRRVVTSGGGVGEEAVPADLADAPCLADAELAEV AGLLKRVEAHYGWPQDIEWGYLGAGLFLFQSRAVTTIQPRYTRDESAERFPNPMTPLT WDFLGAVFRRSLTHSLALMGLPPLKDDWFSLHDFYVYGNQNAVDLLAAYRPLRARSLP ELIAEIPDLRRRYAWVLELPVRWARDLDRYLIRLGRLSARPLSGLGVPELWEHALAIQ EVAGDYFEPNIAISITQSFLHRLLLGLVELAVGPGRAMEVFDGLMAGCETKTAVVNRE LHGLALLAARDEALRRELIERGGRAAWDGGELAGHREFAGRLARFLDDHGHREIDMDY SVPTWSGQPWVVLDTIALILRQPSPADPEGTAREQRLRFAEVEVAFLAGLPEGVRFFF RELIRLARTYTTLDDVEHYQTTRINPVARDVAIEMGRRLVEAGILDVPEDVFFFRRAD LESLVAEFPPRDPATYRARALAARRGYERARGSSPPWALGGDGEGRPAAGDEAAGRVL KGIPGSPGSAQAPCFLVHGPDDFARFPAGSILVARTTNPAWTSLFYSAAGLIAESGGP LSHGAVTAREMGLPAVMSVRGVMGRLHDGDVVSIDGARGTVSLEGR OJF2_RS34035 MESPSNMAASPPPTVAGEPKDAAFRPYVPAGAELAELSPKAVVL GSLLGVVFGASSLYLFLKVGMTVSASIPVAVLAITIFRGLSRAFGTRPTTILENNVVQ TAGSAGESIAFGVGATMPALMLLGYELEWTRVMLVSVLGGLLGILMMIPLRKAFIVKQ HKALPYPEGTACAKVLIVGEHGGSHAQTVFLGFGVGFVYQILMKGLKLWSSEWDRAIT GIKGYTKAVVAMEPDPVLLGVGYIIGPRIAAVMVGGGLLTALMLTPMIAYFGEGLPGV LLPGQKPIHDMSAGEISGQYVRYIGAGAVAAGGILSMLNALPLIVSSVLGSFGALGTG RKAAEDGGEGDGTVEAPRTDRDIPMPLVFLGCIALVAVIAASNLIPTNPVGRVIGGAM VVVFGFLFVTVSSRITGVIGSSSNPISGMTIATLLLTCLIFVLLGWVGPEYRLAALSI AGVVCIASSNGGTTSQDLKTGYLVGATPWKQQVAILAGALVSAVVMGGILLWLNDAYS TVTDRAGDLPAVKADAAALKDTEAGPDGKAYKVWWVVNPEPGVLPGKYLVDEAGQARY LIDPGIGGRLNYSTTGADLKKFNPPQPRLFATIIDGIMKGDLPWGLVILGAVLAIVMQ LAGVSALAFAVGVYLPLSTTLPIFVGGLIRLVVDRARRFNAEESDMSPGTMMSTGLIA GGSLAGIIIALLVVFEKAGQALDMSRKVGEEVQYLLPAIGAFAAIAATLLIVAMAGKR PVVEDAKDVEAIVTEI OJF2_RS34040 MNTLPDSLAGLDEPATRYHAYLLFGMPGSGKGTQGAVLGQLPSL RHISMGDIFRKIPKYGRIGREIEQYTSQGRMVPDELTVRIFRQHLHILELQELLIADQ HTLILDGLPRCYAQAEMLSSVIEVVQIFHLGIKDTKVAMERMKARALRENRLDDMNEE VIRRRLNTYYEDTYKTLSFYPPEIVYDVDAGQCMIDVLRDIVNRLAEVKTRSLAVHRL EVSRI OJF2_RS34045 MQKTRLLLIRHAETSAPQVFHGAESDIGLSDRGRDQARRLADHL RGEGLRAVYCSAMRRARDTAGPVADACGLEPVVIPGLHERKIGPLSGLGREEGWEVYA ATKARWIAGDLDATHEGGESFAAIAARVLPIIERIAADHPGEAVAVIAHGIVIRVVLL SLLPDRTPADFDSIAIDFASINDLRRDGRTWAARRLNEVVAPSPDRPVA OJF2_RS34050 MPVRQRLLRSAPDLAFLLLNLFLALCLGGYDPADAPGAGAEPPN VSPRLSNPCGPVGASLAHALFSVLGWSSWLLLLGLVAVNVLVITRRQVADRASPALGF AMVLLVASGLLHKFAPGLRPSPPVGSGGYAGALVATFLFAHFGPYGMLLLMAAAGATG AVLCHDVLFTWPLRELSAWARGRLGRRRPAPADYAVPAAGGERLLHSLPSAPRPALAA APGLEAPRPAAVVAARAAAAVQPTQAPARAASVPVPLADPSAGFVLPPLELLDPASPV QVHDHETQIQARAMLLERTLLDFGYQVRVVQIDTGPVITQFEIEMEKGLRVSRVMSLA DDLAIALAVPSVRIVAPIPGKSTVGIEVPNERRGMVRLSEVILGVEDKARQGRIPLFL GKDVKGAPMAFDMADMPHLLIAGRTGTGKSVCLNAMIISILMTRSPDEVKMILIDPKM VELSQFKKIPHLMHPVVTDMKKAESILAWACEKMDERYTYLARAGVRNVQAYNRLGAE EIFARLRPEDEAEEKRIPTHMPYVVIVADEMADLMMTAAKEVEQHIVRLAQKARAAGI HLILATQRPTVDVITGLIKANMPARIGFQVTSRNDSRVVLDEIGAERLLGNGDMLFLV PGTSHIVRSQGTYVSDAEVVRVCQYLEQYPQQFSRELIQLQVGGGIGGKDKGGGLKER DDLYETAIEIVIREGRGSTSLLQRALGIGYGRAARLIDYMAEDGIVGEYKSGSAREVL YTLEDWEALKSGGTGPGGDDDDGVPPEIAA OJF2_RS34055 MIDELKEEEIVNKVGGRFKLSTLIQKRMIALNQGARALVDGRGM DKMSMVIQEIMQDKIYLDMSGRLQTNESIEDMDVDGGTVDLTQAAE OJF2_RS34060 MAEVLDWSTLPGRLVVLSGASGSGKSTLVRRLLGRAELRLAASV SATTRPPRVGEVDGRDYYFLSPEQFEAARGDLLESAEVHGFSYGTPAEPVRRRLAEGS CVILVIDVQGGMQVREKVPGALLVFVQVPDPGELERRLRDRGTDDEPTILRRLANARR EQELSRSYDVHIVNDDLERCVEELAGVLARARCGGGEA OJF2_RS34065 MTGFGDARHQDPAWSIEVEVRTVNNRHLKLSTRISDPYAALEAD LEHLVRQRIRRGAVQLSLRVDRPRRAEDYRLNLVALASYRDQLRAFGREGQGAGGAPG DGPGVALRDLLALPGVVEEAKGGACDPQQDWPAISRVVGEALDKLEAARAKEGRAMAD ELQAMGRAAEALLGRVADRGPLVVQSYQGRLTERIGALVRDHGVSIDAKDLIREVAIL ADRSDISEEIIRLRAHLSQFQEILRDSESAGRKLEFVVQEMGREANTIGSKANDVEIS RHVFEIKGLLERIRELIQNVE OJF2_RS34070 MGVLTAIFNTLIIVASVFLVCLILIQRGKGGGLAGAFGGVGGSS AFGTKAGDTFTRITVVTAAAWILMAMILVVLTNRRTSVTFEPAAAAASRDAGSKSSKA ADTAPAAAPGAASPATTPAEAPADGPAPAIPDDAKFPATPGDSGASPEKAAPK OJF2_RS34075 MRTLLIAGNWKLNPTTTEAAVALAEGVKTGLGTATDVHVAVAPP FVFLGQVDGVLEGSPIGLSAQDMYWENSGAFTGEVSGCMLVDVGCTHVILGHSERRHG MGETSEVVNKKLKAALEAKLIPIVCIGETKEERLGEQTEAVLLEQLSGSLAGLSPEQA AGVVLAYEPVWAIGTGLTATPEQAQAAHAFIRGWLAKVFGEATAARVVVQYGGSVKPD NAVQLLGCPDIDGALVGGASLKASDFLAIIKAGQEVTARGKA OJF2_RS34080 MIIVLKPHPTPDVVQHVLERIEALGLTPHLSQGVSRTIVGVIGD EEKLQVEPLQAIPGVEQVVPILKPYKLASREFHREDTVITVKGVKIGGGHLAMIAGPC AIEGESLLMEIAGKVKEAGANILRGGAFKPRTSPYSFQGLGEEGLRILRAAGDTYGMP VITEVMDPRQVDLVERYTDIFQVGARNMQNFDLLKELGKTRTPVLLKRGMSATVKDLL MSAEYVLSQGNREVILCERGIRTFEDSTRNTMDLSSIPVAKGWSHLPIIADPSHATGK PDLIPAMARAAVAAGGDGVHVEVHSCPEKALSDGPQALLPEQYARLMEDLRQLAELMG KSIDVCEGESVSCARC OJF2_RS34085 MPLRDHFRPPLDEERSWDELHGAWPTVIVMDLNRRLPQRYIAAP SVHIGGGYEVDVASQEKIAGANVGRIDSEGGGLATAIWAPPRATREVDAELPDPDEYE VRVYDMRHGRRLVAAVEIISPSNKDRPESRRQFVAKCAALLREQVSVTFVDVVTTRQF NLYAELLDWTGHADPSQASEASAIYAATCRRTRVGRVSRLEAWAHPLSLGKPLPTLPL WLADDLAVPLDLEATYEQTCRILRFP OJF2_RS34090 MSTAVATAVTYTPDDLLSMPDSKGFELVRGRLLAHDTGAESSWV GGKLFGRLGRFTEGRGSGWSFAAGTGYQCFPHDAGMVRKPDLSFVKEGRLPGDMAPRG WITVPPDLAVEVVSPKDRASELEEKLADYRIAGIPLIWVIYPETRSVMIFRRDGSMAR LLESDSLSGEDILPGFLCPIREILPPSKSAEAPPGPDISNEPR OJF2_RS34095 MHPISGIGLVRLRPGMFVGTTDHSGLLWYLATPIMLILREPTGA TWLNVSETDAGYVIESDGEMRIGEADGDTIDIFEAFEPRGKCGDAVVLNAFSEYLDVE ASSAGVSRKLRFERGVRKFVEEGGGARTRTRLAFSPDPSIFESTRLPAAMIGSFLRRL SYQHPAVRFRFSGADGANEYHAPGGITELFAAMSAPVQLVTTPLSVRATEGSLRLELI FAYHSWTQNSLWCFINKFRTVRGGTHEKGLADAFEKLPPLLGMKPDRYEGYNGVVAVM SVDYPEAVKAGSRHECIGNPELRDMVSRLVVSAVLEQVAARPDVARELSRTWMQAHPE SNFI OJF2_RS34100 MSRSRIPKALRARVSAQARHRCGYCLTSESIVGTPMEIDHLIPE SLGGVTEEENLWLACSLCNDAKGSRIASEDILTGEIERLFNPRHQDWHEHFRWSDDGC LVIGSTPTGRATVLALSLNRPTLVVARRAWVSVGWHPPKDRAAPDRG OJF2_RS34105 MSTQSLTLHLPDGLYTRLQQRAHASRRTLEAELLDVLSAAVPGE QDLPGSLSTDLAQLAAMDNAELRRAARSRPSEEASAQLEALHLKRQRDGLTESEARTL ADLVGQYERSMVLRARAAALLKERGHDVSGLATGP OJF2_RS34110 MKPITRRNFLGTSAATGLAMGMPAILGGRSARAASPNEAIRVAV IGMGSTTAVGGVGGRGHQLLGRLREIPDSNVKIVALCDVDQDHLDREVKIASDRGEKV AAHRDMRLIFDDKDVDAVVVALPNHWHALATIWACQSGKDVYCEKPFSHTIWEGRQMV AAARKYNRMVQAGTQRRSSPVLQKAIKDIRGGKLGAIKSAHALVYRAREGIGKVDGTT PVPASVDYDLWCGPSPKKPLGRKQLHYEWHWFWETGNGEIGNNGPHYIDVCRWALGQD DLPPRVLSIGGRFAYNDCGETPNTQLAWLDYRPAPIICEVRNVSVKQGAIGSYRHRTG GVLIDCEHGYFAGDMSGGAFFERSGKKWLKETPDKKVKDFPDDGSSKAIEATHLANFF AAVRSRNRAELTAEALDGHISAACCHLPNISHRLGKRLPAEAIRAVVQSDGDLADAFD RLRAHLEENGIDLDRSRATLGAGLTLAHGKSLFTGPHAEAAAPLATRIYRDPFVVPES V OJF2_RS34115 MSASTAGGPEPQTLDEILDWHEGVVDALVAQRAAVRLAATMGSA VSARFVGMTLDELEAYFDLQRRELDRLTVLNLVASVEASIRADFSRRVEGKRKDPLAK DYRKWHKTLSSGKKRRPDFDEEGILDLVKENADRPLKNLVGRFRECLRARHWVGHGRY WSKPPGMDSLDPVEVFERCRALLQAWPD OJF2_RS39625 MDQKLKDLVRRKQKQSEGGPKIDWDERRDTYLKAVHDLYSRIEE VLAEPIEDHTVHRRRSSKDLTEDFIGTYAVDDLILWFGDEQVRFSPRGRNILGAEGRV DVIGERGVAHLIFDGATWRVIQSRQPTLRTAPLDEGVIADMFNSVMRD OJF2_RS34120 MNSFRSDPAVLLSIAGGLILALLPGPLRADSPETGSPPRAEEPR AATDDIPTLSLLDAMNKALVSVDAEGRGDGRMTVAVTNQTSRRLRVVLPPGLLAQGAS GQFGGMGGMGGGMGGMGGGMGGGMGGMGGGMGGMGGGMGGMGGMRGGGGMRGGMGGGT MPASMGMMMLSREIMYLCGDYDSWDQRSLMIGMMGMRGMGGMGMGGMGGMGGMGGMGG MRSVPPTSLPFTSLKPGQRRELPTRLVSVSQPDLNSESGVNFPAKGEKLLLGDIAQTG ADPRLQKALRCLQVEKAPATIGQLVMWRLAAGLEWPEIARLSSRWSSPHELSLARDFA DRPDASSGAEAGVIFIDVDVSDYALREQADALAKELEGRSFLGLSTRRGVPSHPDRPA VACEVCVGPRRVSAQVNCSNGEADRWVPFGKFSVPRGDDPVKLGEAVAEGVLGRLVRA QLRRGPTVNGRLTYRLRIDNASPLVLHGLAMTGVDAESSAKPRELSGICLSPRRSMDV SATAEAVKTLGLKKGIRLTAADLSGL OJF2_RS34130 MCAPVRAGSWKGVQRRRAHPASAVCSDPGGRPRRGVWHAASVAV RAVLCLLMLIPAVPDRLGRIEYRGLLQEPGARGASLESFIPFRPRSRTLLTHAAQRVL RLRAVLPKATGRDAATASEQAPSTLTAGRVQHLRTTGDRPNPSLLLPHLRC OJF2_RS34135 MRHRRMRPRTNRRPRVRADLELLEGRALLSYLVVEKGGRVVPVH VSDARRGQPLYSNGLAVKKAPQFYSAYTGPRLPSLNGVRATGYVQGTSLILSGTVAGS IAAHPTQASEQATYTFGIDRGGSSKTGPFPGRPHIRFDSVVVAQVTTKGIAAYVQIND PRTNQPGTTPKALPSSAVTVSGDEIAVKVPLSMLPSSGHAMNQWNVNFFTRNPNQKAT FRGIASFTPEFTSFQIYVKPPFPA OJF2_RS34140 MQFPEDPSVLRRRGPACVVALLLILPSARAQEPSPPLPAPSLPA GEAAGADASVESRLQRLEAANQQLLEQNQDLSRQLRDVTEMLRGRPAAGAAGAAASNG GGGGAGGGSPSIGSAAGGGDLMAPALGGPADRSAGAGANSLAGTPSRGASFGGGDLIS FDPSDPDDASGITMRGRFGRRFVNNGLWFESPDKVFQFHVGGRSQMDASMFAAGNEVQ FGENGIGKLRDGVDPRRMRVRLEGAMWENMLFCSEFDFINSSIPQGAAGAGPLTSVSN TPAAGIPVPLDLWVTFRKIPYIGHIRVGNQKEPMGFERLTSSRFLNFMERSFNQEAFY APWDNGFDPGISTFSTYANRRGTYALGVYRVTSNPWAYSVGGGAVKVTGRATYLMMYR DEGRKLMHLGLSFRQSGYENGQERFRVRGPERAGLSTVWPLYANTGVINGSGGQQYLN LESANVFGRWTIDAEYLFAWSQNAFVAGRPGVGTLFYSGGYVEVLCMLTGEHRAYIRE SGLYDRVVPRKNAYWVEGAKGAPNEFGGGAWQVGLRYNYLNLDDKNINGGVLKDITLG LNWFINPNMKFQWNYSVTDRHSPGGQSDGIIQGFGSRFAMDF OJF2_RS34145 MGSSRASAAGSRWTSEARPARRRIAAPVRPACLAAALLAPLWCV GCASIPAPPESPGMQRTLDQERSDLEKELSLYSD OJF2_RS34150 MESSGFTQFLIGIPWFAWIAIVAIICGSISGIIAQCQRHFERME MIRQGMDPDNRGAARLEKWQDVEV OJF2_RS34155 MPPTAGSEWAAALALIGLCLTVLPALPVRRTWARTAVIAFSLAI AGRYLHWRLVETVLPADARGVRGAWIWVVFAFEVVAILNCAITYAMLTRTSDRTPEAD AHEHRLRCMPPECLPRVDVFLCTYNEGIEVLEGPIVAAKNMDYPNFTVWVLDDGRRPW LRAFCEEQGVEYLTRPDNAHAKAGNINHALARTDGELFAILDADFAPRQDFLMRTVGF FDDPRVAIVQTPHHFLNTDLYEMNLGLGEHSPNEQRLFFDTIQASRDAWDCSFCCGSA SVQRRSALMEIGGIPTESVTEDILSSLLLLRRGYVTRFLNEPLAFGLSPESVKGMFVQ RQRWCRGGLQLLFLRGGVLGPGLTWLQRLFFLPSDWLVQTPVRLFAVLVPILYLWTGI PPLENAELADLVRYQLPMLIALMAPMVWISKGRYLPFVSTGFSLFLSFRLVPTILSTL IKPFGEPFRVTPKGAGAGRGVDYFARGCASGLMAATMLGLLLNGIPGTRVIADPEHRA AATFFSMLNIGCLVLAILASRDRGRSREAERLRTSATVICEREDGRRVRASLVDISAG GAGLLWPEGVPTPRSVKLLVPGGDALRADVVRAEGSLLGVRFDLADPDDRRTLISWAY SIGLTRPPSELSVLELSRRLLARCLG OJF2_RS34160 MDSTRIRLDESRTKMTPSRELLAPVPASGPAAGGAREAREGRGI RWGRLVRWGVGLAILAEAGWLATPTVLYRTSVRATVTAPVVAVRVSHEGEVVGTPPAV GERVSAGQVLFELQMAVPDRRPAERLRGEIESARKSSAALEAQVARLDEVKRELGKHF SDYREVREAQAQRQAAEQAARVQSAEARLKTAEFEVAMQRRLSGKGVSSGVDLARAEN ALVEARTELEVARQSAARHQLQLEAARKGLFVGEADGGQDRVASRQRCDEIEIQQAGL RERRDELEAQIREMEARLASEDRHLAATRIPVRSPIGGVVWSSPLAAGSEISPGWTAL EVVDPARLRVEALFRDADAQRIRPGLPVKVRLPGSEGVLDGHVARVSAPGPAEVEPVA GRPDGSAYPGTFRATVALDRQPDGGERAEAYVGGSAVVWAAR OJF2_RS34165 MSPIARLLPAACLLLLTAPTPADEPAKAPGNPAFPGWYADPEGV VFGDTYWIYPTYSARYDEQVFFDAFSSKDLVTWEKHPRVLSKADVKWARRAMWAPSVV EKGGRYYFFFGANDIQNNEEVGGIGVAVADKPSGPFKDHLGRPLVDRFHNGAQPIDQF AFKDADGTYYLIYGGWRHCNIARLNDDFTGFIPFADGTTFKEITPEGYVEGPFMFIRD GKYYFMWSEGGWTGPDYSVAYAVGDSPFGPFKRIGKILKQEPAIATGAGHHSVIRVPG TDEYVIVYHRRPLGETGANARVTCIDRMEFDDKGLIKPVRITREGVPARPLK OJF2_RS34170 MQGPSILLLLLACGQADEPDEPAADAAAAGQRLTVHTRTMDGKD RTLSLVIPGRAGGNRAGPIRIQDAILNPENFDRWLFDAEDAATPRARLLARLDAWVEA AARENGLDGTQAARLRLAGKGDIARFLDEVEEKRKEFDARRGTFREGYAALRDLGPMT KRYEEGPFGGGSLFAKTLGKIQAEAGLPRRFLGVPPAAATKAAGAGAAGPAAPGRVVR RLAPR OJF2_RS34175 MRIATTLLLLAAPATIMAQGDAANDRSAEAVARVIEDRYVFPDV ARRMADAVRSRSAKGEYRGLDGPGLASRLTRDLREACRDLHVRVEYSPETLPPQEKDA PLEPPPAAMEEIKRGLAPMNYGFKKLEILEGNVGYIRLDLFSPVAFAADRYAAAMAFV ADTEALIIDLRNNGGSIDPQAIPFLCSYFFDEPVHLNDIYWRPEDRTREFWTKREVTG RKYLKKPIYVLTSRHTFSGAEEFAYDLKNLKRATIVGEPTGGGANPGGSRRADDHLSV WVPVGRAISPITRTNWEGTGVAPDLPTPSTKALVVARREALRRVLAAKPEWKEPLTGS IAAAEREEAAYRNVPLALRGHADAHEVRVAGTFNGWSDSAHRLERRGDAWVAEIPMEP GRIAYKFVVDGRWIPDPEAPGAGPEDSSQRVVE OJF2_RS34180 MTELHPIDHAIAAHARWKSNLRQAIQTGQSPLTVAQARRDDACD LGRWLIERPAPVKSSPHYLEVLDLHAKFHREASHVLELALAGKATEAAEAMAIGNPFA TVSSKLTIALTAWKKAITGA OJF2_RS34185 MLEDDADRIRRFAAVLRRIAPESPLISWHDAHRMCREVGEHPPS ARLISMDHDLDPKPGVPDDPGDGVIVARCLALQAQPCPVIIHSSNGTRSDWMAGEFEL AGWSYRRVAPIGERWIEEYWRSVASELLGRAAASNRP OJF2_RS34190 MTSRPEEDDPRSDANAGVAWFHWYVSRIEAQPKDLPLRCPCCYC RALDERGGFDICPVCFWEDDGQDDHDADVVPGGPNGALSLTQARMNYRQFSACDERSR TQVRPARPEERPDE OJF2_RS34195 MPMRVRVDNGSPWGSAGDLPTELALWLFGLGVEVIWNPPRRPQD NGVIERSQGTGKRWAETATCADAAELQRRIDEMDRIQREVYPCIGGKSRTEAFPELEH SGRHYRAEDEAASWSLPAALAHLATYCVQREVDEGGCISLGNRSRYVGTPLKGKRVCV SLDPYEVAWLVHDAAGVCYHRLEAGELSAERITGLDVSRHRHRPGPRPRRRQRTAAEL PANNCGA OJF2_RS34200 MRSLGEDAEALRLGLVMGLHQPRDVVAWADGVIEAMESPPIEII EIALAKDRPADELSRLLQRVAGPNDMAMAAHRTLHILRASASGGMPLATLIDILLIYS TQARIPEAERKAAAELGALYDDLEYWGTPENLADEVRAFLDRYASGSGGERNS OJF2_RS34205 MSRPPRILRKPGVCGGDACVRGTRIPVWTLVRMRQLGVPEAEIL RSYPSLRAADLAHAWTYAEAHREQIEQAIRENEEDE OJF2_RS34215 MKRAGRLMERVWDRDNLREAFARAARGKRARRDAAAFAARLDEN LADLARDLADGTYSVGPYHQFTIFDPKERVITAPCFRDRVLHHAVTLVCEPVFERFLI GDTFACRKGKGRLAAVERAQRFARRAPWFLKLDIRKYFNSIRHDILLAMLGRTFKDRR LLDLFGRIIASHEVAPGLGLPIGSLTSQHFANFYLGRLDRFVKEGLRAGGYVRYMDDF VVWGEDRSGLAIVRDRIGEYLTGELSLDLKPEPYINRTACGMDFLGCRIYPTHVLLNR RSRVRFARKVRWLEREHAAGRLDEAALQHRAAALVAFARAGPTTSWRWRHRVLQSLAA DGHGPEPGDPGR OJF2_RS34220 MAFRFFQIRVRGDDAAEEDLNRFLRGHRILSVDRRWVDLGTESF WSFCVDYLESAQPSSSASRPQGEGRNKVDYREVLTPEQFAAFVKLRELRQAISKAEAV PVYVIFTNEQLAAMVQGGAASKADLGRIDGVGEARIQKYGDRFLECLKAHGDGAHEAG RPTDGTGLGPGQSA OJF2_RS40940 MPGRALLGVLIVGGMANLASAQDPVEFDARRLFSCAEVKPPQQA DSARRVIVVVIPVSANFNAEESTIESLRYELRLPKTVTVLDHLPKTQTGTSVVGPQHE QRQEHRVTDLNVEYGGGGRIGFKVFGVGVEAGGGGSKTERDYNEVKTNIQVDRLPAHD QIVVAGTRDEGQTLYFDLKWHDQTTRAGQTDYAILAEVPRDWTGDVATLACVARQGGA AAGRMTKVIGLYLSGDNSARQRVETLLETARPTASATERELISNSIGMKMRLIPAGPF LMGATGESQAYADEKPQHRVTITRPFYLGVYEVTQYEYRQVMGENPSRFNDSELLPVE QVSWLDAVCFCNKLSERESRRPYYKIEGDAVTIQGGSGYRLPTEAEWEYACRAPRNSE EAMKHPFGGDDSALEQYAWFDGNSEKKTHPVGQKKPNRWGLYDMQGNVWEWCQDRYSD VYYRFSPDADPAGPSEAPYRVIRGGSWCYDPWLCRPAYRLRDTPDNRSNALGFRVAAV QE OJF2_RS34230 MPRHEDIVRQIAELDPVDREELLIQLGTLPPFGWSGHPWRYWPG LPSFPSIVRTPDVCGGSARLIRTRAPVWTLERMRQLGISDADILSSSPRLQAADLVQA WAYADQHREEIEKEIRENEEE OJF2_RS34235 MFRSIRNQILIPIVAIQAAAVAAVALTAATLAARRVEREVVDRL NGVLETLGRAGIPYTPSTLEKMRGLSGAHFAVYDEAGRLVDATIEAPRALPPDVDAGG PAERLGALGEYPAILLDGTRYFAARMRAAASGLPAPASRASTLLVLYPETSWRQARRE AATAPLVVGSGALLLMAGVTGWTAQRIGRRIQRLERQVARIAGGDFEELEPGNRPDEV RDLALSVNTMCGRLREMQGTIRRSERERLLAQVGAGLAHQLRNALTGARMSVQLHARR FPPPEGDETLKVAIRQLAMTEDQVKGLLSLGRAERRPPEACDARALLDEVAGLIGPAG QHARVRLVVDGRDGEGPLPLFGDRANVRAAVLNMALNAIEAAGAGGEVRLGATTDHEA GVTTFEIADDGPGPPPELAANLCEPFVTGKREGVGLGLALARQVAEGHGGRLEWTREG GITAFRLTLPAGEDGAKASAATYRQMAAATPAAGRG OJF2_RS34240 MSRILIVDDEASICWSFRESFSDLGHEVEVASSAEEGLRIAASG PLDAVVLDVRLPGMDGLSALGPFRERIGPAPIIIITAFGDLDTAVRAMEGGAFDYLVK PFDLDQATALVTRALASSAAPQAVPGDDGPDSGPDALIGNSPAMQELFKQIALVAPTD VPVLITGESGTGKELIARAIHRHSRRRSGPFLPVCLAALNPNLVEGELFGHVRGSFTG ATHDRRGLLELAGGGSVLLDEVGDIPLDLQVKLLRAVEHREATPVGDARPRRIDVRLV AATNRPLAELMKSGEFRQDLFFRLSVFQIEAPPLRARRDDIPLLAAHFLRSCRFAMTT SPEITPEALAELRRRPWEGNVRELRNAVEHAAVVSRGQPIRPEHLPPAGLGLAPSGPA SGDGGGTSPPADDDARAIADRLSAWAAREVARPGAAPLHERFLDLAEPPLLRAVLDLY QGNRAAAAQVLGIHRATLRQKLRKYGIQ OJF2_RS34245 MSEYQYYEFRAIDRPLGQDEMDELRRLSSRAQITATSFTNTYNY GDFRGKPSEVLERYFDAFVHVANWGTHQVAFRIPKGLFDLDAAEVYGFDEGEFYLSIS EGEEHAAIDFLSQEEGGDWEEGGERWMSSLLPIRDDLMRGDLRALYIGWLASISYRGP EEDEAEDELEPPVPPGLAKLTGPLKTLAEFLRIDGDLIEVAAAGSTGEAVTPPSRADM ARWIRSLPIAEKDDYLAQLLAGDGEMRIRAELARRFREATAPRSKKGHAPKTGRRTVR ELLVARDALASEKARKKAEKDVRERARLDRERAEARARHLDELAKREPAAWKQVEELI AASKPKEYDEAVQLLVDLRDLAARSGRTDEALARIREIRERNTRRSSLMKKFDGRKLG G OJF2_RS34250 MSMDRPCPKCGSTEILGDVPVVSSVDRISSTPVVALAYRRPEAR IFKEPVAHRLTARVCAACGLAELYVEDPKGLALAVKEAAAAAGADRPGDEDLR OJF2_RS34255 MSTTHPGSSDPAEQLTRAFEADDADRVRELLQGHPGLRARIDDP DGPFDSPAVVRARSRAMLDALLDAGADLNAKSRWWAGGFGILHGASPDVARYAVERGA LVDAHAAARLGMTEKLAELVAANPEVVHERGPDGMTPLHLAADVETAAFLLDRGARID ARDVDHESTPAQYMLDNRQDVARYLVSRGCATDLLMAAALGDGGLARKHLDADPGCIR MRVSDEFFPKADPRSGGTIYQWTLGFFLSPHRVARKFGRGDVLELLESRTPPPLKLIE ACWAGDEAKARALLAAEPGLVKGLPESDLREVADAARNNDAAAVRLMLECGFPATARG QHGATPLHWAAFHGNAEMARIVLRSRPDLEATDSDFKGTPLGWASHGSENGWHSRTGD YAGTVEALLAAGAKPPAKLQGSPAVREILRRHGVSDEA OJF2_RS34260 MSNPADQLYERLLVLRFQAGDEVAFAELVARYAPRLRYFLRKLL GDHHAAEDALQEAWLDVTRSISRLGDAGAFAAWVYRIARDRASRRLRRKGRVVATIDG EGPACEPSDDDPLLSAERAEAIHAALDRLSPEHREALVLRFLEGMSYEDMSRVVGRPT GTVRSRLHYARRALRDALEREGITP OJF2_RS34265 MTEPQLRRALLDLDAANLAGYPDARQLTWMVLERDRRRVRRLAG VTIAAWLLATLLALTSLVGFGFVFPRMAKLRTDVAAGIVTGAERDRIEAENAQDFAIG TLQVAFAVLVLGAAAFCTVLLVLASRRATLRQINAGLIAISEQLKQLAQGPAPAG OJF2_RS39630 MPSTECDAGPGGAEFVRDFDSRGEDYHRAFAVFLAHTDQKVRLK GWIDEAVAGLPRRGTFLDAGAGTGQVTAWYADAFEAMIAIEPNPSLREELARACPAAE VVPAGLMEAGPPRPCDLVLCSHVFYYIEPDEWLANLEALAAWVGERGMLALILQNPRT DCMRMLRHFTGRAFDLEGLRSAFDARHGSRFEGRIETVPATIAAADPADAYTIAEFVL NVLPIPTPIPRADVERYLDRHARGADGVHRLTCDQDVLVLRPRA OJF2_RS39635 MSISVAVLVCGALSSYSAFLLWYGGRGSPMDSAEVESILERVRR NAEAAGAGPDPGLMGSIREVAGGDDGREFVMVNLIRYREKAAYPPGRGLGDDPHAADA RYNRAVVPLLLKRACVPVFLGRSAGRFLAPEGAEPWDCVALVRYRSRRDFLEFCAEVA KDRADVHKWAAIEATQVFPVRARLGLFTVRLTLALAIALLAALGSILLASSGA OJF2_RS34275 MAGGIAHSLATTLLLWALGWLGASVIGLYARAGELWRSFWFMSG LWGLIDGLIAWYVLVGGPRAPAELLPVLRLNAGLDILYVAAGAALLGRGTPLLRGFGL AVLVQGAFLLALDGTFWRLCTRAAE OJF2_RS34285 MSHDPGDTHESLIREAGLFRYIVESAAEYAIFATDQGGRIVSWN TGAERILGYSESEILGQDARILFTPEDRARRAPEQEMERAAAEGRAANERWHVRKDGS RFWGSGLTMPLMEGPSNAPGFVKIFRDKTEQRRALEAQRESEDRYRLLFNSIDEGFCV IEVLHDDDGLPADYRFLEVNPAFERHTGLREATGRRVRDLVPGLEAHWYEVYSRVAET GEPVRFENEARALGGRWFDVYAFRIGEPSQRRVAVLFTDVTGRRELERSLRHRARELA EADHRKNEFLAMLAHELRNPLSAIHSAVQVARDPRATVEMLPQSLGVIERQAKNLARL IDDLLDVARITQGKVVLKREPVDLVAVIGRAAQAVRPLIEQKRHRFTFHHDFGPMPVD ADPTRLEQVVGNLLTNAAKYTEPGGSVSVSAGREGAEAVVRVKDDGVGIPPDMLPKVF ELFTQVDPTLARSEGGLGIGLSLVKQLVEMHGGTVSAASEPGRGSEFTVRLPLLESAT PAQEPAPGAAAPGRNGRLLVVDDNVDTARLTGRLLELMGFDVLLCHDGRRALEVARQY RPEAILLDIGLPGMNGFEVARQIREDPCCRDSLIVGVSGYGDARSREQALEAGFDHHL VKPVDISAVAELVSRPR OJF2_RS34290 MKPPEIDRPAGGASAIRRLEAQFVRPYRGWIALGAAGLLVQSLL PLPVPLLQGWVLDRLVALARDPAAAGPDVQAAAVRAIGLAFVATLACHLGRMALGWGV AAMMGRISQEIVRDMRGALHRKLMRLPMAYFDSQQTGRLMARVTSDVGSILGFLNSGF LQLVNDLILAAGIAALLVWLQWRLGLVALVAVPLYAANHRAFAGRMHRLSTEIRAHVA SIYALLSERVSAVRLVRSFAKEEAELVEFDARIDAHRALSWDNTRAAAYQGALATLIS GLGTVLVVAYGAVLVGQGRLTVGELLAFYALVGQLYSPIVRLAQFQTTAVATRVSVER LFEIFDEPEPVADRPGARPVLHPRGGLRFRGVSFAYAEGGPDVLDEIDLEIEPGMTVG VLGPSGAGKSTLLALAARLYDVAEGRGAVLLDGRDVRDLRLLDLRRQVALVPQHALLF EGTIRSNLLYAAPDSSPSRMRRALEAADFARTVDGLPLGLDTPVGERGQSLSGGQRQR LALARALVADPAVLLLDDCTSALDAETEARVRKAVAELRPGRTSLIVSHKPASVRHAD LILVLEGGRIAERGTHRELLALGGYYARLLARPSRTPATRRLPMPHRSA OJF2_RS34295 MTGPSEPSDARGPARGTVSRIRELLRPHRRTVGLALALTILACL LNLPVPFLVQGLVDRVVTGGRWSDLPWLAAGLLAVFGAQAGLALANTLVVGRVGQAVV RDLRHRLYDRLQRLDLAYFDRTPTGGIISRLMDDVGVLQGLITSQTVTIVTDLGTTLA VTGLLMARNGRLTLVVLAFVPLYAVNFRYFMHRIRSNSAVVRAKMDIVFGLLKEKLDG TQVVKACASEPAEVADFAGQLDDAHGPRVRDNTLRAAFSSLCVAISGAGIAAVFAAGA LEVVHGRMTPGGVVSTAALAALLFGPVARLADLASVFEQAAASVDRLGEILDLEPTVV GPASPLAIGRARGLVEFDRVGFGYEPGQPVLWDVRLRIEPGMKVALVGPTGCGKSTLV NLLMRFYDPTWGEVRLDGLPLDRLALDDLRRQVGVVLQEPVVFRQSLADNIRYGRPDA SDAEVEAAARSALVHDFATALPEGYATIIGEGGHKLSQGERQRLAIARAICKDPALVV LDEATSSLDAAGEALIQEALANLLRGRTALVIAHRLATVMDAGLIVVMDGGLVVQKGT HEQLLADRHGLYRRLCAAQFGEPAPAAAGGDPGELPAWPMPAPAGGGMLDRSSVS OJF2_RS34300 MATAEASPPSSSSSGARVLAFVCTLSMITYLDRACFGMALRPIA GELGLADASQLKWAVTAFAIAYAAFEVPAGAMGDRLGAKAMLTRIVVWWSACTALTGL IGLRVGGLMLGGVGTLVLLRFLFGAGEAGAYPNITRALHDRLPPRRWETAQGLVFMSG RLAGGLTPLLWAVLVGGTATSSPWITWRGAFLLFGAIGVIWAVAFRTGFPDHPDDVEG RDAPSHPATHAAVPWKALATNRTLWALCIMYLAVNYAWAFNLSYLPTYVEQRFGVGAE DRVGAVYKGAPLWVGAAGCLLGGPAVGGLARLLGDRRRARRVLGMSSLSLGAACWWGA ARAEDVHLYCTLVALAAFSIDLTLGACWASCQDLGRGHAALVAACMNTVGTLGNALAG WLTGTLVEGSIAAKAQALRVPPPALSEVDGRIAALAGYESAFGTYVMAFALAAACWLF IDPGRGLAPEGRGAEPLTSDEDRRT OJF2_RS34305 MKITEIVCQILRIKNVEAKTASSQDSVLVRVRTDEGIEGIGEAD SSPEMVKAVIDAPFSHNVAAGLRGLLVGENPLETDRLWQTMYRRTMYCGRRSTTITAM AAIDMALWDIKGKKYGEPIHRLLGGKRHDRFRAYASILFGKDGRQTADIGRRWIDAGY TAVKFGWEPMGQSEAVDRDLVAGARKGLGDDATLLIDAGCVWDARTALDRAHAFAEQK IGWLEEPLHPDDYEGYRWLRDRSPVPIASGEEECGRQSFRPYIDGRCLDVYQVDLSRN GFTDSAYIRQRVEEIGARLCNHCYTSPVTVAASLHWLATCRDAFLFEDCVEDSPLRHE LTHEKVQAVDGWIAVPDRPGLGVTIDEDFVRSTLVSESR OJF2_RS34310 MTRVVARPDRLDLDSPGRRDYWVALEHDSIWGDHLIPLTVWVGP EAAEGRGLVAFGSNHGNEYEGPVALKHLLRTIRTEDVRGRIILVPVLNPSAFRSGTRE SRIDDGVNLNRAFVDGAGVTPALAGITHRVAAFVRQWIWPRVHTVIDLHAGGDVARFA LCANYHRVDDPALAAEIEETARWFGTPCLMVYQNLTPGLLPSEAERLGKITVGTELGW GRAVNLEGVRHAKHGVLAAAIRQGQLRGEVEPIAHHRAGTQRVLEMIDRDCFTVAPFD GHYEPLVECGTAVRRGQAVGLLHDFDHIDMEPWPAVAGVDGVVLAQAWAAPIRRGQHI VVVARVAS OJF2_RS34315 MRDDAPNPPIGRREMLQRSALGLGALGLADLLGGAVARAASPGS PAADAGDLSPRLPHFPGRAKRVVHFFLNGGPSHVDTFDPKPALARYDGRPIPLELTTE RKTGAAFASPFKFRRYGESGLEISELFARTAEHADDIAVIRSMVAQVPNHEPSLMLMN CGDSVMARPSVGAWVLYGLGTENQNLPGFVAMCPGGYPIKDAENWASGFLPGAYQGTF IDPRSTDVDRLIENIRSPHASGATQRLQLDLLRKLGAEHRRERPDPRLDARIQSFETA FRMQVEAADAFDASREPAAIRELYGESVHGRQTLIARRLLERGVRYVQLWHGAGQPWD DHANLAAHHRTLAAEIDRPIAALLTDLKRRGMLEDTLVIWGGEFGRTPTVELDGSGKS ALGRDHNHYGFSVWMAGGGVKGGTVHGATDEFGFRAVEDPVSVHDLHATILHLLGFDH ERLTFRYAGRDFRLTDVHGKVVRPIVA OJF2_RS34320 MPDRRPGRAIAAAIAALSALLAGGPARGQSAADVEAFEKEVRPV LVEKCASCHGPAKQKGGLRLDARAAILEGGDSGPAVVPGKPGESLLVQAVRGEGDLKM PPDGPLPAGQVAALERWVAAGAPWPADASPAAATRAEAWARHWAFRPMAHPEPPTPRD PSWVRTPVDAFVAARRDAAGLPPSPEADRRTLIRRVSYDLTGLPPTPEDVAAFVADPD PAAYEKLVDRLLASGRYGEHWARLWLDVARYADTKGYVYDREERAFVHSSAYRDWVVE AFNRDLPYDRFLLDQIAADQAEPGEPKALAALGFVTLGRRFLGVTHDIIDDRIDVVSR GTMGLTVACARCHDHKFDPIPTADYYSLYGVFMNCTERLVPLGEPAGDRAAREAFEAG LKKRKDALESAVAAARAEASRRIRSRVADYLSAQLDLSRVPADGFDTILYADDIVPAS VRRWQAYLAAMARADDPVFRPWRRLAALPEAGFSAAASAALAEPAAPGAPPVNARVAA AFASPPSSMREAAERYGRLFAEVDREWQAALKADPAAKGLADPAAEALRRVLYRPDES PCWIPDEPVASIEAYLDNAEDVKLWPLQGEVDRWLIRDPAAPPYASALVDRAEIREPR VFKRGNAANPGDVVPRRFLRVVAGPDAPAFSKGSGRLELARAIVDPENPLTARVWVNR IWAHHFGAGLVRTPSDFGIRAEPPSHPELLDWLARRLIDGGWSTKAIHRVILLSSTYR QRSNGSGGASDEVARTKDPENRLLWRMNPHRLTFEETRDSLLAVTGELDPRVGGRGEP LFGGPENRRRTLYGLVDRQFLPGVYRTFDFANPDLHIPSRSETTVPQQALFALNHPFP AARARALAAKAPGRDDAAIRALFLAALQREPTAVEASAAGEFLANAAAVPAPATPPES KAWSYGCVSVDPGKGPGKDFRPMPFFDGKGWGGGPSWPDPKLGWARITAEGGHPGDDL GRSVARRWTSPIRGEVAVESTIEHVPDVGDGVRAWVVSGRSGVLKAAVVRAGKVAMDA PAVAVQPGDVIDFVVDIRDGLNSDQHLWAPKVRATRVDAGPAPAGGLWDAARDFRGPA AAGLTPVEQLAQVLLMSNEFLFVD OJF2_RS34325 MASEGDGRFESPLSRMLREDILTGRLQAGSRLTEAELSSRFGVG RGLVREAVQALSSQGLLISRPNRGAVVAPEAPREIRNLIIPIRRTVEVYALRLVFDEL GEADFAAWRRILDRMRGACARRDFHAIAEADLAFHRQLLERAGQPDLIVIWETLVGRI RSHFRKTQKLSADAMEIYEEHRAILESFRGRDLDAAVRLLKEKIA OJF2_RS34330 MTAANPALRASRIRCHWRPLILGAAMLSLLGLFWFASRYPQLFK KAEHLGKPVASMAFGSALVASGAGDPTWWRIAATMLNWLDGMKIGMSFGVAFGALLHT TLRYYPMKIGRNLYLNSIKGALVGVPMGVCANCAVPAACGVTRGHGRVETALGFLFSS PNFNPVVVMMTIMALPPSMVLAKYLVLLLVITAVVPGLIGWLERRNEVRLVVAGPEGA ACDLPAAPGPDCSEPFLSVLREVAGDMARNAWMLLKPTVSIMLLASLAAAILLVLVPW NGLLARASPWRFLVASVLSTFMPVPIALDVMFASQLQGEGVAPGFVMLFAMTLGTYSI VPSIYLWRDVSKPLAVLLFGFFVVTGWLLAMAFSG OJF2_RS34335 MIDGRIRRAGRRIGFTLIELLVVIAIIAVLIALLLPAVQSAREA ARRAQCTNNLKQMGLALHNYESANGCFPPAGQGSAYDGPVPGNLFADGVGLMPRILQF MEQKAVFDSMNFSLDYNHVSGANFTASTTIISAFLCPSTARQGDPYRDAIDPYDAMSQ ATGIGYAYQDYGATCSTDIDPEGRTGLLASSPITPYRNRTTWTDGMLKAHRTRIAEIT DGTSNTIAVAEDAGRDARYCSGATENFYSPALPNVLRDVYGPTFYRRFWRWACPDGAY NVSGQVNNRGLPACATTAYVFPNPTSANNAGANDEIFAFHPGGANALMGDGSVRFLKD STNVVVLRQIITLRGGEVVSGGAY OJF2_RS34340 MDSHICMVKAAGLRPRAPAPAILLRPRGIGVLTLAVMLAGCRAS DRGEAVATHPVHGSVVLADGRPVPGGLVTFVPQGTTGRQAVGALQQDGTFRLTTEAPD DGIAEGRYRVRIEPFATGEGVTKLDPKARIPSRYMDEDTSGIEVTIKAGVEALDPFRL K OJF2_RS34345 MRLRACGGPMIALAAVVFLDPDASRAGVPSASHRPAIRARIGKD GAEVAYWLEGSLRRVYPATTPGNASLSLTAPRAGRASFQVCVRNESIHPIGFDCAVVG ADELKPRVRLVGLVPLPHFTPGTDPAELEGVEHGPGLVPDPLYPETKALLGPRETRSF WVSLMIPADARPGPRPVKVRVTPWGAADAGEMDANLNVAELVVGRRRDFPVIHWWRGE ATWDYYQTGRFDERWWELTRAQLRDMWDHGSDVMPVPLLVARREPFRRPCQLLDVEET SPGTYRFDWTRTRRFLAMCREIGFREFEWSHLWIYWGAENPVRVYARKGDEFVMLWPP DIGGFSDTFLNFLDQFLPEFHAFLKAEGLLEHSYFHLSDEPGDGRHIENYRRARAILR EKAPWMTGRIMDALSNIEYGRQGLTDIPIPMVNAAQAYIDAKIPHWVYYCCAPSGPWL NRFLDTPLVKVRMSGWLFHRLGAKGFLHWGFNYWHKMEREEIGDPFHDASNGSWPGIP FGDPFLIYPGEDGPIDSIRWEVFAESLQDMAVLQAAGVKPDDAILSDIRSYADFPKSE DWLRRAVDRVLLGPKAAAVP OJF2_RS34350 MPFMPFHILTIWLRGLLAIALIGAGAYLLKFWYDHRYVEVRVDR PAAEVRVDPSTSEDRADPRTPAPVVVRREPWQFGANRETAALLGGLALVALSIGGGSI SYPLLRRAGGAEDDPDASPRGEVRRHRRPDGTDLHVELYGPEDGPTIVLTHGWGLDSR EWNYAKRHLATGHRLIAWDLPGLGRSSQPADRDYSLEKLARDLDAVVGLAGGRPVTLV GHSIGGMINLTYCRLFPEALGTRVSGLIQVHTTYTNPVRTTGGAAFYTAVQKPLIEPL LHLTIALDPLAWLLNALSWLNGSAHRSTERSSFSGRETRGQLNFMARYTVKDRPAVLA RGMLGMLRYDATETLGTIPVPALIVAGDRDRVTLTSASVTMANTIPRATLLQLEPARH GGHFEHHARFAEAVRAFVAASAEAPRLQPS OJF2_RS34355 MMLTTVILLAIPAYAAPAEALAPGTEGDETPLVVLSVLDEETGR PVERFLVLPGVPYSDRGERPVANWQPHLVRESTGGRYTWPEERSYETFQLRVEADGYR PSATNWLRRADGFKEVTLRLRRDHGTRGVVLSPDGSPAAGATIGVALTNRTLRLKGRA IDGAGAPPAEKPADRWQQPFTTRANAAGKFRLTTETDPAAVLVVVHESGYLEGPFAER LGTGDRPTSFAELRLRPWGRIQGRLLWGDRPGAGEPIELIVSREWRYPDLVGTFASAR SGADGRFEFKDVPPGRVQIARLAPTADGKGLTSYQFPLMHLDVPPGEGPEVVLGGRGR VVAGRLTGLDSYEGVTLRAHPTAPHVGLRGDDEQWSGWMSLRNSPAGATVFRDAIAVA ADGTFRIEGLVPGSYQVMTNGGAGRPVGGKRITVEPAAGGRDAPGDLVEIRVTRGER OJF2_RS34360 MTRQTAGASALGTVLVLVAGVLAGSASAGEPPRKAVSYRTVRVD GLNVFYREAGPRDAPTILLLHGLPSSSRMFEPLLARLSGRFHLVAPDYPGFGHSDWPD PRSFAYTFDNLARIIDRFTDEVGLRSYTLYMQDYGGPVGFRLAMAHPERVRGLIIQNA VAHEVGLGPAWASRRAFWADRAAHEAAMRKGLLSLEATRARHVGSDPAPERYDPDLWT DEYAFLTKPGQAQIQADLFYDYRTNVASYPKWQAWLREARPRTLVLWGKYDPSFTIDE PEAYRRDVPDAEVHILDGGHFALDTAADRAADLIAGFMGPRP OJF2_RS34365 MRTLTAAVIVLAFAADMPIVLARAPRDDGARGPGLAAPDAGRRR KAVVELAAKRVAPEDAVPALAGMLNDPDAGVRRTAALTLATYGPSAQAAVPMLAAALQ DGEPRAAVASAVAIGAIGVPARQAVPALLAALRATDIRLRRASAVALGEIGPAASPAA AELTRSLGDADAPLREAAASALGKIGPGAKDAVPALVRGLEDADEAMRGVAIEAIVNV GPDAGPAIPDLARRLADPSPAIRSRAAQALGAIGPAAAAAAPALAAALAGKDNAPEAR RAAALALGAIGTASTGAVPALAAALGDDDDDLRHAAAYAIGDVGPGAVAAVPALIETL DDDDEEVRAEVAFALGAIGPGARDAIPALEEALDDEDATVRKAVAEALGRIRGR OJF2_RS39640 MVACLGVGAFLGGARAEGAAFNVYYRANADSPWVFYAGASSDAM ARATVAELQESGYATQVVPGAGVPAAAGVDAATVGTTTYQGGTYASTSGGWYGGGGNW YGGGGGWSGGSNWYGGGGGWGGGFRHADRWHHHDRQHEHSHDGHHAAGHHPTRHPHQR AAAHRHHPVAHHGAHRGAHHGHSHSHSHAHGHGHHGRK OJF2_RS34375 MSHQNPNQGGAPLAASKGPVGSPADIREHMEVLASDGTKVGRVD HVQGDHIRLTKNDSPDGQHHLIPISWVAKVHDHIHLNRNHREVQAGWQTEGTVSAGSA GADPRRGMPWDRAGSAFSSNRAGQTSTGGAGLGALAGAVAGAVATTAMSAVTGAIYAQ EGRLDRWREDWARGGRFEHEAAASKAAEFLGYDLTREQEKAAGTALHYGVGVGSAAIY GAFRHHIPVPSAIRGLGFGAALWLLLDEGAMPALGVTPGPKAFPRATHARGLAGHLVY GLVTEGVLAAVDRWLPGRSR OJF2_RS34380 MPDDPSKTTNTGTDPAGWSVDVDPARPGEDRVLVVVDVQNDFCP GGALAVPGGDEVPAVINELSRQFDHVILTQDWHPAGHLSFASSHPGARPFATVELPYG PQVLWPDHCVQDTEGARFHPGLAVRNCELIVRKGYRREVDSYSAFRDNDRRSPTGLAG YLRERGLRRLFVVGLATDFCVAYTALDARRLGFEVTVIEAGCRAIDLDGSLGAAWEQM EEAGVMRG OJF2_RS34385 MSQPSLDNPRGDRRDGPARGAPPRADDVAAWEGPWQGLSVPARR ACLALVGPGGEAEAPAIEPAVLAELVAAGFVEPPAPGGKPDRATPAASALGFLGRLRR LHGGSPLDAHAAAPLRDYIELASFMAVANTLRDVLRSGGVRVDGRLEDLLDNYVTDHR WPTWAVAHLKDPLAARVVEELWKEPSPVAVSALRAKFPDVEGEALRAAVASLSSALAA FMGLDRRTLEIVVGLLPAVRQDRDEAAGSRTRPPLVPVEAESLRFVGPDTSLLVDDIR AFLLEDLVEPIRIRQDDEIYQNDVPRFLDALPPLPQPIAEHLGWTDRVRLGAAVDAAI DLELIRPRAARSQPARLEVTAEGKSWIASDVEDQYRRLYKAVSAVNASPSYGGDATDR RFLVADVVAVAAKGRKASPYGYPPLTADDHRALRRSFHRAFSSLEEGVFYAIRSILDH LSFREFSPLHLGLEPNQVVVYSRGRLIPAVPRRREAASREAIGGVLAHLVLLGCFQVG GDAEGRPCLARLPRLDAYFEAESAPEGKKARAAAAGTSSAPETRVVIQPDFSVVIIGL NPAPAAELIPFCERQKGGGQGALTLKLTRDSVVRAVSAGMKPDEILGRLKRAAGHEPP ANVLRQVADWAGWVRTARAAPATLIRCPDRETADRVAAALRKDAERLGDTVLALRVAK LTSPLRQKLLAQGILISNKQE OJF2_RS34390 MSQPQMLKPSLELVTSSGRRTYEIAGADFHVGRLANLDLFLDNP HVSRPHARIQRREDGSYEIVDLRSQNGTHLNGKKIVPYEPRRLRDGDHIRIVPFDLYF RHPGPIIQEPKDDHTTVLRSLADLSSERLVTRSSHPAAAFRAVLEVVRSLSGGGDLGE VLSRAVDGLMRVFPQAERGFIVLAKDDGSVPLAAFRNKQADSSVPTLSRTIRARVLRD GQAVLIKDIAIEGDGEGSLQSTIRSAICVPLQSHDGRRIGMVQLDRLAEHDDFQESDL DLLAALGVPIGVAVENDQLLKERASWTAAREIQRALLPQARPAIPGYTFWECYRPAED VGGDLYDYIPVEGDAEAAGEGRDAPVAEPPGPGRWAVTLGDVAGKGMPAALIMAGISP EVRHLVRAGVPVDDALSRVNRHFYDHGVQGRFVTLLIGTIAPDRHDLTLAVAGHPHAL LRRSDGTYEDLAAAGAGPPLGVFPEPVYRAQAFTLERGDVVVFYSDGVVDAMDHANER FGTERLESALIASPPGVAAVGESILAAVRDHFAGRSQFDDITIVCFGRD OJF2_RS34395 MNRTFRNGLAIGILLIACVGPVAVAQEPASTSTVPARPVETKPA ATPSVPAMPVPESIRAEGVPPVPASLSAELRRYQNIRTAVFQGWDDTRPRAVYITTRF ADVPQVHHVPSPGAARRQLTFSDERILGVRPQPGHDRFLYSTDEGGGENYQLFLQDRA GGEPRRISEPKTRNTGPSWSPSGELLAWSSNARNGRDMDLYLASPADPHFRRLLKEVS GDWTVTDWSPDETKVVAEESISINESYIYIIDIETGKTTPITPRRADPKAEPVAAGDA MWSKDGKSIYYLTDRDSEHRRLVRRDLVAGGESVVTAGIPWDVESYDLSDDGTLIAAV ANEDGVDALHVLNAATSERLPGRAIAPGQISGLAFRKGSHELGFTLSSAQGAPDVYSL DLDHDHTERWTDSEAGGLDTLSFVEPTLIHYPSYDDRKIPAFVYRPPAGRFPGPRPVL IDIHGGPESQFRPGFLGRLNVLVNDLGLVLIMPNVRGSSGYGKTYVKLDNGMLREGPV KDIGELLDWIADQPDLDKSRVGVSGGSYGGFMSLAVQTRYNDRIKAGIDVVGISNFVT FLKNTQGYRRDLRRAEYGDERDPAMRAFLERVSPLSQAGRIRTPILVVQGQNDPRVPI SEAEQVVAAVRKNGVPVWYVVGKNEGHGFARKANQDYLQAVEVLFLRRYLLGEKE OJF2_RS34400 MRRSIGVAIVLAGFPVASPAGEPAADEAARLREGRAVLAGSCVS CHNADQKKGKLDLSRLATALAGGESGPAIVPGKSAESLLVEKVEAGEMPPKGRLDPAQ ARALRAWIDAGASYPAEPLAPPRAGADWWSLRPIRRPGPPMPVAADAGLARNPIDLFL LAELRRKGIDPAPEADRRDLIRRLSFDLIGLPPAPEEVARFVADRDPMAYERLVDRLL ASPQYGERWARHWLDVVRFGESEGYETNMPRFNAWPYRDYVIRALNRDTPFPRFVLEH LAGDALGDDPGDASAGGDWLTRAATGFLVGGTHDIVGNQTIEGMKQQRADDLDDIITA TSTAFLGLTANCARCHDHKFDPITQRDYYGLQAVFAGVQHASRQVEAPDAAARREAAA RIGRELAAIDRRLDEVEPLAMAGEDRDREAAGSDEAPRERRPRSPVRPLRNVERFRPR PARMVRFTVLATNTGSEPCVDEVEVMSAGESPRNVALAAAGGRASASSEYPDAAIHKV PHLNDGLVGNEHSWISREPGRGSFTIEWPRAAVVDRVIWSRDRNGAYADRLATAYRVE VAESPGAWQVVASSADRAPAGDPAAVAAAGQDDAGRARRAELLATQASLRARLAELGP TVSVYAGAFAEPGPTHLLRRGDPMQPGAEVPPSGLAAVRPSLTIPADAPEKGRRLALA RWIGDPANPLPARVMVNRLWLHHFGQGIVSTPSDFGFNGGPPSHPELLDWLASRYIDG GWRLKPIHRLIVTSAAYRRSGRADAKALAIDAGNRLLWRMPPRRLEAEPLRDAILACS GRLDPAMGGPGYNIWEKNTNYVAVYRPRATLGADAFRRMIYQFKPRSQEDPTFGAFDC PGAALVTPKRNSSTTALQALNLLNSGFVADQAASFAGRLRREAGESPGAQARRGFLLA LGREPSAGELLAAESLVRDHGAAALCRALYNANEFVFVP OJF2_RS34405 MSTTGTPDDLGAVTRTSQIIVAALIMCVILFLAVVLMVIRPMAG GVNGLPPNLITYIALAVAAANLALSFSIPGMVAANGRRRIAREIPAKTTDADRPARLV DASSDTPRLAILYQTQLIVGAAFLEGAALFNGIAYILERPPIALAAVVVLLGLLASRM PTRDRVDSWIDAQSVALQEDRQGLP OJF2_RS34410 MSEIVCQVDNRADAVELVWSAGGGFFEPYAISGTQLAELRAEAR KARDALERLVLAHNEAGSGPPPWEPSFDLATAGFRLYRKLLPGGDRTALKVRGWLAGL RARPGPGPLGLEVVVEERAADPATFLGVPWNLVYDEDPDDREEAFRTGGSAERWRPFW AIRYALTTGRRVEPWQRAPAWDEPRVLAVIDPTVYEALREDQRRRLDAFLAGGRVARA GSLKELRASLKGGYPRLLYWLGHATPEHLRLGPGEPIRPGQLRDALDVDDRERPDGML AFLNACRTAESGSGESFLNVLHSFGFTGAIATERQTIDNFANEFGLDFLEGFLRDGKP LGELLHELRLKSAPLGLLYGAHCPPEIRVRRRDEPAGAAGPAIRESGRVAGVSLDAGA PAIEAGPVRGSAPADLPERPYRSLACYDEPHRALFTGRDADVVRFAATLDRPDTRIMV LHGESGLGKSSFLRAGLIPYLERDCVGYRFFRRPDGSPLIVQAARDLVGQLAQALLDA SAAPLRYDTPDGDELVVDLRPAIDEALGAPADQPGLREALRRDVHLLANLLARMAGRL PHALVLVLDQAEEVFTLVRPDEPEEVAARDHALRMLQRAVDVRADVKLIVSLRTEYYG RLLDHLRAGRRDLVGVRDDLLRDFGRAALVEAITRPTSEESLAGGGPSPREAYGFRYA KGVPERIAGGVLALRSENQDSVLPLVQVICTQLFERLAGPPGAERVITGEDLDAIGGV DGGLKAFAEGALARTLRLSPGDRRAFRGLFSQLYLRQPDGTLSTRMIAREDLERQWGR PAPFAGLLEAARSARLLREDQLRLQGDEPRGYIRLGHDALAKVAAAWDDDLKRRGRRR KLLAAVAGSLALAGIMSVLALAAWQSSLVAEDRRLEALRNAAVAEGSRRQAQLTAANL TLDRGLSLCEQDDVAGGLSWMAHSLKIVPRDAPAVEGVIRANLRAWIPHLPRLRHIFP HGLDVRSVAFSPDGRLALTGSFDGTARLWDVETGDEPRTLTHPEAVEDVAFSHDGSTF ATACKDGKARIWDATSLELRGELAHAEPVMCLAFSRDGNTLLTGVYEGWAYLWDIGTG RQLCPRLEHGDWIRAVAFTADGRHAVTACWDTRVRTWDARTGAPSGLPIVTNNSSIMA MAVSDEDRTVLVGGMDGYARRWSLETGELIREYGPHRGAVYAVAVRPDDRTRVVTGSN DGSVREWESGTGRPLGTLCRHSGWVTSLAFHPKEPILLTGSGDRTARLWDLTPVREAL ATMPHSAAVRNALFSPDRTAVLTVGEDKKAHSWDISQGIPRDRSGPVGGPAMDLALDR SWNVIAVAAGNDVRFLDARTLESSGASLSHGGSVISLAMSRDGARVVTACFDQILRVW DVEARACVAERRMEHYAGDVAMHPDGKSFLVNLANVVKRLDAATLLPVGPDLVHPANT FDIACHPDGRTIATACAARKAYIWKAGPGGHTPLSLPHPADVLGVAFSPDGDLLLTGC FDNSARLWDVSTGKPIGKPFRHEAGVLSVCFSPDGATVLTTSFDKTARLWKVPNRTLD GSPDEVVSWVEGLTGLEFRGEGDLRVLDDEPWKHLQSRIPEELRAGDR OJF2_RS34415 MKRIRIIHDTAYHYKVPVTFGPHTALLRPREGHDLHIDSSTLLI EPEASVRWYRDIYGNSIAILTFQQPGAKLHVHSEIDVDLYEDMPIDCTIDPAAQEYPF QYDAVDQVEIMPYRLPSYPHDGPAVQRWLRDLYRPGQKADTATLLDRLNTRIFESFRY AERHEMGVQVPCRTLELGTGSCRDFAVFMMEAARHWGLAARFVTGYIQMAEGQHGSTH AWTEVYLPGAGWRGFDPTNNKPAGTEHISVAVARAHEKAAPLSGTWEGPGDAFRRMEV SVQVVAR OJF2_RS34420 MAKSSAIKAGDRVQWDSSQGKVTGKVKKKLTSPTDIKGHHVAAS PDNPEYLVESEKTGAQAAHKPEELKKAPKKKGAKS OJF2_RS34425 MSGKKSDSPDELIADFKDAVNMTASQIEKWLDSEDSKRVGYKDE GGGESVGHHSGRRIVEILGKKKADYTDDDLKHMAKVVGYVHRHLAQRPDGDVEETPWR YSLMNWGHDPAKDKSAHKAKARG OJF2_RS34430 MATFVLTDLSRDLWVEGFATDAQALGLAGGRRFSVRKRRLRGGR RDGVDLVEVDNGRLSFSIIPTRGMGIWKGSFDGDRLGWDSPVADGPVNPAFVNLAAHG GLGWLDGFDELLVRCGLSWNGAPFEVKSRKADGSEGNTTYNLHGKIANIPASYLAVHV GEEPPHEIVVEGHVTEAHLFGPSVRMVSRVSTTPGSNRLTVRDEFVNLKDQPVDMQVL YHWNFGFPFLQEDSRFVAPPRTVTPRDPRAVEGLEGHEVYGPPQPGFAEQVYFHELMT HPSGPEAGRTMAMLCNRGGDRAVALRYRPDQLPCFTLWKNTGGARDGYVTGLEPATNY PNPLPFEKARGRVVTLPVNGRHVAETTLEVLAGAQAVAEARAEVDRIQSQARPVVHPS PREPFAAEG OJF2_RS34435 MRAIPLSLAAIGLAGIAAVAASPRAGEAQPPAQPAPPAARQATN PASPEAPTQPAAAPAPAAPAAPAFTPEQKAVADALAAFVKAYNSGDTKALSAFFTEDL VLIDPENDETRGKAAVGEMYAAAFRDNAGLKLESRADEVRFITPDVARVEGESRISSA NGDAADFNRFSAILVRKAGAWQAAEIREFAAAAEDVSPYDRLRDLEWMVGHWVDDGGD VRVEADVEWADNASFLVRTYHVQVGGEQASSGTMFIGWDPQSGQIKSWNFDSEGGHGE GYWTKTSDKEWVVKATGVLRDGRPSSATQIHTILNKDSVKTDSIDRIIGGQVAPDITD VVMVRKAPAPGEPAEAGAPAAAPTPARAATPAAPPRAPAAPAATPAPAAPR OJF2_RS41325 MLCHRRWISLVGLLTFLGVAEPALAQRGRGGGGRPAGGGRPAGG MARPGGGMARPGGGMARPNMGGMTRPSMPANRPAMAARPSMPMNRPNMGGMTRPNMGG MARPNPGGMARPNFPANRPNPGGMTRPAIPGGGLGGNRPGIPGNRPGLPGGGGIGGNR PGIGGGGIGGNRPGIGGNRPGIGGNRPGLPGGGGIGGNRPGLPGGGIGGNRPGIPGNR PGLPGGGGIGGNRPGVPGGGIGGNRPGLGGNRPGLPGGGGIGGNRPGLGGGGIGGNRP GLGGGGIGGNRPGIPGGNRPGIPGNRPGIPGNRPGGGWAGGGWGGGTRPPWAGGGNRP GIPGGGVRPPWNGGGNRPGWAGGGNRPGQGGGGVRPPWNGNGNRPGWAGGGNRPGWAG GNRPGWAGGNRPGWAGGNRPGWAGGNRPGVNGNWGNGNWGIGGGNNINSGNTNIFNNT NVVNNRVNNNVWRGGGGWGRGGWGGGGWGGGGWGGGWGGPGLGWGGGWGPGLGWGGGY GGWGWGGNGFWSGFGTGALTAFGLGALGTVVSSPVYSAGYGVYDYFPTWGVSNYSSWG IGSVASDWLYSGYTNPYYGAVVAAQPAQQTTIVYDYSQPINVAAAAAQPAPATAEAAA AVEATASTDEQLFATARDAFKAGDYPRALDLADRVIKDEPNMPAVHEFRALCLFALKR YDEAAAVDYAVLSAGPGWNWETLVGLYPDVDTYTNQVRALEAAVKANPAAPAPNFLLA YHYMTQGHQDAAAAQFQKVTTLQPDDKLSLSFVKALKKVAEQPAEAPTPTSDNTALAA NANAAPNGDASPPAEATAAEDEPPPPPPPANLVGTWKAKPNADLGITLTLKPDGEFSW EVDNKGQKQTLTGRAGFKDGTLALLQPEGPPLVGKVTEKGAGSFLFAPPSGSNQPEAG LTFSKS OJF2_RS34450 MASPLDRLDGVGWARLSHAYGRAGDVPGFLRALLSTDAEARRKG LGDLQWTICHQGSRYRASAPAVPFLFDVLEAPGTQDRAPLIDLLASLAVGYQEWHVPL GFDPFAAFAEGEVPWQEIDPDELRATDPEEEDDHDPGLLNLLWEKDAYEAVLRRVYVF QELTNDGDRGVRIAAAKALAWFPAAGGASAPLLRRIAREGADPDERANATLGLGILGH VLRDDSDATWMRGELAPDRPELVRLAAAISLGVLLGPAIPGEALTVLLEAVQDLAATT AMGATIPWHWLGLGAHASAVLRHVRPEPTAANLAALGRAAERVAEPMGGPDLFAALLG VVFPDRGAIPTRMVPPSGFPQVDPARLTAEQAEALRAIGRAPVWNREPFHDGRLMDVG LEFRLPWDPKRYRALLEDLDAQGRPPTS OJF2_RS34455 MRQRAFPSTSARAFPAPALALALALLLGGAASAPTRADGPSSAI DVWPGKAPGEAGTIGDEKYVDAKPGEREVKRLTNVTHPTLTIRRPARDKDMGASVIIA PGGGYHILAWDLEGEEVAGWLNSIGVTGIVLKYRVPRREGTPNDRPPVQALMDAQRAV SLVRSRAGELGLDPGRIGILGFSAGGHLSAWAATHSEGRSYPAADDVDKVSCRPDFAV LIYPGYLDREDDPENPVKHVTSSTPPMFLAHAADDPVSCKSSLDMFQALRAAKVPAEL HIYATGGHGFGLRASEDPCSTWPQRCEDWMRRGGLLKPQGR OJF2_RS34460 MKILVIGLDGASPERLLGDERLQTLRYLMDAGGYGPLEGVVPPG PIPGWACLATGRDPGELGVYGELDRADRSYAPPAPADPRALAAGAPTAWDLLAQDGRP AVAIGLPAGPGGPAADEGEDAIRAASRRRFAEARKVLGGDWSSCVLADDGLARVPADA ADGYLLHLDAEIGGLLEQLGEDAVVLVASTYGTRPREGTFAVNDWLAREGLLELNRQP QGPAPLASLDVNWSRTSAWAVGGPYARIYLNVRGREPHGILEPKDCLRCRDELEALLS SAADESGRPLAAAVLRPEEAYKGLRGIAPDLIVRPTSRGWLATDGIGLGPATAEEADP RPLPLPTARGAFILAGAGVPALGPVEDAKLLDLAPTLLHLAGRPAPEGLPGRSLVDAA APTDRPGPTVEDEEALVRERLRGLGYVS OJF2_RS34465 MMTFLGTVAGLLLCVQAPARPAAGTLEESLRAEGPKALAAEARR AGDARRGAIVFFRPSLTCAKCHDARAGTPPLGPDLTARDRNAPGADPALVESILDPSK VIKPGYETVTIATEDGRVFAGLPGVDTPDAFAIRDPGQDGKAVAIPRADVEQRKVGGA SIMPAGLANNLESRAQFLDLVRYLMEIAEGGPDRARALRPDADALARAASPLPEADRD IDHAGLIRDLDADSFKRGEAIYNRVCINCHGTKDRPGSLPTSLRFASGTFKNGSDFLS LYRTLSLGFGQMTPQAWMVPRQKYDVLHYIREAYLKPYNRSQYAEPDAAYLAGLPKGT SRGPEPVEVQPWSSMDYGPSLMATYEVSNGDGSNTVPKGIAVRVDPGPGGVSRGHAWI LYDEDTMRFAAAWTGEGFIDWNGINFNGVHAVHPRLVGSIEVANPATPGWAVAGDGGP DGLYAFDDPRPKARDGRRYGPLPPWLVHYRGLHRHGARTILEYSVGRARVLEMPGLER PLSSGAAVFSRTIDMGPPATSTLLRVAPEGTAVELVLPEEDPSRPRPQLETRGGQVVL HVPPAEPRRFKLLLSRGDPAELHHRARLSAPPESLEPLTRGGPSQWPERLAARGEVGR DDGPFAADVLHTPESNPWLCQLRLSGFDFLPGGHAAAVCSWDGDVWLVDGVDRPESGL TWRRIASGLFQPLGLKVRDGAIYVCCRDEIVRLRDLDGDGEADFYENFNNDHQVTTHF HEFAMDLQADDAGNFYYAKAACHGLPATVPQHGTILKVSADGRTTEILATGFRAPNGV CLNPDGTFWLSDQEGFWTPKNRINLVKKGGFYGNMWGYTDVTDPSDSAMEQPLCWITN AFDRSPAQLLWADSKDPKWSPLSRSLLCLSYGYGKAYVVLRETVDGMAQGGECALPLP RFPTGIMRGRFHPDDGGLYTCGLFAWAGDQTQPGGFYRIRATGKPMGLPVALHAKEGR VEVTFTDRLDPVASAESSRVTAKAWSLRRTVHYGSEHHDERPLAIESSRLSADGRTLT LSIPDLRPTMGMEITYRLQSTDGHPISGTIHNTIHRLGR OJF2_RS34470 MISDARLAANRRNARKSTGPRTPEGKAESRLNGLVHGGRSAILG MPVLPREDPKALARLIDRFVREGRPGDSLERSLLERAARLTWAIERSDRAEAAYLADA ARRASAPPAGREGAAEERSRRVTRLAAELFHPLSPHEYRDADWRDDPAAALAGLEETA EGRRWLLEQCRSIRAYFVAGLEPAIGDFYRYIRLHGRHVTDLAWDLDLNAAMAAAEVA WPGCGRLIYGRFLAELHAEDWRLFEQQRQWRTFAPLPATPEEAVAVLLRDAESQMARL AALLCEGDGGPEGIDPDAAAFEAELELAGHRRAAAARTRELMQVLEQLRKLRKDRGAA ASTVPLAEPDEPSPADEAVGAASVRRPGEPSPADALPDGGGSDQPRPALTTPDEGGCD EPGPTRPPHGGGSHGEPEPEPVPTAEADRWEPAPIVIAEDDPEPPVPEDDGEEVQEPE GDPPAPGTWEAFERWFLEAKAARVPDDRTQGETLADREKRKFAEMLSIALDTPMGRAP DYDKYERRRAKQRQKAREKESQGQEPMEAQPPPAEGGP OJF2_RS34475 MTAPTIADVHAQLEALFDRGALGALTDAQLLGRFLGGASEDAEA AFAALVRRHAAMVYRVCLATLGHRQDAEDAAQAVFLVLARRARSVRRSESAASWLHGV ARRTALRARRDALRRETHERRRAETMASITSPTTPPADVWDDLHREIDRLPEAYRAAI VLRHLEGLPHDECARRLGCPLRTFQSRLLRARDRLRDRLASRGIGLAAILPEVPHWTA IVGEFPAAWIEATARSARAFAAGQAGAGASPDAIALARSIAGPMSTIAPIAMTAVIAA SVCAVAIAPARSRGGPPARRAEVEPQVRPAASARAAEEQAKQPIEVHVVEKAGGTPIE GAEVVVMLPHGGDLGYHVRAMRAASRYVTDAKGSCRVEIPSESPGDFEIRVRKPGFVD RTYSHGVPLQGPIPPTPLPPSHTFELERGTTIGGVVKRRDGEPIAGARVFVRATSPIR GTARDSEYSSIVGENGRAVTDGQGRWRFDGMPSTWTRLSVVFWHPDYVLPGLLPFPDP PSEEGLRALRAETILDEGLAVGGRVIDENGRPVAGATVTVAGAVGWRSIVPGIPSATT DAEGRYRFAHIPAGLRYIRATAPGRPPGWADDVIVGPGTKPVEIRLGPSASPGRVPVD SDGRQGLHPRASR OJF2_RS34480 MVARVFTPERPGSRDTRGAWRTVDVAAGETARADLGGKGRAVVG RFVLPAGVRPGAVFPYVGQSLQRVGAGIPYPPGLDEGRREEWLRSWLETEEGRAYDDS QIAVDTNILPDGTFRVEDVLPGRYRLRAVAHEPGKGGAGSVGRPAAEADVQVIVPGAS GGDQDRPFDAGAIEMKPFRAGR OJF2_RS34485 MSDSEALLDLIEACVVEHGGDLGGWTRRDGDGDGASLHLFDGRV TLRATVSEGGPGGGLGAVHAHVVATLHEHDDEELDACLFGMGDDRESALKQAAVVWLT AVAGPIRSFLDDRPVCMTCQANVEGGDIAKGYAPGGFGLPPGLRAYVGPSITRGIEEP PGGPGSPASEALPWFRYAAESAAPRRVHLAKATVVHQGAEGWRRELEIDGHDVSHRDP DWPDRPRGPGFGYMTRFAVFEFPRNSKTLARRAKLEKAIRRFAESYAKFDSAEELMAD MVARGHDPALVREVEAFSTIAFGRALFEPLGVKYPATIFRARQDGRVQADVPLMGLPA YSRARALAAKLRETMPQDEFQSLCLYNAESHAIVNSIEAAKGKPDFESLTLYPLVVPD PGASDETMEAALAALRVLIDRSRPAASKKPWWKFW OJF2_RS34490 MAVIATRTTREGLRKLPTTARPILDAADVLVPEGYAVEPVLAGL SFPCGMGFADDGSLFLLEGGSTWPTRPYMPARILRLDTASGGVSEVGVEVLGGPRGVS YRDGAIYVTVKGGYHAHVDKYDLKTNARTTIVDGLPSGGWHEPSDPMFGPDGLLYFGN GSVSQNGVSLPQGFTVDLAKHPEACDVPGQDVTLTGNNVWSRNPTTPFPFLTETGPFK PFGQRAQKGEVIRGRLKCSSGLWRCHPDGSGLELLAWGLRNPYGLAFSEAGELYATDN DYEEKGERAIAEDPDRIWHIRNAKSAHGSVRTPDWYGFPDLCGDGVPAWDETRRPRKG KPAEPLIADRPAWAGPAAFLEKPHTCECHMDFCRSDAFGHRGHVFLSQFGTYAPLNTP DPAALDRGFCVKRIDLATGENEPFVRNRQPGPASHHPGSGGIERPVDCKFHPDGRSLY VLDFGVTVVAPTHVVAYARTGALWRVTKL OJF2_RS34495 MATDLAAAPILLELDDATWSKQIDRAAAWLGDALTVQEKFRKLA EDTAASLKEPHIKKYIQDIARHAAAHEELVRGLARAIGREPSGGRSLAGSVLAKGAEL VADVVGLAGGARGNWKDLRQLLLASQDAMGAFAIAEQLGYALGLPKLSDPAFRAAAEK TRDHLVIQEFVLEMAPASILLHQDA OJF2_RS34500 MEASRAQSLLGGSGLGGFVERIVSIDEVRAWKPRPEVYRHAADL AGLAPSCLALIAAHARDCHGASRARLATGWVSRPELRLNPALSQPDVRGVALSRVDEA LIRLPRD OJF2_RS34505 MWSESLDDPKRATGHAPAVPGGGEIRAGAGPLEGRYDLLDVLGV GGMGVVRVGRDRHLGRELAVKLMKRELVGQPRAERRFLDEARIKSRLQHPAIPPVYEL VANSELGPFFTMKLVEGQTLEALLKDLQGSGEDRPRLLRILERVSQGVAHAHEQGIVH LDLSTKNVMVDPRDDLVQVMDWGLARDVNEAVAGGGAGPGQGHPARAQKAAGGTPGFM APEVLLGRPAHTPADVFALGSILCRILTGRPAYAFRSPQDLLRQAAESGFGLALVGDA RGRLLELRVAAEAAGEAEEARLIALAFESIDPEPANRPADAKAFGARLATYLGDSDRR RRDAEVELRAAKAREAADRRRRRMAYAAMAAALVAIATGGGALVAADRRARRDEADVR EAVALAQARFDEATRGGPGEGFAWDRAREAAARADHVARRVPTRRDLARQVDAMAAVA QRGWRLHRLAARLDEARLAEAASVRDGHFDSGPKLDGYAAAFAEAGVPFGSTPVAEII ALLQGAGHAQDIAAALDDWEIESADAPFHADLIAVAEAIDPACNDVRRCIRGRDAEAL IALVRAEGAGRIPVSGMRLVAQALQGFGRRPLAAPLLEEAIARGPGDFWLNHELGVAL LHADPPRPDEAVRYLTAARSLRPTSPGVRLNLGNALARAGRTKEAESEYRAAIHLQPE YGEAHFNLGYLLEDLNRLAEAEASYREAIRHRPKSASAQSALGLLLLANRRVDEAVKH LRIAVGLDPGDAKAHVNLGNGLNAQGSTADAEASYHAAIERDPGLAGAYSNLASLMQK RGSVGEAEAMYRRAADLAPGLSEARQGLGQTLKALGRLEEAERELAEAVRLDPKDAGS RFDLADLLARRGQSVGAEKYYREAIKLQPAFAEAHCNLGQLLRLEGRFREALEELRIG HAQGSARPDWPYPSARWVKACEALTGLEGRLPALLSGAEHPRDNAERLLLGELCYDLK RFVDAADFYGDALRLDPELGADRGPQYRYNAACAALRAATDVPGGADRAALRARAYDW LRLELKAWKVVATGPEPNAKSTVDDALRYWKEDPDLTAVRDKAAVPALPDEERASWRA FWSEVDGLLREVAGRDGEGGV OJF2_RS34510 MALPLTVDLERDRDNLDAAYLQPVRIYLKRKFQLKDDEIDEVTQ DFFATKILDPGFLARVRQAPVPRAYLLRSLANHVWDKHFRGERSRRDRTIPLGDLEPA ASGALQQESDTIYALCVLHTALQQMRVHCESSGKVHWALFRDLIVDVALGRASDRPDE EVDASRTDGDRGRAVRGRPARTREQIAEDYARKHPGMPWSAESISWRLARARLMFVEL IKQLIPPALGEGLTADDRYDEWLDLLQGVLVGRSELLPLAFRVTPHPQGGTDVDSMNL VGTSQAPELTEDELRVLMDFRLALPLEAFLGEIAPRREWTDTRGRDLTLRALVEAPPA LPPDAWVGLLNQIRSAAKAHHASPDHGVPAQISRVVYNLTVALALVRCDSRIARLRDD QLRGNFRQMLAYPWLTPSLRPVFEAALHRLDGR OJF2_RS34515 MRRLTFLLALVLFAGSASRASAQVENAIRQAGDNQIVRLPGQFT LQGQPSDVALVQFADGTIFAADSLYNLLFSPFVTEAYWASNGDLVVRVAFWNPEPRFN GGTVSLWRGAWLIDRDRIRL OJF2_RS34520 MGRSPRWLVPVAIVALLWNLLGCAACLADALVGPEEVAKMAPAQ RALYEARPAWALAATAAAVLGGALGSLGLALRKRWAYPLLAISLAGLIVQDFGLFVLA GGLSLAGPAVVAIQGAVLLVAIALALLARRALARGWIA OJF2_RS34525 MRIRRIRRLAKVFFWGLTLLLMLAACACWFAYKLVTDSDTIGRL VRAHAARFFPGSTLETGPASVSILKGEVSLRNVQLRQRIDGRPFLAARVAWLSLRLDP RELMHGRVEPREVIVSQPRLYLRQRRDGTWNLQGLIADPWPAPAMKNPPPVLVRNGTV ELVVDDGPAAPGPAAEGGPTPPALDDPSSAGAVAILRDVTMRIESADGDRLRFDGTAH GDLFEKVALEGLIDPKTGALELKGDLNGLTLSETLRRRVPPRLAPSFDALALKRGDVD LELRRLAFLPKEDEDHRLQYDASAHLRGGVWECPKLPFPVNDLSADVGLKDGLLTIRH AEGSNGGSRLLARGWARPGKALDGPFDLRVDLVKLELDRRLQARTPPQFAELWDIFKP HGQVDAYAHLVRGRPDGPVGAGATVVCHDVGTTYRHFPYPVDHLAGTLTLEKQQLSVD LHGLVGEAPARLVGKIDDPGPDAVVDLRIDAESVPIDDAFRKALPPDIRKVVDDFKPR GTVKGNVRVRRRPMVGPDARPEGIVRVDATVDLKPSCEITWAGMPYTVRNLTGQLELH PDRWEFRKIRGRNGQAIIAGDGRVERLPLPDLPDRQPPLRIDMTLRAKDLPFNEDLRR ALQPAWRKTWAIIDPHGASDVDAVIHVEAGKPDLYHVAITPSAKEESSVRLQIPRPAQ PGADAGGTTELRMDDVRGRFEFDNGKVAMKGVTFLFHDAPVRFESGAVRVEDSGRFDL EAKDLEVKDIRFDSNLRKVMPPLMAKFAMKLDDGRPFRARGDLKIGWSGVPGEPAWCR WDRTLVVLNDNSVTSEVPLRHVQGLLEDVRGWSNGLGLEVHGRLKLDSAEVMDQQLTE LTSTFHVERGQARLEDVRGRLLKGDLSGWGAISLEDSPSYSTRLSLVGARLEEYARTV PGRQSYRGALKADVALEGKGSDIRSVHGRGVAEITEGDLGEQNFAMKFVGFINTRMSL FDPSRNDSRTAFDSANVSFRIDQGRTTLDPIRLSGSAFSLQGKGRRDPMGNLDLSLNV LYGRGRRVPILSPVMNAVGSQIFNVRVTGTSSNPTFQGEFGPRLQNLSIGRGPRNGLE OJF2_RS34530 MPLPRPRCLAAAPSLGRILEVALFLRVAAAVAVEWQVRRVGAGA GTPRVCLFPDAEYYWTLARTICLGAPYEILEWGDIPHFALRTPGYPAFLAACQSAFGE RPLAARLVQAALGMLSVWLVHRLTREVLGGAGGGPVAGESGGPGPGGPRPWTVPDVAA LLAAIHPYSVLMSALLLSEAAFVPLMLASLWALAAAANRVRGEAGGPPAGRAGPGSHG RRTEPAPTGGVPRGSGLLLGLAAGCASGLAVLVRPSWALSIPAMIVGFAAIARGRGQG GRGARRPAAIVGLAMALGVVLVMSPWWVRNWGIYGRFIPTAVWMGASLYDGLNPSATG ASDMAFLADPDVWPLDELGQDRLLTRRALAFAAGHPGRALILAFVKLGRYWSPWPNAE GLRSLGPAIGGTILEGPILAAIILGLWARRRDLRSWVFLAGPLLYFCALHLAFASSMR YRIPAEVPAMGLAAVGVEAWCRRRAGVDAAG OJF2_RS34535 MRTTLVAIVLWALATIALCVLGIWATARALDRPRDRGPDPTRSL MSMLADDTARAFEEGGPEGLAIYLRRLANRLPGERFLVDSRGRDLADGSDRADLVESA GAADFAIAGRPDGRLAAVIRPRGGRYRFVWLVEPWFEPPSPWPFIAVVVAIIAAMGSA LALYLSVPLRRLRRVMDRFGRGDLRARVGSRRRDEIGVVSREFDLLAERVETLVAAER RLLQDVSHELRSPLTRLDVAVGLAIRREDRGPLLERIRRDVSRLSELVGELLHLTRVE GDPSARVLEVVRLGELLGTLLEDCTIEAESKGCRLAYEASWPGPMRGDPELLRRAFEN VIRNAIRHAPEGSDVEVSLEPCGQGAKVIVRDFGSGVPAEALPSLFEPFFRVEGDRSR ESGGVGLGLAIARRAVAIHGGRIEARNAEPGLAVEMVLPGS OJF2_RS34540 MGREPTSADERPHALLVDDDVELGELLQDALDEHGIRVELARDG RRGLARALSGEHDLLLLDVMLPGLDGFELLRLVRRKSRVPVIMLTARTAPADQIAGLD AGADDYLPKPFGADVLLARVRAVLRRSGRGMAGPNALEVGRFRLILGAREVLVGGSPV ALTDLEYDIFEYLARAAGRVVPRNELSVALFQRPATPFDRALDTHICNLRKKLGEHGE AIATVRSVGYLLRAPDGPGGAG OJF2_RS34545 MPSERAGGSGARYHSLDGLRAAAMLAGVFYHAVPGFGPGAASSF AEWLHSFRMPLFMLISGFFGLMILEKYGLRRYLTKRWWRIGLPMLIGLFTFMPIYSMY GPMAGMFPGPGGPDGPPGFDAGPPRGPGGPPGFGEMPPPPPGMMPPFLQRFDADGDGS LSEAEWKKVREEGPSSGPPGGGPGGPPGFGPPGFGPPGFGGGSSSLNRRIFGETGRLF SLGHLWFLWYLLVFVTAAPAVSAVASWTTSGVRAATERLGGGLVRLGLAPLVLALVSL PLMMLMPSFMGWGLPLASGIGGQFPDFFFQYEPDWPYYFAYFMAGWWLYSRRVDLPAV GRTWLPMLALGVASYVAFRWFSDRYQRQTGLPHYGQLRILGYGLYAVGSALTSWGLVG LFQRNLDRPSRPGRYFSETALWIYLVHQDILGPVMRGLRPLRLEALPQGLLATALTIG IAVALYELLIRRTPLVWVFGPGRPRAGREEVVEPAVPADEIVSENGSEVTAPKANAVV DEAR OJF2_RS34550 MNQGDDPDPQHDPLAFLAGGGEMGRRIREFDWSTTPLGPLGGWP RGLRSALSICLNSNFPIAIYWGADLVLLYNDDWSPIPGEKHPWALGRPARQAWPEIWH IIEPLFGRVMATGEATRSRDQLLPMRRHGFTEECYFDYTFSPIRGEGGQVEGVFNAVL ETTTRVIGERRLRTLRELGAREAGEARTAGDACLSAAQVIAEDPHDLPFALLYLLDGG RRATLAGLAGLGGDTAAGPAAVDLDAPDAPWPFRLVAEAGRPVEIDDLPARFGPLPGG AWPEPTRRAVVLPLARPGQAQLAGFLVAGVSPRLALDDDYRGFLDLLAGHVAAAVAAA RAYEEERRRAEELAELDRAKTAFFSNVSHEFRTPLTLMLGPVEDMLAAPAGRVPAGDR SLLEVVRRNGLRLQRLVNALLDFSRIEAGRVRATYEPTDLAALTADLASNFRSACEKA GLSLAVDCPPVGEPAFVDRQMYEKVVLNLLSNAFKFTLEGGIAVSLRRAGGAVELRVR DTGTGIPADEMPRLFERFHRVENARGRTHEGSGIGLALVQELVKLHGGSIAAESEVGA GTTFAVVIPLGSDHLPRERVGTGGRAAPATGADAFVEEALRWLPDAAAPDDLGPDLPG RPDDLPVSPPRPDAERPRVLVADDNADMRRYVLRLLSGAYAVEAVADGAAALDAARRR PPDLILSDVMMPRLDGFGLLKALRADPATAAVPVILLSARAGEESRVEGAEAGADDYL VKPFGARELLARVAAQVQLARLRREAQEAFRRGEERFRLFMSHSPTTAFIKDAEGRYL YVNRTVERQFARPLAGWVGKTDLDLFPPDEARLVRRNDLSVLASRATAQFEEASTGPD GVRHYLAFKFPLPDGEGRLLLAGMSVDITDRKRAEDERERFFAVGADLLVVAGADGHF KRVSPACERTLGWTPAEMTARPWADFLHPDDRAGTVDLDERVKRGREVLSFENRYRHK DGSYRWLNWRARPYPDEGLIYAGATDITERKLAEEAVRQSEARYRALAEAMPFVVWQT DPAGRSEYANAFTFDYTGLTPDEFGDRGWLSIIHPDDAPTLLGRWERSLGTGEPVEVE YRMRRAADGEYRWFHSVGSPIRDAAGRVVKWVGASIEVHDRRTAEQALRESEARFRAV VESDMVGIGFWRSDGTITDANDTLLRMLGYGREDVAAGAVRYPDITPPEYRQADDRAV AEIAASGGCTPFEKEYVRRDGGRIPVLIGAACLPDDPDRGPFFALDITAQKRAEEALR ESERRFRQLADAMPQVVWTAGPDGEIDYLNRRWTEYTGQPQTAGNAGWGLILHPDDAP QANARWEASRRGGEPFEMEIRLLDSRERSYRWHLIRTVAVKDGAGRVARWFGTATDID GQKRAEASSRYLAEASAALAGVADYEGTLRKVVDLAVPYFADWSAVDVADGGGRLRRL AVAHQDAARVALAHELMRDYPPDPDAPTGGYAVLRTGRPELIADVTDDMLVRGARDGR HLGLIRSLGLKSCLCVPLAVSGQPLGVLTFATAESGRRYDEADLALATDLAHRAAVAV ENTRLYQALRDADRRKDEFLATLAHELRNPLAPIRNGLQIMDMAAGDPQLVDEARALM ERQVAHMVRLIDDLMDISRITRGKLVLRKERVELATVIRAAVDTSRPLIEASGHELSL TVPPRPIVLDADPTRLAQVFSNLLNNAAKYTEPGGDIALIAGRQGGDVVVTVRDNGVG IPPEMLPHVFGMFTQVDRSLERSQGGLGIGLSLVKGIVEMHGGAVEARSGGPGRGSEF VVRLPIAAAGAPKPAAGRAGPDAAGPAAARRILVVDDNEDAARSLARMLKLGGHEART AHDGGEAVEAAERHRPEVILLDIGLPVMNGYDVARAIRARPWGGDVAIVALTGWGQEG DRRRSKEAGIDRHLVKPLDPAELEGLLAELQGRPETPASRPAP OJF2_RS34555 MTLKQGRFLTAMPTLILTPRFTADSQSLWRAAVSLGWGVERLAS WRVPESLLAVSEPVLYLEGLFGPTLAEQFGLRLLEPPVDWLPRLPEDFRRRQVSLTTL REARANPEPAFVKPPNDKSFPARTYVGDELPDGYEEDSPVLVAEVVAWEKEFRCFVLD RQPRTLSVYLRGGELQRDKGFEASGEELGEAEKFVRTVLSDPRVDLPRTAVLDVGVIA GRGWAVVEQNAAWGAGIYGCDAVSVLEVLRHASVPVEPGDPADQPQRAS OJF2_RS34560 MATIVIHLQSGRNGIYREYVDAVRTLARLEQLWLEQYPSEADNL IPWPTDLRDPWAVCGALVLEKRFVSDTCPACDRTYGPEEVEILRRRGRRRYKIVRNHS EDRPPSGVEGSATT OJF2_RS41445 MASPLADRELLVEALAVHLGLVARADMDRVVAGRAAAGTAAGTL VADLAGRGLADRDGLAALDSFAGALLDRRRGDVRRCLDDLSSFARVRAELGLDRQLAA LASRHPTSAPAAAARAGARDEGRRGHGRANGDAPEAAFAPGFPRLEPEEDDEDEAAPA PPGGEGDPAGDDFELHPGLGEASGGRFRVLHAHARGGIGVVSVAFDGELQREVALKQI KAENADDPSSRARFLLEAEVTGRLEHPGIVPVYGLGFDDAGRPYYAMRFVRGITLEQA IAAFHEAGPGGGPSAAARGDMLELRALLGRFVSVCHTMAYAHSRNVLHRDLKPANILL GPYNETLVVDWGLAKVIDRAPAAPRPLSPAPSPPPPSRPPGDRPRLASSAAAAAAASP SPPPLGSSSSTDTMAGAAFGTPAFMSPEQAEGQLEKLGPASDVYSLGAVLYTLLCGRP PFESSWCEVTSLLARVKVGEFPAPRAARPGVPRPLEAVCLRAMARRPEDRYDGAEALA ADIERWLGDEPVSAFREPRADALARWGRRHRPLVAGAAALLATAVVGLSLGLVLLERE HRETEAQRQVATIMSAEAGERAAQLSRRDYINRVNLAHRELLDDNAALGEKILYECAT PQRGWEWYHVRRLAHPELDSFTNDPGRSPQDVWCLALSPDGRRLAAGSGPWFQPHDGP TAALTVRDLDSGRELFARRGAPGAVQAVAFSPDGRRLAAASGTSDGAVRGVITCHDAA TGRELWSAEERDANVLGLAFSPDGKAVAAACGGFNNYDAVGYVRLYDAAAGRPRATIG GGPGGVLAVAYSPDGAQLATASRGVVDVWDAAGGAPAFQLRGHREFVYGVAFSPDGRR LASAGWDRTVRLWDRKTGELERTLRGHRGFVRGVSFSPDGRRLASCGEDRSVRIWDVE EGRALASFHGHQGFVHCVAFSPDGIRAASGSMDATVRIWPAATTEPQVTFRNGSGWVG SVAIHPGGGRVATAHNGDVRVWDPRTGEELWRAPGPRGLLGRVALAYTPDGTLLAAPD PAGRIRLRDADTGRALRALEDDGGPIVAAAAAPGGGLLAGAGDDGVVRVWDLRDGSIR ARLAGHAGGVNAVCFSPDGRQLASASEDRTVKLWDLDAGKEAASLAGHATGVRGVAFA PDGLSLASVGGQYRGSPPAEVLVWDLPATGGGPRRRLEGHTGMVQAVAYSPDGRRLAT ASDDRTVLLWDAASGEALFTLRGHTSGVVSLAISVDGRQIVSGGIDCTARVWSSEGPE VAPARVRRRAAVELVGALFEEKLLKSEVIAALRSDPLLDGTLRDAALEVAEGRAEDAQ ALHEAAWLTIVRPSSPPDQQARAVAFLEAAARLVGDDPPRLAECRAELALAYCRADRP ADALETLGLARTPAAPSPLRLAVLALASQRLGRFADAHAALAELRSLVRTAPNPDPAA AGFLREAEEAVHE OJF2_RS34575 MTTTIVSQVAFAITAVLFVVEHPQDAGRVRQDARASVPRITKRP VIQAPGNDVKKIIRIEGENLGNCAFAIVTFRNKSTNRPTFAAFEAKHDGQDVVLATIS DDKPRLPVRFFEDRQVRMTPEQEDEPTAVWLLDAEGKALAVSTGPGDVGTTIPPSRQE PLPPPKNPYKS OJF2_RS34580 MESTGREDPEPTDDDRGAASPRGSGDDTIYDRFEKSLQEGRSPR IEDLLAEVPEADRAALLGDLLALELSYRRRRGDTPREREYLDRFPTHEAAVRAAFGAM PDPRHALLFGALASGLGLVSQVDLESALLEWVSAKRRPIGHLLLGRRAISPEGLDLLD RVFVEHLALHDGDPLRGLTAIGLPAPVRATLRAIDDPELRGALSALRTSEGERAASDG RFRIIDPQPREGGQGAVFKARDEQFGRIVAMKQVRPGLPPDPDLWARLDVEAKITGRL EHPSIVPAYARGRLEDGRPYYVMRYIDGPTMLDEIRAFHAADARPRSQAERNLAVRTL LGQFVAACRAVDYAHSRGVLHRDLKPHNILLRDHYKYGETLVADWGLATLLDRGPDDA DGPDGVWSGEGTVPLANGAVQGTLQYMAPEQAAGRPSRQSDIFGLGATLYHALTGSAP YPRAADRLAGPGETLERVRRADYPPPRRVNPKVPRALEAICLKAMAPEPARRYPSPKE LADDVESWLAGERVSAHDPVAARLSRLARRHRVLATAMVAGLILALAAGGLYRSLLRE RTLKVLYSNLLLASVFTAMDTLAGAEVPRIPQARDLQKRMALLYADQADAVLKGVRND PQVTLPVADVHRMTLDVADVHRKAGNLLRVSRDLDGALGQYTRSMDLLDQMWPTASPE QHLQLDSQKLFLLCERAETLRMAGRIREAKSLLDGAKEFLEVLRRTPIDRETMARNEA GFRVYLGALQEESDSQAVALDTYSQAEALLAKSRPRGNEDWVLRSLVILGMANTTRAA EPPPRLAREIDEMIPELRKLDAPPQSNIDARYFLAEMLHARARLGGSSGAPRDEPEAD FREAFSLLTGLVEHSPWHEGYALALAATLNDRAECRLGRKEHDGTDKEVMTALTVVAP LLKESDGLVDTRLRARRESSRSYFILARLARGQGDVPGARKWIDRAIAELPGGDDEIH PRDRELVDTYSSWKRGLDRSGTP OJF2_RS34585 MTRLLHDLRSRDAAAAQALWDAYFGRMVGVASGYMRSRHVSLDA ESAAGSAMATFVCRARDGHFPDLGDRECLWGLLLVLTIRKVIKRMRRMRRRAEVNFSD LGDMAPEDLEAVLGSVPDPAVVDAIWGELIEGLDERRRRVARLRLEGFRNNEISRELS ISEATVERDLRKIREAWMASENVA OJF2_RS34590 MHGLGDAASGFGDWARGTGSFLHDLALATSIQAETAIRVNQYQW GCYLETARRHREDLARRKWSRDCARLRIDERLLYHPEPRDIESGDAPNALIRYLSGPD VSPSALRALGLPMPRSTCDRLPFVLNRGGNLIIAPCRLRVGPRWPDLLRDPSFDRQRR SVELLVDDCLARATRGASLAAPSSALDRALAALRDRIDRRLAPEASPERAQARAFLDR LAASARMLHDPAATQVLRQLRSHPPATVAEAVVQMTRLHLQLPPAASPEEADLYGEFH RMLLEQRDRLVTARVAPAEAPAPVVSMAGRARRP OJF2_RS34595 MPASVTNRPEAIDEAAPRPEFLAPASAPTPPALRPGPCFRTRLV ERTIIVRLDPELHLGDGAAEEARKEMMSLLRDQGAVRILLNFGGVRYLSSEMLGVLSH SCREVLDRGGHVLACGLDPLMRDVLHLTGLVQSLEICSDEAEALGLLLH OJF2_RS34600 MRRPPAHHALIAAAILAGCGGRNTAPTVDTPGREASPESKALEA GAMVMQDRTPVDQVHMYVCGFHFYNGDMTRQVEAHHYCIQSGEDFHQCLIFSGNGKDA KLIGLEYIIGARLFEGLPEDERRYWHSHDYEVKSGELIAPGLPEAAEHALMEKFVATY GKTIHTWQVDRGDALPLGAPQLMMGFTADGQIIPEKLAGRDGRFGVSTAAKRRSRSDI PGPRLAPGVNAWERGQVPQLEWKAGSETKGYRAAGPEATREGGAR OJF2_RS40215 MTRRSRGREVALQVLYQVEQNSGLPAAEVRQFINRRLLGDPRLI EFTQSLIAGVREHQPRIDAMIEEVAENWRLDRMAAIDRNILRLGAFEVLYGPEEVPAK VAINEALELAKRYSTAQSSRFVNGILDRVLQLHEESQAKANAPAEAEASAESNAPAEV EGEAPAATGSPAGEPGAKAEAPSDAEAEGEAPGGEGEAPGGEGESAS OJF2_RS34610 MTAAGGADLHVHTTHSDGVCSPSEVVNAAAGVGLSAVAITDHDT TSALAVARPEAARLGVELVPGVELTCGLEGREVHLLGYFFREDDPGLAAAMAGLREGR DARMQAMAEELGRRGLSLDVAALRALFPRAVLGRRHAAEYLARTRQVPSVRDAFASHL GDGQPASIPKPRLDVLEAIRLVRSAGGVAGLAHPPYNLRLETLRGLAEGGLAAIEAAG PGITKRLGRRFRDWADLLGLVPTAGSDFHVPDRPGRWVGAVTTPLPDLERLRAASPSP GG OJF2_RS34615 MARTGRLGSALAFLVAIALGPVAARGDDALVLRYDEPARNWNQA LPVGNGRLGAMVFGGTAEDRLQVNEDTVWAGEPHDYAHKGAAKHLPEIRRLLFEGKQR EAERLAMREFMSVPLVQLPYQPFVDVKLRFEGHDRVQDYRRELDLDQAVASVAYKLDG VTHRREAFSSFPDRVLVVRLSADQPGKLSFRAILSSPHKDHRVEAQDGTLVLDGKVGP KRVGFAGINADVPGAIRFEARLRAIADGGQAQVTAEGIDVRGANAVTLVLAGATNFKS YNDVSGDPAAKNREALAAAAGKPFEALRSAHIADHQALFRRVSIDLGTSDSARLTTDL RLKSVAKAADPALAALFFQYGRYLMIASSRPGSQPANLQGIWNESLRPPWDSKWTVNI NTEMNYWPVEVANLSECAGPLFDMIADLSQTGRSVAKEQYGARGWVLHHNTDIWRGAA PINASDHGIWVTGGAWLCHHLWDHYLYTGDRAFLADRAYPIMKGAAEFFVDFLVEDPR TGKLISGPSNSPEQGGLVMGPTMDHQIIRDLFANTAAAAEVLGLDSDFAAQLKGMIPR IAPNKVGRHGQLQEWLEDKDNPKDEHRHVSHLWGLFPGSEITPDDTPDLFKAARQSLV FRGDGGTGWSKAWKINLWARLLEGDHAHKMLVEALAGNTLPNLFDTHPPFQIDGNFGA TSGIAEMLLQTQNGRIHLLPALPQAWPTGSVKGLRARGGFAVDLAWKDGKLDRATIRS ELGNPCEVQLGDRLVTLAIPAGQESVLGPDLKRVP OJF2_RS34620 MAIPGAPTGRIAIASPRLIPLPCLLLVALAAIPARAQEPGLAGQ PWRNPDLPLEKRVDDLVARLTVEEKVAQMMMATPAIPRLGIPAYHWWNEALHGVARAG RATVFPQAIALAATWNPELHRKIADVISTETRAKHHEAARQGKFDIYQGLTLWSPNIN IFRDPRWGRGQETYGEDPYLTGRFGVAFVRGIQGDDPTYLKAVATPKHFAVHSGPEPA RHGFNAIASPRDLWETYLVAFEASIREGKAASLMSAYNAVNGESATGSRKLLTDILRG KWGFDGAVVSDVDSVGDIFQGHHFATDLAQASAIAVRAGDDECSGTSFRAIPEALKRG LLTEADLDQAVKRLFRVRFRLGLFDPPDRVAYARIPYSENDTPEHDRMALEAARQSLV LLKNDGTLPLKKDLKTVAVIGPVAKSMPVLLGNYHGIPSKPVTLFEGIRRKLEPRGVK VLSGPPIPLVDGFRDNREIIGEDSLVAEYGKGPGLLREVFHNRDLVGTPASARADRAV DIVWNKYNPLPDVPLEDSSLRWSGSLVPPEAGRYEIGASGEGRFRVWLDGKPLLDRWT GQGFRSAAEAVDLEAGKAYPIRVELTHQGDSASLHLSWKTPAVDRAVERSVALAREAD AVILTLGITPELEGEEMRVDAVGFKGGDRTTLALPASQERILKAVAAVGKPTVVVLTG GSALAFDQQPAGAVLLAWYSGQRGGDAVADALVGDYNPGGRLPVTFYRGEKDLPAFED YSMNGRTYRYYAGPVLYPFGHGLSYTTFAYANLKVEPARLTSAAPVRVTVDVTNTGRV EGDEIAELYLAPPKGAGTNLIRQLRGLKREHLQPGETRTLTFDLPPLALTHVNEAGER VLKPGEIAVSVGGGQPGFAANAVGATLPCDLPQEQVLARP OJF2_RS34625 MTLAPKSTAAAACLIAAVAAAATIGQAALSAPPRFDGAGYAVLA RSLGEGSGYRAIDHPDRPRHAHFPPGYPAFLAAVWAVTGTSWRAAHLASCACTVAATL LAWLWFRRLYSRDAAFLLGLALAANWIWTRTGSGIQSEPLFLLLGQAAILAATMRPGD RPTAARAAGLGALIAACLLTRQVAIGLALAVFADLGSRRRWRALGIAAATAAALVGPW VAWSAAVGGRSQAGLLLAGEGASGLARRVASQAWFYLQRIPDQVTGPFVEIATVIRPS PRAAGPAAAWVAIASGAVVLGWIVAARGRRRRLAGLVPLLTMPMLLAWPYTEAGRFLI PLIPPLLVGAVEGLSAARRAGMRRLLGARQFPTTARTRRLAAVTLLALSVPYTGYLVA TGRSRALAAGASQFDGLCADLLKKAGNHPGPVLTRHPGEVFLATGRQALEVSTSERPG DADASPEEIDRLIERYGVAYVLVDNDRYLNAATTPLGRYANVRRGTSLREISSARSTR SYAVLYEVRRPAPAARHP OJF2_RS34630 MYPIRAKECGVANPMQTYFREINESGLLTALEERELAEAIRRGD DGARGRLIQSNLRLVVKIAREFLHRGMTLEDLIGEGNLGLIRAAEDYDPRFGTRFSTY ASYWIKQAIRHALINTTSTIRLPAHLYGLLAKFRRAERALCRERGRMPSFDEVAAHLG LSEVQKGMVDKARRAGQLKLESNLGDDGDSWSPDEAVDTSETPNIDLDRADERAEVLK RMGRLDDRERMVLQLRFGLEGNVPQTLTEIGRRMGVTREWVRKIEVRAVSKLAAAAAA PAGSAPCSSAKASAPRRGPRRIAARRELAVSHSA OJF2_RS34635 MRELPDISELLSRAKAGDEAAIREFVAEFEPEVRIMVRGRLPRL LRTQFDSMDFVQSVWESFFTDLRERSQDFENVRHLRKFLAAVARNKVFEQHRRLTRTE KYTLAKEERLYVRRGGREVGRDFPSPEPTPSQAVQAADRMAQLTAGCTPKEVEVIRLR HDGLTNEDIAERTGLGERTVRRIIEAARARMEGRR OJF2_RS34640 MTAGSRQATAGASGFAAGGPGGATSPRRIQRVLDDFTRRRGDGE VGDVEGYLAEAGPLPDDLVVELAYREYCLREEEGEEADEESFLARFPAHRAALGRLLA VHRAWLAAPEGEGEGDWTRPVAGELAFESGVGPGLEELPGAGDEIGPFVLRREIGQGS FARVFIAEQADLENRLVVVKVSTRRTREPWLLARVRHAHIVEILLHAEVNDGAFQLLC MPFEGGATLAAVLERGRAAGGPPRHRGALLQDLDAVAAPEYAAVAPAGPARELLGRLG DAHALAWIAARLAEALDYAFGRGVAHGDVKPSNILLTADGNPLLLDFNLSQDWSARTD PRGADAGGTLAYMAPERLRALAARADGDHGEGAGADPDADTEARPADAGEGQAAADAT AAGIDARRADIYSLGMVLLEALAGSAAGVPGRGEGRAGLADLARAHAAPRERGAGALI RDAEERGGRRIPRGLRHVLERCLAADPEARYRRPMELAEDLDRWRSDRPPAYAREPFW SEALPRWARRRRRALAVAAVALVVSLATAGLARLASHWVLRGQSLSQLARIWDLQEAG VYQYRRPYGMPLAPRESPDVLATAAAALRDYRVLGPEDWRRGEEYLSLPPADRFDLEA WLMEEAFRYAAALSRQADSPVDWLQATAALDRADPTLRLPALEPLRRRLGERLGRSKS ASRVARILDPGKAGPATPPGPDAAEYLLGVADELADESYEGDPALAARALRHYQAVLD RHPDSFWAHYRSAVVCFRLWRWSEAARHVEHCLARRPGNGALRGQLAACLSELRLYDR ARRECDLAIELSPNHGEFYRSRAFIRAALGQAEGVGEDIRTFEVLAGLADRPSPAEAA PLDVDGGAADRGLPLTFEALAGPQRGPVLRDDLGAIARGELDTRVQLASRITWLGISG DPGSPRPAPADDGGGMLGIAQEELDKILRLEPRHLNARILRMMQHLERGRQRAACDDL QGVLEHADLDAYLLKDDQREEFLHGAHRFATRGLIDEAFEMVQRVFRATRHTDVPLGR TRYYMARILAVSGPADAWRVQLAARHLFRAIESHPRFREWYRQDRDFDAVRGELDVIL RGMREAEVTE OJF2_RS34645 MKPEDSLKVAIAHDPKDIAGAIERALGELTLDDFRDKVVAIKPN DTTAHADDRTACTSAESLRATIRFVKRLHPKSIVVTGGAGAMKSEDVAKVMGYTEVIA SEGVEWFDHNQPPFEAVDLAFGPQRKIVVNPRVLTYEKVVSLAQLKVHSTATVTLSIK NVAMSFPCADFYGYPRVKQERHPHNILVDKQAFLVGMLMRFPIDLGIVVGQPAMIGKG PIGGKAVDTGLVIAGRNPVSVDSVGAHLLGFETLAVQHIRQAAELGLGVPLTPVGGES GKGRLAVVGIPVEEATRIFRRAAYGQEF OJF2_RS34650 MHRGSTDRTSTTVRGHACHIDPDPATAGIFEWDGSSRQGRRGAR RAHLARSIRTVQAPSGPPTDREFRIDRS OJF2_RS34655 MSYAETLLPEFDQEMASTRKVLERLPDDKLDWKAHPKSHTIGWN ANHVADIPHWIVEVLTKPALDIAPADGPRYQTPALTSRREILENFDRNVAEARAAIAA AKDEDVRQPWSLLSGGQPIMAMPRAAMIRGMVLNHLIHHRAHLCVYYRLNEIPVPGMY GPSGDD OJF2_RS40220 MRTYLLAVAAGLACTGAMAGDILNLGDAAPKLAVSKFVKGEKVE GFEPGKTYVVEFWATWCGPCRASIPHLTELAHKYKDKGVRFIGVDVWERDLSKVEPFL KEMGDKMDYSVALDDVADPSNPSAGKMATGWMEAAGENGIPTAFVIRDGKVAWIGHPM SMDEPLAKITAGDWDAKAKAPERLAAKAKEKKMMEVQAKVYGPLRKKDFKAGLAAIKE VTASDPELAGAFDDVKLMCLNQVGEADEAAALAGKMLEANKDNAMALNGLAWTIVDPD LKQDVDPRLARVALDAARKANDLTGDKDMAVLDTLACAEYRAGNAEAAVAAEEKALKA LEAAVKEKAKDHPYYKQFTGRIELFRKSGKKAEAK OJF2_RS34665 MPWFRWRAALRERLAARPGRGRSRPGCECLEGRALLSGVSSFLG GGKSTSLSSYLFPKPPDRNPVTVKVVFPDGMDGRTNALMELSKTDAPLRQKIQGSKVL KVPRFPLAYNGPKQLDLNVIGSTAAIRRDQGFSLTGRLLGPTTASDEAVYSFLINRGG ATALGPAGGARSVRYDAVVQVTKGTAGVTAVRVDLVGPSGRVLSSTALPTALASLSGD SVSVSVPAGLLPSTSASGTPRYSYSFLAATPGGRKTDIAGYSPERTMTIISGAAPGGA PTS OJF2_RS34670 MESGGRNLVLGTAGHIDHGKTALVRALTGVDTDRLPAERQRGIT IDLGFASLELGEDRLAVVDVPGHERFIRNMLAGASGLDLAMLVVAADDSVMPQTREHL EILELLGLSGGLVALTKCDLADASWTGLVEDEVRELVRGTFLEGAPIVRTAATTGLGI DALKAELAALCGSATIRPDPGLFRMAVDRSFTVAGHGTVVTGTVASGEVAVGDELEWQ PEGRVVRVRGLHRHDRPVDRVGRGSRAAINVAGVHHEEVRRGHELAAPGYLRASKVLS VQLSAAEDAARPLRHRGRYRVHLGTAEVSATLALLEGNALAAGSGGLGQLFLAEPVVA VHGQPLVIREESPPATLGGGRVVQPLARRLRRRDEASMDRLRRLRSADPKERAAAALA FLGLNPASDRELCALAGIPIGEVPGVLDELTRSGALVEVPIGPRRTTRVLAEFVADLE DRVLRALSRLHAARPRHSAIPRAHLAAELPDLSSEALIAGVIDRLKARGEVLAEARTV AAKGHSPKLSQGERKLKEELHAAIRAGGISPPEVSDLAATAGSRAAVVPDLLALLRDE QRAVEISPSLFLDFDAAADLRRRVREHLEGGRTMTMADLRDLLSTTRKYAVPIGEYLD RIGLTRREGDVRRLGDAEA OJF2_RS34675 MQRSAILRVFHGLVISTALLAAASALGQTPALKLGDEGPAVETL QRLLNARLKPSPGLEVDGDFGNGTQSALQRFQKEKGLPETGRADPGTWSALGTLGPAE GADPPVPAPEVVNAEKAAKKPADPLDGPPFTTAKAWAVADGRTGRLILGHEAETPLEM ASTTKMMTALLVARLAADDPKALGEAIVFSRRADRTPGSTSGVREGESVKAGELLYGL LLPSGNDAATAFAEHFGGRFAPPEGSPGEADPLARFVAEMNRAAKSLGLGRTHFANPH GLPAKGHHASAADLAVLAAAVVADPTLAGVVSTPRRGAALEGPDSKSRNVVWTNTNRL LDTEGYDGVKTGTTNGAGNCLVASGHRGERRRIVVILGAPSSDGRYADARNLFRWAWK QP OJF2_RS34685 MEARYTAVIQQHDEWWIGWVEEVPGVNSQGATRDELLENLRDAL EEALEMNRLDARAAADGEFEEVSLVR OJF2_RS34690 MKRLDGKVALVTGSSRGIGRAIAVRLAGDGAAVVVNYAGNAEAA DAVVGEVEALGGRAVAVKADVASVAEVARLFDEAIGHFGKLDILVNNAGVILYKPLAD VTEAEFDRIFAVNVKGTFFCCQQAARRLAYGGRIVNFSSSTTALMLPTYSTYVATKGA VEQLTHVLAKEVGSRGITVNVVSPGPTDTELFGQGKTEEDKRRFAQMAALGRLGQPGD LADVVAFLASDEARWISGQNIRANGGLI OJF2_RS34695 MRRPFARLMPVRPRLRQRLLPSLERRLRMERRFKRGIVLATALA VAFVAGLVPWGRYIAESSLMAVVQTGRQAVGMPSTRADIDASWRRFRQLRIEQTRPRV ARFYDEASSAHQRLLRYAGMDPEHAVLRWGNYDWTMLLSSKVFEDDDEGRSFRFRPRT RSVWLRGLPLPSGVPMFFLVPDGPGLDEAIRGTSATVLERSRQVTNSWGLRGPEPDRD APLRGIVLGDSYMQGMFLGEEQTPPECLRRRLEGRLGIRASILNTGVMGYSAEQYYHS LVAFADRFAPDFVVVSVFPNDFGGDIPTVANRGVGDWREGKYWLEKTIAFCKARGWPH LIVPVPYEAHLLARRFSGHYPGSLLNILDDESTSILDPFDAFASAHLAAEVAGLRGGR PVEGSPLYNNPIDDHFSAAGAELWAEVVGERILLLLDRAKADREAKEKARARTQAARP AADPGGGPGRG OJF2_RS34700 MATAAQIAANRRNAQKSTGPRTKAGKARSRLNGLKHGLCVRHPV MPAPYMRVAVEKYRKAALARGLEVPRGLTALLQMAAEVLGVAPAAAGPGGQDATPTPA GAGALSRGTRPSEPAEDTADGPTWVYVATTHGLERP OJF2_RS34705 MAGSLPLRPRPAASRLAPSLVVGLALVGLCLVPSGGPIRAQVSG PGGPAGAMSKMAGRRPTAVDERIKSPRAMQVFQRDANNRATIPIAFEDPDGGATVVSA TVLGGGMGAPGFNNAAAGDRTRFEDGKLVGVPTGGPYTIRLQVKRGNNQEFATVGPVF VGDLWVLAGQSNMEGVGDLVDVTPPHASVMALGMDGKWAQAEEPLHWLVDSPDPVHSG DPATRANRSAEQHRTRAKGAGLGLPFAVAMVEATRVPVGLVATAHGGTSMAQWDPAKK GEGGNSLYGSFLRQVQLAGGKVKGVLWYQGESDANPQAAKVYHKVFADFIAAVRSDLN QPDLPFYFVQIGRFIIPGSPDPKDWNLVQDAQRRLPDRVPNTAVISVVDLELDDLIHV GTQGLKRAGQRLARIALREQFGQVGATTPTFDRVAAGSHNTLLVKFKGVNVQPNAAMN RRGMGGMMGGPAGMGGMGMGGMGMGGMGMGGGSSPGEDAGAGLRPERHVGGFSIRKED GTAIPLIFEAKVGKSNDSVVLKLTGAIPPHSFLWYGYGHDPYCNLVDGLDMAVPVFGP IGLDNLGSEAEAAAAPAASPTPAPAPVAAGAPKPSSAAAPVASATKPAGQPGAASTAG PIKVLIITGDNYHDWKATTEYLKSKVLTPPNFDVDVTATPAKDLTESNLAKYDVLLLN YMNTNKGGPETQWSDANKQAFLDAVRGGKGLVSYHFASAAFTKPNWAEFEKAIAGGWR SQGFHGPKHVFTVKKAGPKHPISEGLPAEFVHAIDELYQNSVITPGSTVLATAYSDPK KPRGTGKDEPVIWVNTYGKGRVYVNALGHDVEAMSDPNFAAWLRRGVVWAATGEVPPG PALGVGGGQQGAAKAERRIARAEYIDKMKGGWIGQMAGVAVGGPTEFRYQGETVPADK VPAWKPSMINQYDQDDLYVEMTFLRTLEQHGLGATARQAGIDFANSRYPLWHANKAGR DLLRKGIAPPDSGHPALNSHADDIDYQIEADFSGLIAPGLPNTAIALGETFGRLMNAG DGLYGGQFIGAMYAEAFFEADPEAIVRAGLKAIPQGSQYAEAIRDVLAWYKESPDNWE ATWRKVDAKYQKNRDYRRFSCSKDEKEPYKFNIDAKLNGAYLVMGILYGKGDPDRTIV VATRCGQDSDCNPSSAAGVVFTTIGYSKLPRKYVEGLDANTKFNSTPYTFSRLIAVCE DLADQAVKAAGGRIERSADGSQMYVIPVEAPRPSPLASCWEPGPKANSTFSAREKGQI VEKP OJF2_RS34710 MPPAGQRDYSKVRLTRHAMERFVERFEAEPGSAEPLLREALART RRLGRNPENGAIAVLALHAGRVLVAVLQDDACLTVLTWNQFEPRLQEFGRPRMPRKWG RMLGRLEKEADEE OJF2_RS34715 MAELELASVVDQLDLIGLISRDQLREARAEADDGSPEALIRVLL RKGWLTSWQLERIKKNDALSFFYGNYRAMFFLAEGTFARVYRGSQNDSGAPVAIKALR NRLANIPEAVARFHKEAEAGMRLRHENIVRILDQGQQENRHFIIMEFVEGMNLRELLR SRTRIGADKALPLMLGLARGLEYSHDQGVTHRDLKATNVLISNSGQAKLVDFGLATIE GDDSKHGVTSQRTVDYSALERSCNSPKGDPRSDIYFLGCVFYHMLTGQVPLEDSESKD PLKKMLKRSFGNIKPIGDHPYAPPEPLAAIVDRMMKVDVKARYQGMREVVAALEEYEA SIDPAAAEAREFARKYEGPAISYDDVFLSPTDLIEMAPEAGAGAGGADGAEPEGGSGS STAQRAIKGSARTVLCVETQAEIQDALRKNLSKLGYRALIVGDPERAAERYREAPTDA VLFDADGIGPQGMDAVADMQDRAEEDGTSLVLLLLLGPRQAALKDKLPEGCRSRVLCK PIKLKQVQEALSELLPVNA OJF2_RS34720 MYQVKAEAGVASATSTATASRGTTPACPEWTDRRATDQASRAAR ARQASGRRRFVDPTTCERDYNDAEMEFMMAMNEYKKASGRMFPTWSEVLEVLRSLGYE KVAPHIPAAEASRRTAASA OJF2_RS34725 MSQSRCTLNVQGLDCPNEVAILRDALRGMPGVRDLGFDLINGLM TVDYEAGVTSPDAVLRRVTEKARMQASLVGAAEAPGEPQASWWARNGRWASTAGAGVA LGVGTAIDWLGPRLGADSGMAARAAVWCYALAVLCGGVWLYPRAVRALGRLRLDIDVL MGLAILGAIGLGQWDEAATVAFLFGLSESLEAMSLERARRAIRGLLEVAPRAAERIGP DGKVEAIDASLIAKGDRLLVRAGDTIPSDARIVKGRSGVDQKAITGESVPVDRGPGDP VYAGTVNGDGALEVEASGPVSDSLIARIVQQVRAAQAGRAPVERRIGRFAAVYTPAVV AVALLVLLGPPLGSWASTGALPAWPLWHEWFYKALVILVIACPCALVIATPVAVVSGL AAAARGGVLIKGGEFLEEVGRLRALAFDKTGTLTLGRPDVVEVVSASGPDEGGRDEVL RIAAALGDRGGHVLGKAIARHARDLRLDVPAADDYRAIPGKGALGTVGSVEYHLGSHR YIDEAGLCHPGFHDELGEAEKSAGTAVAVTASSGPVGWIRLADSPRPEAADVLAQLHG LGLRTIMLTGDNARTAAAMASQLGVGEQRAELLPADKVSAISDLASTHGPTGMVGDGV NDAPALAAAAVSVSLGGISSGAALETADIILMADDLGRLPWLIRLSRSTLANIRQNIV LALATKAVVLALAVAGRANLWMAIAADVGTTLVVVANSLRLLRTRP OJF2_RS34730 MGEGPGCLVRYGLTGHVGRFPVDPSSGLGAARGQAVVVRTDRGL ELGEVLVPSAAGAEAPGDGSHRVLRAAGPDDLAAARRGESLRAERFAACREVLDGAGP GLDLELLDVEPLLDPETTVLHVLGVAAGDLALLRARFRSLMDIDVVFESCGADPLAAP APPPSSSRGGCGDCDCGAGGCGSSRKAEPGPAASCGTATAHAGCASCGLHRR OJF2_RS34735 MSPWHVVPDEWKPRLRAHLRATRGEDRDRLSAQDFRGEQSVHLT FADGSFALFRYAFAILDEAGERCIVFTEHCGYHVFPVGADGVEIMRTVSPQASPDAAP APSRGEDQPPAPTAS OJF2_RS34740 MPKAIRLTQTGGPEVLRWEEVEVGEPGEGQARIRHTAVGVNYID TYHRSGLYPIPLPGGLGSEAAGVVEAVGPGVTAVKPGDRVAYAGGPPGSYAEARLIPA HLLVHVPEGIADDVAAAIMLKGMTSQYLIRRTYPVKAGETVLFHAAAGGVGLIACQWL KAIGATVIGTVGSDAKAEIARAHGCDHVIISTREDIPARVKEITGGAKVPVVYDSVGK DTFLASLDCLKPLGLMVSFGNASGKVEPFDIGILSAKGSLYLTRPTLASYTATRADLE ATAKDVFDAILAGTIKVEIRHRYGLADAAQVHRDLEGRKTVGSIVMTP OJF2_RS34745 MDRSRPRPVVLALTLASLGLGVGAMGQSRAADAAAAERVSTPAE LYPLTSVRLLDGPFTAAVESNRRYLLAVDPDRLLEPFRREAGLKPRKPPYGNWESGGL DGHIAGHYLSALANMIAAGQDTKDGELRRRLDHMVDELDACQQKNGDGYVGGVPGGRA LWKEVASGNVGAVNRKWVPWYNVHKTFAGLRDAYLVAGNAKARDVLLRLGDWVGRVTG GLSDAQMQRMLGQEHGGMNEVLADVCAITGDEKYLKLARRFSHRAVLDPLGRGEDRLT GLHANTQIPKVVGLERIATLAGDTQADAGARFFWETVSGRRSVAFGGNSVSEHFNDPK DFHGLLEHREGPETCNTYNMLRLTEQLFDAGPRAAYADYYERALFNHILASIHPDVPG YVYFTPIRPGHYRVYSQPDKGFWCCVGSGMENPGKYGRFIYARAKDGLYVNLFLASEL DAPELGLKLRQETAFPDEERTRLTLHLERPSTFTLHVRNPGWTAPGAFAVEVNGEPVK VDSAPSSYAAIRREWKDGDRVEVRLPMRTTAEGLPDGSAWYAILRGPIVLASPSGTDH LDGLRAGAGRGDHIAGGPYVPLDATPALLTTAAELPSHVVPDPSAGPLRFRLMDVVEP PTKDGLPLLPFFRLHDARYQMYWPLTTRAELAARKERLAAAEREKLARDAATIDFVAV GEQQPEADHGLAGEGMDSGTFQGRRWRHGRWFQYTLRTRGAKAAELAITYSGWDRGRD FDVLANGRTLATVHLDGSRRGRFFEARYPIPADLLAAAPDGRLTIKLAAPSGLAGGIF DIRLLRPDAPGARPTTGSSD OJF2_RS34750 MTVRTRFAPSPTGYLHIGGVRTALFNWLLARHSGGQFLLRIDDT DAERHVEEATKKILDGFRWIGIDWDEGPEVGGMYGPYFQSQRKDKYKAAVQALIDAGH VYRDYSTEAERAAEKSAAEKAKRAYRFRRLPATDEQLAQYEREGRPYALRFQVPTGRT LVLNDLIKGEVSFSTDEIGDFVIVRPDGSPLYNFATVVDDADMKITHVVRAEEHLSNT FPQLLVFEALGVPLPAFAHIPYVAEPGSKTKMSKRKTEEYEKKGVLVYLHQYIERGYL PDAVLNYLSRLGWSYDASQEIFTRAELIEKFTLDRVNSAPASHDQDKLFWIEGEWMKT LPIEQKVAGVLPFLRAEGLLSDPDGDADRGRIEAVILALGDRLKVFSDILKLGRYFFT KELTYDVDAVKKRLRKEGVPQMLRELDEVLAGVEPYDLATLEKAVHEYAERTGRKMGD VVNPLRVATTGQGVGPGLYDCLFILGREACRARIAGTIAMLEAGGQG OJF2_RS34755 MRIFVTGSIAYDYIMVFPGKFRDHILADKMHVLSVSFLVDSLQR RRGGTAANIAYNLALLGERPVLVATVGEDFGDYRAQLEAAGVDTAGAKVVPAETTASC FVNTDLQDNQIIAFYPGAMAKAATVSPAELGAGKGDMVIIAPNDPAAIARYVEECTAA GVPYLYDPSMQLPRLTKADLEAGCKGAKILAGNDYEFGMMAEKMEIPEADLRKRVPIT VMTRGEAGSLITVDGQEHEIPPAKPEKVEDPTGAGDAFRAGFMAGYRRGFSWPVVGRL AALTAVYAIEHRGPQEHSYTTDEFLARYKANFGGSDEAESLRSAKG OJF2_RS34760 MPVFRLGPEPIFPPPALADPEGILAIGGDLEPGRLLAAYREGIF PWYEAGGPILWWSPDPRAVLFLDELKVSRRLARTIRSGRFETRHDTAFAAVIRACAEA SRPGEDGTWITAEMQRAYIRLHELGHAHSTEAWRDGKLVGGIYGVRVGRCFCGESMFH AETDASKVALVALVGRLKAEGVTMMDCQVASGHMLSLGAREIPRRRFLEELAAGLRAD GSTE OJF2_RS34765 MSRRSRIILVAVVLALAVGGEVAVRYARGARGNVQVVNAGAEPL EGLVVAFGGGKVAVGRLPAGDSARIRLEGDSPGPIELEFAQRGNPLNSLRVEDYDPRE SNGKGVRTVIEIRPGEVSKYMEDDDTLTPAGRIRDRILEWFGIEHGLAP OJF2_RS34770 MPKSTRTFVAIALPPPAASRLRRLQEELAPAFPAARWSPGEAFH LTLAFLGDVLDSDLHAVCRRVAEAAAPLRPFELSLEGVGVFPDPRRPRVIWAGLTAPD LAPLQAAQRAVAEACKAAGYRPDDRFTPHVTLGRIRQDRRRPRPAPADAAPADDPTAP FRGWTGGSFRASSVVTFSSTLDPEGPVYTPLATAPFAGKKIDASP OJF2_RS34775 MAKRQATQEAKPAAQTAETKALNNAISQIEKAFGAGSIMKLGEG SHLEVEGVSTGALSLDLALGGKGLPRGRIVELFGPESSGKTTIALHAVANAQRNGGVA AFIDAEHALDPSWCKRLGVDIESLLVSQPGSAEEALQIAEMLVMSNAVDIVVVDSVAA LVPKAEIEGEIGDSHVGLQARLMSQALRKLTGGVSRSKCVLIFINQIREKIGVMFGSP ETTPGGRALKFYSSCRIDVRRIGPVKDGEEVTGSRVKVKVVKNKVAPPFRVCEFDMMY SHGISREGDLLDLALADKLVEKSGSWFNFGDLRLGQGRENAKQYLRDNPELADQISAQ VIANRQGHVDTFLANGAADDGEPDEEE OJF2_RS34780 MADGDARTTVLVNLLDRMRAGDRAAVDELVRAFQRRLAHLARKM LRRYPGVERWVEDDDVLQASLVRLLRALESVRPASTSAFFGLAAEQMRRELLDLARHF FGPQGIGANHASRAGPDEVRCGADVPDPRDGLDGDLDRWTRFHEEVAKLPAEDRAVVD LLYYHGWKQAEAAELLGVHAKTVRRRWEAVLINLHGILKD OJF2_RS41330 MDHQPGADELLRRWRSLHEEAAPPTIEGLGDGPPGGAPGLRDRF RALASMMSFLGVEPEPAAGEGFVAAPGGAEVPGYELLGEIGRGGMGVVYLARQRSLDR VVALKRILVGANASPAHVQRFRREGLTLARLRHPNVVQVFDVGEHDGLPYIALEYVRG SSLDRRLTGGPLAPATGAALVAALARAVASAHELGVVHRDLKPANVLLDDQAPGVPGL GIPKVTDFGLAKLVDDASGLTQTDAVLGSPSYMAPEQASGKAREAGRAADVYSLGAIL YELLTGRPPFRGPTTFDTIRQVLHAEPVPPSRLAADVPRDAETIALKCLAKDPAARYA TAADLADDLERFLRGEPIAARPVSALGRAARWCSRNRAVAALIGGVAASLLAGSVVSL GFALAAGAQRRAAERAREDEAAQRRVAGRELVELNASSGLAASRRGDEDLALLWFARA AGLAGDLPELEALNRTRVANWSRHVATPVATFAVPGFRYLKDRFRVFAFRPGGHHLLT LTDAGRCDVWDAAAGARVPLPGEPRDVTAAAWSPDGRALALGLEGGEVEVARFPSGER LDRCEFPPAARVLAFGGDGRRLACGGAPGVRIRDVSRRGWAGPPLGLPREVASLSFDP AGARAIAACEDGLARVVSAADGAAIGKPIPHVFRLHSESHGGTELGAPLWVDDGRQVL TLDMDAGTLVRGLLWSDPASGRELRRIPLELPSQNVLAMAAGAGGKVVALGLHQGLRL FDASSGRTLAAFDPGHEFTEDVQFHPSGRYYVSCGHDSAVKSWRMPGPSGTRDAPPRH PARHPGIVVRARFADGGDLFATAQWDGRIVAWRPEVGEPPAHEVPAGGFTLLASSPDG RFVLPASTSFRSATMRTTQVRRAVDGQAAGPPISPGGILTDAAFSPDGLTVATASSAG STTPERAARQFEPDGRGGVVRLWDWRAGTPRGGPIPMPAEPRGLAFRPDGSMLAACCG DGRVVLADPATGRIIRSMDGGARSRPFGPNLWYANGMAEFSPDGRHLATWELPPEVQV WDVADGRLLHRLRHEGRVLAVAFSPDGRTIASGGRDCQVSLWDVETGRPAMPPRRHPR IVPRLRFVEGGRKLVSSCDDGRLRTWDARDGRLLESIPADLFPDDFAWTPDRRSLVAV GVSGVAVLDAGTGTPLAPLMAAERPPLLSVRISPDGATAAAAGLDPSVLFIPLAALRR PAEAPIDALRLRAELASSHRIHESGALVQLTPDEWLERWEQAAREPRAADAAAAGR OJF2_RS34790 MDGRESTNTEARLLRLEEENRRLARDARRLRRAGLAFALGIGLP CASLILMGASYQPGPPDLVGRSLVIKDGNGVDRIFAGFNNEGAGQILFRDEQGKVHVQ LVSTANGEISALNLFDIDGQLKANLFVNRTGQGMTANGQRIP OJF2_RS34795 MDRDGSVAIERISPACLGEVLRLRARAWATEGLLPPGQGPGADR ADPLDGSARHWVIRSAGELAAAARMTFHESMEDLPDEGLRSRPTRALRPPFAAMNRLV VAPEWRGRGFARLLDECRIRDALEAGCTCVLVEAHGPRIRALGELGFELMHRVDSRFR GTDGHIRLVPSALLVLPLGAGRDVGSLVPATEGHRLGAGHPRRPRP OJF2_RS34800 MARVAMTLRRARRAPAFDPLEPRTLLSAFYALTSVASTAGGRFT GFGNLPATSRNGNIAFVGSTGNAFGDSGIYVQESGSTSLVNINPTFSADPSRSFGRQA AINNDGLVTAREQQNTDPSQFRVREWNSNTPDSNTILSRIPTTDPSAIDNQYSGLLTF TDVNDRGDIAFVSQSGDTADRLLQYVGHGNVGTDTYTTIADVPAGTNPAPRPQLDDLG RVLFYSADDHAIELLDPSGGGVKKTVIASAGVGGFQRLGYAPGISANGEVFVFTGDRG KGTGVFAAYFSGGTERIVRIAGEGLDNFVAFDPNQAVQVGGGSMGTDDRGVTVAFVGT NQSMGEGLYTARLSFFGASPDAYNPAAVASTLVSGIEPAARLLDKLPDGKTIDQIQFG FGLDDRDRGRLVFWVHTTDGTQEIMKAEPYQVVFVDFSPRDGSTIGLSAANLATMAEV GVTDLGVTDGMVAALGKLGLSTDFDKLKAGIVAAVQQKYSATGANILIVGGDAPPPSL DYIPEPVLDSRGKPVVAGGAQVLSGAYKTVEVIGGSSIKYSGLATAPAGAGPVDYYNQ GMDDDAFVLVNTIFQSAAFPAGTAISSLDPKVVVEAISDTVAHEAGHTFGLFHLRKPF DDSIMHDGTLSDEYDTPQTFQATAYPVPLYNAALATVKENSANRLLFATDQGGDGPNP ILLKLNDDGTLRAKLALPASGSVAVKDLVVGIVPFGDSDATPTFVDLGGGDLATLLND ADLPVGPDDSVFVIGSTTGTAADIVSVAQGHEGDQDSFASTILGVLAASADAAPVSGS GAALHFYQLSGGKSVDLGLAPVLVTPVNHPPTLATIANQVVTPGTAVTFKAAATDPDS GQAIVYSLDPGAPTGATIDPKTGAFSWTPTMAQAGRVASITVRATDSGSPALSASQVV TLNVQDPIRVLGTTLLAAPSPGPMKIAIDFGKALKPSSAQVASLYKIVGDSGESVPIA SAAYSDNGSQHRVVLTVASGAKVTPDLYHVSINAAGLADSAGSAAASGADQLWADVES TNTLLPIQPQPDGSFAAGAGLPLGYEAPQQVVAGNFTGSGLGDLVVNTSYTNQWDLAP LVLLRNNGDGTYAAPVPITVPGSFTTIKLATTDWNGDGIPDLVVTGYTGNYSNGIATY YEYVLLDDGHGNFSDAPDTPIPLTGLTYGANLLDFLGVADLDGDGHPEIVHLGPATGK DFSVEVIGKDPFLGYGPTMELPLGLNNGGQDLPVDLKFADLNGDGKADIIARDGGYYA DNPGITVFLSTPTGYGPALQLIQPFGAPTGVGAGAFTGAGHEDIALTYDDYRNSEDDA NGNVIQIFQNDGRGNFTGLTPLGLGRRDTVASAFGDLNNDGHPDVVLLLSPAPLDGYK TVTELSTWTFLGDGRGGFTPATAAPIPLGSADQSYSYSLSLADLDHDGHLDAVLGSGR IGEVRYALNDGSGAMRPPAAFPRLGNGPQAQGAPVPPSVAPQAYGDFNGDSLTDVASV GTASNRLIQVYLARPGGGYTLGQSLVPPADYPGDINWLKAGDLNRDGIPDLIGGPSGG VGPGMLVFLGNGDGTFRRAPTPVVSPGGNAVLNATLADVNGDGNLDAVVVLGGSGSGN LLGFAVCFGDGAGNLVYNANTFIPAQDDIFQGLPQPAPTLGDFNGDGKLDLLVPTIEA STGNVVLTAYNGKGNGLFTAGSVVFDHASQETTFLLGDFNGDGALDILSYEAGVGTPS DNVRFYLGDKKGGFQAAPWLGLNVTLTENGLPYHSQQMAVGDFNGDGKLDLAVEYYSD KYPDQVAVYEGDGTGHFAAPLLATTGSQTYALVSVPGAPRLDAGTVAVADRAPAPAGD AATVAAGSSVTIPVLANDADPDGDTLAVTAVGAAAHGTVHVSAASGSPVVIYAPAAGY TGTDQFTYTVTDPAGLAATASVAITVTASGTGGGGGGGGGTGGPVATSLSVPTATGAY GGAVTLAAVLSVGGTPLPGRTVAFTIERFGFPFPVGTATTDGQGVATLGGVSVAGLNA GTFFGAIGAAFAGDSSDLASSGSGELAISRATPTLTWPRPAGISFGMALNAVQLDATA SVLGTFAYSPAAGSILPPGGGEVLTATFTPADLIDYATATITTTIDVASSGLRFTPVI TWPAPAAIVAGTALGPAQLDATASYGGVPVPGFFSYSPGPGAVLGPGAGQALAVHFSP FDAADYNDATGTTTIDVGPPPSSSPPVVITGVHVQTVRLTKRKTATEIVVSFSGTDNL PGAGVLANFHLYAARKVKKAKVYSKPVGLTSATYNRAGETVALLPKGGKLSLKTPLLL QVTAAGIVDAEGRELDGNGDGQAGDDYKALLSRKGVQPMAIPAVASPAIARPGRPIIR IR OJF2_RS34805 MLRYMTSGESHGQALTAIVEGFPAGVTLDTTVIDRELERRQGGY GRGKRQTLETDRVIVDAGIYHGVTTGGPITLRLVNRDAKLERLIQPPAPRGGHVDLAG AINYQTGIRQVLERASARETAMRVAVGGLARLLLTELGIDVFGYVIELGGIAAPPLSL DLAVRDASPVYTLNPEADAQIVAAIDAAQKAGDTVGGVVEAVVTGCPIGLGTHAQWDR KLDARLAAAVMSIQAIKGVEIGLGFEAARRPGSKVMDPIRYDPDHPASDRRFGFRRPS NNAGGIEGGTSNGEPIVVRAGKKPISTLAARGPSINMATKAESPAAYERSDVCAVPAA SVIVEAVVAFEVASAIVDKYVGTSLDAIRTSMAAMHELNREHLAKWADQV OJF2_RS34810 MAVLETRLEGLPVRRGKVRDVYDLGDRLLLVATDRISAFDWVLP TGIPDKGRVLTALSAFWFDFLDVQHHLLSIAVEDLPRSLELDPETRESLRGRIMIARK ARVVPFECVVRGYLSGSGWKEYRSNGAVCGIKLPAGLVESDRIDPIFTPATKAETGHD ENVSFDVMANAVGKEVAETLRSMSLEVYRAAAEHALGRGLILADTKFEWGFDDRTGEL LLVDEVLTPDSSRYWSQETYRPGGPQPSFDKQFVRDWLETTGWDKASPPPELPADVVE GTRARYVEAFERITGRAFPWK OJF2_RS34815 MTCGVSTSGARLRAGLCVLALLCPALAAAEGPADAPLPEVTATQ LAAKLREAMARNDDRGTIRVLFTNTQDINFRGTGEPNWVSYRGRARYEGDGTRWRVEY DAMIPNANVGRTMPRLSPDRWSTGFDGERLYDLQASRNTLQLGATTISAMLWKPRYLI WERSQDLPETLEGVGKQEVSISQRVVDGVRCYAVESKSTTARGYGGEWLLAPKWGYLP ISRKWTHDGKAYSIRTLQGVHEAAPGLWAPERIEEESLNVRGPTPQLNSRRRIQVLEY RPGAAPPAAAFELKIPYGIDVVELANGWSYHNDPWWPDVAPMLRDKYGWPKPNLSWLT FLGSGSEKKLDGEPAPPLRIKEWVTGGPMDLTSLRGKVTLIEFGSVLDSHYAPRYAVA LRELYAAYHAAGLEILSFQGTSREEVAEIRRFAKEFRVPYPIVLDDDRTDPAGDTAKA FAIRGRICAFLIDHEGKVRSVGEPTMNGGHVLETVVAALQKAGARDLKALSLEMPKLP VDAYRDAEALFRAKAKEALGRNPAGKIAGRIVDEKGRPIAGATVQASLQFTFLIFAEP GGYYLASYQRPEGPFAAESDGDGRFEIPGLCKGGYLVKVTAPGRAWAERKVFVGPDLA PAPADFEMKQGDTIAGVVRDRQGKPVAGATVTPDGRQNFVGDEMSSTVHPHIPGVTTG DDGRFRFSNLQEGRYLLKIEAPGFKPLEPDAIPAGTQDATLTLEPGP OJF2_RS34820 MRRALPPLVAFACLSLLPGVALAQSTKIDLRTKAGTDAVKGQWR YHDVKLIEVAGKNPDGSPNTTYNYEPKAKGPEFDDSSWEVLIPETLKNRRGAGQICFC WYRIKVTIPPEAAGKTVYFQTTVDDYGEVWVDGKLPYKPGDSGGPIVAGFNAPNRLEL KGAEPGKTVQIAIFGINGPISVPPGNWIFLGPTFLEFVDKK OJF2_RS34825 MLRKSMRIAVLVLIAGSASSPSRAETKTIARCGEGFLEEVNGYR VLHVKGTPYQMGYQQGALLKDDIRENVRFLFEEKGKEMKVELAGLKLLDPKKVIAGIA ARQKKLIPERFFEEMRGVADGAGMDVQDIVTANFIPELFHCSGFAISGSATKDGTLYH GRILDYGCDWRLQDHAVLTIAEPEGRIPFVNVTYAGFVGSVTGMNALKVSIGEMGGKG LGHWDGVPMAFLMRMVLEEANTLDQAIAVFRDHPRTCEYYFVIADGKTGQGVGMEASW NAFHVVKMGEGNEKLPAAVKDAVLLSAGDRYKELVRRVQAGHGTFDAESARHLMDRPV AMKSNLHSVLFETTTGRMWVANATKEGQPAAEQPYHAFDFPGLLKHRADPSTPALPAP PSSAPPQASPRRAAQAGTPAAAVR OJF2_RS34830 MSMEPNDPSSRPVLVLDFGAQYVQLIARRVRERHAFARIVRHDI TPERVRELNPLALILSGGPRSVYEPGAPHCDPELFRMGIPTLGICYGMQLATEVLGGK VKGSTARGEYGRAECRILDPAEPLFRDVPRESIVWMSHGDQVHDAGSDFVPLAVTSTC PVAAAKHKSLPFYGMQFHPEVSHTAYGSLMLGNFLDRVCGNPKLWTMEAFIERSIEQI SARVGPNERVVCGLSGGVDSAVCAALLAKALGPRVVCVFVDTGLLRAGERVAVAEEFG SRTSAELRVVDAADRFLAALEGVTEPQEKRRRIGHTFIDVFKDEAKSISGAHFLAQGT LYPDVIESGGEPDGPTATIKIHHNVGGLPEELGFELIEPLRDLFKDEVRRLGLELGLP DSLVWRHPFPGPGLAVRCLGEVTAPRLEVLRQADAIFLDELKIAGLDRQVAQAFAVLL PIQSVGVMGDARTYEDVIAIRSVDTEDFMTADWSRLPHDLLARTSTRIINSVKGVNRV VYDVTSKPPGTIEWE OJF2_RS40225 MNRGWFVGLLPLLLAAAPQQPQPDAPKPPAGVPASTNIRGQQYP RVHDDLRVTFRIKAPDAQKVVFGFFDAQRYPATKGEDEFWTATTEPQVPGFHYYRVFI DGAEVNDPSSETFYGTGKQTSGIEIPEKGVDYYLPKDVPHGEVRERWYHSSTTQDWRR IFVYTPPGYDADRETRYPVLYLQHGGGEDERGWPIQGHMSFILDNLLAERKAKPMIVV MEQGYARRPGDPPMPMGPPRPAPPAPAPNAAPGQGAAPAPAPAPNAGPPRFDFSRMFG AFEDVMVKDLIPMIDATYRTIPDRDHRAMAGLSMGGMQTFMIGMKHLDLFSSLGGFSG GGGGFGGGGFDPKTAHGGVMADADAFNKKMHVLWLGIGTTEPANMYRSVNEYHKALEK AGIKHVYYESPGTSHEWLTWRRCLHEFAPLLFASTPTPPSEPTGRRNGRPDFGRITLN PDDVAAFPEPPSGITDERSDVPHGKLEMISYESKSVGATRKMNVYTPPGYTTEKKYPV LYLLHGIGGDETEWNRFARPAHLFDNLIAEGKAVPMIVVMPNGRAQKNDRPEGNIYAS APAFAAFEQDLLKDVIPTIESRYSVQADREHRALAGLSMGGGQSLNFGLGHLDTFGWV GGFSSAPNTKPAKELLPDPSAAKEKLKLLWVACGNKDGLIRISQGVHAYLKENGVPHV WHVDGHAHDPTEWRNNLYWFAQKLFR OJF2_RS34840 MGRPRPRRLLRASFAIFSLAAIAAGGPAALGQPGGKPADPKAAP AAPAASPSAGETLRHAARGRFLVGAAVSSWGLNDPKVAGLVASQFDSLTAENEFKPAS LSRQPGQYRFEAADRFVEFARAHDMKLVGHNLCWHSQAPAWLFRGQDNKPLPRDQALK NLKDHIDAVAGHFKGKVIGWDVVNEAISDAKDEYLRKTPALRAIGDDYIAKAFEFAHA ADPGAELYYNDYSNENPVKREKTVRLVRELKAKGVRIDAVGMQCHFVLSDADAIDKLD QSIAAYAAEGVKVAITELDVDVLPRRGRVADIGALEKGGDNPYKEGLPPAVAEAQARF YARLFEVVLKRPGVVNRVTFWGVHDGASWLNGFPVRGRTNHPLLWDRQLKPKPAFGAV LDVLARP OJF2_RS34845 MRSRPTLSDVARECGVTPSTVSRVLNRKASFSTSAAVRRKIEDA AERLGYVPDLAARSLNQRTTRIIAMFASPSTHLAEGIYEPLIEGSLEVLHASDYDVFF DLSAGRRNRVPFWRFDGALLQQSPRPETVEELDLRRVPYVCVNEAVGRPVAQVLADDR MGMRRAVEHLAQLGHRRLAYANARAAYLAHYSIAERHETLLAVAAELGLEVVPGHGPP FADGAGFLRGAVIGGRATAVIAYDHHIAVTLYGVAEGLGLRIPRDFSLIGFNDVFPAP LLPTPLTAVSVPAREMGRCSARQLLNSLAAAEPAATREIRLAEDLVVRRSTAPPPPD OJF2_RS34850 MKTFFLAILAALAPASLAAQDNGDGTYTNPPLHADYPDPDIIRV GDDFYFASTTFANSPGLVLLHSKDLVNWETVGHVMDRLDGDPKYDMKGGNSYRNGVFA PSLRYHKGTFHVAVTPNGKPTRIYHASDIRGPWKCDVLKESAFDPGLLFDDDGTPYLF TCGGWDGHVTLKTLSPALDRVVASRQVFYVRGIEGSKAFKIDGWYYLFNSLPGRLALM CSRARKLDGPWETIKVLDDRAGGHQGAIVDLPGGGWYGFVMRDSGPIGRVTNICPITW KDGWPLWGEPEKPGRVPPRAKKPIAGQPEIARPISTGFDGPKLPLDWSWNHNPDDSRW SLSERPGFLRLRPTAAPDLWHARNSLTHKGWGPSSCAVATLDVAHLRPGDVAGLGMIG KGLVTLAVQRSADGPAKLVLSTGVEHGAEVAPKAEAEIGKADVVHLALRMDFTAAKGR CGYSLDGKAFTAIGGEFPLLWDWRTGTFQGEQYAVFCYNPKPGDGYLDVDGIRFESPE LKEPAARQAARPASEPDSAKSVAFESFSYSGTDPMSAKVGSDSYLNPILAGFYPDPSV CRVGDDFYLVNSSFLYFPGLPVFHSRDLVHWEQVGNAIQRPSQAPALRVGNVSGGMFA PTIRHHGGRFHVICTQVGPQGGNFVVSAERPEGPWSEPTWLRGVPGIDPSLFFDEGHA YVVFNAEAPDKKPLYEGHRALWLQQVDLDGGKLIGPRKLLVNGGTDLSKKPIWIEGPH LLRKDGWYILIAAEGGTGPAHSEVVFRSRDLAGPYVPYEKNPILTQRDLPRGRPDPIT CTGHADLVELPNGDWWAVFLGCRPDAAGHGLLGRETFLLPVKWADGWPAILEHGAEVP RVVKRPALAESTPPGWRPMTGDFTATDDFDGKAPGLGWMGLRTPTSSWWSLDAKSGEF RLMPRKARLTTRAEDPSFLCRRLQHASYTARTAIRLDAGTPDGDAGLVVFQDEGHHLL AGVRVRGGQAKEAFVERVAARGRFSRQAPEPDVLSAAPLPGGCRRLEIEARGEGTSLT FRYRADGGDWSPLGDRVDATFLDGQAAGGFTGVCLGVHARTPADGPAR OJF2_RS34855 MPSNEPAQGAGPAAPPPPPLRAAPPLAPLAAEDGPERGQYEFSY ADNATIHDLGSKMSFVGFFMLGIGLFFFGTGIVRWVQDRNLEVGMLFLSLLFMVVGIW THRGGREFLDVARTHGNDISHLMVALANLRRFYTLLYLLFFVALIFAVIQLGAHSLYG TKEQHVGAIRPARAPRRLPIESGKAPEAPAQPQGSTSKGEPSSTR OJF2_RS34860 MKLGLISDIHGDFAALELAWAHLITMGVDRIACAGDVVGYGPFP DRVAAFLRERGIPSVRGNHDRWALERGPGAPCRFGGGTPSDETLGYLAGLPGDLAFEL GGKVIVVVHGSPRSDMEFVGRDTHPPGVLDGYLEDIRCDVLVVGHTHRPMRYRGPSGG LVVNPGAVISAPVVETSRSFAVLDLEAGKVTHHRVEDGSPFDVEPWG OJF2_RS34865 MTRSSEDTRPASVGRRWGFIGAGKMATALVQGMLRSGAAERPEI AASDPLEAARTSLGVETGVAVFPENPPVVEVSDILVLAVKPQSMRKVLAELRPLVGPE HLVISIAAGVPISTLVAGLGPDRRVVRVMPNTPALLGEGASAYALGPGVAAADQDLVE RFLTSVGRVVRVPEALLDAVTGLSGSGPAFVYLMIEALSDGGVRVGLPRDIATTLAAQ TVLGAARMVLETGLHPGVLKDQVTSPGGTTIAGVHALEARGVRGALIDAVEAATARSA ELSAAATPAEPPAARPRP OJF2_RS34870 MTMKRSSFLIGLGLAGLLAAMAPARSRAVQGPANQAPTAEARTR TQATADERAADREAIQGLVASFVKAFDAGDARAIGAMFTPGARLTTMDGQVVEGRESI ERRFAASFEESPGQKIEIKTESLRFLDADAAIEEGTAAVSSPDGSGEPAAGTTRYTVA YVKRDGRWLQDSLHDQPIPRVAAEPTAADRLKELEWLVGEWIDESDDAEVRTTCTWAE NRSFLIRAFRVKVAGKEVMTGTQRIGWDPRDKQFRSWVFDSAGGFSEGRWSREGDRWL IKSTGTLKDGRTASATNIVTRVGKNTMKWTSTDRTLGEEIMPDEEEVTLVRIPPLPRG ARPVATEGK OJF2_RS39650 MKRHIILAAVLAVAVPASGALAQRGGRGGGGARGGGGGGGGARP GGGARPGGGYGGGGAAARPSFNRTPTYNPMPSRPNYGGAAPGAGQVNRPNVGGPGAGA GGPGRPNPGARPPIGDANRPNAGARPPIGEANRPNVNRPGGGNNVNVNRPGGGNNVNV NRPGGGNNVNVNRPGGGNNVNVNRPGGGNNVNVNRPGGGNNVNVNRPGGNVNNINNIN VHRPAWVNGNPNWAYRPGWGRHNSWVNGYWHGRNSNWMGNWGGGFMTGLAVGGIGAWG IGSSLWGWGLQPYANPFVVQQPVVVQQPVMIQDPAAAQQVAGLVSQPPIDYNQPLNNT SPEPAPETADPAMQKFDDARAAFKSGNYVEALRLTDETLKALPSDAAINEFRALCLFA LGQYDPAAATLYAVLSAGPGWDWTTLIGLYPDIDTYTNQLRALEDFTRANPQSASARF VLGYHYLTQGHTDAAVATFQEVARLQPNDQLATQLVKLLSGDGSGAAAAPSPPPSSAS AAPGAGQQAGPQAESAPSAPVPAEKLLGAWKGTPAAGTTIELTLKPDKTFLWNIVAQG KTQPITGTFSLEDNVLTLSQSEDNAMVGKVALQDDNHFLFQAMGGGPNDPGLVFSK OJF2_RS34885 MRASFAIALVASLALASTPGQVLAQRGGRGGGGFRGGGGFRGGG MRMGGYGGGMRMGGYGGGMPARMPSFNRTPSYSVPAARPNFPQARPGGNFGNVGGRPG GYPGNVAGRPGNIAGRPGGYAGNLAGRPGYAGNLAGRPGYGGVAGRGGWPNGRPAWSY RPGWGYHQGWINGYWHGANNLWWNNWGAGLGTGLFLGGIGAWGIGSSLWNWGYMPYSN PYYGGGGAVVDQPAAVAPAYDYSQPLATDTPDPDQSVADPAVQTFDEGRAQFLSGDYP GALAKTDEALKALPNDAALHEFRALCLFALKRYDEAAATLYAVLSAGPGWDWTTMVRL YPDVETYTAQLRALEAYVTANPGSAPARFVLAYHYLTQGFTDAAVAEFREVVKIQPAD TLSTQLVAQLTKPADGSQGGDAAASTTPPAQAPDGPPASPAKGGDLVGTWKASPRPET AIELTLGGDGQFRWTVTDRGKARPIAGTYAYGNGILTLSQGDAGALVGRVTWRDDAHF LFQAMGGGAGDPGLAFSK OJF2_RS41335 MLWSQTFAGLVAGPLSIREAEDVFHELCAAIDGMDDAGAIEQTL LGAAGWITRGGEARWVDEEGGRGAAVDGGPAGARRASCWEFPARRGDGRRRLLVVPGP DTPPSAYQRLRTLCVVADAALARSRAGCACRFTGPDAEGAGAGLGPIGLTPDCGESWQ EEPASECPLQVQDGTFLKAVLPFAVSQARRHQEPLCLVCLAIDRLAGLRELLGEGTVE RLAGRVGAKIVGIVRASDVVCRMEDNRFLIILPRACLPSGLAVARKICRAVEDAPELF PELGGLTVSAGVAEFPASAPSVYSLIDEADAAMERAQARGRNTAVAADGAYCPGALAC OJF2_RS34895 MRTTQVAAASLLGLAAAVIGQAGCSSMSALHAPGLSRILSPRPV AENPLAVPINDFEAVWKKAVEVTDRYFDIDQEDRLAHTIVTQPKIGATLLEPWSSDSV SFTDRLESTLQTIRRFAIIKVEPAQAGGYLVRVEVRKQLEDMVKPDRAAAGRAVFNND FPVNRTHEIVGPVPVPLGWIDRGRDANLEQAILAGIRDALFL OJF2_RS34900 MSYASTVPARGTVAAILAVAASLAAGSQASAQQYYYTTGGTQAP AYYTQPSYVYSTSPTYTPQYYYYYPQQPAYTPQYTAAQPTYQVAEPAQTLNGAQVIPT AYAVPTAEAQPAQVAQEAPAQPSYGDPYGFLPWLNATRASYGLAPVGLDQNLSNWAAQ NNNAQLSHGLGHFVMGPARRQNSAAGGVFPGAMWMASPAHRAALLDPTITAIGIAAAG AYWTFNAY OJF2_RS34905 MLNAIIEGALNNRLLVLMATALVAGLGVYSAASLPIDAVPDLTN VQVQVITEAPALSPLEVESLLSFPVEGAMSGLPGVEQIRSISKFGISVVTVIFEEGTD IYRARQLVGERLPRAAEAIPPGYGTPMLGPIATALGEVFQFQVKATPESGMSLMDLRT LLDWYIAFQLRKVPGVTEINAHGGEMKTFQVEVDPDRLGNYRLTMTDVFQALKDNNAN VGGGYLVHEGEARYIRGVSQARTAEDIAAIVIDEREGVPVTIGSVARVLPAPMIRAGL ATRDGGGEIVSGLVMMLIGGNGRRVVADVKREIAELQKSLPPGVTIEPLYDRSHLIAQ TLGTVEHNLVAGGALVIVVLLLMLGNIRGGLIVALAIPLSMLFAANVMLATGLSASLM SLGAIDFGLIVDSSVIMVENCVRRLAHEGGTRPKLDIVRDAAIEVRKPTMFGELIIAI VYLPILALQGTEGKLFRPMALTVIFALAGSMVLSLSFMPVMASLGLSDRAREKELWLI RALKRAYVPLLDRFVRHPFLAVGLAAALVLVSLPVAWNMGAEFMPTLNEGDLLIEAVR IPSASLEGAVAASSQIEGLLKTIPEVHLVYCKTGRPEIANDVMGVHQTDVWTLLRPQE EWRPGLTREALIEEMNALLTENVPGVKFGFSQPIEMRVNELVAGVKSDVAVLISGPDL DVLGGLAADVERVLSRIPGTRDIKVPSAGRLPMLRINVRRDQLARYGVKASDVLDAVS ALGGTTVGTLFEGQRRYPIRVRLPEAWRNDAERIGSIRVVDGRGRPVALKDLAELSFE EGPSEVERENVQRRVYVGVNVRGRDIASYVAEAQAAIDAQVRRPPGYLFRWGGQFEHL ETATRRLAVVVPVAMLLIFLLLYSTFHSMRLAFLIYLAVPMAATGGVFALVLRDLPFS ISAAVGFIALFGVAVLNGLVWVSAVEHLRAEGVEPHEAAREAAIVRLRPILMTAMVAG LGFIPMAASTTPGAEIQRPLATVVIGGLFTSTMLTCLVLPAIYPWFAPATKEGTPLPA SH OJF2_RS34910 MVSAVLERPAWRWGGARELLPWIGMGACLALLAGHLAFDFVNGR RRAEASEGLTKAAQAAPADAKAEASPTTVALTAAKLKAANIGTAAARYDEVPRELGVS GRIEVNADRRIDIRPRAAGVVREVYATLGQGVKKGQPLVMIDSPEVGTARLNLRARQR ELSTARIENRWKTDVASAVELLIPEIRKGTDPSVIQKDFADRPLGMYRGTLLQAYADF DIAAHEEEKTAGLRSEQVIGEHPAVVARHTREGLQAKLYAAIEQVKFDAAQEKRLSDQ RERLAESEVVDAAQRLRILGVSEDVRALLDHPERADALAIDEDVTAYKLVAPFDGTVI AKAAVASQKAELNEVLYAVADLSTVWVTANVPESDVASLPAVEGGAIRLSSAAYGGRT FQAKLLSVGAIVDPQTRTVALLAGADNREGLLRPGMFVRIELDSPTRERALTVPRAAL VEIDGKPGVFVPSEGPAAGTAAPGGDPGAAFAFRPVVPGRELGDRVILSGGIKEGDLV VSAGAYQLKSELLLGRDTGDED OJF2_RS34915 MSVRRPARVCLGRLIGIALLIPGLFHVPLPQADFHNVRHHDGDG ELCPNHEHLLRWHPSAGAADDVAMLHWHWLPPNRQGGSPPETDGLSNDLGHRGVPAGP ALHAYLPLDATAPDWGRTVAIDPDPRRGANPPAPGLDGMGAAPHLIAECPQLRIDPGR LAAAGCAPRAPAAPDRGCCQRLNC OJF2_RS34920 MDANPARRNPARDLGALVISLALCFAVAGLGGYWTSLGLGPWYD GLRKPPWTPPGGVIGSVWTVLYTLMAVAAWLVWRRGGLAGARLPLSLHGAQLALNLAW PALFFAMRRPDLAFAEILLLWAAILATLVAFVRVSRPAASLLVPYLAWVSFAATLNYA LWRLNP OJF2_RS34925 MKILFLHGWHSVPGGVKPSYLARHGLEVINPALDDDDFDRAVRT AQAEHDRHRPDVVVGSSRGGAVAMNIDAGSTPLVLLCPAWRRWGTAAAVKPGTVILHS EADDVIPIADSRELVRSSGLPDSALVVVGTDHRLADPEPLAAMRDACLGAARRRDAGM RP OJF2_RS34930 MTTDDTPAERSAGRRLPRWRLTLSVRTGLVLVLLVAASLAPMAN RASRQRRAKAIINEAGGHVTLWETTWLGRYFAGDLAGWIGEDYLKAVKAVDLRGTPRV DGRLVRAMEGLPSLEMAYLDGATLDGEAIQRLGALTSLRVLRMANCNLDLPGLSPLSR LKALQSLNLSNTDVDDEDLTALEGLSQLQLLNLGDTSVQDGGLKHLAGLENLRTLNLR GSRVTDAGMAAIGELRGLTDLDLGRTGIGDEGLRHLASLTGLDSLMLNGTRVTDDGLR AIESLTTITELDISETAVDDAGLTHLSRLAGLQTLVLSRTRISSAGLAALTPLASLHH LELEGTRIGDEGLAHLGRIAGSPPPLRILTLGGTDVGDEGLVHLVRFTGLSSLYLART RVGDAGLAHIRGLVGLSDLNLSGTSVGDEGLIGLASLTGLKAIGSAGSRVTPRGLMRL KQLRPDITLAASQGEEAPDGP OJF2_RS34935 MGPCRNRRDFLRVAGASLLTTLAPSSRAVRAAQAGPAGAAPSLP VSIARCTGYDREALYRQLQAMMDQLGGISQLVSGKTVAVKVNLTGSMKEDALGLPAWR TYHVHPDLVVATAALLDRAGAKRIRFLECTYQGDPFETYLKAGGWDLNALGALKAKVE YEDTRNLGRGAKYHEVKVPWGGSLFPAYHLNHSYVDCDVYVSLAKLKNHGVAGVTLGI KNNFGITPPALYSHHEPNEQAMSARVAVFHSGEQRPADGLPQELDPASPRRPTYRVPR HTVDALGIRPIDLTIIDGIETVSGGEGPWLPSLKVQRPGLLLAGRNPVCTDAVATAVM GYDPTAAPGSGVFPGDNHLAMAAALGLGTNDPANIEARGLSIKEALHPFGWLPAERNG OJF2_RS41340 MHQPEYLLLAVSAWRYSAAYLLCGGGVFGAIVVFLGAKLLRK OJF2_RS34940 MPTIQDLLTAAKAKTITVSECVDVLTASEGVRANSVWQVEGHPL QHSYQDPAGAVRRLEDTYHFRGPLPIEKELKGGPNIGNFKAAIKIAPDGSFTPGGNTF HSQFRDDLQAGMCLKLILESGGGIWALDVLKNNPRVSVTVVLGMPGGTEFFEREAQLV GVGAPSSNVVPKGDMSSARNFVWFQRRDMGSVTATLRSKDSPLGHLHAQTLYPSIDPM AAGSSTAEVTAKSPTDYSGPLSVTFS OJF2_RS34945 MEDPAPVTATPLPAEVAIGLTVNGVRRQLHVAPWTTLLDALREH LGLTGTKKGCDHGQCGACTVLVDGRRINACLTLAVMRDGAEVTTIEGLADGDALHALQ EAFIEHDAFQCGYCTPGQICSAAGLIREGHAKTRDEIRELMSGNICRCGAYPNIVAAI EQAMGGAA OJF2_RS34950 MNPFTYGRAEDAAAAVREVSSAAGARFIAGGTNLLDLMKEHVER PARLIDINRLPLREIRRTADGGLEIGALVTNADVAYDAEVERSYPLLSRAILAGASAQ LRNMATTGGNLLQRTRCSYFYDAATPCNKREPGSGCPAIGGYNRYHAILGHSESCIAT HPSDMCVALAALGAVVRVTGTGGERSIPFAEFHRLPGDRPELDTTLAPCELITAVILP AAGFPEHHAYLKVRDRTSYAFALISVAAALEVDGGKVAEARIALGGVAHKPWRDLDAE AILRGKEPTRGNFAEAAGAILRDARGFEHNGFKIELARRTVVRALAEAAGEALQ OJF2_RS34955 MSTAHIGKPIRRIDGRAKVTGEAKYAAEYATPDLAHGWVVSSPI ARGKITAIDASEALKLPGVIQVFSHENRPKMAWFDSSYRDQIAPPGSPFRPLYDAEVH FNAQPVALVVASSPELARYASTLVRIEYKARTHATELAANLESARTPKEREGIKPPPK ARGHADRALAAAPVKVDVEYEVPVEHHNPMETFATTVVRDEDGSFTVYDKTQGVQNVR DYLCNALGFKADEIRVITAFVGGAFGSGLRPQYQVFLAAMAAKELQRSVRVVLTRQQM FSLGHRPTTRQRVALGASADGALRAVIHEATAETSRHEDYSEEVVNWSGLLYRCDDAR FEHKVVPLDLPTPCDMRAPGAVWGVFALESAMDELAVALGTDPVELRLRNYAEEDQND GKPFTSKELRECYRRAAGRFGWARRDPTPRSMRRGSTLIGWGMAGGAWESMQQEAAAS ARLTVDGRLTVSSATEDIGTGTYTVMTQIAAELLGLPLEDVTFKLGDSALPQAPVEGG SFTVASVGSAIKAACGAVGETLLKLARKVEGAPLGKPTPDEVEFAEGRIRLKADPSRS VAIAEAMRAGGVDVIAQEAAAGPKQDKYSRHAHSAIFAEVEVDEDFGTVRVTRVVTAI AGGRIINPRTARSQVMGGIVWGIGSALHEASVLDHRFGRFMTHNLADYHVPVQADVEE IEVIFVDERDELVNPLGAKGLGEIGVVGVAAAVANAVHHATGRRVRSLPITLDKLL OJF2_RS34960 MAQASDKAVVEQPRPITHVGVTVTDIDAAIDWYRRVLGFQLLHG PVDYTAGEGYFGRLVADMLGPKVVRGRIAMLDAGNSVGLELFEFSEPKPDRRVPEENQ EFYLHKTGTFHFCVVDPDIEGLARRIVEAGGRQRSAVWEFAPGVGFYVCYCEDPFGNI VEIYSHGTAHIWSTLAQMSK OJF2_RS34965 MRITAAVMEKADAVLARRKIELEDVELEGPREDEVLIRVTSCGV CGTDKGVIHGLEPFPTPGVLGHEGAGGVEEVGSRVTMVKPGDRVMIGVPYCGRCRTCR RGEPRYCQDDMGLTFSGYRLDGSSPMRRPGWEKLAGRFFQQSSWATYTLALDRQLAVV PEGLDVDLTGPYGCSISTRAGTVLNELKPYPGSSIAIFGTGGVGLAAIMAARMTGATR IIAVDEVPERLALAKELGATHAIEHGAGTVAELKEITKDQLDFAIEAAAGATPPVIPG PGSQRPGGREANRPTDFATRRVLLRPARAGG OJF2_RS34970 MGRDEQLSKERDEPVSRQETAREAGEGECGTAKAAPPSAAPAEE RAGAERLKPSGGDPPEQQGD OJF2_RS39655 MHVQLRPLSEQVIVITGASSGIGLVTARMACFADVAFADGQVRL AREP OJF2_RS34975 MVQDEDAAYACCSIGIGRWYWAAWGSEEDARALARPFASGYEKN SDAAEKKAIEAAGAGAKRLPAKWASAYKRGGPASDGEGAEKREKPRSRLSRPAGTAAR PAAPDRPRFLYAASESDEAGSRGEVVIVKHRIVRQTAGKIYVDREPFREEEWRGREGA DPSAEAPKPKTLAVDRETLRREGRFPHRGAAFYSSEEAGIRDVHAALTSRHAWCAALG VKFPCSAASIKAAYRRLARETHPDAGGDPVQFQAVERAYREALAYFSSPDDPAGLGA OJF2_RS34980 MDVKIPAANHRISELDGLRAIAASLVVMHHFIMNAVEGRLQSMG QEGVGRMVAGFTASGVELFFVLSGAVLLRPYFRQGKPVRVVRYAERRVVRLWPPYFGA WLLAGLAVWLATEYPTWWTRASALPTFAARDWLQQLPIVYLGNNLYNFAWWTLTVECV FYLIAPFLGMIGQRVSFGAVLIVVAMSAAAIVAEYAARVSWSVPVLGAFLQYLACFAW GVILARVDFSRYTLLAICLMGAGLVAASGARLVENGLTGYGFLYAGLVGLAQTGRITS LASPPMVWLGERSYSLFLTHFSVFGLCSHLTSLFTSGKDAGYFLISRLMGLPAAFLVA ILLFTFVECRFAHNLETKDQFWPWQAVTP OJF2_RS34985 MSYLGVPRLHFAGTFLARPSTINNDIANYDPTTSPLDPGWNPDG DARWDLLGCQVTAAYYADGTSAASASADPIVGTPLISISSPPAKIVDLDPDQQLVSEI WGLRVRLGGPASGSLDARYRVAAFCDLWPRAQQSTGDQPLGASYQSALEDIEWPQAPA SRFLADLHRASPDLLSIKFNLDGINLDQGPTLGHGRVVGTVGPASADEPKHFVLGRLL RPSRQQGKNQRSAFTFAQARVCTDRKKVIVDLGNSLPTTTPGGPIDASLIGEVELAVV DQGGRATTLGPVQYQADDWYTSTAGVQEFPDGRTLSDAQLAALEGGRLALLPAGSGGV GPASRPPLIENASSSVLRADRYVYRLNPGDEATVELYLTKAGKPLAGETIALAYAPQR LPAEETVGTPESALAFPTPTIPEKTDGNGRVRFTLKAADPGNPRQFIDGQVYGVAYSW PQEQPGQAQADPSLFVSALVWDAFTFTPPATWWGTVQPILSQYARLYPFMMQFVDLGD YGSVVANRSRIEQVMKLDPEHPGYMPVTRDLSRAKRDMILGWFAAGAPEGTPPS OJF2_RS34990 MTGDATARALNLPVLVGSVREGRRSIHVARHVGDALARREGIEA GLIDLSEFDLPILIERPQQADRPPPGYEPFQSRLRAADGLVIVSPEYKGGIPGVLKNA LDHLEPGVFRRRPIGIVTVSAGGLGGVGCLAQLRQVCLSMGGLPIPVALPVSEVEALF DERGDAVDDRLARRLGPFLDELIWYVGATARQRRLDAASGGA OJF2_RS34995 MRIRARVPDAFAIWALAFGYFAFYVPYSGLSKALSQGFLPGMNG PVSGFTFLPASAVATTLVLLAMAASVGRRGRDGRGPLGPASPSMRWGTLAAGLATAVI IATTTINYTFTGISILFALLLMRGGVLILAPVVDRLWGRRVLPSSWAALGLSLAAIAV ATSDVNSYRMTPLAALNIAAYLGGYVVRLNVMTNLAKHHDPDVNRRYFVEETAVAAVA LTAMPALAALLLPGPIAGELRAGFTVFLATPAVVPAVLIGLLYGCLYLFGTWIYLDAR ENTFCIPLNRCSSLLAGVVASYGLCSLLGRRPPSTSELIGVVLIVAALEVLMGATLLA RRRAVMAAPRRIVLFVCGGNTSRSPMAQAICNDELARRLDLASRGGAFRRVEAVSAGL TTTPGRSFTPASRAALQRLGLVPHDHVTREVTRDLVAQAEAVFCMTEDQRLALVGRFP EATAKIRRLDAGGDIPDPSGQDEETHHRLAVQLRDLIRAHLPAFAG OJF2_RS35000 MGGDLAARARGAAWLLVRADLCGDVPAAWLRERFPGRLIYALRT RASGGDADPSDPGRGGRLAEAAGAFDLVELEPQDLRPETLAAVPPPRRLVCWRGPGEG LRGLQDRLRWLTGAEAALYRLVVDAADVRDGLPPIELLHRARRSDLVAYADGEAGLWS RVASAALDAPMVFGTVEGDAAAEPGGPAVGRLVEDFGLPRVGPVRQLFGFAGDPAARS LSPRLHNAAYRASGLPALFLPFLVGEFDAFWSAIVASGALERLGLPLRGLTVAAPNKA RSKAVAAVISPAARRSDSANLLAFRHGHWVADTTDPCGVLEPLARRGFAVAGRRAAVI GCGGSGRAIAAALSKAGARVVLANRGRARGEAASRLLGLPLVALKELSAAAVDILINA TPVGRGGEPPPVDVGGLRPGCAVVDLVYAGDPTPLAERAGAAGALVIEGLEVLAVQAA RQFTKMTGRPMPPGLAEGMLGLEHAPERNSRGVDRRHPILTPGA OJF2_RS35005 MPNLPFMKLQDRVRGVDGRVTTGDLEGGWVNTDPAGAGIARAVV EPGAGGLVVRIFGFGDGGAAHRGEAAVDAVYAAGPEGHAGVAFTARFDLPNEEIDLHA NLSKGLLILAAMTRYRDRSSGGDHFRREFFRRADA OJF2_RS35010 MKDAAASGIAERGDAAAPRAVPSLFLGRWLNTNPGTAGMAEVTF REEGGSVVLGVLGVGDPAPIDWGPTRVSLLSDGADLAEPTKMQAAYDFGFMDVLLHAW VKQGVLVIAVFNRFRDGSGRSNYFDREFFYRAEAGGDG OJF2_RS35015 MGEGLRFHWSMSSAGDPYRGALPRASQSGVPDLARLAEFCRTAE ACGIESVLTAFGFHRPDPIVLATALAARTDRVSFMVAVRSGVCSPTSFVQQVNTVAAV TGGRICLNVVVGHTPEEQRGYGDFLSHDERYARTDEFLTICRALWESREPVTYEGSYY RVENARLNTPFAPGGRGGPEIYLGGNSPQAAALAARHASCLIRLPDTPARMRPEVEAL REGGTEVALLVSLLVRPTAEEAIEAAGEMVGSLGSRPKQTHRAFRQRSDSVAFTSMLG RAEEADSPWLTPTLWTGAVPYLGAPAVALVGSPEDVASAILEYRSIGVTQFLFMGWPD VEEMTRFARDVAPIVREREGSPRALAV OJF2_RS35020 MALRFHWRMLQGGEGAGLPRGTQNRTPSIGMPELDGQAEFCRRA VACGMDSLLLDFGYAKPDPILLAAALGMKAGGIGFIVAYRSGLMSPVTFVQQLNTLSA LIGGRFSLNIVAGHSPDEQRSYGDHLDHDRRYARTDEFLAVCHAFWEGRRDIDFAGEY YRIEKGNLNSAFQSERRTSPEIYIAGSSEAARRLAVARGTCWMQIGDTVERIARAAEA VRGEGIDVGLRLSVIARPTREEAVAAARALVDGLGPGGAEKDVEGAFIRRSDSQSMRD MYRRAGEEWLSPTLWAGAVRSHGPASIALVGSPRDIAGAILEYERAGVTQFILSGWPK VESMEYFGREILPIIRHAERAAGGQAPREPGCEGVPAPHAGRRPADVAGERINL OJF2_RS35025 MIDKLLAPPPELDYGIRVDKYHQIGEEYSRHCRELAGLAPDGRV LDVGCGFAPLAAGLTSYLSPEGSYVGIDAVPNGVEWASRTISPHYPNFRFAWIDAYNQ TYRPNGRLDPRSYRFPFEDDEFDLVYMRSVFTHMLPDDVENYLSEVRRVMKPGGRSLI TYFLLNSESTRLMGGEGSFINFPHDYGVYSKHYPGPEGSIAYREGHVRELYRQVGLTI VGPIHFGYWCGRESGRSSQDIIIATKQEKG OJF2_RS35030 MGRRLSAADVARFEEDGVLFPVAALDPGPLAAFRSGFESVMEAL GDDRRPERFGQWHLCFRWAYDLVTHPPILDAVEDLLGPDILVHSASAFAKRPGSPEFV SWHQDGYNWELDVPRLASAWVALTDSTPENGCLRVVPGSHRRSRLDHLARHHEHNMLG SGLQVADEVVESQAVDVALRAGEMSFHHVDIVHGSGPNRSRGPRIGFAIRYTTPGVSQ RRSHHEVVLARGSDRHARFDVRAAPPSGSIADGWSAQRALAGRMSEAQVPSSRRAEK OJF2_RS35035 MHEVACITTYFNPVGYRTRRENYRLFVESLEAQGVPLLTVELAF DDDPFELPASSSVLHLRGRSRLWMKERLINAAVSRLPDRCTACAWLDCDLLFGDAGWL PMLAERLEDADIVQLFGRVVHLPPGHRRYAGRSLGDDVGLVAQAAGAPDWLARRRAGE LPFAVPGFAWAARRSLLSDAGLYDRSILGNGDSILADCLYDSTGLYHYSSMATAAMTE DADAWCRRVRQGRAPRIDHLPIDVYHLWHGSFEDRRYCARDEILLRHDFDPRRDLVLE GDLYEWGSDKPGLHADVAAYFSARREDGT OJF2_RS35040 MGSQPLHREDADHSGRRPGFQPSAAAAGHHRAAARLAREHCPAA TTAAGLGPDAGPALALVDWIARRTTVAGDFRRRPGGRDASGTGPAPFAAGESFDLILC LDLPGRAERPEVLLRGLLEIGGRVIVSVPLAGTDAAADAEEPGDVDPLLLQRWARRRW LASEMVHEGGLSRLVAVFRGGGAASPWDFGDSEEDRSWMGGMAAAIDAVRLPRRRPRS DRVLKAESRPDDPLRREVVGTVGVYIVRALLGAMGFDEGRKFGWRLEHKLVQARVFNH YLGREFPASWGVDSLIRRGLADPLIDALLARRVIAKEALGHLSGDYGEPEATHEVLAS LMRDGRPPAASAPAEEAWVIQERLEIEHEYRVHSLEDLVLPGMTFSRYGPFCVPGDRD EVNAYVASILARLPDALVGESLYAWDIARVAGGGLRVVEANLVGFHPVYERGFQASGF FQYHPQGPPLLVDLLRHAESTYNVRFELLGDWSGEPNRHALYLRVFRHYLDRPPIHAV PSGERPAALPAPERVDAVLHLRAEELPRFALLRDSIASTGAPIGTLHVAVPDAEIEAV MAHGATSGPGCVLVPESELVPMRPGPDGPPDRALGQVARLALAARIGEEFCLDLAPDV VSVRRFRVSDLIREGKAFHSRAIGAERADRYRRAEELLGLRRSGWAHGTLPFLFVKRT VLAMLDYLASRAAAAPGGDGDWRGYLLRRAEWDLGEAYFTFAEAFGLEERDYFPGEWG LAGNCAWSVEDWETWDPSASFEEYISFYFSVLRVGRVPAGTIRRRLAPHLGTGILGPQ RKDD OJF2_RS35045 MEPRHLLRVRGPEEALAALASVPPGAWLILSVRPGPLAEADAPI DSVAELLMPPSGTIAVLDPRLTEYGAEALAVEFLRALHRPGLMTLLVPPAEIPAVSGK NHGPVLSFVGPASLLAGSPAGPAGRLPEELCFLALRAVMHGAKVGVIARECPSRADDS PPWVPGEAGDAIPQTALIMAHRGPCELLRAALTGLAASDPAPDALRVGLDLEEDELGP YLSLAREFPRASFYVGSHAPVGPYVIRQAIADEAPEERLVFHDSDDLSTCDRFHWLHR DLTMRGPALVGSHELRYDEDDREVRAVRFPLDASAALAIEPKHPQLHPTTMLTAEGLR RSGGFSTDCVFGNDTQLMLRAYFHMPLRNVDRFLYIRRDRWESLTNAPETGMENPLRI ARNIAWRSDFEAVRAGRMRLEDSSLMAIEGRGAWALRRLDAAR OJF2_RS35050 MAERTLKVSIIMPTYRRPHTIGQAIGSVLAQTHADWELIVSDNA GDGYRFDDPRIVVLDSRGVASAAYARNRAIPLATGDLVSFLDDDDELDPTYLETLAGE FRSRPALQMVKCQMIRRGELNETYGTPTVLVRRPLATPSWEPMWRQDRSYFQAIIDRH GLSEAAGTLAILPRALCRSGVDPTGGLRAGGL OJF2_RS35055 MRIASVTMIGRFPHGIGLHVRNLRWALSGRDHSVIVTFGSYIEQ LGLRSDDRVTYIACGEPGDPRRFFPFWKEFPRIVRDRGIDPEWFLFMEQDIWFHAPIE GDPPPGAGEIRAFLPPSEVYHAVLRGGELYHPRVWEGANLVHGPLVHRAIDYGIDFSG HADLFIRADKEDWDRRAGGTISLREYERPDTMDEFTLYCALVEGTRMTHSPRAAHLQG PEALHRLSPEIYEGCGAEKLGRVADQWRDYMCASAAVAVYYIAGNWDREADWRRIQRR YRPEFRSLIPSAREWMEPREYERLERVVAGFSAGPG OJF2_RS35060 MSAIVSISCLGSYGRFGNQLFQYAVARKYAELHGARLETPAWIG QRLFGIDDPPLSRTLPRAAFDELPWGRVDVDLFGFFQYQDAVGRLSTAELRRWYAFRP EWTSLFEPPRPYYIAANLRRGDYLDLQEVFAIVTKPSYLDACRRFGLDVDALIWVCEE LRPPMPAFDGPGLGFLADFFAVMNADVVLRANSTFSWWAALLGEGRVFSPVVEDRTGY QTVEFVEGNWPRICDPRNCRTLVTDLHVAD OJF2_RS35065 MASTDGTPGDATDRTRCPGRPIVRRLLAALLLAGAASASACGRA DRPIAVAAGGESREAAPEAEAEAGRLARGRVIYLNGESPSGGEITGVVGGSVPVPARL LACVNCHGRDGRGVAEGATVPSDVTWATLTRPYGLDRPDGRRRPPYTEGLLTRAIAMG LDSGGAPLDQAMPRYRLSIGDNADLIAYLRQLERDRDPGITGDQIRLGVVLPPGDASA GLGEELRRLLDRQVEVLNGRGGVYHRRIQLRYVEGPAEGDGRGDPIGDLTSGGEPVLA ILAARLGAVEPEIAAAAERRQVPLVGVVAPPAFDRPRPGRWTFFLLAGPDDQAFALLR QALRAGGPGDRFAIVHGPDARQRALAKALRDRCRRAELASPIDVELSGAPGSVDAAAE ALGEVKSVVFLGPPGGSTPLLSALAERGRKPSVLFPGTLADGDVLGLPASLDGHLQVG LPIAPSDQTAGSLARYRDLLGPAGPSQQHRTAQLAALAAADVLVEAIRRAGRGLDRER LVAALEQLRDFRTGLAPPLTFQPNRHVGSTGVSVINLDLSRRRFVPTGLWADAEGPMP PR OJF2_RS35070 MMNGPRRRAVRSPAASFFLIATAAVLGGCRVEPDSSSRPLPDVA AAGAQAVPATEAPAAACCKVDGARDAGSDPASPPSPGKPRTIDIPDVKLVDQDGKPVH FYRDLVKGRVVAINFVFTSCKAACPLLGAGFAKLQDRLGERLGTECALISISVDPAVD RPDRLKEWAARYGARPGWTQVTASEAGFDQLVTLLKALQVYSPQKTDHSQSVLVLDGD SREGWSSRRVAGTDELMAMMEAALRIRGGRNYFTDTTLVDQDGRRLRLYSDLIRGKVV VVHPFFTSCKGSCLVMADALTKLQDRLGDRLDRQVVLLSLTVDPATDRVPQMAEYARR LKARPGWHLLTGEKKDLEQVERRLGQYVESREAHSTTIVVGNEATGLWLKHLDPRDAD GLLAKVEQAVADDGNSSVGKH OJF2_RS35075 MISSPCVRPAGLQVPSAGPPSSRDVRPRRRPGHAPAWRPPAAAL LAWALGLHAVGCDSRDRPQEAANRTEARQPAPPAPVASRPPDPPKPGPGAQTYEHQGV RVAFELLPARGAESAEEGLREGRDAVFRFTITGDADGVGVAKSHPAAWLVPRVEGEGR EALDAARMIARFLRGDRFSRPSLDLNVFYALTMNDDATVTVVDPFFGFGNTKLLTMVR LKGNAADWVLSGDGSRLYVSCPSANQVAVVDTATWSEVAAIDGLDRPTRLALQPDGHY LWAALDDPARPGIAAISTEGPRLAGRIATGRGAHELAFDGGGRHVFVTNGGDGTVSVV DVARLARVADLPTGPAPRSIAWSGASQMVYVADSQDGSVTILSPEDLKVVGRAVAEPG ITQLRFSPDGTVGLAVNPGACAVHVLDAAAGRFVQRAGTDKNPDQVVFAGTLAYVRHL DSPIVQIIPLGGLGEEGRPVSVIDFPAGQKAPSARKVEPSLAASIAPVPGDDAVLVAN PSDRTIYYYKQGMSAPMGSFSNYGRMPRATLTLDRSLRERSPGVYETVGRLGLAGEYN LAFLLDSPRITHYFAVKVLPDPTRRKRAGADLVVKALEAPAATAGTPVEIRFRITDRA TGSPAGRLGDVTVLSMAPGGAQRRLPAAASGHDPGVYSVRWIPPRPGTHYLYAQSASG GLDVNRHWFLTVEAKESR OJF2_RS35080 MRRPGGLSSFLAIVPAALLLLGAGSRAARSDTIEVDGVIAGGMV KWVVGGSDATNVAVKPGDEVVWKAVSGTHGALFDAQATAESLLAFQSGGGLPALGPQT AVADGAMGWGTPPLPAGSTLARATVKAGVPAGATLGFFCTQHGRMMSGSLKVPTATNV IQIDGVIQGGTPTWMVGTKAAADVEVKSGDTIAWRAVSGTHGVVFDTQAQAEAFLSFE GGGGLPALGPQTVGTETVWGTAPQAAGTILAQATVKPGLPPGTTLGFFCSRHGRHMGG MLARPPLKLAPNDSPKDPSGLDDPRIKRVVKAQVVALDYGFLCNRLGTAMPQGMIFAL KRDVVPNSSDPKASIGAGCVTLRPGKRARPVVLRANVGDCLEITFTNLLSESPPKVPF PLEHPATRTAGVHVSGMELIGTVDSDASYVGLNLDGQAAANSSDCKPGETKIYRFYAR AEGAFLLYSTAAQLGASLDAAQLTAGLFGSVTVEPEGAEWYRSQVTRKDLELASKPNR RGPHGHPVIDYDATYPAGTSYEDLSPDGGGLKTPIPAGTPILRMTKPAPDDHGKPALE IVHSDLTAIVTGPYQGLLPEPAGRPNPVYPDARKPYREFSIHYHDALTAVQAFPEFNP PGPGQPETRTSSAMSAGQDNFAINYGMAGIGAEIWANRIKVGPMARSVESKYEEFFLS SWVVGDPAMIVDHPANSQYQRDFQPQIAAVTGGHAAGHAAAADAPAGDAGGGHGPSEP LPPAAEAAPPATGAARPLMSSRSSPPVPRGFPRRRPPEEDVGLEAVETPAAATKAYFP DDPSNVYHSYLNDHVRFRVLHAGGNITHVHHLHAHQWLRTPGSDESLLLDSQTITPGD GFTQEIIYGSGNRNLTVGDSIFHCHFYPHFAQGMWSLWRTHDVFEAGTPLDPDGRAAA DSRALPDGEIERGTPIPAVVPIPTLPMAPIPAPVKIVKVGDPSRPNELSGYKAVPVDP GSQDNPGYPFFVPGVGGRRSPHPPLDFAVDGGVTLDGGLPRHIIMGGDVSYQKQNAYD FTKLNEHLSAVELPEQGTPEEQVAMAFHELKSHPTDTPDGGTGLFLTNGKPRAPGAPY ADPTGGPYTKPEELGGHVVYKAADIQLDVVLNKSGWHYPQQRILSLWGDVRDTLENRR IAEPLFFRANSGDVVEYWQANLVPNVYELDDFQVRTPTDVIGQHIHLVKFDVTSSDGG ANGFNYEDGTFSPDEVRERIEAINKAGGIFLPGLSGRKTLKAKPIPFFGPGAPIVDVN GNHVNAWDGAQATVQRWFADPITDNPQPPKSPKDRTLRSVFTHDHLGPSTHQQAGLYA ALLVEPRGSSWSDSSTGLALGGRDAAPYDSGTGSPTKDGGPTSWQAIIASADKASSYR EFALELQDTQLAYKSGSVSPPSAPVAYQKYQAEIPSPFPPTFGWTDPSNAINPPKLRD NNKVNQPTLITSGPEPGTRSINYRSEPISARIAPPNLNPMDPSHAFASIARNDPLLNN QGDPQNPIGPGSSFKYPNAYPGAGPLDPYTPLLRAYENDDVQVRVLIGAHFLPHSFNL HGLRWLQEAGNPDSGYVSTQVMSISEHYEMLFRLPPSAAKQLVPTTPPAADYLYMASS DTRGLLNGNWGILRAYQGKADSLAPLPTNPGMAAPSGALANVQKFYDGLVAAGKVKDF EVFAVSPASVKNADGTANPDGLVYYNRGSSKLNDPSAVVYVTKDDYDDANNQFKPGYI PEPLVLRANAGDLIRVKLTNRIALPGANGGRQRAGLHPQLLSYDVTTSDGFNGGNNAN QTAEAPGDSVSYTWYAGEIDAAGNGRPVEFGAVNLTPSDPASSPSESQANHGLFGALV IEPEGATWVADTDSRAQATVTLGGDKGQFREFVLMIQDNVPASSAMILQAINYRTEPI AYRFNYQTDQAFPDFNAIDITDATSDLPSVVVPDPKMPNFSDPQTPIFHAPQKLPVRF RVLHPGGNSYTPFTIHGHVWNWTPTKKGTESRVLGNDSPSLRIGTLGSVPPNSQFNIL LDHAGGEAGIVGDYLYRGFESTELQAGLWGIFRVGKEGADQINVRSVLLQGNLITVSG RVWKKLNAPGFAKEVSLLDAGGQAVGNPATVNPADGTFQLTAVVSQPIGAYQLSSSDG GTARLVVQPVQVKKVKPQLPAEARDRRPEDATRFLPQEMPLKP OJF2_RS35085 MTTSPHGSGGELIERISALARGKFAARADRYDREAAFPAEDFED LFRAGLLAPAAPRELGGLGLGPESGLYTLWMLTKELARADMALARCWEGHVNCQVLLS ALADERQQRRWFEGIVGRGEIWAAWSGEPQARIPGQKARFGTAVRPVTGGYLVDGTKV FATGSRTARWAILLVNLQGPGGARHSSAVDGLLLLACDLADPSVQFDESWWDPIGMRG TVSYLVRFRETFIPAENRIGHPGQYLREGWQALFSPHYGATFLGGAEGACDYALDYIR AQDKAGDPYVQQRVATMALNLESAHLWLRRVADLWQARRAAEARSAGIRARYLLEAWA TDTVRQALHTCGARGLIRPSPLERIYRDLSFYVLHDNSDQVLATIGREILGQSHDASF FNAPPRPASGDANGRRPES OJF2_RS35090 MARRRGFTLIELLVVIAIISVLIGLLLPAVQSAREAARRVQCTN NLKQMGLALHNYEGSTGSFPSGVISALANPSWTMPPGQCTAFPADIGPGWSLFALMSP YLEQQVLTNSLNFSLTIADPSNQTTRQTRIATYVCPSDDATTGVSMYDCGDPPVASNL PQPVLPNLGPNSYVGCLGGATGGYPTSLVGCYEYQPFNGIFHRNSRVRIADITDGTSN TVGIGERDDYFVTTTWVGVIPGAEAIYNPQRGKGCSNWRPPLVAVLAHGRQFTVNAPD ASPGAFQSQHPAGGNFLFMDGSVRFVKSTMSLPTMWAICTRNLGEIISGEAN OJF2_RS35095 MQRRLPALVAALCLASAIPGAAGSTPANPPEFDRDVAPVLARRC LQCHSGADPKGKLDLSRREAVLRGGEGGPAVVAGKADESPLVEQVEGEAMPPKSPLPA AERRLLRAWVESGARWGTDPIDPLRASTDRRAGRDWWSLQPVSRPTPPGTRRPAPHPI DAFVLHRLEASDLGYEPRADRRTLIRRLAFDLTGLPPTPGEVAAFEADARPDAYERLV DRLLASPRHGVRWARPWLDLARYGESNGFEFDEFRPNAWRYRDWVVDALNRDVPYDEF IRLQVAGDVLRPDDPSAIEATGFLVAGAFDTPGQNQQSEAMRRVVRQDELEDVVGTVG QAFLGITVQCARCHDHKFDPVTATDYYRLSSALSGVRHGERDLGPLEPTPAGKSRRAY AVSPREPEVTRLLARGNPATPRQPVTPGGVACLAGFPADFGLPADAKESERRARLAAW LTDPRNPLTPRVIVNRLWQAHFGTGLVETPSDLGFNGGRPSHPELLDWLASELVARGW SLKAMHRLIVTSEAYRQGSRPDPAGLRADAGDRLLWRKAPMRLEAEMVRDAMLAVSGA LNERQGGPGYAEFAASQAPGTPAVLYTPVEPSGPDFDRRTLYRTWARGGRNGFLDAFD CPDPSTSTPRRPSTTTPLQALALLNDALTLRLADRMADRLRREAGAEPARQVELAYRL AFGRPPSGDERARAARIVERHGPAVLARAIFNSNEFLYVD OJF2_RS35100 MDRRDFLSWARNGLAGAAAAGLMIRDGTLRAAAPGEASPPCPHF RPRATRAIHICLAGAMSHLDTFDFKPDLVRLHGKSLVASERPDVFFGQVGLLRKPDWA FRRRGASGLWVSDLFPHLAELADELTVIRSMVAETSNHTPATFQENSGFRLNGFPAMG SWLSYGLGSEADDLPAFVVIPDARELPAGGSINWSNGFLPARHQGVVIKSRGNPIDDL FPARPIPAEADRAAFSLASAMNADHLASRGGDDVLSARIRGYELAARMQAAVPTVTDL GREPAETLRLYGVDRPETTDFGRACLLARRLLERGVRFVQLFSGGTFGAPRRNWDGHE DMRANHGQEALRIDRPVAGLLRDLRRRGLLDDTLVLFTTEFGRTPFAQSAADVVGTGR DHNQYGFTVWLAGAGLRPGLAYGSTDEIGWKAAEHPLTWYDFHATVLHLLGIDHERLT YYHNGLRRRLTNVHGRVIQGILA OJF2_RS35105 MVDDRVPRSAVNYLGLAYGATGRLPGAIAPLGRVRDVSMAGLGP DRVNTLDVLSSLAVLDEQAR OJF2_RS35115 MTPRVRPLLLVLLALAGCGSEYPSEATRSYPLPAAKSEAAAREA APADRLAARTPPMMAAGAVTAFQEAPAGPAAAAAAMSRKIIYDGEIDLIVKDVDPIAR QINAMVQEARGYIAEQSTTGSPGSVRSMRWKLRVPVERFDSLVEKLAGLGELEKNTRT SQDVTEQFYDVESRIKNKKAEEKSLTKILDERTGKLEEILKIETELSRVRGEIEVFEG RLRMLDNLSSLATLTVSIREREKFEPPPPAVADFSTQVSRTWESSIKGMIDLGKWIIL GAVAWAIWIPFYIVGAIVAWMIIRWAFRRLPRLIALANTPLTIRKPQND OJF2_RS35120 MTHDPSGSTSTDRRTFLQAGAVAAAAAATAGHAAVAQEPGQEKA EGAEKALSIPRRPLGKTGIDVTMLNAGTGRGAGIQRILRYAYSQGIRCFDTSQRYQSE GDFKQWFQQEPAVRKEIFVVTKDLPKAPAEIMPMLDQRLAAMGTDYVDLFFVHSFGDN HPLDEAIAYVKSKEFKQAADAVKKAGKARLVGISTHHRNRAQILQAAAEGGIVDAIMV QYSPWLDKDAPLNKALDACHKAGIGLISMKQLAGHFLGTGQQKNMLDEVKEKVPMLAE RDLTPFQALLHAIWTDERIASSCVSMTNTDQIRLNSEAARRFTPLKAADLKSLRDADL AHGPTLCADCDGRCAHAAGTAAALGDLTRFLTYHEHHGLRSKARECYDALPAEARDWR GADLEAARAACPSKLDFARLLPEVDRRLA OJF2_RS39660 MNLSDARRGRLGLSRTWRLLLAAIIAGATVRAQLAGSPANPAGD RASEDLPPLERKVSLAGGPRALRDALTDICRQVGLSFKPDEEALAAAGLQLDERVSPA LAGEPLKEALGRLARWRAHPGVCHELRGDALVLTTVQAIQDRTSRHLPEWLKPLQGRG LLASIDGSGAVTALTAGDVMTDELLARLESLPGLRELDLSGTRALTKRGLAHLGGLRA LEVLRLHGVNDEGDGLGDAAIESASRIPILRELSIGECGTTDDGVRNLEAIPLLRRLE LRQEGRLTDAALGSIAKLRGLRHLDLSSYVGTASYGRMRFSPEGLLQLASLRELEVLW LPGQAPAADLFPLPKLTALSLGAVGDDAAARIAGCRGLRRLELLDSAVTDDGLKSLAT LANLRELSLTSRIITDAGMAHLRALPRLEHLDLRATAVGDETLGYLAEIKTLTHLDLN GTGLPGSGVAWRFSAQGLRQLKRLPGLRTLRLTNLRVSGATDALAELVQLRVLSLTMT DLTADELAALEHALPDVEVTAMTGAGRLAPAR OJF2_RS35125 MSDVSPTPRELEALKVLWRRGPATVREIYQELKPRDGELAYTTA LSLLQTMEQKGLVGHESAGKAYAYFAKVRRDSVFRGLAGGFLERVFDGAMGEYVARAL QAKPPSVGELEELEAMIAEAKKRAQSRDERPKGGTR OJF2_RS35130 MSLDLGRLGYGLADYYALATLVLLGAGAAMALLRQPARRMAVGR AAIGGLLALLAIGLIPAWPRSRVLGPVARPDLAPSPDGGRAIGPIAAGPAGMAPAAAA RQPEASKPEPVLSPAEAEARRLPAAIPGWRTLAGWSFLAGAGLMVAWLAVGSWQAAAL RLRSRRPEEGVRELLSRVVGEGGVVPELLVNDLLHQPVAVGVLRPAIILPARFVQGEP EHRLEAALAHEWAHIRNRDLWLVAASRLLLPVLYAHPAYWWLRRRIRDDQEVLADAAA AGVEGRLSYAEVLLAWSRGVSAPAPFATGGSLALFERPSQIKRRIVMLLDHRLRVEPT CPAGWQRGVSAVAAAAVLGLSLVTLRPAAAGAGASGAPTAAGVETVEEMSAMPGGTAQ RRGRLLGPDGKPFAGAKVYLSHQGSGWNRRPAQSDRPALIGTTADDGTFGYPEEARPE VRWLSQPVVKAEGYGPAFAEPEEKGDVATFRLVKDDVPLRGRVLDIQGRPVAGATVQV VGVLWHPGGSLDPWIEKLKAEKTAYPVEYSTLKSWSLRDVPGLFPPGTTDAEGRFTVT GLGRERIASLLISGPGIETRFEYAATRPMATMRYPDFPGNNGSHDVTYHGTGFDLVVG PGLEATGTVTDKDTGSPLAGAVVETAALFGNPLRTLSAVTDGEGRYRLIGIPPKTQFN DDQSLLVSLAGGPPYLPTVKPLGKPEGGRPIAVDFQLKRGVWATGRVVEKGTGQGVKA NFSYYILADNPHAKSYPPYGTIRAGMPNETEPDGTFKLAVMPGPGVIGVRVGNEHYRL GAGAEKIPGPRRDGGGLDMIPAEPGYLMPKNYHSLGIIDPKVGDESVSLEIALDRGKT VRGKVVDPDGRPVTGFRVEGLQDHFRMWTPKPLPTDEFVVEGIGEGSDRDVLVCHEGL RLAGSYVIKPDEAGPIVVRLEPAGRLSGRIVDAAGLPMAEADLVSFNPFGDAKHERAP FAGPVKTDKDGRFRAEALIPGRKYNIKVQTKGRLTDVANDVVVKSGESKDLGDLTVKM GD OJF2_RS35135 MDAQKEGPWAPYRPSPGAPWDLRRVAHLHRRAGFAATRAEIERD LREGPEASLDRVLAGQAQEAGARSDLEEMAARIGDAAVESRDPARLKAWWVFRMLASP DALGERLALLWHNHFATSNRKVDDLAAMRRQVDLFRRLARAPFGDLLNAAVRDPALLV WLDAPANRKGHPNENLGRELMELFTLGIGPFTEADVKEAARALTGWTVREGEFLENAP AYDDGEKTILGQRGRWTGADLVRILLDHRATARRLAGRLCETFLGEKAVEHGAVDELA EGLRTHGLDVGRGVATILRSRAFFAASNLGNRIVGPVEFVVGAVRSLGCFDPMPSPLL LAEWCARIGQDLLYPPNVGGWPGGRAWLSSRGMIARANFAAALACGRDVGLDGPPTML RDVAPGRLVADLAARCLGRDPGDAWRSTVVEAARAGAASDADAARRAAALVLSCPEAQ LG OJF2_RS35140 MASRRDFLRSALRNASLLATAPAVPGFLAATARAAEPAADGRVL VVIQLDGGNDGLNTVVPFRDDGYARNRKALRLDAGTLIKVTDSAGLHPSLAGAADLLQ RGELAIVQGVGYPNPSRSHFRSMAVWHSARLDPDEHGGPGWLGRVFDDRLAAGDGVGS YFVGGGMAPDALLGRRSAPGVLERPGDLVLTDAMGARAAAMSTDGRDDLLAFVRRTAV DAYATAGRVAEMPGARGQADPGSGEGLAGRLSFIARLMRSGVRARVFYTGQGGYDTHA AQLNTHAALLGELSRALKGFQGELEASGLADRVAVLAFSEFGRRLAENGSGGTDHGTA GPVILAGKHVRPGLTGDAPSLTDLEDGDPRMTVDFRRVYAAVLRDWLGIPPAIALDGR FEPLPLFQAT OJF2_RS35145 MPDSHPHAPARKLLVSDFDGTMTREDFYQLVRRSLLPPGVPDYW GEYRAGRMTHFQALQAYFATIREDEATVRKVVEEMGLDPKLKEDVAALREAGWDVAVT SAGCDWYIRILLAEAGVELTVWSNPGRFVAGEGLLMDPPTESPFYSASTGVDKAGVVR DAIGRGLTVAFAGDGFPDADAARLVPGGLRFARADLAAVLRDEGLPFRPFERWSEIAA ALAGR OJF2_RS35150 MELVTRVNIPSVVRVKPGALDRLGLYLRRSGHSPAVLLSSQGLV PEYLERAERSLAEHGIERLETVEVAEASFEFASSLFTRLPGKAAAVVGLGGGKALDVA KYVAFLARRPYYATPTSLSNDGFCSPQSSLTIAGRRRSLAAALPHAVVLDLDVCGQAP RPLWLSGIGDLVCKLTAIFDWKLAFRRQGLPVNDFAALLSDATVYQFLAQPSFDREGA RLLGTALMLNGVAMEICGSSRPASGSEHLISHALDGISKRPRLHGLQVGVATYLVSLL QENQSERIGRVLDETGFWEAVRSDPFSLAEWRQAIRLAPAIKEDFFTILSEPGALDEA ERLLTADPRLRACFAD OJF2_RS35155 MTETHFYEPSRGHRLPHDPFKAIVAPRPIGWISTRDRGGRVNLA PYSFFNAFCDDPPIVGFSSQGRKDSLRNAEESGEFVANLATRALAEAVNLSSAPVARG VDEMAMAGLTPAPCRLVAAPRVGESPAALECKVIRVVRLADMHGEETSNFLVLGQVVG VHIDPAYLKDGLFDMTMARTIARCGYRGDYAEVDHLFEMVRPQSG OJF2_RS35160 MSDMGPLRYRIVDGVATPVPEKPRPSPAQLARAATRIACWTYAA VMVGSWALSRFSPASSWPVHMLLYGPIWVTALPAAALVPLAAWARQRWSAAALALALL GFLGVSGFNVPWDALLGASPAEHSRTLRILTCNVQRRDLKVEALAELVRRERPDVICL QDSRLREPIAALGLEGWHARVSGEFGLVSRYPIEGFEELRPPDEPGRLVAVRARLARP EGVVPIVVVRLMTPRRGLAPIAKRDVAGLAAFRDVAEIQRLESGLLRRWVENATGAFV IAGDFNLTAEQPPFRRDWSMYRDAFQWSGWGFGHTMFGSVMRLPVGVRIDHVLCGPAW RPRRSAIGPDVGSEHRPLIADLVEDL OJF2_RS35170 MPKSSMLRAADVRAIVKLVNECRDLGDDWWAWHRHCIGGLLELT DSELGLAGEGAVRNEEIGMISPPAMLGRPGFEADPSRILEVIHEYTLVPGASRFVSNY FARWREEDGVALTNRDLFGDREWHASVDMQTVGQAYGTDATILCCREIARAGAGSGEL EDITLFREMGRRAYTERETTIVHETCAAITSLMGGPLARFREPSPADLPPRARQVLAC FLEGDSDKQVARRLGISAHTVNQYAKRIFAHFGVQSRTELLARWIRRGWTHRPPDDLR GRE OJF2_RS35175 MNVFRNTTRLATLALALVAAAPARAGDVYLSLGDSLAFGYDPSA PNYLTPSYGDQGFVGHFADVLAAVNGGVRPAVLNLGVVGEQSTSFFDPTALSPTGPPR AWQLNKNYDGTGGALSQNDLMLSSIASLHAAGDHIGAVTLIFGANDIFALLGSDAFKN ADPAAQAMLIGQTITAALTNYGTVLTELKTVAPEARVFLPGYFNPFPAAIDPTDHALY DEVLGFFNPNLKALADNLGATYVDTYAPFVGHELEYTNIASGDFHPNAAGYAVIGSRL GAAAVPEPSGLISLATAIGAGAVAAAARRRASARRPAA OJF2_RS35180 MSVDELTSLPGAQAEAAAPATGIRFILLLGLLDAFGPLGIDMYL PAFPRIEQDLGVHGGAMKLTLSLFLAGLAIGQLICGPISDRVGRRVPLIWGSFAFAAA SVLCAFTRSIETLILARFVMGLAGATGMVVARAVVRDTFDESESARVYSLLMLVIGIA PILSPSIGDWLMGFGGWGIIFWALAGFACVCGAAVVLDMPETHPADRRVREPLRSVVP HYLALAVDLRFLAYAAPAALALGLIFSYVASAPSLFMQGFGLSSRAFALIFAANAVGL IGSAQLNRRLARRYDTHSILRAAAAVNAAACLLLAALAWTGAGGVAGLVAAIFVSLST LGVMMPNATAAVMAPYADRAGVASALLGMLQFAVGAVAGAAVGVFHDGTARPMAVAMA GCATLALLILLAGDRRGARQPA OJF2_RS35185 MAARWLPVRPDLDQLRNRAKDLLRRVRGGAPAALAELNEHHPRR LESTTAKLADAQLALARGYGVPSWPRLVLACRVVDAIWRDDVEALRLLILRHPRALHE MARGTERCNWGPPMSYAANLGRDRIIRMLRGLGAKDLDPALDRAILQGRIGTARQLLE MGARIPRGAAMGPAETLNADGLAFLLERDAEICDEAGDRLAPVALLLQTYGRNPAGKH RCLELLAAHGVALPDTPPMAIHRGRIDLLEAHVRRDPALLGRTFTHGEIYPPGLGCHG DESLASHGTPLGGATLLHMCIDYDEIEVARWLLGRGMDADARAAVDAEGFGGHTALFG AVVSAAHLPQKHRRLEDAPFARLLLEHGADPNARASLRKRLRGGEDESMHEYRDVTPV SWGERFHDRGWVSEPAIRLIRLAGGHG OJF2_RS35190 MRAPGTAEKALIVGLVAAAIAVPRPPASRAGAVEDASEPAAAKH ARSTPPPYPHAKVRRYDLGEGPRSYWIFEPAEPRPERAPVVVFLHGWFAVNPAFYGAW IDHLVRSGRTVVFPRYQNDVGTLPKDFLPNASAAIRDALDVLATGTGHVRPDFKDVAF IGHSAGGNLSAQLAALSSDAHSGVPEPRLVFALMPGEVLPAREPTLDRIPASTLLVVA VGEDDLLVGDIRGRQIFNEATSVPRSRKRYLLFRSDRHGYPPLLAEHAAPTGANDRLD TGEGLFRSFQMNLGSVNALDRAGFWRIADAALATASANQTFDDAIRDTDRFTHLGYWS DGRKVTPPLISDNPSSLPRVSLPNGIRLIPWSASARIDEASRETRVR OJF2_RS35195 MESTNRTDAANPSIGATGGPPAAASMPADEARLREELNALRRQV VALQRISSLGVLAGGVFHELNNALTPIVNYAKLGLRNPDPAYRERALLRIQEAGQRAA AIAGGMLGLSRPGRDPNHRAAVDLNRLVDEVVLLVGKDLARHKVRLEVQMPARPYARV NPAQIQQVLINLLINARQAMPAGGVVTLRVAPDASGRLAELSVTDRGVGIAPEDLRRI FEPFFSTKAGPDDSGVGGTGLGLAVCRDIVEAHHGRLRAESRLGRGSTFTLVLPACPP PAAAATPRTGAA OJF2_RS35200 MATAGGEPKGWARDLDLIAGSGGAVGLSDAELLGRFARGRGAEP AAEAAFETLVAKHGPMVLGVCRGVLGQAADADDAFQATFLVLVRKAGSGSVRVGDSLG PWLYGVARRVALKARAASRKRRGREAPAEAASDASRDRDGIDVEALDARPILYEELDR LPEKYRSPVVLCHLEGLSHEEAARRLDWPVGTVSGRLSRARDLLRSRLSRRGLGVSPA LEAGMFLPRLSPPVPSPLLRSTVRSASAFLAGGTLPASVLTLTRGVIAAMFVHKLKIA ALAGSSLALMTVAGAYAAGQIAARPAAQGPQRDIPGLPKGATRSQDGLVVLGNPDMKR PQLSLPTLSNDSIVAVAPRDRRSVTAMVIDDGAWQEYRAPEGTTLVPIMSADVLALMY TGDDVREVAALSTSSTSMVVEKPRGTWVRQPLRQPARGEIIPVLGQGMAYYHVDSDLY AYSATTNTWDTLHLDGAEPPRIALRRSDILAEQGDTLYVFSARRGKWSKGLKVPGTEP K OJF2_RS35205 MGGTEAFDPWTSLILLDRYRQGDERAAEALFARGFSRIVALARG RLSPPLARRADPEDVALSVYRSFFVGAREGRFALGRGGDLWRLLSAIAVHKLRKLARR EGAARRSFRVEVPLDRAQGLRGPVHEEDAAAADELGHILAALDPDGRLAIELRLEGRQ VAEIASETGRSERSVRRDLARARGLLAARMGRADRSDPHPGVAAVSHRDFLLLRMIGA GRMGKVYRAREVATGREVAAKFLRKNLLGHPVAVRRFLGEARTLAGLRHPGIVGIRGV GRTPGGSCFLVLDLVDGPSLAAIAGARPIAEAEAVRWTIQVCHALEHAHGRGVVHCDL KPANILLDGRGTIRVTDFGLARSIGGGAGPVGGLGIEGTAPFMAPEQASRSWGRIDAR TDVYGVGALLFTLLTGRPPFPGRALADILADVASPSPAADPARLRPGLSGPLAAACRT CLAKPPGARHRTVRELRLALERS OJF2_RS35210 MGKYLATGATGQLGGASVDFLLEKVPANRVAVLVRDPAKAARFK ERGVEVVRGDYFDYRSLVDAFGVEKLLLIGAPALTDRAPQHENMMRAVKAAKPGLVVY VTLQRREGSGVVIREVSDVEPEAERALIDSGVGYIILRNSLYSGAFKPLLAPNYREKG VRAFGPEGKTTYADVADLAQANANLLVDPGRGDRIYNMNAGERLTFPDVARLLSEVEG RPVAYHSLPRQQLVDEMVAAGVPLRGAEFAMDFVNAIGQGEFSEPSDALEKILGRKPV TLKEAFRRDWKPA OJF2_RS35215 MPDFHTERLEYRGLAFAGQGVKLLIGMKSKAKGRNRGRPQGFST EQALDAAVRVFGEKGFEGTSLSDLEKAMGVTRPSIYSTFGNKGELYCKALDRHDRVSG DHFTESLAAGTAREAVERLLRAAVALFTDRANPCLSFFTQRPLSGQDASEETRRYFAE KRAGMELALRSRLERAIEVGELPGSASAEDLARYYLVVIQGLALQAQHGATGGELLGV VDAALQSWPAETRRA OJF2_RS35220 MAHVVTEPCFDCKYTNCVVVCPTDAFRDGERMLFIDPESCIDCD HCGAECPTHAIFWEDDVPEPWRYYIALNREMSAICPPIVDRKEPLAGKGETGEA OJF2_RS35225 MRKLASVQAVNAVEPIPGADAIEKARVLGWWVVVKKGEYRPGDR VVYCEIDSLLPERPEFEFLRASSFKPAQLDADGATVLPAGFRIKTARLRGQVSQGICF PPSIVPPGVPLEEGADVTEALGILKWEPPLPVGMGGQVKGPFPGFLPKTDETRVQVLE PVLERHRGKVFHLTEKLDGTSFSAFVHRGEFGICSRNLHMDEADESNVLARVARGLRL EERLRESRDRLGFDLAVQAEAIGPGIQKNKYALPAVTLRAFSVLNLDTGRLLDHGDML DALGRMGLDPVPQLGTLTLDHTVDALVALAEGYSALNPKIQREGVVLRPPSEEYDETL VGRLSFKVINPKFLLKFDE OJF2_RS35230 MRRELLLLALTTTASASPAAAPAAAQPEVVARGGARRQAPEGTA SAIERSLSDGVAWVELAVRRTRDGRHVLASEADREGTAADRTLDEWKRLDAGSTFARR FAGSQALTLPEALALAKGRAKVQFAVAAGHVDPARLAREILDAAMQRDVVVAGPIDVL RAVQSTPGGDRIAVAPRWRPDDGGRALAELRPAAVLLHARDATSDRCRGFHDRVVAVV ALAEGADDRPETWDRAAAAGADRIVTDFPEQALARGIRRRLGPPRVRISLHRGASQYA PENTLPAFEAAARMGVDLVEFDIRTTRDGVPFLLHDDRLDRTTTGRGPIRDRDAAEVA RLDAGAWFGRPFAGAKLPTLDAFLRSVGPGVELYVDAKDVAPEALAAALRGHRLIDRA IVYQQPDYLARLRSIEPALRRMPPLRDATQLDALADRLAPAAFDVPWSNLSKSLVDRC HARGIRVFSDALGWHESERAYRFAIEAGIDVIQTDHPLRVLRTLEHLAVEPPPGP OJF2_RS35235 MQPTRAGWTLIELLVVVAIIGLLVGLLLPAVQSSREAARRATCQ NRMRQVALGVLSFESANQRLPAIYNGTFLARPRSILDEFHFHSWRTAILPQLEQSAAF ASLNQSLAATTPANQTAVNAGIGVFVCPSTSNYNPTVPGVAVWNDGQIPVKYDQTAAR SDYELIAGVRIPSPVASPVLSDVRFGPWGEPTYGAGGSVLRDRRARLADITDGLSNTL LAGERAGRPDQYARGRPPQRFDPPNWRGMDHHQAAWALSTHLPWIIYNNNTPVNETNA TGLYSFHPGGAHAAMADGSVRFARDSTSPAVLGAWITRAGGEVAQGD OJF2_RS40230 MESVPPDSSASMILDELELILKRREHPPRGRDAGGDLLQESVPL PGDPDAMGRLEAARRDAANAPDADAALMAARVAMLDLHVTGLAISGGGIRSGTFAVGF LQGLANLGLLGRFDYLSTVSGGGYAGGWLVAWLKREGDVKNVERQLDFSRIGQARADR EYFDPDRDPRPVVDEEPQPLRHLRSFSSYLFPNPGLLSADLWSVLMIWVRNVSINLLL LFPLAMLAVLLGRLGVFFFEFLNADRFLTADPVADGSPEIARARAWAIAFLAAGVVAA FVAMSLNAEALPEFRVGPGRRLRRSLARSWVALAATVAAAFALTASSRWLLLELFDWF SAPTAGAASPGVLGLAAGQADLLQPLSFLVVMLASGLFMASGALVIGARGGRPQWAFA RAAFISGASGGLLYVLVLGMIRAFARMDRPDLMATFAVPAALGVVIASTIVEVAIAGR AMTEGEREWWSRYDARLAIAAILWLVVMGSTLYLPGLFLAAGGWARTAMASGWILSTA MGVLTGKFVLPRLRAGGSADTVASLASLAPPIFAVGLGGVVGLLAAVLLNPQPPAVPG AADLPAFESYLAGVRMTPGWPIAALMAGFLGLAAIGFFLIDVNAFSLNAMYANRLARC YLGASRPVSRWRPRWGRQPRDTAQPVGAPSLSTRDGLLMPPDRSPNPVSGFAPDDDLP LIGLRIGRTPGGEGPGADPTERTYWGPHLLVNTTLNLVAGRDLAWRSRKGESFLLSPL YCGAKTVGYVKIPEGGAAARNLTLSRAMSVSGAAVDPNMQYYQSRPLTALLAIFNARL GAWIQNPRGAEEWEASSPRFGGLLVTELAGGTDDLGPYVHLSDGGHFENMGVYELIRR RCRYIVALDAGGEAESSNPNLATLIRLCRIDFGVRIQIDTDALRPRGEELLTGVHVAI GRIRYDDIDQGQLPGVLVYVKASMTGDEPPDLQYYARRDTRFPYQPTDLRQSFDEEQF ECYRCLGDHIATSVFKDAVRAASDEEGRAFVEYIPRLFSRLQARWGDAPGALDGAFVE STGPWTELRRDLAGDPALARLSRQVYPELAPAPTPAEASRPDYDRAELHTVGRALGLM EDAWLSLGLAKNSRLPLNRGRLNVFRRWANAPSVQALWPLLRPEFGSEFVRFCEAELH MIPAPPSLVPIDPGASGDDRAFQDESLARLSEEFAREWSAVPGSPSLDDLLRRSESLA PKPPAWLIVQGPPARATSCPPIAPRYVLGIILAAAFEDPSGEFPGLDRRRMRLHQGKP LELFVWMRRGFRATELGSPMVREALTAKLHPALGLAANAQVPTFLARYPTVEDQGGGD LERDAWVAFMARFDFRRVVPREPGAWRSTLLRR OJF2_RS35245 MTRLSRAAFAACLAASALSATASPRSSHALAGEARPRPGDSSSP ASPAFNPAVIDAFVKEAMAKRHVPGASVAVVRDGKILLARGYGLANLELGVPAAADTV YQLASVTKTFTATAVMMLVKDGKVGLDDRIVDRLPGLPAAWKDVTVRHLLTHTSGIKS YTSTKDFEKQMRRDFTRREILDLVAKEPLEFAPGEKWDYCNTGYFLLGMLIEKAASKP YAEFMAERVFGPLGMSRTRTNDLRAVIPGRAQGYEWDGKAFRNGEYVSPTQPFAAGML VSTVDDLVKWDAALRDHTLLDAATLEAMWQPAPLPKGGKAQYGFGWGVSRVNGHRRIS HGGGISGFSTELMRFPDDGLTVIVLTNAEGGAAGPIAAGIAGRVLPALAEKPAEPIVD NDAPTTGRLRKLFEGALKGELDPEPFTEQARELLVPRIREDKDRLASMGAVKSFRLVE RTEKDGALLLRYRVDLENAKVRLLFALDKAGKIQGIGLQPED OJF2_RS35250 MIRPAFRFLTLMTATMIGPAFGGQDPPTSSYEARTIGGFKVLVG KALSEHPDEAAAGLKEVERQIEEVNRVMPAGPLEALRRVTIWVDWDVDPAGGCVFHPS REWLVEHGRNPDKAGCVEVSNLRHFVEWSRDVQPCMILHELAHAYDFRVLGAEDRRIS AAYRHAMGKKLYDSVEYGPGGRKRAYAATNEREYFAEITEAYFSRNDFYPFVRDELKA HDPEGFRVLEAVWGRPKAPGRAPAASAKGRR OJF2_RS35255 MPRSTTPQKPHVCPRCGSRKLATILWGLPAFDDELERRLDAGEV VLGGCCVTDDDPVWECTECGWQAGKRGKAGGTGDEGHGPES OJF2_RS35260 MSEGEPTGALRGIRTLLNGGMLGELTDAQLLERFAGRDRRSGED AFTLLLERHGPMVWGVCRRMLADRDAAADAFQATFLVLVRRARAVRVEDSLGPWLYGV SRRVAARARATSLRRGAREAGGVEAAATPAPDPDLGERLAILDEEIGRLPGSQRAAVV LCDLEGLPHEEAARRLGCPVGTVESRLSRGRRRLRDRLVRRGLAPAAAAIWAEAARDA SASMPAAITAQTARLVTSPPAPGIVPAAITTLAEGAIRMMWLARLKPLFAASAALLVA TVGVAVHGRQQPGPGEVEKPAQVAVPPGGGAGGAVAADLAADRAIARKQLALIDEALA TLHQLAQRARVSLSDPSFSAWARRKLEALHRAGAGKAEIVASLEKYIETLKQEEAIAE TMVQSARATRLDVLEVQYRRMEADIWLNEEKAR OJF2_RS35265 MPPWIGRVRRRIVRRWPDLVGQAWVVAVSGGGDSVGLLRALHAV APELGLRLSVAHLDHGVRGGEAEADAAFVADLAGRLGLPADLGRWEPSRPGHFEADAR RARLSWLLEVARGRGARAVALGHTADDQAETVLHRILRGTGLRGLAGIPSRRPLAEDP PVALVRPLLAVTRHEIRAGLAALGQPHREDATNADTTRTRSRIRHALLPLLADQYNPR VAEALARLGRLAAGSQRALESRLDSLEREVLLAADPARVELDRDRLAALPPPLRAELL RRAWRRAGWPEAGMTARRWRRLARLAIRPESPQHDIGHGVRLRHSGNVLLERLQACNP DAEDAVVTPPVPLPIPGAAAWRGWAIVASTDPGEPRDESVDLDRVVPPLQVRGPLPGD RFEPLGMAGRTMPLADFLRGRKVPRADRPLVPLVCDGAGILWVVGHRIADRAKVTDRT ARELGLRAVPDPA OJF2_RS35270 MAVRNVGTNLDSVRLEKEILKFWDETRAFETLRKLREGRPLFNF VDGPITANNPMGVHHAWGRTLKDVFLRYQAMKGHSLKYQNGFDCQGLWVEVEVEKALG FQGKQDIERFGLDEFSRQCRERVNTFSKVITEQSIRLGQWMDWGHSYYTHDDGNIAGI WHFLRVCHENGWLHTKGLPMPWCPRCGTSLSEHEMAGSYREMEHLSLFVRAPLKDGSG RRLLLWTTTPWTLTANVAAAVNPKLTYCEVSSPSWDHNLILCKSALGVLQGVKKTVER EFPGSELVDLEYETFFPDLPAQAQVTHRVIPWEAVDAQEGSGIVHIAPGCGREDFELG RTFGLPAIVPIDERGTMGAGFGEFAGQFAGELAHQVSKSLAERGKLLKELPYTHSYPV CWRCKGELLFRLVDEWFISCEEVRPRMIEAARKVEWTPEYTGKRMEDWLNNMGDWCIS RKRYWGLPLPFYPCKACGHLEVVGSVAQLRELAVDPAKVDALPELHRSWIDEVQIRCP KCSEPVSRVVEVGDCWLDAGIVPYSTLGYFDDPARWESLGQVEWVCEMREQVRLWFYS MLFMGVTLSDRAPYKRVLGYERVVSEDGTMFSKTGFMIRFDEAVERLGADVMRYLYCR QPVSNEMRFGYGVAESARRKLADLWNIYAFFTTYASIDRPDLATPAPASSLQVTDRWL RARTARMLKVVKAAYEAYDTPAALREVEPFLEDVSTWYVRVSRRRFWRDGEVEDKRAC YGALLDCLRASALALAPIVPFVTEEIWQNAVRGLLPGVPESVHHALWPEVPAEWEDED LLARTDLVRRVISLALNLRSQASLRVRQPLPELLIVAPEPQQREALQEQLALVKSELN VKAVSCLDSPESLQVPKLTLKARESGPVLRGDVGKVKGLIEQAGYEAMAAMAATQERG EPVEVPGYEKPVPANLFNRETMARPGLKIATEEGLTVALDTRLTDDLRREGLVRDLVR NVQVLRKDTGLAITQRIELGLEIADPALRAAVDAHRSYIADELLAVRVDDRPLDGAAA ATDIDLEGAAIRATLRPVEPGPQPATAG OJF2_RS35275 MNPDLLSLLRCPRCRGTLATVGDPPEALRCASCEGGRYPIVAGI PRLTEDPYAGSFGRQWNRYDVMREEEDEATFEVKTGMPAASLAGKLVLDAGCGGGRYS RLVGSRGARVLGVDLSSAVEKARALCSGLPGVLIAQADLLDLAVADESFDAAFSIGVM HHTPDPRRAFAQVARKVKRGGRLAVWLYRRNTPPQEWINSGLRAVSTRLPARLLEPIC AATGVLGGVPVVNRTLNKLANFSAHPDWTLRVCDNFDWYAPRYQSHHTVAELKSWFAE EGFEDLAELAPAKAGPAYDWAYRHDLIIGSGVNVAGTKR OJF2_RS35280 MRPKPEPISGLDPDLCASPKPRRWSDVCRPSLLAGLIAVGSLGV LSLAAGGITRAADTPARPWPIPPGAGPRVAPPQRVMPPARRPSPGRVDPFIVSPRQGI DDAMIIAAPRGIDDAMIAPRGRGDAPLLPPLAPTVPAPRP OJF2_RS35285 MPAPRPDLIGRHLLDRRGFLGHMASGVGGIALGSLLASQARAAD APTGTIPARAKRVLHIFCTGAVSHLDTFDYKPELIRRHGQPMPGSSNLITFQGENGAL NRSPWAFRPRGESGKYVSDLLPHLAGRVDDLCFIHSLTSKTNTHGPGEMFMSTGFTLE GFPSIGAWVGYALGSENQDLPTYVAIPDPRGDPQQGPANWTSGFLPAVHQGTPFNADR PIRNLGRPASLAEADDRAGRDFLKLLNDEHLRRNPGDTELAARIASYELAARMQLSAP EVGDLSSETPATRALYGLDDPNPILAGFARNCLLARRLLERDVRFVTLFNGAFAMGEG VLNWDGHRRIKSDYDRHGPILDRPAAALVTDLKARGLLDDTLVVWTTEFGRMPTFQKG TQGRDHNPKGFTAWLAGAGVRRAFSFGATDEFGYQAVEDVVDVHDFHATILHLLGLDH EKLTFYHNGTRRRLTDVHGRVIRQVLA OJF2_RS35290 MHEYDRSSKWLIQHHGASILRLAGITDVVEWEPLQAEVVQPRGL PDGFLRARRATQPEPGLYVIEVATYPEPRIAEQAVRDAALVYLNRGIVPEVIVLVLRR RGRRPAPRMARLRSESGQTSFHVKWRMIELWEIPAEVLLAAEDVGVLPWVSLSKIDGP PEPVLRRCRERIDREAPPDEHESLLAVSQVLARLRYHDETLFQLLGGRKAMLELPFLE ELKAEWIREAVEKEKAAREAVEKEKAAREVAEKAAREADHEAACRIILEVLGARFGSA AKELRAELAAINDDARLGELARLAGTCKTLEAFHARLRTP OJF2_RS35295 MTAFRKLMVANRGEIAIRVFRSAHELGIRTVAIYSHEDRFALHR LKADEAYQVGKPGEPIRSYLDIEGIVSLAQEKQVDAIHPGYGFLSENATFARACAKAG IVFIGPPPEILDLLGDKVAARELAQEAGVPILSGSEPVEPGESAHAAAERLGYPVIVK ASMGGGGRGMRVVESAEGLDEAVGQARREAGMAFGCPDVFLEKFIRKAKHIEVQLLGD KHGHLVHLYERDCSIQRRHQKVIEIAPASNLEPFLRDGICQAALAIGRKVNYENAGTV EFLVDDEAATFAFIEVNPRLQVEHTVTEIVTGIDIVKSQVLIAQGSELTDEEIGIDGQ ESIHTTGYAIQCRITSEDPTNNFTPDYGRITHYRSSGGPGLRLDGGSTTSGAIITPFY DSLLVKLSTSGRRFKDAALRMERALQEYRIRGVKTNIPFLLNVITHPTFLDGRCTTRF IDQTPELFQFAQRQDRATKLLTYAAEVTVNGFPGVKRTEELAGLREPEPPSYDHVAKV ADGSRQRFKELGAEGFARWVREQAPLLVTDTTFRDAHQSLLATRLRTRDMLRVADAYA HLAPGLFSLEMWGGATFDTAMRFLKEDPWDRLSQLRERIPNILFQMLIRGSNAVGYTS YPDNVVEAFVREAAAAGIDVFRVFDSLNWVPNMERTIEAVRESGAICEAAICYTGDIL NPARPKYNLRYYVDMAKELEKRGANIIAIKDMAGLCKPHAAEKLVEALRGEVGLPIHF HTHDIGGAQAASVLKGAAVGLDVADGAMASMSGLTSQPSLSAIVESLRFTERDTGVDH EALIALSRYWEAVRDLYAPFESGPRAPAADLYDLEMPGGQYTNLFQQARSLGLAPRWQ EVCKAYSEVNRLFGDIVKVTPSSKVVGDMALFLVANNLTTEDTLDPSRELAFPDSVVE LFQGRLGQPPGGFPAEIAERVLKGREATTDRPGAGLPPADLAAAGEKASALLGRPATD RDTLSYVLYPRVFPDLAAHERMYSDTSILPTPLFFYGPEPGQEIKVEIEEGKTLIVKL LAVGEPHTDGKRTVFFELNGQPREVEVVDRSLASAVRETPKADPADPNQIGAPLPGLI VGVAVSPGDPVRKGQKLLSIEAMKMETTLYAERPGRVAEVPASVGLQVKAGDLLLKLA DA OJF2_RS35300 MAEPRDAALAVLFDLDGTLLDTLEDLGRSVNEVLEGHGFPPHPM DAYRRFIGDGVAMLVERALPAEAVRADPSLVPRCVEGFREAYGRGWDVASGPYPGIPE LLDALVARGIPMAVLSNKPHPFTRRCVEELLPRWRFAAVLGDRPGFARKPDPGEALRI AADLGVEPGRVAYLGDSSIDMETARGAGMIALGAGWGFRGASELLAHGAVAALSRPIE LLGWVDRGGAVEG OJF2_RS40235 MKLTARNVENGIVSLHNTGEIRLGDRPADKGAAEALLGPGCYTR KVLFDLKNTSFIDSAGVGWIVRFHKLCEQSGGMLVLHSPQPAIVAILRLLHMDRFLHI VDDEAAARAMAGAEAPAR OJF2_RS35310 MKRRDVLFVTKAIALASGPFLARAQQAGAKGRDIRLEATRDAWV SEVGREADGNNGAAPRLKLKSYQEMSLLDVDASPLSGRVIEAATLHLKHAGDPPLRRV TVGGIGAEWFEGTGSNYSTDPGGATFHRRRHPDLPWSVGGGDLCHVILGNGGTNWGNA DATAPDADGWQEIEVRPAVVAARVAGLSHGFLLFDDTGSEFARKGDRFELRLLPNRFA FSKDQNRATAPYLTVRLGAEDRRAPRAPTGLESSPLEGSPGEAVASWVTPRDEGPAGT LGFVATLDGKALPRELIPLADAPGKRVEMHLRDLGLEPGKSASLTVAAVDAAGNVGPA AKAAVRLAGRMEVPLPMPTRAAERPGRGASAAAAGGGLPRLGDAEVAVIDELVKVHPE TGRMIPPQPAGVLRGSPIWDAASRTIRLEAARNESVAFQVLIRGASPIRVDAPRMGLE MEGGQGGRGLGVEAAFGRYHLVPTAEGPLPDPIVPLGPAGALAPAEPAGRFVARGLHA ELYVPHEAAAGMHRGTLTIESGRDRLDLAVALRVRDFTLPDHLSFLPEMNAYGLPEDE RDYYRLAHRHRTVLNRLPYHQDGRMSEGCAPGLVGEGPARRLDWTAWDRRFGPLLDGS AFADLPRKGVPVECFYLPLHENWPSPMEGNYRGGYWADQAFPESYRRAFALASQQFAE HFRDRGWGDTLFHGFLNNKNNFKAAGWSRGSSPWLLDEPANFQDFWALRYFARAFHEG VNRARGGASGGPHPGRASFPRMVFRADISRPQWRRDTLDGLLDYLVVSSAMREYPRLV LDRKRRLGEIVVEYGSTNPVTASNWQPVSWCLDAWTLGADGVLPWQTIGDAASWRKAD ELALFYPLVDGRGRAAGVAPSIRLKAYRRGQQDVEYLTLWARHRGLPREALAAPVRRA LKLAGTRRATEAGGPEDAGRIDPAGFDPDALRSLRSGIGEALSRARPAPAARLVDFRT PPREP OJF2_RS35315 MATGTASACHRCKQSPCVYVAPQPAYQCVTEMVPYTVMKTVWHT EYDAVTKTVMVREPVTNYVERQRVVCKPVYDTVEVPRQRAVCKPVHETSYVTQTYTVC KPVQTTQQVTSYCMQPTTQLVTVPTGHRCGLCHKDPCGCKTVACTTYTPVPVTRDVVT TTMVPETHTRQVPVTHTRYVQEVVNDVQRITKCRLVQEVVTEKVPCVTWTCVPKTVTR QIPRRVCEQVPVTCYRPVKRMVPCAPVAYATEVAPSVQAPAVSPSTQSGPAAAAPAAP SKQG OJF2_RS35320 MRLPDDAFDFLGYTLGRMYDTRNGEPYLGPSPSRKKVERLCREI SELTTRRMALLDIPVLVGRINRKLRGWSNYFRLGIVHKAYWRINGHVRHRVRQWLHAK FKGRGQRKYRYPNAYLYRELELLQVRRD OJF2_RS35330 MSRPRAPQLERTWSRRLERQAVGGLSIAEFCEREGLAPASFYYW RRRLAAGATPPAEAPPLFVPLRVDGAAAAQRRRGVEIELPGGVRLRLEAPPEPEWIGR LVAAVAGLEAGRGRP OJF2_RS35335 MITLPPTSRAFLCTRPVDMRKGFDGLPGLVRSCFAEDLLSGHLF LFVNSRGDRLKALYFDRDGLAVWYKRLEAGTFQVPPLRRLRGHRAGARPARHDPLRRR PLHRPPPQAIPRRILTPGSPGTDAPRRLIVVKISI OJF2_RS35340 MSDAPLIPDDPEECRRLLLESLRRIGELERVLDATAADYGDLQR RYAEQAESLALLRRYLFGPRRERVADDPGQGHLFGLGDAAIEPDAPEPDGPAAGEPAM KAPRRPSRPRASLDHLPYVRIEHDLPEAEKSCPCCGGMRRRIGEDISRELEFIPAKLE VRVHVLPRYACPKCKGGVAAPPVPAKPVPGGIAGAGLVAFVVVSKFADHLPLYRLEDI LSRHGVALSRGTLCDWARNAAGLLRPLAEFQRERVLGTDLIWTDDTHVTALGGDRPGS TKARFWAYLGGAEAPYSVYDFTMSRERDGPATFLKGYRGYLQADAYGGYDGIYAGSDG AIAEVACRAHARRKFFEARPNAPAEANRFLEWVRQLYDIEDRGRELAAEDRRELRRES VPILDRIEAYIDELRPRALPKSALGKALTYARNQRAALRRYVEDGRLTIDNNASERVL RLQTIGRKNWLFLGSEAAGPRATVLFTILAGAKRHRLEPWAYLREVLLHLAAGETDLE SLLPDRWAAAHPEHVLEHRLEESRQRAARQKAIRDRRRAGRPRRD OJF2_RS35345 MPTREPPRPHPPPRCPPAPGAGTAECLRRWKGLESIGVAINIST RDGKESDAVRYYILSRPLGAKEFADAVHAHWTIENSLHWQLDVTFREDACRVRRGLAD ANLSVVRRAALGLLKNETSKKIGIKNKRLAAACNNHYIEKFFTQS OJF2_RS35350 MIETDPAIEANFHKVLEDHTAGDPMRPEVKWTNLSRRQIAARIG GLGTPVSRHVVSQLLRLHRNRRREALKKETMGPRHPDRNAQFENIVRLKAEYLKAGLP VVSMDTKKKELLGEFYRDGTIDTQGAIETNVHDFGSIGSGTVIPPGLYDVGRNQGFLH LNTSHDTSELACDSLAAQGN OJF2_RS39670 MVEGACKTAVGRRLKQTGARWKVRRLERMASLCCLAYSEQFDAY SRQAAG OJF2_RS39675 MHGDGPEAEAWHERRRRALLESGSSGLLEDLASEPGDVSELVGY LGPRAARTA OJF2_RS35355 MSLSRVYFTCRRCGQHAHAPDDRLGLDGFVSPHAQRLLCTLGAD WSFERCARHLRDVAGLVVCDNTVRKICDRHGGLMRAWQRDDPEAARPFREAEGDVEFQ TDGTCVNTTGGWREVRSSIFARRRRGEPVLDLDDWDEQRIPAPHVRVATAAIRTSAAL GPQWRRSAARPGLKRTDELTALADGA OJF2_RS35360 MIRHTIQVEDESEYLAAQQAIAMVRELKALANGTPDGKVLAVVE REAVERGRRFTRDRLQEVLNAQAAGLEKKGSADGSAPAAAGGATAAGPSVASSPRPAT OJF2_RS35365 MPLLVKLDTLSPASLTAWLADKGVEVSSTGLPQVMAVSGPDSTL TGMASWLGGTSGLGYVERESTLTIDQASNDPSYMSGSMWGLSGAKGINAASAWDVTTG STSVVVADIDTGADYNHPDLYENIWINNAEIPASRLKNLKDVDGDGRITFYDLNYAAP DGTRPNQGAGRITDINGDGRIDASDILAPMQKNPDGSDSGLGGWADGVSQDGDTAHVD DLVGWNFLNNTNNPFDDNGHGTHTAGTIGAMGSNGVGVTGVNWKVQIMPLKFLDARLG GTSTAAAKALLYASDHGAKVSNNSYGGSGGITLQNAIAYAASKGSIFVAAAGNSGANT DTTPFYPAAYSNDNIISVAAISSSGARASYSNYGATTVDIGAPGDGILSTYPNSRYAT LSGTSMAAPHVTGTVALLLAAHPTWTYSQVIKQVLSTATPNSSLAGKTVTGGILNAGA ALSSGSAGATASPSASCSFVGTNTIAQGNWAGAFGSDGRAIARVSPAYPSYATVGVLG SSLWTWAGPTTDPRALSTTGTTPDSRIASCWYNGTSFTIDVNITDGKSHPASLYLVDW DSTSRSEKVQVVDAASGAVLDTRTTSSFHSGTYLTWNLSGHVRFVVSRIGGDNAVVSG LFFG OJF2_RS40240 MHLEATCGWCREMVLRATPCLFGLYSVVAWLYSELPAGRRAGAI EWPGKAVVTFSDALAAVRCWVWAEGVFARVDGGPAIEKLPPSLREIVYAALAPAA OJF2_RS35370 MAILPDESLPLLDAFAPVFTRPTYRRFLVLLGAAIITTGRRTVA NLLRTAGSLAPGDPSSYRRVFSQARWSTIRLACAMARHLLALLPEDRPIALVGDDTAV AHPGPHVYGKARHRDPVRSSHSYTAWRYGHKWVVLAIPVRFPFAERPWALPVLVALYQ SGEDDRRHGHRHRTPAQHMIRLLALMLHRSPGRRFVFVGDSAYGTHEVARFAHRHRGR LYLVSKLHPEANLFEPPPPYRGKGRPAVKGRRLAEPREAVAAARRLKRLTVGWYGGGT RRVETATGTGHWFKSGKGLVPIRWAFVRDREGTHRD OJF2_RS35385 MGQIRKRHSAAFKAKVALEAAKQQKMVSELAKEHQVHPVQISQW KKQLLDGIEGLFEPASAPRRPDPDKLQAELYEQIGRLQMELSWVEKKLGAPDAAARRL LIEPDHPGLSVRRQCQLLGINRSGLYYEPATESEANLRLMRMIDEQYLRTPFYGSRRM AAHLTALGEPVNRKRAQRLMTTMGLEAIYPRPRTTTRSPDHKIYPYLLRDVTIDRCDQ VWSTDVTYLPLEGGYMFLAAVIDWHSRFVLSWRLSNTLDGRFCLEALEAALGGGRPEV FNTDQGSQFTARALTGRLEEAGVAVSMDGRGRALDNVFIERLWRSLKYEEVYLKSYRD VMEMEAGLGGWFSFYNHERPHQALGYRTPADVYRGAAAVGP OJF2_RS39680 MRSYSMDLRERVVAACDDGEGTREEVAGRFRVSVAWVYRLLARR RDTGSIAPKPHGGGRPAAFRGESAERLRKAVEDCPDATLEELRAAAGVGCGTSAVFRA LKRLGLPRKDSPNGPPSRAAPS OJF2_RS39685 MRARREAWRAEFAGVDPARLVWLDETGTNTAMARRYGRAPRGRR VDGPVPHGHWKVLTLTDAIRLGGVCACMARDGATNAATFESYVERVLAPALRPGDIVV MDNLAAHKSPEVERLIRAAGAEPRYLPPYSPDLNPIEKMFSKLKAFPRKAAARTVDRL LEAIGDALGTVTHQDILGWAQSCGYSTPKRQPL OJF2_RS35400 MSHDAALLPPHDASAAPTEESAAEPASLPAAPGRRLDSIDAYRG LVMSLMMAEVLEFRRVAEKVPAGNFLAPVWSFLGHHQSHVEWAGCVLHDMIQPSFSFL VGVALPFSIASRLARGQSPGRMTAHAVWRSFILVFLGVFLRSVGRDRTNFTFEDTLSQ IGLGYTFLFLLARCRVRTQWIAFVAILVGYWALFAAYSPGPDHVPEAAGVPQEWAQQH NFTGFAAHWNKNANPAWAFDVWFLNLFPRARRFEYNGGGYATLSFIPTLATMVLGLIA GGVLRSPWRPRSRILWLAVVGAVILTLGWGLGQLGICPVVKRIWTPSWVLYSGGICLL FLAAFYAIMDVGDWKAWAFPLRVIGANSIAAYCLSHLIEPFIVDSFHTHLGPHVFDIL GDTYAPSVKGCAVLAVLWLILLWMYQRKVLVRI OJF2_RS35405 METLEPRQLLATITTYPTTAGSGQAEVTVASGLIWFTELSANTI GSIDPAHPEAGVTSRTLGNAGPPVTITTGPDNAVWYTAFGKGTMSRLDPANPAAAIQS YALPGKNYTPANSAAAFPFSATPSDLTAGPGGLIWYTDPANNAIGNLDPAHPANTSAL AVTRPAGMLGIKNLTSHIVSSAGKLWFTEASFANGAVASSGVGIYDPTANTWNEVVLP NSAGLQPFDITTVGQSIWVSLANITTNASGTVVNSAKVARIDPTNNNAVSVFTLPTPP APANASLPYPYRIAAGPDGNLWFSDVQNGEIGTFNVTSHATTYLTLPAAGASPNGLVA SAVDGAVWYADATGSVGRIIQGTQLTITPPNQPPATLNAGTPFGLTVYATNAAGTLDP SFNGNVTIALANNPGGSTLGGTKTVNAVNGVATFSGLTLDKASNGYTLTVSTSGLTSA TTTGVNVSSAVQGATHLVVQSVSPTSMNPGTPFSVIVRAENASGAVDTTYTGSVTIAL ASNPGNSTLGGTLTVNAAGGVATFNGLTLNNAGNGYTLGVTASGLAGATTSGINVAPP AQHATHLVIAAQPPSSALTGSPFSVVVQALTDGGAIDPSFSGSVTISLANGASGLGGQ TTIQAVNGVATFNNLTLANVGSGYALVVTSPGLAGTNSAAITVQAPTPPSPVIVAASI VMNPPKKKRGKATFGGFQIQFNSAMDGASFGNGNNYSLRAMVQVTQKVGKKRVKVLQP AKPVAFSIQVVDSTTVKLVTTNQKVFKLGGQLTLSGISAAGGIKSAAGGYLGGVTGSV LTFSISKNAKSITRIS OJF2_RS35410 MVEIQDSYEELLRRTREMGVLSSCAGVLSWDEQTCMPTAGAEHR GEQMALLAGLMHERAINPRIGELLRILEDGSSLFEPDSVEAANIKESLRNYDRATRLP RKLVEDLARTTSLAQHEWAAARQESSYGRFRPWLEKIVALKREEGQALQDLLHKGQSV DSPPAGVSHSIPESEDPSLRSRTPEGDPIYDALLDEYEPGARSGELSALFLSLRDQLI PLVRAIGEASARLRREKEWPRSILKRRYPADRQRFFAEMVAASIGFDFHRGRLDVTAH PFCTGLGPGDTRITTRYDESQFGEAFFGVLHEAGHGLYEQGLPALDFGTPMGEAVSLG IHESQSRLWENAVARSGPFWDYWFPLARGVFREALHDVSLGEFLAAINRVEPSLIRTD ADEVTYNLHVIIRFELERALLRGDLGAADLPSAWGQRYTEMLGITPSNDAEGCLQDIH WSAGLFGYFPTYTLGNLYAAQLYSRASLDLADLDGAFTRGDFSGLLGWLRERIHRHGR RYRPSQLIERATGRPPEEGPLIASLRRKYEELYSL OJF2_RS35415 MRRFLLGCMAAAVTLTLVGTQARAGQIPLPTTLDTLEPAGNYAI VGNLKFYGFTYSTDPVGATPTASNVTVSPFTAPGETGITLSGGFFAAPGATVDYSIGY YVTTTDGSLITDAILSSVGGNLGGTGFYSIAENYYDGSVSGPLLLSLESSNFDKVASG NFSSGATTVFVQKDIFLYGGDRGATVSIINQGFSTFGGTAVPEPASMALLGIGLSGLV TFRRFFKRTSVA OJF2_RS35420 MAMILNARPEATVRRPFGTKRAGSGDASPSNGGRCPDHVPSLLD KDEEGRLAARVKSGDREARDALIAANLGLVVHIVRGFRCDGMTIEDLRQEGTCGLIRA AELYDPARHHVRFGAYAASWIRRYVQRAVGDNLSLIRLPRYLVDLHGRCQRQLSDPLP EPPSETGLPSSDEHSPSPEAGFTCPGQGEWDLEVLANRLHLSVRRLRSVVSSIKTQTG WSIAEGEDSGQLEEMVIDPHRPDLEAEKAEANSNLRRAVHSLTRLEARVIKCRFRLEG AARPSRRHASGKDQMPTVMKYSEISRLLGIGPARVRAILFQALEKLRERLIAMRDEDD PLPGRRVSAA OJF2_RS35425 MRRPRPVERTEAGSGGYGNAARALAILSLLPVSEIPVPRVHVGG RQSDGSVRVLDRPSGESLVVYTPRFTQQFSSGHLAGRWYVRKLTHVGVIPRSLPFPTA RAAVEAVEAGRWALSTPAKPRQDARRPLRPWATPDESRDRPA OJF2_RS35430 MPLLLVEARSFPLALEAAAARRALLPYADLKSIVAPGAFLAALE LRGADLGHALLSGADLTGADLRTARLVRTYLQDADLSGADLRHADLRGADLRGARLDG AHLVGADLRGALFYGASLDGTVLDWRWAGMPGELLRRADRAAGRPAGPEVDPVGDAER PVAWLKAILADRESTDRAIAALGPFIRAGDNSPAILRALALDVAPATSAPAPPQAPTS PMLWTSRPRPRPGARRTARAR OJF2_RS35435 MSRRFVFEGGYAPDALERRLSMSGLVSHAFDRKPAPDDDPAPRP EPDPGPLPTAEPPIIIPPLPPSGPAGPG OJF2_RS35440 MKPHDLEGIPGPLDDPADAVEGDGQGPPPRADDEPALELADEPI DPELPHRLWIKAIVPLLQLRSEGAGPSGRVGFAFDQMYIAACERLCRIFRSDLDEPRG SAPAGR OJF2_RS35445 MIEHDPRRLARLHEEAIRSLVPLPSPVRDGAPRFLGQVTSGGAM PSSTDRVFLVHPVSLGGREGEGAAPDLSVDTSRSIPVVLIGSRTPAAGDLVAAFAVGG RWVADSGASSGLICSPCSLPSRNLVISWTNARLGNGSTTLVYKAPSSWLSACTQQLLF SLTCGSSRVQLGVAYYLSGSCPDGQSQACVSPGSSPLALSLDAASCNPLYLHFTVTAA ACPVLWNKGYSGFTITQ OJF2_RS35450 MIDGRILRDRQADTLALVDKLSAPPQVGSVAVLAQTKAVATYPG VASAFFACAPIEVDGPETEGAAATFTADASRTIYALNLGTRLPPLGTKVILHACGGRW TFRFDG OJF2_RS35455 MAGSFDFDPYAAPLASPAADVGVYRYDPSQAGDLRYTLVPNVCC QSIQQREGPEPPVASFRYLLDDSDPSSGLPSQFEQLWPLAADGPYVLRNDERVVVLAG TPWGGVRVLFDGFVQVPSVALTPGSQEVSLLAVGVAIRAWDNPIGGRLERNADDPYGG DVVPVDLPTRFNPDGRPNCTPDGQGVSQADPSKRYPAFIDPNLSRNPDPRAFWTLGKF ARYILAVHNDGRYIKNPDFTPIDAMLQARSPLAGAGFLDPADSGEYASNDVSIRDFDA TNMPWPEALALQLGYAGFGMRFVTSQDGEGAPRTELEFYRKDAGRQTAPLDLELPTRG ADLDPARCNVASLHLTRDARSIVNAISVETPPRRVEVSVVLAAGFTPAAGDESAGSRV RFLRANLSTADGDARRKYRVYVADEAGDGHWDNRSGAWTTSALDLSAIFPPGDGKRPS YARRLRPGSMTLLSRDSAGRPLRAQLALSRDYNGLAPALWDGSGTWQPITSSWDLLED RLGILVTAEDPESWPIGEYTGPSPQEASRTLRGVTSQANPSPPNTRFFLRLTTVIDDD LMLPAVLGPRPASPTTFTLRRRIDARDHFRMETIAARSLYNPGSTAVVVRDDTELALA HARQLQAAHEFPPLTGSVTVPSLVTAFRVGDRIARINGRDISFQTSIGAGQGESPAYP VVVGLTWDFTGQRQATVLGLADRPSQAPQSA OJF2_RS35460 MATSYTGNVKLGMPAVADRNWNLVLNANAGTLDTLAPVGGLCVT PAEVPSASLSVRVAAGTYRKKDGTAGTFAGAASFALPAAKVTSLYLSDAGSLLTATGG YPATACVRLATVTTGPTTVLSVADDRLVCGVVGTDVSAFLPLAGGTLADGAGVAVGTS SGAQIGTASGQKLGFWGATPIVRPGPYTQTYSTASRALAAYSPTVETTSFSGVASGQS GSPYAQVSDLNNLRSAYENLRALAENVAQVLNALINDLRSTGLLS OJF2_RS35465 MPFDQSSITDVRPPVWDGSALHLEWSSTAPDGTYFQVYVGRVLS WYGTSRWVAVPMPTSRVRIDIGAVGPGEQATDFGAMLATAPADRAYLSWLGGSYLDPS GDDDIAGFRIYGEIAPGVGIDYTDALDEIPAYPGGILTDGFGLGGFGLGGFGRAASSY EWTSQSLRSGTWSFAVVSFDAAGNCGTPAITSATIQSPPRPPAAFPDGTRLKASYDPT TRRVTLNWLESPS OJF2_RS35470 MVTYDGNNIFGAAVQLQHVTHPSAQQLNAFFGVSGSQALYGGGR GRMFLIRGILLGRTVADLNAAEASIRGFADGQARVLVDPQGRAWPNVIFRGEYIPDGR GPLATAGGWAQPYRAVFHGLT OJF2_RS35475 MTVDPTETTDGHPDRPAADSREAVASLLEELPAGILEPFILRSA AALDAIRPESADPRTTDGSDLSFPSPMLSGGDDGTASRPPGDLRTMAAGPQQSPPARQ SPIEPANSPIEGGWLQPFLAATPEVDLGVPAGALAEGLPEMAATRIDVPGARASQPRF TDAPDWSQGVPASSDGDPSRGQPPLEVPASAPILEPPVHGGSDLDALLTPRSVGAADL LEQFDGAGRSSIDAALRASRGGPSGSGYLRGGDPWRSVGAAYAGEPSSAGLESLWHVP AAAPGPSTSDASEIRASASAWDASAAIDQMTGAASRLLEAASRLEQAAERIATRRPQG YTSAPPAFRGRVDG OJF2_RS41345 MPWEDAIGVTHMLVALGRAVPPSRWIESLSARREEAARDALF OJF2_RS35480 MRDERTLRRATFTDGPRVVLGDGQAWAFPRPWLRLYPVRGEDGR LAVGGGMSYGAEYEDLVDRLVECGPDDRSGRLAVQFQMAADLLGRNYELDDRDLRRLL AVDLADPACEARWEQINQVLLGQPPKPSADGSATP OJF2_RS35485 MNHPDALILPESWKSGGTHIDRIDSILRVAEPLLDVDRGRGGRA FIRRQPGGRLFVTPDPADTLQFPIGHAREGMPRYRWVVQTDGSEHGFLVEEAADA OJF2_RS35490 MAATKLQANWAAVSHGSTSITRVTNVSFSQGGSLSSFSADGDHY PTVIVSLQNKPSATVTSSDTAALMGLAPGTVASFSATHKDAKGATGGDVVYVMANAVV ENVDTSGSHGQFGTATLSLLGYSSDGVTNPLSFTRA OJF2_RS35495 MTLFRERDIRGLIRDMLEQTGAFDGAYLSGLPEDRGERAGDGRA VSIEPSETRQACPWDDADGDPIMTCQVALTILARDDDPRARDDLAELLLNIAANALWR SNLGGAAIASRTQIRSWTWLPPQAPERRIKAVLEYQYLVDGLTGLNTAE OJF2_RS35500 MSITITSTSNQVGAFNGGPSDNMWLGQPAAGMSCFLKYTGNPAA LANANVFRYGNYARFGINCTTANSNSVKFNLFASNTSGSISGQFSAYPDVVYHVAMSW VSGRQVCYVNGIPIATASVVGNTSTVSQTLYLWTMPAAAPVTLSDFTAWNGYALTQAD VLALRDGAPPSTVGTSATQVVEFTFAGPTGATPAVGDPGLKNAIPGGVSTSDFTTRFG TWTGAYSPQLAYTPLITAVVSSATVLTSGTLVRFVFTDVPGALTTFLAPLATPTISVN GADLGALIPVPLDTSANAAAIFRVPGGVRIAPGDVVTYSAPTGWAGTLAGVPGAAVNA PMTNCSGRPSSGADTLAKTFRPGMNFPWSVTTTTPFCAFANQAFRMGNMSSGSGTLAK DGTLALKATTAQLGVMNDFFQTGLPSSTIIQTNPEGYYAVAWDDLDPIANPTTLSLVS GSPHSTLTEVASCRNTGVNGVGQVRVFQYAYTDSSRYGAISVQFSCPTKSGKIGNMWV LPPWGFTFTPGTPCTVDTSDPLKIDARLLARFPNGLGAVRCMDSTWSNAGSGWGESEP EDAPQLGPVLPAAGGFDQPGPGITQGGPWDTTVSPYVYSATMGTPFQATLGAPIADTG SLDANGRLVSTITISDAATAPVIVGLVLRIDSEDMGVLAVSGTQVTVRRGSRSTTPAT HTAGVGTLGSVTVTGRIAASLANLGARTFAAEFVTQSPHGLVTGAIYRFAPFSSWPTF TDNQKGKAVTVGSNNGWCVPLVTGPSTFVIPVAYGAYDGQTYPASTATAAVAMNPAQC YLYTRLPAPGNYPIEIVPKLADALNANAHVNVPSGANDDMVDALARRVRDAMTPGRIV YVEYVNEPWNVAIPMFPNLMQAMSRLLYAGSPVIDAAYYVERSGQVWQRFKDRFNEGG RNRGGEIRGIFNLQLGADASWLTYGLTKGVSADAVALAPYQDIWSNAAMYTAARGATD EELIDLYVNDIWYKTLSSTTKTQFTSASIAAYNAANGKSAFLYGYEGGDQHLCATPNG GTAIKLATPPGATDTTLTIAANQGTIALFPGDYIMITADAAGQLYTYSQPTDEWAQVT AFDPVALTITVTRGMLGTTAQAHTGTTYVRSMWVEKQRDMIYHPNWAHATTDYHAFLQ SVGFREKCHYAYSMPYVNGQSMWGVYHNAFQMPGKGDGSDGQANNRNYLATPGQPKTL AAGVVQDSMCVSPRGYAINQWMAEVRQVNPVGPKASRPSARKFLPLSRFR OJF2_RS35505 MYMNDGLGGLVDYSLCLATTSKTSWTSPPLDAPASYTFAVRSTD IITGLEEKNVDAVVDLALDSTARDVTDLPLPPRGLRAFAVAGGKLRVEWTDSQDGRLQ RRPLGYRVYLGTGSRPDYATPVAVVSWGGGRYAGYSVDIDGLVGNQAYLVSVRAFGTS GEEQNTTVVTTLMDVEPPDEVDSLVVSVINRKA OJF2_RS35510 MAPFPPSTVPPDATTVADRHACVVPPSRVPPDAMTVADRHACAR PPSRVPPEATTVADRHACVVPPSRVPPDATAVNDRHACVVPPSRVPPDAMTVADRHAC VAPPSRVPPDATTVADRRACVAPPSRVPPDATAVNDRHACVVPPSRVPPDATTVADRH ACARPPSPIPPEATTVADRHACASPP OJF2_RS35515 MPAYQIPQSSSSRPLTFMLVSSIDHITGLAGASPTVTISKNGGA FAAPAGAVSEVGNGVYKVAGNATDSGTLGPLWLHATATGADPSDTLYEVVAFDGQAGT NLGLSALPAASPGTVGGLPVANSSGYVGADLQTIKSQPVTCSGGVTIPAATLASTTNI TAAAGVTLAASQTFSTTGSVGSVTGSVGSVSSATSIATACAAAILVTPANKLATDAAG EVRLDYSQGLPTNVIDQTTGSAFADVATAMTAAEVATACAAAILVTPANKIATSSAGG VQLDLNQAVPTSNTAQTVGDSLNAARAQGFGKWVLSGTTLTLYAADGTTAVRSFTLDN AAAPTQRS OJF2_RS35520 MRWRDKPPAGTPIDTRYHLSTGLLRAWTMNEGQGSALWDAAGGT TAGISGTGYSWASTPHGHGLALAGGNAVVTDSGAWLAGLKSASLVFVANATGTGGGSY GRLMDASGAAITLLVNTSFTSVQGVVNGITGTFPTPDIRAWHVYIFTWQSGVSWTLYV DGTPISAASPPTATLSAPTYVYLGNNSTGTRGFAGQIAYSAAYGRCLADAEVRSLTAA LWSVYRPALGWPAPEFAAYYAGAARVRRTLYTRAGSRGVA OJF2_RS35525 MAGPIVTAGTAGNVIASGPLAAGGTATATVDLSTGGAFGGDIAL RVTTGSSVAATNGCRLDVFPQGDAGGAYDTVAAATYPFSGLSASSTYQKTLRLPTGVY KVVATNLDATNAITVQVTSSRIA OJF2_RS35530 MALLVPREGDVQLLTDLLGGGTLENWLLGLFHANITPSETDTAA TYTAQEATFTSYARKTLTRSIGASTWNTPVSQAPSGSPAWSARSQVGHSQYGASPQTW VCGATGDTIYGYFIVGATSGKLICAEAFATPRTLASGDTLSIIPVFENA OJF2_RS35535 MSSADRLAVVYATDENLAVRASGDFAFLCPTWQKLAWGNDGVLA ASSPWVLRSASVNLSGAGVGPGHVALLSKPTTTFKGSGELFAVDAVAGDTMTLRRLGL DSGAGQPPSPAADLSGVEFLVTTLAPQIEEASFDLNQRLSIDPLVPGRTPGDLRDLRD LRQACVLTVLTRRYAAEVRGSQGDFALKLAETKQELGEILARLQLRWGPGATAEKTST WLSTRIVR OJF2_RS35540 MPNDTYVAPVIQPSGTTFAQFQSGGYSVLIDNLIAANGPVANPS VAATVSVGGTGGSLAAGTYQVAYTFRDAFGESLAGGQSATFTVAAGQVATVTLPALPA GADEIWLYATAANNPTGPLSLYATGITSTSFAMSSATGSDPSAIPTPANTTGALSVAD KIRSYRGSSGDQAFKNYSAFLSTLLRGAAIGRKDGRLAAQQWAAVFAVWAQAAREAAS LVLLNPGTVSYKYSTVGHAVPSRTWP OJF2_RS35545 MPYVFPGLRPFIERVARGRDLHHLGRAGELWHCKQVQDALGQLP RLEGSSRRQLLDHVFAIRDSLAVALEGIDAAVEETASELGIPLPGKAGPEVAGAARRP GKR OJF2_RS35550 MPLYEGGPLTPFSAFNAGVIPNDVFGVAINWFVNRTPLVSRLPK LPTGAPQFLITNDNYRPRSNPMNNGGTLASAASTVTVADGSIFDTGDVVQIENEYILV TAISGNVLTVTRGYAGTAAASHNDALPVYLVSNSRTGAELNIQSVSRIPQAVTQYCQT VQHAYQVGGSLQSDVNYATGFATPLDRDRMLAIQHVMDDFESACYYGKGVGLSASTSR PLMKGIFSLLQTNNVASPTNAAAYKPSDLIRDTLQACFNGGGNPSVLLVSTDFLSGMA VWGHAAMRLNAGSNVFGTPIDLFEAPFLSGISIIPAPLLRPGTAICLSDSEVRIRLKR AMIDKPRGSRGDAFEGDIIMEGAVEVDNEAHHACVSGVTAFAAS OJF2_RS35555 MSEPSFSAPNPSPAAGPQAAPPSIHLSVEEYQRLRTLEQQLDEV RKAQQAAIEAKEAERLRALAEKGQVEEALTQQRKSWEQKHAEATARYSQLEQQVHAER KAAVIAESFEGRSFLGETAEQRAATALMVRRLLQDDFETARDASGALVVRERATGRSA SEALRDRLDSPQFAIFFAPSSRGGAGTDGTRPPANPQSSQPGSLDAIVADWRSRQNQY QSFGLRPRG OJF2_RS35560 MALAPNSAILACMNGPAGMPRLKLPDGRIVDRPHPEWLMHQLRW RWLLDSWEGGEAYRMAVYGFDPQGMPIRNLIRHKREYPSSFEAAAPQAGRPPGTDQAA QATDDDYELRRARTPVPTFVAEAAEAHLARIYGREVHREGPERLVAWWRNVDGRGGTI DDWMAGTIAPLLLVLGQLDIIVDHPAVPDGEEVRSRADEVRLGLDSCVASYILPENLV WWSLDRLGGYAECLVREVADGGEVRWRFWDARSWAIYGESGELVRGPTPHPYGRVPII RVFDRRRPRSRNIGLPRYESIAEIQREFYNRDSELILSDTTQAHPLLQGPEDYVQADG TVPIGPNWLLPKKKNTGGSGATYEGFDVVPFPKEGAESIRLNKADLRDAADRAALLMK PAGGAGTSGSTVGQSGISKRLDQAAGNDLLGKIASMLGRAERQVAELALLVLDDGRPR GDAAAVRVNYPTQFDLFTAEELARAIAQFQGIVADAGNAPATESELLRKLVRLMLPGL EDADYAGFDAEIAAYLEARASDGPAEEAADGANPGPAPSRPRVGAGPRREA OJF2_RS35565 MNREATTTPARAKRPEGRVLEAVRNRRPRTPDELAGWVHHFLGL SIPGRAVCKGHHAPLELFAHQVLERPSLALWHGPRGSGKSFLSAIDTHLASRFHSRHE TRILGGSRAQSEQIYRAIAEAVVSGEGDYGSDREAIRKLHKTEADYVNGSRVSILAAS LTSVRGPHVASLKLDEVDEMAPDIRESALGMAMEIRGIRSSVLMTSTWHRLGGPMASL IDRGRGGEFPVFTWCIFEVLERCPAERSGVNLERCPQCPLVTWCHQDRDGSPGWRPKA KRSRGHYAIDALIQKVKGLSARVFASDYLCLGPKADGVWFSQYDPAANVGESAEYDPA LPVHIAVDSGVFTGAVFFQVVPASRANARGSQPFRVHVFADYLAEGRTAEACAMEIRA LADELCGGARRIVSTDSAGGARNPVGPTVIAEYLRCGLHGEGGILSWPKYPGCVNDGL GLIENLVRSADGGARLILHPRCRGLDVALRSYARARRGGQWMDYPADPQHPHEDLVDA LRGGLKLSLPEDQGAARTLPRARAGRVF OJF2_RS39690 MGTPLEGAPCEAGVAPDADDGLLTEDMARMYLAIDRDGGDDDEP GSDDNTGAG OJF2_RS35570 MLNYCRWWGRRKTQLVSVLVLAVTILWMAFTLARSERWHRDVLR EVREGFRREAAVRDAVMRAIRPPSSRALPPAKPVPR OJF2_RS35575 MSSLPEVTLRSATLVGGLLATGAAVIAEATSADSSVNTGSFLLG GAGLVAAISAFSKDYWSDRQKQRDHEVCVLRLKLRNSRSSASVQALYAWARAAHDAVP TLPAIPEIRADEADNSETEYRDA OJF2_RS35580 MKIALILLELINGYKTYASVILAVVSGLGMILSKDYGGGIAQIF QALLVVFGGTTVVSMRHAVAKVEARQAA OJF2_RS35585 MGATIPPQIVTGWLGIDSSQRACAGPFQGLAAAAPHLMGDTPTS PVLLYKAWREVLGKDPDYPAQQIGDCVSFGHGHANDLLQCVEISLGEAAEFRETDTEF IYGTSREAAGILGRGDGSYGAAAVKAMTTVGVVSREMLGADGAYSGGRARAWGRAGVP EAIKRQAAPYKLGSAALVSSWDELVAALVNGYPVTICSNQGFSLHRDRDGFCPAQGVW GHCMLIAGVRFDRPGACILQSWGPDTPDGPTALDQPTFSFWADRRVVERILAAGDSWA LSRAAAFVSRPLPPRWGYNLAA OJF2_RS35590 MFARVRAFLLAERKSLMLVAASVVATTGLTTWAMPAASRWLGRG AATTRTADPRFVALGRSYIPELGKAYSSAWDDGATALESGQAIGAALKVVSQSWEQGR TKLFDRLVTPELCKVVPDGKPEAELKDADKAAVARAWRGLAAGMKSTGRWAWPFGG OJF2_RS35595 MARRGARSADEVRLVPLFPVGPFTPTSRCPHHGPIPKGSDLCCM VCLQSGHDDHPDLRRDPRTDPRPEPKRAGAEPARTSAHGETRKERRRRLREGAGAASG TPRV OJF2_RS35600 MAHDDSMATPRILSVGQCGFDGSTIADHLSKHLGARVEAAEGLD EARRRLAAGTFRLVLVNRILDADGSSGIDLIRALKQDPDAAVAALPVMLVSNLPDAQS EAREAGAVPGFGKSELKRPETLERIREVLGA OJF2_RS35605 MPTLLREPTSAASHGVGFLLAVGLTWLFWHRCAARSRAAASAGD DPTLAFERGKLVSMLIFGLSLMLCYGASGLYHGADADGRTLATLRRLDHVGIYLLIAG TYTPGVWGLMRGRWRRRTLQVVWAFALCCASRTWLGGVPTRWISTTIYLVMGWGVLIC YRELARGLGHRALLPLPVGGVFYSLGALINLSGWPGPSPAAFGAHEVFHLFVLAGTAC HVWFMLRVVVPAAAPAPAPVMRGVPRRRDWRPAGLGRRFSLEAGEASPRPMSPDRLPR PGRAPQGPRETSPGVEAGPDRP OJF2_RS35610 MRRRIPHCDRFRLAAWIIVPFRGRARLALGLTLALVQGAVPMPG STALAASKKAAASVLTGPVVLDGDGRPLRLPTSVSSAGVVLQSNRTPSYLAYRVSNPR ALDSSIPTVATHAGAATTGPLYFNPAVKGQLAVALAASSSVAVNNGKSTTLLQPIPPI FSSATSNGKVLAWLASQAAASRNTADGAAASSGAPSSKTTTPAAENLLSPNSIVNSIT GNSLVKDIQSLISQGPKGASKWNQESLDALKEQLRLHALDGVTTPSAARAGTTVAAAQ ELSPPGSDGASAVQPAPVPEPGSFVVFGLAASALAARAWSRRREASRISR OJF2_RS35615 MMIPVPVAGRVSGALRGLIDSRLDTIDRMLLGRLPRSERLDIVR EVESQVYELLEERAEQGGGELCREDVLAVLARLDPPEAYLLVAEDGEETPAMRLARSP RGERPRPAAGRPPDPADGRLARTSGILGIVGLVFSLLQFPLIEVVGDLFRVPEAIVYG VWFVLTAAVFVLGTLGIVLAGRAGLRRPWAVAGLILGILDVLASLVLAAFGISGG OJF2_RS35620 MRKGLVELAVLATISREETYGYRIVEHLRGREGLAFTESTIYPV LARLAREGALAVRVEPSRMGPPRRHYRLTSAGSRRLMAMEERWRVVSASISRLLGHDE HMKEKRDDDSGAGGGAGLGRPSGAD OJF2_RS35625 MALRLLTLLYVFSGAANLSPLESATCHSAPVVSAGRQQSPPAQA PLDQGLTNQAILFRALPVSRPEDLLVGPDDRDPLEIMVDVEETCTEDDGETAAYCPEG PSIPGKGDLSPCFSSHLVLPSLLPAWRTPLRC OJF2_RS35630 MERETTTTVERLDDPRCRRAMAYINERLGEEISVARLAQVAGLS PYHFLRVFKRATGRSPHRYIIEQRVNRAADLLRDPRRTIVEVAYEVGFCSQSHLTVVF RRFMKTTPAAYRDEMLRLDGMMRAERATG OJF2_RS35635 MIGIGTTLKQRYLLEKELGRGGMGAVYSATDQVLARKVAIKLLK EQGGDEVGKRLRVEARIAARLLHDNVVRIYDFDEDDGISYLIMEEVDGTSYVKRWRSL TLAERLRILAQVADALDYAHHQGVIHRDIKPGNVLLTATDVPKLSDFGLSLLAEQSDE AGAIRGTPHYMSPEQAKGKRLDFRTDLYSLGVMLYESAVGVVPFLGSATAVMASHVGV PPPRPSERNAAIPATLERLILDLLAKVPADRPPSGAAVAEALRQEVDRFGGQGPQPDE AAAAPPVPAGPDLAALARIEEEAGALEPAGPARPPTPSPPRAVAPARPAPPPANAADL VASPMVRSMLRQVLAEPVILQPEERYLMGYYLAHLLIGARRLGILQRRKADRRNADRA RLLLAMTYALSCGPTEESVKEAAALLDQQVEVRPALSPVVVAKYLEWRDGAPHRRLFR RTRKALLEASSYARKSMVDAKGVLNPGLMPQSLDDLRKIAPARTVVDDVLVERWNRLA EIWREQPAFRIAALRYASPLAYRDPASQALWPEVVYPVIELARWQRRFRSRAEAVWDA LVGKLLHVGDAGFRLDRLLERSVPARVVAQIDDSVNLLARKPPPAVDEDEEDFDAGAD EADRLSVAVSGNPIDIDELADDARSGQSRAFVPLAQPDPLRFLQGQLHELWKEAVNAL QSQARPAPGRPSPTGHRHIAVGPYRLVVVASIRGRAAGQVAIQGMANKQVEMTTPSFR TTGSAAKPILAIWPYKDNSLVVTHLDFQGATKYILWHAPRAHQLRFDVPEDLLRELQA LGMEPPEQLDKALSRWYRPSNKV OJF2_RS39695 MSELESGRDAESDPIVNQGEAEAPTNLARGGLEDDELAGREAPR EDGGEGDEGDAEDSGPGAGGRIAVRLLAGLGPAAKAAGAFSLQGLSLVRRYPRGVLAA GLSAAILGGVLVLRPGKGGRDPANQIGGAGGQGSSSSGQGEKPGVDGAKGSTEAPPPE TAPAVVAGDPTPTPPKGDAPAPKPDSPTQAAADAGKQPEPGAGPSLPPLPAGGADPEK AAGAEPPARDRVDDLISGAGEPTSPTKSDAAPVAAGTAPAPAPAASTGEPVKLTAGEA TPGLPPIDAPGSAPGSPDPTHPTVAGAAAPPASGLADLSASPGLESGPGPASQEAIAS PPLMELAAADVKPGTPPPATAASTAAPAPAPAATPGTTDPATVPAPAPSTAPAPALST PPAVEKDAKPAPIAEPVLTPTGGKPLEPPKPPAEKTDKPAQAGLDAAPPAAAPAASDL GPAAPPAAAPAASDLGPAAPPPSAPAPSVSPAPAPVATSAPDHGPEAGVPAVHPADHA PAPSSPPASLPSAELTIPPAGSPGPAAVSAPAPAPGGIPPLKSIGDASPSPDRGGGDR DAAGSPLPPRDDRAAEDGKGVALPAATTSPEPGPAATPAPSAPPQQTEEAPPKALSAD DLAKQGWVPIKSSGGENIHDVQREMTGMGDGSPIDPGAHADKEQAFETEIPAPARNNV QAPVRPAAPRPASTSRLAAAPGDGRAETVLHTVRANENFWTISRTYYNSGRFYRALWK ANSDKVPVIDKLYRGTVIRIPPEEDLEVAFIDPPGAVARTAVAGTEATAGRAGRARQD PEIDRSEARTGPGAPAGRDGVPIRRARRADTDLDLPDSASDADDAQPASPRSGRSANA RRAFRDEGDPEPRPRAPADRPIYKVRPNDTLRMIARDTLGDARRADEILDLNRDVVDD PSRLVPGQLLELPEDARPARTRKR OJF2_RS35655 MHRPVLLDEVIAHLGPGEGMTLVDGTAGAGGHTAALARRVGATG RVIGLDRDPAMLALARAATAGLPVTLVHSPYSAIREVLDELGIAEVHGIVLDLGLSSD QLAWADRGFSFATDGPLDMRFDSGGDPDRPPGPTAAELVATLPEAELARVFFEYGEER FSRRIARRILEVRRAEPIRTTRQLAELVRRSIPGKFRHGPIDPATRVFQALRIAVNEE LDHLDATLKALPDVLAPGGRAAIISFHSLEDRRVKWAFRNDPRLAVLTKKPVTATAQE VSVNPRARSAKLRVAERCPNSNQAGTPSPIPS OJF2_RS35660 MTERQHQRPDRPADIRRDGTPATEDIAGETFPRTRWGLALLFAL LCLVFSGIPLSTDLRNRPNKDYSLWYQVGEAVRRGIDVYPDPASGRLFPFMYPPSAAA LLGYLSPLGKHGTTLVLVLGHSAAWLGAILLSVHLATGGRKGLWRQHPLLYLAPSLCI IALVHNTYLLGQPNLALLTLLLGAFACLRHRREGLAGLLVATAAAIKAFPILALGYLI YRRMWRASAATVLALAAWLLIVPLPFRTPSQAVRDVDVWARGMVFTYNARGIAQRPYR SFSYKNQSIMAMAHRLLRDVPADGEKAISRGVEAATAAAEAAGHPATPARSRKLALGP DGSFDLEAMLDAPADAPRWDEYGPEVEASLREAWRVNVASLDFRAVTVVTLGAMAGLS LFVLVVMPRRNDRTAETDAIEFALMTLLIVMFSPLSFNYAFVWLIYPLTVAVHLALDA PATGRRRTLERAWLAAALLAPATAVFTPLYAQAYGNLFVPALILVLGLGLRLRDIRRL PAVTPAPPRSIPARHDPAAAHRASPGAGDTR OJF2_RS35665 MDDTNSISVDSVAPAEAGPAGLLLGRDLIFTSKVTGTARALGRR VLVAGSPDLAASMIEQWRPRVVFVDLAAGDLVTPEALVAYRSLAGAGTPFVAFGSHVD TAALAAARAAGCDRVMARSEFSRTLPDLVRQYLPDNDGPSTAG OJF2_RS35670 MIQAVIFDFNGVLVDDESVHFELFREVLAQEGVTITDRDYHEKY LGYDDRGCFAAALADAGRAADEARLDGLIARKARRYVEVADQGLRYFPRAAETLEAIS ARWPVAINSGALRQEIEYALRRLDRMGCVSAIVAAEDAHKCKPDPAGYLQALDALRAV AAGKSPSGPPLEASDCLVVEDSLAGIVSAKGAGMWAVGITHTYTAPQLRQSGADAVIH GLDEFTPDWIEAHFARPHH OJF2_RS35675 MKLIIAIIQPTRLEAVKEALSRVEVFRLTVMDVQGFGRQKGYTE VYRGHEVTVNLLRKIELQIAVNEDFVEPTVNAIMEAGRSGPDGRIGDGKIFILPMDDC IRIRTGERGPEAI OJF2_RS39700 MARIAALCFSLTLLLGASGCTWTETRSDYPPEVAADGHHHHAQP PEFRGDVPPMP OJF2_RS35680 MTPHRSERRELSTASPLQIYLHDINETPLLSPEEERELSERVAA GDPYAREHMVKANLRLVVNIARGYLGKGLGLEDLIEEGNLGLMRAVEGYDGMMDTRFS TYASYWIKQSIRRAVMNNGKPIRLPAYMVSLLAKWKRATAVLGERLGRAPTPEEVGKA LRLSKKKIGIVVKAIKVSNLTPQSECSDEDGAILDEILTDDRSKAPEQQMIEADDLSR VFDRLDELDDREATVIRMRFGLLRPYQPMTLREVGEKLGLTRERVRQLESLAIQKLTH GLCETSGSIDA OJF2_RS35685 MNAPKRPHAARGFTLIELLVVIAIIAVLIALLLPAVQSAREAAR RAQCTNNLKQIALAAANYESGNGCLPAGSYSGLNGFNPPHWGTYVENYSCFVRMLPYF EQSAMYNAMNTSLSSADVCNLTICGVRVASLICPSDNQNETIPLPATRSSTGVTPGWS FNLIDSGPDAVFPLPAGGTWQQAFTSYAGNAGTFTFGFTKLMPSSVLGQFNGLIYNDS SVRLSAITDGTSNTFLFGEHSKSTLMRVDPGYAVSDGAWNSARWYDTLFATLYPLNFG NGNNQNVKNASYYLPTGAGSNHPGGANFAFGDGSVRFIKNNINSWSFNQGNADSYGDS MPDNTTFVTVPSSADPNAIKSGTYLQHSGANGPAQLGVYQALSTRSGGEVISADAY OJF2_RS35690 MIRFAWVSGLAVLLAGCGGSGLSTDNPGTPPPHGGEVINLPGAK GHVEVVRKSSARGDVSFYFFKDSTTPYSPAPTSGTLTVGKKQVSLKPEGEGLATPPGA DVFPRGALDGSLTVELAGEKVTIPLGVR OJF2_RS35695 MRTTILHGVIFSTLTMAATLVGYTPAARAQGTSAGPIGRLVHRL RHHQQPTTTWNAAAAAPAAVAQQPAAACDCSGSDPYGFASVLNRLRAAAGLHPLAYDA DLSSWASQNNAAQCRRGIGHHVNPGCFQNCGWNHSSAEDVARGWMNSPGHRENLLSPS VSRFGIAYGPGPYWTLNAR OJF2_RS35700 MVCSMVKKAVVGSALGAAALFLVFGTHAPSYVRTAYHKVRQNAK DLTPLPFDIDRARDEIASLEPAIRDNIEKLARADVDVEGLEKEITAIKANAEAEKKAM LTLRESLKTGEYRLAGHTKVAYTEDEVKADLARRYDSIRNTKNILDAKETTLKAKQSE IVAFRKQLDTMVAQKKALSTKLDTIEAKLRQIEATQASNEFQQIDGSALSRAKETVSE LEKRIEVMTHKAELEGRYAGGEVPVAVDPARDVVKEIDAEYGAESPAAKPGKSL OJF2_RS35705 MLGQWRIVLRQAEEAARAGRFDEAYALASRPDVADHSQAVQFRG RLGLDLIARAGRRGAADDMAGAIDDLYLAERMGAPPDSLAAARLSLADRVADEIRADL DAAEPARALERLEELARHKIGGPALRRYREIAEAWQAATAEARRGEFGHAFEHLERAE RLAGGAGVTSAQNAAAAGRRDLEARQKAAAPKVEALYQALADAKWPQILAAAEALLAV VPEHPAAKQARARAWQQIAAIGPSGAAQWPGRGARAAQANALIGLYPEQAPAPAGAAE RQPAAPPAPIANPGKVAEEIVWLSADGDGPASPAAPGPRLSPRTPRPAPGSPSPAPAS RQPAVAEAVAGPRGRFLLWVDAVGGYLVCLDDRIVLGRAGPDSPADVPLMGDLSRNHA TLIRSGESYVLQAHHPSFINGKAVADRAVLRDGDVIRLGDTVELEFRQPSPVSATARL AIVSRHRLPLAVDGVLLMAETCIVGGTGQAHIPAPSVRQPLVLYRQGNALWCRAPGSF DVDGRTCAARAPLTLRSSVLGDGFSFSLEPLRSHPV OJF2_RS35710 MSTTAKPLTGADMDETIIYGVNDLSGSEPGPGRPMGNSDMPSSD ETSPVPGHMPPQAQGRYTFSSGARPLDGYTIKRAIGRGGFGEVYYATSDSGKEVALKL ILRNLDVERRGVMQCMNLKCPNLLAIFDLKDNDEGDSFVVMEYVAGPSLANVLKQYPE GLPLPEVRRWLKGLVEGVAYLHDHGIVHRDLKPANLFMEEGIVKIGDYGLAKLITPSH GSEHSESIGTCHYMAPEVGSGKYHKPIDVYAIGVILYEMLTGHVPFDGETVNEVLMKH LTARADVSALPEPYRRIVAKALAKDPNQRPSRVYDLLPAEDAPKAPDVRIIGGGRQGQ QGEVLDAAAAARTSPRPEEDVFRIEAEEPVFYIGPETRPPRVRVTVQQRLRANWEALR RPAAYRRPAQAAQARPRTQPQPRAARPAAAPPPRQATAPAPPPEPPTLPSGRVRVAEL SGSMLSAAPMAAILALPVALMTGIDLESSPQLAAYLFGMTLVGTWIALIANKLLEGRE ADGTTRRLAGAFGGLVLGAVAIALNRALELGMPQSRLFSGAQDLEPIYFGALFALTAG WQGAADRGRSRRFRLGPLLWTTILAALLSPAWPYNRPDGVAVAALIAATTQIVSPWSE QAARYARYVRTNRNKNDRNVQVA OJF2_RS35715 MKRLLIVLLVIALIGWSFAAAARRRRVSESEYQYARAAEARREA ASAQSDARREARRAAEEARRAMREARDEAQRALREAGREIREAFHEAREAWHQAGDEN RDAWAEGADEVREAVAEAAQDARECVADIPVPIVPGTRTVEASPEPPRAPESPEAPEP PGFPGLARDHAAPQPPAAPQAPSRPRAARPQATPATRPAEPERWVVGLVSVTEERAHA EARKKLEQEVSDWLESHDIPRSWTPPARLVEGMIRESRISRIDKEYGTVYEVRIRPDF SPERMATLRQAYRDQLVRGRLVLLGSALAFVLTCLAALSGYIRADEATRGYYTNRLRM LTAVGVGAAGGAIYHWIA OJF2_RS35720 MATTDTDALLVRQVRAGDAEAWRQLIERFEGRLLAFVDSRLRDR SASEDVVQETFIGFLTSLPHYDEKRDMEAYLFSIAAHKLTDHLRKQGRRPIDQFGSDD HGRPLDEVPGAARAASSIARSGERRKAEERLLSDAMGQLVREWLTRGDFDRVRCLELL IVKGWANKDVAKYLSITEQAVASYKFQTIARLKEMARRAGLSLEELGGA OJF2_RS35725 MSRSYEQGHDHHRDRESPSPAIDDASLRDYLADVLAPGEMARVE KALRDSAELRARLEEVRNNREDVQLHTLGAIWHRSRLTCPSRQQLGSLLLDALDPDLA GYFRFHIDVVECPYCQANLADLESQTQGPSGPQASRTRQHKILKVSKHLLNENENR OJF2_RS35730 MRQHHRVAVVCGLSLSLAFASSGAEPPGPVTGEVRLANGRTASY AIHFDRNSIRASMRSGDSIIAATSSGALLRFDLPGLRLVRERVDPEPVTCLGAGEGGA VVAGYRDGRIVGVDPATLDVREMFRVADEPQWIGWAPAVHGRKAGLVVATQREEQRPR KTAIHDPAEGKTILVDDHVTAFLIDRRGWLWLGSDHGEWGGRITRLDLTTGKAEAVHL PQSDDLRHPAFLEGVYGFLERRDGQVWAFGGTMHMTIGSGYIARVDGPASRLLYKRRL QNVEGKAPDLLPPCLPITHMVEADVGLRVFSYDDVFRVDEALKDWRQAGRLEIAYRTG RPDAVGTYPSVVAVHPPARPGEPWALTTLADGCLTLEGEKAVPHGLAGQLGASDVRDV EETREGLLCLDEQDALPPWKLGAKGWEAASLEPPFEVDPQDEDAAELEKSTEGWRETR VLVEPGGDIVTVSNTDELGGTVTTARRVGGKSERIGRERLMLDVSSCFITPDGAIWNE SDGTLRRFEDGRWRAVMEGLKGVGHGPLKAVHTQGPPWLLHDCRERGLWRLDHGPRGV GSRMVPVNLRENGNALRVEAAILGSRGPNSILLATDAGLRTYDMAGGTLAKVDQPDPP RPVVAMARDGLGRLWVVSKGGLAMLGPVSKGWEAFERVPGIGRGEVTSLVADPQHEDG IVAGLGVRGVGLVRLSAAR OJF2_RS35735 MPTTLLKICVPAASLVLSTLACPALADGPPAPRSAPALLSHDAL QKRLGDAGLRILDARPKAEYEAGHIPGAVWVDGKAAQALAAKPGGLEDREAWQAWLAP LSIGPGTEVVVYDGKRQLDAARAWWLLRYLGVDRAGLLDGNFPLWKRSDRPVGTEAPA SLPPSTFRVAFRKDRHATREDVLEALKAKSDRIIDARSDGEFAGTEKHSKRAGHIPGA CHVEWTNLVDKDGRFLPPDALREKLAAQGVKPGEPVIAHCQGGGRASVDTFALERLGL PARNYYLGWSDWGNAEETPVSGTGDGSPK OJF2_RS35740 MLEPQTSRFWQASLQSGLLDAAGLAACWEGIPPEKRDAPEHLDR RLARQAIHRGLLSLWQAQQLLAGRTSGFRVDRYVLVDLIGQGGMGRVYLARDSRLNRQ VALKILSPERMNNPRAIARFQREARVGAQLQHENLVRIYDFGESNGRFFLVMEYIEGK TIGGLIAAQGPMPPITAARLVRQIALGLEHAHRKDLIHRDVNPYNVMVTHDGVAKLAD LGLAINKAEDERVTRDGATVGTFDYVAPEQARHSHSADIRSDIYSLGCTFYHMIAGQV PFPSPSLPEKLFAHQALEPAPLSRFAPDIPQALAEVVRRMMRKSPDDRFGTPLQVAQA IDACLEAHDRAAASRAGAGDDPEIATGVLMPGDPDSPTSTPGMPPSRTPIPEPASPAR FAGAGVAATPAAAYDAAGPPPMPSAGASGPESAVATATPVPASDQPVGSEEEIPLYLD LGPEPSLSESIARPRPWFSGERSASSSGLQPAAPVAAAAPGTLAARLRGRWPWAAALL AAIALAIAASIVSRRAGGTAKATSSPASKQPAADAPRDGRAKEAGAGTAPAQPTSPIA VLDPDGGESPAKDLYQAMEAAVGVKGSVVLRNREPLVVSVPDAPRVMASTGWLKLKAA PGTTPVLVAELKGKQPLLVTGAATNLVVEGLTIVARYPSSAPASPDGPPPLIRAAGAA EFRRCAFLTDRGPEVEGSRAIVAEGGQLVVEGGWFRGFRHGIEVNAIGGAKADLKQTM IVPAAGRPGSGVTVRFLGGGSGSGRTLSLDRFTFAAGEAPIRLSGFTPEAPLTVRAGD CAVQARGLVSWLPGTPAIPWEPRSLRWQGERNQYDIGGTAWVSQPGEGAAAVVLDREG WARLASEEHPIPGPIEFRTPAGRRPESPEPADFATGRRGDPGPGADPDQVGPTASSEI AN OJF2_RS35745 MIPVSCSCGRRFKAEDHHAGKRTRCPVCGTLLVIGQAGVATPRP DPQGVIPPSGVTDNGEVPSWWFPAGGPGAHPAAGTVATPPTTRSGTGSGSGTGSSSSG DPDEIKTAVFPQQPPAARRPAHAEAAGRRRKMILAGLGVGALTLLVGASALYWLTPRD EGRGIPWGPRVPGVPDPPGGAVPKEEEGPGVKREPEPQPPGGGPRLRLIVPAYFYPIG KGLDDWKRLGKAAGKVQVVIIANPSSGPGDRPNPDYSRAIKDAHDAGAVVIGYVNTKY GARPIAEVQADFGLWVKFYPEVRGFFLDQQSQDLRNVPTYIEIRDAARRALKDALLVN NPGAVCDAAYVTQRCADATCVFSAYEGFGFFELPPTYSKSDPSRFAALACQIKGEDAM QQAIHDAIMKRIGYIYISDTPSGDNPWGRLPTYWEKEVDAIHRVE OJF2_RS35750 MAHSDWILPRAESGCHRVFHPDRQSAEGHRIALELWIQATGHTR PDYRVVAFRCKNCGGYHVARRKAKDRTKAPARLFAHSFNPCDEPEAAGIIPDASPTDG ALDGPRPWL OJF2_RS35755 MARTARGGSRRRRTDYAPSLEPMEGRSLLSGAGAGFVAYQGRLV LVSNNTYSTAVDRNALQAAHGNRALLRVDAESADGAAALSLAGLATSPRGAQVTSGHD GPASPGDTANIAATVAGAPASPTNPSALPQGKGWLGDHPAFPRAEILPTLPRGRG OJF2_RS35760 MWTMEKILKGARKYGASDVHLIRGLSPAFRVNGEIRLIEGEPLD EASLREMTNGLMNERQRAIFDREWQLCFSRYWDGVGRCRASIYLHAGIPEMAIRLCET TVRGREVLGLPPVIDELARLPNGLILVTGATGNGKTTTLNYLVDTINRGRRAKIITIE DPVEYVHENRNSIVVQQEVLTDVPSFQKALTHVLRQDPDVVVIGEMRDLETVSTALTA AETGHLVLATLHTPDVVQTIQRIFSVFPHEQQNNIMYQLANTLQAVLAQRLLPRADGK GLVLACEIGIATPAVRKRIREGEPHLLFSEMQMGRKHQMQTIDMALLDLYQRGEISYD TALSNAHEPGTIRERSAGASSRGRGLRGEDENAG OJF2_RS35765 MPRFNQLEFDAAPGDERELGPAPSEASRDDREWLGKADADRRRG HYENALRYYSRALELDRSLVAGWVGQVQMLIMLGEYPEAELWAGKALELFRNQPDLLA GRAQALLRIGDRTRAAEMIDGALRQEGTSAYRWIVRGEMLVAARDDVDAHCFDKAVQA DRDWLVPLEIALIYLEYDKPSKGLLRARQAAEASPASFYPWLIQARCELALGFDRQAR QSLARCLDLSPRNVEASRLLEGLSEGGWSIGRGLRRLFGGR OJF2_RS35770 MQCPSCRFENMPGLDACGRCGTSLTLAGSAIDVNPPRASRSARQ MRRLVNRKPVYRAKDAARSVRGGLLGAIAADGWFTPPPPGTIRRLIVPGLAQIHAGNV TWGWLYLGSYAVFLLLGLLRWGSTDGPIFIGLAISAHASSVVSALMREHAVDRRKLFL FTLFVTTILYALLSVVLRGIAAPLALMADSRPFAAGDVLLVNNWAFAITGPRRGDVVV TRPSVNSRREVTDPMRAGGHRRDYLEDNQVIDRVIGLAGDRVVWEDGRLSINGEPVSW TPLVPDRLPARMEIEVPEHYLLVLPTMTAAAAQRGSSAEFWKVAGLIDPGDVEGGVWL RIAPLSRFRFIR OJF2_RS35775 MSDEEIEIEIGADGKVVVRTIGIKGPRCVDVAEAIAQVVGREES RQLTDEYHEAGVQAMARVEQRVRRFGLD OJF2_RS35780 MSTVLVVSPLLVASWPLLSAAITAAVSSMGYATARAMQDSSVRA GQKATARAEIELEDSEILAGGAGTDERLVVERDGILAIFSRDARGALKLCVEGAGRSK AELKQIGEALVGRVAQQYAYHRLMTELKDRDMTIIEERVGADQAIHIRVRNR OJF2_RS35785 MSDDSPRNGHHAAPQPASPQREAPRQAAPARASQPATAAPSSPP AQARRPPDELEVLIRARYPIIYVVTWEEERLEQRLQEIAKRRNKTLHVWTCSQGLVKF GADPQRSKGGAGSTCDPVAGLDAVVAHVDPAIFLFKDLHDHLDIRVCPGNLRNIRRLR DVAQALRDTYKTVVLVSPIMKIPTELSKDVAVVEFGSPSVQDFNGLLDRIIEDVKDQP RITINLDGEAREKLVHAARGLTLKEAENVFAKTLILDGKLDADDVSVVFSEKQQIIRK SGMLEYYESHEKFASVAGLENLKDWLRKRSAAFSERAARFGLPSPRGVLLLGVQGCGK SLCAKAASSLWKLPLLRFDIGRVFGSLVGSSEESMRRAIQTAESVAPAILWIDEIDKA FAGSAGSAGSDGGTASRVFGTFLTWLSEKTAPVFVIATANDVSQLPPELLRKGRLDEI FFVDLPNEEERREIFRIHLLKRRRNPDAFDVAALAKLSEGFSGAEIEEAIVAGLFDAF SRGGELDTETLRAELSQAVPLSRTMSEELNRLRNWAQGRARPSTGTPARAPAEPAEAR RKLEI OJF2_RS35790 MNGRSYKGPAREAVDESAESEITIQPDGRIFAFGITATMAEVLG KLPTRDDRTRRLLGRIAGLAAHRAAPASAAEGTTS OJF2_RS35795 MDGTVGDLLDRARMHYRSCGLCEHRCGADRESGGRGPCRAGTEA RVFKHRVEYGEEWELVPSHLFYLSGCDLRCAFCVAGVNAFDARHGRPLTAEFLGEAVR EGRSLGARTLQWVGGEPTIHLPAILGAMAACSSPLPPIVWKSDFHGTPEAFALLDGVA DVYLADFKFGDDACARRIAGIDDYLRIVTRNLKIAAGQGRLIVRHLLLPGHFACCFRP IVGWMKANLPDAGFSVRDGYLPSWKAASHPELARPLGPGEGDRARELAQAAGLRVIE OJF2_RS35800 MAAPPAAEGHEAGKPVPGLRKLMDTPLRDTSICRGPDGTYYLTG TREPFWSYNEGIRVWRSRDLRAWEPLGMVYRYGESPWHRPYLDAKRPLWAPEIHHLKG TFWLTYSLPGWDGTGRTSGCGLLRSTTGKAEGPYEDMHPSERLGDEIDASLFRDDDGS VYFLWHSGKIAKLKPDMTGLAEPYRWLRTTGTDPAPGHHSGLCAGIFGRDSFDHVGYE GMFLFKANGRYYLSCAELIDGRYSCVVAESKALLGPYSPRYEAIPHAGHNVFFRDAEG RWLSTFFGSDASAPWQERPGVLPIHFDADGHLRPGAE OJF2_RS35805 MTDDDIGSLLARFEDGTLPRAEWTHGAHLVVALWYLRRHSRDEA MDRVRDGIRRYNERQGNHTGYHETITLAWVEVVDRFLHGRDGKATDASLAASLLDRCG RPDYLLRFYSRDRLFSAEARAGWLPPDLEAIG OJF2_RS35810 MNSEGILGRRTFLGGLMAAPLAGMAPGREAKADEKSARGLIVRE SEPRNLEMPFSELDSSFTPAGRFYVRSHFAVPELDASTWRLKIEGAVREPFEFGLQDL RRMPSRTIMAMLECAGNGRVFLVPKAKGLLWETGAVGNAEWTGVPLGALLERAGVKGG AVDVILEGADRGRIDDEPKSPGEIHFARSVPLAKAAGDVLLAYAMNGEDLTPAHGFPV RAVVPGWYGMASVKWLSRVIVSERPFAGYFQTLEYSTFERRSGEPTLVPLTENGVKAQ VALPAAGEAVPRGAEYRVFGAAWAGEALVSRVDVSVDGGTSWTPARLLGEPIRHAWRL WDFTWKVPGRPGRHILMARATDDRGRTQPLKRDPDLRTVMVHHILPVEVTVR OJF2_RS35815 MPRTWVFTTTIGFAVLGLVGRSTAREAAGAGSDPYPLADAAECR PRDGLPNFLAKARTPGAEVRVAYLGGSITAQDGWRPKTQASFRKAYPDSKFSEINAAI GGTGSDLGVYRLKQDVLDHRPDLLFVEFATNDGGASPDQIRRCMEGIVRQTWRALPSC DICFVYTLTESAAGPMLAGKFPRSASVMEEVANHYGIPSIHMAMEVTRLAKEGRLIWT GPLPGPAGKVVFANDGVHPYAETGHELYLQAVVRSFGPIAAASKDAKPHALGAPLTPG NYENARLIPIGEATLSSGFAPVDLEADPEFRHFGARLRSLYRASHPGDSITFKFKGTS ASIYDVIGPSVGQVLVTVDGGPPRVANRFDGFCLYNRLAVVPVATNLPDTVHTVKVEI DPREPDRMKILGERTKEIKQPERFRGTSFYPGAILVVGELVK OJF2_RS35820 MPDTPLASDRGRATHTPRGGRLPRGVLLMLAGAILSSACPCGAS AGDFALRDGDTVVFLGDSITAARTYGRIVENYTLLRFPDRKVHFLNAGWGGDTAEGGL RRLDRDVLDRGATVLIVAYGVNDIGWGTHADEVHRRIYLDSIRGIVERAKARKVRVYI CSAAATAESPDAAERGFLQTMCDDGMAIARELGEHAIDIQRGMRAIQRAVLKANERAK PEDRQSLHVADGVHLNDLGQLAMAFSILKGLDAPAEVSSVAIDVSADGPRAAEARGCK VNRVTGGPDRLEFDRLDDGLPLNFGLFGALNFRYVPIPEELNRYLLRVRGLPTGRYAI EADGRGLGTWTDAELARSVNLASATADGWQPGGPWDAQAWILQDMTQGRDKMASGRLF LDHYLPAHPDGVRLHALDSEINARIEDLQRALVKPRPFHFVIHPAPAEATKVSP OJF2_RS35825 MNRPSSRTLSAARVGTRILARFALCLCLIPPATLPARAGDGPTD QGKPYNPVIAAASDEGEKAIQGFRVPEGLKVDLFAAEPLLANPVAFCFDEKGVAYVAE TFRHSAGVTDTRGHMNWLDADLACRTVADRVAMFRKYLGKDFEGYKVEQERVRRVVDT NGDGKADAASVFADGFGDPAAGIGAGVLARGPDVWYTCIPWLWRLRDPGGTGKATERI LLHEGYGVHVGFLGHDLHGLRLGPDGRLYFSIGDRGINVKTREGRDLVVLDTGSVLRC EPDGSHLEVFATGLRNPQELAFDEHGNLFTCDNNSDSGDRARWVHVVEGGDSGWRIGF QFMERGYSRGPWNEEKMWQPGPAAEIGYIVPPLLNISDGPSGLTYNPGVTALPDKYKG HFFLADFRGGAGESGIRSLALRPKGASFEVVDPGQFVWRSLTTDVDFGPDGGLYFSDW VEGWDKPNKGRIYRVVDPSRKASPAVTQVKGLLAAGMARRSVAYLVALLAHEDMRIRQ EAQLELAARGERPALAAVARGKGGSTLARIHAAWGLGQIARRSPAGIREEIEPLLADS DAEVRAQAARVLGEVGDAAAGKALIPRLADESPRVRSFAAIAIGRLGTPGAGPALIEM LRENDDGDPYLRHAGVMGLAGLKDRSALDAARKDGSRAVRRAAVVAMRRHEDPAVADF LDDADPTIVLEAARAINDVPIGPAMPRLATLPVTSQSPLPLLRRVANAAFRLGGPERA RLLAAMAGRADLPEKIRLEALAMLGQWAKPSGRDRVVGLWRPIPERPASEASDALLTG LAPLLSGGSGHVRAQAMRAVADLGIKDAAPRLVSLARDAKAADETRAEAMKALESLDA PGQAEIARGLVTAGGPRARVEAVRILSRADPAAAKTAAGAILADGSTLERQGVLAILG EGHDPAGEEILLAWLDRLDAGKVPAELQLDVLEAAGKRKTPKLAEKLKAYEAKKPKED PLSPYREALVGGDASRGREIFLSKAETTCLRCHKVALEGGHPFGGEVGPDLSNVGGRQ PRDYILESIVVPDRKIAEGFESVVLALSDGKIVTGVLRGEDAKSIRVITAEGQAVIVA KDDVEDRKRGPSPMPADVVTKLTKQELRDLVEFLSGLKAK OJF2_RS35830 MKSKEEAILDRIKRLEEAIAKGREYLETGAHADWHGFRPLFVRK MKDGKMTPPHRDWVKNVLLLRRERALRETERLLESCTMRESRRGRIRIKLGHDDLA OJF2_RS35835 MRFRVLPLPLLAVALIASAAAAPPAAEAPHREMTITQRYLHLPV RNGATKRRVQVAVDGKVVRDFDIELDGERPDFVAFDDVDALRGKAVEIRVVGNAEGVG KALEAIAQADEIPDAGNLYREAGRPQFHFTSRRGWHNDPNGLVWQSGLYHMFYQHNPY GWGWGNMHWGHAVSPDLVHWTELGDAIRPREYNDWAFSGSAVVDHANTGGFQAGASPA LVAAYTSTGRGECIVFSNDGGRTFAEYDGNPVVKHNGRDPKLVWYAPGKHWVMAVYDE AKDKQRIAFYTSNDLKRWALQSKIDGYFECPDLFELPVDGDASKTRWVLSAADGKYAL GDFDGKAFHVTSGPSKLQNRYGNYYAAQSFSDVPDGRRIQVGWGQNVIFPGMPFNQQM TLPAQLTLRTADGGIRLFAEPVKELEALRGAKHESNGLTIKPGGDENPLREVSGELLE IAAEIVPAKAGTVTLDLRGTPVVYDAAKGELACKDVRAPLPAKDGRVRLRIFVDRGSV EVFGNDGRVALSVATGAAGPGKPLGISAAGEPAEMPSLTVYELKSAWPR OJF2_RS35840 MHALSPSPYKDVDQAFALAKERYAGLGVDVDRAIGRLAKVPISL HCWQGDDVGGFEDSGEDIGGGLAVTGNYPGRARTPDELRADLDLALSLIPGTHRLNLH ASYAETGGRPVERDALEPAHFRRWIEWAKAKGMGMDFNPTYFAHPKAADGFTLAHPDD GIRKFWIDHGIACRRIGAAIGQALGSPCVTNVWIPDGMKDTPIDRKAPRQRLAAALDA MFAEPLDRRHNLDAVEGKLFGLGSESYVVGSHEFYLGYAVSRKKLVCLDAGHYHPTES LSDKISSVLTYLDEILLHVSRGVRWDSDHVVTLTDELESIAQELVRGDYLGRVHIGLD FFDASINRIAAWVVGTRCMLKALLMAMLEPTAELRRLEAEGNYTARLAMLEELKTLPF GAVWDHYCQVKDVPVGPAWLGKVKSYEAEVLSRRG OJF2_RS35845 MTPNPFLGVIYHWLGGLASGSFYVPYRFVRKWSWETMWLTGGVF SWIIAPWAGALLNTKDLMAVLSETPASTLFWTYLSGVLWGMGGLTFGLTMRYLGMSLG MAVALGYTAAFGTLIPPIFRGELFTKILPTTSGQVVLFGVLVCLAGIAIAGLAGMSKE REMSEEAKRASIKEFDFKKGSLVATFSGIMSACFAYGLEAGKPIKEATIAHGTAPLWQ GLPVLVVVLLGGFTTNFLWCLYLHVKNGTAHQYLSPEDRPAPATPGVEKLETATDAPG EEVAEHAPGLAADASPGPVPLLRNYFFSALAGVTWYFQFFFYTMGESKMGRFGFSSWT LHMASIMIFSTLWGIALKEWAGTSGRTRRLVALTLLVLIGSTVLIGYGNSLAPVESAH OJF2_RS35850 MTSRINRPSRTLAARGLAAAAAALAAFAPLLAAPAEASAAAESG PLRPANLRCESKVDPMGVDVARPRLSWIVTSEGRGQVQTAYRILVASGPAALARDEGD FWDSGKVDGAHTIAIEYAGKPLASDQRAAWKVMVWDRDGKPSAWSAPASWSAGLLKPE DWKAQWIGVDHPAAPKAEPGKEPTLLLTPAPYLRASFEVKKPVRTATVYTTALGIHDV HLNGARVTDDSFNPGWTDYAKRVYYRAYDVTPRIRQGANAIGAILADGWYSGYVGFGK NRDHYGHLPRIKTQLNLVYEDGTAEVVATGPSWKAATGPLLEADFLQGEAYDARKELP GWDAPGYDDSGWGMVQTGAEVSPVVQAHPGPAVRPFAEIPAATWEEPKPGVYVANLGQ NLAGVVRLKVKGEPGRKITLRFSERLNPDRTIYTTNLRSARCVDTYVCKGAGEEVWTP RFTFHGFQYVELTGLATPPTSDTVTAIALSSDTPVVGQFACSDPMLNKLHSNAYWTQR ANFIDIPTDCPQRDERLGWTGDAQVYIRTATLNCDVQAFFNKWLVDLTDGQRADGQFP MVAPVKVAGDDGGPAWADAGVICPWTIYQVYGDRRVLERQYPSMVRFVEFCRARCTPA MLPPDKFHCFGDWLSIGADTPKDVIFAAYFAISARDTAKAAEALRKHEDAEKYRELFS RIKAAFHRAYMSADGRIKGDTQAVYVLAIAADLVDGEDLRRAGEYLVEDIEKKGNRLS TGFIGTKDLMLVLSKIGRVDVAYGLLLNTSFPSWGFSIKQGATSIWERWDGWTPEKGF QDPGMNSFAHYSFGAVYQWMVENIGGIKSDAPAYKHIVIEPRPGGKLEHADTLYKSVR GDVVTSWAVKEGEMSLVATIPANTTATVILPASDTAAITESSKPVARAEGVRVKGTER GKAVLEVGSGTYAFAVKLFPGVLEKALMTPVKAADQAGDGFVALFNGNDLSGWHGEDT ADPRKVAAMSADEKAKFLAKGAEDAKKHWRVDNGEIVNDGDGAYLTTDRAYGDIELYV DFKIGPKGDSGVYLRGTPQVQVWDSTEPSYVRFDAQKGSGGLWNNSPGKPGKDPLVNA DKPIGEWNTLHIIQVGSRTTIYLNDKLVVDNAIMENYWDRSTPIPAAAPIQLQTHGHE IRWRNIKVREIPGDEANTILSGKGAKGFTSIFNGKDFTGWKGPVDNYQVKDGAIVCKP GHGGTIYHEKELKDFVARVEFRLPPGGNNGLAIRYPGEGDTAYVGMTELQVLDNTAEQ YRNLDKRQYHGSAYGMAAAKVGYLRPVGQWNYQEVTVQGSKIKVELNGTVILDTDLSK AKDFMAGSAHPGKDRTSGYFGFAGHNDAVEFRAISIRPLKEGEDADGFTDLFDGRSLD GWEIHGGKSKYRVQDGNIVGVTDDHAANTFLCKGDFKDFLLEAEVKDDPRLNSGFQVR SHVNEHNVVQGPQCEVALQSSGTAGRFYDEGRRGKWLCEIPDAAKAAFDDRGWNRYKI LVQGNRYRSWVNGVPCSDFTDDADGQGFIGLQVHAIPQGEGPYEVRWRNLRIRELQPG EKVEGVD OJF2_RS35855 MPGNEGHQPDAEGRPGPAGQPEEQDRLAQPVDAEQPPRLSFPVV GIGASAGGLEAVNEFLDAMKPDSGIAFVLVQHLPPDRASMMAEVLGRHTTMPVLQVED GMEVAPNHVYVIRPGRVLTIREGRLRLGVALGSPRAANRPIDDFFRSLAEEQRERAVC VVMSGMGSNGAAGAQAIKAVGGLCIAQDPESAQFPSMPRHLIDAGYADYILRPADLPD VLLSYAGHPYARGGREADATEAVRREEEYLRETLAVLRTRTRQDFSGYKKPTLLRRVQ RRMGLTRMLSISDYARLLRQSPTEVNALADDLLIHVTGFFRDPEAWEALRRLVIAPMV NAREHGGSIRAWVTACSSGEEAYSLAMLLMEEGEKAGKALDIKVFATDLAERSLAHAR AGVYAGGIESEISPERLQRFFSREDEVYRIRPDLRDRVVFAPQNILQDPPFSRLDIAT CRNMLIYLEPDVQQRVLTLLHFGLREGGALFLGNTEAIAGAEGLFEPIDKKARIFRRV GPTRHGLVDFPLPHSLPGRDDPGGTGLAAGVRARRREGERASIAELTRRTLLESHTPA AVTVDRDYHILYYHGDTRPFLQQPPGEPTRDMMLLARDGVRGAARVALHRAAAANARV VVADGWAEPEPGRRVRVAVTASPLRDEPPEEPRGPAEYFVVSFEERGDLAAAEAGDGA SASDAPEELQRLRTELQSTIEELQTSNEELKASNEEVMSINEELQSANEELETSKEEM QSLNEELTTVNAQLRAKMEEHQAASSDLSSLLASTDIAVLFLDTGFRIRRYTPAVRDL LDLIPADVGRPLSALARRFEDPRLDDDAHAVLERLVPIEREVAGPGGRHFLRRVLPYR TTDNRIDGVVITFVDISARKRAEDALRASEEQFRRAIEDAPIPVIMQAEDGPVLQVSR TWIELTGYTLADLPTADAWLTRAYGPGADAVRDHMHELFRGDRKTLDVEFAIRTRAGG ERHWSFSASAPGTLQDGRRFLVGMAVDVTDRRRAEGQQAFLLKLSDTLRPLADPLEIR ATAARVLGEHLGVSRAFYADVIDETWADVRDDYADGVPSMAGRIRVEDLGAALVPTFR RGEPAIFGDADADERFTDAERRALTAIGARAGLCVGLVKGARWVAAFGVHQAAPRAWD EGDVSLVREAAERTWAAVERANAEATLRENMDELTRFNRAMVARESRMIDLKKEVNEL RRRLGDAPRYPLDFEAGGGDHA OJF2_RS35860 MPEPAGREPDHVAELRAARRAALNVMEDAVAARDALRDGEERLR LAIAVGGLATWDWDVRTGRVVWNDLHFTMQGYMPGKVVPSYEAWLARVHPDDRHAAQA ALIAARDAGAPYRHEFRSLHPDGAVRWISARGSFLFDEGGAPVRMIGVAQDVTPQREA EEARRASERQLRLALAAARMGIWIWDVEAGIHTRDASLNRLLGLEPVETRHPFADFLG RVVHPEDRGRVEAAFHESIAHGQPLNIEFRIVRPDGAVRWLRDRGDVLGEGGPSSRFM TGACVDVTDLKGAEAAIRASEERLRLILASAIDFAVFTLAPDRLVTSWSPGAGAIFGY SEAEILGRSADILFTPEDREDAAPEGEARTALRDGRAADERWHIRKDDTRFFASGVLT PMGEGGSLGFVKVLRDLTERKQMEDALREARDRLEEKIAGRTAELEAANAALRETMAA RAELLRRNATTQEDERRRISRELHDRLGQELTALIFSLKALGQAVPEGAPGRIRLVEA EAIVNRIGREAHDLAVELRPTALDDIGLGPALADYVSRWSARTGVAADFQSHGLDSRR LPTDIETAVYRVVQEALNNVAKHAHARRASVILERNQGELTAIVEDDGRGFDPSRIAR AGPEARPSALGLLGMRERAALLGGSFLVESAYREGEATGTVVRIRIPLSPPEEEGHE OJF2_RS35865 MSNRLRVLLAEDHNVVRAGLKALIDAQDDMRVVGESADGEAACR LCAELTPDVVVMDVSMPILGGVPATERICRDQPEVRVLILTVQEDRSYLHQLLRAGAS GYLLKRAAADELIHAIRAVARGGTYVDPGLIGDVLGDLGARTSREGSPAEPLSEREGE VLRLVSRGFTNREIATQLDVSIKTVETHKARGMEKLGLVSRADIVAYAIRRGWLTST OJF2_RS35870 MTQPHDRLELARVLVVDDDRDNAESLGCLLQLYGHHVEIALDGR EAIRAARDLRPDFVLLDVALPEMDGYEIAGHLRREAQGAMTLIAITGYGRDEDRRRTR EAGFDHHFVKPLDGPTLDVLIALMGGEAAAWDDGHAGRRDGRPTRDGDGPAKPRRLAA VNNVLGLHLRAAGRLSQLASRFRAAVRVGCDGRTADGRSVLELTTLGAPCGAWLVVEA DGEDAPEAVEAVIALIERGFDE OJF2_RS35875 MNGDAATWTPGEAGRLSPAEVRALLRELAECRRALDGRPAGQDA ARLRDGYVAARDRIALGSSWVVWSVARRYRGVGIPLRDLMQEGFCGLLEAIDRFDPAM GNDLSTYAVWWIRQRIQCLVAASAYPVKVHPRGLRKVAEFWRRGPHRDEGRPAGPIPA TLRRLLAATQSPVPLDMAGAGRSPRSAILAGPPDAGALDLEDRESLEAMLGSLKPRER TVLHLRFGLGGEEEHTLDEAGRRLGLGKERIRQIQNEALEKLRAWRAGTPPRPAPRGP LRCP OJF2_RS35880 MPDPDSHAPDLIEQAAGGDRAARESLLARYRDHLRRMVAVRLDG RLSRRIDPSDIVQETLMEADRRLDDYLRDRPIPFPAWLRRIAGDRIVDAHRAHVGSRK RSVTREAAAAPLPDESSMALIRRILADDTSPTDRLIRDEDLESVRAAIDSLSPKDREV LVMRHLERLSTSEIGEAIGVGEGAVKVRLLRALIRLRARLGAGP OJF2_RS35885 MEDPRTYPERSPRISPREGGDAEVGAASPAGGPRSAGGPDSSLA GLPLDPSLAELVERITRGLEAGEDVDLDGLAASDPALAPMLLELLPALRTLARLGASR MQPLGSRAVAVGPLGLERLGEFRIVREIGRGGMGVVYEALQESLGRRVALKVLGHAAS SGERALQRFRTEARAAARLQHPNIVPVYLVGAEGGVPYYAMQLIDGRSLADLLAGLRR LEGPAAAREADRGGDPIADSLAACLLSGRFGTAGDTPAPAGPPSGGGGGAGSRPAGYH REVARIGLQAAGALEYAHGQGIIHRDVKPGNLLLDSRGDVWIGDFGLARVQGGDGLTL TGEFVGTLRYMSPEQVAREAGPVDRRTDVYSLGVTLYELLARRPAFVGADPQRILRAI AEEEPPPLRSLDPAIPAELATVVAKAMAREPGARYATARALADDLGRFLGGRPVLARP ARPWGRALARARRGPSRAASVLVLATVVALLALRPDRRDGRDGVIAAPGAPGSGARSE ARRTSAALALERGIGLAEDRRIGRGLLWMRRCLELASPEDADLAGAAGANLAAWCRIS PAPRAVFRCGGGSPIHGLSLAPDGRTLALVDGDGSLSLWDAVAGRPLASAPGAHPRAW EVRFGGGGRSLVTVGHDGQVRIWDAATLRPRGSPIAHSGGGVCPMALDPGSSSLLTAG LDGAVRRRSLDTGGVIGPPIGEAGRAGLPRGVEVRPASGQVLTYGGPGGARLWDPSTG RPVGRPLEEGASISFAAFAPGGRRLALVEAAGTADSVVVCDPDDGRVLARSQAVAGGV KKVLHAPDGRSLASLAHAGGVHLIDAETGRARDLSPPNGGSVGEVAFSPDGKFLVTGG DDGTVRFFESDTGRPFGPILEHPAPVLRVAFGDDARTLVAATSDGSVRTWDVAPAFDS GRAVTLAVGARRIEFSPDGRLLACGGSDGAVRVFDPATGRPVLPPLIHADEALAVAFS PDGRRLATGGFDSLVRIWDVHTGEPIGPPLFQPSWVTRLRFGPDGRTLLVGTTAGTGR LWDLTTSRPIGPDLDHPVRFGHEIRAVAFSPDGRVAITGTTLTEAKEATVGFWDAGTG RPLAPFARFESSIVDLLVGPGPEGPALILEGGRVHVIDLRSFREVRPPFGRNLVSIAP LPGGRRLLAGACDRTARVWDVAAGRPDGPALDHVEPVYDVAVSPDGATLLTLAGERLH FWDAATGKPLGPSCLHRRLRREYRVDDGKLVGFSPDGRTAFSVGDTLILWETPGATPA SRPDLPRLAASLDALTGMTLGGGGDAAMLDPAEWRDRLQAGDPAWAVAVPSAAEWHDR RGAESERLGRPYAAAWHLDRMVAARPDDWFIHARRALSRIHAGDERGAAEDRARARSA GPAEAVRAWEALEAFDRMTLARARGRRSEVVDQLLRLASLLGEAPALSWRLAEAEAEL GRPAQARAHMDAFVGRTERWPGGDLQVAQLHNLQAILCILGGDAEAYRAVCRRAVGWA GPRPAPRVASTAAWVCSLGPGAADDAAAIARLADSASRGTKDDRRPEFLVISGAALHR AGRPAEAIPRLEEGERLSAGWRHQAQALLALANHALGRPAEARRWLDRLRGRARSADP RGLWDELEIDVLAREAESVVHPDPALPARPFAP OJF2_RS35890 MSLPTLPAPARLAAALMILAMPAMAADEKAKSGAGAKPAVEAKV SYDKQIRPIFQAHCQGCHQPAKAGGAYVMTAFDRLLKGGESDEPAVVPGKPAESHLLD VITPHAGKAEMPRDKPPLAAPEVELVARWIAQGARDDSPPNPGPRYDRDHLPVYTRLP VIPAVAFSPDGSTLAVAGFHEVLLWKADGSAPVARLVGLSERVESLAFSPDGKRLAVT GGRPARMGEVQVWDVEKRALKLSAPVTSDTVFGVSWSPDGSKIAFGCADNTVRAIDAK TGEQVLFLGAHSDWAQDTVFSRDGSHLISVGRDMAAKLTEFATQRFVDNITSITPGAL KGGLTAVARHPSRDEIVIGGSDGEPKVYRVFRLTSRVIGDDSNLIRELPPLPGRVCSV AVSPDGKRIAAASSLDGSSGEVAVYGYEFDTSLPANIRAINEKVVTARSPAEAAALDA YHKQGVAKVAGFKVPKAAVYAVSFRPDGKVLAAAGGDGLVRLIDAATGKLVKEFAPVT VTAAKPAEAGSVGLVTPKTEEAVETETLPAGAKLAALEVEPKQVRLAGKFAYVQLLVT GRLASGEALDATRMVEAEVRPDLAAVSRSGLVRPLKDGKGVITLRLAGRSVDVPVAVE GMGRPSKVDFVHDVNPVLSRLGCNQGTCHGSAQGKNGFKLSLRGYDPLFDVRALVDDN AGRHVNLASPEDSMMLTKPTGAVPHVGGVLMHPGEPYYETLRAWVADGAKLDLGTPRV AKIEVTPVNPILPRVGSRQQLRVMATYANGEVRDVTREAFLETGNMEVASAGKSGVMT ALRRGEAPILARFEGAYASTTLTVMGDREGFAWSQPPSYGKVDDLAAAKWRRMKILPS GLCTDAEFIRRASLDLTGLPPTAADVRAFLADSRDSRAKREALVDRLIGSPEYIDYWT NKWADLLQVNRKFLDVDGAVGLRNWIRGQVAANTPYDQFARAIITASGSNKDNPPAAY FKVLREPTAVMENTTQLFLAVRFNCNKCHDHPFERWTQDQYYQTAAFFAQVGLKGDPS SNGRTVGGTDVEAPKPLFEMVSDTGSGEMIHDRTKQVAAPKFPYTCAYEKPAGASRRV DMAAWLTSKDNPYFARSYVNRLWGYLFGVGIIEPLDDLRAGNPPTNPELLDYLTDEFI KSGFNVRHVVRLICTSRTYQLSVETNKWNQDDKTNYSHAVARRLPAEVLLDSVYRVTG TKSRIPGVPEGTRAAALPDSGVELPSGFLTTFGRPPRESACECERTSGLQLGPVMALV SGPTLADALADPTNELTALASTQPDDAKLIDELFVRILNRPATPREVATCEADLKAID DDHRKLAENLARHELEYALRRPQLERDRLAAIARAQASLASYEKELAPRRAKQEQDRA AAVAKLEADLKAYEATLPEKLAAWEKAHAGSIVNRWAVLEPKALSATGGTKLTKEADG TIVASGNIAMNEYTIAAETDLAGITGIRLELIPDPKFPSNGPGRAPDGNFVLSEIQLS AAPKSDPAKAQPVALQNAKADFSQDGFDVSKAIDGTDDGDGGWAVSPRTGMIHWATFE TKTPAGGPGGMRLTIKLHQKYGGRVYQLGRFRISVTRAPSPGLDLAEPLRAALAVAPE VRGRAQQDLLMGYLRGMDMELKAKADALNAGKAPLPEDERLAALRAELEQAKLPVAAD PALLQLRHDLEQSIQQAAARRLTAAQDVAWALINSPAFLFNH OJF2_RS35895 MAMPGSREARLFYRCAYGRCDEAQVLLRAGYTTGAVYLAGYTVE CILKALILNAVPPGRVTEVLQLFRGNHAHDFEWLKALYRRHQGATLPPDVRRAFTLVN EWSTDMRYSPEHMRGADAERFLSGVDAILKWAEERM OJF2_RS35900 MSVDIRGKADPIVDRLGQVLQIYELERPEAQVALYRQNPAAIRI RIIDPHFAGMGRAERNDQVWDWLEAHWPGPDEELGDITMLLLLTPTEVKKSFGNMEFE DPVPSGFES OJF2_RS35905 MIIVPGQAGKDLCDPELGTTRRDILRVGGSGLLGLSLGSMLQLR AASARASEADAVGGKGGGPGWGKAKSIIMVYFQGGPSHLDLWDPKDNVPDNVRSVFKR IHTKVPGMDVTEVLPKLAQVTDKFTFIRSMSYTPNGLFNHTAAIYQMMTGYTTDKVSP SGQLEPPSPKDFPNFGSQIVRLRPQNVPMLPFVMLPRPLQESGVVGKGGTAGFLGKAY DPYTLYPEGDDMDMTKMDRIKVDDLRLRPEVFALRLQRRAKLRDALNAGMKSIDQAVS DYKLGEYYDQALSLVVSGRAREAFNLQAEKAETRDLYGRNTFGQSCLLARRLVEAGTK VVEVVWPKVANSDNHSWDHHVDLTNRMRDRSGPMLDQGLSGLIIDMDRRGLLAETLVV AVGEFGRSPQKGVSTSGNGNSADGRDHWPYCYTAVLAGAGIRRGHVHGQSDKTGSAPL SDPVHPGELLATIYHAFGIDPATIVLNHLNQPRELVKAEAVTRLFG OJF2_RS35910 MRHATRLPALLLILVPCAGFLPAQESAAGKNDEATLRAQAGTWA AVSFRRDGKETPADIVRTITRTVEGDHVVWKRDGKSFAGTNLVLGVQTIGGKGVKTID VLPDGGPSKGKRVLGIYKQDGDELTLCMADPDKPRPTSFEAEPGSHQTLMVFRRQNSD OJF2_RS35915 METRVDADAECPAPVARREVTIVNAYGLHMRPSTRFVKLAGTFR SDVWVDFRGARANGKSLLEMTCLGAEHGTSLEIEAKGPDAEQAVAALAELVAAGFHMD DENS OJF2_RS35920 MSKRYPCGGAGRRDFLAAMGMAAAPAALGALAATHASAQEPKAA ASAGTPAHVPVLGDGSLGIPGPYPGRVVEVRNPRMIRAGVKDREAIKRSVARGMKELA GADDAASAWRRFFEPGDVVGIKMNPVGNPLANTSSELMLEVVDGLKSAGVKARDIVVF ERYQEDFLAAKMDRAVPDGIEWLTLGVGYNAHQIDIRGKDDRPLDDLGRVTGYDPDEF VHLEAVGNGEDPKDDRTRRSHLGLLVTRRVNKIVCLPVLKDHGSAGITGALKNMSHGL VNNVCRSHSTPDSNICNIFIPQVVSHPIIRRKCVLHIMDGIVGVYQGGPGAGKVDWTW ENNALLFATDPVAMDRILWDRIDAKRKEKGLPPVAASGRAAADPLGTEGFDARQPQHV RLAGFLGLGLFELDSPRGRRFSIQHTAIDVS OJF2_RS35925 MGSTAPRTGIAPMGLALVILLLAPAGLQAAAPAIVGRPLPPTQT LALWYSKPAENWLEALPVGNGGLGGMVYGGILHERIALNDSTLWSGGPKEWNNPGARQ VLPEMRRLIFEGKFAEAHRLGKKMMGPYTQTYLPLGELTLGLHEGGAAPAEVLDYRRT LDLDRAVASVEYRVGDVTYRRDVFASHPDGVLVVRLTASRPGALNFSARLGSQLRSRT KSEGGDLVLDGKAPAHVDPNYYNRPDPVRYEDGGEGMRFRCLLRAIPEGGKVAAANDT LRVEGATAATLILGEATSFRGYDRSPGRDGADPAPIARSRLEAAAAKGCDALLARHVE DHQSLFRRVALDLGAPPAGAASLPTDERVKEYGADDPGLVRLHFQYGRYLLIASSRPG GPPPNLQGIWNDEVRAPWSSNWTININTQMNMWPAEVTNLAECHEPLFWLIRGLAANG RRTAEVNYGCRGWVSHHNADIWCQTAPVGDYGDGDAAWALWPMSGPWLCQHLWQRYEF SRDERFLREVAYPLMKGAAEFCLDFLIDDGQGRLVTAPSTSPENQFRTPDGEGTAAVA MASSMDLELIHDLFTHCVAAARLLGEDAEFRSRLEAALAKLYPLKVGPDGRLQEWFRP FEEPEPHHRHVSHLWGMYPGSQIGRGTPELREAARKSLVGRSDEGTGWSTGWKVCLWA RLGDGDHALSLIRRTLRIGPGGVYPNLFGSHPPFQMDGNFAFPAGVAEMLLQSHSAEG EIHLLPALPGAWPDGSFRGLRARGGFTVDAAWKGGKLASAAITSSIGGKAVIRLGDRT TTLDTAKGGRYALDGALSPAGGR OJF2_RS39705 MSAKKRRKIYGVRRETRRPRGWTRWFQEGIFETETAGSVRLRTV LWGQK OJF2_RS35930 MIDAMATPGADASEACSYDEPELDPYRDEPKQLPSGSPGKDARL RLRFERRGGRSILAAMERRAPLLVQRALYCDEGMPHLPWVYVITNSGGILQGDRYRIR IEAGPGAIGHVTTQAATKIHEMDANFAAQDQSIVLEEGSYLEYLPDAVIPFRHSRFLT RTAIHVHPTATLLYSEILWAGRKHYRGGEGFEYDLFSSSVRAARPGGEPLFAEKFLIE PGRSSPRGVGVMGEFDVFANVILLTPKAHAERIAEAFPTGADASCGCVDGVSRLPNDA GLIYKALAGESSVARSRVRAFWAAVRGEVTGHDVPPAFPWS OJF2_RS35935 MKKIARIGIGGPVGSGKTAIVEAITPKLIDLGLRVLIVTNDVVT TEDARHVRRTLKGILVEERILGVETGACPHTAVREDPSMNLAAVEDMEARFPDTDVVL IESGGDNLTLTFSPALVDFFIYVIDVAAGDKIPRKNGPGISQSDILVINKTDLAPYVD ASLKVMEDDSRAMRGDRPFVFTNCKSGEGIDGLVGLIRENLLFDLEPRGEGA OJF2_RS35940 MSAVSSMMRLLQLGDSALPVGAFSFSNGLESAVQAKVVRDAGGL EAFVRVAARASATTDGIALLEAFRAARDGDRPRVALADREAFGRKLNEEMRVMSVRMG RKLAEVAVKIAEAPGVEAWLGEIRRGETPGTYPVAQALASEALGLDEASAFAAHQYGV AAMMVNASMRLMRIGHMDAQAILRRVGDEAAAAYDEVRGRSLADMASFSPMVDVLAAA HVRASVRLFMN OJF2_RS35945 MLMVEKPVGNLADPSWADRLATATVDVLELDQWHAQKNRFRLKT RSGAEVAVALDRGSHLRDGDILGWDEGTKTAIAVRIRLQEVLVIHLDALLGEPAEALA RTCFELGHALGNQHWPAIVKGTEVYVPLTVDRRVMDSVMRTHAFAGVTHEFRPGLEVI PFLAPHEARRLFGGADSTPHSHAKSYEQGRV OJF2_RS35950 MHKLTRKQYADLYGPTVGDRIRLGDTDLFIEIERDLRVLGDELQ YGGGKTLRDGMGSDNQLTQASGCLDLVITNVTVLDPIQGVVKADVGVRNGRIVGIGKA GNPSTMDHVTPGLSTGTATDAISGEHLILTAAGIDVHIHYICPQQAWAALSNGIGTLW GGGVGPTDGTNGVTSTNGPWNIEMMLRAADDFPINMGFHGKGNSTGIAPLVEQIRAGA ASFKIHEDYGTTPATIRSCLRIADQYDVSVSIHTDTLNEAGYVEDSIAAFDGRTIHTY HSEGAGGGHAPDLLKVVGQPNVLPSSTNPTLPCGVNSVAELFDMIMVCHNLSPKIPSD VAFAESRVRGETIVAESVLHDLGAISMISSDSQAMGRVGETWLRTVQTADIMKKARGP LREDAHSGNDNQRVLRFVAKVTINPALTVGIADCLGSIEPGKMADLVLWEPAFFGAKP KMVLKGGMIAWASMGDPNASLPTCQPMMYRPMFAAHGSALHRTCVTFISREAHELGVA AKYGLKKLVRPVYGTRTLTKRNLVRNDFLPDINVDPQTFAVTVDGVHATVKPPTSIAL NRLHFFG OJF2_RS35955 MAETKKPEGGGEHEHEHGVGDWLRHPEAAPQAKSRSSAPVGGLI VADGEVTLNEGRTAISMKVRNTGDRPIQVGSHFHFFEANRALEFDRPEAFGLRLDIPS GTAIRFEPGDEKEVSLVPMGGKQHCYGFNNLVDGWTGGGGPASYRPNKITAVAKANEL GFKSSEG OJF2_RS35960 MHLTPREIEKLMIYQMADIAEKRKAKGLKLNHPETMAVLCATAM EGAREGKTVEEVMKDAAHVLTRDDVMEGVAEMIPFVQVEAIFDDGSRLVTIHDPIK OJF2_RS35965 MKGEPWRAALVVLRGVAQVMFQPNAATGLLFVAGLAVGSPLVAF GAVFGSMIGAGTALLCKFPRDDIEQGIYGFNSALVGVAPLVLLQPRPMTWLAIAIGCV LAAVVTRLCRRFAPFPTYTAPFVVVTWGLLLAIHGLAGHEIDAPAGPSPVSEGSLAGF FAEVLSGEAEVWLEASPLTGLLFLIGIALSDWRHATTAAIGSMAGTLLASYHRDPESS IALGLYGYNAALAAMAIWLARPSLVPVLLAAAISVPLTEFFPKSLGLPALTAPFVAAS WIVLALIALDPYLQRRGTARP OJF2_RS35970 MHTPLVTPGRSRRRSWPAVPGLLGVLCGVAMAARAAAQDSPPAP PALPAGANLTVPSNAELAEELRRLRSEVAETRALRQELESLRGQIQSMNAAAAGSAAR ASDFNARGGAGTGGESLNFRNGSPADAGGREAAGTPGRYQSGTFGGDSDAEVQRYGLK GRYKYNDKATGPLGGGGYFHLGTEDDEFSLNITNQITVDGTFVDRTGLPTTEKGFNIP FARTFFYGNITKDFSYQVGTQGFLGTFNLLDLFMAWHINKYVTMRAGKGLTPPVYEYY AFTPALEPVITNSPLYQVAAKRPVGIMFNGMLWKERIQWWSGVTNSGTSLFGDLDRNV DYNGAVDLTPFRGEGWEDTVWEGLGGGVGFSAGDQQYLLQQQGVSISNNGESTTNPAF STVLGVPFHSYDANVSADGMQSIFAPHIYWYGRFSVLAEYVNFSRELRDPTTVGRSTQ RAYYVNLSYWLTGERDFRGNGFQGYSTVIPRRPFSPSNKEWGPGAWQLAAQWSEFNAG TGDFRRGFVDPATNASLMQNFMGGVNWWPNRYTRFSFDYVWTHFNSSIPLSGRSPVDG YQTFWMRFAMFF OJF2_RS39710 MRNLRAAAVVIAALCAGRPGLARAQAPAAAAPRSAPDVEDRLRR LEGLVDRLATENRQLAAENRKLAAEIRGRPTAATVDHAVRASQGEGPRPPLPAPVLPG ASPADPEDAPQGEAGVAETAAPGAAAPASDDWPTGGGRMLSALLDAGGGAGQVEDDRM GRFLAGRYDGGYVLVAPTDEQRTPFALKFNLASQLRYTGFARAADSWTDSSGLIRPIL NQSYFSLNRAWFTFSGFAFSPKLRFNVSVFTTSTTNQTIFQGFFGYAFSEAFALYGGY YKVPGTREWLESARYTLGVDRTMANTFFRPSLSPGVWATGEPIENVYYYAGIFNEFNA SYLTTPRNNNNMTYSGNLWWEPLGEFGPGYTDQELHETPVARTGTSVSYQRSFRESDL SGGATNPENTILRLSDGTPFYQRDALGPGVLALAANVALVSYDLSVKYRGWSLSGEYY ARWIQGIATSSGTVPRDLLNLFDSGGLAQVSFSPIPTKLDVFGRGSIVSGHFGEGSEI GGGLNWYILGTRNLRGTVEVKKINHSPANNPLYGYFAGYSGTLVQAQVVTDF OJF2_RS39715 MPLDLQETAPEGDGPPIEPTMLDSEPAVAHEPAADLAPVAAAAA AAAPVTPEERLASVDTLRGLALLGILAMNIVGFGWPGAAYGDPLKGGGFDGSDRLIWF FNHLVFEEKMMTIFSMLFGAGLVLMGERAAARGASLRGVYYRRVLWLLAIGLVHSYLI WDGDVLVLYAQCGLFLYPFRRLSARALITIGVIFSLILIPIVLGFGLAIRGLERVTAR VDAQVAAGKTPTRLDRRLRDLWVDDLQKELNPNPEQEKKDWDESMAVHRGGYAGIVKK RAVGLVFEQTFGFVLGGFFFAMSRMLLGMGLMKLGVFSAERSRAFYLEMVGLGYGIGL PLMVIDARELVRHAFRPEYLLNGGEFYNLFGSLVVAMGHVGLIMLLVQSGSLAWLTGR LAAVGRMALSNYLTHSIVCTTLFYGYGFGLFGQINRTGLAAIVLTIWIAQLLISPIWL KHFRFGPAEWLWRSLTYWKIQPM OJF2_RS35980 MDASKDKERFDAMLAATGIPIEALTPRMGLELLLRFYAENPAGV TLYGVWSKISRYGPEELGFRFQWHRTRSEDRGAFASADVSLLFKVGPQSMAGDLRGFR AWCAGPEQMADFRSAVETSDAIRVWGDSPSAGVRVLWDDIIQSHVALFDCWGFRDPSR PVVTMTEDQWLQSDDVPLMLRWFRQEWQGEEADLDRLLQSYLLACCRRIWELLPLDAS RRGVEIAERDLEGEASREEVARARYEAEGAAFYLDPSDDREPPADLPPDFKEAWAQYE LKRRADINRLAEEVKVISSEKLKQMVRSASREIIDSPRELLESAAYFAEASMIYPGLR PKESIERYQNFLPASLLREMVGNPFRERPSASS OJF2_RS35985 MERPQRHRLLHGYPLAAAMPILKGDEPAPVLEHDPSRTLLVGVL PHPFCSPAVAGCGFCTFPHERFDRRGAESTIADVIREIDARLSADPTLAGRPVAGLYF GGGTANLSPPGPFRELCRTLAQSFDLSGAEVTLEGVPAAFLGRKDRLVDILRDEFPAR HVRLSMGIQTFDEVRLKQMGRLAFGAAATFREVVELAHERGFTASADLLINLPGQSPD AMREDVENAVALGLDGICVYHLVLFEGLGTEWSRDRELVETLPDNEAAARNLAGVRAV LLDRGFVPTTLTNFERRELNATDRRFVYEELSFAADFPDILGFGPSGISFAGDAHSAV KVMNPTTAASYGAAIERGGPPWERAFRYTLDDRKVLHLARRLAALRIERPAYARAFGT DLIDDFWDELSALVDEGLLTVGDGAIEPTPRGLFYADSVAGLFSRKPRIATLGPRRRA RRRHLAAMDEGNAPAHM OJF2_RS35990 MTTWIRVATVVAFSSCWLMSASVATAQADGDQIIDGIGETSLIA RYPLDGNATDRSRNGFHATPRGEGAAFAEDRRFGKVLALNGARGVALELPAMMLDGVE SMSVVGWARLRSANGNPQFFAFERDADHVFSCMLAVADGDDGFRTTITAKGAAGPVGP SSRNAASGRWTHVAVVLDAAAGRLSLFRDGTLAGRADVPAAAVAGILHAKAVATARLR VGDGLDGMIRDLRLYSTALSDAQVRTIHDNAAGNRRMASAGSASEAARAAKAGPVDFG GPKLVGVADLNAATTVGFLPKLPATVPGVYEGGAAGPALRVIWPSPKTAEAVARPGTH TIIGQVPGTTFAARAVVTVSAPTAAPETPERKLRPFALGEVTLDRDAAGRETPFIRNR DKFVRALAGTNPDDFLYMFRDAFGQPQPAGAKALGVWDSRTTRLRGHATGHYLSALAQ AYAGTTYDETLRAELRKRMDATIEALYSLSQRSGNPEKPGGPAIADPTKVPPGEGRKG YDSNLAAGQIRTDFWNWGHGFLSAYPPDQFIMLEQGATYGTGNDQVWAPYYTLHKILA GLLDCHEVGGNAKALDIARGMALWAHGRLRRLPQETRIAMWNRYIAGEYGGMNEVMAR LHRLTGDPRFLEGARLFDNIAFFYGGPDRSGGLARNVDTLRGKHANQHIPQVIGSLEA FDATKDADDFRVAENFWDIATTSYSYSIGGVAGAHRPNNAECFTAEPDTLWRNGFAEG GQNETCATYNMLKLSRGLFLHRPGDARYMDYYERALLNDILASVAEHDPGNTYHIPLN PGSRKSFGNEDMQGFTCCNGTALESNTKLQDSIYFRTEDGSALYVNLFVPSTLTWSSR GSKLKQETSFPYADSTRLTLNGCDGLDLFVRVPGWATKGSSVKVDGVEKPVDARPGSY LSLGKAWKDGAVVELRMPFGFHFSRVMDRPNIASILYGPVVLAAEEPAPRPDWRPVTV DVNAPEKSITGEPSTLRFRVGDAKLRPFFESYGRYSVYFDLKANGSAAREKSPPAP OJF2_RS35995 MSHDHDAIHVPGPVREQLERMTRRHFFGRSAGMGLGTAALAGLM AQEGIAFAGGMADQSRPGMPAKKAVGGLPGLPHFPPKAKRAIYLHMNGGPSQIDLLDY KPKLDKLYDKDLPESVRQGQRLTTMTSGQSRFPLAPSKYKFAQHGKSGMWVSDLLPWT SKIVDDIALVKTAWTEAINHDPAVTYICTGNQLPGRPSLGSWLSYGLGTMNENLPAFV VMTATWSSKAAAQALYNRLWSAGFLASKYQGVALRAQGDPVLFLSNPAGVDATTRRRA LDAINRLNQREFEATADPETQARIAQYEMAFRMQTSVPDLIDVSKEPKHILDMYGPDV HRPGSFARCALLARRMAERDVRFVQIFHRGWDQHGNLAGDLPLQCKDVDQACYALITD LKQRGMLDDTLVIWGGEFGRTVYCQGPLSRENYGRDHHPKCFPVWFAGAGVKKGVVYG ETDDFSYNVVENPAHIHDLNATILHCLGIDHRKLTYKFQGLDMRLTGVEEHDPVKGIL A OJF2_RS36000 MTFRPGLLMAAATLASLPFAWDARADEPAGAKADAAKGAKPAPA TKPVNFAREVRLILSDHCFACHGPDDKARKAGLRVDSKEGILAKLKSGEAAVVPGKPD DSELVARIESDDPEMVMPPKKFGKALSPAQVQTLRRWVAEGANWSTHWAFEAPRKAEL PAVKDGAWARNPIDRFILARLEAERLHPSPEADPATLVRRVYLDLTGLPPTPKEVDAF LADTSDAGYERLVDRLLDSPRYGEHMARYWLDAARYGDTHGLHLDNYREIWPYRDWVI DAFNANKRFDTFLVEQLAGDLLPNPTLDQLVATGFNRCHVSTSEGGSIEEEVYVRNVV DQVDTNGTVLLGLTTGCARCHDHKYDPIRMKDYYQLFAFFNNIDGPALDGNIAAWAPV AKVPSAEQTRAIAAADAKITSAREAIAAEASKLAATYDRKRDADAEEFVRRADFTWVD DALPAGASPQGEPGWEFRGRPTYPVQSGKASFRLVAQGLKQRFFDNAGPKLKVGEGDT FFAYVFLDPTSPPKEVMLQWNLGGQWTHRAYWGENVIPFGKDNSPERLRMGDLPTTGK WVRLDVPAKSLGLKPGTIIQGWAFTQQDGTVYWDNAGIETWTPQEGQTYDTLASWIRA RKADGGAGLPEAIKAIVAIDPDRRTEAQKAELVAMFVASRDPRAKAVLDPLYAQVDEA QKAKAAVEASIPTTLISRERAGEPKPAFLLNRGEYDQRRDKVGRSTPAFLPPLPAGAP VNRLGFAKWLVEPNHPLTARVAVNRFWLQVFGTGIVKTAEDFGSQGDPPSHPELLDWL AVQFREDGWDVKRFMKRMLMSATYRQSGRVTPESLAKDPENRLYARGPRFRLDAETVR DQAFALGDLLVERVGGPSVKPPQPAGLWEAVAYTDSNTAKFKADTGAEKVHRRSLYTF WKRTSPPPQMTTLDAPSRESCTVRRERTNTPLQALLIMNEPQFVEAARGLAERTLREA GPTTDDRLAWMFRLATARRPGARELADLYAALQDFTSHYAGDPAAAKALVEAGETKPD PKRDPAELAAWTMIGNVILNLDEVMTKG OJF2_RS36005 MGHRFSERRRYQAGLETMERRDVPAVALAAASPAHILAAAVRAD GSGASQPAPTPALGATGAAQLRHPSAHPFSFSIAPDPFKDRPLLNTSDLKVGDILFST TSADESNLIRKLTNSAYSHAALYIGNGKIIDATSKGVTARELSALTGDATRVGVMRVN GITTAQAFKAYTTAHELVGKGYNYTALGLNAVRKLFDLTNPVSALKRFFLEGYKKGQL PAIGSGYFCSELVIHAYRQANVTVASSRGDSPGGMIDYAMDHSSRFQFVGRLPTGH OJF2_RS36010 MATDEVEAVLANWIEQALDPRGRLSDGISPSAWVVAQFGAWWRA RIGHDVNDAEHAAFGAHDELMRLGGWAFFGEALHELTDVRDSIGDLRRVLRLHDEPVS OJF2_RS36015 MRDPARIDQVLAVVREVWMRDPDLRLGQLIVNAVQPREPCPEVY SIEDTTLLRKLSSLARRPGGIDS OJF2_RS36020 MNEPNRRAEQWAASVAALAVDALLDAGLVSREAFEAAKAVVAEE ILVRLCCEDYPPPVGIDRVGGPPDAPNPDTITPA OJF2_RS36025 MSAVLRAYGAEFDVEAFLTGCSMPVCAVKRRGELVFPASRPNGR RHEQSGIHVLASGAEFDEFPRQVEDASTFLQVEAEQVRRLVTFPGVECVTLDFGIACR DIAWQSDHLPAELVRLAGSLGLAIELSHYPTGEAAEDA OJF2_RS36030 MMQPTIHWRLLFRVKDRDKAHRRVEEVRRVLAREIEATRIERYW KDEAFWDCNLNTPGVAGHAAEVAFDCLMLANRLGNGWYLLGPGGQGELVVFEGVFDVR TSGRPYVPGLEWASFSLDSGSTHA OJF2_RS36035 MPSQVQRQAREAYRLFRQNPAHPGLHLKQVHADPLLYSARVGIS YRAVCVRDGDTVVWFWIGSHAAYDHLLAQL OJF2_RS36040 MTKLLEKAFAEAAKLPEDEQVVLASRLLAELGAEDEFDRAIAGS AHRLAGMAAEAVAEHRAGLTKELDPDRL OJF2_RS36045 MSAEVDDGSSSFDPVELLIDEFLGRHRRGERPSLDALIAANPEH AGRLRSLVPAMLEMEGLGGEADGGGPAGPPDALAAMPARLGDYALVRPIGSGGMGVVY EAVQQSLGRQVALKMFPAPGPGEASRLERFRREAHAAARLQHANIVPVYEIGEHEGRH FYTMQLIEGRGLDLVIRELDRLRRASPGEPLAGRPLGDDLSAGLAEGLGRGGPSPGAS EAGAGAGGARYLRRAAGLCVQVAEALEYAHRAGVLHRDIKPSNLLLDARGHVWVTDFG LAKTGDEGGEGLTRTGDLVGTLRYMAPERFRGWSDPRSDVFALGATLYELVALRPAFD EPDRARLVARILHGGPMPLHQLDRRFPRDLETIILKALANDPAERYPTAGGLAEDLRR FVAGRPILARRSGAVERTWRWARRHPWGAAAAVAVAAALAAVAGISVAYARERDRAAG AVQALASDLAREREGLRGSLAGARRALAMRDFDRGLAAFEKDQAGPGLFWMREAWHSA EAAGDPAWQHAALANLTAWRARLPRLLGLLSHDGPANAAAFSPDGTRVLTGGQDAAAR LWDAASARPLGPAAIQPSQVSSLAFSPDGRLVLLGRGDGVASLHDAATLRPIGVELRH AAEVQSVAFSPDGTRILTGSKDRTARLWDAGGRPIAGPLTADLAVTCVAFQPGGHLLA IGGRDGLARVYDARDARQVGRVAADGPEVHALAFSPDGSTLLVGGWGGGLRAWDVAAR RPRGEVPRPHRGHVRALAFRPDGRAYATGSEDKTARLWDAATHEPVGPPLPHQGPVVA VAFCPDGRSLLTASSDHAVRIWEARFDASPGPSLEVHGAGQAVAFAPDGASFLAAATG VAGRRDAATGRVAGWSTCPNGQAKGLACRPDGKVLVVAGSPALLLDAATGRPLGRPLD HPGGASVVAFSPDGRLVATGGEDRTSRLWDAATGEPAGPPAEHPGSVDALAFRPDGKA LGIGLASGTAFAWDLATRSPAGRILPHPGAVSAIAFRPDGEALVTGCEDGHARLWDPS TGDLLIPPLAHAAWVFAVAFSPDGRTILSGSRDHTARLWDAATGQPIGPPLPHGADVW SACFSPDGSSILTGDVRQTARIFRAPSVPPADASRSDDLLTALTGLTFDPARGTLLPV DNATWRAARERAQGVTTE OJF2_RS36050 MGVESSETARLLERASAGDSRALESLLMGQRPRLRRMVAARLDE RLRRRIDPSDVIQETFLEASARLPSYLREPSMPFFLWLRFLAGQKLATLHRHHLGVQM RDAGQEVGLGRGGWPQASSAALAEHLLDARTAPSEAAMRAERKERVRRALEELEPPDR EALALRHFEQLSRAEIAAVLGISEAAAGKRYIRALEKLRRHLDGPGEGTAWQA OJF2_RS36055 MALAMWRRVASSGFRTLVAAALVGAILLIPDRSSARPSTGTTAP AANDDEATGDAGVIVRSETVEVWLPESLRELASPVVRARALAEPDGLRRVLGRRGGDP RIALTTRCHRADGEHSDITFILRPLDVPDHLERLREALAGVADVHPGDVADDGQGPDA HLVPEGLLDRDQDQILATLDRILAEEQPEVTR OJF2_RS36060 MARETRPVVVAREVAALLGAGTAVGVSDGALLDRFRGGRADEAE AAFAALVGRHAPMVLGVCRRFLGDRHDAEDAAQATSLVLAQRAGSIRRAGSVASWLHG VAAKVAARARRGAARRLAREHLRAEGLASSGGLAEAASREADDRDALEALHQELARLP ERFRLPILLCHLEGLSHEQAARRLDCPVRTVQSRLARGRGRLRDRLTRRGLAPATTLI SLATLLGEKARSEAVPAAWKHATAQAAARVAATGPEAAGISADVAAVAEGVTRTMSVN SWMRRASSALRMALAAGGIGAALMARAKGPEPGPAPRGTRGAAPPAAASNADRFLARS ADGAAVEVVAVSTLPTGPRTWWKPDGTRLEAPPVDVIEPKGARPVDVARVILVRATGL KEDAHLRWHPAPCNGCWGGTPTRDGRNEEGLQSCLATFTHGQEEAAVQARVAGGDWKT EVTNDGKGGARAVRERPQAPLRRGRPFAVQGRPGTAFAVAHDAFDRARRIVAIGPEGN PHPAERYSMGPDGDPKWVIDLIDAEIPLPPDQIREYQVRLRPFEQAELRGIAPHPRPD HGGAGR OJF2_RS36065 MDHTEAGRFWDGNADAWTELARAGYDVYRDHLNTPAFLGWLPDV GGLSGLDVGCGEGHNTRLLARRGARMAAVDISEKFIGYARRAEAAEPLGVDYRVASAV ELPFADGTFDFATAFMSFMDIPETDRVLAEAARVLKPGGFLQFSICHPCFDTPHRRNL RDGSGVTYAIEVGGYFRELDGEVSEWLFGEAPAEARSRLPKFRVPRFTRTLSHWINAV LAAGLRLEGLSEPAPTDEAVRACPAIRDAHVVSYFLHVRARKEG OJF2_RS39720 MLATEAGHFAAVLAPVGTGRMPTRIRSPRPSLDWLSSFSDLSLS GIGILPM OJF2_RS36070 MPNQSLRSCIGFCTVSWTLITLIVDAGFAASFSRGLVAGRPLRG ADLITLLFFVPFNAIAAFLCVQLFGRRVLPVWTAFLRARRHPLTSGGLAAMAALLPVL FVSFARADISLMQAVVGWVAVLSASVADYRMSPLPERLGDRNRLRPAQPALPSRRPSR EAGHRPPGLVPSVPLGDTAAAPTGVVVTNRLLLPTGESSFAARPDVAARLEAIGCGSS PPRGRTQDERLDDLERKLDRVLRALDR OJF2_RS36075 MGKLEGKVAVVTGGNSGIGLATAKRFAAEGAKVVVTGRRKEELD RAVAEIGRGAIGVQGDVSKLADLDRLYATVKEEHGRIDVLFANAGFGEFGKLGEVTED QFDRQFDTNVKGLFFTVQKALPLFAEGGSIILNASIVSIKGIASFSVYSATKAAVRSF ARTWTMDLKERKIRVNALSPGPIDTPGIDSVAGSKEAAGQFKAGMASQVPLGRVGDPD EIAKVAVFLGSDDSSFVAGVELFVDGGMAQV OJF2_RS36080 MARSRPLSGCGLEAALAVVGGKWKPIVLWRLAGSTRRFGELRRL VEGISEKMLIQQLREMEADGIVARKDFREIPPRVEYSLTEFGVSLAEALRPLCEWGQA HVARIRANQADAG OJF2_RS36085 MRGERHGSPPSAGRIVGQVLAWAAVWALWIIVSRNNHPTLRLNV LASFLLMLTFAAAVYANHLLLIPRLWSRRRFAAYAASLLGVMGLLALACTAAIHLAYD GLWGPDPARFGFLTNLGMESGLVAFHVLAAAVVLGITRRLHATRRAESGR OJF2_RS36090 MSESGVPPEAWRSGLTSPNYRVRWKAIRGLGASRDPLAYDALVA AIGDRLPTIRIAALSALGRLRDRRAIGPAIEALKDPDAKVRDSAAAALKKFGKAAHAP MRDAYRDGDAGARLALLGALGRIKTPAISELLIAALDDPRDEIRIEAARVLGVRKDRR AVPRLLEAVAEGGPCLPAFIRALGEIGDPEALEPLRAILSAPAFMLRAEAATALRKID NGRAVGFFCERLEEPDRDESGDLALTLAGTDLLGATESLVRRARASGDGDAIARAIVE VRRALDRHSAGLDAGRDDGPVAGAAGSCRRAGVESLRELERILRALGRGR OJF2_RS36095 MPTRRDLLHLAAAPLLLRTARAADAGADAEGMERIDTHIHIHRE APALVASFKDSRWSGLDIVVCPTEGDEPYDLGARLDATLKGARSSGGRIAWASTFDAR GFESPDFAERTSARLRRTFDDGAIGVKIWKNIGMAIRGKAGAYLLPDHPSLLPIYEAI GRADRTLVAHLAEPDGAWMPLDDKNPELPYYSKNPRWHMLGRAGVPSKESILDARDRV LARYPRLRVVGCHLGSDEDDLKRLARRLDAFPNFAVDTAARIRYFARGNREQTREFLT RYQDRILYATDFALRDTAPEAGAKTLLARHRRDWDFFATDAAMEYEGRPTRGLALPEP VLRKIFRDNARRWLPGIGV OJF2_RS36100 MALTREKIGKFRRVLERLDGRVQGDAQSLENQARIGLGGEAGGN LSNAPMHLADLGTEQYFQELNATLLENEAYIRREVQDAIGRIDRDAYGICERCGTSII EERLEALPYTRYCTKCSAEVGDGAVVDLNAGRPEAGMGGLERRQGAGEHAHGGLEDPD QPFTDEASRGGAYADVHAAGTAGGGTAVGGLAGTNIGEGDPDDGDLEDAMGSGNYDQE LDEDDEDTTAYSGPEGGAVGGAVADRRATGGKTGGGIAPQPGPGDSPVGQ OJF2_RS36105 MSVLARLTTAFHGRSRPGARGASGTRTAPRLRPSLETLESIDLL STVHVHAMAAHRAAKAQVARSAGIQPILPLNYGVTGVRQDVGGNVLITGGTGAPSLKD GTPAFLYYGPLGQIPSTAPSPSLYTFNPTFAGQTVTSSQFYGPNTSLFDPSLGAGNIT AVGAYRYTGSSFQAGMIYTGPVDGSGSFTPIVAPGNGTDAVGDTIPHSTMGDLVVGNF DYQDDQVRGHGFIYNKSTKAYTTVDIGRFSTTIYGVWQDGGPSSSHYTIVGGFSDNVR GAKAFIENYDAATGVFSRFRSYSFNNRPSIVTHFEGISAVQGGFSIAATAAGGRSNNG ASYAFIPVRGNGSFGPARWVAIKNNVNGTPTTGDTVIDNSVMGIYPAGSAGASSYIAT VKRPSIGRR OJF2_RS36110 MRGRRPVLEGLEGRTLLASSILLDGLEFTGTFTQSGQGYTSAGA VLIGYAPTQGEAFNPLLSTDGLVTIPAADATSFTIAPAQGETTASLTLCSLSANPAFW ATSSAATFDIGSLTSSAGQSLGAGAAQALTVSDVPFDATNLAFTIPTGADTSQAEVLL QGNLDFSCIGLVGLQVGVGTAGDGNDLVVTSGASASMTLTGVESSLQASFSAYGVDIS GQITVAYSADTDTFSFGGSVTFSADGMVNVGASLGSNTNVVGGTLQAIGLDLPSSFDL FGLELKPQDLTFAYTLGANQFVMYGAVTASVSGTDPITATMGTAGDPGLAIDASNGTI TTVNMSISGTFSLLGLQISCSSSSPPAFVYSAASGDYQITGSVTVPTLFNATLALGSG GNQGLTIDDDGDWSIGSLELSLGEVALGAFEIQQFVVDYTQTSSTVATVDVTMELAFP ENWTVTGSIQLAINEATGFFAIQDISLAWQATSSATAIPIGDTGLFLTEMSAEVQNFN QPSNLMVSGTMEAVYGKQVTIFGQQASFFQVDGSFFADKDELSLSSKVLFGAVTSGGS TTGLLGDGNGTMTLDWDDDTYSLDTSSSMLDGAYTYNASFTFGGGGNILLSATADVNV PHGVPIIGGQKLGSLSFLFEYEPPSTTGGQAQGFIAAWTSIDLYVTHVNIGFEYNYVN DKFIVLGSGAINALENTVSSASETYTYSQSFTVPDGATQGTLEIDWTGNSVLAALTPT SLTVQGTGISDVSVTSSTTGTITLLPNTGYTTSTQALVGIVGSTSNPYAALAVQPGGT YTLLATFSSKVAPTDTSAAITGITEDPSTGDALVTFASGTVPGGIQVGDTVALAGTSS SGYNTSSSGVVATVQEITGSGVILNIPYNGAATGGTLSGWTLPQFSATWYIPPPSISV SPVASAVQTGSVPVTMPVQVASTLASNATVNLYIAPYDAALGTAQAFNGTLVAQGVPL SGATDNGNAMTGYTATSTVDLSGLLPTQYYFYAVVNDGTNAPVTSSLTGTDQVMEYEP VVSGTVANQDGTALSGWTVFVDLNGNGILEANDPSTTTNANGFYGFSSNQVPYGTPVD IVLVNLDPSAFSFGTPSNGVGQITYTGNLSTANFSMIQSSSIEGIAFDDANLSGDPSG QAPLSGWTVFLDTNGNGQFDAGEPWTLTSASGSYAFYGLTSGTTYTVDLVPNTGAAAI YSFQASAVSGNSVYDIAGDADALQQAGTLNGGATVVTSSSLGAATPNASDGNDQVLGL NGTSGYVSVAGNPNLQPGTGGFTVGAWVNNEQALPFGTNSTIAGTITSGAASGGWSLG LGGASQVTSQNENYGGSSAQLYTADFDHDNLTDILSVSAATGTVTVVLNTTTAGATSP TFTYTPYTYTVDNDGESLAAATGDFNADGLFDFAIADEANDQVLVFLNVTAAGSTTPA FQVITLADPNGPCAIMAETLNGADHSPDIVVANLDGTLTVFMNQTPLSGGTPAFTSQS VALGYSGPGVPNQIAEGEFNGDDSPDLVVGILNGPLVVLINTTTAGAATASFGTPTDI DLGLTSGVSSSEVAVGAFNWLSDGIAYIQSTATGNPTDTLYVLLSTTATGSSTFTYTT QAFTVGSNPSALVVTSLNGDFMPDIAVTSGGDSTVTILMNTTGNGATSATFTSATYDT IPDPTMIVAGNFYGSGLPDLAVGGGGALISILQNETNGTTNPTFGVSDFNMEWDDPGS PYGMVAGAFLGNGLDNFAASWTGGPGVNIAYYLTQTYLTAEVMENPSSGSGSFSTNSS NSYVMNDDTWYYVAFTYTPDVDSSGNDELDLYVNGVLVSQAIGLGTLPGSANISGAAA FTMMIGAEPGTQASQFLGGYLDDVSIWQSALTQAQIQALYGGGIPGTVVQTTPTNPGT YAVTISGTNDVVSGANFGEVQSATIIGAVTGTPLDSTTSGPLSGWTVELLNSDNQVVA TTQTGSTGLYYFYGVMPGTYTIQEVTPNGWTQSGASPTLTAALDTVYTGENFTNTQTA QVSGDVYVDANNDGIQDDGEVGAAGATVYLDENHNGRLDGGEPTAVTQADGTYSFTGL APGRYVVRVLSSPVGVPTQPSSSFYLADVTAHGSVKGLDFGLSPLVIAPIADVTVAEG SPVSFAVGLAHAVSGQPTVFYLAPGAPAGATIDPSTGLFSWTPTRPGTYTIVVNAVAA GTPLLTDSQAFTITVTDVAPVVRLGSQIQITLGDPFVRLGSFADPGTDPWTATVDYGD GLGPQPLLLGLDKTFELDHVYRAPGTYTVTVVIDDGEGGVGTASAEVVVLPRPTVVPP NPSPLSSGFGARRDAFVISLFDHILEHDPDPAGLSYWSGRLRAGASRLAVARAIWNSP EHHATHGGKPASRAGFAHAFRLARNAAAMVKTHAGAHARGR OJF2_RS36115 MSSRPSRRRRDAGITAEALEGRQLMTASPARGGHPSDAPAPAIE ARPAHALARHALRSPYDIALRVSYVGAPGNASIGINGFVPPSRATVPAKAESPTGSYQ EIGGVPYVYAIGRTEITAGEYVTFLNKVDPTGSNPVQPVTGIRLWSSAFSPVTNPFSG QINLVQNASPGTHYRLAADFWRNKPLVNGNLIQFAYFDNSLYNGSTVAIDNSRGRSPL GYRVHQQTRYVSLSTNISTGMYDLSNSSYPYFSRMSTSGYVIPSENEWVKAAYYSPRH TGNGTHYYYYPTVSNKPPTALTTADPRPTVDRLGHVIRPNLTRGVAYSNYNKGVVWQP PYDPETADNGANVVDVGGDRTPSPWLTYDQGGNVVEYTDTAVAPPAGVPNPRNLPAYV KVHGGIANAATYQLWLTATGTSSPYGQELGQVGTQGGARFGYVPNAKADRSIGTSRGV SQSSLASPLASEGLVYRLDNLNTLSTFYTTNLTQAITLANDPSTYVSLGASFEQPATP GGVPVYGFLNTATRTQFYTTDPQAAAAAASNPGYASEGVVFHALPAGVGATNYREFYN PQTGAYAYSAAADVQFFTSRGYSIQGDAWSVG OJF2_RS36120 MLAPSASGPIHRLDSFFDRVFGEDGSFGLAWDNVPVSMWEDDDR IHVEADLPGMTENDVEITVHNGMLSIRGERKPEGGRNYFYNGRSYGRFERVITLPEAV NADDVQGTLKDGVLRLTLPKRPEARPKKITLRTT OJF2_RS36125 MAIERWDPFRDAVSLRDAVNTLFQESFVRPGSVPNTNGTASLPL DICESENEFVIRASLPGVKPDDVQITLHGDTLTIRAEAKAEEEKKGEHWHLRERRFGS FQRSVTLGTPVNTEKADAQYEHGVLTLRLPKAEEAKPRQIRIGGSRQARVGQESGSK OJF2_RS36130 MFMLSSPISVLIVLLGAGATPEAPVRLDVTVTVEGAGLPADAPR SYPVRLVLGADRLAEEVAGVRYVLDFRARRRLVVDLAKKEYAEWSLYSVPLFRAMELG SRKALLAAFRGAGGRSMPDWPPALLHHELAVSEPGTPTEIRREETSSRVLFRWKDRLL FEFDKEMARASPRTLLVFSQSFRYAHYGTHPEILGDLQARPGIPKVLVHMAYPGGRAT DPAVCTRYALDRACVGDESSFLVAGLSRVQPNLGEEASLMEAARKDPRGASAQVARAS AGFDRASAAGRYFEATLAATELFLQTEDPDWHRRVQSFADRARDDPSLRRLDAALMPD QDHLAGAIRTLQSLRKASGDFPHVLMIYEANLHARRRTGAGVERAFDDARRLHLAALR VNPYNPSVWRDLGSTYQQAFATEPAFFCWEFARELAPEHSASRHLDSLERRLEADLPE FFLPGVGSPRGEAARAGGGGG OJF2_RS36135 MGLVEAGLDPKTKAFYQRTLAVLNEAGPPFLVGGAYALTKHAGI ERHTKDLDVFIRRADRDPMLRALEAAGYQTEATFPHWLAKAYEDDAFIDLIYSSGNGV SEVDDEWFAHAADGEVMGVPVKLCPAEEMIWSKAFIQERERFDGADVAHLIRSRGRGL DWERLLRRFGDKWRILFGHLVTFGFIYPAERDCIPPWVLKRLAARLSEELECQPPPEP AKVCFGTLLSREQYLPDIGLWGYRDARLEPIGSMSPEAVAHWTAAIAGKA OJF2_RS36140 MSETRSTVRVAAVGDLHCSKDSRGLIRPLFEAAGEFADVLVLCG DLTDYGLPEEASLLVEELSVPSRPPTVAVLGNHDFESGREGEVRRILAEAGITLLDGD AVVIEGVGFAGARGFGGGFGRGTLGSWGEKAVKAFVQEAVDEALKLESALARLRTESR IAVLHYSPVRDTVVNEPPEIFPFLGCGRLEDPLNRYPVTAVVHGHAHNGTPEGRTAGG TPVYNVSLPLMRKAAPGGPPFRILEVPAGSPAG OJF2_RS36145 MAARATVLAWLGLSLVPAGAGRGEPPREPLVITRDAALEPGRVY GPIVVKGSGITVDGRGAWVVGATGGPAKAFRGTGIWAEHASRVTLRNLNAKGWETGLR IVDGEGWLVEGCDFSDNFDDPAFGWGENGRRGGIVLERVRKSTLRRNRANRVWDGCVL VDSDDNTLEHNDFSHTSNTGLRLWHASRNRVERNNLSYGLRIDPGEVHARDSACVLIE SGSDGNRLVANDCTHGGDGIFIRVLNGRVSAGNVFEENDASYANNNGFEAWAPRNVYR RNRANHCSYGFWLGASDQTRLEGNEASFNGLPGGFHNSPHLPGDGHAGIVFLFGPSSH TVVRDNTCRDNNGAGVALVGDLERGGPKWKAFHWVVERNRLEGNRWGLYARNADWIDL SGNAFRGNTGDDVRLDGGVTRFANRKGDTGIQAPPVVRLEGPDRVRRGEEVTFDASRS SDPQGRPLTFAWDLGDGTRADSAVVRHAFAKPGFHRLGLTVSNGSLSEIGWRDLYVAD EGEDLATEGRAASWIWRDPDSRVAFADDEATRVIGRSSVAARISPYGGGRVELVYPTA KDAAWPLRGRTELVFWLKALNENVPGWQDVNPVVRLSGSADRSVVFTPRADLLSHPPH NEGREGWTRFAIPLAGNADWSREGPMLETVHAIAIGFDSWGTPPLQIWIDGLCLE OJF2_RS36150 MKLRFSIAAGMVVVLIVAANLTVATALFAHNAEIFVGMAPTLIA LQAVAFRLLRRRSNPAFWLGFLAFGSLAMASFAWGMTLPRELVAVAYPGQSPRLVNVS KASSLWLSYGSSAGDVLERWLGSSRQLVDPEGPAGVLVRSLVWSAPQLLFALAGGLCA MGLARLGVSRLGMPGRSPHGAAA OJF2_RS36155 MGPLLQRLLGWLGVPSAPPIPAPGPSDSGQPVIEPVDRPSPAAP GPRGSRLGDVARDYEAWKACVLLLAGGRAPEGLAAGHSLSLAKLRIRSLPAGLTVRGD LDLRQCQRLTRIGDGLCVSGDLRVGGRCPEPPWWERKWLREAETSHTPAMALRTLSGD DQCPLAALPSELRIGGDLRLRKLRRLERLPEGLFVGRSIELAGCTSLARLPDPFEVHG DLTIQSAPSLAALPDRLVVKGNLRVIGAHIESLPGHLTVGEDLVLECCDRLTTLPDGL AVGGSLVVRRCPIGRIPEGLRVGRDLRLHRLPELRETPPGLSAPGRIELLRCPTLRRI GPGLRVGTDLCIRRCGRLEELPEGLVVPGTLDLRGSATLTKLPRGLDVGAAPGRSAFE PALRVADCPALTSLPGDLELAGPIEVAGSGLRDLPERLSRSTRILWRGVLVPPEVVFR PETLTPEQILGQPNAELRRVMLERVGLEVVLRRARAEVLDRDADAGGERRLVLTWLRD RPGQAQPRCYLHCRCPSTGREYLLRVPPETRTCREAAAWLAGFDDPDGYRPVRET OJF2_RS36160 MNVGTLWRQGDILIQRIESVPPAAQRLRRPVLASGDTTGHSHRI EDRRTARLLLIDGAPGTQLFLEVDADEASVVHPEHDTITLPRGAYRVWRQREFDDRGS RPVSD OJF2_RS36165 MATADPDQDFSADEWAACLRVLEALREDPEAAPDPERVERLIAR IYRKTRGKRRKASAESRRQDDRALVEQTGRVRRAPLPGSTPMDPAGGPPSSGLLRARS RRCYICKERYREVHFHYHHLCPGCARLNFDKRVQRADLSGRRAMVTGGRVKIGYQAAL KLLRDGAEVVVTTRFPRDAALRYAGEPDFDSWRSRLRIEALDFRMLPEVLAFADRLLA GLPSLEILIHNAAQTVRLPADHFADAAALERMPAEALPANIGALLGVHPGTGLPPELE APALLGGVPGASALGASSPALPVGPREEPIDRRESNSWTARLADVPPVELLEVLLINA AAPFLLTGRLKPLLLRSPFPDRYVLNVAGLDGQFGRGSKTDRHPHVNMSKAALNMMTR TSAADFARDGILMNSVDVGWITHEGAHSTRLRMRARGFVPPLDEVDGAARIYDPIVQG LRGHREHGHFFKDYRPTAW OJF2_RS36180 MVKPRSHRAPCCAALILLLALAGSGCAFGPFTLEKSHGRYNEAV RHVDEEQLLRNLVHMRYNEIALNLNVASIAAQYELAGQAEARPFFLAPNPATVSNRGV FRTFTSVLPDVNVSGANRPTLTLIPADNGAATEKFLRPISPETLVLLQQTSWPIATVM RLWVERINGIPNAPTASGPQREVPPDFARFRRVVELFQQAQDLGLGAVHPAERDVEVG GPLPGSAVSGSAVVDAAKDGLEYRPRGDGTSWSLIRKERRLVFDVNPGAQGHPVLEEA IALLDLRPGLSRYEIVAGPGITPEALPAALPAKDELHFNLRSTAQVYFYLANGVEVPC EHLEAGLVKLPPGPDGRPLDGRAITDGLFTVHACRGHKPPPAAFVAVKYRGYWYYIDD RDTQSKATFMLVLSLSRLDFGRQQPGGPVLTLPVGR OJF2_RS36185 MNPRACGGRPKGLALIPAVGLSLILNLAGATMDAAAGEFKIGER TLKVPDGFVAELVAGPPVADRPITIDFDETGALYVADSSGSNDPVQKQLKERPHRILR LVDRDGDGRYETRTVFADRMMFPEGTLWHDGSLYVSAPPTIWKLTDTDGDGVADRREE WLTRTLTGCANDLHGPYLGLDGRIYWCKGAFAEQTYERPGKPPFVTKASHIFRARPDG TQIEPVLTGGMDNPVDVAFTPGGERILTCTFFQHPGGGKRDGLIHAIYGGVYGKITGP IFEPAHKWTGPEVMPVLLHMGPAAPCGLTRYESEALGPEFRDNLFACYFNLHKVSRHV LKPEGSTFTATEEPFVSSPDVDFHPTDVAEDADGSLVVVDTGGWYKLCCPSSQLHKPD VLGGIYRVRRKDAPRVEDPRGLSIDWKALPPADLAKLLGDPRPAVQRRAIATLAKRGE AAIGALAPHDVSSPLGRRNAAWTAARIDGLVARSFVRAAIEDADPDTALAAIHVAGLH RDKEAASPLVTKLGQPSAHARRAAAEALGRIGDPSVVPALLQALAAKPDRPLEHSLIY AAIEIGDRDAVSKAAHSTDPAVRRGAIIAMDQMDGGSLDPREVAGLLTSSDVPLREAA SWILGRHPEWGGALAGSCRERLAKGPADAAGGTELEKQLARFAGAAEIQALLAETVAD EAAPAASRLVAAKAMARAGLKDAPDSWVASLAKVLAGRPARPEALELTRQAVATAAAL APPPEKARALIPALAAIGRDEKADEALRLSALAAVPGGLKPLDDATLGYLVGHLDRDQ PAAIRGAAASAISRAGLSKGQLERIAGSLKAAGPLEVDRLLTAFEQSADGAVGLTLVK ALGDSPALSSLRAEAIRQHLAKYGPPVQAAAEGLYARLNADAAKQRAKLDELASKVAG GDIRRGQAVFLSEKAACFTCHAIGYRGGDVGPDLTKVGEVRTERDLLESIVFPSASLV RSFEPVVVATSDGKVVNGLLKRETSDELFLVTGVNQEARIARSDVEEIRPGTVSVMPA GLDQQLSVRDLADLVAFLKACR OJF2_RS36190 MPGTQPAAGPVHDRAALSRRLQEHFGFRRFRRGQEQAVRSALLG QDTLVLMPTGSGKSLCYQLPALEAEGATIVVSPLIALMKDQVESLRSRGLPALMINSH LSAAERRAAEEVIAGGFPAFVFTTPEQLADPDFRALLRKTQVDLFVVDEAHCVSQWGH DFRPEYLTLGDVIEDLGRPTVLALTATATSEVADDILRQLRIPDARVVSTGFDRPNLH IAATRATSEEDRFARVSRAVARCTIEGLGGGIIYASTVKAVGELADRLREEGLSVGTY HGRMRAADRAASQDAFMSGEVPVMVATNAFGLGIDKPDIRFVIHAHLPGTLEAYYQEI GRAGRDGGPARCELIYTDDDQKLHKFFQSGRYPTPEDLVNAHHALKRLAETPPLFDEL QAISPLPKSRLKSALNLFRSKKIVKEDIGGRYLLLRPDLTTADLTRIGREYEEREEAE QLRLRRILDLAERRACRWQFLLDEFEPDLPHEPCGHCDNCAAGRGTPEVPLRKAS OJF2_RS36195 MKPSRVLPLLAALALYVPDASAYGPRQYYDSSWNYSQNNGYYYT NYYFYPTVTTTTYTYHYCIYYPSQPQYIYFYNPSSQVYWGRYEIGSKGDKRYSLLEEK DRKKDLKDIPDKAFPTPGRMPSIPGAKDDVAMEPPPENVPKDKEKK OJF2_RS36200 MSRTSSRRRRWCGWARLLPAAALSLAACARAAAAGPLEKEMAEL ARQVMGAVKDRGNAVQVGEFVAKGDVARHGATGGPAIAKSLMEQLEKMGVQTTRSAEL IVSGEFRDVTDKASKTTALMIKAHIEDRQGEPIVDLASRGVFDLTTIASLTGITLVAP PKAAPAEREKAVNDALDNIRPPCVEGTRISARAGSPYSIEVLVGPDPGDATPDLQTYR PRAATLDKDGLAFVNIRRGEVYAVKVSNRADHDVAVTLAIDGLSMFAFSENKEYEVVI VPKGQEGLIPGWHITNERSDAFHVSEYARSAAAKLLPSSSSVGTISVSFKAAWPADAE PPADEGAVNERSRDADATARGKPVDPKDTRYNEMTRSVGKLRESLGVHYNRSVEPADL PDSKPK OJF2_RS36205 MPLRLEAGAEPVTGYRLVRKLGEGGVGEAWEAIAPGGVRVALKF IRLDSALARPELRSLDVIRDIRHPHLLDVQFIVQVDDRLVVAMPLCDKSLTDRLAECR KEGLPGVPPAELLGYMGELAAAIDFLNEPRHRAADGTLVGVQHRDIKPHNVFLVGGST RLADFGLAKVVKAGLEDHSGCMTPHYASPELLAGKISGRSDQYALAVTYVQLRTGQLP FRGPVADVLLGHLHGEPDLFGLPAGERPAVARALAKKPDDRWPSCREFVRELERADRD RAVRHRQEDLAGPAGTPDADLTWNPKAPSTHPATAPTAVQEATDPRPAAAAADWRGQK AESRQRRGRAVGLVAALIGVGTLAVLAALLGPRWPSSSGEKARPGGSEAEAEPVPRAE PKPASEPEPPPVKSEPPRDPTTVAQASESPSQKPPLVEVKSGPPPYQGGVGGGNPQRP ASETAFPPASKVAADPNHPLATGEAKSGSPPYQGGVAGGGPEPQRPSSGTGSGDASKV AADPELPGKARAFLKAHCHRCHGVRFEVPGYDVLDRDALVARRGEGEKPYVTPGKPEE SELWKRLGEDKDMPPSGPKPSDGELALIRDWIAAGAAFPRHERRKPVGDADVLGTIVG HLRAIDPAERPRWRYFTLATLHNNPRVTDEELRLARAGVSKLLNSLSSRRKVAVPEAL GPGQVVLAIDVTGLGWEAREVWDRILKVYPYGLTYRHRPSGDPVRPLAIELDELVGED AGPPDVRADWFLDAAARPELYHAILNIPATAEQLEARLGVDVRRDFLGDQLRRAGFTA SGVSSHNRLVDRHESAGGYYWKSYDFRNTDGTGNLLRYPLGPAFADNPYPRLAFEHAG GEILFSLPNGLQGYMLVDARGNRIDSGPPEVVGDSLRTSGTTLIVAGLSCMACHRDGV IRFQDRLRAGAAAAGAAREKLERLVPAKAEWDKILAGDEAQFLAAVEQSTGPFLRVGA DKGKPARDFPEPITTIARLYQKDMGADEVAAELGMADAKGLRDRIRDNPALGKLGLGV LADGGSLKRSEWDSLKDRTLSTFHEVNLQIRRGTPQRRY OJF2_RS40245 MRDRSPLRIALALLLAPSLAAAASAGREGKPGASYALLVGVRAY DPNELHELAYSEEDVTGLAEVLRAGGYEPTNVVLMTQTLGARRPRLLPVAANIRKELK LLLEDLEPADSVVVAFAGHGVQFAGEKANYFCPADARLADRSTLIPLDEVYKELEACR AGLKLLLVDACRNDPRTKNSRSRAEVDLESLSRPQVVPPPGGVMAFFSCSEGEKAQEY EELKHGVFFHFVIKGLGGEADLDGDSLVSPEELAQYAKRRVRDYVREKNGVRQMPELR GTSRDLFPLVRLAGPGAADTSKTRTPAAGGADSPITNSLGMKLVPIPAGSFLMGSADD EDAEDDEKPAHPARITRPFHLGATEVTVGQFRRFVEATGYRTEAERGGKGCRGWNESK GRFEADPKYNWRDPGFPQTDEHPVSNVTWNDAAAFCAWLGSKEAATYRLPTEAEWEYA CRAGTTSRYASGPDPESLASVGNVADGTARAKFPDWTTIAARDGHAFAAPVGRFPANA WGLHDMHGNVWEWCRDGYDEHAYEHAAPDDPAGPSEAPRRVYRGGSWADDPRYARSAR RVGVKPDYRCYDLGFRVARVAAAPR OJF2_RS36215 MPLPSTGRVGPIAALCLVTSASIPAASAGADDLNRALGKLSERI KRAADAEGETAVVLSPFTAPQRMAANGSPGIRKALEAELKRRDVLVKNGARLEVKGDY GEAEDPAGKAVVRIHGRLIDRDSGRSLAEHSVDVDNLTSIAGLVGATMSVPIEPVPAD RERAIREGLSRPSAHVSSSRISAGPKSPFAIEILAGPSGGAIRPRTAEVINGQAYLNI RSSERYAIKLINDAPFEVAATLMIDGLGLFAFSEHPEYSYVIIPARSSGVITGWHRTN DEAEEFVVTEYPRSAAAERSLAPSPDLGVITACFAAAWPAGGNPPLDEGMEGRSVRAT GRGPITRAELAEVERKTGRLRAAISVRYTKDDGPGAVPDRPE OJF2_RS36220 MTRSRRRGGLWATLGLVALLGTASPSRGDTYAFLVGVSEYERKD ELKALRFASDDVIAFAGVLRNAGVPARNIVLMHDRQSNPRFRPSGKNIQREFHLLLAT LEPDDSLVVAMAGHGVEVGQAGESFFLPADADLKDPATLINIKAINEEIEKSQAGLKL LLVDACRNDPEADNARGLGVTVKPPRRLSEAALPRGSAALFSCNSEQRSFEDPVLRHG IFFHQVIRAWEGGADLDGDRRITLEELETFVRRETKTHARDALSAIQTPVFRGDHEAA KAWVVASLAGPRPSAARAGAGAGAVEIVDRAEAMRRRGEDAAAEREFARAIQADPNSA KAHLGLARLHHAKGRLPQAAREYSEAIRTDPADAAGFVGRATALGAQGDLRGALADYE RAIQINRDLAVAFVGQGAILTRLNRAEDAIAACTRAIEIDPGNAKAYYNRAIAREATG DKAGSAEDFRKAARLDSRLGTP OJF2_RS36225 MRAPSRAGIASLSVLLTGLLAGQGPAHAQFRIGGGQRGQSNAQE AAPGFGGQRGNGGTVFPGNFPQQGGVGGILFPGGFPQQGGGGMERQPQGRRPQRGEGD ASNGRRGPTNGNPTGPNPGQPFQGSDGRWYYPDGRPYGSVHPGPGGNLGQPYQATDGN WYYPDGRPYTGVAQPAMGGNQGQPFLATDGNWYYPDGRPYTGVAAQPAAANPGQPYLA VDGNWYYPDGRPYVAPAAAAAPASTAATPSRPAATEPATPAANIIPDLARPAAIAGNS VRTRLPAARPPVRESLGQELTRSLEEGIERMEDNLRAALFGEADEAAFLAIYKRSFTE DTPQFRLARKNIKSLDADELRQGLAIDQVVDAGAQVYPSKLEVSARFGAFKREVLEGR SAAELDQASKALLKTYERIARAPEFADTGVPAPEQVRAEVARLRGLFEVRQRLAAPAP AEGTVAMDRRLWVVSYPGLPRDAIQAVDPQVCLWGTGTGAIDVREAGLVDLGVPLLNR VASPLPEAPKPPARSGALVYSAKDAPAPVNYVIDGASFELKPGESRSHEVAANSRITY DRGGGLGNMTYQLAAGSYRFAVEDRAWQLTKPTFSAVIDNSANGCDFRCEVDGQARTV PARKTLEVAGNYPISIRFEREEGQPASTKVLDEPRPVTVGVAPGSTALDLYPGTSQEL CVRPVAPEAVASLPQAEPTSPARAGRQPLLPTVDDLQ OJF2_RS36230 MSLKLAAFPKCYIDQIAGDRTMSVFDWIEMARSLDADGLEMYDG FFESLDDAYVDSVGEAIRDAGFAMPMLCCSPDFTNPDPDGRRRAVDREAQLVRVARRL GGPGTVCRVLSGQRYPEVGREQGLAWVVECIGQVLPVAREHDVVLGLENHYKDGFWKY PEFAQKQDVFLELLDAIPDRTHFGVQYDPSNAIVAGDDPVELLRRVADRVVSMHASDR SLAEGTTLDELRQSDGTLGYSPNLRHGVTGRGLNDYDAIFGILAEHGYRGWVSIEDGM NGMEEMAESLAFLRRMIAKHFPA OJF2_RS36235 MSRTPVRTALVGCGKVGRIQAQALRSLDTSEFVAACDPDPARAA AFAEEFGVRPFTDLRELLRDGGAEAVSICTPHPQHAAPAVLAAEAGAHVLVEKPLAAS VDDCDAMIAAAARAGVKLGVISQRRWFEPVRRMKRAIDEGKIGRPVLGGFTMYSWRDE AYYRSDPWRGRWDTEGGGVLINQSPHMLDLLLWLMGDDVAEVSAYWSNLNHPYVEVED TVVASIRFARGGLGSIVSSLSQKPGIHTKVHIHGESGASVGVETDRGATFIAGMTAIA EPPLNDIWTVPGEERELARFEAEDRAQFAAVDATSHYHRLQIEDFLRSVIDDRPPAVT AEDGRRVVALIDAIYRSGREGKPVRPERSR OJF2_RS36240 MPISRRRVVAAAAGLAILAPSRVLGFPDERAGARARPIASVAGP PVLKPGTRCRIEVEERPPTAFRSVVTTYEGIVVEADGEGVCLDVREVRREHSVPAAMR LPFSDRLFRNVGIGRLAPGEKHPPLAIAAAKIRSVTVLPAGTPGAAQPGRLSFVPPPL PWEKQKP OJF2_RS41350 MTVVEAGELDHGELFRVHREATLKVIRKALADEPTIDWLLENQD KVEHYYHKLGVDGEL OJF2_RS36250 MFVLVDSGFLLRLLETSDPHHSTIRAAVRALRGRADNLVVAPQN LAEFWNVCTRPAAARGGLGLSIADAERRLRAIERLFRVIPDNPAAYPIWRRILISQAV RGVQVHDARLVALMQASGISHILTLNTIDFARYPGVVPIAPTSLVNPSPPPAPPAAP OJF2_RS36255 MTLTVHLPDDLERRLRECAARDGRAPEEYVVLLIERDAAGQGGG RPVESAGLSPGRAASLSDSEFEALLDELAVGPGLPPLPADFSRADLYADHD OJF2_RS36260 MRGEVLDMGERILLKTGEALVEGSEDYLCAEPEVVIGELEGPVG HALANLVGDQVKGHSRVFAILNSDVQVRPATVMVSKVTVKDARYTNILMGTVQAAIAN GVLDAVRAGVIPREKVNDLGIIYSVWLDPSVVKAGELDHGELFRVHREATLKVIRKAL ADEPTIDWLLENQDKVEHYYHKLGLEGDL OJF2_RS36265 MIGQSTRDIPLKLGKFDLATSARWLEARMRHPVRRGAMSPREKA LADRIKTLKREAGSHSPSAPTLIKLIPELRLRVDACFLSNPYATGLFLEYLQREVIRT GRLRKLLEFYPSQNRVIAGKLSRSIDIPADHLFIGNGAVEIIQAIMHRFTGHKILVNL PTFSPYHEFARADTQVVYNVVKKEDDFRFDPAAYVARVKREKPDTIVLINPNNPDGGY IPHATLVRMLEELRDVPNIILDESFIHFACEGDAYAFRSLGGETDRFPNLMVVKSMSK DFGVAGIRAGYAVMAPARVRELLENGYLWNSSGLAEYFFDLYSRPEFLAEYERKRVHY IRHSRRFFKALSAMPGLYAYPTSANFILVELRNGMVAEDLVCQLLVRRGIYTRTCDDK KGLEPGKFLRVASRTRSENRFVLRAFRDILR OJF2_RS36270 MERRRLLRLALGPPLALLAGCGGSGDGTHVQIGDATKAEVQARA EGYKARALAKKAQKGTKK OJF2_RS36275 MRTHRGFTLIELLVVIAIIAVLIALLLPAVQSAREAARRAQCVN NMKQLGLAVHNYISAAGSFPPGTVSTMADPTQGIPAGLSSWTSWSPQAMLLPYLEQGT LYNAANFNWHCCWYGPGDAINSTVYTTKINAFLCPSDALAGEGSVVSYTANINSYYGS IGTTTTQYPADGNTSGVFKLYNPTTYRASATNLAELTDGTSNTIAFGEGLVGDNGRKN NYRGNGMSGASPPAGYEMLDAKQNPAVITQALQACNAYWATPTLQGDATGLKQYLGQV WGLGERGFTLFHTIVPPNSKDYPWHSCRFDSSCLDCAMEGSSFVNASSNHPGGSNFAF ADGSVRLIKDSVSMQVYESLGTRAGGEVISADSY OJF2_RS36280 MDIPRPGLPPAESVDGAPPAPLGTSPGQARILAMALAAGLIAGV AAWLAGEAILRAYGDTLSPKIRREVSPEAVRRYGQALLASAAATYASLGAILGGCLGL AGGLARRAAAAGARAALVGCAAGAAAGGLVSLGVLPGFLRDRDPQAQDLVQPLLTVGS ICAAAGAAGGLAFALGLGRRGRWFHSLAGGLLGAGLGAAAYEVIGAVAFSTGKTDRAI SMTPETRAMLHVLVAALAAAGSALILGMSPRRPAEAPPEA OJF2_RS36285 MPLRSRLFGGDSKLEAASQADSAHVTPGARGEHVSKIQKALNLV DGAGLDEDGIYGNGTARAVLRFKQARGIVNRAYQSQADDIVGRMTVAALDREVFAREG LSGGRIRIVPLHPILEPIHPTEHIRRSPGLLLGFKVEDLSPRLGLSFSSTSLRLEPRN HAVVTIANGGGGSVTSRNTTKARDCLDKTTWIYDLFTQKPIGVGAPEDGGSETIRGDA YNLIIWAFRPGDATITASPRGGGSPATLQVQVRAPSTGAVTGYPAPTKADPGSKGYVS AKDSEDSANKQRDNACRPVKPKVGEGRNINLGGEYETPGFEDYTTSLPHSGFSGKHTG DRWVFRPWTDDPQAGVGNSKALNICSRGTPLDNDAIASIRRMAAKGCRITYCGEKKHI DALKTAFPGHTTLDDLVIGSTNCYVMELP OJF2_RS36290 MRANTFVSPGKTRVERVPDPKILNDQDAIVRITSTAICGSDLHL YNGFIPTVEPGDIFGHEFMGEVVEVGKGTRKVKKGDRVVVAFPIACGSCYQCGRQMYS CCENSNPNAWIAEKLMGHSPAGVFGYSHMMGGFAGGQAEYARVPFADVGTIKVPDGMT DEQVLFLSDIFPTGYMGAEMCDIKPGDVIAVWGCGPVGLFAMASAYLLGAERVIGIDR VEARLRVAREKVKAETINYEEHDVYRTLMEMTGGRGPDSVIDAVGMEAHGSTAMFLVD RVKQGLMLENDRPNVLRDAIMCCRSGGTVSVVGVYSGLIDKFPMGSVMNRSLRIMTGQ CHVQRYMKPLLERIRKGEIDPTFLITHRANLDDAPAMYRTFRDKQDECIKVVLKP OJF2_RS36295 MTTTHLSPRPAYPGSRQLPRSRSAIDPEAVSGRGDGGERLAKAL GWFSIGLGLASLAAPRGIARLIGARGDHADETILRLVGLQEVACGIGILATRKPTGWL WARVAGDAVHLSLLSGAMASGPEDPNRMAAATAAIAGITVLDAGNALQLGRSRRPARR AASEVIKSVTINRPAEELYRFWRDFRNLPRIMSHLESVEVEGETRSRWTAKAPAGMTV QWDAEITKDVPNQLIGWESVGGRVDTRGSVRFAPAPGGRGTEVHVRMWVDPPGGALGL WFAWLFGESPDQQVSDDLRHFKQVMETGEVVLSSGGFDGTHIAQRPAQPPGSIPAR OJF2_RS36300 MLPSTLSLIAWLGLASPSRSEEPSRPSESRPAGATTPMPRPGGS DPAPLALAYQAAPPKQRSARRATAPAAEPAAESVAEQITRLQRAIEDDEKRLAHLKGE IDDPKGEYAQAEATFDRVDKALTVARAELKGLPPGDAAKRKEAEAKVERLIKARELTK QRFDLAIEARRTSVELAANLGQKIARDRQALARLEGRPAPDAAPTRPSDAAAPSPEPA PAAPGGPAPASGGGTKPAPAAPASPAIPGLPAPPSTTGHDGAAKAEAPAASSSPATPA GPRSRPVSKELEQAEKDAQTKVEAEKEAEAAARSITERQESLERDIALEQKMLATARK RSENATEIHAALTARFRERSLAGAPRDELDPLLAKVQDSEKKLKEASDEVQESTTRLE ELHAAQASLQADRIETLRKAEAARAEVKIAEAEVRRLENPFSLHNVLAWLIEHGPKIL VILLAMAVAQVLSRFFTERVIRLLAHSGARGSSVEREARARTLVGVFHNAVSVAVVVG GGMMLLQESGIPIAPLLGGAAVFGLAVAFGAQNLIRDYFYGFVILLENQYKLNDVVQI GALSGQVEKITLRMTVLRDLEGRVHFVPNGQVTAVTNSTHGWSRALFEIGVAYKENVD RVMEVLASLARDLREDPLYAPMILEDATMLGVDTLGESSVVIKFFIKTRPLQQWSVKR ELLRRIKNRFDELGIEIPFPHRTLYHRAEDGMPLRFADPTPARPVILQASNGHS OJF2_RS36305 MSKSIADGRTSRRSFLGGVASLAGCVALPRVAIGGEGSRPNSVF GGVHVGCNTYSYRGERETAEDTLRALLEDGLSEVELKAGPIRSFAGMPAAPKSGDKPT RPKDAQRHAQLARCVELRKMYNDAGVNIHIHKLEFGPTDEDIDFSFEVAKVLGCKAIT TERSDRMVRRLAPFAEKHKVWVAFHNHTDNTPTIEDIDPLMQAGDYVGFNFDIGHYVA GTKGKSPIPVIEKYHDKIISLHLKDRNAAGGNLPWGEGETPIREVLQLVKKERWPIYA DIELEYRVPKGSTAVKEVAKCVAYCREALA OJF2_RS36310 MRLGCFVVLIAVVMVIGGGQGLYMGLVHRECRVLSYDEFVKEKP RHGWFQVNGCRLNLVEAMYRSKLIGGVKEAYIPVRGTSGEDSPTHLLVLTKDPEILGT INDLRKLDKGDEAAALKALAANRDRLVSTRDVKGMLQYGIDVKSRVGDRLSRLDSSLA PDYVILEEGKAPELGFSLFIFLGGLALSGYLAYRLFSRPSGPSPAADEPAMLTDWGND AEPPPLPRSGARRPGAG OJF2_RS36315 MNPLHDYLRMETRRQLLGRGVNAVGWGALAALLGRDGLAAMGAS AGSTSAVPDRAAAARTHFPPKAKHVIYLHMVGGPSQMDLFDHKPQMDRWYDKDLPDSV RQGQRLTTMTSGQKRFPIAPSKYKFAQHGECGMWMTELLPYTSRMVDDLCFIRSMHTE AINHEPAITFIQTGNQVTGRPCLGSWASYGLGSLNDSLPTFVVLVAKPTNTEQLQAIS GRLWSSGYLPGEHAGVSFRSGGEPILFINNPPGVPGEVRRNTLDGLRALNEMNAKVVG DPETHTRIEQYELAFRMQSSVPELTDLTREPASTYAFYGEQARRPGSFAHSALLARRM VERGVRFVQIYHNNWDHHANVGGRMPSQCRDVDQACWGLVQDLKARGLLDETLVIWGG EFGRTIYSQGGLSHDNYGRDHHPRCFTMWMAGGGARGGAIHGETDDFSYNIVKDPVHV RDFHATILHLLGFDHSRFTFRYQGLDQKLTGVEPAHVVKQLLA OJF2_RS36320 MSRTTIPPALLAIALLAAPAARAADSPAIRYNRDIRPILAENCF ACHGPDSASRKGDLRLDRREAAVEAGAITPGDPDGSELIARIASDDRTQLMPPASSHK TLTPQQKDLLARWIKAGAEYQAHWSLIPPVRPAPPKVKDEAWVRNPIDRFVLAKLEEA GLRPAPEADRRTLIRRLSLDLTGLPPEPAEVERFARDASPDAYARLVDHLLDSPRWGE HRARYWLDAARYADTHGYHFDNYREMYSYRDWVIDAFNRNMPFDRFTVEQLAGDLLPD RTLDQLIASGFNRCNATTNEGGTIAEENLANYTRDRTETFAQVWLGLTAGCAVCHDHK FDPLPQRDFYELSAFFNNSTQGAYDGNVKDTPPVIAVPAAADRARYQALKPRAADLKG QIERRSAQALPELEAWLKAQDRDALASLAPEGGRRLVVGLEARTGQGGRGGPKGTTPA RPAFESADAGDFERDRPFAYGAWIRIDRGGQYGSVLARMDNRGGGYRGWDLWVEGDKV AAHLVHSWADDAAKVVSREGVPMKTWIHVFVTYDGSSKASGLKLYIDGRPAATDVAAD TLKGTIRTGVPLKIGQRHAGQGLDAVAISDVRIHDRAIGDAEVAGIAAAGRALDLRDD PAGPRAEALRDGLLAWWTDARDARSRGLKAELARVEEELDAIRSRGTVAHVMEEKPGP ATAYVLFRGEYDKRRDAVTAGTPDVLPPMPADLPRNRLGLARWLLRPENPLTARVTVN RFWQEVFGSGLVATAGDFGVSGELPSHPELLDWLAVEFRESGWDVKRLFRLIANSSAY RQAGVATPEKLEKDPQGRLLSRGPRFRLDGEVVRDYALAAGGLLSAKVGGPSVRPYQP DGVWEAVAMPESNTKSYRADAGEGLHRRSLYTFWKRSAPPASLEVFNAPSREVCTVRR ERTNTPLQALVTLNDPQFVEAARGLALLALALPSADPEARVSLLAERLLARPLRPEEM AVVRASVDRLAAFYRSHPEDATKLLAVGELRPPAGVDPPTLAAWTMLANEMMNLDEVL NK OJF2_RS36325 MRISFACPSCNAGGSADAAYIGREVRCRQCNTRFAIRDPEASGP DVYALEEPEAPAPRRVGASGGGEGRSGPEAVFVPARTDERPGRPRRAKEASPRPRPRR GRDAPDIPWARWLARGAAAFLAIVGGIALLAPNGLWLAGCTLMAAGGLFVLIGHFGGA YVAFTEDFVHGFFYLTFPLYTAYYIATNWDDMWVFFACSTAGAGLASVGITLVEHAAA AAAG OJF2_RS36330 MLTRRPIAAYLVALVAIAPACHAADGRESLDATLAPYLERDKLP ALAAAVAVKGEVVAAGAVGTRRAGEKIPVTLDDRFHIGSDTKAMTATLAGMLVDEGKL RWDSTVGELLPDLAAGLDPGVRGVRLAQLLSHTSGLPGDDEAFGELLGKSYLQEGNLD AMRTWLAKEYGKRPLATKPGAKFAYANMNYIIAGAAIERAAGRTWEELIRRRLFEPLG LRSAGLGNQASLGLVDAPLGHEERDGKVVAFLAGPNGDNPVVLGPAGTAHMSVLDFAR WAAWNAGGGRRGPALVRPETLARLHAKVIDIPARKGTAPGTPTIGGYAMGWGEVNVPF SREPLLHHAGSNTKNLAQVWIDPRRDLAIVLMTNLGGDRADDALKKLAAELYGRYAGS AGRP OJF2_RS36335 MGAEDRESLFTRWLDGHGGAVLKVARAYTLTAEDRRDLVQEILL RVWTSLPGYRGEAGLSTWSYRVALNTALDWHGKEKRRRGRQRPIIEVEDPPDPGPDGP RQAARRELVERLYAAIRRLPPADAALVLLYLDDLSYREMAEVLGISESNVGVKLNRAR KALGELLKEDSHGHK OJF2_RS36340 MDTNDAKEAWHAQPSRPRLATDAGSVIEDVRRDQRAFAATIFWR DVREVGTCALMVPLWIVVGARSAMPWTWYLAVPAMLWVAGFMLADRLRHPRRPVDSSE PLRRHVERSLEEVEHQIWMLRNVFWWYLLPLALAMFAFVGQVAWRLPMGVWPAALFGL LASAILSGTLAGVYWINQHAVRADLEPRRRKLDALRASLADEEPAAG OJF2_RS36345 MGRHGHHPEREQFEGRGGFGVRRPLRFLANELGLDEKQVAAFAR LLDDLKIERAQAEVDDRRAMADYADSLAGAEFDAARATGAGDRRVRSATQLRDALVRY LGQIHALLSPEQRERLAYLIRAGVLAV OJF2_RS36350 MLARRLAPLFLPFALVASPIGSRTFAAEEHARAPVSPAEAPSRM KVPPGFKVTLFAAEPDVVQPIAFTIDPKGRLWVVENTSYPIWLGGPKGKDRILIFEDG DGDGRFDRRTVFYDRGTNFTGVELGFGGVWVCATPNLLFFPDKDGDDRPDSEPAVVLD GWDVKAEHNMFNALKWGPDGWLWGCNGIMSNSNVGKPGTPDDRRTKMNCGVWRYHPTR QAFEVVAHGTTNPWGLDFDARGEAFITNCVIPHLFHVAPGAHFQRMYGQDMNPNSYGL MQTCADHLHWAGGNWTESREGKGHERHDAAGGGHAHVGAMIYQGDNWPDAYRGALLTF NLHGHRANHDRLERSGSGYVARHEKDFLLVDDSWFRGLELKYGPDGAVYFTDWTDRGE CHDTDADNAHRENGRIYKLSYGDIKPAKVDLAAMDDERLAWMVLHKNEWYVRTSRRLL QERAAAGADMTKARHVLAAVLTAQPEMSQRLRALWALYAIGGIDDRGLEAHFNFPADD LRGWAVRLRVDREPPSGEGLAKLVAMIRAERSPSVLLSLASAMQRIPVAERWAMAEAF AAAKIDPADPMLPLMIWYGLEPLAAEDPGRALAIAARCGLPLHRNYLARRAVSADPEK ALPRLLDAASDASDEARRDLLAGAIEALRGRKHVARPGNWPAAFAKLAASHDPEVVER TLLLGLDLEDPRALSVLRKTATDAASPADLRARALSVLVERRVPGLEADLLPMLDDPS VRARAIRALAAYNDPATPRAILDRYASLPEPEREDAVSTLAGRPAWALALLDAVEQKR IPRRDVTTTIARQLLAMNDAKVKDRLAAAWGTIRSTAGEKASLIPRYKEVLASDKYPA ADPSRGRLVFNRTCHQCHRLFDSGGDVGPELTGSDRANPDYILENVLDPSASVAREYK LTNVATTDGRIVAGIIRAQDDKSLTIQTANERIVLPREDVEDVKTTDVSMMPEGQLER FTPEEIRDLFAYLASRVQVAPAKAEKN OJF2_RS36355 MSRLPTRCFASLPLLLVLLCPSIAAAQGPPEDGPPRRRPFAPAS TPRQYGRIKEVDAKHVRAELTLDAAKKEVRGTVTHTVSPTYPLQDSFSLDIGPDLTVS KVTAGPAKAPCKFAVADGKLAITLDKPYGPQDTVDVAITYGGRPVAGLHFIDGDPAYP DRAPAIWTQGEAEDNHLWLPCYDYPNDRITTEMIITVAKPMSVVSNGVLAATRENADG TRTFHWRMDQPISSYLITVAASDFSAFHDRLGNLPVDYYVQKHVDEATARRFMGKTPK MIQFFNQATGQPYAYPKYAQVCLPEFNGGMENTSATSMTDDALIDAVEAMERSQDSLV AHELAHQWFGDLMTCKDWSHIWLNEGFASYFDPLFAEHDRGQDEFRMVMDGERKSYLA NDRQYRRPIVENKYASPMQMFDSMTYAKGGCVLHMLRGQLGESDWWKGIRHYVSKHKF QVVETDDFRKAMEEATGKDLKPFFDQWLYKAGHPELKASWRYEDADHTARVKVAQAQK TDDQTPLFRIPTTIELTDASGRARAVPVVLEGASQEFVIPAEAKPAMVQVDPDCWLVK ELAFDKPVEERIFELEHARCAVCRVNAARELAKPANREDSRVQEALERAWKREKGPSA RAAIVEIIAGNEGSRRRVRGRAPGGSATPPVLEDTFRATLMEAARDPEPRVRVAAVQG VARLKQDSAAEALMRGVWANPGEPYGARTAAIQTLARWKVKDIDALVTAALKDPIGKY RLAGWALDMLTDEATPKARELVATYLPHGQPHALRSAALRSFGRLAKDDQALQERILP LIDDPDKQVRSRAWDLAAELKPKKALPALEARLAKEPRGPAAFIGFGGSSPRAGLERA VTALGGTVPKATAKPPADAAAAVKDLEKQAGELEARLRDLRKQIEAVKAGK OJF2_RS36360 MNRYGFVRVTCATLRTTVADPGANAREIVRVLGEVVDSDVVVFP ELSLTGYTCADLFGQDALLDGAVRGLQEVLRATAGRKQLVVVGMPVRAENSLYNSAVV LSGGEVLGVVPKQSLPNYKEFYENRWFRQADGTEVPEVGIAGRVVPFGVDLLFEAPGV PGFPVVVGVEVCEDLWVPIPPSSFQAGAGATLLLNPSASNETIGKSGYRKNLVQGQSG RCIAAYAYAGSGPTESTTDLVFAGHNLIAENGRLLEESARVGDGTPLRRDSYWITADV DVGRILTERRATTTFEQGPRFARPYRRIGFELAAEMPGLRRFVPGTPFVPVEGPELHR RCEEIFGIQCAGLAKRVEQLPRGTSLNIGVSGGLDSTLSLLVTVKTCDLLGIDRTRVR GLTMPGFGTTSRTRQNALDLMRHLGIASETIDISELALQSFRELGHRPFDIDCRGLDV PAFREALARVPSGKRSDLVFENVQARLRTFLLMSRGFVVGTGDLSELALGWCTYNADH MSMYNPNCSIPKTLVKFLVRYVAQNEFPEGAVRDTLMSVFETTISPELLPASASGEIE QSTEGMLGPYEIHDFILFHAIRCGYAPEKVRFLAEHAAFTQEYPRELIERTMKTFFAR FFQQQYKRSCVPDGPKVGTVSLSPRGDWRMPSDADPAEWLRETE OJF2_RS36365 MMTPLPVVILCCAQLSAPAAAPKPATSRVAAVTVYQGQALVTRE VAVPEGPGTLELLVAPLPPQVVEGSLYTEGSDGLRVLSTRYRARTVKEDLRQEVRQKE EQLRKLQDEARALQDQAATQTQDLQFLQKLEGFTGSSLQNLTKEGRLDSESVLALSKF IMQTRGEKAKADTGLHERLRANTEAIELAKKQLAELARGPERTEREAIIVVTKARPEA GSVRLGYLVNSATWSPQYRLRAGSDKDPVRLEYLAAVTQQTGEDWRGVRATLSTARPS LDASPPDLLPLNMASTEPAKGGAVAGSDDRSRLIAAELAKLGDFPFAKETPLEDVIKY VRGMTASPTFPQGIPIYVDPLGLREADRTSTSPVTIDVTGIPIRTALTAMLRQLGLDY RVKDGLLTVTSSSSIDDEDGEADPDRASRMEAMGFGMAGMGGGMGGMGGMSLEMSQAS GKAMLNRAAALDQSRELRVSDDRRATAADEPGPGDGPAVSFSVATELDIPSRTDPQLL EVSRIELPAEYFARATPVLTPRVYRLAKLTNKSETVILPGEATIYVGSDFVGRMRLPL VAAGEPFLAGFGVDPQLQVARRLVAKSKGVQGGNQVFTYEFRLSLRNYRDRAVKVELW DRLPRPQGESVAVNLVKTSAELSDDPLYQRTSRLDNLLRWDLTVPPATTGDKPLTVNY EFRLEYARDLPQPRFLSGGLAEGPIGGGAMGGMGGMGGMR OJF2_RS36370 MSRDFLDELDLSLRHARATTRRQFLGQSGGLGALALAMLGGRDA LGAGRGPSTSDADNPNAPRPPQFPAKAKRVIYLHMSGGPPQQELFDDKPLLVKHNMQP CPDELLKKQRFAFIKGHPKLLGSPYKFRKKGECGQTISDLLPHVGEVVDDIAVIRSMH TDQFNHAPAELFMFTGTPRNGGAAMGSWITYGLGSENQDLPGFVVLISGGTDPTGGKA LWSTGFLPSVYQGVQCRTVGDPILYVSDPKGMDRADRRRTLDALRRLNEYELEEFGDP ETLTRISQYELAYRMQMSVPDVMDIRQESAETIALYGAQPGAASFANNCLLARRLVER GVRYVQLFDWGWDCHGTGAGDDIVVHLPQKCKEIDRPVAALIKDLKRRGLLDETIVVW GGEFGRTSMNEARGGSTFLGRDHHPHCFTLWVAGGGFKPGLSFGATDDLGYQITENPV TVHDFQATILHQLGLDAETFRYPYQGLQQRLIGVEGDGRVRKELLA OJF2_RS36375 MRCTAMPRPGSIAPRTTRLALSAVAAILLAAPAPAEDAGKGRAA KDAKAVDYNRDVRPILSRSCFACHGSDEAKRAKGLRLDLREAAVKPPKGDGDAAIVPG DPDASELVARIVEEDDTLRMPPRKAGPRLSAAEVDILKRWIAGGAEYSEHWALIPPKA LPLPKVEQSGWPRNGIDAWILARLEAEGLRPAPEADPCTLLRRASLDLRGLPPSPAEL DLFLADKQPGAYERAVDRFLDDAAYGEKWARMWLDLARYADSAGYGSDPLRTIWRYRD WVIDAFNRNLPYDRFTVEQIAGDLLPGATLEQRMATAFHRNTMTNTEGGTDDEEFRVA AVKDRVDTTMQVWMGLTMGCAKCHTHKYDPLTQEEYYKFYAIFDQTADNDQPDESPAI PAPTPESLAAAKAFEARLAPVKDRLAKAEAAKAPEAERKAIRDELARLEKERPEAPTL PVMVELPREKRRVTKLLQKGNFLDPGQVVEPGLPKALHQLSRAHEGPVNRLDLARWLV DPRNPLTGRVAVNRYWAQLFGAGLVATEEDFGTQGELPSHPELLDWLAVRYRELGWDT KAMLRLIVTSSTYRQSSRVSPDLLARDPKNRLLARAPRIRLEAEMVRDQALALSGLLE RKVGGPSVFPPQPDGLWQAAFNGQRTWATSQGTDKYRRALYTFWRRTVPYPSMAAFDA PSREICAIKRVRTNTPLQAFVTLNDPVYVEAAQALARRVVREGGSGLEDRVRYALRLC LARPAWEEQVEPLMALYRSERARFDRDAAAAVELATAPLGPLPPGMEPADLAAWTTLA NVLLNLDGVLTRG OJF2_RS36380 MGQFVRLVGPNRAVEILGVKLVGVNADNGLKLVFTAAFIALTLL LGRGLQAVIRRLLGGRVDERRLFWARQGVRLATAVLLMLGLISIWFDDPTRLATALGL VTAGLAFALQRVVTALAGYFVILRGRVFDVGDRITMGGVRGDVIDLGFLQTTIMEMGQ PPAVQEADPAMWVRGRQYSGRIVTVTNARIFDEPVYNYTKDFPYIWDEMSLPVPYAAD RVRAEQILLDAAGRHTVRIEQMGEAALREMQRRYFMRPAEMKPRVFYRLTDNWLELTV RFIAEDRGIRDLKDAMSRDILAALDEAGIGIASATYDVVGLPPIEIRRGGRDGR OJF2_RS36385 MRLWLESKWEGLRTSFWFVPTLMVTAAIALSLATIHLDRTFPEH NWIATLGWTYTRGPEGSRAVLSAVAGSMMTIASVTLSITVVALQLASSQFGPRLLRNF MGDRGSQVALGTFIATFAYCLLVLRAVNGQEGEQFVPHIAVTVGLLLSLASLGVLIYF IHHTAEAIQAENVIDSVSRELRRAIDRLYPECLGREADETSSPPGGPRPPEGFDRDSR PIPAPAGGYLQAIDVDRLMGLARQHDVILDVRRRPGKFVVEGSELVRAWPADRADDGL AEALGGAFYFGHRRTLRQDVEFAVDQLVEIAVRALSPGINDPFTAMNCVDRLGEALCT LAGRDMPSPYRYDDEGRLRVVADVSTAAGIVDASFHQIRQAARGDAAVTMRLLEAIAE VARAARAPDFRAALRRQADAIRRGGREGLADVIDRQELDRRHREAMQALGIEGEGAPT RPDAQDFAGR OJF2_RS41355 MFDATGPALAIRPGLSLTRLEADELDRDDPEFEEGLERLLV OJF2_RS36390 MSQAADGESVGRGVAAAVARSGDPPGRNEERFRALAAVIGQAVW SWDLEGDGSDFDTLRRWWEDLTGQPVEEQRADVEAWLRMVHPDDLATAETWLRSFRSG TAYEIEYRVRARRGGWRHVRSRGVPIPGADGAAREWVGTLEDVTEQRNAAAERDRLLA EAEAERRRLEEVFRHAPSFMAVLRGPGHVFERVNDRYVELIGGRDVAGRPVREALPEI EGQGYFELLDEVYRTGEPRAFVDARVVIRRPEGLVERTIEFVYQPIRDAAGAVSGVLV QGIDLTERREAEEALSRVVAESERQRRMFDTALSHTADFVYTFDAAGRFTYANKALLD LWGKGLPEALGRDFRELGYPPELAARLQRQVREVLETGRPLRDDTPYTSQFGTRLYEY IFVPVFAADGSVEAVAGSTRDVTDRTRDAEALRGSEQRYRALVTATSDSVYRMSPDWS ELRQLAGRAFLAEAGGPGRPWIEAYVPPDERPRVLEAVAAAVASRGVFELEHRVYRAD GGVGWIFSRAVPILGDGGEVVEWFGTASDVTRRKRAEEGLARVVEEAGRRKRLYETIL SNTPDLVYVFGLDHRFVYANDVLLRMWGRTWDEAIGRNCLELGYEPWHAAMHDREIEQ VVATKRPIRGEVPFSGTFGRRIYDYIFVPILGADGEVEGVAGTTRDVTERKEAEEALR EADRKKGDFIALLAHELRNPLAPIRNGLQVIRISAGDPAAVEAARAMMDRQLTHMVRL VDDLLDVSRIGRSKMELRRARVTLAEVVRHAVEAAAPAIREAGHELTVALPPEPVFLD ADLTRLAQVFSNLLTNSAKYTPGGGTIRVSAARSGGEVVASVRDSGIGIPASALPKIF DMFSQVDRPAERTAGGLGIGLALVKGLVEMHGGSVSAESAEGRGSTFTVRLPVAGPEA EAAAVGAPAAVAGGRLRVLVVDDNRDGALSMAEMLRLLGHEVATAHDGLEAVAAAAAF RPEVILMDVGMPRLNGLDATRRIREQPWGRSPTIIALTGWGHDNDRARTRDAGCDGHL VKPVELADLQELVRELRGRGG OJF2_RS36395 MSRRGCGSRCPAPAHSSAGGSRVLAGAGGGNVEFAQGGGRQRAE QNERGKRSLTKEELPPSGTSMFVGGAKVIVSINEYNHVVVFAVGRDGATVAESGRKVA AAVEAFTRAANALGGGENDVYVDYVAQSKVYRFELEKDVARETPSGFELKQNVSVRFR DRAMIDRLIDTAVGAEIHDLVKVDYVVKDLGRVHDRLMEEATGVIKRKKARHEALLEL KLLPPAQVFAERSAAYYPTEMYDSYVAAEGEAMGMTPDRQRYAVQSARKGRTFYFNGL DADGFDRVIDPVLLEPVVQFTLYLKVKYEIDPHGAR OJF2_RS36400 MERIRAKFCALDAVLDERSRRQWAAAEAREYGYGGVTALSLATG LARNTIAAGMRELEYRELHPDEPVSTRLRHSGAGRKRRTEADPDLAAALEALLEPLTR GDPMSPLRWTCKSTRRLAAELSGQGHRVGYRTVAWLLHEAGYSLQANRKTREGNQHPD RNAQFEFINAQAARFQKRRQPVISVDTKKKELIGDFKNGGREWRPEGRPEPVRVHDFR DKELGKAIPYGVYDVTNNQGWVSVGIDHDTAYFAAASIGRWWREMGAPRFPRATELFI TADGGGSNGYRTRLWKVALQGLADQIGLKLTVSHFPPGTSKWNKVEHRLFSFITQNWR GKPLVSVQVIVNLIAATRTKKGLVVRAALDEGKYETGIIVTDEQMAGLQLKPASFHGE WNYTIKPRSRT OJF2_RS36405 MAIDERLAFSFNATRPTPDGRRADASRAVVKVGPCLAFQFGHPN DEALPGHPLYDRGFEGVAVHEVLESPRIAEPARQNRVRFPGSDLAARAVRHFLSSSSE STLEVLGNGLDVSVSDDPLEVIVGREQAWLFREPAL OJF2_RS36410 MSVGVPGGYSGWSFDLTSEATGVFREALQGVVGVEYEPFAFATQ VVEGVNYSFLAKARPVVPDPQLNVAQVHVFAPLPGQGSPKLEGIEVIQP OJF2_RS36415 MSQNPRSRIRRRLLGRVLVLAAITLIAVIVGTLALSIRGGRKLL PGFGAGAREARDVYAGALYENANPRVAYVGDEACVGCHRAIAEDYRTHPMGRSLAPID AGRGTPPAGAGEKALFEWNGLQFSAEHRDGRVFHKATRREPGGETLAEVECEVKYALG SGTRGASFLVERDGFVSLSPVAWFAQEGRWGVSPGYGEGSQQTNFERAVYPECLYCHA NQVRPVAGPLNRYETPVFRGHAIGCERCHGPGGLHVREDARAASPGRTIVNPASLAPE LRESVCQQCHLQGAFRTPRAGLGAFDYRPGLPLHRFWAVFQRPDGSREQSDAVGHVEQ LGTSRCFLESEGRLGCISCHDPHKLPEPSAKADYYRRRCLDCHQQRGCSLPRAEREAR GQAEDCVGCHMPRLKVANIPHTAATDHRIPRGVPGRDAGRPRAPAGPDARALLVDYFD ARRTEEERSAGRRDLGVALAWVSGRLGPKSGLTTMSATQAVPLLQEALRDRPDDRAAG NSLGAALEALGRGPEALDAYEAVLRAAPDDEMALRSSGSLAASLRRYDLARESLRRTI AVNPWRSDYRLALAKILSQAGDWPGALAAAREALGLDPNQPEARSILIQGHLRAGEAA KAEAELQTLLRFYPAGREIWQQWYEDQKRAAAAGGNPL OJF2_RS39725 MIGSAPFLAGCESSQEGTSAQFDAKANLKQQDAMRSYMEKNKPS AGKAAKAKGKAG OJF2_RS36420 MARNDRRGFTLIELLVVIAIIAVLIALLLPAVQSAREAARRAQC VNNLKQIGLALHNYHSTIGTFPMASAVAYSDPGAQTNWGTWSAQSMMLPYVEQTPLYN SINFAWTSWYGIGAAQNSTAWNMNIQAFICPSDSITGQHNNNNYFGSIGTTTDFPNDF RGFDGGSTGLFSHLKTYGIQSVTDGTSNTIAFSEGLVGSLPGGMWTRWRDDLSTAAGQ AAMLPDANSNIPLVMTDLKTCSQWWTSRTNGPDINLGNFQSHGFRWGVGDPGDSLFQT IVPPNSNDYPWADCRMDCGAGCGAVFTGYHNATSNHPGGCNVTMSDGSVKFVKSTISM MTWWALGTRASGEVISADAF OJF2_RS36425 MSNLHDDPAAVSPAGDGPPAGPLHLRSRVGIAALAAGVAAGLLG WLLGEPIHARFAPPKFVNTASSTGGFLDPAEVYKLDMAKQRAQIRDACLVFGAFGAAL GMAMGLAGGWARGTGRASAWKGPVLGLALGGIAAAAATAIALPIYYRVHNPDTNDLAV GLMLQVAISAAVGAAGGTAFGVGHGRRDVVARAAMGGLLGACAGAMAYELLGAIAFPL DETSNPISLTSRTRLLGRLAVATLASAGLAWGVLDRRAESDPIPGALAHSS OJF2_RS36430 MTRTLRRRAVLAGISLGLLAGAATFAGHLATTRHRRAERDAALG EMSSGHYDRAERRLSRLAERWTDGGEVCLLLGECRIRIGRREGALAAWEKVDPAEPAF GRAARLRATAMIQAGRYAPAESVLVRALSRPAPSGADYGLERELIELYRLEGRFHDVR RALRASWCRSTDALGTLRELWMLDHAAIPAETWLRRLEGADRDDDRAWLGRANHDILV GRLASASEWLERCLGRRPDDPAVWRARLDLAVASDDAGAFLLAASHLPAGQFEAIEVQ GLRAWLAARNGDAEGERRALQEVVGEEPGNAHALERLAALATRDGRPRDAEDLRRRKA EVDRIQHRVHNLFLDGTPAPSLAAGLAKDSAALGRAFDAAAWAFLADAMKPAPRTADP RRRGPTGRSSVVRDLKEKAAAISSPYRTLPDGGTSGRPALGDLLADLGVERKPAAPGV PGIGPAAGGPDAAVPRFVDDAASAGLAFTFDNGRTPQRMLPETLSGGVGLVDYDGDGW LDVYCVQGGEVVPGAAEARPGKALGDRLFRNLGDGTFRDASEASGIASLARGRGYGQG VAVGDYDNDGHADLFITRLQSYNLFRNRGDGTFEDVTGRAGLSGPRDDPTSAAFADLD NDGDLDLYVCHYMRWDPKDPGLCRNGQGEPIYCEPRRVEPAADRVFRNDGGRFADVTE AAGFADPDGRGLGVVAADLDGDGLVDLYVANDGTANYLFRNLGGFRFEEVGERAGVAG NASGGYQAGMGVACGDLDGDGRPELLVTNFYGEGTTLYRNLGGGMFADQSEASGLWLA TRYLLGFGIAIADANNDGRPEIVAANGHVNGPAPSYRYPMPCRLYEALVDGRIADISD RAGDPWKRPRVGRGLAAGDLDNDGLCDALVVAQDGPMAYFHNRTSAAGRSLTLALEGT VSNRDGVGATVVVVAGGRRVAQRVGGGSYMSANDPRLHFGLGRRDRAERVEVRWPSGK VDAWSSLPAGAGYRLREGDPTPRPLAGFGKPRRP OJF2_RS36435 MDRRTTIDLHGGEAPRDLRVHSASDAARYLGIPEATIRSWVLGR KPYGARAEGLLESTTFLADHGLGKRLGESLRSHGLTVESHLGEDADDLDWPSQAGTRG WVVLTKDKANSRGRPEIRAIESARVRMFTLASGHRTGEEMAQLFVENLWNMGRFLKDH PAPFIARISRQGIILVYPRAKPGA OJF2_RS36440 MVRPRTNAPSALAFALALALASWHPGAVHAQGPPPDQPDLKIDA KARGEVIDALVAALDKEYVFPETAAKMAKDLRRRLEAKEYEGIAGAKEFARTLTDHLR AISKDKHLGVDYSYEAVPVSPPGAPSGPPPEERERMKQFAARVNYGFEKVERLEGNVG YLNLRGFMPPEIAGETAAAAMTFLGHSDALIIDLRQNNGGEPAMVAFLTSYLFDGEPV HVNDLYFRPEDRTQQWWTLSYVPGKKLGKDKPVFVLTSRQSFSAAEEFAYNLKNLKRA RIVGETTGGGAHPGEMRRLAEHFAAFIPNGRAINPISRTNWEGTGVSPDIESPAEHAL KAAHVAALEAIFQADPQPQDRMRADHFRKAIDRLRRELDDARSKPAAKPEPH OJF2_RS36445 MAEGMRDRILDAAERLLARLGYRKMAMDDVAQEASISKRTIYLH FPSKEELTLSTIDRIVDRLLAGLGEIAAAGGAADAKVRRMLLLRVLFRFDSVRDYHAS LDELLREIRPAYMARRSRYFAAEAAVFSAVLAEGIADGTLARADPAALADTLLLATNA LLPSSLSPRELGERDEVEARAAGIADLLIHGLRRRPPS OJF2_RS36450 MRRCIPLLAFVACLAIRPSRAGGPEGVDVFVERTGGYFAYRIPA IEVAADGSLLAFAEARKHGLDDPGFGKQDIDLVLRRSRDGGRTWSPMKVVEDPGELWS AANPAALVDRSSGRVWLLYLRCKPGRNTETARPGTDDSQVLARTSDDHGETWSDPIDL TRVSRDFDDPRWRCSVVGPGGMIQDRRGRLLAACWRFAPFGNFALSSEDHGKTWRRSA MVPAAMGDECQLVELADGRLMMDIRQEEGDRRAFSLSDDGGKSWSPHRPGLPATPVAC AIERLPGASPGEGDRIAWTGPKGPGRRDLVVRISSDEGRTFPAERLIAAGHAAYSDLA VLKDGSLGVLWERGADRGYQFVTFTRLTRDFLRP OJF2_RS36455 MPRGDKSKYTDKQKRQAEHIAEGYEDRGVPEKVAEARAWATVNK ETGGGNKSGSGRGKPDTHASSKKGGKVGGAASASRTPEQRSASAKKAAETRRRNRAAK EKAGSK OJF2_RS36460 MPLTPSWEDIAVRLLLTVAAGALIGLNRSEGAHAAGLRTTLLVG LAAAVSMIQMNLLLPVAGKTSGSFATLDLMRLPLGILTGMGFIGGGVILRRGEMIHGV TTAATLWLVTVVGLCLGGGQLALGAAATAIALAVLWGMKRVEARLCRGRNARLVVVAE EGGLAEEDLRSLLAEAGFEVTSWEVATKRRPDGLRRTVRCGLRWRPGDDLHTPAVVRD LARRPFVEAVRWRT OJF2_RS36465 MAENIKDKLEDVGHKIADAASHVGHRVGEAVEKAADWTKEKAHE AGHRVEEATQRAGHKAEELKDKFEHATSSASASGIREHMDVYASCGTKVGTVDHVEGQ TIKLTKSGSPDGQHHRIPLSWVSSVDSGVRLDRDHVKVQSEWQSA OJF2_RS36470 MSDIKQKVEDAGHKVAEKANEVGHAAAEKVREASNKAGEAAGRV GDWAKEKAHETGNKLEEAGDAAKRKLDDAAND OJF2_RS36475 MHPCRWTKPDRPIPTRVARAIVVALAMGATTGIMPGSPVPAARA GAHGGHTCACGMDCRGSSCCCTHDAPKGPSPRPAPPPFARDVPSAAPDTRPTGPCFAD GRCGDPAAPTRAVTSPAGKAAALAGRALPIAGRPTRIAAREGPAPRPLALASRLERPP RTDETA OJF2_RS36480 MPPRPNPSRGFTLIELLVVIAIIAVLIALLLPAVQSAREAARRA QCTNNLKQIGLALHNYHSAINTFPVGFLYPQNNQVYPGVPALHYRWSVLAQLSPYMEQ STVYNALNMNWPIAAGPGAVLGTPSWTPFPANTTVMAAKVSFFLCPSDAAEPPTTLPG GVTSGPSDYQFCTGDGSPSSANPGDAGVTVAANGAFVLGRAVSMAAIVDGSSGTAAAS EQLIGPASGGVSTLGSPAPPPGDIRRAAAVGSTPLSDSACASPTGWRLDKGYGWWDGD YRTSLYNHYLTPNSKSFDCWQSSPPHNPAWKAARSNHPGGVNVLCCDGHVQFVKDSVS LPAWRALSTRSGGEVISADSL OJF2_RS36485 MRRRPRLAATMPAVLLPLLAGHSAARAEDARRLDAKVSWIGNTY PGGKRWVPQDVRAICVLADGTAYTNVPWDEGGGQVAVIKDGQVLGHAGHTHGWGQEGG EAIAANGNYVFIGQSMGNEGGGLEDPGTWPPKGKAWFGISRRLRSDVTKPAPFPGGKG GKGDTLRECFLPVVEVDDREKADLPGLVADDRRVYASSPRDGRIEVLDAETMKTVARW PIDRPGPIALDASGGLWVLQAGEGPEPARVVRLSPDGDPSPQRVELTAGSAPTSLAID GRGRLLVADDGPDQHILIYEDILRSPRAAGTFGARGGIYAGTPGAVGPLKLNRPAAVG ADAAGNILIASDGQTGGGGTVLESYRPDGSLNCRLLGVEFVDMADFDPASDADIFTKE EHFVADFSRPRGQEAGYVGYTVHRFKYPEDPRLHIWSAGAWVRRIAGRRFLFVNEMNA GPLQVYRFDPEQEGEIAVPSGLFAPRRLTSEKDDAWPPHQPTEGGWVWCDANGDGRFD ASEYKGTGRDEPDAQGWWVDSVGNVWRATEADGIREFRFDGLDAKGNPVWDFAAIRSF PKPPEFDRVKRLRYDAAADVMYLGGTTREHANQHWKPMGPVICRYDHWSRGPSRPTWR IVAPYARGSQGHESCEPMGFDVAGEYLFLPYTGSSKPLGFRTGHVEVFRAGDGRRVGY LEPSEDVGEIGLQDIRECLVARRRADGEYVILMEEDYKAKILMYRWRP OJF2_RS36490 MPVEAARPSPEQFLQLIRRQERGRLKVYLGSNAGVGKTYAMLRE GNRLSKQGVDVAIGLVETHGRAETAEQVKDLPIIPPREIEYRGVTLREMDLDAVLARR PTVCLVDELAHTNAPGSRFAKRYQDVEELLRAGIHVITTVNVQHLESLYDQVERFTGV KVKERLPDSVIAEADQIVNVDLSAEDLLERMRSGKVYPPERVERAMENFFTPGNLTRL REITLSEMAHLIDRHNRRAEADRAPMSATDRLMVGLSSRSPNAPALLRKAARLADRLN APWYAVYIQTPAEDLTRVDAATQRAIGKNLELAQQLGGVPMTFKGPDVVRTILAFTRE YDIRIVVMGKTRRPWYRRILGGTILEHLVDHSAGVDVMVVDV OJF2_RS36495 MMIRETVHALLACVVTFVLCAVAYPLVVLGAGNLLFPDQARGSL IRREGRVVGSTLIAQPFASEKYFAPRPSAAGPNGYAADAAGGSNLATTNPALRERIEA QVKTLRQSSGVKASEPIPVDLVTTSGSGLDPDISPEAARYQAARVAAARGLPVERIAA LIDAHVETSGAIIGAPPRVNVLRLNLALDDLPQSH OJF2_RS36500 MSLDIETPTTATRDAGDVQAFKLQRRSTRKLRLFEPSLVRMATA QSFRMLDPREMARNPVMFLVEVGTVLTAIVTVQSIVQGAEIGLILYQATLTLLLFLTV LFANFASALAEARGKAQADSLRATRADTPAFRLRDPEGDAGEFVSSTTLRAGDHVLVE AGQVIPSDGEVVVGVASVDESAITGESAPVIREAGGDHSGVTGGTRVLSDRIVIRVTA EPGQSFLDKMIALVEGASRQKTPNEIALTIVLAAFSLIFLIVTAALYPMARYFDLTLD IPTLVALLVCLIPTTIGALLAAIGIAGMDRALAANLIAKSGKAVEVAGDIDTLLLDKT GTITIGNRRATQFAPLAGASARELARAAGLASMADSTPEGRSILDLARQQAAVEAEAP AGSRFIDFTAQTRMSGVDLPGGPRLRKGAPDTVAGYVREQGGVIPDGYQQAVDVIASG GATPLAVAEDARILGVVKLEDVLKPGIRDRFARLRQMGLRVVMVTGDNRLTAAAIAEK AGVDDYIAQATPEAKLAYIRKEQEGGKLVAMMGDGTNDAPALAQADIGVAMNSGTQAA KEAGNMVDLDSDPTKLIEVVEIGKQLLMTRGALTTFSIANDLAKYFAVIPAMFIVTLP ELKVLDLMGLATRGGAYSAILAAVIFNAIIIPMLIPIALKGVTYRPVGAGALLRRNLL IYGLGGVIAPFVGIKLIDIAIDPLLALVGIK OJF2_RS36505 MEPSLAWLHPAWAFALPIVLSFPLGRLMWRTLDVPEGSEGRGLD ALPAFLARLVTSRRPAEMDWRRYAAAMLAFNAALFVLTFALLYAQPWIPFLNPDGKGS LAALGYKDTAGAQRDGADTAVIFNTVCSFVTNTNLQHYSGEQHLSYFSQLAVIVWLMF VTPASGLCVMLATLRGLRGDRHLGDFYVDLMRGVVYVLMPYCLILAVALVGLGVPMTL NGAAQAATLDGAATKMETQAIARGPVAALVAIKQAGTNGGGFFGPNSAHPFENPSPWT NLLSIASIVALPMASIVMAGRMLRDRRHAMVIYGVMLAFLAAGAAVAIAAESAPSAAT TGLPVSAGPNMEGKEVRNGPVASATWAAMTTATSNGSVNSMHDSLNPIAGMVPMSMMM LNVVFSGIGAGFENMLMYIIVAVFIAGLMVGRTPEYLGKKVEAREVKLCMVAILLHPL LICAGAGLFAATLWGTTTTANPGAHGFSEIVYEFTSAAANNGSGFEGLADNNPWWNVA TGVVLLLGRFPALVLPLAVAGFLSTKKRVPKTSGTLRTDDLTFAGMLMGTVLLVGALS FMPAVVLGPVADHLSTTKAQAAATATTASAAELAR OJF2_RS36515 MHRRFVTMRGEDGGRVTISRPSLDRWWRSPDCVPAARLARPAGY SPATDAEARDHRRRSLARVLATMAILWAAVGAVLAILWALVPA OJF2_RS36520 MSRPASILIVEDEPNIRLVFRTALQSAGHATAEARDGNEALSLL PHARPALILLDLKMPGMGGMEFLRRLRHAGDETPVVIVTAHGTVPDAVEAMKLGAIDF LSKPTSPETLRVVVAQVLARHDEPDAADEPMPPRRGRSASVVVPVAATVVDLKAAKRA LNMRQFALAAELLDEALDVAPLSPEANTLMGVLHECRGQDHAAYQDYRRALEADPHFA PARDNLRRYCERFGLDYGSKAINPAAD OJF2_RS36525 MLKTLRSRFLLGIAPLMIVMIGMGLWAVVMFHRLGGNIDVILRE NYVSVLAAQRMKEALERMDSAVLFAIGGQEERSRDQFAKWRPVFEQNLDIELHNITLP DEQGLADELAALYAIYGGQVERFYAIPAADVRGRTLYYFSDLWQTFNKIKDRADDVLT LNQRNMEDMNGRARSAAAHSVRWMVLLLCGSAATAGLIAMVLSRSLLEPIRSMTHAAR AMARGDMEQVVPVLSRDELGELAGAFNIMARRIREFQQAGTARLLRVQKTAQATIDSF PDPVVVVDPTGAIERTNPAARRILGEASSDGSMLWPPSPTLRAPLADVLGGCGDYLPA GVENAICLRDGGQERFYLPRILAIQGEDGPLGAAVVLHDVTKFRLVDQLKSDMVATVS HELKTPLTSVQMAIHLLLEEAVGPLGPKQVELLLAARQDADRLMAMVGDLLDLTRIEQ GKVQLELRPESPAGLVEAAVSRFEARAADAGTDLSGRAAPGLPPVLVDRGRIEHVFDN LLDNALAYTGRGGEVRLTAEAEPGGGSVRFAVEDTGAGIAPEHLPRLFERFYRPPGSR SGGAGLGLSIAREIVEGHRGRIEARSEPGRGTTFLVHLPQASVEAGPAQRAGVRS OJF2_RS36530 MSTIYELRAAQPAGIFAVPYRAGRRGRPVRLPDGAVVEGPVPDQ RCEAPTAPPGCLDLAKGVAATIHHEVLGLIDGHLRLTGVFSLPSGDVAAVYGAQAIPI DAPRGAPR OJF2_RS36535 MRILIVDDEPNIRRTLRATFEVGGHAVEEAGSVPAAVAAAGKGG FDVALVDVRLGTESGLDLIEPLLAHLPRLAIVIITAHATIDLAVEAMRRGAFDFLPKP FTPAQVRAILERVGRLRGLKDRVADLEDQVRAEIPEAELDSPDPATRRAFELARRAAQ AEAAVLVRGESGTGKGVLARALHAWSKRAQGPFVTVSCPSLSAELLESELFGHVRGAF TSAVRDAAGKVSVAEGGTLFLDEVGDLPTPLQPKLLRFLQERKYERVGDTATRAADVR IVAATNRDLEAAVAAGTFREDLFYRLNVVEIHLPPLRARTDLPDLADRLLAFFARQTG RRLTRFTDEARAAMTRHPWPGNLRELRNTIERGAILAEGPEVGLADLPDRIASPRAGA GPSAAGDRVEVGAQVSLDRLEEAHIRRILGSTNSREEAAHILGIDPSTLYRKRKQFGL OJF2_RS40250 MSTGFGLCFRQLDSRLPLRVRTMWKIARFPDIVHRELKRLGFPE DIQRHSNGGRGSEGQPSG OJF2_RS36540 MFLAWIVHNGLQGEFHDEESPEELEAVRARQMTGREFLFRACDG KFWDEDMSEEGKAFCAAYYLGAGGKGYGPYIEDYERVLAGGLPSTYHVEDTWANYDAI APVINRRYEEWKAARG OJF2_RS36550 MQTLRFVHWHDGDAFLGYLLEYPDYWTQGDSLEDLEEHLVDLYR DLTSGEIPGIRKVDDLVIP OJF2_RS36555 MVHTVLAKFRRWRMTTLKPFGDPASPEGVRLTLGDRDGGVARAL AAAFGGVPAVEVVEGDLLDADCEAIVSPANSFGDMGGGIDKAIDDFHRGAAQRAVMDA IAEHFYGELPVGMAVVVELPGRRLPFVVASPTMRVPGRVPHSLNAYLAMRAALVAVLR HNAAARRPIRALAVPGLCTGVGAMPAAEAAMQMRTAYDIVMGGHWRRVVHPAMAPYAM RP OJF2_RS36560 MSSGTRVAATLENLARHEGNAELIAGGIVALSPMGRKPGRVASR IFRSLDDHAEATGRGEAYADNTGFAVPPLASGRQSFAPDASYFLGPFPADEMRFLEGA PAFAVEVRSESDYGAAAEEALAAKRSDYFEAGTAIVWDVDPIRERVSKHRPEAPGRPT VFARGQEADAEPAVTGWRMAVGRIFG OJF2_RS36565 MGRTRSRLSAAMPLALFIVLHGPPAHADGPGDGPHVAATSVDLR PEFGRYHLTTRRQGHRGTCSAFALTGAIEFAAARQRGEGIPLSVEFLNWASNREVGAK EDGGFFSDLWKGAQAHGVCPESDMPYAATFDPGIRPGEPALKNAQALKELGLRLHWIK EWDPRKGLNDAQLAAVKRTLRDGWPVSGGFLWPKEERWDGGVLRMAPRDAVRDGHSVL LVGYRDDASRPGGGVFLIRNSAGPGVDGMMTFAYAKEYMNDAVWVESRARRAAADDAP AKRDAQLARSAGGPQAADLPSRDILGGLAAPPEGRSRRVSSNEQPRWGDGNMDMTWLQ PGQVLELPLLEGPGVITHMWFTSHAGRVIELNALSLRIYWDGRKEPGVEAPLGDFFAA GQGRPAPVESIPVQVSPTGSLTCFWKMPFEKSARIVIANDNPDRGAGLYWQVDWTRLD ALPPGTSYFHAQYRREYPAAVGHDYLIADLAGSGKYVGTVLSVTLAQDGWFGEGDDFF FIDGESVPGLQGTGSEDYFNDAWGFRPRTGPWFGQPRWQGDRAGDSGVAYRWHVPDPV HFAKSLRLTIEHKGNYEDDLQGFYLERPDFLSSVAFWYQAGEPKRFASLPPWNERRVP WRHEHFVRAFREARATGTAKPEIRTEGMFGARPLLAWPNREPGARLTIPFAVAEDGRY AARLSGMQGPEYGKYEILVDGKRVADADLRSPGDDELDLLLGTVELTAGKHQIAFLSE DGRPLAVEMLRLLKLPPPATRPVKTHNEAHFVRLGIGRAIYAYRLAFDRVPESLDELV RSGLMPDRFLRDENEKPLKSRREGGHLVVESPGGWTHRWQGLDPRR OJF2_RS36570 MTDAAPDQTPTPAGARTPNPWIVAAAVVVPTFMEVLDTTIANVA LRYIAGGLSASNNDSEWVITSYLAANATILPISGWLSARLGRRNYFLGSIAVFTIASG LCGIAGSLEELILFRIIQGLAGGGLQPSSQAILLDAFPPAKQGAAMTMFAIAGLVAPV VGPTLGGYLTVYYNWRWIFYINIPIGALGLLASWKMVEDPAYLKATTADLRRRPLNLD YIGLGLLVLGVSCWEVLLSKGQEWDWYNDPTWRAQAMAIGFGASLVLLVAHSLRSADP LVNVRPLANRNFAACSLIIFCVYAVLYGSSTTLPGLLQTLFSYDAYASGLVLSPSGIG SVVMLLVAGTLLGRGVDARYLIVAGLLLMAAGSYWMAVMNLEISPFQVIWPRVVTFMG ISLLFAPITVAAFQGIPRELRGAAVGLFALLRNEGGSVGTSLAKTFEQRRAQFHSVRV GDFLDAYNPHVNEFLEQSRSAFLQITGDPAASDLASVQVLEALRTQQAESLAFFDDFW LFSVVSLSLIALVFLMQPSVAEKGAHIAAE OJF2_RS36575 MSAETPRGENPAPEIIGPPNAEGPAHASDRTASSPAKGRGANGG SRRPVLMWGVGLIALVAVANYLGPSILRTFRTISTDDAYINGHVTMVAPRVQGQVTRV LVDDNMRVSKGDLLVELDREPYQVQLDIKQSAVHNAEADLNAAEAQVRATFGQVRSLR WKLQTAMEQVDNQVALLRAHVAALRSREATRDRARADLNRARALFERASISREELDQR REAERVADALAQQALEEVYETRVSLGLPPRVEKGELTDVPPDLNQNFSGVRQALAELS QSVAQVGLPLTSAKRTPKEAIDEFIRRDKEGDIDRIIERIVPDAPAVRQAKAKLMQAR RDLAKAELDLRYCTVVSEIDGVVTRRNVNPGNNVLAGQALMAVRSTTEIWVDANFKET QIADLRIGQRVDCEVDMYGHRRSFEGRITGFTMGTGQTLSLLPPQNATGNFVKVVQRL PVRIELTDYDPEKSPLFVGLSVVPYVYYKEPASGPGAGEVLQPILERPKGAIAGGALS AAGPSTPAGAGTSPRPPSEPPARPL OJF2_RS36580 MSRRWLAALALGMGIALASSVPFRSDLCWGDPVTGSLKLELKRF LVPVSTTVQTSALERWIVRREGGHTNQWQFLSDTSSWWPLPSRGHACGRTPEIYELCK PTFNEAFVRCSSDAEIAEFLRVMRQGSAEEKKAAVESACERAFGYLARRRGPDSRAGV TSADDPAAASAAP OJF2_RS36585 MNQETLDQAPFPVLKWDHYGWAGEVVLPSWAGFQARRDAYGGGS VGRPPDGTARLSIASLDSDARAHPTAEQVAAFRHLMDNEAEVADAVARALVEYCPGEA YNGDDDELMDVSEADDLRPLIGLSEVHVLDVFRDGFACVGFQFGCVWHEEHGAGVMTH RGRVIATGQADCSFLEWIAEQGLDRRRG OJF2_RS41360 MSSSFLEAPAPAGGDAGAGADRDGLRLPDLLDTRPIRAELLGIE RLEGRARRLAAVCRLGGPQRQGSPLLKRFVENGEALEVARRRIFGDSGRQQIHGLDAD WLADNFPIVDEVLREIRLDMPRGYDAVLPKLGVPPLAGYPRAYALALALVAHTDSELD ENRITRFVQAFQEVAPLTIGELWALPTMCRLVLIENLRRLADEMLRRWDERGRADRWC EEVLRGGPAGPPSPGEGRFSRAGSHPAPRPHEAGGGSPEPSRSRDAAIAGDDASPGST PFERGVGGGASSEPRRSGNSPSARGEATSGLPADGTFTPPFVVRLVQVLRDRGPAAQP AIDRLEAALAAERLDIDEMVGREHHRQAANQITVGNCVLSLRVLSAIDWNLFFERCSA VQKVLRDDPSGVYVRQDFPTSDRYRKAVEKIARQSGADELAVASKANELAASYRGDDP AQGHVGYYLVDRGRAVLEKAFGYRPRGGERLLRATLGHPRLVYFGTIAGLMALALALL GWAAGAGPWSAGGAGGWAWAGLAAVLLAGLLPLSDIVVGLVNHFLTLLLPPRVLPKLE FKDGVPEEFATFVVMPSMLVRPGNAEALCERLETHYLANPTARVRFALLTDFADAPDE VMPNDAALIEDALGRIAALNRRYAGGGPDLFYLFHRRRLYNPAQGCWMGWERKRGKLS EFNRLLRGHRDTTYDVQSGDPSALPRTRFVITLDADTQMPRDTVNRLIGTIAHPLNQP RFDAAAGRVVRGYGLLQPRVSFHLTAATHSRFAGLLASSGGIDPYSTAASDAYMDLFG LGSFTGKGIYDVDAFEAAVGATFPENHILSHDLIEGNFARCGLLSDTELFDDFPARYN AYARREHRWVRGDWQLLPWLGRTVPAPAPGTGVEPAGVADRAQAASPGQPGPAPAPVE RRRNVLPAVERWKLLDNLRRSLVPPAVLAMLALGWTVLPGSPWLYTAIAALVLGLPLV KWATAATMGAIRSGRLTPLRAWRESVPSLGGQALLSATFLADQSRLLGDAIVRTLWRL FVSRERLLEWETAASTEARLGARLRDFAVGMWGSPATALALAAAIALLRPSAIWAASP FLLIWLAAPLVAWWVSCSTPAVEEPLSDEARRAFRRVARKIWGFFETFVGEADNWLPP DNFQEVPDGRVAHRTSPTNKGLLLVSTLSAHDLGYLGFLRLAERLERTFDTLERMERH WGHFYNWYETTTLRVLPPAYISTVDSGNFLGCLVALKQGLKDKLREPIVGPALADGLA DTFGLVGDDRARLAPGIDALLREAPAGAAAWASWLERFEREASALSDRLAAGREEGEG DEPGSPAHWAGRLRAMAAERRAELDALMAAAGGAGDAAAIPTAGEALGLRDRLLGLIG RAEALAAAMDFRPLYKTERHLYAIGANLAQGRLDVSCYDLMASESCLTSYLTVARGDA PRKHWFQLGRPFIRAAGRTGLLSWGGTMFEYLMPRLLLRSLPGTLMAEAVETAVARQV EYGRQLGIPWGVSESAFAAQYIDGDYRYQSFGVPGLGLKRGLEEDRVVAPYATLMATM IAPREALANLRRLTREGAEGPYGYYEAIDFTPARLSAGQPSAVVKSYMAHHQGMSLVA LTNVLRGEVMPRRFHADPTVRAADLLLQERIPPETPIVEPPRAAGHAADAEGEGDGAP AGAGGSLLSRRLTSPFTSGPRTHLLSAGRFHVMITNGGSGYSRCDGRDVVRWRADATC ERWGQFFYVRDVGSGAFWSAAHQPTCRPADDYEVVFSADKASFRRRDGEVETLLEVTV SSEQLAEVRRLTVTNHGPAPREVELTSYMEPVLAHPGSDLAHPAFVKLFLETQFVPTS ESLICRRRPRAAGEPAHWGVHVMAVDRSAPGCEAVGPVQYETDRARFIGRGRTLAAPA AMGPGAALSGTTGPVLDPAFSLRRRFRIAPGGSAVAGFTLAVAESQDEALALADKYHG ISAVARAFELAWAQRQVEHGHGSAASEEAHLFQRLGAHLLFDGATLRGHGQAPPEVAS GGGFAALERLGLSIYRPILLLRVAESGELSLLRQLLAAHGFLRARGLESDLVVVNEEQ QSGEAEALGGQIEAMAREAVGELANAPGGVFILRRDHLADREAATLEAAARAAFDGAR GALAGQLERIDWARALPEPFEASRPVQDRPPMPPPDAGPLLFPNGLGGFSEDGRDYVI LAGPTAGQARDAGAGPPLPPAPWVNVIANPSFGFVVSESGSGFTWAGNSQTNRLTPWS NDPVIDPPGEALYVRDELTGEAWCPTPLPIPSDSPTVVRHGPGATTFARNTHGIEHEL CLAVPPADPVKLIRLRLGNPGDRPRSLSVTFFAEWVLGPNRTFAAAHVATELDPDTGA LLARNAFRQDYSGRVAFADVNRRPRAVTADRAEFLGRHGSPAAPAALGRAGLSGRTGP ALDPCAAIQAAVEIPPGESVELTFLLGEADDVEAARALVRRYWEPAEVERAIAAARAR WDEILGAARVRTPDPAFDLMMNRWLVYQAVACRLFARSGFYQSGGAFGFRDQLQDVLA LLHAAPGLAREQILLAASRQYAEGDVQHWWHPPAGRGVRTRISDDLLWLPFVTSLYIR ATGDAAILDAPSPFLVGPELAPGQEDQFQEPAASKESAPLYEHCLRALERGSTRGRHG LPLIGSGDWNDGMNRVGIEGLGESVWLAWFLAVCLREFAGIAESRGDAPRAGRFRERA DALVAAAEAHAWDGSWYLRAFTDDGTPLGSASSQDCQIDSIAQSWSVFAGADPARSRA AMQSVLERLVRENDGLILLLTPPFEAGPVEPGYIKGYLPGIRENGAQYTHAATWVVRA FAALGEGDRAADLFRLVNPILHASTPEGTQRYVVEPYVMAGDAYSRPPHVGRGGWTWY TGAAGWLYTTGLESILGIGRSAGSLTIEPCIPASWDRFEVDYRFGSATYHITVENPAG VQRGVAELTLDGHSCEGCKISLIDDGRSHEVRVKLG OJF2_RS36595 MIPSDSVLPAPSKLSSSARTARPRSRAEAMERPALISRDEALVV DERSSLRPAQVVETKLTRILKDASLPVSHLQMRGMLRSR OJF2_RS36600 MVPSWTVRLREVGRELGQIGRRGRQVWRLVPSRHRWALGGALLV MFLASAGGTAIAVGTGMLVDSVDPRNTAGLSREAILRSAAFYLALIGLAYLTRESMNV LRRYLVENTCTRINRDMTVRLVGHLMKVDLSTLAQDQVGGLYGRINRSSEGFVRFLRI SFLDFVPALMTGAMAISAVLTKQPKVALVMAGVIPISLALTICQLITQKGIRLDLLRT RERMDGTVVELLSGIDYVRAANTHRRETRRVARTAERMRSKEIRHHFEMSLFGSGKAL NEGFFHLLVLGFAVYLFVGGQIAFGDIVAFSILYLNVMCPLNEVHRFIDEAHESSIRI GDLVDLLRTPADRSFRPAEPREPVLALGRPLFTADGLTVAYPGRGDRARPALDDLDMT IRHGETIGVAGRSGCGKTTWLRALMRLVHPTGGSATLGGVSLESVSRESIGRLIGYVG QNPFVFSGTIEANIAYGTKGATPESIREAARLACIDDEIMGMPGGYKARVAERGQNLS GGQRQRLALARVFLRNPPILILDEGTSALDNISEKRIQQAIDAARGRHTVILVAHRLT TLLDTDRILVFEDGRVVESGRYGELLQKDGAFAELVRSAGGNVQEAEPFAPAAEGEHG LDHEFDLAVGGPLEFAFEHEHDEEPELALAHG OJF2_RS36605 MRSCNSLALAGCLGLAVGLAAPRASAQAPDNAPAAKAAQPAPAA GWFEYQESPLPAADYLRFVTTRDAWNGDPNQATGLQLVPLDAAARVQLKLPEGRGLVV AGAPFHGPAAAGVQKDDILLTLDDAPIAKAQDLEARLKASGDKPLVLVLLRKGKPVSL TVQPRILATFGPVEPKPPAYWIGVSVAPAPPVLKAQLGLDANGLIATDVIKGGPAEKA GVAVHDILMKVNDKPVGDQSALVDLVQKNAGKPVRLAIVRGGERKEIELTPEPRKDDV DHDNRADVLFQAVVAGRPGVVWQQGEQNGSWVADLLPYVQPSGINGNLTYALTQPYTG AQTIDPRVDALVAEVKELHKAVEELTRAVKDRK OJF2_RS36610 MESLEALIDRMVDGPLPPAELREAVARLDGVPDGQGWRRCGLAF LEAQCWGDAMRSSDGFLIPIGDLGDHPRERAVRTGAVSSLGRQPDPDVDREAGTEPVP MPGVVAAHRGIALTCEAGGSARPNHGLTPVVTRSRPAASAWMIAVAASIVLAFGGALG WLGAAAARRGASPAAAPESPRIAASPGPKPDAPASPPPLAPGEIPGLPAHRLPTVREV ARLRLGEGPGGAEVPILDGPGLDARRLLEQPPVVSDYQQAALRAEGYELKQDRRLVPF RLGDGRTAAVPIDRVRLRYVGNSPL OJF2_RS36615 METLEPVPTTGAGGSGEPDWPAALSAHDRWLRRVVAARVREEQA VDEVMQEVALAAIAQRAPLLDSSRQAGWLYRLAIRQALLYRRRAGRRRALVGRYAGRE PRGEEDPNPSPLAWLLRDERRDLVRIALERLHPRDADLLMLKYAEGWSARDLADRLGV GLAAVEARLHRARGKLRAELATLAVGIEDVHAREA OJF2_RS36620 MLGKVLVLSASAGAGHIRAADAIEKALHARGLASDVQNVDVLKY TNQVFRHLYSRAYLDLVNKAPEVLGWLYDRLDTPGKNENIRLAFDRFNTGPFVKLLDT YRPDVAICTHFLPSEIIGWLKRKGRVDIVNAVIVTDFDVHAMWLNRGSDHYFVALEET REHLVALGVPGEAVTISGIPIDPVFSERKDPRSMRRKHGLEEGPFTILVSAGGFGVGP VEHIIEALGRLPSRAQAVVVCGRNEELKKKLAAAVRRLGPANVTFHLVGFTTEMDELM TAADLFVGKPGGLTTSESLAKGLPMVVINPIPGQEERNSDHLLEEGIAIRCNNLPTLP YKIDRLIRDPGKLHLMRANALALARPHAAFTVVEALDALNAGRPSSAPSAATPKRRRR KLVL OJF2_RS36625 MTRADASPRNRLAMLRLGAVAMLLACGPAIRAADEGAITVESLL GRMADTRWLASPLAEGERTVQFSSYDRATKIEGGKIVNPFANGDCGHYLRVEGEGDRK EFVLAESQGPGYVSRVWSANPAGDLRIYIDGAATPVLAAPFQAITEGRVAPFTEPFGH NASMGRNLYFPFPFAKSIKITTTKGDQYFQVAVTTFAPGTKVESYSPEVLRRAAPVIE EVREALLNPATGRPSSGSGWQQTPTAAVPAGGEAELLAREGAGAIRSMTVKVAGQDVD EALAKTLLTITFDGAAEPQVAVPLGDFFGTGPGVNPFRTAIHTVEKDGTMTARWYMPY RRSVKAHLKNFGSGPAKVSAAVFGDSDEPPAGTLTFHARWTQRDGVQTRKGDGTLDWP SLRVSGAPGRFVGLLLDVYNPVKAWWGEGDEKIYVDGESFPSTIGTGTEDYFGYAWCS PQPYMNPFHAQTRCDGPGNKGNSSEIRYQVLDAVPFRSSLAFDIELWHWEAVKVQYGT LAFVYAGPGAKVEPGVPDLSGRKVYPKPPVFRVAGVLEGEALKVLSKTAGDISNQPMS GFSDGWSGDDQLWWRPAEAGAKLELELPVEKAGAYAISAAFTKACDYGTFELTLDGKP LKEIDLYAPKPNVLHTGEVPLGTATLDAGSHVLGARATGRNAGSVGYMLGLDWVKLTP AAR OJF2_RS36630 MARDDAFLRIRPARVAPAMIVLLLSGLPARAADGRITVESLLRR MADTRWLSSPPAAGERTVQFSSYDRATRLEDGRIVNPFANRDGGHYVRIEGDRDNREY VMAEAQGPGYVSLIWTATQGGELRITVDGAATPALAAPFTPLTEGRIAPFTAPFGHES AMGRNLYFPFPFAKSIKISTTVPYACYHVAVTTFAPGTEVESYSPDVLRRAAPAIEEV RKALLNPESTILDTGRAWKDTLTPAVRAGAEAGTGQVGHGAIRSISANVSGEDVEEVL AKTLLTIAFDGAAEPQVAVPLGDFFGSGPGVNPFRSLISSVREDGTMTARWYMPYRES ATVKVRCFTTKAARITLEVQGDVEDPPPGALTLYARWLQRDDIPTKREDGTLDWPCLR VSGAPGRFVGLQLNIYNPVSAWWGEGDEKVYVDGEAFPSTFGTGTEDYFGYAWCSPQL YANAFHAQTRCDGPGNKGNSSEVRYHVLDAIPFHSSLAFDMELWHWEAVRVQFATLAY FYAGAAAKVEPGIPDLSTRRVHPKPPIYREPGALEGEALKVRSRTAGDVSNQPTHAFG DGWSGEDQLWWRPREDGAELELELPVERAGAYAISAAFTMAPDYGRFEVTLDGRPLKW VDLYQPRREDFRTGSVPMALGTATLDAGVHVLGVRVSGKNPESRGYFFGLDWIKLAPT AR OJF2_RS36635 MMTRLRLPSMALALAICAPSTARADAPAPGPRPVNLWAHERSHA RVGYGAKPEMVRVTFEPAEWPHIRFPAPGGRAWDWSGRSLVLEVRNLDPKDVEVHVRI DDDPSADGVHHCRTGRATLAPNQATTLAFPLSRKDPMAFGMRALPGSPNVRTVQASGD DSFNPAHVTMFQVFLQNPTEPRQVELRSASIATAEDASLDGIVDAFGQYARAEWPGKV HSVAELKARHEAEAADLLAHPEPGDRDRFGGWRDGPKETPTGFFRTAHRDGKWWLVDP EGALFVSLGVDVVTTSEQTILDGRSSLFTGLPGKGDPLSRHYGMAFGIHSGPVKQGRT FNLYAANLERTYGRDYLNHWRVRTFERLRSWGFNTIANWSDPWFYGNGRIPYTATVGI EGKHARVSSGSDYWGRMHDPFDPEFARDVRASLARVVPKVKGDRWCIGYFVDNELSWG GFGDQAGRLGLAIGSLSAPAEGSPAKRAIVAQLRAKYGEIAKLNAGWKTDLADWKALE APWHPPLPARWTEAFRSDMKAFVTEFARAYFRTIRDELKAQDPDHLYLGCRFAWRTEE AIAAAAEICDVVSFNIYERRVDPAKWAFLSDLKKPAIIGEFHVGALDRGMFHTGLVSA SSQEERAAIYRDFVGSVLDHPALVGCHWFQYVDEPITGRSYDGENYNIGFLTVTDTPY PELVSAARAIHAKAYAWRARAGATR OJF2_RS36640 MRRDDARPRGHAVALGLATLAMLLAGGLPARADDEPITVESLLR GMADTRWLASPLEAGERTVQFSSYDRATRLVDGRIINPFANADVGNYLRVEGEGARQE FVLAESQGPGYVSRIWSANPDGELRIYIDGAATPALAASFARITNGEVAPFSAPFGHD ASRGRNLYFPFPFAKSIKITTTTGKQYFQVAVTTFAPGTKVESYSPEVLRQAAPVIDE VRQALLNPDRDLEEGSWRADQSATLAPGREAELPTRRGPAAIHTMAVQVAGEDLEEAL AKTLLTITFDDAESPQVAVPLGDFFGTGPGANAFRSAVHTVMKDGTMKSRWYMPYRKS AKVSVKNLGAKPTTIKSVVQGDFSRPPADSMYFHARWLQRDGVQTKKGDGTLDWPSLR VSGAPGRFVGLQLNIYNPVSAWWGEGDEKVYVDGESFPSTFGTGTEDYFGYAWGSPVP YTNAFHAQTRCDGPGSKGNNSEVRYQILDAVPFRSSLAFDIELWHWEAVKVQFATLAY FYAGPGAKVEPGVPDLSGRKVYPKPPIHREPGVVEGERLKVLSKSSGDVTEQAMGGFG EAWSGDSQLIWPVRQQDATIELELPVAKAGTYDLAAAFTKAGDYGTFGLSLDGKPLQT VDLYEPAPRVVHTGPIALGTVQLDAGNHALGVRVTGKNPRSTGFLFGLDWVKLTPTTR OJF2_RS36645 MIRAFASAAAMAAAASAVAGEAPSTASRDEAKGTYWAYVGTYTE GKSPSQGIYLLELDPASGKVTEYGPVANVPNPSFLAIRPGGKELYAVSEVGRFNNKPG GGVTALAIHPITGKLTALNQESSVGEGPCHVAVDRTGKNVLVANYNNGVVACLPIDEA GRLRPASSSIQHEGSSVDRGRQGGPHAHSINVDPSNRFALAADLGLDKVLVYRLDAEK GTLTPNDPPSASVKPGSGPRHLAFHPNGRFVYVISEMGNTLTAFAYDGEKGELKEIEA VSTLPADFRGKSYTADVHVHPSGKFVYGSNRGHDSIAIFAIDQATGKLTPAGHVPTGG KTPRNFAIDPTGSCLLAENQGSDTIVVFRIDASTGGLTRVGEPIKVPMPVCIQMIPRP TQPPE OJF2_RS36650 MRPNPLTDDAPGPGRERQAKLAALLFPADEVAVRARRIHDAMLE QSPQLRTANFTVIGTDDLEALFALYDREFFRGLLGEMLMEDGAHPMGFRLSRRLTRAG GQTMRQVRQVRKGSRTVQQVEYEISVSTTLLFSTFQNVEREVIVGGLVCRNRLESLQR IFEHELLHLAEFLGWGRSSCTAENFHRLSRRIFGHEGVTHDLVTPREQAAVAFDVRVG DTVRFDLEGVTYRGRVNRITRRATVLVESPHGEEFTDGRRYLTFYVPLPLLRKDRAG OJF2_RS36655 MFILDTDHVSLLERGEGREADRLKARLRGLDGADVAVTIISFEE QTRGWLAYLARARGLSRQGEAYARLTRLVENDRAIPLLDFDSKAAAEFQRLSNARPRI GSMDLKIASIAIGATLVTRNMVDFRRVPGLVVEDWTA OJF2_RS36660 MSPIEMETRLEAIEKDVSRLKEKVDGAVKPWWERIEGSFAGDPA HEEAMRLGRRYRESSGSSKSGAGAG OJF2_RS36665 MQRRDFLAAGMAAAALAATTRSAEAGDSSFMNNVPDPLLAGHEL PTFKFELEKSEGKVIGGSYGKEATVTQLPISKGIAGVSMKLEPGAMRELHWHATAAEW AFVLEGRVRTTVIDPQGGAETDDFNPGDVWYFPRGHGHMLECLGDSPCHFILIFDNGY FSEFGTFSISDWMGHIPSPLLAKNFGLPESAFDGFPKDEVYFARGAKPPEEPATPLQG WKAPALTHRYELLKRPPHRTFKGGREWRVDSTAFPISKTVTGVVLDLEPGALRELHWH PTADEWQYVISGDVNVTLFGSHGRFRTEPLGKGDVGYIPQGYGHSIENVGKTPSRVLI GFNTGVYETIDLSQWIAGNPADVLATNFGKPAELFAKFPHRDVFIAPPGGGGGDD OJF2_RS36670 MLSRQEKLARLKTIIRRIHGGETDATSLADQAEERAGFESIEAP LPAPPPLARPEDARKGIEKVLTDRDQDVSDDELNGLEAIVLTEGRPAVFIDGGKYGDL PNPWTSLNDPAMRAKIQPLFASIGRVDLPNSLQIPYGGTGFVVGDGLLMTNRHVGALF TAGLGLKNLIYTSGDAAIDFLHERSTRPDDSSASLVVKQVVMIHPYWDMALLRVEGLP PARRPLTLSTASPDDLAGRDVVAIGYPARDIRNDLNVQDRIFGGVYAVKRLMPGKLLR RDSIRSFENTVSAITHDSSTLGGNSGSAVIDVRTGQVVGLHFAGLYLKANYAVPAYEL ARDPRVVDAGLNFDGKLPPSTDTDAAWARLQDVERVADRSTPPPPPPPPDLSQQQPAG PATGTGTATSVAVGAGAVTWTIPLQVTIAIGQPAAVVQAAVAAPPQAGEVQEKLQVPV IAPDLASRPGYKPDFLGLPNGELVPLPDLTPAGKKVVAKLEDGTSELKYQHFSVVVNK QRRLPLFTASNVDYQPAMRLINGRKPSRKELTGLGPNDQEQWVTDPRIPDANQLPDVF FTKDGGAFDKGHVVRRDDVTWGADFAEMQKGNGDTFHTTNCTPQVAGFNRSANGEDNW GDLENLIQKETSAETVCIFSGPVLADDDRTFQGRDRRGPAEVKIPKAFWKIVVAKGDD GPQAFGFVLEQDLSAVPLEAPLEEFAVPAPWKRFMKSIAEIEGLLHNWVKLTWLKEHD AIGTDQGRRIAEGVRKSGRGVD OJF2_RS36675 MKNDPKIISLFSEAILSALPNEGDADVLAFKAGLQRDWTNFKSS SPQLELTMNRFLARAEARLKLVDVIDAALAMSDRSPDLQALADRYLRPIAGPLESMGA KLGDYEKVLFKDAGFADIAGWIDALGRVRRAVGLVRPNPGSIQGCGTGFLVGPDLLLT NWHVAGPFWGDDEAAAHVAVEFDREIGPDGELRAAAAPCRLRPEWGLPRSPVQESDFA LLRLDRKAAEDEVDGKPRRYLSLSGRGEADLAASLPPGAPMLIVQHPMAEPLKLALGE ADQVADARYLWHKVNTEPGSSGSPCFSQSLEVIGLHHYGNDTRNRAVLASSIEPGIRE AVRAAGA OJF2_RS36680 MKNDPALFAGLNEAILSVFAVEGDVDNLAYAAGLQREWVEFKTT SAQLELALNRFLQRVEAKRALVGFIDAALKKSGGAPEFQAIADHYVRPAREPLEALGA KLEDLEKVLFGDVGLPAVAAWADRLLAMSRAVCLIRPDPGSTQAAGTGFLVGPDLVLT SWGAAAPFWGKDDLAARASVEFDGEAAAGGAAPPCRLKPEWALPSSPAGESAFALLRL DRRAAEDPVGGKTRDYLKLSERSVGDLADLLAKGEAVLFLLHGQARSSRLRLAQSPRP DGGRFFRYEADAADGSAGAPCFSQTLEVIGLNGDGGASPDRGILASAVGPSIRDAVRR SQVPGPAAAIAPLQTPTAAPARKRALFIQPAARGLMARARADAIWAELITPAFEDTDY EPARSNDVKDGDDLEPLVSPLSVDPLVVADLGGPPWDPRVMMEVGFRIANAKPLILIA DANGAGTAYPGMLEDLGVIRIDPDDIAASLPHLRSKIAEYRPGTADFWSSQYPYVDFQ MPLDDPSQAVYIHANDAAARLYGLDRADDLVGKRVDEYDDRLYQFMPEPQRAEFVDEQ VLLLGTILWPQKLQTGKSNGAVNASVRIPLWLANHAKPEFQGKIYLPLLVQHKIQKSR GVVLMRSVFVDISSWAAPGLSSRSTSTVLSLPEIFRHVRDYQFDVFLPCDADEADYVR HIRDTIEDLNCTVWFKGGGAEDPSLKADEVARSLCGARMAAIPISRRGLGRWEQRPGV RDALKSYLNASYPHLFLILPDVPDPDGWKALLPADYAGLVADSLFLPLQKLEGSINPV PVSVFVERIVRELFKVFRQQ OJF2_RS36685 MSKTRDGPGTEILTDGRIAALDRGAARPEGWASRALYVGEEGAR NWLAVVHEPGYPLRDPDALALRANRAAAIAALRFRTLVSLGPGDGSADADLVSALAGR PTYVAVDLSRPLLEAAIERLRPLAGPVRGVLCDFEDDGGFLGASLRGHAEPPVLYALL GGTLGNLDRGERPLLETLRGLMGPGDAILLDVPLAGPGWSAAVEPRMRPEAYTPAFRA FLVGDGAGDASFEERVRLASHRDDQTGAEVVEVADRSSGRRLLAFRRYRWDAIIGWLA GLGFDVASARSAFAPPTSAFGMGVVLLTKA OJF2_RS36690 MDARKAEPNPRPAAMSVGTYYARTPALTIDPAGTVADVNAACHE LFGAGIDACKGRDFLEVERRLVGEGATGLFPAGGLTRRRRMAMQGDAGGGPSDGPFLL QTEEIRAVVAECTVATGPFGPARLRCCEMPILDPETGLCVGSSLGLEVAEMADVESFR RAVDLRLGHDLLWDVYAASYDRILTEMPFYLEVLDRHREALSPDAVGSVLDLGAGTGN LALQLLDLGKAVVAVDVGRAMLDRLRRKLGGSHAGRIVVVEGSAEELPGLADGSFDGV NILLALFDMADPARALAEAIRTLRPGGVLAVTEPRACFDVDRLMAFAEEALHARGVYE SLAEDWTRIRAVAPLIHDVIADSEGHAAIKKGKTLHAEAILDRLRAEGFEHLTFRESH HGNCATITGTKPRPS OJF2_RS36695 MLALARKPSKMPRARRTRRDRLAPRVDACEGRLLMATGFLQGAV TVGTTGQGLAGATILLHRLDAAAADRTTTTDASGNYLFTGLDAGSYRLTETPPTGYVN ASTEANSPLTPVTATTASSIDVRVGGGDGLTVSYPSHNKKVLTITNDGKTQPSLIGQS NITVNQPDIGSTSPLFSSICVDFFRDIFTGEQNLPYSMMPLSTALASIPSIKNPQNAG EIAYLYNHIASTWSTTPSGYVPVQEAAGFQLAIWELEYETSGTYNVLDGSFFAQGLTA SSPEATYAQNFLNIAQGKDEEAVFLNGLSTSGRPGGSQGLIAPMMLNFVNAASPPAPG VTIQKFTNGVRDTDPNGSNLVIASPGAAITWTYDVANTGNVAFAKADVVVADSVDGLN PTLTGGDTNGNDMLDPGETWVYTATGTALDLSGTLPPSVVVVPGGDTGGTGATRPVYQ NTGTVTITGTELTASDVSHYANPAPPPTPSVTIQKFTNGAHDTNPNGSDLVVLGPGAA VTWTYQVSNTGGVAFPKADVAVTDSVTGVTPAYTSGDVNNNGILDPNETWTYTATGTA LDLSGTLPLAVKVVPGGDPNGTGNTRNVYENTGTVTVAAFSLTSSDVSHYANPAAPGI TIQKLTNGVRDTDPNGNELVIVNPGAALTWTYDVANTGNVSFARANVAVSDSVSGVNP SYTSGDANGNGLLDPGETWVYTATGTALDLTGTLPPTVVVVPGGDPNGTGNTRNVYQN TGTVTVAGTSLAASDISHYANFPSAPPAPPVITIAGTVFHECNNNGVYEPALGETTLA NVVVTLSGTDADGQSVSMTTTTDAVGHYAFDLTGLPGVYTVTMAVPSGYLDGKSTPGT AGGTAGTTTISNINLAESATGYNFAVLLPSSLSGVVYYDLNHDGAMGVTDFGIAHVVV TLTGTDDRGQAVTQKMTTGDDGTFSFTGLRPGAYQITRTQPGLFRRYQNTAGTLGGTA TRDAIKGIDVPGCSSGTGYLFGELQQPTCRLRTLAFHVGRTFARQEAEYASNPTAFTR AHPNLAPSIAAGQIPWGKGTYPKASLARYWVPTLGTKVIRISDVYDRTKTAAARVTAK VASHAGAAKAAVVGKASTALRAVRNSATVKAAVAQASRVHAAIRRG OJF2_RS36700 MSLAESIGSSPASGPRRGLLDLAWLAVLIAEVLSLSFSFDVAIP AGRAEGRGAIVWLVAHSSALIRAAACMASVLAAAFLATARRGGPARRSTGSPSSAWWT SGHLAAFAAFYAATGRLVAAMNGGGDVAPVALVWAAAGAATLAAWMLAARPAGAWLRL ARGSWKVLAVALVAGCGAVWAGGLTGRLWASLHSGTFAAAAWILSRIEPDVLCDPAAR ELGAGGFSVTIAPVCSGYEGIGLILALLSGYLIVCRDELKFPQALVLLPAGAALIASL NVLRIVALVLIGAHGRPRVAVGGFHSQAGWLAFNLVGLGLIAGSRGLGAFSKARSDAE DSGPTVNPTAAYLGPLMALLAVAMVTGAASDGAFDLLYPARIAAACAVLWVYRGAYPI RSWAAGLAPASAATPIAIGVAAYLIWVALEPAPEVAAGGTARGLAIPAALAAMGPAAA AAWLSARVLGSVAVVPLAEELAFRGYLQRRLVDADFEAASPREFTWLSFLGPSLAFGL MHQRWIAGTAAGMLYAAAALRRGRLGDAVLAHAATNALIAARVLAGGAWWLWA OJF2_RS36705 MIGGRIVRGVQRLRVLAGPAGMVLVLSAFCGVAGANEPVPEIDA GTMSSALSLLCGGLLILTGRRRRA OJF2_RS36710 MVLGLGLGDGARAQSGCACQGAPAGTIVVGSMLGGTVVGAPISN GTIVGTPVAGSTVTDGVVVGSPVANGVVSGPVQGGVYPYSYWVAPPGQARGYVPYSDA DQFPFHGRAYGNPGDRWSWYYMGGGDSRYLARYYYQLLR OJF2_RS36715 MMAVSSTFAAGAEPVRQRLRFPAPQSHYVEVEATFPTAGASEVE LMMPVWTPGSYLVREFARNVEEVKATGPGGKALEIAKTRKNRWKVATGGAAEVTVAYR VYCRTMTVQSNWVDSSFAMLNGAGIFLTPVGGPSRPHEVALELPPAWRTSVSGLPPAP GGGPNRYLAADFDTLVDSPIYAGNPATYEFQVDGVPHVLVNEGEGGLWDGPRSARDVE AIVRAQKAFWGLLPYERYVFFNLLVEAGGGLEHKNSTVLMASRWASRTRAGYLGWLNL VSHEFFHTWNVKRLRPVELGPFDYENEVYTPSLWVAEGITSYYDRLFVRRAGLCTAEE FLAGDPPSGEGDKPSNDIERLQTTPGRLVQGLEASSLDTWIKFYRRDENTANTGVSYY VKGGVVAFLLDAKIRRATGGKKSLDDLMRLAYSRYSGAKGFTAAEFRALAQEVAGADL SSFFHKALETTEELDYSEALDWFGLRFAPDEKEKKARRAKKAGVKDEDGEPPAGAAGK PPKAWLGLTTKNEDGRLMVTVVKRGTPGYDAGFNVGDEIIAIGDDRIPAEQWSKRMDY FRPGEAVSVLIARRDRLRRLDATFGQEPPRQWALEPLPGASPEQKAHFQDWLAEHE OJF2_RS36720 MDQDKERLRLVEQAHAYLESAGNPRLVMLGVLSMSLAAGFLASI AMVHLGVLRMPIRYPIAVAAAYATFLGMLRSWLRKQSLAADLSDDPEGLLAPGAAAFG AAATLAKAGEPEPGRPEEKKKSNPFGGGDLSGLGDLGGEGCGLLVVLVLIAGLCTLLV SCYLIVTSPMLLAELLVDGLLLGAMSRAVIADRPPHWSRSVLRRTWLPALITAVVFGL VGWGIERVAPGANTLAQAWAIDQRR OJF2_RS36725 MPRTRPALSKTRPRLRIHAIERLEARINRSAFAEPVMLSSVAGT LEVTLDAHRSSQVIEVAQPGNPMAPGVPTLVDGFMTYAWTLERGSSSDGKASGDGYPS PTLKVNPGDTLIIHLENDLGDQPTNLHTHGLDISPSGNSDNVLLDVPPGMSNTYTYQI PADEAPGMDWYHPHRHEHVEEQVYRGLAGVLIIGQADSEIQQVQDLPTRIMDVQLQRI EADPATGRPTLQFPLPVTDFAHSQYTVNGQYMPDLQMTGPYEKFATLSLDPHDLTRTY IPPSNDPSTWNFSDPANQAAYYVAQDSNAFPRTVLKTRAAQAPGKRIVEIDSAPADGV TKYFAITGIIPAGNLDRMYTQPLIRLHGTGQGGDPQRWNDVALTSPSPFVDLSDMPVA QERYVVFSSDFSSSTPRFLVNGETFPNNPVFQPQLGTVEEWTIVNKDPVPHPLHIHMH AFQAMPGSGTVTLPDGSSYPYDPALPHPYDQDVFYIEPQSAVRIRIKWDDYLGEAVLH CHNLEHEDMGMMSLLNVIPAQPLAAAAPGPGGSTAQFFRLDANGQPVGSAVASVAPFG PNYRGGMTVAMADVNRDGVPDAIFGGIGRVVVRDGASNFTRTLADFRPRGRAFRGALN VAGGDLNGDLYGDIIIANAQGKPAVRAYSGRDGAAIAEFSPLGRGGRGGVSVAAADVD GSGRIRIVTAAGAGSAPRVQVWGWDLFTPIGRPPADPSRLGAPRLVTEFLAGPKSARG GLSVAAGYYDAASGGFPRIITASRGSDSTVTVWKMDTHMDAMAMAMADDSGAMSAAPM ATDMAAGMPQPRVLARFRVPGHPGSRKGLAVGSINTPTGSLVTVAPDAKGPGMVQFFG PDSAGMPSLVGNVRLDGRGRGVRLGGS OJF2_RS36730 MEGRKAMTMGRPLLERTRLIVEHILSEMAAGMTDAEILDDNDDD TLRPRSIRTTILRTSTGRPEKRNRTS OJF2_RS36735 MTTSIDQVLDRIPAWSGRSPVATPLAGGLTNRIYRVDVAGASYV VRIPGEDTHLLAIDRGNELHNTRAAAETGISPRVVHHIPDLDVLVLEYIDAETMTAAT MHRPGRPAQLAGALRRLHAGPRFRDDFDMFRITRRYLHICRERRIRIPDDYLDALPHV ARIEAAFARRPLPTVPCHNDLLAANILDDGRRLWLIDFEYSGNNDPTFELGNTCQELG YDEPRIAELCASYFGEPQPEMLARMRLNMVLSDIGWTLWSAIQAAVSAIEFDFWSWVD ERWDRARAAVDSADFARWLQTLEAAGAIESPRPSPVAGPSTRGDGIEAPRL OJF2_RS36740 MTDSARYIKIVEWSEEDGVFVGQCPGIIGPCCHGTDEVEVYREL CEIVEEWLEIARQEGRPLPPPTAGGDLVPRIA OJF2_RS36750 MRIGFRPSVRLAALLVAFGMNAALAADDPLPSWKDGASRKAILA FVAAVTEPGSPDFVAVPGRIAVFDNDGTLWCEQPMYPQAVFIRDRLRAMAPGHPEWKR EQPFKALLEDDRAAVAGIAEKGLVDIVTATHAGMTSEEFSALVRDWIATARHPRFRRP YTRCVYQPMLELLAYLRAHGFETFLVSGGGAEFMRVWAEPAYGIPPDRVVGSTVRTRY ELRGDTPVLMRLPEVDFVDDHAGKPVGIGRAIGRRPLAAFGNSDGDYEMLRYVTAGPG RRLGLIVHHTDADREYAYDRDSLVGRLARALDEAPARGWTVVDMRRDWKVIFPAEE OJF2_RS36755 MIETGGGGGRQVRDWSMRFFTVSGGRARSAGGMAAMGLVLVLSS DASPIRADEPARPSNYTEAIPGTAVKFEMVGIPGGTFSMGSPPGEQGRRDNEGPRHPV AIRPFWMGRTEVTWDEYDEFRKGGFVSNRTNAEAIARDADAVTRPTPAYPDETRGYGR AGYPAIGISHHAAMEYCHWLSKKTGRRYRLPTEAEWEYAARAGSGTPYSFGEDASRLG DHAWYAENAEKPQPVGKKKPNPWGLHDIHGNVAEWCLDRYLGNAYSRFPTDRPTSGPV LPPGAAPFPHVARGGSWADRAEACRSAARRSSHPSWNQTDPDGSIWWLWDADFVGFRM ARAVEEQDDLKGLRSRVRRPDP OJF2_RS36760 MAEFPLAQAVRGRDVEVEWMPFELRPEPHPTLRPEGEYLRTAWA QAVYPMARSMGVPIVLPGVSPQPHTHLAFEGYQYAREHGKGNEYNRRVLEAFFVEGRD IGDVGVLTDLAGEVGLDRPEFEAAIRNRTYRDAHRRALHHAYHDVGVTGVPMFVIGDR KLSGVQDRQTLEVAIDEQLARRAPRRT OJF2_RS36765 MSNPAAPKPDHDPFPGRDTAETVPAPDPDIPNPFGPDAMNVRDD DPPGGQAAPEAPPPGPPSVALDDDDFAPDET OJF2_RS36770 MMTLGKELWSLVAIVAVFLHMAVSAMGGDGPAFRVREEAERIVI TGPSLEAAIRKWGYVSGVEAQSFLDVRTGFRDPGFGLDIVDWLMENGPDDAYRDKLPG DLPYSYNNLVHGKRPKRSIEGPQVCTQAKRLEPVVITGRDFVAVRQGHAYTLAAPGKK AGSRWEQTLVFPAGKRYFLAADRVTSVNASEGLFLRIDMPGHIRHRAGDTFSEVYLSY RGLIPSREFLRDFPPDEKFLYVREEGKVPSRIIRGYHLRDPKTGKDGPWLAALTLDPS VVSEAWCHQRGYVCMIQEVGGRPVKPSETFGAAYLTGYFDSIDEMNRVYDEHAGHRGL RADASGWTLTREP OJF2_RS36775 MSCELHRDGRPSTPDLGRRRLLAGAGAWPLLAALPSRMGLGADG AEDVRRGRLVFTSRGKTGIVNADGTGVRYFEFDRPGQATWQVGAAFPDGRRLILLSME PRRDGPGRSFEEYYTQTPTHIWIYDLETQSLREIVTKDRLAPFVTPALLIGEDRLLVQ VVRNKVGQIFSVRLDGSHARPFTRAGEGLPYGLSLSPDRRRVAFHLAGPEGYQVWTSD VDGSNRVRLAAGADHLYFGTSWSPDGRWVVYVDCHYHADPGHDWADVCIGRADGSAHR VLTTGQSMWFAATYGDPKTRGGGSNVPAWTRAGSILFPRRLPGSRVPWEYQSNRPDLD HFNRDYKPDLARGGVEICRLDPSDGRITALSNPGPNTWDFRSSESPDARLIALCRAPT GGPPALWIMDSDGGHPRLITRGIDDLGVDHPRWI OJF2_RS36780 MWQPFATRPRSLDRRRRVPRRSLVAPERLEERLALSYADFELSS LLPANGGNGSAGFVVDGIVEGGIFGQPRFTYEQVGDVNGDGVDDLLLAAPGLGNTGSS QLPTRSDAYLVFGQSGGFPAAFNLASLDGTTGYAIHDAALGDAIGFVGGGAGDLNRDG APDLVLGAVWATPSPDRPRAGQSFVLYGGAAHLAALDLADGSRDGQIQLQSLDGTHGF VANGSAAGEGAGRAVGIGDVNGDHVDDLIIGGRGTPARSYVVFGRDSSRGDVFPATLE LSTLNGTNGFAIVSSNTNTSGFGNAGGAGDVNGDGLDDLVIGDYMAAPGGRGYAGQAY IIFGRRSFAASLNVATLNGTNGFTVNGAVANDFLGYSVDGAGDVNGDGLDDVAIGATI ASNAAGARSGAVYVVLGKPAGFPAVIEVSTLNGANGFAMFGVAAYESTGGPVSGAGDV NGDGLDDLIIGAASADTNGITDAGRSFVVYGRRNYGPSFNLSNLLAANGGDGSAGFVL NGFSTGQSTRPAGIGDVNGDGFADIRVGAETDDPNGLVDAGRAYIVYGKPSPSPITKF YVVDDASANSTYEYTALGTPAERYSVAAGNTAPRGAASTAAGDKVWVIDANKTVYVYD AGGSLLGSWAAGGLASNATVEGIATNGTDVWIVDGRQGKVFRYAGAAGRLSGSQNAAS SFALNSGNAGPKDIVTDGTNLWVVNDSTTDKVFKYTLSGSLVGSWTISGAGSSPTGIT LDPSGGGALWVVDSGTDRVYQFDNARGRTSGSQSPSASFALAAGNANPQGIADPPAGP GATPHAAIPGSRKARPFAGGAGTPAAPSSIAITRESPTLVTGASLSDQELTFLATELI RGTATRARPSSRLPLG OJF2_RS36785 MNADPFDEEAIFQVARRIASPSARTAYLGQACGADAALRRRVER LLRVCEEEASFLDVPACAATTDRAAAAEAPAERIGPYRLMETIGEGGMGVVYVARQVE PVRRDVALKLIKPGMDSRAVVARFEAERQALALMDHPNIARVLDAGTTEQGRPYFVME LVRGIPITEYCDREQLSVPERLELFVLACRAVQHAHQKGVIHRDLKPSNILVTLHDGV PVPKVIDFGIAKAVGQSLTEKTIYTGFLQLVGTPLYMSPEQADLSGLDVDTRSDIYSL GVLLYELLTGTTPFDPATLRAAALDEMRRIIREEEPARPSTRLSSLGASLSTASARRK ADPRRLVASLRGELDWVVMKALEKDRRRRFETANDLAADVMRYLNDQPVEACPPSPLY RFSKFARRNRPTLVAGLLVVLALLIGAGVSAWQAVRATRAERRAEARSQLARKAVDRM YTQVAEKWLSQQAKLTGLQREFLEEALAFYRQFADEQGEDLPAQLEALRARKRVAMIE EALGHDDRAEATYRAMTRELEALSARHPDDPSCGEELAWTLAQLAVVHSRHNRHRDAE DLERRSIRICETLVARAPGNRDYRYRLAVTLHNLGVTCADVGRNTEAEAAFVRAREML TRLHEEAPDDGEVTAKLALTEDSVGRAWDRSGRHADAEAALRRSVGLYEQLLAVDRGN PGYRSGLAIGLINLNTVSSDRQQINDRDIRIIEILAKLAEEFPDRPRYRELLSISRSN HAITLKELGKLNEAKRVGGEAIATAERLAAEFPSIPDYRAMVADALDLMAVCQYEAGE VERGKQSARRAHEVWLPLMTAFPDRVDYRRKFAEFLTNEAQRRLIAADASRREPAEAV HLARRACEVNPGQELGWKWLGLAEYAAGNWDAAIRAEEKNIAIRAGDGWAFLYLVLAS AHWRRGDPEKAREWYAKAASRIAAGGSLADTPPWLVDEASSLLGEAKIVEPRRPAPPG GPPKPAP OJF2_RS36790 MDDVTRVLSAIGRGDPGAAECLLPLVYDELRKLAAHKLGREKPG QTLQATALVHDAYLRLVGSADPGWDGRGHFFAAAAEAMRRILVENARRKAAIKAGGGR QRLDLAAVDLAVEGPKLDLLALDEALAALEARDPRKAQLVKLRYFAGLSVDEAAAALG ISPSTADNDWAYARSWLRLAMLGDEANGAAG OJF2_RS36795 MSMTDKGPLRYRLIDGVATPVLPEGRRSPSRMVRRGTAIACWAY AATLLGAWAIARLSPASSWPVHLLLYGPAWVAALPAVVLIPLVAWLRRPWSAAAVGLG LVGFVGVSGFNVPWGTPLASPPRPGEPLRVLTCNVQGKDLKADVLATLIREARPDVIC LQECTLSDPIATLGLEGWQARTAGEHCLASRFPIEEFAELRRPDKSYRIIAVRARLVR PGGDVPIASVHLLTPRRGLEPLVETKVTGLAAFREIAATQSYESELLRRWVERAPESL VLAGDFNLTAEQPLFRRDWSSYRDAFAWTGWGLGHTMFTRLIGLRIDHVLCGSRWLPR SCAVGPDVGSAHRPVVVELAPVP OJF2_RS36800 MNTVDTRRMRRRRLAGTMLPSLVAACMSAGTVAGSTAVGLGSPA GGMATMAIEGLSGAADAPATSRPNRIPPREPQADPLLPGSWVVILDPAASLRDGERRA PAEDALFFRIEKAEGDRLRVASWDGKKSGWVPRDVPVTPEKALDALDRRIQANPVDAA AIRDRGRVRSYGREWDPALADLGEAIRLAPGDPKGPAARADVWRMKGETDKAIADWDR AIQLDPGNARAHSSRGALREGRGQYDAAIADYTEAIRSDPGDYWSLTNRGTCYLRMGV LDKAAADQTAAITLKPDFAPAYTARGGIFQQRKDYERAIADFDEAVRLAPADPYPLTL RGDARFEKNEFEKAIEDYSRAISLKADSAYAFSRRGSAWSRKQDHAKAIADYTEAIRI EPGRSHHYFNRGTEREAAGDHEKAIADLSRFIELEPRNPIGHAFRAWSRQQKHDWDEA IADLTEAIRLDPAKAFYRDLRGQAWSRRGKHAQAIADFDEVIRLDPTDASLYVNRGLE WLKDEQYDRAIADFTRSTEVDPKSTMGFRLRGGVHHIRGEYAQEADDYLALIRRDPDS ALGHRSLAWLLATCPDAKVRDGRRAVAEATRACELEQWKSGSAIGALAAACAESGDFA AAIKHQKQAISLVEQFSEAGLEYTTRLSLYEIHRPFRD OJF2_RS36805 MRVAINGAGVAGPALAYWLSRGGHEVVLIEQAPHFRTGGYVIDF WGVGYTVAERMGILADVRAAGYSVGEVRFVDDRGRKVGGFFADVFRRITGDRFTSLPR GDLAATIYRAVEGRVETIFADSIAALREREADILASFERGEPRAFDLVIGADGLHSAV RRIAFGPEGRFETQLGYRVAAFEVEGYRPRDELSYVCYARPGRQVGRFALRGDRTMFL LVFAAEHATGPEPHDAWGRKALLRRVFGEDGWECPQILGAMDGVDDIYYDRVSQIRMD AWSRGRVALIGDAAACVSLLAGEGTGLALAEAYVLAGELNRTPGDHRGAFRRYESRLR PFIEGKQESARKFAPAFAPRTRPGIWFRNQVTRVMAIPPVARLFLARALRDDLELPDY ETPAHPE OJF2_RS36810 MSAPTTPGRSRRPISSGPSSASRSESWASASIASLTSVCSDDLN SIQFPEARMIGPFILGGLDGYPFVGKTGIGAFSHHVPEGGAALMFVGPHVGITDDGQV GKVVRPGQTRPSDCCGAASAALRKLEAGRITPKEPACYDPDDYQQEALEQLVLRHAGE ILGPGKPDEARRFVRMTEVIYREAEAAFFRLLKTVDFEAPAFAFGGILINRDGDAGAS IALHRAARVDNKQLVDMTAEFTEWSEAKFKEIEAGKADALR OJF2_RS36815 MRRSLTALALAGLAGSFLTAATARGADDDFCKDGDGCCQRKASK PALRLGAVAYAPGSVTVFEGLRRYLGKRGLEVDYVLYSNYDALVDALLRKQVDVAWNT PLAHAQFHRKAGNASKTLVMRDVDCDVRSALVVRDDSGVRSLDGLKGKTLIMGSRDAA ESTVLPAYYLRQAGLDLGSGAVKVHSLDGEVDLRGNPCSSESHVLKALKDGKGQAGII GERMWNDLAKNHPDQASGLTCLWITPAFSHCVFTAAKDFDPALAARFTELMLAMDPAD EAAAEPMRLEGTRKWVAGSPDGFKDLLKALDSDGNAASPAAPAAADRR OJF2_RS36820 MVAQRGVKAAGAGPPIRYEALGECLRKAAEKAAELRASVHMPRI GCGLAGGDWARVGPLIEAAMVARGLEVTVYDPG OJF2_RS36825 MSVTEETIEAILDPNGEIRLTQRPQLPPGPVRVTIRTAEPGAAT RHGLADVIRDIAAGQRSRGFAGRSPADLLAEDAASLDEDAERDRELDAARREAPAEGA OJF2_RS36830 MLYYLDTVIVIYAVEGDPSDQQRALNHLAMLEQAGHRFAISDLS RTECLAPVLGPGEGQRCSDFFRFFHGPNVRSLGLTSATYDRAAAIRGGHTYPATPPAM PRRYGLADALHLAVAIEAGCDAFLTNDHQLSSFLDIAVEVLP OJF2_RS36835 MTSQKSLRTQWNNLLVASLALGLASSTARAAEPTENVAPAVPAR VEFNRDVRPILSDSCFLCHGPDKGRRKGDLRLDLRDEAIKAEALVPGKPDESALVERI LSDDPGEIMPPPKSNKTLDARQKEILKRWVQQGAEYQKHWSYEKPVKAEVPAGRNAVD VLVRRRLAEVGLKASPEADRRTLIRRLSSDLTGLPPSPEEVKAFVEDTSPGAYERLVD RLMASPHYGERMAIGWLDVVRFADTIGYHSDNPRNVWPYRDWVIRSFNDNKPFDRFTI EQVAGDLLPDASTETRVGSAFNRLLLTTEEGGAQPKDYEARMLTDRVRAVGAAWLGQT TGCAQCHDHKFDPITMRDFYSLGAFFADIREPIIGHREDGMAVASAEDQKTLAKLDAA VAAAKERLEASAPQLDLAQAQWEADLSRYGVTLPELARDAKATPAEKAAARAVQAAIK KDAKARASKEREAVRSYFRSKATPLFAAEREAVAHAERERQAFVDGLPKCLVSVRSAS PRTVRILPRGDWMNETGEVVKPALPGYLPQPRDAGRDLTRLDLARWLVSRENPLTART VANRIWKQFFGEGLSRRLDDLGAQGEPPANPALLDWLACEFMDSGWDVKHIVRTIVTS ETYRQTSVATPELLAADPLNRELARQGAFRVDAELVRDNALAISGLLAATIGGPSVKP YQPAGYWENLNFPPREYEADRGDAQHRRGLYTWWQRTFLHPSLLAFDAPSREECCAER NRSNIPQQALVLLNDPTYVEAARAFAARVLRECSGRPEDRLNRAWQLALQRVPESSEA EVARKLLETHLAEYRSNPAAARALISTGDAPVPGDLDAAELAAWTHVARVLLNLHETV TRS OJF2_RS36840 MTTHLDPAGTSVHRRAFLGRATQGVGAVALASLLDPMLLRAGDV PPRGPSAPAPPRKAKRVIWLTMAGGPSQFETFDPKPALGRMDGRPMPDSFTRGQQLAQ LQGQQLVCLAPMFGFRRCGRNGTEVSELFPHIGSVIDEVCLVRSMTTEAINHDPAHMF MNTGSQIAGRPSMGAWVTYGLGSDAQDLPGFVVMISTGKGRSPQPIAARQWGSGFLPG RFQGVPVRGQGEAVHYLANPGGVTREQQRADVEAINALNARHDASVHDPEVAVRIAQY EMAFRMQAGVPELTDVRGESRGTLELYGCEPGDGSFASNCLLARRMAERGVRFIQLYH RDWDHHSLLREELPLRAREVDRACMALITDLKRRGMLDETLIVWTGEFGRTPMSQSNK GPVGRDHHNKAMSMWLAGAGIKPGIVHGATDELGYAAVDKACTVHDLHATMLHQLGID HESFRVKFQGLDAKLTGVEPASVIKDILV OJF2_RS36845 MRPLPYTALHRRAFLGRTSKGLGSVALASLLGPSGVRADASRGV LGTLPLPRKATRVIWLTMAGGPSHLETFDPKPTLARMHGKPMPESFTRGQMLAQLQGQ ELKCFGPQHPFKAFGKERTEICALFPHIGSVIDEVCLVRSMTTEAINHDPAHMFMNTG SQIAGRPSMGAWVTYGLGSDAQDLPGFVVLTSLGQGGQNQPIAARQWSSGFLPSRHQG VQLRAKGDPVLYLTDPPGVSREQQGADVAAINTLNAHHAASVHDPEVATRVAQYEMAF QMQASVPRLMDVAGESRSTLELYGCEPGDGSFASNCLLARRLAERGVRFIQLYHKDWD HHGGVKEGIALKAKEIDRACMALIVDLKRRGMLDDILVVWAGEFGRTPMSQGGDGRDH HNKAMSVWLAGAGVRGGMVYGASDELGYAAVDKVCTVHDLHATMLHQLGIDHETFRVK FQGLDAKLTGVEPANVIKGILS OJF2_RS36850 MPKAYPYVGPKEIAARASGSVPGTVFSSADDLAAWVQAAETSRG RVTAMFVVDAAGRLRLADRRSEHVACAGGSPVLAAGEITLSIRAGRVVAEGVSNQSTG YCPEPESWPAVSAALAAAGVEPPEGYSTELSFRRCPRCDQINVIKDQLLECAVCGSAL PMAWNLGPATEV OJF2_RS36855 MHDLHATMLHQLGIDHEAFRVKFQGLDAMLTGVEPANVIKGILA OJF2_RS36870 MGTEAPTPVVIPIHCAPKKAPCPKCGRSGRRKRTFTRRVRTVAY KAVAYLEVTGGEYRARCDCSTTFRNVPEGVLPRALYDNKVRDLVLRRILDDGMSVERT LESLRREYLLDLSTGFAYDVLHERARQLDLSEHRREVLARFSGTLCVDELHLGRSTLL LATDPLADLPVAFALVDANDRGHMRRFLRNLKNWGLAPEVVVTDGSNLYPAVLAELWP DADHQLCAFHVLKDINGLILDAVRRLRSAISRRGKAGRKKKRGRKGAKSKAAAARRGM TVKEKAHFVFRRRHLIVKRREDLSEAEREDLVRMLEYLPELATLRRFADRIYWLFDAP KDLHQASCRRGAIMRDAAFRAVPELAKALKQLDEEKFPKLMAYLARPVGRRVRTNNHV ERTNRMFRLLEKVRYKWRRRRTLVRFVILTLDRIWEERAAARSAPAAAPRETEPKAGG KTRKRGRAA OJF2_RS36875 MGQMRKRHSATFKAKVALEAARQQEMVSELAKEHQVHPVQISQC KRQLLDGIEALFEPGSASRRPNPDKLQAELYEKIGRLQMELAWVKKTRLLAERHRHFK QPDLVSWSCLPPSVRSLGEPRLGLTARRPAPPRSGPT OJF2_RS36880 MVQVAYNFDGDELYSARLAAQPAAEVIRESLADSPHPPLHYFLL SIWERAFGAGEVAARALSILCSAAFLAVAYCVLRRLMAPWPALGALGVLAVSPFFVYY GQQARCYSLIALLWAMNLLVFWRLLDAPMDRGRLLAWASTCALLSWAQYLAVLPVAVE IVFLLPGQSRRHQAVVLTSGVMALASVLPWLIAAMSTRIAMRRDPLSQIGWMDRPQVA DLAWFYIGIFGVAPGFQARWLLLALASLCATYYAIGFWRRPSRHAVVLTVMGVVVPAV VFALSFYGPKPVFAERQLIGAALAFVTLTGVWAMNQPRLAGIIMSCLFLWTAAAVPNA FPANSKPPWREVANRLDADYPGTPILAAEDWVAYPVSYYRRRGEVRRVQALPSEPALL LCRPTRDAGLVKGLTPLRTWAWGRASDNSYGLALYEVNADSR OJF2_RS40995 MSFEHLPERQARLAQDLYEELRAASDADIRAMAELLATKPDDEL FGEAEFQLRDMVHRVGAKALQAAAMQRKKGGM OJF2_RS36890 MRRYELSDAQYAEVKPLLPDPRHHGKGGRPWLPHRAMVDGILWI LKTGAPWRDLPERYGKWNSVYARFNRWRRDGTWSRILSKTLDRRDARGEIDHDLWCID GTVVRAARCAGGARRRNRRRPRLGGSAATRLDEPEDHALGYSRGGFGTKVHLLCDGRG TVLGIYATPGQRHESRAFEPTMRRVYLPGRRGRPRWPRRLAGDKGYSYPGIWRWLSRR RIGRVIPTRKDQPRAADFDKDTYRKRNIIERVVGWYKECRRLLTRLGKRLESGWEIP OJF2_RS36895 MSETSPAASAASPAEPHVIDVTTATFEQEVLERSMAVPVVIDFW AEWCGPCRSLTPVLKKLAREYEGKFVLAKVDIDANPEVAQSFGVRSIPAVFGVRGGQI LDGFVGVQPENTIRAFLGRMLPSEAETLAIEAAGVEDVDPAAAAEKYARALALQPDLA EAAIGLARVDLAAGRLGEASARVAELEKRGYLEPEAERLKAELALRSQAKSSGGVEAA RAALAANPKDLSLKFALAEALAAAGQYEDALALCLELVERDRRGVGEQARQTMVSIFQ LLPTGDPRTIEYQRQLSLVL OJF2_RS36900 MSGTMILGADELDPAARAQVDRIAKGDWQTRLAAITEMMREMSL QEDPQDMVRDYGARVRAMLPGDLWLSLSRRGLEFPRYRITRSSTWSEVIDPWKQKDRL PLLEGGLLAELIYADEPRLINDAAGLISPDDPAFEYLEGVRSLMAMPHFQKGDGLNMV VNMSKRPNAYDPEQFPDRFWISSLFGRATQSLVLSKELKEAYEIVDRELKVVADIQRS LLPQTLPNIPGLELAAHYQTSQWAGGDYYDFFELPDGRWGFLIADVSGHGTPAAVMMA ILHSLAHGVPGHPEPPSALLEHVNRRLAARYTTSNEVFVTAFYGIYDPATRVFAYSCA GHNPPQLKRCSQGKVDTLEEVGGPPLGVFDDLTYDRAEVTLRPGDVLVLYTDGITEAM NARSEQFGLDQLNGVLARCHLDAPGIRDAILEQLSKFTDGTPAHDDRTLLVAKVL OJF2_RS36905 MIQPYADPTAATIRIAPSILSADAARLGEQVAEAERAGADRIHV DVMDGHFVPNLTFGPGIVKWLRPVTRLPLEVHLMVERPDDVLDAFSEAGADTLIVHVE RSIHLNRTVQRIKGLGKRAGVAINPATPAVMIEEILPDLDLVLAMTVNPGFGGQSFLR GTLPKIRKLRSMIDAIGSPIDLEVDGGIDPETTPEVVAAGARVLVAGTSIYGSREGVA AAIRSLEQAAAVASR OJF2_RS36910 MRSRLGGAALAALTAVLLAPGEARAGCSHGQEARPIGPDRLSLL EDSGALVEEVDGVGTSPDRAGGRRGGCSGPSCSGKSGLPSVPASLLSPRFVQWAAWSV PPASPGRCGSPGVRDGCPMWTNPSSDAVFHPPRPSCVR OJF2_RS36915 MRIKSPRRGFTLIELLVVIAIIAVLIALLLPAVQSAREAARRIQ CTNNLKQIGLAMHNYHDSNNCFPWCGLTILNPTATSTVQWSPHARFLPYIEQKNLYDS VNFSFVWSDPQNSTVTATKVASFLCPSDPRQDIPTPGWAPTSYRGSQGNSLVYGYNDS DPTGVNKTMPAPNGIFFSSMLVRIASITDGTSNTGAFSEHCIGDFDNNVVTELGDTFW PQTYPATPDEAVSQCNAIDIKNLSYQRVSAVGGPWTQYYHSVSTYSHTGRPNARSCMF PPLRIMTNANSVHPGGVNLCMADGSVRFIKSTVNVEAWRAIGSRNGGEVISADSL OJF2_RS36920 MATSLCVSLAVAAGCDAGGGPGRGSVDPEAAKRLLVEVLDAWKA GGKPEDLARKSPPVTVGEEEWQAGSQLVSYELAPGERAVGSALSCVVELSVKGKDGKA ARRRVSYDVTTAPPSVFRRD OJF2_RS36925 MITRSESNAVIAALFALAATPAAAALADDGPPEVPATRPELKAF LEASKRNAPRLPMPPLSEADREKMAGASWSSADWSVYNNGRMRKHYLPAELADVSVLR GDDPAMTLGNRFQTMLFWIVSRANNCTYCMGHQESKLAAAGMVDDEIAELDGDWSAFT PRDRAAFGLARKLTYEPHRMARADIDALRPHFNDAQILEVVNAVANFNSMNRWTGALR IPQEEHRVYLTPTAAKFRELVSRQAPLDREARRPGRACAKPAARPPLESRAEVEAALA SCRDREPRLPLASEDAARAAMKDESPGPVPAWIRLLAAFPKAGPARVKVHRASETRGV LDPLLRAQIAWIAARNDRAWYALGHARARLLALGQDDDAIYRLDRADLDNIPARRRAV YSLARKLTVDPALVADADVEAVRAQFPDREVAEIVFQVTEAAYFDRLTEAAGLPLEP OJF2_RS36930 MAHIHLPEGAPGIIGPMAAYPETQRPLNDLAEALLRGPSSLTPG ERETIAAYVSRGNECHFCCQSHAAAARAHLGPQKSLVDEVLADVAGSPASPKLKALLA IADKVRRDGRLVQAADVARARAEGADDKAIHDTVLIAAAFCMFNRYVEGLGTWAPQDP ADYVESGERLAHHGYAKFDFSHIRGEWAASTAGRGHGS OJF2_RS36935 MPSPFPGMNPYLERDIAWHDFHERFLIVGAGVLGAQVRPHYVVR VDDHHFVHELPDEPRQAAGRADPSPLPTGHTPAPPGGGAAVLDAPAQVRVPVIDVVRE SYLEILDRHSREVVTVVELLSPSNKRRRGADRAQYLVMRSRVLASASHLVEIDLLRGG EPMPGEDLPRCTYSVLVSRSEDRPAADYWPLGLADRLPVIPIPLRPPHSEASLDLQGL LDRVYDEAGYEYDIYDGPPTPPLTAEEAAWARPFLPADAE OJF2_RS36940 MKRAAVLAACLIGWIGPAAPAQEPGYRSPYGVEFTYPVAELIGD LERTERGDPRLEAEIPFAHWYSKRTLERWHSWGPEPRTYPVPRAVEGWPVERLRERVI ATALRFQGYAYQHHHIPDWDPPASWPWQKTCAGRDGKGVDCSNLTGFVYNQGFGLRLN TEVHHQSEEQAAREAGGRSHRLHRVELPDGYEDRLRTLRTGDLVFIRNRGGKISHVVI WVGPIGRSPDGVPLIIDSHGEDVRDSNGRPIPCGVQLRPFRENSWYNKSASHAVRVFR D OJF2_RS36950 MDLESVLLEVQSWPPEDRLRLIERVWDGLSDQAEEPELTEELKS LLDRRLAALDSDPENVLTWDDIRAYVRRPR OJF2_RS36955 MNRDPRQPEDDALAVNRRHFFSRTSLGLGSAALASLMGRSAIGG TEADVPPRTAVDPAYRGVLAAPHFAPRAKRVIYLFMSGGPSQLDLFDYKPLLNARNGQ DLPDSVRRGQRLTGMSGNQATLPLAGSIFKFARHGSSGAWVSDLLPETAKVVDDLCFV RSLYTEAINHDPAITFFQTGSQIAGRPSMGAWLSYGLGSANQNLPTFCVLISQRPVDQ PLYSRLWGNGFLPSVHQGVQFRAGAEPVLYLENPPGVSAAGRRKMLDRLRELHQAEYD AILDPAIQARIAQYEMAYRMQTSVPEVTSLAGEPDEVFELYGPDARRPGTFAANCLLA RRLAERDVRFIQLYHPGWDHHGGLPGGIRQLSKETDRGCAALVTDLKRRGMLDDTLVL WGGEFGRTNYSQGKLTPTDYGRDHHPRCFTAWAAGGGVKPGLVYGETDDFGYNIAADP VHVHDFHATILHLLGIDHERLTFKHQGRYYRLTDVAGRVVKPILA OJF2_RS36960 MQQAVATRLHASDRPLAASPRRRPLAMALAAVIAIAATAVAPAP AGAAEAPRDAKPNAGAKVDFNAQVRPILSDKCFHCHGPDAGRRKAGLRLDTKAGAFAA LESEGRAIVPGKPDESEMIARIRSEDEAERMPPKSLGRELSPEEAKTLERWIAQGAEW KEHWAFLPPADAATIAGEAPGATAQAGWARNGIDRLVLRRLEAEGLSPSPEATKERLI RRATFDLTGLPPTPAEVDDFLADARPDSYERLVDRLLASPRFGERMAVDWLDVARYAD TFGYQADVYRATWPWRDWVVKSFNDDMPFDRFITWQLAGDLLPDPTPEQVLATAFNRH HRQTNEGGSIEEEWRLEYVADRTITFGAAFLGLTLECARCHDHKYDPITQKDFYSLSA FFNSIDESGLYSHFTTAMPTPTMLLADEARRTAIAAAERKIAAAEAEVDRLGRSRNEA FEAWLRKAAGKGGSKTPPTLPDRIGAFPLDAIANGTAANLEDPSKPAKLAEGPELVPG KVGKALRLSGENGVTLPMGNFDRFEPFSVSLWLQTPDKKDRAVVLHRSMAWTDAGSRG YEVLIEDGRLAAHLVHFWPGNALGIRTKAELPIGRWVHVAMAYDGSSRAAGLRLYVDG RPADCEVVRDALTKNITGGGGDDIAIGQRFRDRGFKNGLVDDVSVFRRAITRVEAAQL HDPATLPGLLSRDPSSYTPDERRDLLAFYLSAEDPESRKALASLSATRKERSDLVDPV AEIMVMKELPRPRPTFVLKRGAYDAPGEPVARDTPAALPRFAPGWPRDRLGLARWLTD PKQPLTARVTINRWWQSIFGRGIVATPEDFGSQGQLPSHPELLDWLARTFVDSGWDVK GLWRLIVTSATYRQASEASPERLARDPDNVLLSRGPRLRLPAEMIRDNALAASGLLVG KLGGPPVKPFQPEGLWKEKANLEYVRDVGEGSHRRSLYTFWKRTSPPPSMLTFDATSR EVCAVKRLPTATPLQALVLLNDPQYVEAAKALAERAAREAGPDVADRAAFVFRSLAGR RPDGREAAVLAALYREQYDEFRSGRSDPAKLLAVGDAPPDASRDPAELAALTVLAQAV MNFDETVMKR OJF2_RS36965 MNRRDALKSGLAMGLMGEAARPPAAAGDAAADMTNRELEMLQLS TLLYYLRETNPDNGMVRDKTQPGAAVSIAAVGMALATIPVVVERKVLIREFAAKLARK RLQYLLECPQGPGPDASGYKGFFYHSLDIETGRRVWNRELSTIDSAFLFAGAPDGRHL FRPRHRPRGRGPALRRRALSTGRLGLGPRRRGDAEPRLIPGGGFTPHRWRGFDEGLLL DLLGLGSPTHPLPPESYRAYCNTYEWKALYGRELLYSGPLFTHQFSHLRIDFRGIRDD FMRTRDSDHSENSRRATFVQQEYAVHNPMGFAGYGKDCWGFTACDGPGPARRVVKGVE REFFDYIARGAPFGPDDGTVAPWVVVASLPFAPEIVIPTIRRFGEMELEMTNPYGFKP SFDVSFAVPESPTGRWVTPYQFGIDQGPVILMIENYRTGLFWKLMRRCPPACAAPASR AAGSSLGRRGRAGGGAGIPTPRRILGWIDQPSWRL OJF2_RS36970 MMAHRKSRDRAWSLKARKPVARPILEGLDERILLAVNPIVAENL LPGTPQDQWLVPGDGDPTIQGFTTDISVNHGQTVNFKVNDTAKAPYHIEIYRMGYYGG DGARLVTTIPATQVQDVVQPAPLTDPSTGMVDAGNWSVTASWAVPTTATSGIYFGDLV RDDTGGASMVYFVVRADESHSSLLFQTSDSTWEAYNYWGGNTLYYGNYSGTAGASMGA GRAYAVSYNRPLTLDGTSGGYGSYDSPLHGEFPMVYWLEENGYDVSYFTDVDSDRNGN LIQNHQAFLSVGHDEYWSAQQRANVQAALLSGVDLAFFSGNECYWKTRYSTSIDASAA PYRSLVCYKESWAGAPIDPLEPSTATSTWRDPQFASGGAGLPENALSGTMYMNDRTSN DLGVPLTVPSIYSGLRFWRNTSVANLQPGQTATLGQYIVGYEVDEDVDNGFRPAGLFD MSSTTFSTPSHVLDSSGTVVGPGTGNHSITLYRAASGALVFGAGTIQWDWGLANPLID GNGSTVVPAIQQATVNLLADMGVQPATLQSGLVAASMSTDTSAPVSTITSPTPGAIFQ NGTPVTITGTATDYGGGVVAAVEVSVDGGATWHRAAGLSNWSYTWSPNQNASVTIKTR AVDDSGNLETPSAGVTVSVQGPISIWSNSTVPGTPSQSDPNSVELGVKFRSDVAGFID GLRFYKGSGNTGTHVGSLWTSSGTLLAQATFTSETASGWQQVLFSTPIAISANTTYVA AYLAPAGHYASDDGHFANKSVSNGPLHALADGTDGGNGVYVYSSTSAFPVDTYKSENY YVDVVFDTNSVDTTPPTVTGQTPAPGVTGVSMTTSVTATFSEPVTPGSIVFTLSGPGG AVAATLSYNAASNVATLTPGAALSPSTTYTAAVSGAMDAAGNVMTPTSWSFTTAATSS NNNVSIWGSSVTPSVASYPDPNPYELGVKFRSSLNGYITALRYYKGPGNTGTHVGHLW SSAGTLLATATFVNETSTGWQQVNLSQPVAIVANTTYVASYHTDSGGYAVDVGYFASG GASNGPLAALANGVDGGNGIFASGGTAFPSSTYNSNNYWVDVVFSQTLGLTSTSTALA ASPATSTYGQSVTFTAAVSPASGSGTPTGTVTFMDGTTTLGTGALNAAGVASFAIGTL AAGMHSVTAVYGGDANYSGGTSTAVSQVVNQSATTTALTSSANPSAFGQSVTFTATVG AVAPGAGTPTGAVTFLDGATTLGTGALNAAGVAAFSISTLAAGTHSITAVYGGDGNFA NSTATAVSQQVNQVAITATSTALAASPATSTYGQSVTFTAAVSPASGSGTPTGTVTFM DGTTTLGTGALNAAGVASFAIGTLAAGMHSVTAVYGGDGNFGVSTSAAVGQQVNPAST SITLSTAPRPSTYGQLVTFTATVGVISPGAGVPTGTLTFMDGGSTLGTGTLVGGTVSF TTAALAAGTHTVTAVYGGDGNFANSTSSSVSQVVNRSATTTALTSSASPSAFGQSVTF TATVGAVAPGAGTPTGTVTFMDGSTTLGTGTLNSTGVTTFTTTAALTVASHSIKAVYG GDVNFTTSTSATLSQRVNKAATTTVVVSSLSPSVFGQSVTFTATVGVVSPGAGTPTGT VTFKDGSTTLGTGTLSASGVATFTISTLAAGTHSIKATYGGDAAFATSTSVTLSQKVN KETTTTTLSSSANPSLVGQSVTFTATVTFADPLPGTPTGTVTFKDGSKTLGTGTLSAS GVATFTISTLAAGTHSIKATYGGDAAFATSTSVTLSQKVNRALLVAGGPAPVAAASVA PLTQPILAPIVAEAISRWRAAGADAKSLAALGKVDVQIADLGGPLLGMAGDGVITIDQ DAAGYGWFVDATPADDSEFKAEAEGPAQSHVDLLSVVAHELGHELGLDHDSGEDVMAP TLPVGVRRVPAAIPIARGTGAAPAATFAPITAPVPLVSAPGPRTQSVQAPPVSIPVKP TVAVASTANPQPGPTAGPGNSTAVLAGVSSVRFVDGVSLGLRSEVDQTYRVQTPAVDP QSLADGAFRITANKFVPGGTPSKRFGFRTS OJF2_RS39730 MGDGDGDESTGQRPVGGWLYHTGGTIVVMLDGPITFIEDSVDST T OJF2_RS36975 MLRFAFAAALLGATLGVGRTSGAAERPNILLILADDMGYSDLGC YGGEIRTPAIDGLAAGGVRLTQFYNGSRCCPTRASLLTGLYAHQAGVGDMTADEGPNR PGYRGHLNDRCVTIPEVLRGAGYRTLMVGKWHLGPNPGPIRRGFDEFYGMIGGFNSFW QEEPFYTRLPAVRPRRAYPRGGFYSTDAFADYAIDFLAEARRDPSRPWFLYLAFNAPH FPLHAPKEEIDRYAPTYEKGWDAIRDERLARMKRLGLVPEDTPLPPRSDWVHPFHHRE GVNPPWDSLPADRRADLARRMAIYAAMVEHMDRSVGRVVASLKEAGQLDNTLILFLSD NGACAEWDPLGFDVDTGPKTTNELHAGAGLAAMGSPGTYHSYGSGWANACNTPWRLYK HDDHEGGISTPLIVHWPAGLKARGELNRTHVGHIIDLLPTFAEVAGATCPAEVGGRPI PPPEGRSLLPALRGEPQVPRPLFWEHEGNRAVREAGWKLVARKGRRWELYDIEADRVE FHDLAPREPARVQSMAAAWDAWAVRCHVLRTP OJF2_RS36980 MAGRTKSPQSVDSRVAEAIRQRGRGSVFVPTDFLDVGGREAVDV VLHRLVRRGMIRRLARGVYDFPKEHPVLGPLAPPAEAVARALAGRDRTRIQPTGAYAA NALGLSEQVPAKAVFLTDGPTRTVKIGPTTIQLRRTTPRNMEAAGRLSGLLIQALREL GRDHVTSARLEHLKRTIPAEERRRLLEDLRLAPTWMHPIFRELAGGAP OJF2_RS36985 MRLGFLSLPEDERRLYIEQAASLRGLSPVILEKDFWVCWLLAIL FGSELASGLVFKGGTSLSKVFGVIDRFSEDIDLSLSPTLIHLPEPGKTRNQANKWMAR AEDACGADVQARIRPALEAIVAGVLGHNDRRWLEFLTDPGTHSPVLLFHYPSTQPAGF EYLRRAVKLEFGSLTEQQPVGRHPVRPWIAEVLPVAFPDWSCDVVALELERSFWEKAT ILHAEYYRPPGKPTPDRFSRHYADTAALARHPFASRAVDQHELRSRVVRWKSLFFGSS WANYDEAKPGTFRLVPPPERLPMLRRDYQAMRDMYLSEPAGFDDILATLAGLEARINA SG OJF2_RS36990 MNTPGTDRPKLADATLSLHAGEGVRNVGEPLNAPPAFSTSFYSH PDAIGFSANDLSPDAPQFYTRWGNPTLDLLERRLAALEQGEAAASFASGMAAITALLF HRLKAGDHLVLSDVCYAGVAELAHDTLPRYGIEVSRVDASRPEAVAAAMRPNTRHVHV ETPANPILRLADVAAIARIAHDGGAELSVDSTIGTPLATKPLLLGADYVVHSLTKYLC GHGDALGGAVIGRGDAMAGLRQSNLIHLGGCLAPFSAWLILRGLETLAPRMALHEANA RRVEAFLADHPRVRSVLWPGSPRHPQHDLAARQMRNFSGLLAFSVKDGEGPALARRMA DRLKVFSYAVSLGKAKSLIFYIPTADILRSSFRLEGPDAESYREWAGEGVFRVSVGLE DADDLIADLDAALGA OJF2_RS36995 MNDDGPQGTPRAVLDALRFYERAVTDRDNGSVGLFAWELDGSPL YLVRCTTDGSDGFLEVYDRDGSALGFARTYESCPVWTSRGVVRRRAFVGDHDEVDDQL ADAAKRFAGAGP OJF2_RS37000 MGRTRIGLRRRGFTLIEVLVVIGIIAVLVAILLPAVQAAREAAR RVRCANNLKQLGLAVLAYAEADGALPPAAATGPEWSNNFSMKARVLPFLEAAALFDSL NLSFFQESPQNATSLTTLVEAFLCPSDSNVPCGTYDVGGAGTRQVGYTSYPNNLGTTP TNHGGRYDGPAYFMGAGTVPSVAGLAPTVRLADVTDGTSSTTIWSEMVRGRNGSPSDG PNQVYAMPLPPPSKGSFVPLAAIAGACQAATTPAGFDHKGQIWGTDSAAQGGGYSHIM TPNRKACVFLGEAQPVEYSAVCVGAGSFHPGGVNVGFLDGSVRFVRDGVEPRAWWAVA TKSGGEVVGEGGP OJF2_RS37005 MIYKAICYAVLIFGGSLSGAFCGVFEGPIYIVMSADQLALIGAC LGGTFALIGCRLAIERAEAAERNRQLFRALEARLSRVESDSVRRPA OJF2_RS37010 MNEHASTGPPSRRDFLMQLGGGLGGIALAPILAGDSLGAGRREL DAGLHHPAKARRIIQLFMNGGASPMDTFDYKPELGRLHGRMIGPKEKPEGFTAPAGAV MKSPFAFKQHGESGRWVSSVFPHQAKWVDGMAFLMAMSSKTNVHGPGSYMMNTGFTLP GFPCMGAWISYALGSLADELPAFVVLPDPRGLPYNQKGNFSAGFLPAKHQGTVINASS PRPVPDLFASARYPFAAGGADRDGLALLRAMNRAHADARPGDTRLEARIAACELAARM QLSAPEAFDLSREGPAVRRGYGLDDPVTEDFGRRCLLARRLIERGTRVVQVWSGPQGA ANNWDNHASIATELPPIAASVDRPIAGLLADLTARGLMDDTLVVWTTEFGRTPFGQGS LGRDHNGGSFVTWLAGAGIRSGASHGRSDDLGYRAAEGQAYCYDLHATILHLMGIDHA RLTFRNAGIDRRLTDVHGRVIREVLAA OJF2_RS37015 MTSPARHLRSWSGPCVGLLLLGAGGGDASAAAGADDAAATAFFE ARIRPVLIDRCDRCHGPGLPAPKGGLRVDSREGLLRGGNSGPAVVPGRPDESPLLQAI ARTGEVSPMPPKEPLPAAVVADFRTWIARGAADPRRGPAAVAKDPAEAGASRNPGEWW SLRPLRRPDVPPAPGMDASHARNPIDRFVLAKLREKGLAPQPEADRLTIIRRLAFDLT GLPPSPEEAGAFARDPDPLAYEKLVDRLLASPAYGERWARHWLDVIHFADTHGFEHDV FRPNAWRYRDYVIDSLNRDTPWPRFIREQLAADVFYPDEPRLTAALGFLGAGPFDYSA AQTAPMSFENLDRDDLVTQTMAAFASATVNCARCHSHKFDPIPQEDYYALQAVFAGVG KGDLSYDPDAEVHRRRGHARALLAAAEAGRADVLLSGENQAVVARWERSRAASPAWEP LEAEVFLAAEGSALRRRPDGSLAAEGTRPDKDTYTVTTSTAPREIGALRLDVLADDSL PSKGPGRADNGNLHLSEIEVLVFRPGGREPERPRIRHASADWNQADWDIARAIDGDPD TAWGIFPQVGRPHHAVFELERKLTLEPGARLVVVLKQLHGRGHLIGRFRLSATVGSGD EAGVLNAEVEEALRVEPARRTAAQRLALAAAVLRAEAERELASLPAPVKVYAAGRAVE VQGKATAIDRPRVIRVLKRGDLNAPGAEVAPGSLSVVASLRSRFEGLAPEDEGARRAA LADWLADPRNPLTWRSVANRLWQGHFGTGLCDTPSDFGRMGGTPSHPELLDWLAAELR DGGGSLKPIHRLICTSAAYRRSSASHAAAAAIDPDNRLLWRMNRRRLDADAFRDAVLA ASGRLDRTAGGPGVAHFKTSPGPQITPVVDYTAFDWDAPGAGRRSIYRVVWRGIPDPL MDALDFPDAALLTPVRGFSASPLQALSLLNNDFVLRQSEHLADRAQAIGRTDAGRIAA AFRLVLLRDPSPEEAAAFAALAGQHSLAAACRVLLNSNEFLFVD OJF2_RS37020 MALTSPRFAPIQQCRDAANNSPSMKPGAKGPGVAAVQQGLIDLG FPMPISTRRFGVPDGIFGNETSTQVQAFQRRNKLEPDGKVGKDTMAKLDALLPLPHSA ASGLPFTVPGLRVVLAQPTSMVCWATVHAMMRSWKMQASLGIRDAAAAVDEKYGVMVD NNQGLPPSEFGMFIAAARMEVEPMMNLTISGWVNLLRVKGLIWVGTLNSIGPGAGLHS RIIEAMSGDGSVDGTNMHIIDPAGGRQYQENFRVFLAKYEGAFGQVTGDYFQIRHFR OJF2_RS37025 MAANDFTIGVEEEYQIINPETRELRPRVSRVLPKAQENLGDKVS NEFFQSQIEIGTPVCRTLAEVRAELARLRRGVVDAAEKVGSRIAAAGTHPFSTWEGQP ITPKPRYFELHTDFQQLAREQIIFGCHVHVGIADREEALQAMNRSRPWLAPLIALSAS SPFWLGAETGYASYRTQLFARWPMTGTPHPFASRAEYDECVADLVAAGMIPDASKIYW DARLSSHFDTLEFRIADVCPTIDEAVMIAGLCRALARTGVEQHRRGDPLDAPRPELLN AAKWRASRYGLDGELIDVHARKSVPARAMIDTLLTYLRPALEDSGDWDEVSTLVRETL ERGNSAKRQRWAFAQGGRFEDVLDLILAETVRGL OJF2_RS37030 MKILRTHHVAVIASDYERSKAFYTKALGLEIIREVHRAERRSYK LDLQLPDGTQIELFSFPDPPKRPSYPEACGLRHLAFEVEDIDEAVRDLQAKGVAVEPI RVDEHTGKRFTFFPDPDGLPLEIYER OJF2_RS37035 MGLIPAIEVMILISSASVLGLLAVVWGWIAWDRAIERRRERLKA SKRERYSLWHCPDCGRPFGPDVLWQSTSLTLSVAGRSATQPIEGDVICCPHCRLCNRF DEAGNATFEKGFFFDLYERKRESERWDDIMPHLACPLCRGGYRDWASVLHDEIDGYQG TTAIPMRCPHCAVDAKVIQREGKPQFVAIRRKGEGWEALDDARSTHPRSS OJF2_RS37040 MSVRRVLMACGLVTIGCSFAGERVHAQTLADTPAGPSLAPSVRP GLVPPGTTHWLGLVDSRTVELRPAGPWSMPAVEVLGVWPGSPAAVAGIEAGDVILAAD GRRTRTPDDLRRALAASDSLLELTIIDVRTGFSTPVSVRLVPAVPAPPVPWPQPGPWP QPAPTTVLGVLRKSPAGAKPAAGSRPPQSYQVLDVSGWTWTLDFQRRPDLKPIAERLI GRPVLATGHDRPRPGPGVPEARILVLTDLRPARGAGAMAEGRDGPRAGDR OJF2_RS37045 MPHLIDFYEDHAADRDKFEILAFHDATAKTFAELDPKMEPHIKG PWGGRPLPFPILMDSTGETVEKYGIRAFPTMLLIDPDGKLVGEATEEYLEKKLPPIPA SVRVPRSLDRNVTVYFQDPTLAQAMDIVAKAAKVPVKADPEALKAAGATLETKIPLQV AGMISTRSALELALDPLGLSFKPTDEGLLVIQKPRVAAGTALSKPQEACAKRIAERLK QKASFKLEGVTLQALAERFEQETMENFVLDPAGRMSGAIDPKTTVRGEARDEPLGAAL DRILGPLGLRLEARDEVVIIAPLRK OJF2_RS37050 MMDVHASVRTSARLAALGLLAALLPAAARAQAPPPVKVAGRVVD AAGKPARGADVASFWVMPRGDSRAQAMPIDGVKTAADGSFSIEVRTYGRDAALVVMDG DRKAGALAAVKGKGPDGPLAITLGPLTRVHGSFTCKELGRPPQWSIVYVSTASGARVL QDDSEKAEFDFPLPRGDYKLWAYGTDVADLRKDVKVEPGAKDLDLKSLDFEATIIARH KGKEPPALHVTDARGAKKDVKLSDYKGKWVLMEFWGFW OJF2_RS37055 MNSDATSRRRLRAARRRGGRALAIEPLEDRRLLSTILVEGAGRG QAPVVRVLDAATGEPSFSFLAYGRGMRAGVRVAVGDADGDGVQDIVTATGPGGPGLVR VFRSTDGMLEGSFAVSGGRRGGYWVAAGDVEGTGAADIVVAPGLGTPLIQVFRGAGGQ LVQSFQAGAGLPARAWKGAKVAVGDLDRDGLANIVATPGAGRPLVRVFGPGGAVVSSY KALPRAAAGGLSVAVGNVASAQRMDVVVAAAAGRSARVRVFQGTTSTVAADFKVAGPF AAGSGVQVAALGVQSRTGDEIALSSARSGARAPSAVVVSTQSLRLGAVFPSLASLLPS PSVAYRVPAARGGAFVAGSGTVANSTPAAAVANGLASATPASLTPLQRLAIYDPGSGK FLPVQQNDSRLVGKDITVLVHGWAPGYSDWVQYAAQQGTTLEWWQTFQKQDGYNPPAK NPGPASDWLLQGGPPNATAAAATGMAQSIGQDRTSGSGGPADPNAVVLAYSWIDDSAT PAWDFLGVKVPEDADISESRTTLNGERLAAGLELALGSASAASAANIQLVGHSHGSKV ATVAAVRLQQDGYPLKQLTLLDSPEDSDTAQGQAVVTLGAANFNWYFLQDLGLNRQSA SAPFVDAYASYFGYAYGGTTSNGTVTLSNSLNQVVDVSLYPDSLSETNIPDRHSYAAW WYTGSGEPSLNNGNASGVGQYWSPLLPANAGSSNPVPGLSPYYEQDWDILSHPADRQF VLDSEGSPPSQNFTFTSAGVGTVSLQQSSSVIQSQAVSFRAPLDGYAGISFDYAFPSY QPGDLLTILADGKEAFVMDPTVSGTGTQHATISLSAGLLERHTLTFILTSASANSTSA VTISNVNTFERNVF OJF2_RS37060 MNRWLAAIPFLFPVLVAAQEPAGPAKAAPQRLTPEMKAKTEAIA RALDGLAPEGFARKGVVERYTEANLYEKIDGRSELFQAYDVAGLAFVTFSRPDAPSRF IDIFLYDMATPLGAFGVYSVERPPGSKPVAIGDAAHRGGADLFFRKGRYYASILTSGP DEAVQKAATALAGTLADRLEGKAADLWGLAMLPAQGRIEDSVQYLMVDALGLDFLTNA FTARYRDGESTFTAFVARCKSEANAADVLARYRAHLEEFGSLSEPARIEGASVLLADM GGGDFDGACRVGNVLVGVTAVKGRDAAVKAMTFLLKGLKVPK OJF2_RS37065 MTAPDEMNRREFIKTTAVTTAAATATATAAVATSARAEDAPGDG LIHRNERAGMPYRKLGRTNFVCSRLVFGGGAALIGGKAVRLLNAAFDAGVNFYDLGSN AYYKGSERAFAPFLAANRGKIWVTSKAPLRPVDGYQPGKALTKEQGKFLADYWTGLLN ASLADLGTDYVDAYYLMGVGEPEVIRCEELHEAFLKAKQAGKVGHWGVSTHKRAQQVL EAMIETGWYDLAMIAITPAGWYDWDSKSLLPGTPAMVDLQPTLKRARDAGIGLVGMKA ARYLSSRGGKELEKAFDGHYSEKLMQSGLSAWQRSYAFVLAHGVDVVNSDMQNFAHFK ENLAAVQRSAELFATA OJF2_RS37070 MSRSTADAQKQSPLADLDDWESAHVADESGAPVAREGKKESEFR DYRKNVRAGVREFYRLQHTNQTLDFVLAKKREYLPRQRKVMGVWEAMEFLNTLVDDSD PDTDLSQIEHLMQTAEAIRADGHPRWFILTGLVHDLGKILCLFGEPQWAVVGDTFPVG CAYSEEIVFPEFFADNPDSKVAEYQTPCGIYREGCGLDEVHLCWGHDEYLYHVVKDYL PEEGLAMIRYHSFYAWHRAGAYTHLTNEKDRRLLEWVRKFNPYDLYSKGHTKPDVKAL TPFYRDLIAEYFPPQLAW OJF2_RS41365 MSMSEVDPTRPATGLSPAAILGDVQFWIPVVVLAGGLALLSILK OJF2_RS37075 MTIPSPEAEAAVRAPRSLHGVGVISGLAAGAWLGAAEAPTKLVA AGLSPFLVSLGMVAGVFVARWTVPVALRGTHSLARDLKARPHLIAWAILAGMLWAVAN TLTVFAIRDVGLSIAFPLWNTNSLIGLFWGWLLFNELRGSAPRDWAKVLGGAAAIVVG ACLLAYATQHSGDAGAVGEGGRTRGILAALGAGVMWGTMYIPYRKAYISGMNPLSFVT VFTVGELGTTLALALIYRGGPGGLRAELAGALPSLFWLFLGGFCWVLGDLFQQYAAKY IGIGRGIPLSNTNQLWGLAWGALVFGELAGLSTDARLLVVGGSLVMIAGAVAIGLAEA PASERASWRLAMERECRRYNLDPDEVAAAVAGEETPGAGPGRRPWWEFLIVAGAIGIF AWLGLHASAPAIAMDLRWMAVLAALSLVFLAVGGTVLWRRTRFS OJF2_RS37080 MAEDDDDLERQVLRLLDEGDELLGEGRSDEAIATYESAWQLLPE PRTEQPGALHVLAAIGDVRFHRSEFTAGRGVFMTAMRCAHGEPLGNPFLRLRLGQCLY ESGEMQEAANWLAGAFLTEGTKLFAEDDPKYLAFVKSQLEPPPGGWPEGW OJF2_RS37085 MTTFDVGICPDTLVIGAYEGAAIIGHPDIVNACLEPRADPMTAR ILTAFPEAAVLRVGLHSVVNLWGYAYFERGRLTRAFGGSADDGVVLDEGDLLDEERPY FERSVVRDGRRFFLADINGQMEDFDASSFGEELTFEIMGRFLGCRPDRTSEKIDPIEL PMESFEPVKSRRWWWPFLGG OJF2_RS37090 MFYAAARVTRTKNHPADVTDEQWKLIAPLLPKPRPGGRPRSADL RGVFNGVVYVVRGGVPWRMLPHDLPPWARVHFYYRRWRLDGTWDEVLEVLRTRLRHAD GRRKSPSAAVVDSQTVRTASGGERGYDAGKRTPGRKRHIIVDTMGLLLAVVVLSATVQ ERDGVKLMGERIKGRFPRLRLIWADAAHEAAVGWAKRLGGWILELVRKAPGQVSFEVL KRRWGVERTFAWMMRSRRLARDYERLTESNEAMVKVAAIHLMLKRSKPT OJF2_RS37095 MSLEIPSRDELYVRYVDQLPYEPYPVQDAALAAWFESEQGVLVC APTGTGKTLIAEAALFEALHTGKSAYYTTPLIALTEQKFQEMQDRAEEWGFRREDVGL VTGSRKVNPDAPVLIVVAEILLNRLLNRFDFSGVTACVMDEFHNFAEIDRGIVWELSL GMLPKHVRLLLLSATVGNAGEFVGWLARHHDRRIVVVEGNERRVPLTYEWVPDKFLNE QLVVMAAGDEEGPRTPALVFCFDREECWSVAENVMGKDLNLSDERKKELHDRVNAMDF SQGAGPKLKRLLHRGVGVHHAGLLPKYRLAVEDLFQRKLLPVVVCTETLAAGINLPAR SVVLSSLVKGPFGAKKLIGSSIAHQIFGRAGRPQFDDRGYVYALAHPDDVDILRWKAK YDAIPEDTRDPGLMKAKKALKKKRPKRRETEQYWVEGHFNQLKAAPPGKLYSKGPLPW RLLAYLLEVSPEVEGIRAAIRKRLMDDPRIAAGEKALDRMLLALRAGGFVTLTPEPPA PPTTPPDAAQPPTPWTPILAQPTPALGKLLAFRSIHPLYGAFLTEMLAGADADERMQA MESTLEMPGPVRKRLRVPLRLLEAGALATSRLDAELIQRGLMAAKVEEDEEEEDEDDW RNRPPAFAEKLRSYFDHCYPDVTDVQSHPIWCVGELIEYGGNFNKFVTTHDLTKQEGI VFRHVQRMIMLCGEFERAIALDVHTDPDAGSSALAWRAELHELAAKLTESCRVVDPTS TDQLIARIAEPDVIDAEAAKLAGGAT OJF2_RS37100 MLYYEVGDRTGELRNRRFDEIVTPGTRDTPYFAHRTTPMPRERL VLDRYRMEDLKASATSTDAARLVDVYVEGTSLDSRESFISVSGLSGCVGLLVLQRKGD SFVRGITAHFNGGYERMSSWRKVFNALYKHGYEQTPVQPMWHAVVVTSLVTLRGEQDR VLKSFGALLQANHIFDFNTLFYFRSRGAFLVRGDGLIGEPSGRYDFIT OJF2_RS37105 MEPPVSRRPWWQRLRLSVRALMVLTLVIGGLIGWFIRCATIQRE AVEAITAAGGSVRYDFQDDARPRLRNPSGTPSVPRWLVDLLGIDFFADVTTVSIQGPQ GDAILGHIGRLHRLRWLTARSTPLTDAGLAHLAGLSELRGLSCSGSPALTDAGLARLS GLRRLEALDVEGISGIKGPGLSHLAGLDRLRYLVFYTETDAGLPSLSQLAGLRKLYIG MPDVSDEALDQLSRLTRLDELGFGGEAGSNAGLARLGSLTNLQVLQVWGPWLTDAGLA RMPEMDRLRFFMVSASTSVTAGALNDLQRRMPSLRIGVNGPGRLRQAWDDFQKGAVVP ESIPPGKAEKEGV OJF2_RS37110 MARSTRRPRLRREDLPDAGAAFLMPLDDGRFGVCRVLRRPDAEE EARHGAPTVLAAASSWIGDEPPAIDDPRLRAVLILSHHAFAGRPEIQWVSERVPESFR PLGTIEPDDDERRMPCNVHGGWGSFPLQRLLQWRWDHDREAVAREDDAKKAAVAAANK DAPARRMAYLDGLTLAGLRKKRRLVGWRDGAPAAAIRACRAAFAEAIDALDALGPAAS RPARLRVLKRCVESLNRIDDAHGHFIETAAREELGEEIDEIAHVAGFRGVHDLAGRWR DW OJF2_RS37115 MGPPSAGPIPGQSWMGARVLRRLLRAGAIREAGAGYFLDEAAYA AYRSRRSRNTALIMAPVVAVAIVVIWWASTR OJF2_RS37120 MSAKAYRIGKAAFAGIFVLGGIGHFVATGPYMRIMPDYLPYHRE LVLLSGAFEVALGVMLLVPRTSRLAAWGLIALLVAVFPANVEMYRHADRFSVPAWALL ARLPLQALLIGWAYAYTKPRDATG OJF2_RS37125 MTVPEPQRPKRRVRYRGKNPRQFHEKYKEHRPDRYPDDVAKVIS GGRTPAGMHRPIMTAEILAVLAPRPGDVAVDCTLGYGGHATALLAAIQPGGRLLGIDA DPLEFPKTEARLHALGLPPGAVVLRRTNFAALPGFLADEAPEGADVVLADLGLSSMQI DDPARGFSFKSDGPLDMRMNPAKGRPASSLPSELGEAGLARIFAEHADEPHAREFAAA IQRAAKPPKTTHALAAIVREACERLRLPEDSADASVRRVFQALRIEVNDELGALASLL RALPGCLKPGGRVAVLSFHSGEDKRVKSAFKEGLRRGVFASIAPEVIRPSAEERRANP RSSSARLRYAVRA OJF2_RS37130 MFIFHRSRRQPVEIFDILIHEEGLPDAEERFGPALRWGRREERF HEVGHAILIHDELQTEYFLMAKEGLSEEDLGTLDVELLQLIGEGQDVQTFGYGPMRGT FEHDIVQSPVYSRPIEEGEMMSADGNGEPAE OJF2_RS37135 MTRAIETILWPLPAAVMMMCLSVVATAADPPASPFPDKKLEAAV REVLRHEPNVALTDDKLQNVYILEAPEKGIKDLTGLEKCRNLAQLKLTKNEVADLKPL KDLANLQWLDLAGNKVVDLAPLANLKGLQYLELSNNKVEDLKPLAGLTSLTSLYLDNN AVKDLGPASGLTKLWTLSAAGNRVRELKPLEAVTKLSVLNLQGNEVEDLGPLAKQTEL KYLMIGRNKVKDLKPLVDAAKADADGPKRFAPYLRLYLDGNPLAQPAGAKQVEALKAA GVRIEG OJF2_RS37140 MVDFLDAIRHLDPSLLVILAFALLIAFGFEVVNGFHDTANAVTT VIYTRTLRPMPAVFFSGLCNFLGVLLGGTAIAYSIVHLLPVELLVNATSRSAVVMVLS LLSAGLAWNLSTWYLGLPVSSSHTLIGSILGVGMANSLLNGQGPFGGVNWTKAGEVGL SLLISPLIGFASAAILLHVARRAAPDPELYEPPPEDPEARPPWWIRAVLVSTCGGVSL AHGSNDGQKGMGLIMLVLMGLLPTAFALNLKDADGARGTRQAAERLKVMLMAYRPEDR DRTIQDLDALIRDLDGKVSLREVPTDQRWRVHSTIFRLDRQLEGVGRSESTGSPATID RPRKELREAIEYVPMWVVVGVALCLGVGTTIGYKRIVVTVAEKIGKSHLTYAQGASAE VVAMATIGMADLGGMPVSTTQVLSSGVAGTMWANRSGVQGGTVRSILLAWLLTLPASM LLAAGLYLFGHLFVGA OJF2_RS37145 MPPPRVRFTMRQMMVIVAILAAVIGTVEGLRRRRESFNRRAELF AQKGSAAIMDEQNYRMSHRTNRRDSPFYYDNRTSAAYDRLVEHYDEMRTKYERAAARP WWFVEPDRPEPDWPKGVPKR OJF2_RS37150 MLLFRWETEHTPCTGITAVTRQERRVFGNRGVMIAPWQPRMAAA RAAVERGAVGEAGPEFAIAGPCARGARVRVLATREDAAMMPVVYLDVEGAFRGELNPY DTPDLPRDTLVFAAAVAELLERAGASARQFAWGADWQTVPALALLRGRHHAVLTLHNE FDAWLAAEAAEHGGGLFAAFRGRETALRVGLKLADVATTVNRGYARGLRTETIHTQVM ARHLQDLAWRIVPVENANFHATRAALVELERLIAKDPEAGLRALELSRLEARGMLPEP LRSWVGDKVLVVAMGRRVAQKLHEVVAEGVRRLLRERPGLPVFVVLATVAGEAADRAR LGRLEELAADFPGHVLATDGRLDYYDTLLRAADYNAMTSLYEPHGGAFEGAVVPIVRM IDGLAFQVNPFEAAGRAAQLAAAWHDPWDLPSGLGFREPASPTEVADLTAILTGEVGE DNATFRGMVGSFAEALGAAVDLRLHRPRDYARLVRGALHAQRGRDWLVHLGGILALVE EARLRRPL OJF2_RS37155 MRRLRFDDLTTKAMQASGGAPGEAPLHSCRRLVVGGGVLLLVLW MIVFLAFREWRTRYRERARFGAVEVAPAVDRFAEIGPPGIDPIAWREAVRDTRDMIRA VTGSNLLDLGQMKALRDELNAAASRSRAHPEAAAAELAGIWDAMADRAEFLLNPAPSG RRPPHPRPTILPPRPPPSSEQPRPGRYPQASIRRGGLGTDAGMAASGVSSLAVLPRGA FSSPTDLALLIEPQNGLDELLSDNEEPMTAGSLTWSHSGGDAAHVRTASGVPG OJF2_RS37160 MTITFEIPGDIEEQLWSSGADPNQTAKELLLIELYRQRRITHHR VAQALGLSRYEVDGLLKRYDVPLDLTLDELRAEALCLRGLR OJF2_RS37165 MLRTLLAGLDGTRASQGVLKLGIRWARRHEALLVGAVVIDEPGV HGPQEWVIGETGFMHRVNRKFLHDMTRRSEQILGAAALRCAEEGVAFKPLQDVGDPCD QITREAHRFDLVLLGRETHFQFGFGKIPDDTLASILRCSPRPVVVVPATFGGGKAAVI AFDGSLQASRALHAFEASGLARSHEVHVVSVAPDHGVAADHADRAVEFLAYHRIRAVP AVVASTDSPAAILLDRAKALDAGLIVMGVHGQSALREFFVGSATQTMLRELPVPLFVS H OJF2_RS37170 MSLLADDLQAGTPWHALPVDECLDRLRSARGGLTRVEAGRRLAE HGPNELRGARRASPWALLLGQFRNVLVVILLIAAALSVALGHGVEAIAIAVIVLFAAL LGFAQEYRAERGMEALREMAAPTATVVRDGEELEVPARDVVPGDVILLYAGDRIPADA RLIEAVNLRAEEAPLTGESTPVEKGDEPTPDGRASTSIGDRAGMVHAGTAVTYGRGRA VVAATGMDTEFGKVARMLQRVEPGPTPLQENLARLGRALARAAFGVVAVIVALGLLRG QPLVEMLVFGIALAVAVVPEALPAVVTISLAIGVQRMLRRNALIRRLPAVETLGSTSV IGSDKTGTLTRDEMTARRIAVAGRVIDVSGSGYEPVGAFSHRGREVAAPGALGRLLRA ATLASDAHVSRREGTDRWVVKGDPTEGALVVAAAKAGLHKADLDERYPRVGEVPFTSE TRRMITLHETPGGLLACAKGAPESLLGSCRLVATDDGEEPLGPEGRAAILEEARRMAA EALRVLAVADRRGATLEDAESDMTFLGLVGMIDPPRPEARPAIERCREAGIKVVMITG DHPLTAAAVARELGILRGGRVITGAELEAMGDEELARRVEGIEVYARVSPADKLRVVK ALQARGHVVAMTGDGVNDAPALKRAEIGVAMGVTGTDVTKEAAAMTLTDDNFASIVAA VEEGRGVFNNVKKYLMYLLSSNFGEIGLMAGAALLGLPLPLSAVMLLYVNLASDGLPA LALAVDPPEPDLMRHPPRDPRTGLFTRPVVVLMAVGAAWSAFVNLGVFAWAIRAGRPL AGGMTLTFATLVLTEFFKAFNFRSDRRSVLAQPFANGWLDLAIAWELALLAFVIEAPF LRGPFGTAPLGAGDWLLAVAAASSVTPVLEVAKWLERRGWFGRLD OJF2_RS37175 MSLFRKILVAADFSPGSRDAFDTACSLAGGDEARLTILHVRPEL EGRGPEEGREAAIDRLREAYVPDRPLAVEYLVRDGEPRDVLIAGAAERRPDLLVMGTH GRTGLGRLVMGSVAEEVLRRAACPVLIRRSRRGDDAGRPARRPARVILHPTDFSASSD AALRVARALARDQGAKLVLLHVLQVLAAAHIDVPPTVEDPEICRQTLQSMCEALDDQD LRQSVTPQLKLGQPISEILRAAEDDRCDLLVMGTHGRTGLGRLLMGSMAEEVLRRTTC PVLLVRAGLTTPAEAEHALAGGRAGTQGAAAPRPG OJF2_RS39735 MAEVKEAPRTRAEPPKGKSPATEIEHRPAASPGTWAEHPFAFMH RFAEEMDRLFDDFGLRVPRLVGRGRELLRREAGLIPAEWSPRIDVQRKDGSLVVRVDL PGLSKEDIKVEVADEQLTIRGERKQEEKGEHEGYSYTECSYGSFYRVIPLPEGVDAAK ASAEFHNGVLEVAMPAMPAKAPQARRLEVRDKS OJF2_RS37185 MDTELYINEQGRFQIASEELAGFVAYLRENAVPCDVQPAERVQA GGRSYGVIRLQHLYDTDTARGLYRTWRQAAAV OJF2_RS37190 MNTRTTLEEPAASPRAEHARSPAVIRVVEMVGVSTEGWEDAVRQ AVARASRTVRHLTGIDVVRRSGVVRDGRIAEYHAAVKAAFIVEPAGAAGGGATSGAAA TPADDNFGIWGSASDIQ OJF2_RS37195 MATKKTHADGGAVVRVAEMVGTSTEGWEDAAQRAVARASETIRH VTGLDLIRSTAVVKDGRIAEYHATVKVAFVVEPAAIES OJF2_RS37200 MNAAIHAPFEGTIRTTSTWLSELTEGLEWDDPHRAYRALRSVLH ALRDRLTVAEAVDLGAQLPMLIRGLYYEGWTPNHKPVRERRREDFLAHIAAAFRDDPE VSPEGVAWAVFEVLQRHVSAGEIGDVKAILPAEIRTLWPRTEAHRGS OJF2_RS37205 MSTEPARTRSEGTVLEILLSPLRAVERTRGWRRLGLLLLYAAVA VPILAILWRRSQLNGLPDVGDTFDLPGPRPAAGVPDDRNAFVPYGRAAEHFRDMSPAE GRSFSQANLRWSRADATLRGWVAEHREAISLLRAGSGRPEAYLERPGLASGPSDRAAG QEVIRRLSWIGNAAIFEAGRLRAEGDPAGAWAVLKAVVRVSRDMERALPTAWCRTTAI ILVQFAREPVAEWAGDGSVGIPLLRQALDDLAAIEPLTPPLSHFYRGEYEAADESMED LSPRIAARAQPRSDAGTFDPSAFAPGLAAFLRGEPERSRRVLRLLAANDLAWCDRPII DRPAWAVPRLRIYEPNPSAPPASRALPPGELARWAESALIDPAPPWRTGDIEKGERTD RWSLGQLKEAVAVPLFTRETGRPPASPAEALRHYDPIPGDAPDRDEARPLPEGRDEGP LR OJF2_RS37210 MSGAGTIGAWFLLLACGWLAGTISGAAGFGGALILLPVLAFAVG GKAAVPMLTVAQLLGNLSRAGFGRREIRWRPAGLFCLGAVPASVAGARLFVGLESAVV LRFVGGLLLLVVALRHTRLGRRKLPVGLLVPVGAVVGLVSAVAGSAGPLGAAAFLGLG LPPQGYVASEAATAVAMHATKSLVYGRYGALTLEDGLRGLLLGGSLVLGSWTGRKVLD RLPERWFGILVEVLLLVSAVALLRG OJF2_RS37215 MPSKRSIQAEATCCPPGGPCSGRVPLGERPLLSFVDAVKVMALF KVLANDTRIRILHHIIRSGEATVTDIARTLGMKPQAVSNQLVRLSDTRMLASRREGNN VYYRVQNGCVAPLLDLALCLMEDERRPEWSDGG OJF2_RS37220 MSKAIEDAVREKYGAIGASSLSNEHAGVRGVAEAFGYTAEELAS IPAEANMGLSCGNPTATANLRPGEVVVDLGSGGGLDVFLAARKVGPTGRAIGIDMTPE MLARARASAERQGLSNVEFLESTIDRLPLPDASVDCVISNCVINLAPDKQAVLREIAR VLKPGGRVAVSDIALRKPLPPEIGNDLLAYVGCIAGAIPIEDYVAGLKAAGFTAVEVV DTRRDLNAYAKVENQAGCCSPPADSSAPRLPVVSGSCCSNESGTAVHGGLAELLRKYD VNEFASSVQVFAVKP OJF2_RS37225 MTPDVFHTLSGPGDPLDPADIARAPGAASPESRARLAPSLRRHA EYLTTTFDMIDEPHREAGGRLARWLASSYEPGKPLHVVVVCTGNSRRSILGSSMGNLA AAYCGMPEIRFHSGGTAPTAFNARTASALRAIGFAVEPTGAEAPRGGPETANPIYRVS WGEGLEATEFSKHYSDRANPQGGFAALMVCGEADDGCPFVKGATLRLSMPYLDPKIYD DGAYEAAKYAERRDDIGRLMLWAVVQARGELASKAPAAG OJF2_RS37230 MFGSIRRNGPLLAFPLLLSWGAITGYPGSLLADPPRGQAAGERP GFVVVDEETSQPIRRASVRIVDPFDDDDETESFSDARGRAVLLREFALRRVLNEVTDG KRFKVHGWRVKVSADGYEPSTTPLLEHMGEVIDLGAPKVTQPVVRLRRRQAGDQGQGP AAGTYVAREGYIGLTLVLHGDRFDALRSCPKICSEHTPWFETKHGVVARSRGALKLRV QGQELLPVRDGKEETWLPTDLVSVRWGRREYLIGEGELLAFCNAVNQGEEPRDSEYGF FLLGVGQEDAAVSGLPDVPATFGQYLLKEPINGVVTELLPDLRARVNVGRKQGLRAGM ELVPTEKPDFSDMAIVHVEEGESVVKTKYPNGTYREIRVGDLVSTRRPPSRTTTPPAP OJF2_RS37235 MAASAEASPAMRPGASPGDASVAARRSGGKGERWGVSLVHSRTF RITNWHSEEFGSHYEVEPDEEGDYDFFCTIWSEPKRHCERFGVMPEV OJF2_RS37240 MPAPARQTQSYLRGLFARHGISPRHHLGQNFLIDLNIHELIVDS AELAAGDVVLEVGTGAGALTALMGSRGATVVAVEVDPGMAALTREAVADMPAVRVIHS DALANKNTLSPALIEAVEEAMARGGAARPGDGGRAPASSGGTSPSPLVGEGRGEVAAR AVDEGRARGAEEARPSEVPHPGGGGRPLSLALPHGGGRGQEPGSEGRGEGVGEPPTSQ APLCPLKLVANLPYSVATPVISNLLVSPRLSPFLLVVTIQRELADRMLAAPSTPGYGS LAILVQALADVELVRVLQPQVFWPRPKVESAVVRIRPSAERRASIDVPWFHDVVRKAF LHRRKNLRHVLAGMWPDRWTKPDVDAFLAPLSIEGSLRAEALTVPQFLALAAALKGRW STAPAVGEEEWQGEDGEPSADEAPAGQ OJF2_RS37250 MFTGLVEVLGRVERAADEGAGKRFTLSWPGLREPLPIGESVAVN GCCLTVVAADGEAFDVQAGPETLLRTNLGARRPGDRVNLERSLRVGDRLGGHFVQGHV DATAVLKARRTEGEWEFLAFGLDPSWMRLLVPKGSIAVDGVSLTLVDVDPDGFSVMLI PHTLAVTTLGLLRPGDAANIEADMLAKHVEKLLAGRGT OJF2_RS37255 MKPPLNRRDFLAQTAAGAAMATAATAATGTAMAGSSRLAKNALP ENPTQKVTLGKTGIQVSLVGMGTGSVGSNQASNQTRLGVQGFTKVVRHCLDRGVTFFD VADQYGSHTYLREALKGVPRDQYVIQTKTHATNVADAKSHLERYRMELGVDYIDIVLL HCMTKDGWPVDNRGSMEYLMQAKEEKLIRAHGTSCHGMAPLRTSAKNPFVEVDLARIN PEGLIMDDRKPDEVASVLEEMHTAGKGVIGMKILGEGRIRDPEKKDASLRYVLGLGTV DAFIIGFENTDQVDDLLKRTADAIAFLKG OJF2_RS41000 MGHSERGPGDLIGPSELHKVLPFEPAETSVPLRWGGLDVVHFRA TPAFEIDYAGQTHHGFTLFIRPPERFALRFDGVTRHRPPPAGSIILVPAGIPVQARSS GFNDVLHVFLEPGVVERAAAEAFGLDPARMRIPPLDGLQDPRFRAIMLAVRDELTAEG GGDRLAVESLGNLLAVHLLRQVVAPRRPERGPDGAMPRGRLRAVVEYIEDHLEAGTSL ERMAAAARLSPYHFARQFKAATGLPPHQFVILRRVERAKQLLHAGDLSLSEVAAQAGF SSQSALGHHFKRLVGVTPRQFRLSARIG OJF2_RS37265 MSIENCQNLVIGSGVGGKLLAWTLARRGERTVVVERSMVGGSCP NVACLPSKNVIYSAKAVSLVDPDTGLGVVTGPVRVDMAGVARRKRRMVDELVERHLDN FKASGVELVMGEARFTGPKTVRVEQNAGGVRSLRGERVFINVGTRAAIPDVPGLALAG PMTHVEALDLERLPERLVVLGGGYVGLEFAQAMRRFGSRVTIVQRGARILDREDPDVA NALRELMEDEGIEVLLRSELLAVEGVSGAGVRLRVRSGAGERTLEASDILVAAGRTPN TDQLDADRAGVELDARGYIRVNDRLQTSAPDVWATGECAGSPQFTHVGEDDFRVVLDN LAGGSRTTRGRLIPYCLFTDPELAHVGLNESEALAAGVSYRIARMPMAKVLRTYTLSQ PRGFMKALIGADDRILGFTAFGAEASETMAVAQTAMLGGLPYTALRDAIFTHPTAAEG LLGLFADPPVAPAP OJF2_RS37270 MTQRSDREATPPWDAARVNLTSRELAPGVFAVMPDDVLAKDHVG TTGGFVIGERGVLVVESMLNGDLASQLIGLVRQATTKPIRFLVNTSYHGDHAYGNYLF PESTVIIQHPATRRYMEENFEEDRRFMIGLMGRGKGIERVQKRTADVAVPGMLSVDLG GQTVEVHHFGFAQTPGDLVVWTPDARVLWVGNMIQAPAPALPWLLEGRHGETIATLAR VRDFLPDDATIIPGHGRPMRPGDIEFPLRYLRELDDAVRAAIDEGRSVEATLDLAAMH GYGDYSLFRWAHTTVNVPAAYRDLAGRGGGGAQRGEP OJF2_RS37275 MRKILAAAAAMALALPAHADDGAAVTVVPVTFPAPFLEAGAKAG DGPLDNVIEASAVEPIGDGRLALVAHDKKVPLRVVEVASGKQVGPPITSPAFPAETPK SSKWEGMAADADGNFYVVGSHSGKTDDERTQHEKLVRFRLKADTPGESPVAIDDASVM SWRIAGPLLRALQHEGLPAASLAERKIEGLTIREQAGPDGRARRELAVGLRQPDDLVR VFAAEITTPPSPDAELPLTRLFAFDPGRREGVRCQLTSLEYLPAWRGFLVVTATEDAA NAFHGNALWFVPDARIAAAGGEPAPIVADRLWTFEAAQKAEGLCILPTPPGAPGTARV LVTFDNDPHATHIPSRFQVVDLVRKP OJF2_RS37280 MRRFSTLLTTAALAAAASFPLSGCGSSSGEVNTATLPVKGVVTY KGKPLTSGVINFEPEAAGTEAHGAIGPDGSFTLTSYKDGDGAVAGPHRVAVSGTSKKD GVPAKYKNVSSSGVVVEVAEGKAEYRVDLP OJF2_RS37285 MHKSTAPLRRGGFTLIELLVVIAIIAVLIALLLPAVQSAREAAR RIQCTNNLKQIGLAFMNYESANSCFSPTTILVPAATGSPGTWAFESSWSAFARSAPFL EQGSFYNSINFNFTYSDPPNKVTIAMTPLSFLFCPSDPGPHIDDASMGNTGYGTTSYG TCDGDWYVWSVNWGATNSVGPMNRSMFGPNYARRISMVTDGLSNTIMASEGLIGHNQM RSCIKTPTPPSDSVTGTWTPTSVPAPGGPSVQALSEVIASCGTKTTSVKAGGPIGHTR WCNGGVYYSGVTTAMTPNPSVKASNNATGTVGYGSMVQMDWDSTDENDGGPTYMSLAA TSRHPGGVNVLFGDGSVRFVKDSVSAAAWRALGTIAGGEVVSADQY OJF2_RS37290 MRQLIDLLEWALLIAVLLAVVVFTPRLAAYVSASIPASHGAHLP GADAEPDAEVAATTAVDLSFAPDSSR OJF2_RS37295 MPPAPRVLLAEDNGDMREILARQLALMGLEVLGVANGRHAADIG LAALRAGNPFDLIFMDLEMPLVDGYEATRMLREGGHTGPILALTAHSFDEFLQDSLMV GCNDCLAKPIEWDLLATLVRKYLPHHPPPLMTLTPFD OJF2_RS37300 MNRPRMSRMPLIAAVGLLLPFASPLPAAATAAAQPPAPAADAKP AEGDQEARIRESVVKITATLRYPDILRPWTKQSPREASGTGVVIDGKRILTNAHMVLY ASQLFVESQQSSDKLAATVEAVSPGMDLAVIRLDDESFFEKRPPLTRVQALPEVKEAV VVYGYPQGGSSLSVTKGIVSRIEFVGYNEGASGVRIQVDAPINPGNSGGPALVDGKMI GLIFSKLTQADNIGYIIPGEEIELFLRDIKDGHYDGKPDMHDSLQTFENAALRGFLQV DRKTQGMIVHRPAEEKADYPLKTWDLITKIGDKEIDNVGMVKVKENLRLQFRYLIQSL AKDEAVPMTIVRKGKPEAIRLPVPRKWPMLIEPLQGKYPPYFIYGPLVFSSASRDLAA ALDRPGSPVGALLAMMGSPLATRRGDRQAFPGEELVLVTSPMFPHAIAKGYDNPFSKV VKEINGTKVKNLRHFVELIRDSKNKYTTISFDDRFSETIVFDHQEALKATDEILSDNG IRQQASDDLLSVWKKTN OJF2_RS37305 MLTVTSVALWISLVVPGQADSAAWKPLFNGKDLDGWKHVGPGKF VVEDGQLRTEGGMGLLYYEKEKLGDCVIRVVYKTKDRRSNSGLYIRIAEAPAEPWYAV HHGFEVQIADGGNGSRGTGSIYTFAESAAKPAEPGEWNTLEVTLRGTRVTTTLNGQPA ADFDSSTLKADAGDKEGPGDPARTPRAESGYIGLQNHDEGSVVTFKEVSVRPLGAK OJF2_RS37310 MSHPPGFRPERLLTFIQVPPFPGQFHRLKLTDEDLRGIEITILD DPRQGVVVAGTHGLRKMRFARRGSNAGKSGAYRIFYLHLEEYGTVFLWAIILKGEAEN LSAADREAIGRQVLRARQALERGVE OJF2_RS37315 MPDEKDLSPLAAEMVRGMSEFCDAVESGEPVAKRYTIRTVTLDL TGTPYTADDVKRVRRALNASQSLLARFLGVSVKTVRAWEQGSRPVPTIAARYMNDILR NPEIWTRRVRPVEAGGGPAPKT OJF2_RS37320 MRKPLHEQAVVITGASSGIGRQTALEFARRGASLTLAARNDAAL RALAEEIRHNGGKAQVVVTDVAEWDQVDRLAREAVAKYGRVDTWVNNAGVSVYAHFED LSIEEIDRVIQVDLMGQIYGAKAILPHMRKQGSGTIINVGSMVSKRSVPLQSIYSAAK HAVKGFTDALRIELEHERAGIQVTLILPGSINTPFFSNARSKMGYKAAPPNPVYQPEA VAEAIVHAAEHPLRDVFIGFAAKAADLIERVSPDLGDQLQLAGGYGFESQKSGEPDNG RDNLLGPVAGPGRTHGEHAGHASSWYTRVFELNPGLKYAAAGLAAVGTVGLVAALARR TARPSLPLPSLDGHGNGYGRALASGIDSGLRTIGVKS OJF2_RS37325 MLAQGFQGSPAPTLGVEIELQLVDAGTLELRGVEVQALAEGLPP GIAGSVRREFHACCVEVATGICRDVDEVRRDLKEKLRWVAGAAAARGLMLAWAGTHPF SHWKGQAVTDDPRYRALAESYRETLLRQLTFGLHVHVGVGSGDAAIRACDRIREFLPV LLALSANSPFWCGRATGLQSHRMEVMGSLPAAGIPPYLGTWDAFEALVGHLTASGLIG SAKDLWWDVRPSPSHGTVEVRICDMPLGLDAVLGLTALIQCLVHTLARGGSCRGDGEA RPGGEDEIRRARDLEHLTAATLQQDRWLAARHGLDAMLVNPRTAERTGARALARELID GLMPVAEELGGAEHLTRLRAKTRGANGATAQLNAFARTGSLLEVVRLTARADSSGHWH PSLSPLLGVQGLLDHTGASL OJF2_RS37330 MTWGPLVEPCPPAARAEALQVLYQRIPPAIRAKLVDEVLREAES GQVDLAGLWAAWERPWGLSAARAHAPAQGVDPPSAPRGRIVGAFLTQALAGRAAAVWA PEVLPSLRRTATAAALVRTALADLRRRGFRVIQAVLDESAQGRGAGDLIRGGMPRVTE LVYLERDTRIPLADPPPPRLAWRSFDPEQPDTFCRLLQATYIASLDMPELDGIRSLQE VIEGHRATGRFVPSRWRIGQVEGEPEAAALLLLADIPDREVWEVVYLGLTPPARGRGL GRAAIAQALELAAPHAPRLELAVDLRNTPATRLYASTGFVPFDRRSVHLVVFPPGAGG PP OJF2_RS37335 MRESVASGPLPDADGQVREPIHGGPGPMTPGVTRDLPTPSVPHA GSDSGLGLDGETNLSDAWLVERARHRDHAAFAVLVRRYERKLTRVLARLVRDPELARD LAQETFLRVYNRLERFDTARRFGPWLFRVGVNLGLDCLRRSRSEPPPPASIDRPRGDE RLPFELPDPDPRIRIEVAQEVHHVLGMMPVSYRTILVLRDLEGFSSSEVAAIVGRQEA TVRWRLSKAREKFREIWQRRQDEAGREARDG OJF2_RS37340 MAENDKGVIMGGSCCEWVRERLPLLVEDADGVAAEGWEADAADR ARVEEHLAGCAACRGRKSSLERAMSALGALAAEPWTDAGAAGEPASPSLLPGIEARIL RQRADARAWWRRLWRVACPDGVREAADRIAWRLREARDELPLQLAWRRDTLTEAIGRR ARIAAARLRGGLRAFEAAAGLRGRGVRGLDGLRPGFGMALGLAMAAGLATFALVDRAR TSAEVRIVAAAVPLPLPTRPSAVSEDVVTAAAALTNLRASTSLVEAGQTFPPEDLSVA SSGSRPAGTATAAATAATSSSPSARLDFDLDHGTPMPPEARGGKPAY OJF2_RS41370 MPPFDPSSTTRTAARIAAGGLLLAAMLGLVYSRGTRPWSSWPVL PGAADAAGRADSTGSRLPWPIGTAPGDASDAKPAGQAEPEDSPARSPSVAGKAAGRPG DGRRKGARERRADAEAAAGAEAAGLVDGREGKDGRADDSAALFATMERLERLIAAGMA RARESVVSLEYTSEGSRDSRRMACGVVINASGDLLSVRIDRPGAGPGGAAPAAAPASP PPPTAPEPIVARDVTGRRHLAHWVADDPESGLTLLQIPARSVPPIEIAPADPVLGGQV FVIGNAFGLGHTVSRGYIAGLDRALRLGARQLGGLIQVQAKLYPGDSGAAVTNQRGQL LGLIRSGLAPPAEDGNRPARDRPRDRDRDRDNDFGFAIAARDLLWVADQLRAHGHVDR AYLGVRLEHAPGAGAAPADPLAEGAALQEVLEGTPAALAGLRAGDLIVAIDGQPVRSP ADVNDRLDRLPSQALVRLEVVRGRGEERRLIAMEMRTSSRPDAGPRQAAGPPPASPSR PPEAENPGSPPTPVTHSAPSASNREDRAAGPPPIDVVPTSATPATNPPPAPDAKAAAK PPADGPTAAPPPVTGPDAPAPAAPVVAERLERAHLERKATAPRSATPPPRP OJF2_RS37350 MNIPVLSILAVIASPMATASGAEGKPAILRGLVTDEAHAPLAEA RILVAIPAADMRFIDAGTTRKLLKDAVDCRVLEARSDAGGNYAVEVPGLSGRTEVSID AMKPGYRRLSGTLMAGGDARKVGVTPGEPAEAAPLVLKPALYFRGVVVDEQGKPIPSV DINANAVVGGGAGGVELLTSRADGTFEVFNYPPKTDALGKQLGEGPIYFSHPDYLGSH VEDVYALDKTRGESLRVVLPAGRRLSGRVLDDAGKPIAGVMVEASRKDGDGRKATLTD ADGRFALRGLVAGISTVTARSLAVHQARSLPVALNGDRRDLELRLRPMALPAGMKSHA VLGMRLADVNPEVQSAYGLFFDRGAVILDPGEGHGRLGLGDLAEGCNFWMVGQKRVGS VREFVDQIVAETAGKDLDAYSVRVVYSFRTVEFVGNNTQYLRLTRDDLKELKALSDRL NPDLE OJF2_RS37355 MMIRPGLNRLNALAAAIVAVLASPRAAIGADDKPAVLRGLVTDE AHAPLADARILVAIPAADMRFVESGATGRPAEDAGKSRLLEARSDAKGEYRLELPGLS GRTSVSIDAMKPGYRRLSGTLMAGGDDRHLDVTPGETTEAAPLRLVPALHFRGVVVDE RGRPMPSLNVFAASVGRGIGGIEVTTTRADGTFEIFNYPPETAPLGKDRKGRVTFSHP DYIEGRIEDVYALDGGQGESLRVVLAAGRRLAGTVLDDAGKPAAGVMVEASRKDGNDR KATLTDAAGRFDLRGLVGGISAVAARSLAIHQARSLPIALNGDRLDLEIRLRPMALPA GIKPVSVLGMRLADVTPEIQSAYGLYNDRGAVILDPGKDSDRLGIGRLEEGNVFWLVG QTRIAGVREFVNQLLTEVDGPNAVQGMIRVVYHFRTVEFVGARTQYMKLTKEDLRELK ALAERLDPDAR OJF2_RS37360 MDLRFPAPSSDDLIPTGPGAGATPSPAPDRAQARVQGRGDGKAA APPSPPAPPRPAFDAEGRTMITAVPAYRPATPPPPPASTAPSPSPTPELPSAASPAAA PPDGAAAGPASTEPPAPAPEPPAPTPAPSEAAPKGPRLHPRRRRRVPLRLLVPAWIVS LTVHVVVLAALAAATFSAQDSARKPVNIDSALAGYRNGEREDMPILADPTNGPRDQAV GDEHADAGSPPEVIEMAEGGSEGDDGGGGTVVAAAFGGGVPTATPRVRGAGKKSVKEG SGAGDMDVEGLRRSPISMVPVVPNADLGGGGGIGGDPTFDVQGIGPALDQIAREILRH LKEHKVTVVWLFDESISMQDDQKTILEKFDRVSSELKKNLEPGKKSAGALNHAIVGFG LETEVILRKPTLDIDEIGRAIKRLRTDMTGVENTMKAIRETVEAFSGLIGKDRKMLLI LVTDESGDDGADVEEARQALRKYKVPLYVIGRQSLFGYPHAHHRFVDKVTNDVYYPII HRGPETADVEIFQWDGLYDRWDEQPSGFAPWELARLTSESNGIYFLLPSEEFMRVRRR EQAYSSVRLKEYMPEYDNRLTYVQNRTASELRRTLYQVVTQTKAFTYERNFSIDPAEL VRLAAQEGDKATVKLNALLEVQKLLERMKPLRDREPEKRWQAHYDLVLGQTVAFQVKA YEYRALMAGMIRKPPTPSKKPTPDLAITFVVDHSHEPVASKDETAKKYLEAKRLLEDV IKGHPNTPWADLAQDTIDRGFSVKLNEWHHNPKFTEREQFVPKY OJF2_RS37365 MLARLVRTIDARAPWLIPLVGGRLDLEIPAYMVSLALHSAFLVV LALVGRSVHEAASRAMIRGGVVDGKLADSESTFQDLDQKAEVPPVMAAAGSFAPTLAP TITSAPSSAGAVPVSSDAAAGGPELARVDVQRATELVVPTATVLGQNVSIKGNGAEHV EGVEGAVDRIAVEILRRLEQGKTLVVWAFDASGSLQAERERLRKHIEAIYTHIDQLDE SHLAADKGLLTLVVAFGRDRKAMTPKPTAELPEVLEAIGSVPLDESGDEETFGTVAEV VRRWGRYKDGAGQAYRPMVIVVTDEVGDDEPRLEEAIELAQRAKVPVYVLGSQAIFGR TNGYMSYTDPRTKRFFPRVAVRQGPESARLEQIHLPFWYSGPQYEVLEAGFGPYALSR LASATGGIYFVTRFAGEHMGFDPLRMKEYRPDWVRRDQYEAAVTKSPLRMAVVNAAEL MQEQQKLPGMPSLNFPAVEDPRFKDVMAFNQGIAERTAYTVEEALGPINAAAKLRDRE ASRRWQAHYDLIRGRLLAMKVRCYEYNWACARLKKDMPKFKEPRANTWRLVPDTSVQY SEKAAAAARDAERLLNRVIEEHPDTPWSLLARRELKDPFGFKWVEAYVPPPPPRNESA EARKKMAKKAEEKPVEPPKL OJF2_RS37370 MQYRPLGRTGIKVSPYCLGAMMFGGAGNPDHEDGIRIIHKALDA GINFIDTADAYSRGESEEVVGKALRGRRDDVVLATKAHLPMGDDPNMRGNSRRWLARA VEGSLRRLGTDYIDLYQVHRPAPDTDVEETLSALTDLMRAGKVRAIGSSTFPASEIVE AQWVAERRGLARFRSEQPPYSILDRGIEREVLPVCERYGMGAMVWSPLAKGMLTGRYR KGVAPPDSLRVRRLPRQMSDGRRLDAVELLIPVAAEAGLSLTHMAMAFAVAHPAVTSA ILGPRTMEQLDDLLAGAGGRLGDDVLDRIDEIVPPGTDVGLNEANYVPPAISRAELRR RPIADRGAA OJF2_RS37375 MSSDLVRFDATRLAGMIRAREVSPVEVVRAHLDRIEAVDSRINA IVTVAADALGAARAAEAAVLAGEDVGPLHGVPFTAKDSIDTAGVMTQRGSPIFRGRVP EADATSVARLKAAGAILLAKTNLPEFSYSTETDNLLTGRANNPWDLGRTPGGSSGGES AAIAAGMSPLGLGTDLAISVRGPAANTGIVGLKATHGRVPMTGIWPRVPRRFWHVGPM ARSVRDVALAYSLLAGPDGEDGFSTAGLGVDAGVGREPSRPLRVGWLVEPGFGPIDPE VAATVQAAAEALRGVGCLVEPVRIPALERDDALEIFWRLHVMEVKPAFAEVTRGREGE MFAISRAMLAEPDTSVADFVRAEQAAERLRDGFADYFGGHDALLCPVLPIPAHAHGLS EFVINGRAVPATHSLSATVPLNVTGLPGLSIRFGTSRDGLPIGVQIVSGWWAESTVLH LASLLEATSPVRDLRPAI OJF2_RS37380 MSSESREKILAAARRVAQARGYGGLNFRDVAEDVGIKAASMYHH FPTKADLGAAVARRYREDNAAALEAISAEEPDPIRALRRYPETFRWALANQNRMCLCS FMAAEYDDLPEPLKAEVRAFADVNVAWLKKALSKAGVVKPRESERRARAIYAAVAGAQ LMARSRADVSLYDELIEGYRAAGLLPA OJF2_RS37390 MTTATAAATTPGPDLHASRSAAVAVQRVPPSARDAFLEWQREAS RVAEGFAGYQGTDLFPPASGPGDEWVVVMRFDDEESLARWLDSPERGRLVEALRAKVG DFELRTVGDGFGPWFARRGRPEESEPASWKMALTVLLALYPTVMLLTILVGPLTSPLG LAGSMLIGNALSVSILQWVVMPRLTAGLAPWLRGGRTSWRVAPLLIVVTLAVLTALFR RVAG OJF2_RS37395 MATASAIRGTFFDLVDDPWKHVGREQEAARFTFDGLMVVRDGVI ADFGDYAEVSRRNPGIQATHIKGRVIVPGFIDGHIHFPQVRVLGAYGNQLLDWLQNWI FPEEEKYGDRNYARGAAGRFFDALLAAGTTTCQAFTTSNPVSTEEFFGEAARRNMRVI AGLTGIDRFAPEGTVISPDFFYRETKRLIGEYHRKGRNLYAITPRFAVGCTDEMMEAC RRLKEEHPDCWINTHISENPTELRTSRLEFPDCHDYTAVHEKHGLLGPKFTAGHGVWL SDDEFRRFSRAGAAISFCPLSNLFLGSGLFRIGRATDPEHPVRLSLGSDVGGGNAFSL IRVLEEAYKVGLCNNTMLDGSVNPREQDMAEAERNKLNPYRGYYLATLGGARSLYLDD VLGNFDVGKEADFVALDWNAGQAAMGWHQSLITGGNVPETMDQAAQLLFGIMVCGDDR NVDETWVAGRRAYKKAGA OJF2_RS37400 MDLHTVTDVVRPADRGDIPAWHAEDAWLAGGTWLFSEPQPSVRR LIDLEALRWEPILADGRGLSIAATCTIRRLDAFEAPAGWTAAPLIRRCCRSLLASFKI WNTATVGGNLCMSLPAGALISLTAALEGVCVVWPRDGGERLVPVVDFVTGDHRNVLGP GDLLRRVDLPASALRKRTAFRRMSLTREGRSTALLIGTLGPDDGPWALTVTAATVRPV RIEFDRPPTARRLRDALGETIPDGLYLDDPHGTPAYRKHLTGHFAEQIRRELSEGSRP OJF2_RS37405 MSFRVNGRAFDGQPAAGQCLRTFLRELGWFGVKKGCDAGDCGAC TVWLDGEPVHSCLVPAFRADGREVTTIEGLSADGELHPMQRAFIDAQGFQCGFCTAGM IMTAASLGEEDRADLPRALKGNLCRCTGYRSVEDAVRGVKVIEEPAPGRSFGRSVPAP ASEDIVTGRARYTLDVHVEGLLHLKVLRSPHAHARLRSVRKEGALAVPGVVAVYTWED VPRRLFSTANHDDFHSDPNDNTILDDVVRHVGDRVAAVVAESVGAAEEGCRRLEVEYE ALPAVFDPEEAMRPGAPLLHADKTAMRIDDPGRNIVRELHGGVGDVGRGFAEADVVCE GTFETHRAQHAHLETHCSIAWTDGGRLHVRTSSQTPFLTQGKLAYLFDLPPRSVRVFC GRVGGGFGGKQQVVTEDLCALAALRTGRPVQWEYTREEQFQASTTRHQMAVRVKAGAR RDGTLTALELRIVSNTGAYGCHGGSVLAHSANESVGVYRCPNKKIDAYAAYTNTVPAG AFRGYGLSQTIFAVESIMDDLARRLGLDPIELRLRNVIRPGDAMTALGAGLHDVEYGS YGLDQCLELVREALARGGGEALPDGPDWLEGRGVALAMIDTAPPTEHRTEARLTLEED GRYRLAIGSPEFGNGSATVRQQIVATVLQTSPDRVFAIEADTDRTGYDTGPFASAGTT VAAKATHQAAEALRGHILGFAARLWGTTPDRCRLEEDAVSASDGRRLGLAELCVAARE AGQPVQAMRKAYGTPRTVAFNVQGFRIAVHRVTGEIRILQSVQGVDAGTVINPAQLRG QVEGGIAQGLGWALSERMLLDGRGAVANPTFRHYRIPAFADVPRTEVLFARTSDAFGP FGAKSMSESPINPVAPALANALADATGLRFRSLPLSPDRIYRAIADAHEPAGAGDGVP AGAPTPPALPRAGLRPHGEAPRNRAEAAVGGMI OJF2_RS37410 MTHGDGPIEAGRDEVTRRRFLSSAATAAGLLGIEAGTQALAGRC AASTPDWPALRGRIRGEILAADAPDFLAERDRLVWNALKPDRVPDGIVRVEDEEDVVE AVNFARENGLKVVVRGGGHSWCGLSVRHGGMTIDLSALSGSWIDAATGTAVIQPVISN RELARRLGEHGLAFPIGHCPTVKASGYLLNGGMSWNMGHWGPACASVRAIEMVTADGR KVKASATEHPDLFWAARGCGPGMFAVATRFHLKCYPLPRAITQSTYFFSLNDLEDAAD EVAALGRKMPAFVELSIFLIKAPPELADRCRDANGKVCMVTAVAFGMTREESEAALAP LEAGPVLAKALSRRINEPSGFEALAVAAGQSWPEGHRNLCENQCSRAKSSEMLMALRD HFIAAPSAKSVVVFCQSTGPRDLLEPSPDMALSMDATSYGGVWSIWEDARDDAANRKW HDEAVALMRPLTARHYIGETDIVQDPSRARGSYSAETWERLEEVRNRYDPGGVFFGFL GGTRRP OJF2_RS37415 MRADAQRNMQALLRTAVKVFATSGVDCPVRRIAEEAGVGVGTVY RHFPTRADLIVAVFRHEVDACADAAAALSAEHEPEEALRRWIGRYVDFLAAKRGLAAA LHSGDPAYDALPAYFDERLRPALGGLLDSAASAGRIRAGVDPHDLLWAVASLGASPRG QDPSRARRMIGLLLDGLRHGAGPPAP OJF2_RS37420 MQEKRVALVTGANQGIGLQVAKDLAAEGLTVLVGSRDLAKGEAA AAAIEGGARAIQIDVTDRASIAAAAARIREEIGRLDVLVNNAAITHTGWMAGKTMQDY SEATRPSVVSLDEMRAVWETNVFGVLAVTQAMLPLLRESEAARIVNVSSGVGSLTQAS DPAYPYRSIFGPVYPASKTALNALTVAMAIELEPAGIKVNAACPGYTKTNLNNYSGTQ TVEEGAREPVRLALLGPDGPTGTFSNSAGPLPW OJF2_RS37425 MKPVLIVGAGPVGMTLASELTRYGVPVRIVDRAAHRTDKSRALV LWSRTLELLDRGGEGSAPFLEAGFRAVAVNIVAGKSRVGRIDLDGVPTPYPFALMLPQ SETERLLEERLRGQGVAVERRVELASFRAGDDGVDAVLRDAEGREEGLSVDWMAGCDG AHSAVRHGLDAPFAGETMDADWMLADVHVTGYPCPDSEVSVYWHRDGILVIFPITPGR YRVVADLPPAGTEHPPTPTLEQVQAILDDRGPGGMKAADPVWLSGFRINGRKVASYRH GRVFLAGDAAHVHSPAGGQGMNTGMQDAFNLAWKLAMVARGTCAEHLLGSYSPERSAV GDEVLKAADRLTAVGTLRNPVAQTLRNLAGRVVLGLGPVRHAIAEAATEVTVGYPHGP LNGPAGAGAGPKPGERVAPITGRAPVGSGTAPLFALFADATPAADLVPKYPALLDPDV RPPLAEGGLWLVRPDGYLACSAREPGVIAAYLNGLARPAAS OJF2_RS41375 MRQTTRHRRRSTAFRPERLEDRTVLSTLFVTNPFDTGVPGDGSL RSQIAAASAGDTIAFKLNVDRIALTQGELQISQDLTISGPGACKLTISGHNASRVFDI TGGTVIIAGLTIADGRADGKTPVSPGEGGGILNSGNLTLTNDILWNNRAVGDASVTAG FLGAGRGGGIFNSGTLTVSTCQFLNNQARGADGTLVPGLVAGFAGGGALANNVDASVE ITGSLFAGNAVQGGSGGRGPFAGAGLGGAIVNSSTLIVSCSTFQDNLAIGGNDNLGDL AAGATNTGGGAGGAIGSGNPLGGVASVTVSGSLFVHNQAIGGNGNLVANLAPDNPFAY LLAPNTGSGGAIEILKGSGVIRGSTLIDNRAVGGQGSAGSDGGSGAGGGIVGFAFLGP VAISVSDCTAALNAAIGGPGGSGDNGGTGLGGGLASAGVPGAGGVYTATLTVNNTIVA YNKAMGGDGVVGGNGFGGGLYNGAYSSLVLTNAKVQFNSAIGGDGCHGNDGDGVGGGV YAGPLGTFTPDASTIKKNRASTSGNQVGP OJF2_RS37435 MGRPLFDHELDDYPSAPHAAGGLGERSRGAGDNAFPHCRDGGGH LLRDISLFYAALSLGLLLIPIATLAPQSPVGRFVNRPDPAIKAGLAFWRGYLRLLAIS QLGLWLIPIAALRPRALDNRAFARWAGMGLCFDCGFFLLALLSTASELAPIVAAWPYL CAACLVGLGLLGADYLRRCVARLRWLYSGAEGKGASSLTQAMITLGFEGGSIPPTLRV FVVWGIIGGAIGTLTSALQLASDFRQGPGDEETRVVVVGEGIVRGAISHVSGGPYSYG TDGRAGHGYSSGGSMGQDPGEPSWVHFRRGGFVDGEELTAEVIGREFALQGRAAIRAW RLANVAFCLCFVLLVIASVAAAWGSPIGVVLYAAWAMIVLTLRALWTAWVPETAGPED LVLALYPMAALAVLSQPAVRSYLGRPRGGAVAGKPSGPAMMEL OJF2_RS37440 MDEARSTSPEGRADARPATPVAVLLMAAWLGLVAGYVDVGEVVL KRFALNPEGSYRTARDFLWTVPLGHVALMLPPAAVLALVTWRWPRRSSLRACSWLLAT LASWFALLRLPLHLLSTLVLAAGIGRLFSDLVAARGFGPRVGWVRRSLAGLACLLVLG FAGTTGRRMIGESRAVASLPAASASAPNVVLIVWDTVRSTSLGLQGYARETTPNLARW AAKGVRYGKALAPSPWTYPSHATFFTGYWPFQIDAQWKPALDTPHRTLAEYLSSRGYQ TAGFVGNTNSCNYETGLDRGFVHYDDYALTPRALLTRTVPGKWVLERLLLYVDPYERK WATLQASGAEGINASFLGWLDRRRADRPFFAFLNLFDAHEPYVPPARFAGRFGIAPRG AGDYRTLFDYIGAIKGDLTPRDYTLVRDCYESCIAFLDEQFGRLMDALQGRGLLENTI VVLTADHGEGFGEHNIWGHAHAVEIQEVGVPLVILAPGAPSGRAEGTAVSLRDLPATL MDLLGLGEGSPFPGRSLAAYWRVPAGRALDPPSSPALSEKADATAFPSPGGKPPNRGG FEMSLVAPFGYQYIRNGEGKEMVFHLWRDAWAQRNLIGTPEGDAMAGRLRAMLLQALT DDRASPAVEDAYMREYRRGLADLVNHAAPAPTGPPSRDAAGPPPTQPAE OJF2_RS37445 MNPTVRVATINILNDLSRWNERRSLLAEGLAALSLDLIALQEVT TPPGSGTARWLADALGGYEVQICPKSGRGRGREGIAVLSRLPVARHETLDLGSQRRTA QLVEVRAGEGPLALVNGHFYWPPGVHGARVRQVERLRGWIATRAAGLPVVACGDFNAT PGSRAIAMMKEGFASAHEAARGREPSHTCPTPLVTGGRVRGPATRAMLRLFSNTPGGP WRGTLDYIFAGPGVEVLDCDLILDRPSPRDPTLYASDHFGLAATLRCGGSL OJF2_RS37450 MANERRLMALALGNLGFGIAAAMLAPTKVYGVYGAEGFLMVPSL ASNFCQAVLLPLWVAYAGAPTWRRVAGLVAGTAYLEALAPAVVRREIPGIVAVAVAAT TAVCYVGRALGIRIARREAGDEPPGARFGPLRFSIRGLMLVTAAVAVLCAGARALQES SAPIAGLPAAWALCIVAVGLAALWASLGDARPRARGPAVPALASLLGASLAYAFGAHA RGWVYIISTMLLYATVLLGSLLVVRSCGYRLVRRAASPAGPPDGAGN OJF2_RS37455 MGLSSFRIRTYILAVGVVALLLWGAMMGPRSYRDYRLAETRARE LSADPPPAEYPAARATAWGYR OJF2_RS37460 MRVFVTGATGFIGTEVVRDLIEAGHTVLGLARSDGGAAALAAAG VGVHRGDLEDLESLRAGAAASDGVIHLGFNHDFSRFAESCEADRRAIRAMGDALAGSG RPFVITSGTGMGTAVPGDPSVEDHFDPHHPNPRSASEIAAEEVAGRGVRVAVVRLPQV HNTQKQGLVTPAIAVACQKGVAAYVGDGLNRWPAVHVLDAAPVYRLALEKGPNRARYH AVAEEGVPARAIAEAIGRGLGVPAVSLTPEEAAGHFGWMAMFAAMDMPASSRLTRERL GWHPAQEAGMIEDLDHMDWPAARELVRAWMSPAGR OJF2_RS37465 MDPLSGVLSLLKPRNTMCAGFDVGGDWSIRFPEHEGIKCYAIAS GRCWLAVEGVAEALHLRAGDSFLLPLGRPFRLASDLALPPVDFRSVYEKPASGGISVW NGGGDVSGVGGYFELEENHAGILLGMLPPIVHIREEADRRALRWSMERMMAELREPQP GGFLVLQHLAHMMLVQALRAHLAGGPGGGVGWLFALADRQIGPAIDAMHGEPARPWTL QELADRAGMSRSGFAARFKEAVGATPIDYLTRWRMLLAGDRLRSSGEPVSAIARSLGY ESESAFSTAFKRVMGRSPRQYSRGRDPVAASP OJF2_RS37470 MLDLSDLLTAVRAEGGVSRRLFLAYGAALSALPLLDRRAEARGG KVAFAGDPFSLGVASGDPTHSGMVLWTRLAPKPLDPDGGLPPEAIEVAWELADDEGMR EVVRRGTAVATPQLAHSVHVEVEGLRPDRWYWYRFRAGDATSPVGRTRTAPAPDASPE RLRLAFASCSHYEQGLFTAYRHMAEDDLDLAFHLGDYIYEYAGKDRLVRKHAGPKLRS LADYRVRHAQYKTDPDLRAAHARCPWVVTWDDHEFENNYANDVSEKAGVDPAEFLEQR ARAYQAYYEAMPLRPSSVPRGPRMKLYRTLPFGRLATFQVLDTRQYRTDQPNDDRAAP LNEAALSPKNTILGAEQAGWLKAALLGSTGTWNVLAQQVMMGMVGRGRKPGEPPLYSM DQWPGYAAERMKLVEFLADRRVPNPVVLTGDIHSNWVNDLRVDDRKPETPVVAAEFVG TSITSGGNGSPQIDGLDALLAANPCVRFHNRQRGYVRCTVTPRTWTSDYRIVEDVTSP GAPARTLASFVVEAGRPGVHPA OJF2_RS37475 MGNKRRGGAVLERLRLLYNVGSIGELTDGQLLERFATDRGEGAE LAFAALVERHEATVWRACLAIARDEHDAEDAFQATFLVLVKKARSLWVRESLGPWLYE VACRTARRARAKAALSRRHEHPAPAAGLGAVEPAGEVPGRDPEEEAAVHEELDRLPEK YRAPIVLCDLGGRTHRDAARCLGWPIGTVKSRQSKGRGMLRDRLTRRGLATAAVAAGA SLGRGAAGAVPHHVARDAVRAAMRTSGRLFAGADVSSAVLTLTREGLAAMLWTRIRCV AAVALAIVAASGGAGVYVRGSQEPADRPPTAEKPGAATDRPRRPEDGAARLRLQAQRI ATRKAKANYEIARLQLELAEIAVEEYEAVGYPRDLASVEHDIALAKSDVGRYQDRVAW AEGMLKRGYVSKAQKESDDLSLKKSEYGLEQNRAKRRVLVDYTKGKTIRELRSAIEKA RVEMLDRESEWEQARAAEVELGRHLDPVAE OJF2_RS37480 MVLVGAWLAAAAGPGSAGEAPDAGGWSTGSPRPEIRPEFACEPG EKAGSPPVLVIRAGGREGLDGCWTRTFPVAGGRHYRFDARYRAKGVGLPRRSVVAEVH WRDAQGRPVPLDEPAVSGYLKGSTPMAETEFPATRGDDGSGWVEVSDTYRAPSRAARA VVELHLRWAGRDGEVRWRDASLAEATAPPPRVVRLAAVHFRPSGGKSPEDNRRMYAPL VAEAARRKADLVVLGETLTYPGLGRKYHEVAEPIPGPSTEDFGRLAKEHDLYIVAGLL ERDGSLVYNVAVLIGPDGKVVGKYRKTCLPRGEVEGGIAPGSDYPVFETRFGKVGMMV CYDGFFPEVARELAARGAEVIAWPVWGCNPLLARARACENHVYLVSSTYEDVSTNWMI SGVFDHAGDVIAQAKEWGTVAVAEVDLDRRLKWISLGDFKAEIPRHRPVAPADDAGK OJF2_RS37485 MRGRQLGILVAFMAVLVLCGSTAWLAGSAAPPGGSHAEFEELLG YPLRPRDHSRRVDRLALIDTADAFRAGAAVHVTVWEGKMPRVVLAQADQEGYPRRGTW TGPEAVADFPFTELVPSWNAVTPKDTGVFFHVRTRDAASREWSPWLLVGRWGRTVHVR RGERLPGDQVIRFARGAVRTDIPLVLLTQPADAYQVRATLQGFDLAPAVNPSVRRLAV AYSGVVADPIERARLLGPGGAGDGKAGDLAVPHVSQYEAPAPLRESVCLPACATMVLA HWKVDRPLTENALAIYDPDTGMFGNGARAAARAGELGLDGWMQRVRDWDQVKAMIRRG QPVIAAVRLDAGEHLIVIRGFTEGGDVIVNDPLDRGKGGTTLKPDDLGRAWFGCGGFA CVIRRPADR OJF2_RS39740 MSGSQPGPGAGQTPAGSANWVRVAALADVPPGSALEVRHDGRSY ALFRLGESVYCLDGLCPHQKGRLTTVNPDESVVTCSRLGCLRWQFDIRTGSCLQQPQV RARAYGVRIEGDSVFLALDPSG OJF2_RS37495 MSTTLSRDETTADVAELTRDGLFYEYSRAADPIGSGIIAPIPFS EFPATLHEEGPTGVVPLDLSARLGCAGPATSPSLCANFVHVRPGESIGVRPNASSQIY YVMRGRGRTRFGGPEIPWGPGDFLALPAGGEALHEADEDAAFYWVHDEPLVRYLGARV ESPTFRPTLYRSEDAKAELAKALADPEAKNRSRISVLLANRACDQTRTVTPTLWAMFG ILPVGDVQAPHRHQSVALDLIIDCKPGCYTLVGKDLDDRGRIVDPVRVEWRANSTFVT PPGLWHAHYNESGSPAYLLPIQDAGLQTYLRTLDIRFSDPG OJF2_RS37500 MFPFHSTSGGAGTPRRRRPDRPGAQGKGRRRFRLESLEDRCLLS TDIWTGLFSANWANPGNWRDATTLLPPTAAPTAGDDLVFPTAAFHKNMFNNIAPNTAF HSISIQDSLYTITGNAVSVAKLTDASTAGALILTGVVLDLVGSGVVDVGPGVTMDVGR ITGSVGLDKQGPGQLRLLGGIQPNVYAGLTKVETGTLLLGKVPGVDAVPGDLEIDSAG TVLLAASDQIDDAAHVTIVGAGIAGVLGLDGHRETIGPLSMRAGVISGTGTLTLTGGV QATSISNALGFAIPAVISAPVSLGNATQTFDVALGPNTRAVGSVPGMMADLVVTGGIS SIPSLDSLDPLSVKLAGLDKIGAGAMLLAGLNTYLGPTTIRQGELIAASNQALGANQD GIPKAVADLAKLGVQTTVSGGATLGLTGGIAINPPQLNVNGGIGVENISGANVLGIIP AASGAMMLADSTTVHATAGALVINDPIKGAGGLIKDGIGPVVLGNTESYKGDTTVNAG NLVLAIPYQLPTSTKLTIGTNDQARLNGHVLLGGTSQTVAALSITRSYPGDPTPSLVF TDGPTQTLTVNGPTALHGGPGFEINTNGGALVVNGAVTTDLSGYPVSILGNLALESAT TFTVNNGTATPADFIVAAHVMAPPSATLTKAGTGSMTLSGSNTSFTAPIVVSQGTLVA ANPNALGTMDKGTTVAGGATLDIQTGPVAEPLTLLAGSTLAAPGALTGPISLAGAVTF NVGAGDSLFVSGVISDSSTAAGSLIKTGNGTLILNGPASNTYTGGTTVDSGLLDLGKA AGKVAVPGPLTIATGSTPGVVRLLGDNQISPSAAVAINGGVLNLNGRQQTVGPLSMRD GSITGAGTLKLNDGIVATSTSATTHSSIASTIDLAGKTLTVTANAGPASTLAHAADLV ISGAIVGLDGAGVTKEGEGTLGIASNANSYQGKTTINKGVLSVSGSLSADSAVVVNAG GTLSGTGTVNGPATVNAGGSVEPGSVKDGAGGILAMSGGMTLAPDAIFHADMDSTGHD VLRATGGVLDLNGSSLVVDMPTNPTAISPLSPMTIIQPTLPGAVKGTFKDLAEGAYVT ATNGMVFQITYKGGANGNDVVLSPASATWVSASPSGPSTYGQQVQVSATTSLTGLGGG TITFFDNGIQVASPIAVHTHGSPIVSASLTFPGTGGGAPLAAGAHSFVAKFTPDAGSG LDGSTSTAVPYAVNKAIASVSQPASSVPNVVPRNTPLTLTVFVTPASAASGTPTGTVT FYDGSASLGQAPVQANAAGTAYTAALSLDKMAGGSHSITAVYGGDADYKASDPSAALS VIVDSSKISTSVSQPASSVPNVVPRDMPLTFTVSVIPGPGDGAPTGTVTFYSDGSTVL GTAPVQPSSAGTAYIASLNIDKPAGGTHKITAVYGGDSSYKASDPSLALTVIVQDAKV ATSVSTPASSVSGVVPRNTPVTFTVNVTPSSSGAGAPTGVVKFYDGGSYLGSAAVQSN STGTAFTAALSVDKLAGGKHAIAAVYEGDVNYLASSPSSPLDLTVDNTKATLTVGQPV SSISGVVPQETPISFVVNLMPSTSGDGVPTGTVTFYDGGSPVGIVPVQSTAAGGAYAK LDLAALAGGAHSITAAYSGDAAYQPSSPSAPTSVTVDNQPLVKVDASQPLRVTSSAWV TRTVKVRISKSTTWPVRVAYQTLNGTAVAGTDYQRKQGVVVIPRGKTSATVRINVRAR RGDATARNFTFRVLGATNASVVTQAYSCTVCR OJF2_RS37505 MRTKHAWAGVLDDGGGSDGQARGREALPAECGPGAWSRIWTGCA RRLMSWPVPPNWSRRDWLEEMRAEGAMASQLAVRAFVPGRNVPLGAYIRMRVMGQLLT RYRQEWAYGKHHVPADPLPPDLAAAAPGGPEPGDDALALALGSLGDRDRQIIRDLYWL GRTEAQVAEAMGISQQAVSKRKSRILDILFLRIKTLELE OJF2_RS37510 MGIAVGQRQAGTAEGKGTSKRGERVDADPSPLVLLAWGLTYLDA VLVANQAWLRSFALRCRAIREPGRPKK OJF2_RS37515 MTLDLEGLTARERRLCEVLAAYYEASAEGRAPQPRTICDENPDL ASGLAVFFSEQERLRSAIVPLRPPARPGDEASGSGPGRDRGDEADRTSRDEPAADARR WTALGPFAKGIRRFGDYELLEELAIGGMGVVYKARQVGLNRLVALKVIRSGEFASEAD VRRFHAEAETVADLDHPHIVPIYEVGERRGYHFFSMKLVGGGTLRSRIDRYVPDPRGA ARVVAALARAVQHAHERGILHRDLKPSNVLLDEKGEPMLVDFGLSKRLDATTDLTAPG AILGTPPYFAPEMATGGKRAITTAADVYGLGAIFYALLTGRVPFRAESIWELIRKVKE QAPEPPRDVNPRVEVEPQTICLKCLEKDPKDRYGSARELAEDLDRWLNGEPIRGRPAS WWHRARAWCRQPARRQQAGAHAAVVGVLLMIWACVGSVLIGLGGIRVAAPGAVIAHVC GWIVLPYLPMILIGRLAAKGRAWAVRAGLIHAGILLVVDAIHLLGLYGVGDGPNAATN PASRSLGLTLFYLSTVFVAAGYAVANISLASDRPPAATLMRRAPAMPTSGDEAQALRP G OJF2_RS37520 MTMSRTGDGSDTLLEQARSGAVETRGLLLDRYRNYLMLLARAMM GRGLRSSLEASDLVQQTFLEAYRDLPMFRGSGERELVGWLRRILIHNVCQQAEYLGRQ KRGGKRQVSLDELLERSDLLMARAHGCVVASPSECADRSERTLLLADALARLPESQRT ALELHHLQGLTVPEVGREMGRSVLAVTGLIYRGMKALRALLTPA OJF2_RS37525 MAVRPAAPPAARHSWTATSSPVVAAAAVLILIAAGAGSPARAAD GPWPADRARAWGEAHPWLAGCNFSPSTAINELEMWQADTFDLATIDRELGWAEGLGFN SVRVFLHNIPYDRDPQGFLGRIDAFLSAADRHKIGVVFVLLDACWDPFPVAGKQHAPV PGLHNSGWVQCPGLPILRDPVRHDELKGYVTGVIGRFRDDRRIHAWDLFNEPDNPNRS SYGRQEPPNKAELSLALLKKAFAWARAANPSQPLTAGAWVGDLTDPAKLSPINAFMLD QSDVISFHNYKPLPEMKRDVEALKRYGRPILCTEYMARPAGSRFDPILAYLKSQKVGA YNWGFVAGKTQTIYPWDSWQKPYPAEPPVWFHDILRSDGTPYDRKEVEYIRGVTGARK AG OJF2_RS37530 MDESYPTPSRRRPGPGGPGRPEEGVSAGRAPAAPLRAAIRGVLA IGGLLGVAWLVGPGETPPPPCSNAIGRHPGLVLTTAMSPDGRRLASGGYEGSIRIWDV AGGDLATVLEPGDGPVHGLAWSPDGSALAAAGSAGAVTVWDANTWGRVAEMEGEPGRA RGLAWSPDGTTLAAGCLDATVVLWDAASRRPRAVLRGHSGGVNLVHFSADGRTLVTGG SDGLVGTWDMPAGKLLRLHRAGVVALSGLAGTPGGDAFATCNIGPGVFRCRPGEPLTE GTALRGGGPYVALATSRDGRLLAASTIDGAIDLWRLATPRRLATLRGHYGTIRSMAFT PDGRSLLSGGSDGAIRTWRVGDDDGPGAPDPAP OJF2_RS37535 MWTGVRATMIAAPGADIDATNPARFAEGGTAMGVSPSHSGTPVR SGEDAWHGDGERIAVGRVPASSSRSLFCGVALAGVLGLALVPRPHEREPASADPGLLG RQPETILALAFSPDGRRLAASGYEGPVRIWDVAGRAVEASLGTEHGPAFGLAWSPDGR ALAAASVRQAVTVWDARSWERAAVLEGRPGLARSLAWSPDGSTLATGGLDSDIAIWDA ASWEPRAVLRGHSSAVNALAFSADGRALMSAAGDGRIRAWDAAAARPIHGARFPADSL TSVVLSPAGEAFVASRYRTVLRRDAAGNEEQVLANPSLYLAMARSPGGRALALSTGAN TVEVWRLAPPTPLALLKGHASTVQALAFSPDGRMLASGDRDGTLRIWEVHADEPGPP OJF2_RS37540 MNRFLSPRPLSRRELRGIDERAAKELNLPTLLLMENAGRGAAAW LADLAAPAVGGQVGGLPRILVLCGPGNNGGDGGVVARHLDAWGFPVRVVWFAARDRLS GDAASQHAILGASGIDQACWPEAHGEGEPSAAELDALVAGADWLVDGLLGTGLTRPVE GTLRRVVEAMNRSGKPAMALDLPSGLDADTGRPLGVAVRARATASFVAPKLGFGAEGA SEYTGVVKVVDIGVPRRLLEGFLT OJF2_RS37545 MPIYEYRCEPCRHTFETLIRSSSDVARCPKCGNIDVAKEFSVPA AAQVGGRGSSSLPVCASDAPSMGCGRPQCGSGGCAFG OJF2_RS37550 MPMRVRVDNGSPWGSAGDLPTELALWLFGLGVEVIWNPPRRPQD NGVIERSQGTGKRWAETATCADAAELQRRIDEMDRIQREVYPCIGGKSRTEAFPELEH SGRHYRAEDEAASWSLPAALAHLATYCVQREVDEGGCISLGNRSRYVGTPLKGKRVCV SLDPYEVAWLVHDAAGVCYHRLEAGELSAERITGLDVSRHRHRPGPRPRRRQRTAAEL PANNCGA OJF2_RS37555 MAGHVSLMQGRRIGAASPVADADAQGLAAGRLELESALREALSE RVGPSKFGLWFGEGVHLGVPGDGGALEVQVPSVFFRDWIRDHFSPSLIDAAQAVTGRK VELRFAIRDEADPPLGDVVGPAADGPGARPGGTITVPVPGNPKLPLGSPPAPGRPDRR PSHSPRFDFPAADQAPAPARPMPSARAPRRLDDFITGPCNRLAHAAAREMIASAGSAF SPLLIHGGVGLGKTHLLEATAHGLRQAHPGLNVVWTTAEAFTNGFLDAMRAGTLANFR SRYRGVGALAIDDIHFLAGTKATQGEFLHTFNALAGRGVPIILTADQHPRRISKLSDE LVTRFLGGMVVKLESPDLPTRRAILQARSASRGVSVPAAVLDYIAEHLRASVRELEGA LHSVIAHALLTGKRLDLSLAKAALRDTIRHTAAAIALRDVERAVCNLFQVEPDSLKSD SRARAVAYPRMLAMYLARKHTGAAYSEIGRFFGGRNHSTVISAEKKVSGWLRDEAQAA LLPGFESASDLLAELERTLGA OJF2_RS37560 MLAAFVASLIALTGPARATDDAPAAPSIRKLGTVDLDMVEATPV VFKGQLYRFEYVRPGYVPNKTGESYFRFVDAETGKATPPFAGTFDLGCAFAEGGTMWA FGVDNWDGETIAAFRSTDLEHWERRPALKLPGWGLFNTSVCKAGGRYVMAIEVGRPPE VVGVPFTTRFAESKDLLAWTLLPEACVYTKERYSACPTLRFHDGWFYMLYLEARPGPT YETHLVRSRDLARWEPSRFNPVLKASPEDKQVANPNLTEDQRAKVRQAADRNNSDMDL CEFRGKTIITYSWGNQQGTEFLAQAIHDGPEAAFFKAFFP OJF2_RS37565 MARAFFDTSALVKHYHPERGTEAVDRLLGEPGAELVISRLTLVE TVSVFAIKVRTGAFEAAGFARLRGLFATDVARRRYRVVRLLHVHYDLAQDLIRGYGLS RQIRTLDALQLATALHLHRAAPLDHFV OJF2_RS37570 MEPKTIEGTWDEVSRRADELAGHRVRVIVLDGPTADVRGGGIPD DLIDHDAIAYCEREADDRITLDQVRAATSSIKDSMARVVIEEERAERF OJF2_RS37575 MKGPAMSLADWLIRPRGRVRSRSWGRPRRDRVPQGWAGDRFLED RVLLAGVVMPSDTVSSDIGVKGVLYDGGLVTPTAATQQYVKRITVTNNGSETIYPFLE DANNRTATPGDPTPAPDYTGTGMFDPFDALNQEYRGYIGYTQQEDGGTVTYMGLLPGS SITINVPLVFWDAGRIIITTDSGDLKGTGGNGNPFLYRDQNTQVTYYGSVGGNTLSFT PVYKSFAFNAASNDYEPSAADWTPPTDLASGMKVNGPGIPGGTTITVGGDSHSVTLNP PPGTSITTPTGVQQFTFTSDAPISSTLRYSQPGYTITTAGSSAANGVVMWYHALTAEN PSNDAPFQLIEMSFRGTFYDPKINVGTGFNYLIGFDTDKVNYISANDFDLVNYDVSYV DAIALPVALEAGQVPIPNTSQSKPFGWVGSSLAITELQSAFEAFTGSSSSLGTYFGGQ GYPSYYAPPGVDTIKLPSGQNLFFQSPLNTGSNLSSFSIDRTFADGSNLNLPLYALTD GGTGPFSMSPGGDTDPNIPSPAPTQLVLHHTTDADKNLLELLSGGIQTYQFQLTAIDG QAVPGGTYITDMLFDPANPHQLVGVVLNQGPGVSDPPKHVFTFSRPAADPIAKAIASV WYSWANYYATTVASTPPSAPVQGSIAAGSNVLVLAAATTGLVPGMAVTDAQGTSHGVI TAVGADNRTIALSQSQAGALVDGFNFAGPSVASLVGYDPGGLTPIMTYAFPAGDDRAM AFAQNVYVVMSTMGRTVKPNTPNAAIPLLGNIIGGNVGPAFLPDQNAAIQAAITDRIK SALRGVPDFTSPAYSNPSQWYPDPALAAGGQAFNVYNLDPFIWFIHQKLGLSAYAFGL DDDIGDVGAGGSTHLVVGVGGLGGLPTQDPGSPTPYPFANTANYGPVQATLTTAPAAG SSVLAGLPLGLVNQLVAANFSTNAAGTLVNGPGVPIGTTVLTFDSTNGTVTLSSPLTK IPPGLKSYTYSFYGPVVGTGTVLGAGQPANTIRGLDPDAYNTLLKLGPLSNVQVTGPG IDPGEVVTVAAMFVQDGVPVVVLSDRLDPSRISEVGGSFAYTFGYAALSPIVDGGFEQ PTGVANVTGGFLHGPQLAPPGGDQPWTFTDASGTSFAGIAGNGSVYTKNNGPAPQGLQ VAFIQGGSSISQTITLAQGSYTLSLVAVQAATNSAPQVLTVLVDGVPVGTINPKGPKY APFSIPFSVGPGAHTITFQGAASGSGTVLLDAISFGPPASLAGAPGRPQPLPPAAVEF LSPPAGGTARSPLSPVVVQVLDRAGKLVDGVRVRLVLVRVGKGSRGHLARGSVVFART KNGVATFNRLAITAPGRYVIRIKAGLRRHADSAPFDVGPRAQA OJF2_RS37580 MSCALATAAAGCDRAAPAAPAASEPAGREELEARVKALEGLIPD QSHIMADVSEHFTNLWFAGRAGNWPLADFYLSETKAHLRWAVRRIPVRKDNQGHDVVL GNILEAFENTQLTQLKQAVDRKDGPGFERLYRESLTVCYSCHKASDKPYLKPRVPDEP ASRIITFDPNAPAP OJF2_RS37585 MPNDEFREVMLKIARRSRPERRLRYRESLGTQMIGTLSLALGAV ALMRWADIGRGTILLGRATYAWLYESPDANATFTGAARNATFIPPPEWTFARYWGIAL AGQLLGIAGVWRTRRREGTFSLLSALGILACTAACAPMYLLILFCAAAVGWPFLLAVG GAAILMKIVLVASRR OJF2_RS37590 MAVIARVLGVSLLTGVLAGGLAVALLRPQAQSWDVVVVLFLACV GAVVGAVAGAAREVVSANRPKTSRWAEAR OJF2_RS37595 MDDRMVWAGTGGGPLIALPAELASHWRGVEGACHSPRDRDGWRE GLDFSGTDYGRACRIDGYLGTLEVGTGRALILNDEPMPTAFLPRAGGGLLVRWMYAED EGEVLRAVRSIPESTWEATPHGIVASRAGVLLFDSAYPGDDLPTSPGEVASSPWLRLA LPAGTYDVDTADHAPDEATRLILHRIRKTISR OJF2_RS37600 MTVKPCVIATLAACLWASPALAQPATRPTTSGPRYRVIAFYTGK DDLAHISFVKEARAWFGRMAAERNFSFESTTDWDRLDAEALAPYRAVVFLDTRPASPK QREAFRKYMENGGGWMGFHFAAFALTPSKYPQDWDWYHDEFLGSGSYVSNTWRPTSAT LRVEDAHHPATAGLPATFKSAPNEWYRWSRDLRKDKDIQILASIDPSSFPLGTGPKPH EIWHGGYYPVAWTNQKYRMIYFNMGHNDMDYGSKPARELSSTFASEPEARMILGALEW LGTGQPPQRPAP OJF2_RS37605 MARKKGVVDGDEAFTWPAIAGSSDTRPRLGLLGQSGMLEHFDVT FLAGFPGVEIRPGPAFPGTRHVRRGRH OJF2_RS41380 MSPDCPPDERLARMLDSLLEAPEARAVEAHVASCADCQRRLEAM TAPESGGGEPTAWSEMTAPPPAGETVDSPSAEVRARAEAGAKARARGDEGWPDPEGYR IERVLGRGGMGVVYLATQLRANRLVALKMVIAGRGRPEDLIRFRFEAESLARLHHPNI VQVFDVGECEGQPFFTMEYVPGGTLAAALAEHPRPPREAAALAEALARAVHAAHQAGV VHRDLKPANILLSGDPAATPGASPPRPGTGSGAGTGQPALKITDFGLAKATGGDSDLT GTGQILGTPSYMAPEQATGQGSVGVPADVYALGAILYEALAGRPPFRGASPWETMMQA VHEAAPPPSRWQPGIPRDLEVICLKCLAKDPAARYPSADALAEDLRRYLAGESILARP AGLPRLAWLWCRRHPGYAALLGLLLASVAAGAAGVAVQWRRAEAHLADSRRRLDLAMR AVERYYTGVSRDVLLKQAELASLRSTLLTTPLEFYRSLREELKAGSDPESLAQLGDVL SNLGKLNADVGREEDALAAYREAVDVARDRLRRDPGSAAAAKALVKARIGVAEIDARA SRLREARDGFEEALALAGRLAARDPGDLVSVDDQATCLHFLGDVAADEKQLDRAEEDY LRSLDIRERLVRDRPDEVEYLDHLGGLEANLAILYADYGRLDDARKWFVKSLDDRRRL SAKQPDDPEALRKLSSCLNNLGSFSQNTDRLADAPPYYEEAREIQGRLVRDYPTTALY QEDLAITHQNLSTLEASLGHGDVALREDAEGVRILRRLAADHPDSITYATRLIRGQAD LGRDYLGRKDYARAEAELIDAVAYAGRVVAAHPESSEARSRLAYARAALASVYHVTGR TRAAEGAYREAVAGFRQLAEGADATSDEANQAATCGLDLATLLVALGRFEEAEAVAGR IVPASDRPETPDAPSLPGDAVLASSGHRTLGDAALGRSAAEPDPARARTLASRALDEY TRASSRADAAHLAEPSDDGARHALADARAGTARALEALGRFPEAIAAWDLALKDAPDD STRALVVGRAATLCLAGRSPEALDSVKSLSIPESDSEDLVRLARVYCLASPPDAPSAL ASLLRAATSTTRGDGLILSRLLRDRAFDPLRASPEFRAIALDLGFPADPFGP OJF2_RS37615 MNDPRQMRTSASLLGRLRLDHSDPGDWAEFVRRYGPLIRTWCRR WGLQEADADDLAQDVLARLAARMRSFEYDPSKSFRAYVKTLAHFTWCDLIESRKRPGG GSGDSDVHDRLAAVEARDDLQARLADAFDQEVLEEATARVRLRVEPRTWEAFRLTAVE GLSGADAAAKVGMEVATVFKAKSKVQKMLREEIRRIEEG OJF2_RS37620 MSLLNLLTARRETARRSVARRPMRLEALEDRRVLSTFTVTNVYD SGAGSLRQAITQADGASDAAIINFSIAGTNKTISLQSALPELSNVHGMTINGQAGVKV DLTNAKLGNGNSIRVDQLVSASINNLEFDNAPGRAFENNGKLTLSGVTVVGSRNGAAV NYGTMNINNSTIKNNTAVNYGGGVNNYSGTLNVTNSTFTGNKATYGGAIENIAKLSIS GSTFTGNSAADGGALHDAGTARTTISSSTITGNTAGLGGGIFVDGSYAPLLQHDNIYG NAATAFGGQNGRDISGAVDPTSFDNLIGNGDGMSGIVDNNNFARNDGLQHARYVSTKP INFVGSGTKGGTTAIRPVMEQKVSLNGVTLTYDYTVRTNSATMNVSGTGTRSMSLLSD DGDNADGPIVYPSHFAVDLYLADTQNGSSGSINLWQNYDANALSAPTLKITNQRGTKV ADAIRDLTHDWVDVATGITTTNTWSNGTVTKKTKAS OJF2_RS37625 MLRYLEALHGTGTLAGLTDQQLLERFLEADRAADGPGGEAAFEA ILERHGPLVWRVCRSLLADDHDAEDAFQATFLILVREVHSLRLRATLGAWLHTVARRT ALGARAAAARRRAAERAAEARRLEAGTAGYAGPGVALEEDELDAMVHSEVEKLPGSFR AVIVLCDLEGLSYLEAARRLELPLGTVQSRLARARRRLHRGLAGRGLAPPSPPGPCEP PRVAMPAAAALRGLPGPLARRTCQIALSVASNPAGSRLVVADSVRALIEGGSRRMSPF TPGGVVLAGMSGLVLCAAMARPHPGPGQPPREPSPGKAAPASAPAEGRTPERRPIEPP TPRKLKATAGRGGVLTYALGEDGARLPVRRDAPDGLVREEVRDLRWVVVTGIIDHRRV QDGFRKGGDATPPVAADAYRRGDLERQVLREDGTWSPWEPIDLEAKLQVLDNLPEVGE ERVPGRLRVDALIDPLPFLKTGAWKGVDAEALAPPRDPQAPARAATPDGRRLPTGDIA GEDREHPSGVTAQGEGMMGGPMAHAYGGMMGGNAAMSGMMRGMMGGPMGRPPQPDPEP PVLMLRQFDFAVEPGRTYRYRARVVLNDGRRRADRPGAWSEPTEPVATPPE OJF2_RS37630 MDETMLLAAIRSAPLDPGPRRDYADWLESRDDPREAYPLAEDTL RRAPLGDPSRGVLGRAWREARAAQDAAWLAQVEPTPPVPPWLPADARTPPHQGLDDWF ARAGQGHYQWVLLAVLAPIDAVSRGLLELRAGLTPEAALASGAWVRGVLVTREREYER IGPGVPVIQLRRHAWTVAMYCSFHFTTQAYHDAQSDALALSERLGTLAVEYSAEDTSG TMGYHLFECGEAIEYAQDAAGEGGFASQRRPPPWPSFPRDFPDEVFRPLGLHLPGFYD LPGAVRVEVPGPDAVARADVLDLRRPYRNIELPEMVEHDTRMMTGFDTIHRLADPEYE PYLGHQDGEAADGGDVPF OJF2_RS37635 MTSPKGESGRTPATVAASWRRIEAWIGSHLPIQRLTLRPGISKK DLAKYEAILGRTLPDDVRESWLIHDGQRWIDDQAEHPDFNIDDADNRRVQGLVFGGKL LPLLDDRKSLAGRSSSREWREWARRMDEDPSLVAEIGEGCASFPGGAIQHLYSSRGWI PLVEVLSSVRIGVDLMPGPEGTVGQVINFGRDAQDRYVLAVSWAHFLEDLADELDAGN FALIDDGERAFGMARPVRGAIDLNFRAWAEAKREGRLLE OJF2_RS37640 MMSNRFAGTFAALIACVALAPEAGAGNITIISTPTGPGGTGTAV IDATTPSAADVSLEFTAVAPISITLTVDGPGGYLVHTNAGTGGILNDTGVPWTSLLWE VSGPAGTGANIAGFDNPQYFANADIKPGYILLDQGTVPPGAQYNFDLGFTTTQAGTIT LTFIPNGTAPVPEPASLVLLGLAAAIVPLACRRRSRARDDR OJF2_RS37645 MRTLLTFLATLLASTSCWPAPGKAGEGPTPAERADALRRHGLDP ATPLASRVGATPASVLKMFDEAGAPAPRAHELTEAERRKLRAAFEALPPLHRRILGER LRTVSFLDGMPNTALTSAVNADEPYRLFDITIRAGILGETASEWLTWKERTCFDVGDS PLSVSVEAGGLDAVAYVLLHEATHVVDDCLRLTPEGAAERRGAGEPRPTAFTEGVWAD RLTPSPPYRDPLLDRTRYRPGGRVLPIGQAEALYAALRRTPFASLYGSANCHDDLAEY VALYHLTEVLGQPYRIVLRERDRPILAYEPFKSDLVRGRAGQMKRFYEVGP OJF2_RS37650 MRHGTRWRYGLLVAILAVPAVPAAAAADGPRLRVLLLGDSTTIG SVCRQVEPKGPHLEDVIRSLLAAEPDLPPAEVVNQGRDGELIHGLLSSGRYDREIVPL GLFDYIFIRYGLNDVARREAFEANFPGDYAELIGRLRRNFPGAAIVPMTIIPYMTPER DEAVNWLIRRVAEAEHLPLLDVYSRYAAELKRGPDMLNYRRYPLEEIPERHRAWVAPF VRDGKVVVMDNRLDAHFRDLPGWFADRHPNPAGYHVLGDETAKFLARSIRAKKAAAAT APPTSGGPAGLAATGLEFLDTGFENASPLWYEAAPDGAILVHLLYDHERSSPNRAAGH IHFRIVAKPSTALTLEFRNLDNVWNGRPASIADELKVVVVSPDGKAWKPVPLERLPGD RVRLAVTMPGPSLYVARAEPYRLSDLEGWLASIAKNPLVEVTPVGRTAEGRGLEVVRV GRPDAPHRVFLRARAHPWEPGGNWVIQGLVERLLRGDDEATRYLERYCVYALPMANKD GVARGRTRFNLRGKDLNRDWDRPADPDLAPENHALEAWLEAMIRRGQKPHLALELHND GGGLLHISRPPVPGLERHVARMKTLESLLRKHTWFTEGSTSESFHNAGTLGEGWLLRY GIDAAVHELNVNRIAGLDDYPSAAHWMLYGGQLPRVISEYFDVVKP OJF2_RS37655 MTISQAGENEVSILARVFDDERGLTPPDLARSSLEARSNERHRA CMHDLAVRNQSGTLAQAEREELRAFAKAGTLLGILKSKARRTLKVPRGLALLRDRSHH PGETQGHGKLIEKVLIYEDII OJF2_RS37660 MLLTTLITRPLAVALLLAARPASMAQDAPAPKVELPAPARGGGM PLMQALEGRRSTRGFADRALPAEVLSNLLWAADGVNRPATGGRTAPSSYAKYPVDVYV VLPRGLYRYDPPGHRLVAVAGGDHRAEAGTEPHERVAPLNLVYVADLGRVGETPAPAS REDWLSWSAIEAGCIAQNVNLYCASEGLGAVVRVSVPQGEFRKAAGLRADQVILLGQS VGHPASR OJF2_RS37665 MRVEDFQAELGRWEARHREWAEPINQFLRASLPRINKDGYTPAD FQRELHAIRERRRAEDDPGPEVESILGRMCEEYLGATPAERERCRAAVVDKQAVRNAL LGYVASCADRIRGPDDLGPLRPGLEAASIEDCARDDRDALVALAGLHVRAERAGIDPS PHFREVARLSSHASPRGGDTPVSEMLREFPHCAVLAECRASQGPWPPAVAGTGRAIPR PDDRGGSPGAP OJF2_RS37670 MDRQDGQTGPLAPGARPPAWRRSLPLQVALGYAAIALIWSLASI VVLTWSRARGPRLSALAAGAWIGFSAFTSAVLYAGLRELLRRIEASRRELEAGERRLR TLFDKLADPLFLADERGRLLEVNPRACESLGRSRDELLAMEVVDFDAGLTRWEIEALA AESAGQPDRVHTFETRHRRADGTIFPVEVRAIPVEWDGRLRYLSLARDLTARKRAEAA IRESEERLRAFVEHAPAALAMFDAGLRYLAASRRWRETYGLDGREFLGLCHYDLLPEL PERCREHHRRALAGEVVRCEAELIPDASGRDRWDRWEVRPWHAEGGDVAGILIFVEDI TGRMRAERALRESEGRFRSVVESAPAGILAVDGDGRITLANRRILDWFGYGRDEILGR PVEDLVPEGSRARHEALRRGYASAPDRRTMGAGRPLTAARKDGSEFPVEVGLTLVPGA EPAFLAMIVDVTERRRAESLIRESLTFRETLLEAIPAPVFYKDAAGRYLGGNAAFFQF LGRGREETIGRTAAEIVPGELGTLHSEMDRRLLENPGRQVYEAATAGAGDEAAAVIFH KAAIPGEDGRPIGIVGVILDVTALRRAESALRELNAGLERRVEERTAELAELAGILDA STDLIATAGPDGEARWANRAMERAVGPHGPGRAIGRIADTHPPASAARVLEEGLPAAA RDGHWLGETEVLGRDGRLIPVSQLILAHRGPGGEVAYYSTIMRDITERKRMEAELARA ARLKDEFLAGMSHELRTPLNAVLGLAEALMEGIYGPMNDRQAEALGDVHRSGRHLLGL INEILDLSRIEAGQMELLPGPASPEAIGGDSLRMVRDEARRKRLETRLTLRGVPDVLV LDGRRVRQILVNLLSNAVKFTPEGGSVSLEMSADAAGRELILSVRDTGIGIAPEHLGQ LFQPFRQLDARLSRQFGGAGLGLSLVRKLAQLHGGDVEVQSEPGRGSVFTVRLPLVLP EDPEEEGPAEDRPAAP OJF2_RS37675 MTMTVRNLLILVAILAASLGASRIAAGLGLLVLWVLTLAWIRGA SILARVRGADRRVPLVPLVVYLDSLVVASVLTLPGLLAMVIAIVFMTIGDDLASNPSG SPRRSEPLGWAIFAVLCAILYLPVPFVARGMWAPGWSRPPGRRGGPKAR OJF2_RS37680 MSRFARLTPASLVLATLLPLASTRAGAQDAGAKPLRAGIIGLDT SHAVAFTRSLNAPEPKPELAGVRVVAAYPGGSPDIEGNTKHVEEYTRELRDVHKVEIV GSIDALLAKVDVVLLESVDGRPHLAQAEPVFRARKPVFIDKPVAGSLADAVRIGRLAE RSGTPWFSSSALRYSPSIRKLAGNPAIGDVVGCDAFSPSPLEPHHPDLFWYGIHGVET LYTVMGPGCISVSRVRTDDADVVTGTWRGGRVGTFRGTRRGPHDYGALAFGAKGIVLG QGFGGYEPLLAEVVKFFRTGRPPVSAKETLEIYAFMEAADESKRRGGAAVTLESVLAH AREEVDRESR OJF2_RS37685 MRTIAEIRDYLHEDLRWTLWRPGNSAGSAESSETLLQQLLYLLC YIEGREGEYAVALDTYLKGPLMVRGQFEFQGLPFRPFVNEVASVYAEVAFILGHFRPA RLLTDGEMARLASEVKEPAFRGRDWAEPELHALFGPPSHEVVGGLTTVACYGCERVGV KWVHFDLARQVPGACELQWLPEPILRDVRDEVRNRMHLLPFGRRWVDAAPSPKRTDQD RP OJF2_RS37690 MEAKQVVPGVWQLPITFVNAFLLDTGDGLALIDTGIAGAAPRIL EAMRGIGRQPGDLRHILVTHCHADHAGSLADMKRLTGAPATMHPIDAAMTRRGQAMRP LTQAPGLINALVCRFLVGSAPTTVEPAEVEHEAGDGATLPGGIRAIHVPGHCAGQLAF LWPERGGVLFAADAAANAFGLSLSPMYEDIGEGRRSLAKLSALDFEVACFGHGKPILS GASARFARKWPPAR OJF2_RS37695 MTNDVTRILSAIEVGDRQAAGKLLPLVYDELRRLAARKLAGEAP GHTLQATALVHEAYLRLVGPDADRLWEGRGHFFAAAAEAMRRILVESARRRRRQKRGG GRPRVELLEADLTIDDPPDELLEVDEALGRLEATDPEAAALVKLRYFGGLTVEEAAGS LGMSRATAYRHWAYARAWVRCEVLGASGEIPRGE OJF2_RS37700 MPTPDARAKDVFLEAVEVADPAERAAFLDAACAGDAELRRRVEA LLAAHERPESLLDRAAVATVENGFQPSVTAGTPLEGTGARVGPYLLLEPLGEGGMGVV FLAEQSEPVRRRVALKVIKPGMDTARVVARFDAERQALALMDHPNIARVLDAGATPAG RPYFVMERVDGRPITRYCDENRLTPRQRLELFVPVCQAVQHAHQKGIIHRDLKPSNVL VAEVDGRPVPKVIDFGIAKAIDPGLTGRDTLTRPEVLVGTPEYMSPEQAGAGHDVDTR SDVYGLGVLLYELLTGSTPIDHASLARAAMDEVLRRVREEEPPRPSSRLGGTGDRLPS VAAVRATEPARLARLVRGDLDWLVMKALEKDRNRRYETANALARDIQRYLRDDPVEAG PPSAWYRVRKFGRRHRAALAAILAVVLALVVGTAVSVWQAETARHATRRALVAESEAR TDRDAALEAKRRADEQAAITDAVNTFFLKDVLGQSDVANQRGSPDPDVKVRTLLDRAA EGIPGRFAGQPVVEAAIRETIGTSYRALGLYRQAEPHLGRAVDLRLRVLGPEHHQTLT AQNDLATLLLHEGKFAEAEPLLRSGLAAMERGAGPNHVDTITARNNLAMLYLQQDRLA DAEPVLVANLDALTQALGPAHHNTLGTQNNLASLYVRRGRLPEAERLLRAVVEARLRE AGPEHPNSLLARNNLAVVLRNQGKFPEAESLLLATLEVQRRLHGPEHPDTLASQHNLA RLYQARGELDRAEALFGATLEAAMRTLGAQHPISRKLREGLEKVRLQRGRAGGQEMPN GGEAFAR OJF2_RS37705 MSRRLRRLVTTLALPCAVFLVGTGPARGDILFFDDFNGPPLGSA YRADLPIAPDRYQAHLGPGISYVGAPTYHFEAVDGATTLHLQSVLGDVQRAGWSSAAA FSTGAPIVFEARFNTMTQSPTTGIDELLEIWLLDANNLNHYDVVALSAPDYGSARIFS AYGSLTSAGLDTRFSFSNNTWYRMILSGGPATTIRASIYADDGTTELIGVDLGHTLAD LGGSFRIGLSQSVGLPGGAFPTNSAVDYLRLTASGVVPEPGSFVPLAIGGVAVGLRLR ARRPKKAFGPR OJF2_RS37710 MMRRRFHIDLEPLEDRRLLSGPGSLDPSFGAGGTVTTTFSGRDI ASKLLVQADGKVVAVGANMARYNDNGTLDATFGKGGKVTSVSSRSAALVPAGTANAGK IVVAGTSSTKKTGSDFTLTRYNANGSVDASFGTRGVVTTDLGGYDVTEAVAVQPDGKI VVAGESTNTARTLADGFGLARYNADGTLDTSFGSGGKVVTPLWNCDLHSLALQPDGKI VVVAQAASTVGQEFHFTVARYNANGTLDTGFGPAHNGLVLVTDMLADHPGVTSGGWYS DTLAYEAYDVAVQADGKIVAVGETYGNAQGDGWLIARFDAAGNLDDTFGIRGTTFVKP SGDINLWDQAFGVALQADGRIVVAGGGDNAAEFYVGRFDAAGNLDGTFGSGGLVHTVV GSSPNQARDVAIQPDGKIVVSGDAATSSGIGFGLARYLAPTTMTAMAGTLTSTAAAPG PAPTTPWSDASGDDSPQPIGPTIPPVSRLFARRRPRVTLAARPGGALL OJF2_RS37715 MLSPITRSPRPRRRPFRPLPEPLEARECPSGGLLDPSFHGGAPV TTGVMDIAFATAIQPDGKMVVVGRHGTGAFGLTPVLAVARFNPDGSPDPAFGSGGVAY SSLTDVRASGMALQPDGKILVCGSVTVKTKGVSSEAYLVSRYNANGTPDTTFATKGTF TWDYGKGNDGASGMALLPDGSILVAGGANGNLAGGSSASVFKLSASGSLVTSYGTGGL FLANPGNAGSAAGSIALAPNGDAILVGGTKLGTAGGLADAGLIVAVTPAGRLDPGFNG AGYVATLGPGYSSLVFNDLAIQGTRIVVCGGLTADPPPGGTGGLLARFSLSGTLDATF GAAGYFTTGGVSSFRSLALEADGSIVAGGNQAYVGNDGSTYSEMAFAHLTADGALDTG FGALGTGIVYVQAGPQSAVYDLAITSDGRIFAVGYGYTATRVAALVRLTAP OJF2_RS37720 MPRIRTGQLKADPSFLDAVPRSAMIAALRVHVAEADRRGPVRTD HHYGRTDFHLETDAERRSTKIWIG OJF2_RS37725 MSQTPPRLLDSEPSPAQKTVYLEIPLGNWPPVTGVFFPAGYDYN LASLNVLIYLHGDRFEGSACEGAKDIKAYWSGKLFPLRQLTNDSKSEKAILVAPTLGP RPGAGGLTPAEKWGVLVDKIDWYLDQVACGIAAYGPQARPVDPSGAGGATPVPDARIK KIVLAAHSGGGAPMLALANQLTSGNSFDASALKQCWGFDSLYQGPEPWLKLARRTNVW VNMCAGSSTKESCAELERLAKTEPRTTQVTVLPSFYDVPLGDWAPRREAKPTKPVLRK TPTGLVYGHCDVPRNWWGMCMRQSFPP OJF2_RS37730 MPLPLLPSGYALVMAALLAISGSVDQSENRDPYFTPTAAKSTPY MPRLIIRNMREDRAGNIWFATFGGVIRYDGKEFANVSEEVGLAKRRIFSLLEARSGEL WFGSITGGASRYDGKSFQKFTKTVGLGNNDVMWIFEDRDANIWFGTGNGASRYDGKTM TNFTTKEGLADNSVYAIAQDPSGRIWFGTQGGVCSYDGKAFSNLADQVGRPFVNVRSM VVDRSGCLWFGGQEGAFRYDGKTLATFTAKEGLLDDFVGSMIVYRAGNLWFGHPGSFP GGKRGGASRYDGKSFKHFTQRDGLSSLPVYGMLEDRAGNIWFGSADAGVCRYDGKTFT NFSATNPPRLPARPAQPRAID OJF2_RS37735 MARRLIDRIPNKRAALARVLGGTGLLATLERIAAARHDRPALAV FTYHRIAVPGVEADPYYDPVISATPEGFRGQVEALARRFRPVGLDEVHEDGTGPPPAG DHRPPALITFDDGYRDNVDAAWPILKAAGVPAAFFLPTGIIDAPRLPWWDQVAFAIKR TRCPALRLERHPDDPAPIAIELGPEPPPPRRTAAIMRVIGAFLAHEVPDEAWFLARLA QAAEVAIDAEALGRGHFMTWGDARRLAESGMSIGSHGLSHRALGTLPAEAQREELVAS RRTISERIGRHPAAVAYPYGWPGSFTATTTSLAAEAGYRLGFTSREGVNRPGSPGFAP LELRRLNVGTGDTPPLLRARVAMHAALGRSVV OJF2_RS37740 MLMTNAHRIMLAAASALVLAFAATPCEANLIVNGSFEDPAVPVG SFTNYLAGSTAITGWTVVGIDSSVTSGSFVQSGITFQAQDGAQFIDLAGITSNSQLSG VTQDIATTAGDVYEVSFYVGSATDGQFFFASTVGLSIDGGARMSFTNPTAPSDRLDWE RFAVQFTATGATTNLTFYNGSAANNYLGGLDNVSVELVSAAVPEPSSAALLALGAAGV AAGLARRARGSR OJF2_RS37745 MAIDRRLFLKRACALGAGAATAGVIYPLAEARWCHVVRATVAVP NLPPSFRGLTAALLADVHHGPYTPMPFVQRVVETTNAMRPDLILLAGDYITRSGRFIP PVMAALGGLRAPLGRFAVLGNHDHWENTRLTRRELDRAGIARVDNAGVWLRRGDDRLR ICGVGDLWTDRQRPDEALADATTDDAVIMLSHNPDYAEILRDRRVGLMLSGHTHGGQV YLPGYGAPVVPSRYGQKYLGGLVEGPACRVFVSRGLGTTGPPVRLASRPEIVLLTLA OJF2_RS37750 MDDSPTTRLSLLARLRSPRDERAWEEFAAIYGPLLVRLARRRGL QAADAADLAQEVFGAVASAIDGYDPDPAKGSFRAWLFRIARNLTVNFLVKRRRHPPGP GGSDAAALLEAQPAPEDPSDFELEYRRQLFVWAADRARGEFTEAAWRAFWMAGVEGRP AAEVAAELKTTVGTVYVHKSRVMARLRREIERVEGREADDR OJF2_RS41020 MRPAGDDCNTERLASLLADALAEADRAEAIGHLDRCEACRAALE GMAAEASWWGELQSALRPDDPDDGGSIGASHWLGFLAPSERPGSLGRLGAYEVSGVIG RGGMGLVLRAFDEALNRYVAIKVLDPSKAPDVAARRRFGREARAAAAVVHDHVIPIHA VHESAGVPYLVMPFVAGPSLQERIDRGGPMQVREVLRVGMQVASGLAAAHAQGLVHRD IKPANILLEHNVERVRITDFGLARAVDDASMTQAGTVAGTPQYMAPEQARCQALDGRA DLFSLGCVLYAMAAGRPPFVAGSAMATLRLVCEAPHRPLRAANPDVPDWLSAIVDRLL EKDPGRRFATAAEAADLLGRGLAHLQQPGVAPLRLEGWPPGRPRAGRRRLVRLGAALG LVAITGMITSSISTREPDPMRALLILLGVATASAHASDAIEADFARQGIDRWLFQTKA NDTGGRWEPTAAGVRATLPKGPSNNQPTQLVGQFRLEGDFEIMAEYEIESLPKATRDK SAKDAMAPSNNVEIGMSGPDWMVTCFRSNTEAADDQIGYYAKTPAGEVRFNAAPLTRP SERRGRLGFRRVGGMLTILHDQYDGVVLETDPIRFGIEPVSEPSLRLIKLNSRDALAA TYTRLDIKADRIVRFREPPRSWWSKAAWPAGITLAVAAFALLLLWTFSGGSGDEEPDG RDDAAAPRKPAVVDDGAALAGDRKGKVPRGASRPPARGFTLIELLVVISILGVLIALL LPAVQAAREASRRAQCANNLKQIGLALANYEAALRAYPFGVGGGGPKGSTVGRWSAQS QLLAYMEQPALFHAINFAGLPWQNTTDPAIGPMNGTILTTPVAAFLCPSDVDRIDDPL HTAHNSYRACAGTLPYNLKDDSPDRTGRNNGMYWYQSAITPAAIRDGLSNTASFSERC LGDTAAPDALSDLYLVGTSPDECRSAGPLATPRLTDPHQWSGGRWADGSMVYTRYHHA FSPGGPSCLLGGVQDYDSQELVTATSRHPGGVNMMTADGSVHFIKETIDARVWSALAT ISGGEAIDAGAY OJF2_RS37765 MADRPYIFFELTNALCSKCMRKVEAKVVIQDGRVYMHKFCPEHR AERVLVSTDADYYQFSRRTLKPGQMPIKFHTEIERGCPFDCGLCPDHEQHSCVALVEI TDHCNLTCPVCYADSSPRREAHRSLEQIAFMLDAVVRSEGNPDVVQISGGEPTIHPDF FAILDLAKARPIKHLMLNTNGIRIAREPAFARRLAEYRRGFEVYLQFDSLDAAPQKAL RGADLTEVHNRALDALDEHGISTTLVVTLKKGLNDDQIGAIIDFALSRRCVRGITFQP IQAAGRVEGFDHARDRLTLSEVRSKILEQSPIFQPKDVVPVPCHPDCLAMAYALKLEG KVIPLTQLMDPTTLLELQGNTVLNEQDPRLRKLVVELFSTSHSPDSSAWTLRQLLCCL PEFTAPPELTYDKVFRILIVQFMDVYSMDVRSVKKSCLHIVHPDGRMIPFDTYNLFYR DRDASAAIPDMTAGLPLVQIGSAGGAS OJF2_RS37770 MSDAGPPPVPEAGPAPEGELYCLGCGARNDAGAAECWLCNGRSL VKAGPGGRPPEPASPQRFSFTIAALMVLVAVVAACLGLYTAAPGLLLLVAITSAPAVA LVEYRAAKRRKRGIPMSHAERFGCFLLLLVLIPVLVAVAVLSALFIYCSLGGR OJF2_RS37775 MADRPYDSPDLATTPGDRAWFLSSRVQDYRGEARVNLLRLVAIA AFYLIELASHHGVSLGPLAIPAAGDRAFHAAATALAAGWVSLAAAVQLGLGRGILPAA LKYVTTGLDVVLLTALLMIADGPRSPLVAGYFLILAASAMRFRLSLVWFATAGVMAGY AWLQGWALWLEPHRDVRVPRYHQLIVLTALGLCGIIQGQVIRRVRAMVVENAARLAAT PGATDPTGGGLP OJF2_RS37780 MSKPGSEARPPAADGLVCRECGASNDAGSSECWLCNGRSLASAA AGSSPRPRGFFSSISGWMVAIAGLAVCMGLYALAPGMLFLAAISVLPAIAAVEVKAAR RRRLGLPMSAAERVVIFVLITVVTPVLVVGAAVIALIAYCSMTGPVNFH OJF2_RS37785 MTDLAPAPRPGPAYAAIMLAAIATAALLRRKPDRRLPLPLSQRL GIALGAFCGAMIGAKLPYVLADWEGLKSGAAWLDNGKTILAGLVGGYLGVETAKALLG VTIKTGDSFAVPVAAAVAVGRLACFVGGCCYGKPTSLPWGITFHDGIPRHPTQLYESA FHAGMAVFLAWTERRGLFVHQRIKLYLIAYLAYRFATEYLRPEPVVLLGLTAYQLGAL ALLPAFAYLWHRDSAAATMAASTE OJF2_RS39745 MSDALQPIGGKSFEDLKQTNEHGAEYWSARDIQPLFGYGQWRRF ENAIKKAQTSCEQ OJF2_RS39750 MNSSPACRRSSSRSATAAWASESSWRRAWIAKYRWAWAISGFLG SPFWAIR OJF2_RS37790 MFGVFHDAGYRGMYGGLGRDAIKRRKKIPEKENLTDRMDSTELA ANQFRMTQAREKLARDGIKTGERAIRAHEQVGKEVREAIRADRRHAAREHPARRAHQG RREASETGPAQAGAR OJF2_RS37795 MRMRRSTTRIAFAFTLATFATAGGLAHAQAAGRKPNILVIWGDD IGTWNISHNSRGMMGYRTPSIDRLAKEGISFTDYYGQQSCTAGRAAFLGGNVPVRTGM TKVGLPGAKEGWQKTDVTIATVMKGLGYATGQFGKNHQGDRDEHLPTMHGFDEFFGNL YHLNAEEEPENRDYPRDMKLPNGKTFLETYGPRGVLKCKADGRGGQTIENTGPLTKKR METIDDETVAAAKEYIVRQKNAGRPFFCWWNATRMHFRTHVKAEHLGKSGQDEYGDAM VEHDAHVGELLKLIDDLGLADDTIVFYSTDNGPHYNTWPDAGTTPFRSEKNSNWEGAY RVPAFVRWPGKFPAGVTLNGIVAHEDWLPTFAAAAGDPDIAAKLREGVELNGRKYRNY IDGYNQLDYLSGKAAESPRKEFVYVNDDGQIVAMRVLDWKAVFLENRGQAFEVWREPF TELRVPLLFNLRRDPFEKAQHNATTYNDWFLDHPFIVIPMQQVAAKFLMSMKEYPPSQ SPGSFNLEKIQKMIEAGAGGK OJF2_RS37800 MKSRLFACLAVSLLVLGLSAIESRRAQAQQGGGQQDRPASNAQG SPSSSIVAEIDRALESYETRSGQNLEQCRKELEQMRKQLHELIDTRIQMAISLAEIQS RLPQPQQQPQMAIYGGEMRKSSASATESAEYARSVGARGIRVVEAQRPGAGDPRRQAA VLSDGLAQLQGQLRSEIDQEKAQVDQLVGRLRALRQEHQQSQELASPARRIDDEPATK K OJF2_RS39755 MTPTSSRRLAPALALLAAGLLTPTFGPGPALAQDKKPEAKKAEE KKPAPKPDDKKPDAKKAEEKKPEAKKPDDKKPAPKPEEKKPEAKPAPAPAPAPAPAED PAKLKAQVAALQAEVAQLKLKVASLELEKLGASVNVDKGKDGKDIATVNILKKWSGDK DALQLLKNVPNLQVVYIDNGQVNDAALAPLKDVPSLSALTIMSPQVTDAGLDTVKNLG GLTMLFLTSSKVGDKGLAQLKGLKNLQVLALSRTEVTDAGLDALKDIKSLKSVYLIGT KVTPPAIEKLKQAVPGVAVYK OJF2_RS37810 MLAHVISLAMLMFLPIAEDDLIPFTVTVVNERTGEPVRSFRYQM WYEPRRQGSRDADGWTQVESPDGILQLRLPRPCRLNLEVEAADFVGGHPELERMLLRS DDRERGLVVRLRPGIVVRGVVRDAETKAPIAGAKVAPLKLHGKEIWWPDEDRQVTTDA AGRYEVRGVDPEHGVAASHPDYASDVDFSKVRKVDNIQDVFLKREPGAALTITVVDST GKRLEGMAFDYGAKSEGVSGHDGVLRVKGSEVSYGRLRKAGIIDKHLTPESLEDARRR GGLEVVMEPTIALTGRVVGPDGRPVAAYTIAAGPGVREADEDMDRRDIRGDDGRFRLD LAKEGRSWICVVAPGFAPWEGWTDLRRGGPPVEITLSRGVAVSGRLDVPEGLRGRVRA TLTLRHDDANVGGDIVAWAAEDLEARAARLGPDGSLRFEDVRPDRYWLIVDVQDMLRT SLLIDVPAEGIDMGALPIRIPVATGRIEGRVWHPKGEGGAPWAFGDGYVGDYSPEVCE TIDLDPRGEDHLHSIPFLSDEDGRFRVDRVPVGLNAVSFLYLTGDVQLAYTWYALVAE GQTTRVLAFAPDARRPFTLAPAIGDGSASQFVSGTGLDASRRAEDFASISGTFAALSN RSVRAREPVFWVELMPLSNAPLSFAFPGWVDLDAEGKVVLPDVAPGTYRLRVRDWHDF KGREGLPLFDASVVVPPGGRGEVRIPLGGGCIKGKVPSPRGISDWPVEVTAVAAENRG ASRQARCDGKGNFCIRYLPPGAYTLFIRDPTSGRFARVEDVQVRDNAVDIGERQLEPG AILRGGIRFERPTPVPDEVVATGPRGVVARRAFRDDEGCDRVDLAGLWPGRWVVSARS RGEAVATAEVEVKGTGPHEVDLVARGKAEP OJF2_RS37815 MEPATPEVFVGIDVSKARLDVAIGDEPPFAVDNDPAGHAALAGR LAPRRPRRVVMEATGGLEAAAAAALAAAGLPVMVVNPRQARDFAKAMGYLAKTDAIDA KALAHFAAAIKAEPRPLPDEAARGLDALLDRRRQLVGMRTMEENRKATARGRVLRDLE AHLRWLGEHIEEIDRELDERIRSSPAWRERDDLLRGIPGVGPVLSRTLLAGLPELGTI SRRRAAALAGLAPLADDSGRRSGPRRIAGGRGQVRAVLYMAALSARRFNPALRALADR LEAAGKRPKVILVAVARKLLVIANAILKAGKPWDPEIAAKLAQNT OJF2_RS37820 MARIGFHASHELFPPGELLRRARDAERLGFDGAMCSDHFHPWTE SQGESGFTWSWLGAAMQATGLTFGTVCAPGQRYHPAIVAQASATLASMFPGRFWVALG TGQYLNEHIDGAPWPAKPERQARLLEAVKVIRALWAGETVDHDTPWFKVRGAKLYTRP ERPPRIMGAAITEETAEWVGGWADGLITVGKEPADLRKIVAAFRRGGGASKPMALQAA VSYAEDESQALSEALRRWPVATVDLAKNQDLAAPADFDRETAGATVDDIRDKLRISAD LDRHVEWLKGDIEAGFDEIYLHHVGPAPDAFLQAFSERVLPRCRG OJF2_RS37825 MELQVEEHEAGTGNGVAANGRGTRALPVGADLLHGGGTSFRVWA PKRRSVSVVHQPGPSWREDIEGEVVPLEAEPDGYFSGIVPEAGPGTLYKYRLDDSATY PDPASRYQPDGPHGPSQVVDPDAFVWTDGGWRGVEIPGQVIYELHIGTFTRDGTWKSA IAELPALKELGITCLEVMPVAEFPGRFGWGYDGVDLFAPYHVYGTPDDFRRFVDEAHR LGLAVLLDVVYNHLGPDGAYHREFSDDYYHRVREKTEWGDSLNFDGEGSGPVREFFIA NAGYWIREFHLDGLRLDATQAIHDESDDHFLAAMARHARKMAGDRPILLIAEDDSQET VRVRPPSEGGYGLDAQWNDDFHHSAMVALTGRSEAYYSDYQGSPQEFISAIKWGFLFQ GQYFRWLGRARGSSTYGLPAWSFVTFLENHDQVSNSARGDRLYSLTSPAQYKAMAAAW LLAPGTPMFFQGQEFGATNPFLYFADHVDDLASKVQQGRIEFLSTFRSIANPDFQEYL PNPAAIETFVGSKLDFSERARHPGLLALHRDLLRLRREDPIFRTQRADRIFGAVIGPD AYLLRYFGEKDDCRLVLTNLGRDIFPNPASEPLMAPPKGRRWEILWYSEHPQYGGCGA PPFESETEWRMPGRATVVLKPVPLEGP OJF2_RS37830 MCRIVAYLGPPLTLSRLLHDAPHGLTDQSRNARLMHDSSVAGDG WGVGWFGPDEGAGPGLLKSILPLWSDENGKTMPHAIASGSIVGHVRYAAPNVETCFTN TPLFVMDGLLWTVNGAIEPWPGPISRALRGLLDSDHEADLRGSTDGEMLGALWRTNFR RAGGSDAAGAIRATLRQARDVVREHRGTIMINLLLVGRGRGVAVRYAESGEPNTLFTC KGEGRWQGGTLVASEPLDDEPGWDEVGPQGLVRFDGSGVEVEPLGLDEIEADSEVRAQ AGSANGHGARASGS OJF2_RS37835 MSGDMLHHELTEAIPGPPPAGDTADKGGRPHESLGVAAEQSREQ KESQDAQDRQGGVRDRLVDIGKANHMAGRGNGRVSDR OJF2_RS39760 MRMNQALRAAAGSWAAALLIVGCGGQGDNVGDRTGGNTTPPAGT GVGGRGDTGGTGTTTGTTGTGTSGATGSSSIGSSGATGTGGGGSMNSGSPAGGPAGAG GSTSGAGGTAGAGGASGASGGTSGPGGTSGSAGTSGSAGSGAGAGGSSASPGAGATDT SKGPH OJF2_RS37845 MTQAEGRGVDRREFLRAGMAAAAAVAASPGAAMAARPPIQEVAE AILEEYVRDVLPLMVASNEASWAASTDVSEAHSAAQAEAMQRLYEKAGARRVIEETAR LLGQKDQLDDLTVRQLEKVRLAAAESPGTLPDVVKARTEAEAKQSAAQDGFAYTLRRD GKPDEHPTANDIDRVLVNSKDLGERLAYWEVSKSIGAPLRPGILKLRDLRNQLARAMG FDDFFALQVADYGMTTAEMVALCDRLAAEVRPLYEQLHTWAKHALAKRYGVDAPAGKI PAHWLPNRWGQNWPSLVEGVDMDAPFKGKPKEYIAEQAERFYASLGFPKLPQSFWRKS DLYPADPKSGRKKNSHASAWHIDLREDVRSLMSIEPDNRWFTTAHHELGHIYYYISYS RPEVPYLLRAGANRAFHEGIGDLIGLAAGQRPYLKSVGLLTPEAEKAPAITFLLDTAL DGSSAVFLPFAAGTMTHFERDFYAGKIGDEKLNEGWWRHVGHFQGIAPPGDRPEALCD AATKTHINDDPAQYYDYAIGTVIKFQLHDHIAREILRQDPRECNYFGDEKVGDFLRGI LRLGATRDWNAVLREATGEGLTARPLVAYFEPLMEWLRKENAGREVGWS OJF2_RS37850 MSEPSPADGPLVPISCRVERAVWEAIEARLEGSNGSLDDLVNSA LADYLQVGRSTLYQLSTAGALVEGIYRGEMTVARLRGHGDLGLGTFEGLDGEMVVVDG HFYQVRSDGMVSEVADGVSSPYAVLTKFDPGVPTPLSNCSSLADLEGELDRLRTSDNL FYAIRVDGVFSSVRTRAVPRTADGVPLVQAAASQPEFHLADVRGTIVGFWSPAYFKTL TVPGYHLHFLNEDRNAGGHLLDCAGRELVARIERESNLRLTLPHTGAFLDADFARDSS DDLRRAETAPGGHADA OJF2_RS37855 MSEPETQHGTGRIGAQLLVEHLEAQGVEHIFAIPGAKIDRLLDV LHGAKPRLTVCRHEQNAGLIASGIGRMTGRAGVCLVTSGPGCSNLVTALATATYEGDP VVALGGAVPLAARHKLTHQTLDTVNLFRPVTKLSIEIDSGQTVSEVLAGAFRAAESGR PGAAFVSLPADIMEGPTSTANLAPSRPPRLGPGDAETIAEAARLINGASRPVLLLGML ASDPLHAAAVRRLLKAAPLPVTCTFQGAGVVSRELLGCFAGRVGLFHNTPADEVLDAA DVVVTVGFNPIEYDPSAWNRGASRPIVHLDVVPAEIDADYRPAVEVIGDVADSIDALI PQLRPTPSLEGHAALDAVAKLVAATRAKAATLDGTPIHPLRLIHELQEILTDDMTVIC DVGSIYIWMCRHFYAYQPRRFLASNGQQTMGVALPWAIAACLVRPGEKVVSMSGDGAF LMSAMELETAVRLKCNLVHLVWRDGSFDMVKIQQLAKYGRETAVELGPVDVLKYAEAF GATGLAIRTPADIAPTLRRALEIPGPVLIDVPVDYSDNASLVRAVRADAVA OJF2_RS37860 MKLRPERGRRPESVWVGIAPVRSRAIRGALALGLSCMAVVLAAA GGRALGQGQGRRGPAGDGPVAKGKPASKKAAGGPNARPPFAPGEVLPPVMIGRGDKDG DGALSRGEFLALADDWYDRLDVAKAGTLSSDVFATRFEGLLPGPGQGFGPSAFLAPGV FSAADVDKDGALTRDELRQTFGAWFDRWDRGRAGKLDAQALAAGLATAWPVVRFRGPP PAQGGPSDRIRSEIAKGADLSPKAPVKPVSAREEAGRFLMQPGYRMELVLGEPDVQEP VAIAFDGNGRMYVAEMRSYMQDIDGKDEKAPISRVSRHEDLDGDGVYERHTVFIDGLV LPRFVLPWDRDSVVSMETDADDVFRYIDTDGDGKSDRKELFFKGAGRRGNLEHQQSGM VWGLDNWIYTTYNAFRIRWTPGGKVLREPTGANGGQWGLTMSDDGQMMWVDAGGEVGP TNFQVPIHYGSFSLPDEVEEDFRVPYGEPLGLADFQGGMGRVRQPGGSLNHFTAVAGE DVFRGHRLPAELVGDWFFGEPVARIVRRARRVVTDGVAKLHNAHPKSEFIRSTDPLFR PVNMATAPDGTMYIVDMYRGIIQEGAWVDEGSYLREKVKQYGMDRITRRGRIWRLRYD GMEPDRTRPRMFDESPAELVRHLEHPNGWWRDTAQRLLVLRQERSVVPALREMAGNSG NRLARVHALWTLEGLGALDAGLVRAAIASDDPRMRIQGARLSESLHKAGDKSLASNVR ALAADPDPSVAVQALLTLHHLKVPEAAAVIRSTSQKSQSRGVREIGPRLLQQPGNRDE FAGFRFTADQRRLLERGSAIYKELCISCHGPDGRGAPLAGAPEGTTMAPPLAGSPRVL GHRDYPVNVVLSGLIGPVGGKTYPSLMAPMGTNDDEWIASAVSYVRNAFGNSASVVTP AEVAQARAASKGRSFPWTPAELEASLPGALRYRPDWKVSASQNAEFAHFGINGTGFIG WDSGEPQKPGMWYAVEMPRPAALGEIVIESRAGGFGPATHPRGYRVEASADGKTWGPA IAEGRGDGPTLRVAPAKPVAAKAVRVTLTEPAADGAPWTVQKVRLYEAAKAPAPGSLE PRIGTLAMAEVLDAMPRTHGDPRRGERLFTELSCVTCHTVRRDEPAKGPSLAEVSKTY KRRELAEQVLSPSKNIAKGYATQVFALNDGTVFEGFVVRETPEALTVRNVSAQEKTIP AGDVEDRKTLAKSVMPEGLVANLTVKDFASLLDYLEGLAGPRDRADVRGPGGEERGK OJF2_RS37865 MAAAASIALAIGTPGAVVAGETLQDAWAIALGSNQGLQASQAGA ASARQGVAAARAERVPTVTTTNAYTWLNTTPTFKTSLALPGASTPINFSFPFANRDFF FSSTLMNIPLYAGGRIASGIDAAGAQATAARAEETTAAQDLKLDVAQAYLNILRVEKL LLLAQTNVTSLESHQRDVSNLFREGVARRTDLLSSQVSLARARQRVIQATNDRDVARA SYNRLLGRPLTDPASLQEMAIRSDAAIDRTSGGRGPEPSGERDGVRRTAAGVEDSAAS KLPSALPPAPSAAPPAGDTAAAPGPAQDAEIERLTAIALSSRSELASLAGQAQAYAAQ ARVAESVRKPQVGLVGGFTYLENDHLTRNDYWSGSFAASWLLCDGGRSSRRAESLRLK EAQSLKQRSEAASRIALSVRSTWLSLQSARSALAVARSATRQADENLRETRDRYREQV VNNTEVLDAETLRLQTYTDYYNAFYAVLLEQFRLRRALCAL OJF2_RS37870 MQHVSTVHQGWPIAKLRFHPSERLLASVAGPHAEVAIWTWDESG SLSRLASLRPAGETRVADVAWHPRENLLALVGGGRAIELWSEGRLSSTLGQHPVPGRV REHLTGTWAGGKFEPRLYTERIPVDGQGYSAAVFSSSGDRLAASPFGHDPHGDEPTEV YDVASGTLVDSFWRSDSSLVLHPEGEIIATLSSNQGATAVRFGLLGDTFQGYDAQLNV IVDGYDRLVFSSHGDAFAVMGHSYRVGFRIYEFPSCRLLFELDFETLEEMWAHLWQEY RDSLAFTARPDGRYPYEFIAKLWTVKDRLSFHPGGHTLLIGTMKGHVVGVDPDDTSKP AGVWTCHDGPLLALDVSAYHCVLATARFDGELKLWNLNGAPLPAPCGKPMTEAFLRTF QPIDSAAPEEQFRTTDGRRWYNLETIGEEELDDDAPPWAQIARWMRRADGPEA OJF2_RS37875 MRHLVTRTCALAAIAVGFATGGPTGAAEGPSTGKVQPADDMVLN YHLMHPGGPSLPGDVNAAYYLDGVYHLHYILAHPWKDKGSFSFIHVTSPDMLHWTWQA TKLQPSFTGHGMFSGTGFLTKEGKPAAIYHGQGSGRNQIAVAKDRGLSAWEKPYPVDV RNADGTEAKINHWDPDCFLIGDTYYAISGGTNPPLMKSKDLKTWTYVGPFLHHDTPDV AVGEDISCPNFFKIGDKWMLLCISHNLGCRYYLGDWDAKAEQFVPEKQGRMNFRREDQ NLFGPPWRVDFFAPESVLTPDGRRVMWAWLACLGKDDGTMDDRTIESLPRELSLPADG VLRIRPLRELEALRRDQAAQHDVTIDRPTGDVRAKAAPAGKVLTTLPGDSAEIRITVA RDQAERKLFGFVLFGGPSGGGLPVLLRPENGTLRVGTAEAPFSVADLPPGEDLTLRIF VDKYLVEVFANDRQAMIASYADYRGKATLTGFTVGSPTTIKTLETWRLEPTNQGFLEA RKSRVWEPGRD OJF2_RS37880 MTAPAGTIEATRPAARRAVNPWLVALTVTIATFMEVLDTSIANV ALPHIAGDLGASIDDANWVLTGYLVANAMVIPLSSWLSAAMGRKAYYMACVALFTITS ALCGLATSLPVLILWRVVQGLAGGGLQPVSQAILLDTFPAERRAAGMAVYGVAALTAP VLGPTLGGWITDNYSWRWIFYINIPAGVLSLALNALLVEDPAYLKAERAAMLRKGLRI DYAGIGLIALGLGCLEVVLDKGQEWDWLGSPGIRAMIVLAAIGLLGGLAWEWRHPAPF INLRLLRDRSFFFGCLIVASTYAVLYGSILLLPQMMQGLMGYDATNAGLVLSPAGFFS MVTMILSALVLRKGLDARWLISLGGGVMALGSYWLVTLNLQAGPMQLVWPRVVQMAGA GLMFAPLAAAAVLYLPKTEMNNASGLFNMLRNEGSSVGIGLSTAVLQRRVQFHSFRLT ESLQPLSDATTAALHATGRFFTAVTGDPARGELMGLRAIRLVRDQQAYAMAFLDCFWV FTLIAAATVPLAWLMKRSVAEGEVHIGE OJF2_RS37885 MDTERRNEPGPPPGDAPDRPAPRATEETPGDPARGPAAPEAPPP HPPSGPRRRGKRLLIGLLLAAGLAGGAAWYRPALVLMWNSVHTDDAYVAGHVTYVAPR VAGTILKVHVDDNEFVEQGDLLAELDPELYRVAEARAAAAVAVAEAQLVQARSQAKSI VAGIRASFNNLMLTTNNVTEGIAKLKASLATQAKAAAQRSLAEAELRRARNLLQSSSG TQQVVDQREASFQVAAATEVEALEQAHLARAAIGLPSIPPPGQGLGDVPADWANRAPA VRAALAELINVAVKIGADVPPIEEDARTAVENFRRKAPGGDLDAYLNNLADDSPPVRL AKAGVEQASRALDQARLDLRDTKIRAEFSGVIGRRVANPGNRVQAGQGLMTLRSLDDV WIDANFKETQLADLRIGQPVEIHADAYPGRTYRGRVSGFAPGTGAATALLPPENATGN FVKVVQRLPVRIDLVDGNPPDAPLFVGLSVEPRVLIREAPAGPFAGQRLRRPVQEEAG ARAAEPSR OJF2_RS37890 MSLGNADPNAVVVRRVLRHELEAIRGNWPWLLALGIVLVVVGTL AIGAPLLASLATSLTIGVFLLIGGGAQLVGAFWTRDWSGFFLVLLMGVLYAVLGLLFI RQPVQAAAALTLLVACALMVGGLFRIIGALTYRFPHWGWVLLGGLLNLFLGILIWMEW PGSALWVIGLFVGIDMLFTGWTWIMLSLRLRGIAARHPPHPSTTATPPPASA OJF2_RS37895 MRGTDRSRRGLAPWLATLAACAGLGSTALPGRADAPATIDVDVD KPGVAIPADSFGLMTEEINHAYDGGLFAELIQNRTFQDPGSRGGPGAGGVAVGGLPVH WSVIGPGKAATVDADPATPALPLSLKLELGGGESGVANDGYWGIPVRPNTAYTASFFA KASGGFAGPVTASIRIDDGGAIVAKADTPPVTGGWLKYTVTLRTGADAPTTSKARFVL SASGAGSVEFSLVSLFPPTYQNTPNGLRVDLMELMADLRPKFIRLPGGNYLEGNRFSD RFNWKQMIGPAELRPGHQGCWGYRSSDGFGLPEYLLWCKQLGAEPVLAVFAGYVLNGD YARAGSPEMAVYTQEALEEIEYVSGPADSEWGRRRAADGFPEPFPLRYVEIGNEDWFD RSGSYDGRFTQMATAIRAKYPHLKIIASAPVKSFTPDVFDDHFYRSARELLRMSSMYD EPKGTPRPLRFNGGGYNGRQPGGIKTFVGEWATQEGRPTPTLNAGLADAAFVMGLERN SDAVIMQCYAPLLVNVNPADPGKGYPRAWQWGTNLIGYDALRSFGSPSYHAQVMLAHN KGDVVLPAKIDVAPVKPKEETPKGKVGLGAWHTQVEYADFTVTAPDGRVLLSADQARD IKNWKTTGGSWSVRGDALAPAERDGETWAIAGDPSWTDYTIHVRARKRGGREGLIVIW HAADGDNYRWWNVGGWGNTVIQCEAAEAGGRNPYGPSTPISVEAGRWYDLKLEVAGRR VKGYIDGKLVTEAADAAPAAQAPVVATATYDRADHAVLVKVVNAGDEAIDAKVNLRGA GRVGPSGVATVLSGEPGAVNTADEPKKVAPKQEAITDASESFRRTFPPHSFTILRLKA EAR OJF2_RS37900 MSDDHYENLVIGSGEAGKYLAWTLGGKGQRTAVVERWKVGGACP NVACLPSKNVIHGAKVAEFVREASTYGIHTGPATVDMAGVTARKRAMVEGLQALHMEK FRASGAEIVMGEGRFVGPRTVRVALNGGGERVLKADRVFLDVGSRASLPDVPGLADAR PMTHVEALELERLPEHLVVLGGGYVGLEFAQALRRFGGRVTILQRSRLLAGEDPDVSE ALTQLMADEGIAVRTGASVASVSGTSGEEVTIALAGGAAIEATHLLVATGRTPNTDSL DAAAGGVELDAHGYVRVNERLETTADGVWAMGDCAGSPKFTHASYDDFRVVLANLSGG SRTTAGRLIPSCLFTDPELAHVGLSETQAKAKGIPYRLARMPMASILRTRTISAPRGF VKALIAAEPDDRILGFTAFGAEASELLAAVQTAMVGGLPYTALRDAIFSHPTMSEGLN VLFGGRLQAVQA OJF2_RS39765 MSETVTLEIPDELARRAREVASATRRRFEDVVLDGLRRAVEEPD VEALPDDSLLALCDATMSPANQDALGTLLVLHREGTLSGDEAAHLDVLMAE OJF2_RS37905 MTAVGTMLRRQLGESRWFLGLAASALFGLGWLSAFIACRVERRF REVTGEEAERFTQFTRGMGGAAMDFSSLAFQVMFWSHPFVFLILCTWAISRGTAAVAG ELERGTLDVTLSRPVSRAEYLATQVIVAVLGFLVLAAALVVGNRVGGLYNPVSDPPTA MALIMPATNLALVGLAVYGYSLLCGSWDVVRWRPNLFSATVTIAGYVAGVASTFPTLS DWEWIGRFSVFKAFDPVEVAVTGATFAPHAAALGAVAAAGIVLAFVVFLRRDLPSNT OJF2_RS37910 MGSIPGRMAAVRDERGGSMGETVIATWGLTKHYGRFAALSDVSL EVREGEVLGLLGPNGAGKTTLIRVLLGMLRPTAGTASVAGFDPWHQGREMRRRVSYLP GEIRLFGHLTGLKMLRYMSDLRGGEALERAVALAERVLKLDLSRKVRTYSTGMKQKLA LAQAFADPVEILVLDEPTSALDPTVRNDVVSLVGQARAAGQTVLFSGHVLSEVEAVAD RVAIMRRGRLMHVEDMRDRRGLRLVLARYEGGVPDRFPEELGLVVRERNGATVLWEHR GPVSPLATWIGSQPIVDFAVGTEDLRSLYDRYHGPEAGGDEGGDEGEGGPS OJF2_RS37915 MRLNHLNLTVTDVQETRRFLETYFGMEGRGGNDNIAFLTDEGGM VLTLTSMKLGGVTEVRYPPSFHIGFAQASAESVDAIHGRLKADGYDVPAPSRQHGSWT FYFTAPGGFTVEVLS OJF2_RS37920 MRIHACPMLPVPGLLLAALSLTGILPHADAGQDAGKPAPVTVEN FIRAESDVYLGGIVKEGGFGKFRHSRELTPIDRQTIIRMNRDTLYSAAVFDLDAGAVT VTLPDAGTRFQSLQVIDQDHFTHGVSYGAGARTLDRKEIGTRYVLALVRTLVDPADPK DLDEVHRLQDAIKAEQASPGAFEPPAWDPASHKKVRDALLVLGSTLPDSKRAFGAKGR VDPIRHLIGTALGWGGNPDGDATYINVTPAKNDGKTPYTVTVKDVPVDGFWSISVYGA DGFFKPNPRDAYTISNITAKKAADGSVTVTFGGDGSAPNSIPITPGWNYIVRLYRPRP EVLDGTWKFPEPRPAE OJF2_RS37925 MNGAEFRAAAKLAFSHARQRPGRIVLTSLSTIAAACVVVWVVSG YDSLVGRFGDMGEEYVGRYDLLVIPSGGPPMMAGPEESAGPAGPRGLSNALLDAIRSD PGVASVAPVYESRASIRRAGSPPPRPGEGPMPAMPAQPKAGSGPIIMGGMAQLRGQAR SPSLVGTDSAEPLQPVVAGRWFDPAHPGRREAAITRDSAEALGVTVGDELVVGRAMMG MLGGMRGGSPKAASRPEPTVKVVAIVEQPRRLPPPKFMVGLPPSRDAALQGGPAGNAV YVPAALAAELAGAPPRPSYAGVLLKPGVKADDFAAGWAERLSKATPPAEARTPGKVEG DVAGSTTFETVRAQAWSATGISLLAALFIIFTTLSMGVDERIRQLAMLRAVALTRSQV ALMVGVEGLLLGLIGWAGGLLAGRGLLSVMARLRPDSVSEGAALGSWCVVLSGLCALG GSIAASILPAWRAVRVSPLEAMAPRRGVDRRAALAAMTAVGLLLIAVNPLLVFYVPMQ DAARYGMSAAIGCTSMAVGFALLAPAAIVLVERLLGPVVAKVLGLNPRLLAMQLSTNL WRTAGATVALTVGLGLFVAMQTWGYSMLAPFTPGDWTPDLMIQLGPGGIPDEDVRELR RVKGLAPGRLAAVASRQVRFADDPTGFRERPSATRQDTCLMLGVDPELALAGADPLFA FDFAEGNREEAVAKLKRGRYSLVPDHFAREANLGVGGKFRVIAPDRPGEVLEYEVAGI VSMPGWHWMTKTIRRGRAAGVMVAGYDQIRADFRTGRPSLFWGDMDGSATEDEIRAAV EPIAARSSGPGAARAGGPPAVPGAGPRRPAGPPVTLRSAASVREQIRGRADGIIWALS QLPLVTLAVTSLGVVNAVLASIRARRWELGVLRAVGLTRWGLARVILAESLLVGAVAC LLSLGFGAMAGYCGTGVSRYISIRGGQYTPLVIPWYGLSVGFGSTLGLCLLAALWPAI RTGLTEPLKLLQAGRAAT OJF2_RS37930 MTSIMTGSAAAAGHETPQGPAAPPVVLEGVAKRFRQGDAIIEAV RGVSLTVEEGAFVAVMGASGSGKSTLLHLAAGLTRPDEGRVAIEGTDLAKLPDATLTR FRRRRIGLVFQAFNLVPTLTAEENVALPLLTEGRDDAIAGRVGPLLDRLGLAPRRRHR PDALSGGEQQRVAIARALVAEPALVLADEPTGSLDSATGQGICRLLRELSEERRRAIV VVTHEPAVAAWADRVVVMKDGRILAELRAGDDYRDAHSLAARYQGAVESS OJF2_RS37935 MMLALCGCGSGQQGPAIIPVSGKVLVDGKPAARARLSFQALGAA DPSAPPTIATTEEDGTFRPTTINAHDGMPAGEYAVGVAWPAIKEDRGEEIEGADRLKG RYASPASSGLKAVVKEGGGELPPFELSTTRKATGTTREGHAR OJF2_RS37940 MTRGIRTEAGRRGAGFTLIELLVVIAIIAVLIALLLPAVQAARE AARRIQCTNNIKQMLLGFHNHHNNYNGFPPVRTETPNYGWCVNLIPFLEQAVLFNAFN LNKNFYDYENQTVSHTSLSVFSCPSDPQGLRDVEIQLGKTDYGTKGTVGDYKTNHLLN AMYQVNGAAGNPVLLRAGQYQKISAITDGTSQTTLIHEQAGRPIWYLKGWKAQPTTAG LTNVYWWDCWASYSHFQYQGYSADGASTGWACGVNCSNAQGIYGFHPGGANVGFCDGS VRFLKDTTPALIVFKLATRDGGEPLSADQY OJF2_RS37945 MLGAIAGDVIGSVYEADPIKSTSFPLFHDPCRFTDDTVLTVALA ESILDGTPYVSLLKSYYRRYPKAGYGGTFHRWALSPGSEPYGSFGNGSAMRVSPVGFA YDSLDEVLTKARESAEVTHDHPEGIKGAQAVAAAIFLARTGSTKDAIRDYVAAAFGYD LDRTIEDIRPRYCFDVTCQGSVPEAILAFLESNSYEHAVRLAISLGGDADTQACIAGG IAQAFYRGVPPEIAARVFEILDEPLAAVTRRFQERYEAAR OJF2_RS37950 MRDGIRGWGGRGLAAVLAGLVIVGLAAAQQPRQQPQRPNRGRLK NQGGEPLKKARPNAADPLNPANRKAADRDAEKASNGTYHYNFRLHSFDGTALAASYYP SKLASGAAAVMLVHEAGRSRKDFEDPVADLKGKGLAEHLQQEGYAVLSFDLRGQGQNP RRELTAEDRQQLAEDLQAGYVFLLDRHNRGDLNLAKLGVLGVGEGANLAAAWAYQPGA AVSTEGRASDLNGLILVSPMPTGGGYALKSLAPSLAPRIPMALFAGEKDGPCKDAVEG VRGVVERARLNRIELFPSPLHGYKLLRLEPKISDAITRFLENSVKLRPTEWEPRYNLV PVTVSDITTVRHTTPAAKPAEKKADAEKKADAEKKADAEKKDQEKKDQEKKADAEKGK EDAADKP OJF2_RS37955 MEVFAEDPTYLVIGLVVLAAACFVAMRVTQQGKFLVWALGSLGL AGLMLLVDFLWVTDNERIERVVYDLRRAVLAGDADGVLADLTPDVEYLQHETSISGEA TRALIKANLANASFDFVHLRDLQVSAGRQTRRGKAEFRVYAKGTLKTLGGSYNVGTAN STWSLGFQQTAPGVWKVNRITPVAVPDGAIQAPGPDLKTRARQPGVMPEFSKRLHGRR VLGPMGPGPHGAPAEDRPKSESREGGAEDRPKSESREWGPEKPSRPGEAPTAD OJF2_RS37960 MRLQSMATATGLLPGPRRASLPACGLALALAAAAGCEEERNVDK KPAAPNAAAAPAPAKKAEPEFIVGKRTQDIKNARPELQKGAQVGSTKITAKDYITLQG NAYVTAIGQTSILSIQHAMDLYHAENDRYPKNYQEFMDVIIKANNIALPKLPHYQDYG YDEKEHKLIILEYPDRR OJF2_RS37965 MDIGPDPGPWPRDDRIGSATMHILLTNDDGVFAPGLRALRKELL KLGEVTVVAPALEQSGVAHTITLLNPLVVKQVDAEDGTNLGWSVEGSPADSVKLAICE LMPRPPDLIVSGINSGSNAGINVLYSGTVAAAVEGAFFKITSVAVSLELAEHFDYPHA ARHAARIIEKILASKPQNGSLFNVNIPAHSRGEPKGVKVVPMGLGRYGEGFERRQDPR GRTYYWMTYNPPYNLQGPETDVTSLCEGYITVTPLHFDLTRHDRLDDLRSFKWGD OJF2_RS37970 MTNDPTDTDLLLERLRGGDRQALTDLFQRHRGRLRRMVELRLDA RLQGRVDASDVLQDAFLDAATHLDGYLRGAGPPPFLWLRCVVSQRLAIVHRRHRGTKM RDVAQEVSLYREAPPQASSAALASMLLGRLTSPSNAAIRAEQVLRVQEALNALEPIDR EVIALRQFEELSRAEAAQVLGITEEAGAKRYIRALRRLKSVLEARPGGAEGH OJF2_RS37975 MAIGEDESGRDDLLDRLAEEFAARLRRGERPALKEYADRYPELA DEIRAVFPAMAQVERAKEICRDWGDEDAAGAAPPSRVGDYRIVREIGRGGMGVVYEAE QVSLGRRVALKVLPSPSARDGTTLARFRREARASARLHHTNIVPVFDVGQDGEVRYYA MQFIQGQSLDAVIRELRRLRDGSRPGRGGGAGGRPGGPLTQRMDAEADVALSLLTGQF RRGLPTGPRDDGDARDPGGPAPPRDDPPTAAEPSAVMPGGGPLSAAESRHRTFHRGVA QVGRQVASALAYAHARGILHRDIKPSNLLLDTEGVAWVSDFGLAKVDDDDLTRTGDIL GTLRYMAPERFRGRGDARADVYSLGLTLYEMLVLRPAFDSPDRVALSEQIKAVEPPRP RSRDPRIPRDLETIVLKAIEKDPAHRYATAEAMAEDLQRFLDDQPVLARRARAAERYA RWARRNPSVAVLGGVLTAVLLAATASSVLVARRMAALAEVNEGAATSEREARLDATAA REQADLQRERAERHLYTARIGQAESALRLRDAATARGLLDECRPGPGEPDRRGWEWSY LDRWCRPASATLALPTAAQSRCLAASPDGRMLAVGCWDPDAVNARKDAAVPVYLIGLP EGRILRELVGHELVVHAVAFRPDGRRLATFGAADFVRIWDTGDGRLLRTIRLGPPGDA RALGMGWSPDGRRLAVAAAGAPLRVWDPETGRETARIARDATAVAWGPDGARIALALP DDLGLEVRPWDPRADRPGEPVFARRGRARTLGWSPDGRRLAATWGLSDVGQAGSRLTV SDAATGEEVFRFEDPAELGPVAFSPDGARVAAGTDSEAVHAFDAASGRRHAALFTEAT QVTGLAFSADGRRLHAAGWGMGGVKVFDALRDPRGRRVAGLTDRVAALWLGRGGLRIR EVEWGFGAVSSVDPIDGGERIDRLIPATNRPRWPRDDFAFSPDGGLIAAPRRDERSAV GVWDAALGRRVATLRGSAGAVSAVAFGGDGRSLAAAAGATEGRPIVTLWDVASGRPVR SFEAGPGLVQAVAVSGDGRRLAAGGGVRPGEPFWVTAWDAETGAVVGSLDGLGWVTSL AFHPDGSRIAVADFTEAKVHLWDLAAGIRITNPGPKGASCVRFLPGGERLASLGYEGD VHLADARTGQEVLVLRASGAPVGGRGYTPRMAFSPDGSCIVANAPDNALNLWDLGPAA ALAAEPSPGDVAGWLGRGRALAERGDAAGAESAWARARAPEGRDPSPWIEHAAWLHRR GDRDGAREALARAMAALPDDPGRWLDLGRLLGRLGWAEGSAAVLGRARSLCERRLSGG PGDEAAAAALAELLPEADDPAGWAVLRPDRAASAAGATLATLPDGSVLAGGPSPPVDT YAIEATAGPAAITALQLEALTDPALPGGGPGRSAYPYGGGLFVLRSIRVGIAAGPSAP APFDPTGALADHPEPGSRLRGVRGAIDADPASMWSIEPLPGRPHRAVFRLARPIRPGR GERLRVELASGHELVLSGTLGRFRLSVTDRPWPLYRPALRAIRADAERPGRTRLGAAY LLLGEWAPAAAALARPAPAPDGPAPDGFLLALALHHLGRRDDARAACVRALERPAINP ADDPARDVAVEALATILGLDADHAEGLLLDRAFPADPFAR OJF2_RS37980 MLDPLEPRSLVAEPVNVFSLSLGVPMGLIGAMHAENLAKANIPS QALQVTTVTPSPWEPAPPGDRASLPHAAPATSSGTGRDGLAPSLGTADASLRSPGRRT EPAPTFGPTPTSGIAYGTDSLGLGKGHPASGQAATSGSSGPMQQVGNNGSARHAAAAA AQASGSSQASAAASAGALSAAFGLGRPNTAPATTPWEPAPPGDRVSLGPTGDGGGKPK GSFWSMREAKRPGRPG OJF2_RS40265 MDLAHRLSRAAMLGAALVALAAPAIAADAPNSAPPSPAGGIRPL RVFILAGQSNMQGHARVSTFDGLADDPKTAPLLAEMRDGDGRPRVCDRAWITSVGCLG DAYSDLTEAKGKLTAGFGAPEDKIGPEFTFGLTMEKALDGPILIIKTAWGGRSLHTDF RPPSAGPYEWSPHELARCKERGDDLDKLEAEKVAATGAFYRHMIDHIRMVLKDIKRVV PDYDPSRGYELAGFVWFQGFNDLVSDWTYEEHMEPGGYDPYAELLGHLIRDVRKDLAA PRMPVVIGVMGIGGEKEGKKAPQLHFRRAQVKPTTLPEFRGNVLAVETSPFWDDDLEA LHGRLERLDETLEREFRKAPGITEAAREAARRKAAEGEFNPDERKRLKGASNGGYHYL GAAKILAPIGRAFAEALLEAERKADRPGPKPGVGKVTLHSEGLHGYISFQHEPLPAGG GYTAGMGFYAAVWPLVDRPLADFQVGLPSAWIQPNNSDNKDRPLAPEGTRARAWKERG PTWETVFQTVEGGLGYWAGNHFRYGFPKFSMNATPQCYDYEVGSPGWSFFYSDEALPD DRLGIAQLSNRLLIPPDALPFRGRPDGEFLGYSWMALPFTEAAQGDPPTGDQSWTCFL NAGNFKGPIAYYIPETWSKVGKLFKDPFLDGRGLDARPGVMGGGAMEINTVPRLDSRD DRGVVYSKIPRLRFPADDRGRADLVQDVSYYSRAALYDAFKAWRDGGDAISGRFDEKG AWRPKLTTRRTRYDQAGKPLVGVEDAFETRVFEGNVWGLEWSKAGLGPKGQFPQYSKV VGDERVAVPEAAVPAETGLLDAEFRLAEAGTPYTSPSAGAWASPGPRDGPYTVELLDG SEVTYSWYRFVDQPSFRQYRWDDAKKAKLQALVEKLHASWPIDRDYMAPPTRGKLVSL DPALLVTPPKGLEVGYVPIVTKQAARKTR OJF2_RS37995 MPITLGRACVAACLALRLACPGAVSADDGPPREAEMAGYLLVPH GKVDAAYNAGFSMYVAAWPLLRHYPGQEFQSGLFGTWMFPQFPGKPPEDHYSDVEGGL GWWRDTRFATETPKFIMGGVALNFVEWANGPGAGKGRDWKNPAGHYAVAQLSPWVLWP PDGLNLEQGTSGELFGYGYLPLPLTTPRPAAAGRGVPTGDHCWTLFLNAGNFKGPVAF FLPEFWSRPAAEDPKRAGLFLDTRPSEPNKAVQMETQHIPAYVARDGRGGTYARIAPT QFPGGGDGDAPLVHRITAYSKAALWDGARAWFNGGPAASGAIDPAAAAVHAFDGKGGA TWQIGTRGDARDEKAQVAWGSFATPVALDPTTYGYHWSKDWVTRADAGGGRLVTLPEY YRREEDEKGGKRWVAVRPGDVPAETGLAVVEFPRRLADERSPYVTPDEADSPWKRPGP AAGPFEAKLGDGSVVTYSWYRFADQPALRHSGLTDAEREEMQRRVELIHRHWRKDREY LPPPTAGTLAEIDPALIVTPPRGKEVGYVPIATRQAPR OJF2_RS38000 MDTPGPPSEQTTGTGTPDDADAGPEPFRSAHTPGFPQLLDELGV SLLVTTYQAGRLVIVRPEGDRLNTHFRSFPSPMGLALDPSAARLAIGTSLQIWEFRDV PDVASRLEPKGSHDACFLPRSAHVTGNVAIHEMAYGRGNELWFVNTRFSCLATIDPSA SFAPRWRPPFISKLEPSNRCHLNGMAMHGGLPRYVTALGTADEPAGWRKEKARGGVLM EVESGEVVASGLSMPHSPRIHAGRLWACESGAGTLGVVDPATGRYEPVAAAPGFTRGL DFAGRFAFVGLSQVRESAVFSGIPITERLAERDRTCGVAVVDIVTGSVVGLLRFDGAV QEIFAVAVLPRRFPELVNEDEALLADSFVVPAESLPDVAESVRARPAIPA OJF2_RS38005 MSSRTRTHQWTLRARRRLRPGLLALEDRTLLATFTVTNTGDSGA GSLRAQLAAAGNGDTIVFDPAVFGTERTITLTGSVLSITKDVTIQGPGANLLTISGNS AHSVFNAAAPGTRPAGAILSGLTVSDAVVNGAGGAILNAGTLTIRDCAIAGNTAWSGA GVENSSVVTLIGCTVSGNTTTRQGGGGLINYGAMTLIDCTVTGNAAAGAGGAIFSNGA SLSVSDSTIVGNTSATVGGITANNGLTINNSILAGNAGADYFGSLTGSHNIVGGDPML AALAYNGGPTRTMAPLAGSPAIGAGSNALIPAGIAVDQRGVARISQGTVDIGAVESGP AGITVTTLADEDNGGADPGAGAGASLREALALANAIPGGGATIGFAAGLSGTIGLSIG PLPVIAQATTIAGPGAGVLAVDAKSISRVLQVVAGVTASISGLTLTGGLADAGGGGIL NAGTLTVDACVIANNSAPGNGGGIDNLGTLTLTNSTVSGNSGKQGGGIFSNNSLTMTG DTVSGNAGTYGGGIKTYAGTASLTGCTVSGNTSPNQGGGLYLRSTARLVNCTVDGNTA GSPAAPAGHGGGIAVMVAGASLTMTGGSISGNTSPGRGGGLYSRGPVQLSGVAIRGNA SNLGGGVYSKGTAALDGCTVSGNTSNQGGGLDAAASLSLTRCTVSGNNASASGFGGGI NSSSSLSLTLCTISGNTGGGGGGVHAFGQLNVRDSTLSGNTAGGGIWNFGTATLIGST ISGNHAVGGFGGGIRNNGSLAMTNCTVFDNTSYNGPTPGAGGGVWNYGTATLTNCTVG NNHASFLPNTGGAGGNLFNYAGKSLTLNNSIVAYGAGYGGNIAGIVSGNNNLIDDPAA AGGLSAANGNILSSNLRLRPPGDYGGPTKTVALFPDSPAVGAGNPSLIPAGIITDQRG FARVAGSRVDIGAYQSSSTIVVTTLADEDDGAVDPGLGSGTSLREAIAMANADPAGGD TIAFAAGLRGTLALTLGALPTITSTVIIAGPGARLVTIDAQRASRILNVAAGADATVS GLTLTHGSAASAGGAVSNAGTLTLAGVAVTDSVASQAGGGVANSGTMALVGSTVSGDR AGSGPGGGIINYAAMTVRNCTVAGNSAGFQGGGLFNVSGASLTITNSTVVGNSAARGG GGLSDTGTTTLNNTIVAGNSGGDVLGSVGGRNNLIGDAASAGDMVNGVAGNIVGVDPK LGPLAYNGGQTQTMALLANSPALDAGDSSLISATTDQRGAVRVKDKSVDIGAFEAGPS VLVVDTLSDANSAGTTLREAIDYVNAIDPTGGVTIAFAPGLSGTIALTQGALPDIAGS LAIAGPGANLLTIDARSSGAVLTIDAGASVVLFGLTLTGGFGEPGGGGVTNSGSLLMS GCVVAGNGGLDGGGINNDGTLRLIGSTISGNTTLLNSVGGGLYNSGTATLINSTVANN FALYGGGGIYNAGSGVLTLEGCTVAGNQVGFAGASVAGGGIFNAPGGKATLTNTIVAG SNSDESPGGDIAGTVTGSNDLVQDAASSGGLVNGTNGNIVGVDPKLGALASNGGSTRS MRPLAGSPAIDAGSDSLVPAGVTTDQRGAWRIRGARVDIGAVEGGTAMIVVTTLADED DGTINPFSGAGTSLREAIAFANADPGGGDTIAFSPLLKGSINLGLGALPTISAAMTIE GPGANVLAIDGLGASRIFWLTSTADVAISGLTLAHGRGDVYGGAILNRGGTLSLTACT LSGNTARIGGGLYSSGTATLVGCTLSGNAASTAAGAAYSVSGRFSLTNCTVSGNTAPT VAGIALIGGTNTILASTISGNTATSSNFVAGVYVQDGASSIADSIVAGNVNPLGASDL GGTGLASGSNDVIGTGSVAGSNNRLGVTDPGLAPLSWNGGTTQTMAILPGSPALGLLA AGATTLAADQRGLPLDAPAADAGAFQYQGPPPTATIVGAATGTTLVATTFTIQANDPS PADQAGTFTYTIDWDGDGTDVQVVQGPYQLILSHAYAAAGAYTPKVSALDAHGRASAR AALPAPIVVSSIDANGFTTLISTGDTVTINLSSLSDQTIAQQLINSAPEATWKGAVNL TVTSAIPLVHTEINPTSPNAVVTVSGSGGGPLESLFDVSSPFGGESQQGVATIAVIVA LAVIGGAGLGSVGGTVATDFAEYLTTSETGITGFFPNLIGQTGIFAAGVGIATGSGVA TGVVTTAAYISLGASPALVVDQGKVSWSDSLMGTATDSSTVIVKGGSLNLDNNIITGT PSGDQPLIEVDGGTMVLGANASNRLAVFGSAPFVNVAGTGKVIVAPGNSFFLVNPDLT SQAATGTAVVLASSAAVAATGQSITYTATVTAGGSPATGGRVEFFDDTTGVILGFATV TNGTASIGASFNAPTAGDAIYATYLPETGALAPSSGHLTQVVSDATTTSVTGPAATPV YGQSITFTATVTAAAASGVTPAGAVEFYDGAIDLGAGTVLAGSGGSATSTFTTSLLSA TTHAIRAVFTPAAGSTLQGSYGTLSQIVSPAHLTVSVAAASKAFGQAFDDVSYVGTIT GIRNGDAITATFRSAGDAAGAIAGSYSIAATLSDGGSGKLAADYVIDSDLADVGTLTV TPAATTTGLSSSANPSARGQSVTFTAVVAWASPGVGTPAGSVTFRSGSTVLGTVALAA AGGVARASVTTAFTSTGSPVITASFTSTDGNGTASSGSLTESVQDVVLEPDPADPSKT ALVVGGTTGNDLIAFVPDCRSGGVQVVVNGASRGTFSPTGRLIAYGQSGNDAIAVSDS IRLPALLFGGDGSDALWAGGGDAVLVGGNGNDALIGGLGRDILIGGDGADILMDRGDD DILIAGTTDYDADAVALSALLGEWARADEAYATRVGRLAGGITSGGSTYRLGASTVHT DTAIDVLYGGSGTDWYFARVGGTNQDVVLKKKRPEVVTAI OJF2_RS38010 MTDRAPKTGRGLAAPARRALLALAIVAGGGLARAQGPEDAPPAA GPADVGSVLRDRLEDTALSGEAPAFSYPDAHADPAAIYRDRYGATREDADPFLFPRLV NLIFEDRWLLNERDPAKAVRNRMARRLEADIRDPAPDTANFPNSAYTIAKGRVYIENS PLGLYAASPNRLQARVYQWEYLLRYGLTDNLELRIFSNGLTYQARERGQAPIFGYSPL TFDFKANFWEENTRYHIPAMGLEVYLQTTLGSQSLAAGTQPSVNLLFDHSLPLGLDLE YNFGMTGVQNGQGQITYQFSYQWSLQREVVKDFDVFFHGFYNAAALPRLLQFQGGPGL AIPQVTVLGGGGLWTVNDRLAVFGSYNFGITDGAPRTIALMGFAVAL OJF2_RS38015 MVIHLDPDLEAALAASARRLGVAPEALARDVLRERFLGGATAIE PRDEWERRLIGAASDCGVSLPDSALSSDGLYE OJF2_RS38020 MSYLVDTSILGRLANSADPSHAAAAGAVVELHRRGEILHVTAQV LIEFRNVATRPLALNGLGLGVSDAGSKAAVFESSFPLLDEIPAIYPAWKALVGSLAVV GKQVHDARLVAVCHAHGVTHLLTFNVAHFTRLANFGPALVVADPTRV OJF2_RS38025 MSTRTNLIVAGLVAVLLAAFVDAQELGVMPRLVYLAGNLAILAG LLTRSSPRRIAIVVALLATSLWATRLGLSSLGHRLDGIRPGMTLEEVRRYMAGYREGS GMTNPYTGGEFVADGTLIFKDPAASPGDQTWGVVVIKNGRVTSAYLSPD OJF2_RS38030 MGIAQKVARNFSEAVRSRGQSYFSKGRVTLMSAKPNEVVARVRG TAKYRVRARLRGARLLASCTCPYFSPQGEPCKHLWATLLLADSRGFLQTSPGFAVRLV AEPPRRPAGAPAPEGAGPTGLEDVGALLIGGAYPGGGYPGDGGYPGDDGYPAPPPPAS GMHMGGERLPAPPSRSLRTKGPKDRPGGARRGPGAIVGTGGGMGMVPARGKVRPAAGP AQARAAKPVNRNAKRLLVYVLDVAATQSHNQVVIDLARRQRKPTGDWGPLRPWWYAPR AAHVKYDPEDRLLLALLDEAHHGTAGHAHHAAAANGGPGAGAAAGPGPGPGPGRSAGD LRGIRRFVLRKDQAGLVERLAKSGRLRLRRTEGEDDPPTMRWDDGQPWRFALDIRTEA GGKRWAWRGGLRRGDARMDLAEPLVLLPGLLVLGVGRAARFDDLGVMPWILRLRYEKE ITFVEPQQDLMLGRILAETRVPASELVETMMLEEIDARPRPYLTLRAPRQNWGLAADK LLGELAFDYDGAIIPAGRTTPLAVSTEHNLVIRRHPATESAADVTLFEMGFREAKDPR LDPGTLELPAKRMGQVTKDLVQAGWRVEAEGKLIRPAGEFKLALSTGIDWFDLDGGID YGGQSVSLPELLSAARRGESMIELGDGSMGMLPEEWLKKYGLLADLGTAENGALRFNA SQAGVLDALLANQPEIQVDAAFSKVREQLRQFEGVVPLDSPPGFHGELRPYQREGLGW LDYLQRFGFGGILADDMGLGKTIQVLALLQRRRYRRQAKGPSLAVVPRSLVFNWLQEA AKFTPRLKVLDYTGPGRHALREKFEVYDLIVTTYGTLRTDIAELTNFEFDYVMLDEAQ AIKNADSQSAKASRLLKGRHRLAISGTPIENHIGELWSIMEFLNPGMLGSDTVFKKYA SAGAGTSLEATDRVLLAKALKPFILRRTKAQVVQDLPDKTEQTLYCDMESEQRRTYEE LRVHYRDALLKKDNAELNRSKIEVLEALLRLRQAACHPALIKADGEGVGSDFPSAKLD MLIPSISEIVEEGHKVLVFSQFTSFLAIVRERLDQEKLTYEYLDGRTRNRAAKVERFQ TDPDCPIFLISLKAGGLGLNLTAAEYVYLLDPWWNPAVEAQAIDRSHRIGQTQHVFAY RLICRDTVEEKILELQQKKRDLADAILNADNRVISSLTREDLEFLLS OJF2_RS38035 MADSSKSDEAAGAGEAGKPGYGGHSIRVLEGIEAVRLRPGMYIG DTTTRGLHHLVYEVVDNSIDEAMAGYCRNVHVTLHPDGSASVVDDGRGIPVDVHPDSG VSALEVVLTKVHAGGKFDHDTYKVSGGLHGVGVTVVNALSEWLDAEVRREGQVWRQEY ERGIPKGPVAPQGPAKTTGTTIRFLPDAQIFPKIEFDYDILERRLRELAFLNKGVRIR LTDERTEEPKSEEFYSSEGLSEFVAYLNRAQSAIQSPAVFGGRDDERNVEVEVAMQYN DSISEMVVSYCNNINTIEGGTHLTGFRAALTRTLNQYAKAAGPSKGKDVAISGEDFKE GLTAIVSVRVPDPQFEGQTKTKLGNGEVEGIVARVVNEKLAEYLETNPAAAKNIVKKA QLAAEAREAARKARELVRNRKGVLSGGGLPGKLMDCTTRDQEASELFLVEGDSAGGTA EGGRDRLYQAILPLRGKILNVERARLDRVLNNEEVRNIITAVGNGIGEEEDPGRRRYG KVVMMSDADVDGSHIRTLLMTFFYRQMPRLVAEGHLYVAQPPLYMITSKKERRYVQTE EAMSAILMDTGLAGAELVPAGDGDGDGYAADGDGQAADGDGHAGGGNGDGRPRAEAPG AIRGEKLKALLEVMAGVESGLRAFGRRNRPVRDFLAYARESDDPKVDGLLPQFLIEDR GAEVPCWTESECDAYRHEHGVDFLSDSDFEPAADGAGADGAGGDGRRKVRRVELHEIK ALNKHLARLRDEFGLRADVLLPHEVTGDEPPPRFDLRRDGEATRLLDLRGLLPTVRKI GEKGMKITRFKGLGEMDAEQLWETTMDPSRRTLLQVKLDDAAAANDLFTTLMGDDVEP RREFIEKHALEVKNLDV OJF2_RS38040 MANPNPGRRHPRPLSEVLGELFAARGYGRLQALGELEEVWNRAV GEPQCRQTRVGDVRRGVLNVTVSHPTLLEELAAFRKGELLAALRAGVTGATIHDIRFR VGLVREPDDEPGPASGPGPRPAAAPPSPRAPGRGMKRAPRASKKKRDDRG OJF2_RS38045 MRAFCNRDSLLAAFNMVSGVVPARSPKPILQDVKLIVDEEEGSV LMGTDLEVGIRHRVLGVRAEEPGSAILPTSQMGSILRTSGDEELELVADADRLIVRGA RAEFTLPAQDPGLFPEVPDFAASSYHVVPAGSLKKLIRRTSFATDLMENARYALGGVL VELSPESIAMVGTDGRRLARMQAPAEAENAPPTPGGTPVIPVKALKLIERNLADDGMQ VHLAIQAGTAALVRTEDAVIYTRLVEGRFPRYQDVFPANVEVRIPLQAGPLRLAVEQA SIVTSNDSRGVDFRFGPGVLKLASQATDVGSSNVELPIEYEGKAVEITFDPRYLVDAL KTLDDATQLTAELIDSKNAAVFKTEDKYTYVVMPLTRDR OJF2_RS38050 MRSDATTRGRLGGWGLAWLATLTALAVGPGLGSSSRLTYHEAFV AQGAREILDSGSWWEPRIGGLPWLEKPPLPFWLVAAAGACRGEVDATVARLPSAVAAF GLVLGVALLASRRYGRTVGLLAGSIQATTAWTVLRARLAEADLLLAALVTWSLLAFDG MRDGDGSGEEIEGGGNLSRLRDWRLAFFGFLAMTALVKGPGFGAALVLSAAAGVLAWD RDRRAAGRLRSRPGWIVAAAVAAAWPLAMVARHGPGVAWLWILHVAGRFGGGGAHGPF AGESWRDYALNVLAQALPWTPLAAIGAWRSLGRALRGDRGDRLLWCWAALPLGLVSVA SARNAHYAIHAMVPWSVWSACGLLRVAGRLADRGWPRPRLVRAAALGLPGLAVSWGLG FWLLGPWLDRRGAEWAFYESAGRAVGASEPVAFLYDDWDRDPYPTPFGPIPHDLAVRL FYLRRPATWHFDPAGLAEVVDRPASPGAGEQPSAVLIARDRDLPALRALGRVEVLERD AGVRWDRTYLLARLRPIAEPPAIPALGAAGADSVRR OJF2_RS38055 MLKQVDIKNFRSCYGTSVTCGEGVCAIVGRNGVGKTNVLKCIDW VASSSVSTDPVRVAQAGNASEGLDEVSATLGLELDGRRFEYSLCIPLPDVRRPRRADS VRDLLSLLGDAERTDIFRREGEKIVVAGRPEPIRVARSAPSLAALLSLLPEDDELRSP LLAVSSFFAGVRYYGLEEPVAFRDYVPEEEYNNWLIKYQGEGLPTSSVALRLIYMKLE DTERFEELESLLGPDGLGLLEDFDVLELNRSLQPNLLPELDTKTKIYLPVFTPSGQMG GAGKPFPFSDLSAGTRRVIRIVTSLLFDKRSLMLMEQPEDSVHPGLLRKLIDMLRSYS DRSQILFTTHSADVLDILRPEEVLMATAQDGGTSVRPLSPDEASRARRFLKDEGSLSD FLEPFD OJF2_RS38060 MAMFAVLAEDRSDVDSLVVLIKRIRGVENATILKKGFSGCGELC RKAGSHVRDFAEKGATHFIVCHDSDGNPPAEVRKKVRESIAARTAVPEDCCIVVPVQE LEAWIIADEEAIKKAIPSLSIKPVARPETVPSPKEWLVDESRRGRSRPLYVPTIHNAK VAAHLDLKKVEKKCPSFSDLVAFVGGTS OJF2_RS38065 MIRISILYPNRDGSRFDFPYYVETHMPMSIGLLGAHPGYRGVSV ERGLGGAEPGSRPAYVAMCHFLFDSLEDFLAAFMPNAPALQGDMPNYTDIEPVIQFNE VLISG OJF2_RS38070 MPEPEIDRSRLGALADAAFRRAARQVVRRARQFGTPILLWRDGE VLEFSPDEIELPPEESPEVEDAGRPGRDRGGC OJF2_RS40270 MAVRRVANRVREGGHDIPEATIRRRFEAGLRNFFREYAPRSDAW YLYDGMALPPAEIASGDGRLVITSQPERFRVIHARWGGGSDA OJF2_RS38080 MDLKSVLSEIQTWPVEERMRLVEEVRGGMPNQGSKSETAEDRDR DPGRGPMATSSGLIGAMREDADLLEQVTEDIMESRRNRTLRQMPDA OJF2_RS38085 MHKVLLDTDILSEVLRGVNPTVAGHAGAYRSVFGHFTISVITVM EMAKGFQKAGRPEKIAALATLLATEEIVDFDRAAADQAGRIWGDLERTGQPIGLADPM IAAVALRHGLELVTGNTAHYQRVQQLGYPLTLANWRN OJF2_RS38090 MRPAPPRLLPALLLLASPAAAIADTPYPEISHVLPAAVQRGTTS DVTVFSREAKRGFETARQVVFGGEGLRAEVPPREPKQPPTQGRIRVTVAADASPGLHE LRVVTGSGASSLAELLVVDDPVIAELPKPHGTPDTAQPVEINRVVTGSIAKKEEVDLY RFKAKAGQEVTFSLMGQRLLFKRHYHQSGDLDPMIVLSDGKGVELASNDDHDIGDPLL HHRFEKDGEYLVAVRDVDYDGVAHFTYALTITDRPFVTSAHPLAIPAAGPWAACAEGF GIPDGPLALSGLKPPARPGPRELQLVANGRPTNPATFEVTDLPILTEVEPNDDRGHAT PVPHPGMMLSGRADRPNDVDLFAFALKAGRPMRFEVRARRLGSNLDSRLRVLDEGGKA VASGDDSEGSKDAALSFRPARDGVYTLEVRDLLHRGGPGFAYAVLAEEDRPDFEVTCD DDKAGVGPGGAAPWFVRATRLAGFDGPIEVRVEGLPPGLTAKPATIPAGVKDACLVLQ AAPDAKSAAAAVTVIASAVVKDLDGKARKVEHRAQPLQEVYLAGGGRAVWPVETQVAQ VVEKDDIAAVHVSPGAIALKPGEQLALDVEVVRRPGFKDRVTLDVELQHLGSVFGNPL PPGVTAVESGAKLALGPDESKGRLLLKAAPDAKPVEHLSISVVAYVSIDFVTKRAYAS PPIPLTIAAPAVAGR OJF2_RS38095 MRTAPGIMLLAAWLLAAATAGPALAGEDKVSFATDVQPILTRLG CNQGACHGAQHGKGSFKLSLRGFDDAADHREIVAAGFGRRVSAMEPADSLLLRKPTLG VPHEGGRRLDPHSPAYDTLVRWLRQGTPGPSASDRKPKALVVEPKEVVLRPGGSARIV ARATYEDGSSEDLAGKAAFDSQSPTVASVSADGEIHAAADARGEAAIMVRYLSSVAAT RVIVPYGPAPSLDAFRPNNLIDTLWADAWRKVGLAPSPTCDDAEFFRRIHLGTLATLP RPEDVRAFLADTSPDRREKAIDAVLARPEYAAAWAHKWGDLLLNSSQAVGKKGMWSLH NWLLASFRANRPMDELVAELLTAVGSPYQNGPANFYKIGDPDEWTETASQVFLGVRLQ CAKCHNHPYESILQADYYAMKAFFGRVGKKQSREFGLGGGDTVVFVRDGGEVRHPRTG QVMKPKPIGGAPIDDPIDRRRALAAWITAKDNRALARNLVNRYWGYYFGRGLVNPIDD MRATNPASNPQLLDALADDLAAHQYDIKHLMRTIMRSRVYQLDAVALPANRADVENRY VTHFAPTRLGAEALLDAVDAACGTREKFAGLPSGYRAIDLPDSDYASEFLDTFGRPRR AVPCECERSGAPTMTQALLMISGGLLNRKVADPKGRAATLAAAKAPPAKAVEELFLCT VSRPPTAEETKEAVADIAAAGSPKEGLEDLLWTLLNTREFQFNH OJF2_RS38100 MFHLESGSVRDCDGVSRREFLRVGGLGLAGLSLADMLRAEGRAA ATPSGTKAKGRGQAPARSVILLWMQGGASHIDTFDPKPEAPAEVRGEFGVIPTALPGV QICEHLPRMAQHLDRTTVIRSGYSYNAGHGIADAYMLSGWRFSPATVYPSMGSVVARE LPANPGMPPYMQLGIYVDQKTGGGLAGYVGGEHNPFVMTSDPNARKFSVDGITLPGGL TADRFARRRRMLDRFDRWQQTVEAQAGESLAMDRFYEKAFGIVTSPQAKRAFDLSEED ATLRDRYGRNTFGQSCLLARRLVEAGVRFVTVSSGGWDTHQNNFTSLRKNLLPHLDAG YSALLADLDQRGLLEETIVVWMGDFGRTPKINSAAGRDHWAGSMTFCLGGGGIRVGEV LGKSDRNAEQPTTKMVQAEDIAATVFDRLGIPMDTRYVAPDGRPFPVNPGGRVLEELC A OJF2_RS38105 MRESLREVVPVMIDLPYSLEIEATEDPTFFGFHSPELIGFTGVG HSVEDCLYQARWGMAEHVELLRSQGLSVPRTSPDPTVVIRNDPRGQSA OJF2_RS38110 MFVRFIVGADDENAAWLTGIIAEARLLRDAGELYDFEEERLEAI YDWFNEHLPCPPFRGKLRSGEWTRDAVAWFKPDAGEPIRRMWDIVAILREHGVAARMI TAEKPGKIVYEDTYQVVAETPYWA OJF2_RS38115 MDRACPSTEQLLRMISGEREDGETDVATDPEAGRLVEAHVGGCP LCQAELDRLTSEDDSLRFRLAPGPEARSPTGTALSFLDRARQVPPTLFAPAADDATRE SGEGGGERPGDAGPSDPGAARPSIPGYEIERELGRGGMGIIYLARQLRPSRYAAIKMI GAVGGDRADSLLRLSMEGEALARLRHPNIVPIYEVGEAQGYPFLSMEYVEGGNLAGAM ARWTPSPRQAAALIQTLARAVHAAHQSGIIHRDLKPANVLLAPPPDDPAGPGGFDVSR ATPKISDFGLAKRLGGDSDLTRTGQILGTPRFMAPEQVTQGATVGIPADVYALGAMLY EMLAGRAPFQGDTPWDTLMQVVHQPAEPPSRHREGIPRDLEVIALKCLEKDPEKRYAS ASALAEDLGRHLAGEPIAARPVGPWRRLWLWCRRKPGIAAMAAALALVSLAGMAGVVS QWARAERNLREARLQERRAAGNFRLARSAVDDTLTRVSENRLFREPGMQDLRKELLSA ALRYYQQFVEFQGDDPATQLDLMAAYRRLGDITREIGSIEDAKGYYLKGLERAQALAA GRPGDLELMRERAELLLSLGVTQLHSRQAEAAARSDEEAVALFRSLRRARGDDPDVRV ALAHALTMAATGRVHAGKLDEAEVSLREAIAALEGLGDSKARHALASARRGLGETLVR IPGRLGEAMASLRAATEIWRALAREDPGNFSAAEDLASNNLQLADALIFQNRAREALE LLRPAREVLEPLVRENPRVSSMRHGLGLVDYVTGSALFGLGDHAEALRSYERALDQLG RVAREHPTEERYQSPGLAGIHLSMGELHRNVGDLAAAAQTMRAALEIQAEATRRHPDN LANRVNLAMVHRSIGMVQVESGDPAGALASARLALEILEKASPPGAPNVMVESELYQA RLVLAAAERKAGHPAAALEGLRRVEADLARLSSGDPENVEYRMQLGLCRCRIGALEHA LGRDAEALRAWEQARPLIEGLPLDVSDRLFALAILRSARIPLLAGRDPAAEAGRRRQG ELAVDALRRAVALGFKNRVWLDVDPELEPVRGRPDFQALARSIPTPAIKPR OJF2_RS38120 MNRAVEIHDATLAAIEVVGRDVVLRLAPAYVHSCEGRPGIEAGL GAFQDVTLILREAAVELAPASLPCTLIDGSLSATGVTWDNVFPLPLASPGPATFSAVA ESGEPLVVRGAGVEAMPSGEPRHAEPFPGQGA OJF2_RS38125 MPHRFHFRIRTALVAVAVLAVAMAYVGSYDRLKRRGLRQAADYG YPGLPYVDLPGPVDGWDFGRHCAWAIFYEPLNLVDRRLFGGSSHWACLFIMTRLDG OJF2_RS38130 MVSRRQPDPDASSPRWRRAHRGLLAECGVPDEVADSDRRWGYLL LHGDDHPGTGWDASWISPAKAARFLDHLLAGLPDESGCDLVRCLRRRLQ OJF2_RS38135 MRCLIPRFTIRRYLLALAVACPLAASLLGYLTGRLCPLCFSGRV ETTYRCVLLPRAEDGRTEPYFGTPIARSCRRCGLTW OJF2_RS39775 MEPGSKPAYVAMCHFLSGSLEDFPAAFEPDAPALRGDMPNDTDI EPVIFRAEVVERCGGEREEEKEHRTFRVPGSGWMLMRCFDPRGISLDGKRPEDLPAFE AMAEAVGAWPVGRRYRRVRPRRRLRRGRAGTGVAAGAARAAAGRRAVAAVRTPRGVSC YPGGCEPHASRREARGRRRHAIRRGRDRGAPGTGTARSARRAPRAGGRWDVRLLQEGA GWLDRRPA OJF2_RS38140 MFDYFKKARAGSTGGRPDPGGPITGALLLEGDSFPFDGFLEQLA GARVAGRPASDVGREGGALASFRVGDESFALSLMPVPHPPGDLEGPLATSWMWPEDVS REDVRRHRSQLLVAMTGGAGDPVRRRLALTAVTALAAGQPGVMAVYWPEAALIHHPSV FVEMAGAMASPDAPPLPLWVDLRTFPNRDESIGLFTTGLPALGHKEIEIPGIDMEPGE LREWLLNILHDLLERSLVLEHGQILGLPTGRKARIVHGPSMFDPSEGVIRLEP OJF2_RS39780 MGQALSLAAPAARGFVVAGVQVVGLVAIVAAAGPAPARIVDRER SRASPCRGRR OJF2_RS38145 MTPGELAEPSAHGMERFYHDFVSIPKGMADRARRFPSREPTVGA CIAAIEDRTPLRHRSRHCGNGDTILRGATAPSGSSSARRRTRRARHPAGAPHPGVAAS PLLSWCCPGGTARRARSPGDAISHQLWGKAMRITFVVGLLCLLAPHCRALAQESKPAS VADPALAKKLGADERGMRNYVLVILKTGPKRVPAGAARDEMFRVHFANMKRLADQGKL VVAGPFADENDWRGMFLFAVETTEEAGTLVATDPVIKSGEMVAEYHRLYCSAALMAVK EVHEKIAPK OJF2_RS38150 MLEESGAELAIHRAPDGLGLFRLEVLVDGERVGRLKNGRGAAWP VAAGVRSLGLRLGGHAFGPIPLEIEAGDRVTVRCRLNQPPLHPLHLHAFWFLIAFAIL KTIGDSVPAVDAFLRRHLVVELLVMLALGSLGMFLYFREAIRSGGLRGTRMYLDVESE AGGVVFREWIDPSWG OJF2_RS38155 MIQGLRTAIYPVGDIAEGKAWYRRALGAEPYFDEPFYVGFSVGG FELGLIPDGTPGADGVQVYWGVPDAEAELARLAGLGAAVHEPVKDVGGGIKVASVRDP FGNVLGIIENPHFDPVAVR OJF2_RS38160 MLPWTSLDDDQLVARCRQRPGEVAWETFGERYGSLALAVPLIAW LAYARWPVPWYGWVALLVLYPIAAFTIHLLVFLLPANIRAALYARELGRRLSPLPSSL EIRRARRLFDGADPPDWILVVQSDPGAYRWTWTRLTLFESPPRGFRQHRSGPIFRVSR ERGHNPIDEVVCEDRILEAEECLSILNALRAMDPEQVADVEARGCGVSTSWLTILRRH PRLVRKVRISHVGASPDPESRKLPTWRLVGLATGSVAEAREEVIPSTGSAGRGRRPDG VL OJF2_RS38165 MQGTVLPLSDDYRGAVYVALLQQVPCALLCSLMLDGGRLARVCG IAVLGFWVAAALIMARRPTAPGRWDRPFLRWGFLPVLATTIALSRFA OJF2_RS38170 MTEPKDLVLINDELRRANRRWKLVALTSLAALVLVALFGVMRAE QHRRRAEAELRRALAMAAEAERAARQARNP OJF2_RS38175 MIRVACTLLALAAIVPTCRASDPSADELGQLMATAARRFVEGLD ESKRSQAVFAFDSPERVNWHWIPRPRKGVPIKELSPDQRALAFGLLSTGLSTKGNVKA TTIMSLEEILRVDEHGTGPVRDPELYYVSVFGTPGDDAGWGWRVEGHHLALNYTLKGN RVVSATPFMFGSNPAVVRKGPHKGLRNLADIEAPVDALLASMSGDQKKAATVNPVAPD VTTTPNSAKLGRVEPEGIACDQLTPAQRETLAQVVRAYAANFPPPIEALLLRELEESE KSLHFAWYGPADRTKNHAFRIQGPALFIDFNDTQNDVNHIHTFYRGVADDFGPAAGK OJF2_RS38180 MPPGDTAADLAALDAKINALLPARYQHCYETVPPTSMGSAGLSY DEQGKVAWDRIWTTFCDLALAGGPPHRGRLLEPVPEADVAAQPTRYAEVVAELRRALW LTSALVVGDGYAPGWVGVRCTTAEEAAWLQLAVTAENVSARRRGAALQLPAGPSFRVE KEIKNVVVALIKACHYWEGHLTGAQQTLGGDDAWEAAGPTEAAATPAEYEAAMAEMEE SLRPAGLPIAPRAYAGWVGVRTSGEEEAVWLLRAVLVERILARREDHVLYFPVAASPD ADRAARVGRLFARSRELWTAYSSRRPAWRPSGRT OJF2_RS38185 MGMGTMAVSINLAFGLSACAGLSVIYLSLWPGKMAELPMDAIGS VRFWGPPLVLILIVLAAWDHRRPPRPIKVRHWLLLGASPLLLIGSVFIAESDVPFRAA FRLARPGLEAAVPTAPSSGHDGSPLGRDFGPYLVDRYGADPRGGVFFRVRTSPGGWGI DTMSYGFTFRPNAEGTPFGGARYEVFPLGGDWYWFHASDDHY OJF2_RS38195 MDTLAERLDQKLREWAPETAEQVRNQVAEIIELADQGLLDLVRS RRVEQDVLDLLDEPASR OJF2_RS38200 MDSHRLFVARVVVAIEERWFAPGTGGPPVRSERRHLVESFLFAA PDAEAAYEVAAGWLPGFSDSNHDGRGEETALFALGLHQLEELIPRLGELPSAAQEHYG IDLGLYDPDDVDADGVPLVRTREQLEVFLSPSSHRREEEGQIRFPADEGGIQDVEFDR PGPRHPPPLTPPS OJF2_RS38205 MRRSRPAFATATPVLALAAFLAASASASAQGPPPATTRARSASP GPITALEAGHNKAPLRQVIISADRVMNADPWVMAKYVFLSRHEVPECPTPYSVRKFRY FGGKQEMVEVIWIESGKLQIGVLATRGMGIWKVLLDGVTVLGWDSPVKDLVHPSLVNL QARGGTGWQEGFNEWLCRGGLEWNGAPGTDRVQDAAGRERTMDLTLHGRIANLPAQEV VLIAEREPPYRVTIRGEVHERSFDGPNLELASEVSIIPGGRSFRVSDTVTNRGGLRQE FQMLYRTSFGPPMLDEGARVLAPVELVSPIDEHSAKDVARYDRVDGPRLGSAEQTYCM KPLADHSNRTLVMLQNSRSDLGAVLSYDARQLPYLSLWKHTAAPEDGYVVSIQPGTNY PNTRRVEREHNRVPSLSPGASHTMALDFSLLLGTTEVKQAASEVARIQGDRRPLIRDK PEP OJF2_RS38210 MRKQGDGNLGRFRGGCLAIGLLAVASMSAIAPTWAGDGPPAPPS ALPTIRWEPATLRLVERGGDYARMARLGDGRVGCAYDRGGRMLFRRSEDGGMTWGPAA LVDEDRDCWLTNAELLATRGGALLYFWDERPRKAVRMQGKKAAPGELTRPFLIRMARS ADHGRTWSRPRTLYTAGCSYEDGCWEPSPVELPSGEVLLFFANERPYATTDEQEISVL RSPDGGESWGEARAFAMRPGHRDGMPVPAVLADGSVAVAIEDDGLPGGGGRFKPAILH RRRGPGGAGGPAEVIGGESPDRWGALAQPLDPSWYAGAPYLRRLMRPGGFTVLSFQEG KSGDMRGCRLAVCVGDPSARGFVHKGYPIDGDPGTSQLWGSLFVKDEHTITAMAAATV RGVRGVWAVDGRVD OJF2_RS38215 MTRQTLSPQRLKWIFLAISAAATVAASAATPGCGGGEPEFDKSA LHTPETLVQEFVQRYKNLPERASARAKAAAAKSEKAIASLPDPDAPSGKSAQKEAAVK SKMAPQAQTLDGLIASLDQRLGEFRGISKADAVKQAVAALEKAPELKPDDRKVVVERL SK OJF2_RS38220 MSPDRARRGFTLIELLVVIAIIAVLIALLLPAVQSAREAARRMQ CTNNLKQIGLGLHNYMSTFNTTPVHEYRRADENEGTGGTAGNRSWHCQILPFIEEKAM YDAFNFAYSDGFYGNNNIVNGVNATVQRSSVATFLCPSDGTTCLPQDGVVNTGTGKLG NNNYAGNTARPRNILMPGQAPTGGNLPGHLGVISTSRMYNTIGPCGSAGKANTTNVSV SLASITDGTSNTAAASEFLMNDGSGDSNDPRRRFNYTDSAMIEQVDVDIWAVVRDGLQ GPAINWPDWTKYKGSTWAFTDAWEGHLYAHLFPPNAPTIHVYYSNTLRCFEGDSGANP SSNHPGGVNVAFMDGSVRFVKNSVNLPTWWALGTRNGGEVISADAY OJF2_RS38225 MRLLDSPDPLDRARGKAYLRLLPLLFLGYVIAYVDRTNVSIAKL DMQKDLGALGFSDEGAFGFGMGIFFVGYLLLEIPGTLAVERWSARKWFCRIMVSWGLI AAMTAFVHYDVPFATAAAGWLARAMASACGAVGMDKVAADLRGPGAPYILQFWGVRFL LGLAEAGFYPGVIVYLTHWFPRRDRSRTLAWFFIGGPISQILGPPICARIMAIGPAGA SAPLGLAGWQWVFIAWGVPAVVLGLIILLTLPDWPRHARWLTDEERTALEDELARERK DHAARGGHVSILRAFAHPKILALAAAYFFVVTGNYGVEFYMATIVKEWYQLDVGKVAY LVIIPPLGSVLGQILVGWSSDRTGERRWHAALPILLGAAALALTPATRGTLWLTVLLF TCALTGLKAYMPAFWSLPSLLVTEAAAAVGIGLINSFGNLGGWVGPTILGVLKKETDS FRVGLWVLASSMVISAMVIIALNVGRRVEDPEPAPDLAELA OJF2_RS38230 MNRPSPRRPHAPLLALGLAIAAGLALAATAPVAAADEAYPLAPA GFRPPAVPLVTHDPYFSVWSAADRLTDDVTRHWTGAPMPLASLVRIDGKAYRLMGPEP KSVPALPQASVDVRPTRTIYAFANDEVQVELTFLELAVPTNLDMLASPITYVIWKARS KDGKAHEVSAFLSAGARLAVHSDDQEVAWSREDSGPIRALKLGTTRQPILERRGDATR IDWGYLYLATGNTSGALVAASASAAADAFAKDGTLPPKDDARQPRKAGDDAPALALAI PLQPAEGEADAGAKTAVAMLGYDDVYAIDYMGDWLKSYWKSKESGRTFGLVLLRHHLS LATFDSFCAFFDRQLAAAAIQAGGEKYARMLALAHRQSLAGGKLVADADGMPLWFPKE NSSNGCIGTVDVIYPQFPHLLLYNLTLAKASIVPILDYAASPRWKFPFAPHDVGTYPA ATGQVYGGGERTEVDQMPVEESGNMLILVAAIAQAEKSADFASKYWTQLTQWAKYCEE QGFDPANQLCTDDFAGHLARNANLSIKAILGLACYGKLAGLRGDQATADRYGELARNL AVKWTEMAGAGDHYRLTFDPKESWSQKYNLVWDKILGLGVFPEEVARKELAFYAGKVE KYGLPLDSRKKFTKGDWLVWTATLCPDRAGFERLIDPLYAFANETPDRVAFSDWYWTD SGKEAGFRARPVIGGIFIRLLTDARPYWDASLEAARLNAPGMGNDWAPLPAVRKMLTL VPTARDQVSTWRYALAAPAAGWMSRDFDDREWKEAPAGFGTRGTPGAEVRTVWNTPEI WLRRDFDLPAGGLEGDPSALRLVLHHDEDAEVYLNGVLAASVGGFTGDYAPVRLRPEA LQALKPGRNTLAVHCRQTTGGQYIDVGLGRLETVAP OJF2_RS38235 MRMLPSPSPPPPPSPPRPASRGGLGLGLAFLAALGLGLASHAGF GAGGPGRDPQVGRSFRVPYRLTDTNHFLVRVRINGKGPFNFLVDSGAPALFVATETAA QIGLKPKKGQFWSPVERLDFEGGATLKDVKARVEDPFQLVGMNALGLPGASIHGILGF TILARFRLEIDPSRDRMTWTRLDHDPADPPVPPQDDREGPPAELRAMNAIGPLAKGLA FLMGKQPEEERLPRGFLGLEWSGPEAGGRVRVDRAVEGSPAAKADLRAGDEIVRINGR SVDGLKAAHAATAALRPGDSVSLVVRREGAERTANFKAGEGF OJF2_RS38240 MRLGLAAGPRPLRTRAGLIAGIPALAVAAACALAQAPPAGKGPA VVPFTMLPSNHMLVKAKINGKGPYRLIFDLGAPITLLGNRAGEGAGVIKPDAPRSFLM GMRGEAQVDKLEVGGLTATKLPVIVFDHPALKVLGDALGEPLDGIIGFTFFARYRTTI DYQKDEMTFEPVDFRIRDLMKELPDRLAGPREAARRVLAPRAVWGLRLGEPKGGVDSP GVPIREVLPGSPAAAAGLKPGDVMTTLGDRWTTTVADVFAAAGDAEPGKDARVVVLRD GKELALTVRPADGA OJF2_RS38245 MRSVAVTNAKGGVGKSTTAINMAAALAELDRRTLLIDADPSGNA ALGYFARGTPSAGLADALLDGARLDEVAVASEFPGLDVVPPGDRLGACSDQMGSTQGL GQGREFRVRRLLKGLAGYDAVVVDTSPVLTPLNVAILYAVADILIPIDPCVAALAGVR ALEDLVRTVSEFRLEFTDAGPLTIAGVLITRADRTLVSKQIEAEVRAYFGGLVYPRVV PASVKFREAYARGTPLIHYDRFNPGAAAYRAAAAAYLGGAGAGLASPAGAEGLDDREE DDGRLDYRDAS OJF2_RS38250 MMSSPTRRGGIPGPESRTGLTRPSMTEAEFERRLSSQPAGDDPI PPAAGGQDLGGDGAAGEADGGSRGSVATARSRAPRAKPAKPRRVQRMFAIEEEVDKKL WLYAIHMGKDRSEVVNDLLRPVVASMVLYDSRDRRGRNAEVAADRAGDELQN OJF2_RS38255 MDEWELPVEEAGDFGQPGDENPALVDAGWKDELNLAEFPIAALT DRVPDGQTTLVFEDRLERRDSQPIVRRLTIMGTHKHGLPTSLDDEVLVGLIQLTKRRS NFTDARVHFSRYELIELLGWPQSGQSYRRIEEALHRWVGVVLMYEKAWWDNAAKSWVD EQFHVLDNVTLYDRERWRTSAKSGKSGRPDRGGKAERPPLPLSSFRWNEVIFQSFQAG NLKQLDLEFYLKLRLPTTKRMYRFLDKRFYRRRRLDFDLRTLACEHIGLSRSYAPTEL KRRLKPALEELERLGFLEPLNAEERYSYVKRGTWRIILIRCAADAPDPARPGDDEASQ LLEMLKARGVAARTAQELVDAHPAGRIRTKLEVFDWLMQNEDKRIGKNPAGYLVASIR SDYQAPGDYQSRPARPRRGLESPKAESRDEREKVEARDRDRRSEADEAKLRALWEKLP PAERESILGAVKAQNPGLRWKNMLEPLCLAALEARLRQSKRGGSQALLFPEPGASS OJF2_RS38260 MGAKTLRRFIILVVVVAAAAVSIFFFQRFQVSRMDRSLLSRAQE AAAGGQEDEALRLYEQHLLMKPDDVEARLEFAKLLEAKKGLKYQNAALAAYSDLVKQS PERDDIRIRYVNLAVKMGDTAGAMKSLEALLRTRKDGHLYFLLGQCLQEKQPEEAARA YRSAVENLDRGSAEWIEAYGRLAGVLRTQLKRPDEAKAAIADMVKADPENYRAHMEQG RYYRQAGELKDAATYLQQALDRKPDEPEIYRELALVAAESKDFARAREILDKGLQVAP DDVPLHLAYAQVEMASGARDRAIDRARKSVQQLPDELSLHTSLAMFLAERGDTTELRM EMEALRKLGYAEPNLQFLEGYLLVNQKDWKGARQKLLRMLASEVYVDAARSRMQLLLA RCDGHLADESAGDQRAAYKEEQRVAYKKAVELDKSNGQARAELAAALATRGDLPGAVQ EYRRLVDQAPDARRNLIQLLIANALRQPAGQRDWAEVEAQIKLLRDRDPASVDWRLAT AQLLMAKGGADLPKQLADLSKDSTMLAPADRRRLLEALAQYLVRLNDYAGARALRLEI ERSAPDDLDVQVQLADLAFMTKDPAEIARRIARIEAVEGADKSMSRYIKARAKMLEAK GAPPEAQKALRDEARAELSQIDRPDWAQVPLSLADLEDQEINRPGIDAEAKKKAQDRA ATLYVKAINLGARDIGIISRATDLLYASGRSDEVSQFWDKLPAASVTDASPQDRVILD VTSRGDYQRAIDLATKARDANPEELLRWIRLAQVYVADKKPEKAEAVFREAIQARPAD PDRWNNLVQFLAQTAQLDAAERAIGEAEKALKGQSPAGMARCCETLAVVCKAGPQAMI NLDKSEHWYGEAVRWLAAARDARPDDAKLSRQFVDLLIRAGRLDEARKLLVTLEASLK DPGDVTWARRTLARAYLATGDAAGQQRALRSVEPIERELNSPSIAPDNLRILAEVYEA QGTPDYHLKARGVIERLVAMNVETAEDRFILARMYSNDGEWAKAHDQYRALLSQTENA SDPQSVLRRPEYLVQYIGELINHARASQSAQELNEAQEVLAKLKAIRPRELEAAVLEV QLLRAQDQPDKAEQLMPTLVQLIRQQSELAAKQRPAAGTKADQVQAVLARTAEGVGQV KVAEELLRALVAQSGTTGNRLLLAAFLTRQGRVKEAFAGCDALWDEGANPEFLATAML QVFATPSMKLDAADSARLVGRIQRAIEQKPASDVLRMSLANLRERQADYAGAADLYRE GIVRNGANVLALNNLAWLLAMRNEDLPQALSLIDRAIQIKGMAPELLDTRGVIYSKMG ENEKAAKDLVQATTMAPASGSKIVHLAEAYARANDREAARQAMARLKKPVEGELHPLE MDEYKQLLRDLGAH OJF2_RS38265 MFRKGIILAGGTGSRLYPITRAVSKQLLPVYDKPMIYYPLSTLM LAGLREILLISTPSDINGFERVLGDGGQVGLKITYAVQPSPGGLAQAFLIGRDFVGGD DVALILGDNIFYGQAFHGMLQRASGHREGATVFAYPVKDPERYGVVEFDPAGRVLSIE EKPARPKSHYAVTGLYFYDNQVLDIAAGLRPSPRGELEITDVNRAYLERGQLRVEVFS RGFAWLDTGTVDSLIQAANYVETIEARQGLKIACIEEVAYRMGFIDAPQLERLAGQYP NEYGRYLLDLIREAAPGAAAKSLA OJF2_RS38270 MPSFLEACDVPDALEIGVRGLKPGEDGVLRLDQPFALIGRDPRA DVSLDHRLVSRRHVYLQVVEGAGFWIDLDSRSGTSSAGQLRKSGWLDFDSPIHVGPFE IRRPAGKGAGGGPSREAGARVSPLVSRAHAGLPLPDVSLEFLNGPSRAAVWPMNRVMS LIGSASGCKFRLADPSVSSFHCSLVRTPLGLWAIDLLAPDGIAVNEVRSRFAPIADED VLRVGRYRIRIRIRSAGGRPRPHGRGPARTARAGTLGLARDPDAGHPSDAGPLAARAA DPGGPATGGLPAPLPGLHPSSIAWASVTASPSIDLGRGDIDQSMLVPLVNQFGAMQQQ MLDQFQQAVSMLVQMFGNLHRDQMNTIREELDQLKDLTAEVQAIKLELAARSGASTPV QPTLPEMRRPAEAAPADHAVAADMPEEIPNPIAARIGPATPDPSPVAPPPAPDPSPIA TAASRAASPPAAAATAAGRPRPGAAADPGASQDVILWLHQRMTSLQQERETRWQKILK LLPGAS OJF2_RS38275 MNSPMPSTDRGEPVATVDEMPLPASPRSRVAGLPSRALGAKIKH LLDYAGAFAGLLLLSPVMLAVAMLIRLDSPGPVMFRQLRRGHRGRLFWVLKFRTMVVD AEQKLKELEKRNESAGGVLFKLREDPRVTPLGRFLRRSSLDELPQLINVLRGEMSLVG PRPLQLRDSDNLESLDPGGYLRRLAVRPGLTGPWQVGGRSDVDHERMVTLDLDYIDNW SLGLDLRIIVRTFWVVIAGRGAY OJF2_RS38280 MQILIVFAALLGCIPATLLLFASLPARLAVVVAVVAGWILLPPV TIDIPGLPNYGKQTAVVLGCLIGTFLFQPNRLLAFRPRWFDLPMAAWCLCPMASSLAN GLGVYDGLAASYTASLAWGFPYLIGRLYLGDPSGIRLLTTAVALGGIALILPCLFEIR MSAMLLPKVYGLGVFEGRRMGGWRPRVFFTTGLELGMWMTASSLSCAWLWRSGILKRI GPFPAVVPLVTLLITSLLCRTGGASTLLIAGLAALWLSVQLRTRVFLCAVLSIAPAYF ATRIPNIWTGKNLVAFIDKNLDPERAQSLDFRFDNENILVKKALRQPIWGWGGWARGR VTDEHGRDISVTDGMWIIYLGDHGSVGLACWTTALLLPSWIFVKRFPARRWSQPDVAP MAACAMLLGLYSIDCLVNGFMNLAYIVALGGLASAATSPAAAYAADRGRAGGPRPHAA ESAPGRSPLVADAAAAPGLPAPDPRQVLADRYRGLARSLGGREGDRAAAADALGHAYT ILSAIASARPDDAEAQRRRRSCGDELARLLVAEAPSPGDAARALELTRQATREEPGRA RYWTTLGAACCRAGDPAAAIAALERSIALRGGVGGPLDHAFLALAHAQLGDLAAARVH RERAGIGAAGQDNIDRIVSLVDDQINAHSV OJF2_RS38285 MSRPIISIENLSKRYSVVDGADSGRRRAGYHEFTLRHALASTGV KAQRLLTGKRPESRARMTDFWALRDVSFEVEKGQVVGLIGRNGAGKSTLLKILSRITE PTEGVVRLRGRVASLLEVGTGMHPELTGRENIYLNGTLLGMRKAEIDRKFDEIVSFSE IEKFLDTPVKRYSSGMYVRLAFAVAAHLEPEILIVDEVLAVGDYAFQKKCLGKMRDVA TGGGRTVLFVSHNIGALSQLCELGVYLDNGTVKDVGPVKDVVLSYMKAGLSHNASRAS FEAEPQKPGQFLSAEILRGDGEEHGSDFACDEPITIRLTYEVRRPVAGTYLTFYIQNM EGTRVLYSDIRDTSPDTEERLGVGVHCFTVVIPPRLLAPTTYLLTVGSASKYSGVIDH RHDCCEFSLQDLESQNQTRPGVLGVLLPWQQGEVADARAVTAG OJF2_RS38290 MIEASESIVAPPPVRNGESDGPDPRPRETEPASPHEVVLRARSG WLPIDWAEIYAYRELFFFLIWRDVSARYKQTVLGSAWAVLQPLVMMLVFCLLAVVLKI PTPRVNGAEIPYPVFVFAGLIPWTVFSQGMPGSALSLVNNQHLLTKVYFPRLFLPMTA AAVYLVDMVYSLGIYAVILAIYRIVPSWTVVFLPLLILMTLISTLGVGTILASLTLFY RDFRHLVPFLVQFLMYATPVFYSASTITASRPWLGWILALNPMFGVIDAYRAVILGAP LDASALLISSTSGLVLFVFGLFYFRRTERRFADFA OJF2_RS38295 MGRKSDAPPFRVGLVGTGYIADWHAKALGAARGASLVAACDLDL ARAEAFGRRHGARAYGSLEAMIGDEANPLDAVHVLLPPDRHAAAASAAIRAGKHVFLE KPMAVDAEECSGLIGEAAARGVAIGVNHNFLFAPNYEQFRGDVRSGRLGRLDRLTVTW HRGLDQLLSGPPDIWMLRDPRNIMLEIGPHCLAPVLDLLGPLEVIGVHASNRMTLEGG RPFYRRWSVEGEAGGVAVSLHLSFAQGFTEQTIHARGSVAAGTVDYERDTYLLHRHSP YSLDFDRYRMIREDAGAMAAQARRTLAGSVLSKLKLSSRGNPYGLSIARAVQAFYAGM GGAVDPRLSAELGRDLIAACAAIGREGAGEPAAAAGGEPEPVATPREAGGEGAAAATP AEVLVLGATGFIGRELARQLLASGRRIRLLVRSPGKLPADLRGPGVEVVRGDLTRAED LGRALGGIKAVYHLARANVKTWEEYTEQEIEATRRVAEACLARGVGRLIYTGTIDSYY AGGKAGTITEDTPLDPQIAWRNLYARAKAASEGLLMDLHRDRGLPVVIFRPGIVIGRG SSPLHWGVGMWSWNSVCQVWGRGDNPLPLVLVEDVASALVAALEVPGIEGESFNLVAD TDLTASDYLAALEEHAGASFQKLPTAPWRFYAADVAKWAVKQMVRHPDRRRPSYRDWE SRTQRARFDCTKARNLLNWRPVCDREEIIRRGIQQPASQFLS OJF2_RS38300 MCEVGVIAIGRNEGERLRRCLESVGGRGLAVVYVDSGSSDGSVE LALSLGAEVVELDLSRPFTAARARNEGFGRLLEIDPRVRFVQFVDGDCEVAPGWLDCA VEALEAAPDVAVVCGRRRERHPDRSVYNRLADMEWDTPVGEARACGGDAMMRAEAVRQ AGGYDPAVIAAEDDELCVRIRAAGWRVLRLDAEMTLHDMGMTRFSQWWRRSTRTGHAY AEGAAMHGGSPERHFVRQARSVALWGLLLPLLALGLAWPTRGMSLALLSCYGFLYWRT RRYYSATRGWPAAHARTNAAWIVLAKFPQAVGLIRYWYGRLSGRRSRLIEYRGPVPAG G OJF2_RS38305 MRADETRPSAAPAAGPTVAVAATFTAEPILRPLDFWMREAGLAG PIEFAPYGQVFQELLDPGSLLGRNRGGVNAVLLRVEDWLRYGPSAGDPEAARSLLDRN AGDLVAAVEGAATRGGAAPMVLALCPPSPAALEDPERRALLEAAERRIAGALGGVAGV AVLGPEDLAAYPVAESHDPGRDALGHIPYTPAFFAALAAALARRIHALKAPPYKVIVL DCDNTLWQGVVGEEGTLGVAVPPWCRALQEFVLRQIDKGFVACLCSKNEERDALEVLE RHPDMVLRRDHLVGWRINWEPKSENLRALAAELNVGLDSFVFLDDNPVECAEVRARCP EVLTIQVPPADEVGPFLDHLWAFDRLKVTSEDRQRTAMYRENAERARLQERAGSFGDF LSALELRVDIHEPTAEQWGRVSQLTQRTNQFNFTTVRRSEAEARGLAAEGLECRAVEV RDRFGDYGLVGVLIYGRGGDAIEVDTFLLSCRVLGRGVEHRMLNHLGEAARGLGLAAV VATAVPTAKNLPARRFLDKVAAGWKEERDGRSTYRIPAESAAAAAPDASGEAEGGASA AEAPAASPSGTARAVAAYERIALHLRSPERVVEELERLGPARRDRPDLGAPPTPPSSE AERRLCEAWAELLGLGEVGIHDDYFELGGTSLLAVDLLARVERLTGVRLPLTAIVEAP TVAGLARLLEQDGPRDSLVTIRAGGDRPAVFLVHDGDGETMLYRNLALRLDPGHAVYG LQPHGLPGYPMLHTRIEDMAEHHVEKILSAQPSGPYLVGGMCAGGVIAYEVARRLQAR GEAVALVALLDAADPEAQLRPFRSANQRLRGLSGALGQGEGLGPVRRAAAIGSKVARK ARNFVAYEARRRADGAWTRLRMRLFRRYTDRGIRPPGYLSGISVRKVYLHAESSYRPP GKFDGDLALFRATQGVGDDEPYCDRYSDPLLGWGGRATGCVRAYDVPGGHSSMLQEPN VRVLAETMQAAIDEALASRAPGPMPAMAGVP OJF2_RS38310 MNADTIVLEGALATLVEFMDAHPEAGIAGSPVPLADGEVQSSPF RFPGVLSELDRGMRLGPVSRALSRWAVAPPAPDSECRADWLSGASMILRGSMLDQVGL LDEGLYTYFDDIDICLRARRAGWQVWYVPTSRIIHLEGASTQVGSRIAKRRPAYWYQA RRRFLLKSHGALYTSLMDATFILGYATWRLRRLIQRKPDDDPPSTLADSIRHSVFCTG FRVTEVPNPAMQPATTPQ OJF2_RS41025 MKLLVVVLCYKVPDLAIDCLKSLGGEVARVPGTKVVVCENGTGG DAAERIRRAIDQGGWAGGAPSRRSTPIAASAPATTWSSVPPWSRTTRRNTSCF OJF2_RS38315 MNSDFTVELKKNNLTVHSIDHEEHWEVTLAYTGELTMTGARVQR AASKYLGDAENFAVTYGDGVTDADLSAEYRFHLGEGRLGTVLGVNPPSRFGELKVDGA QVQEFSEKPDFVDNWINGGYFFFKRDFLPYLTPDEGCVLEREPLIKLAQDGQLSMFRH RGFWACVDTQRDLEQLNKLWASGDAPWAV OJF2_RS38320 MKAFVTGHQGYIGSHLVDVLKQAGHSVVGCDIGLFEGCGWEPLV KPDRHLNKDVRQIEPADLEGCDAVMHLAAISNDPMGDLNAQITFDINRDASIRLAKIA KQAGVPRYLFAGSCSVYGQGEKLDLDEGDPLNPLTAYAKSKIDTEVAVSELADDAFTP VFLRNSTAYGHSPMLRIDLVVNNLLGSALAYGEIRIQSDGSPWRPLIHCRDIARAFLA MAEAPREAVHNKAINVGANSENYQVRDVGNQVQRLVPSAKITYTGEVGADPRNYRVNF DLLYKLLPDFRLQYNLASGMEELHRKMVEHGFGKKDFEGDQFVRLRTLKHRFQLLG OJF2_RS38325 MIFNETPLPGAYVIDLEKRGDDRGFFARAFCEKEFGAHGLATHF VQVNNSLSAQKGTLRGMHYQLAPKAETKVVRCIRGALYDLILDLRKDSPTFGKSFGAE LSAENRRVMYVPKGFAHGFITLADDTEAFYFVDEFYAPEAERGVRWNDPKFGLEWPIA PAVLSDKDANQRDFDPAWHLSA OJF2_RS38330 MKILFTGGSSFTGYWFLRELAAAGHEVTALFRKPASGYDDSPRR QRVAMASELVRPVHGCSFGDDAFLALVAQGGWDLLCHHAADVTNYKSPDFDAIGAVRN NTLNLPQVLRALADAGCPRILLTGSVFEGGEGAGSQGLPDFSPYGLSKALTAQAFRFY CDRAGLRLGKFVIPNPFGPYEEPRFTGYLMKNWLAGKEASCSQPSYVRDNIHVSLLAK AYADFAGKLPATPGFSRLNPSGYAEGQGAFTLRTAQEMRPRLNLPCAVTLAKQVEFPE PRVRINTDIVDAGALGWDEARAWDEMADYYLKAHAEASAPAR OJF2_RS38335 MNQPTSPVRDDDPPRVAYLINMYPQNSQSFIRRELAEVEAQGIP VHRYTVRRWDDRIVDPLDQAESRKTEVVLDAGAGGLLRAAMAAAASRPLAFWGALALA VRLGRRTGSAGRGVVRHLIYLAEACHLLGSLRRRGVDHVHAHFGTNSTAVAALCHALG GPPFSFTVHGPEEFDAPLGLALDEKVRRARFAVAISDYGRSQLRRWVPYEQWPKIRIV RCGLDPMFLDADPAPVPAARRLVCVGRLAEQKGHLTLIEAAARLRAEGVDFELILVGD GPLRGEIERQVAAHGLQDRVRLAGWQSNSAIRDLVLGSRATVLSSFAEGLPVVIMESL ALRRPVVSTYVAGIPELVEPGVTGWLVPASSAEALASALREALDAPVEVLERMGAAGA ARVAERHRAATEAGKLVELFRGSVEGVAPRPRDGVPEPALP OJF2_RS38340 MSPPPGGPGASRATGEVPAGGPRPAVFLDRDGTVIEHVSYLSDP GLVRLLPGAAAALIRLRRAGFAAVLATNQSAVGRGMITEGRLREIHAELERQLGREGT SLDGIYYCPDAPWDDDGLPATASRRKPGAGMLLEAASDLGLDLAASWMIGDLMSDVLA GLNAGCRSILLAPGRPPEGDDEGPAPGPYLTAPDLAAAADLILAGHAGAMAAARTAAR GISPP OJF2_RS38345 MTRGGGIKEPVWVWGIPYTPLTYEETLEAVCGLVEEARPAFFIT ANTHYAMLTRDNADLREVNSRAAFILADGAPVVWASRRGPAPLPERVAGADLVFSLCE IAARRGYRVFLAGAAPGVAEAAAERLRERYPGLAVATACPPRFQDQSDEEYRDLKAKI VAARPHLLFLAASQPQGERWLFRNLEDLGIPVVGVPVGSTVDIAAGRLGRAPVWMQKT GLEWFYRLVSEPRKLLTRYARNGRFIASTLLRRPDRPATSE OJF2_RS38350 MTSNPSPALRDRLQAVEPRLVAMLVGLAGLAWAYWPNLQDLYTT WTHEPNYSHGILVIPIALMIAWQRQGEAKGGEEAGYGPWWSWILLASTLAIRAVAYEQ NSQWVETATLIPAVACLMFTLGGWPLLKRVWPAVAFLAFMLPLPRAVNEFITMPLQRL ATAGSVYVMLLTGLLVQAQGNIIVVPDAPPESRTLEVAQACNGLSMLMTLAATVTATI ILFPLPNWKRLVVFASAIPIALISNIIRIVATGWCYYLMRGDSAHKLAHDWAGYLMMP TALILVGLELLVLSWLGGDEVPEEQQRPIFAIIPQEAGPAAVQKKGRVPEL OJF2_RS38355 MRREMDVAGAPSVTIDTRQLFRGLARNWWRILLVWLVVSAPLVY VIYTLVEPTYQASSLVLVESNQNDPFSAFSNPALSGQAPTYLKTQLVSVTSDPVLAGA FVVEPRIAKFSMFKNSNDPAAELRKRLEVQILPDTNFIKISLESTNPQEAADTVNAVA LAYKLATQPDDTQLVPPAITGLRKDTAQAEVAALEEYRKKEIDSKIKMKKDALLKLAK NGGVQFKKDANAKGEPVDTPQATSDDLLEQFRSTNELLMQTDFQLIEAEAKLTARQAQ AAGDVASQSQAPDSNVPNEQIREEFTRDPEVIELVDRIKQVKNELDHLESISRKKSDP SRKAAQTQLSKLEKQYYDLWETKKGQIRERLLVTTSGVASNGERGESIPELMQQIAVL KTKKDKLTELYKKFEVTQKDSHADTVQAAFLNTELANLTTAGQQIERRLLQLKFTQDK SAVTIPRIDRAKAPRDSFNNKRLKFMAIVPVVVLGALLGLFLLLEIRAERVVNPDSLS SRVQSEVYALPPIPRQRAIGASGPDDQIDRFIQRLDHLRFAVCGEPHDAEMGRCVLVT SAIGGEGKTTLAAQLAARCGNAGISTLLIDADLRRASLCPLLEVPEGPGLSDALQGDV KVDELIIPVQGGTFHLLAAGTPVPDASRIVQGRSFPMLIAQLRQTYEMIIIDSPPVLP VPDALALGRWTDGALLATRFEVSRSPQVERARRQLDNAGIPVLGTVINGLRSSDSYYG RYTYSRQRATQTPSADAV OJF2_RS38360 MATADLPQRPQAPARLASRFEWRRLAIVCALLAISGGGRYVRDW QFASLSRENESPPFALSEFPLQIGTWQAKPGSEQPLEPEIARIAGASDHVIRTYVDSS TGNTADVMIIYGLAAGVWPHTPEICYPASGLAARSEAELVEVPLPGSPGQSATFRRQV FGRGGDQREVYYSFRNAGQWAVDMESRWKSFRYHPGMFKIQVQHPYSGDNGGRAIEAL LAKIADAIDHHSPGGG OJF2_RS38365 MTAILGISAFYHDSAAALVLDGELVAAAQEERFTRIKHDPAFPS RAVAYCLGEAGLTPGQLDYVAFYDKPLTKFERLLETYLTFAPAGFRSFRLAMPLWLKD KLHMRRTIRHALGDSSRARLVFADHHETHAASAFFPSPFERAAIITLDGVGEWSTTTF GVGEGNRIRLTDHIAFPHSLGLLYSAFTYYCGFKVNSGEYKLMGLAPYGRPVYRDVIL QRLIDLKDDGSFWLDMDYFQYCQGLTMTGRRFHDLFGGPPRAPESDLEQRHMDLAASI QAVTEEAVFRIARHAAARTGMRNLVLAGGVALNCVANGWLLREGPFDDIWIQPAAGDA GGALGAALFVWHQLLEKPRPITGRDSQKGSFLGPRFDAEGIAAFLADKPSPGRRFDAE EELVEHVAGLLAEGKVVGWFQGRMEFGPRALGARSILGDPRSPAMQATMNLKIKFRES FRPFAPIILRDRAPEWFDIEPGQESPYMLLVAPVREDRRVPVDPEALRVMADDPDLRR RVNVVRSEIPAVTHVDYSARLQTVDEGRNPRLTRLLEAFDRLTGCPVLVNTSFNVRGE PIVCTPEDAYRCFLATDMDVLVLEDTVLTKDEATRRAGAAAREEYLAQFQLD OJF2_RS38370 MQWSDIQFRPEARTLRQFAGLWLVCFGGLAAWEGFRRGHTTAAL VLGVLAVLIGTIGMHRPQAIRPIYVGWMVLAFPIGWTVSQAILAVMFYGLFTPIGLAF RLIGRDSLQLARRPGLATYWAPKATPTDPRRYFKQF OJF2_RS38375 MSQTSDKPVSETEKAAASEFEKLAAQPQGESIVSEFLAFLGENK KWWLLPIVVVMLLLGVLIFLSSTAAAPFIYTLF OJF2_RS38380 MTKIVLLRHGESVWNKENLFTGWTDVDLSDQGRIEAKKAGELLK ADGYTFDVAFTSVLKRAIRTLWMALDELDLMWIPVVHAWQLNERHYGALQGLNKAQTA AKYGDEQVLVWRRSYDTPPPPLEESDPRYPGSDPRYKGLSKSELPLTECLKDTVARVV PYWEGTIVPAIKEGKKVIIAAHGNSLRALVKYLDDIPDDKIVGLNIPTGVPLVYELDD RLKPIKSYYLGDPEEVAKAAAAVAAQGKAK OJF2_RS38385 MPSCRLRPLPSAVALLLLVGLAGRARPAPPAGDVERRVDELLAR MTAEEKVGQLQQLDSVPNAWTIRDEHRELAARGLVGSFLNIRGAKAINEAQRLALEKS RLKIPILFGFDVIHGYRVIFPIPLAEAGTWDPAAVERAAAIAAAEAASTGLKWTFAPM VDIARDPRWGRIMEGSGEDPYLGSVMAAARVRGFQGDDPAAPDRVLACAKHWVAYGAA EGGRDYNTAELSEHTLRTVYLPPFRAALDAGAQTFMCSFNTVNGVPASANPFLLTKVL RDEWRFDGLLVSDYESVKETIAHRTAADGADAARQALNAGVDMEMVSRLFAAHLPKLL EQGKVPPAKLDEAVRRVLRVKLRAGIFEHPYVDESREAKVVGAREHRAAAREIAARSM VLLKNDGNVLPIKPGTRTIAVLGPLADDADAPLSHWRGDGRVEDTVTLLAGIRSKVQG RAGVQVTHAKGCDIEGDSIDGFPEAVRLARQADLAIVAVGEASAMSGEAGSRSSLDLP GHQLNLVKTIQATGTPTVVVLYNGRPLTLGWVADHVPALLEAWLPGTEGGNAVADVLF GDVNPGAKLPVTFPRVVGQVPIYYNALSTGRPAQADNRYTSKYIDLPPTPQFPFGHGL GYTRFELSRLTLGAKSILPDEKLAVTVDVKNVGDRAGDEVVQLYLRDEVSGVARPVKE LAGFRRVSLAPGATQTVRFELGPDRLGLYDAHLRFVVEPGKFQVTAGTSSVGGLTEQF EVAAPRPE OJF2_RS38390 MIRTTNAGRKRRANRSVPSVEPLEGREMMTAGTASAAAIAAAAS PQPGEISARPASPAAVPTDSLRLRDRLSPRVVRMLRAEIARQMAADNLPSVAVGIWIP GAGRFLAIRGDANLETGRRRGLPDPFRIASITKAFTATAVLQLVDRGRLSVTDPLARW YPDFPNAANITVDDLLRMRSGIPDTVDAALPEYFTDPTIRLTPEDFMARAAAMPGAFR PAGVETVYNNLNYLFLQEIVRKETGRDLGVQIRRSILRPLGMAHTLYPRGDRLPGPLH GYSLVPETGRLIDRTVLNPGVAGGAGAMISTMHDLRIFARALGTGSLLSPSSRAAQLQ GSPLAHAPEFLRYGEGVELLGPFVGHNGTIFGFSSEMFYLPALKATIVVNVSRLDLDD VSRATPLFLSLSKIVFPDYVLW OJF2_RS38395 MGRTKAATSGARRRLVETADRLFYEEGFRAVGIDRILAEAGAAK ATLYAHFASKDDLILAVLEHRERHTTEFFRAAMERHAKARGPLGPFFAALKEWFETPG FRGCAFQNAAVELADPAHPGTAFSRGFKRRFGEFLQELIAASVGEESAKLAPAVSLLV EGAIVTAAIQGEPDAVDVARDAARRLLAEGRP OJF2_RS38400 MYNSPNGAIPVSDATRTRHRTVKVNGLDIFYREAGPEGAPAVLL LHGFPTSSQMFRNLIPALADSYRVVAPDYPGYGHSAMPPREEFAYTFDNVAGVIDAFT ERVGLARYALYVQDYGAPVGYRLASRHPERVTAIVVQNGNAYDEGLDNEFWKPIKAYW AEPDSREKREALRGLLTYDATRWQYTDGVPDPERVSPDGAAHDQFLLDRPGNDEIQLD MFLSYGSNPPLYPGWQEYFRTHQPPMLIAWGKNDQIFPAAGAEPYRRDLKTLEYHLLD AGHFALESKGEEIAGLMRDFLGRHVSGS OJF2_RS38405 MRDYPSDVAFTPAVKAVQERKGSRRSYARMERDGGWETTISADL AGFVAGLDMFYLGTATADGQPYIQHRGGPPGFLKVLDERTLGFADFGGNRQYITLGNL SENPKAFLFLMDYANRRRVKVWGTARAVEGDAGLLDRLADPAYAARPERAILFSVEAW DVNCPQHIHRRFGERQVVPIIEELQSRIDELEAEVARLRAAPAPGEAPRRGAARRSAY DE OJF2_RS38410 MPGRNPRKRALEFGGLDVLESRRLMSASPPSPTTSFVYTETDNS DPGQNAVIAYRRTADGRVTEIGSFKTGGTGLANPQGLLGPDDSDKEVIASPDGHLLFA VNQGSDSVAVFRVRRDGSLDLVNNRPVGSGGTQPVSLSIANGRLYVVNRGNEVQGQAG TVAPSITVFKIGPLGTLRQDVAATTSLRQGLSPSQLLISSRSNLAFLDTFTPPPLNSV PGANEVVPYRISADGKLVPAPGGGVGAPVTPPLLLGLAEHPTRNIIYAGLTGAGRVGV FTYDGGGNLKLAGTAPVEGGAPCWSLVGPDGRFLYTVDTGTNSVGVFSLADPLRPAQV QEFALGGPQNPSGNPSDPRETTDFEFALDPTGDALYVINHSTDAAGHFPQGNALHVLT VAADGTLSEGAGSPRFLPPGIPAGAAPQGVAVIAASRRDGRSDGHD OJF2_RS38415 MPRLRVQYTLGQAALLVAGCAIVFAAMRTHAGMILLIVLGPVLP GFILGQMRGRAGIGGGVISCGVFGIAYAVAGLFGTLYEGQSLESAVSAGLANLLAVLI VAPAWGVFVGISLYVFAEPICLLCRGLRSSIRYRAGDSCGPVVWRAFDGGPGPASGRA RSVTEAGRVAGSGGREGDA OJF2_RS38420 MPDELVRVRASRVPVAGPGLPLPSLVERAGAAARFAWDEFFYAE HHNPHTQKAYQRAVRRFLAWCEGQGEDLAAITPGQVGRYLTGLGGSAAKRNVALAALR GFFDRLVNRHVCVLNPAASVKGARDVAVEGRTPEIGVEQARMLLASVDVSHVVGLRDR AVLATLAYTACRAGAVARLRLRDFQHDGTQYVLRFLEKGGKGREIPVRHDLEGYIRAY LDAAALGGDAGDSPLFRASNGRTKRLTAGPLSSKRICELVKRRLKDAGLPSRISPHSF RVTAITDLLTQGVPLEDVQYLAGHAEPRTTGLYDRRRKGVTRNIVERISI OJF2_RS38425 MAAADAIVRGDHRDVLRYTDDARMAIGVAETRTDRGEVMCEGED GRPLARAVFPGGRRLGRYQGAD OJF2_RS38430 MSHDEWRERADLYALGALDGEELGRFEDHIDAGCDACERQVREA REALLQLPRALPLARGPSPDVKRRLMAEIARESPGLRSGTGPARGRRGLSWGRVGLAA SVALLVGLASLAAWDDWNLRGQLRDLAAEAARLRSGLVQRKDVIHYLDDPGVAIISLA GLAPSPNASGRVLWRAADRSGYVLSRGLPPAPAGRKYAAWAIAASGPVPLGLFDDEEI RRAFFRLRSTAAQPAEPPLAFAVTLEPASGGKSPTGPVQLRGTIAASSQAAHRDIGPR PTSARNAGPASARGRSLVLASMPRGAGSH OJF2_RS38435 MDTQPGEEPTDLIAAVARGDRQALERLYDRYAPIVHSVGLRILG IRADADDVVQEVFLQVWRRAETYRPDRGSPECWILTIARNRALAKMRAANTMKKGLET LRLRPRPTGVESASGPVVRAESATAVRTALAGLPDEQRRALELAYFEGLTQSEIAARL GEPLGTVKTRIRLGMERLRRLVGEGEMPEGLP OJF2_RS38440 MDERLATAMKDGSFGAGWRPGAAAAGGPARQGRRSFFRRGAAAA AAAVAAYAGREAFGAGTNPNYLPSLYRGQNALEFQAIRTHENAHVTFLVNALGTYARP KPTFVNLVQPNLLAFAQTSKALENTGVGAYLGAAPVIYSRDYLAAAGSIMTIEARHAG YLDVLLNEIMTTNVYGDEQSFEMALSIEQVVDLAGPFIADLNGGPPLTFSTTPSPSND VAILNFALALEYLEAEFYNVNVPRFA OJF2_RS38445 MSHRPGLRGRLAAVLALLFLGAGDALAQSSVPESQLDVFLGLQD GDLSGLGNGPVLNGSALMRTITVSAGTTLSFDYNFLTNEPMTSPLDAVNDFAFLTSPQ LSDFADTFSPLASSSTGFLRETGYKTFSETFAMAGTYTLGIGVVNVTDGLNDSALLLD NFRLSTGSLTNGSFEEGDFAGFSTIGNASIVTSSFGSGPTDGRYQALLTTAAVPEPSS LALLTTGVLAAGSLARRARRKAR OJF2_RS38450 MARTPSTQPTDAELEVLRVLWGSGPAGLGQVHASIQQTRPVALT TIATTLKTMLEKGLVGREDGPKGYLWKAVATRESTATGLVGKIVQHVFDGSARRLVAH LIEEGALDDRDRDEIRALLEGHAGTPAPRARKGRGK OJF2_RS38455 MTLADGMNSPAWTAAGWTMVHLGWIGASIGLAFVAARRLLGAAS PEARHGVAVAGLALWTASPFAAFGLLYRPMPDAKAADRVAVAATVGAAPMSLEVRPAI DRRPDIALDRREGPGTPGRPRFEGLVDWLPGVWLAGSLTTLGLLLAGVAGVERLRRSG VRVEDGPVADCCERLRESLGVARRVGVAACGRVVTPVLVGVVRPLILLPPAALSGWTM DQVEMALLHELAHLRRRDNLVALAQRLAEALLFFHPLTWWLSAWIGLERELCCDRLVV DRTGRRHAYAELLAGLAGAGPGAGRTALAMAEHPLTTRIRWILDKEERSMRLTLTEGI GLVLAAVLGGTLTLATYAVPPPKDAREAAGNASRQALRRMADEVAATPVSGKDEDGRG NTLVGIAKAQVKLGDRDGALATLRLLEGLSEGQMPKLGGKIDARAWHRVSSVVSSLEV RRDAGDVDGARAALKRIVHDFRVFDDKVVRGAYDKVTRLMDEGIVAKSEPQRQVSDEE AAYLAEASIALIDQAIALGETGLARNLIHRAVDAVGPPQGPTKAIIVGILGNYLVKAG DRADGRELLERSRRASVALKEPKARSAALSFLAQSQFEAGELDEPLALAGELPPEDMQ RVYAGVLAGLADESNRGFWWDPAGMNIKIGSPWLRPKDRARARHVLPRIAAAVRATGD ARTQARTLVSIVSLQARAGDVAGALATAESSPDLRRADFPGPSDGYYDALKPVAFALV ASAMADAGDADSAASTFAKAEVLARAIGDEGQKIVAQIVIAEQEASSRRSAALAVAGE AIATALMQPEPRRSRALTMLAAVQIGVGDISAAERTIDAMRDNPGLEKARALSILVSH LEKEGDRAAARSAAGRALAMLEAKLPDAPEPPRNGVMTMQAIGRDTFLDFDKELPPPI AAHERKGWVERFRARSLDAPTVIRLAKELPAERRDAALTQIAGTLATSGDAAGAMDAA RAIESPSTRMAAFSSLAYAIPLSRDDASSPSRR OJF2_RS38460 MRSLLALPLLVISMIDARAEGPTTDPSFRFPTVRPEHRHAQALL ANSLRYFDPAHKMVDAASGYPFEGWNQDPKRGLYLRSFTQLTAIGQYMELLANVAAGL CDTPLFTRRQAIGRLTHLVKSLRQDQRDATLSAQGMLGNFLDLATGKRLGPLAVDVEK GKLVAKLGAAKGEAVWKALAAKGWIKPRNDDTQADIVRSATYGYEHFDGVLAPFADEA TRQAVMGVLDQRVVMVVFIDNANLSSSAAKTIGALLRPEAKDEPGVREIRDDLERLLA DQQAGYDSLYDPRAGQFYFGRDATKGRYFGWIDLEGKWVTGHVDYLVNEFRGPATFVV TRFGQPLDAIANLGFKLKPYAAADGRDLYVLAPWEGSAFQALGLELSMTELDRAAWRT LLRNVVDVEIDYATRHGLPGFLSESYSGEGVQYTGSVGIPDITVSPLPRITDAASLYT LGAAYTIEPDKVDAFLAANWPAITRLLTDHGPWEGFKGSRTEVIAFQTTAHTLALTLG LLGTGSENMKLYLESKGLSPRLDKIFRAGDKHLDLLSDAAQCFAWNDKSSPMQSSRDA GGFHAHTDRVQDAGIAFVAKDQGGLNLSGGLLTLRYRSKQVIPKATIALKAVAGPTPL PGVIPTELSTRLAETGEGEREIEIPLPATPGLLQVKEVVLTFGPDAQGKPIDLTIAGL RVSPITSARD OJF2_RS38465 MDDARRGPTRLAPRARRCLAAALLGLAMAAPGGSARAAEGGAVR VVDAPERGAFNVGAARASVNRARDDEAGGEVLELDYEIPAGAAAGLYAKGFGAGVGSD EVDLIHLGVKAGDADQARRVTVAVEMKGTAGVQRIPLTLGDRWTSVEPLIDWPAIGSL NEMVLLVNPAGDGPPAKGTLRLDARFEPLPTLRKLSLSAPARLGGVLAASLVLATLAG LVRSMTVRRGDDPGEITGHRDEPSWRPLLADLGRGLGVAMIASASLATYHLGSLDAME AGWWPLAIALAGVAAAGWWKLVLAGEALTAGEAFRDALVPGLLAMSSSSMALLQVPVA WPQVLQLSQTAAALGVLIYLGVVASRLASSGKHAGPAAAALIAGTPYALGGLTLLESG GLMATLGGWLSAGLLDAHPDGRAFLARVAVLFAFNEAAALGLGAAVGGGPLRSPRAHL AMLSAAVAAVASPDIAGLGSGRWAAESGWLAKLLLAVAGTVFSQAGLWAEAYLITGMV LDAIRRLSPSASSVGAHVLTGASRAMVYGGVYMAGIQVVGLGLEFRHVRQAFGDWPTA STAILGALAFPLLKSVIESFDGSPPFFGRLARNYRGPLLYLRGAVVGAGLGYGLSAGY SGSDLGPRAALGFAVGVIAYAGVDLVRDAALSSRRRGRIQAVRYYLARALIGGGIGAA IGFYLDAPQVRQVAENFRAYLAVGQEPRLFDRNLFISKWGHVNLGHVSGGSGLMLMQS LAGVLSFATACWLFAINRTFLRAYFWKDASPIRTLFSTQGLVDLGENTILVLRWGLWM SPIIESFLRQTGEPSWYNQDGAIRTLVATYQDLTLTPEAFRAWSLQVFTALLAYDSIR VLIWLDHMGLRVATLVNLSFLGVDQMETSLARFLSPAATARCIPNAVKRFATWGPLLL PFYIPRGKDWDQAWDTSQKIQAENTAGLLGTIVAMPPAQQVALALGAAAICGLAFAAG RTLRRRLGRPALPTWTLENPRYRVSVNQIGEVRSTELGRGLDLSRQSYDGRRPAGCAL FLVEVAGDPGAASRAWPVVGNVPGEVAAGSGVAKSGDRLVLRNVAEGVETAVEITLPE ADSSVELWSITVKDLSGAPRAIRVVPYLTWVLDRADTDRGHTQYARLFTETEYAGGLN ALIAWNKHSKATGLLAADVAPAGFLTSRIDFLGRARSLWNPRVLETLAFREPADTPAH ATLDPIGSLLLPADVPANGSTQVRLLMGFARDREAAAGLVGRILLGGMAAPAAALPPP MDPEALHPIGHGEVPPGVEPPYAAYSDDGRTLLVRTPFTPRPFDHTLANALGQVTSVT NRGLHTTSSVNAQQNRLTPDWPDIVTRELPGEVLYLYDPDALEWYSPTYHPLNDEIAR HEAEFGVDGTATFRMAKGVVETELVVFVPPDEPATVYLLTIANAGEEPLTLRVVPYFQ MVLAGQPEFSGPLRVWRDPSGSAVYFENPRNTFRTGPAFAGFSERAEAVETHRGYFYG PGRAIGHPHFVEAGESYPGSYMKPDDRPVAAMLATLEIPPAGRRTVAVTLGQADDRAR AEAVVRKFRDVPAAREALEQTRRWWLALMDTLRVRTSRPDVDRYLDWLKYQAMAERIW ARRGFYQASGAFGFRDQLQDSVNLLWMDPAVARSQILLHASQQFLEGDVVHWFHRLQD GRTGFVGRTHASDNLLWLPWAVVEYLAATGDESILDERTPYLEAGQPFPPLPRDKGGM GFDPLRSPREDSVYRHGMLSIDTVLDARMGAHGIPLMGTGDWNDGLDEIGSEGRGESV WLGFFLYYILDRMIPVIERRDGPGRRDHYRRRLDALKEALERTWSEDRYLRAYHDDGT EIGVAGSGVWEIDALTAAWAVMAGINPARGRIVFETALRTLEREKTILLGWPPLREDT KPYLGRSSIYPEGVRENGMYCHGVQWLVGAARILAGRASREGRADEARHYEETAFRLW LKTSAIPHAVDGEIETYGGQPNKQAADMITTFDPGRMIWNGYTGAAGWMFRQALEGVL GLRLVAGKVVAPAGPSQAEPTLRHLVRDTTASPFPAPAALRPPAQRIEADPPRSDAR OJF2_RS38470 MRASTPFACIVILLMPLAAVAAGPRQKVIFDCDLGGDIDDAFAL SLLLSSPEFEVLGLVMDHGNTTRRGQVAARLLYEMGLEKQIPVVIGRATPAVVGEDTE IAGDSKQFLWGRGFESWKPASADAAGFLIENIRKYPGEVILFTVGPVCNIQDVLRRDP GALKQAKRVIAMFGSFTMGYGGPGTRPDAEWNVRADARAGQALLASGARLTLAGLDTT TMVKLREADRTRLLYRNSPLTDALCGLYILWRQEGAGPDPTLFDVVPVGMVLWPDLFT TRPAHVRVTEKGFTELVEGAPPNCEIGVTVQADELVKRTMNRYLEQNLMRPHVP OJF2_RS38475 MIRTEHVIELTYLVASALFILSLKWLSSPVTARRGVRAGEIGMA LAIVGTLLHGGIIDYKWIAIALVLGTAIGAPLGFVHMTAVPQRTALSHAFGALCVTLV GTAEYYLQAPGVPRFTMGVLALEVILGSLTFTGSLMAAGKLQEVLPQRPITYKGQNLV NLGLLAVAVGIAAILVGNPALSWLFPLIVILGLIFGVLLIIPIGGADMPTVISLLNSY AGLSAAAMGFVLNSKLLIIAGALDGASGLILSINMSKAMNRSFTNVLFGAFGQERVKA AGGAEVRSAKSASAEEAAAILSAASRVVIVPGYGMAVAQAQHKVRELFDALTRRGAEV SFAIHPVAGRMPGHMNVLLAEADIPYDRLIEMDEINGDFPQTDVALVIGANDVTNPAA RNDPSSPIYGMPILDVDRARTVMVIKRGMSPGFAGIDNPLYYLDQTLMLFGDAKAFTG ALVRELAGGEG OJF2_RS38480 MDQEILTNLYVFMLAAFIGFEVIRRVSPLLHTPLMSLTNALDAI AIVGAILLAGEGRSALATALGTIAIVAAMTNVVGGFVITDRMLKMFKSSGPQKQGHP OJF2_RS38485 MPREIYPGERRVALVPAAVPGLAKAGIEVVVEAGAGEAAGYPDD AFRAKGARVLAGRGEVFGAADVVVQVLCHGANDRNGDADLPLLREGQALIGFLRPFGS LGAVEAIAARGVASFSVELMPRITRAQSMDALSSMASIAGYKAVLLAADSLPRFFPML TTAAGTITPARLLVIGAGVAGLQAIATSRRLGAVTSAYDLRPAAKEQVQSLGARFVEL PVEVKDAEDARGYAKAQDEEFYRRQRELLGRVVAESDVVVTTAVVPGKKAPVLVTGEM VAAMAPGSVIVDLAAERGGNCELTRPGEVAQAHGVTIIGLFNLAGTVPYHASQMYARN LSTFLLHLVKEGSLRIDPGDEITRDTLLTRGGEIVQPRVREFFSLPADAAEPRG OJF2_RS38490 MAAGGPSLAERFRSIVADTDEGVIILEPDGTISYTNAAAEFLLG CARSDLVGEMFGLPLAADGLRTVVDVVSDDGAPRVVELRIEPLPSGDGAAGGLVLRLR DVSDYHRDAAGARGEVRRKDEFLAMLSHELRNPLAAIRSAAHLLTRDDAGPAARREAA RALDGQFRHLTRILDDLLDVSRISRGKLEVRMGRVDLCRVVRDAAAAVAPLAEARRQS LQLDVPDARLWAWGDPTRLEQAAANLLTNSVKFTPAGGRLEARVSGSGNEAELIVRDD GPGIPDDLLPHVFEPFVQGRQAVDRGQGGLGIGLALARTIVGLHGGSIQARPNDDGRG VTFAIRLPLLGVEVSPVEGDGVAAERGPAPPPLPLRILLVEDNDLVRGLLKEVLRLDG HEVSEASDGPAGLAALLDQKPDVALVDIGLPGFDGHELARRARGDERGREVRLVALTG YGMPEDVETARAAGFDVHVVKPLNYPDLCELLRGARTGPAGSGQPGDAEAACG OJF2_RS38495 MKDQEPDATPMGEYTFTLYVAGDGELATRALANFDRLVRSRLPG RCTLTIVDVLRDPAAARRDRVVATPMLVRERPGPVIKILGDLSQDAKALNQLGLDGPA ATPAGRAGSQGEDEV OJF2_RS38500 MSHATPDIAPTEPLRRIPTGVRGFEHISLGGLDQGRTTLVVGTS GSGKTLFATEVLYRTIVEQGRNAVFVTFEERPGDVVRNVHRLGWDLPALLRDGRLVIL DASMDRAFVEEAGDYDLSGIVSQVGHAVAELGAELVVIDSLGALFYQFQNPAILRREI ARLCDNLREMRVTSLLTAERLEEYGPISRFGFEEFVSDCCIVLRHQLVEEKVRRTIQI YKLRGDRHYKDEFPFTIEREGICILPLAAAELTQASSTDRISFGSPALDEMAGGGLFQ DSVILVSGPTGSGKTLLGTTFASEACRRGERVLLLGYEESRPQLARNALSWGVDFEEW ERQGLLRMVCQYPEAQGLEGHLYAIQREVDRFLPRRLVVDSVSALERAGNVRNFREFV IGLTSLVKRREMCSLLTSSSPRLSGGDSITDAHISTITDAILLLRYVERNGALDRGVM MIKMRGSQHDKRFHEFTIDGSGLHIGEPFPYVPNGLLGVPSAGGDGRE OJF2_RS38505 MPPMPKPGPTRLPILPALPALDDASFFARADVPHGKVEQATYTN HAGKEKRMHVYLPPGYEANGDARYPVLYLNHGGGDDDSKWTGTDPRQGGHAQFILDNL IAAGRAKPMIVVMPNTRGIASADPPKPGEEDACSKEFLKDIIPYVEQHYRARPGRENR ALAGLSMGGFVVMNVGLAHLDTFGELYVYSSGYFPDRMAAFEENFKAVLGDPKTNEEL LRVPLYMAEGETDIALRNGQATMAVINKYGVRNFWVLSTGGHEWANWRRYLHQTAQIM FPEDRKR OJF2_RS39785 MNIEQVRGMLLWGAIINSAFLALWGLLYLFARDLMHRLARCWRL SPELFDACQFGGILLYKMGTWMFFIVPCIALYILGR OJF2_RS39790 MIRHALAGDTAALVAIGEATGIFRPHEAEGLLGATLDAIHAGQL GEGHQAHVWVEGPEVPPAGWVYFAPTPNANGVWDLWWIGTDPARQGRGIGSQLLGFVE DRARAAGGRLLLVETSSQPALDPTRRFYANRGYVECGRVPDFYGEGDAKVIFAKRLRP VARPGADDDPT OJF2_RS38515 MNARMIVSALLAAALSARAAGDERPAEPERPRGAIRLFNGKDLS GLTTWLKDTGHADPRGVFRVTADGLLHISGDGFGYVATDRAYRDYRVVLEYRWGDRTD GGTSVRNSGLLLNAVGPDGGAGGMWMSSVECQLAQGCVGDLIPIRGKRADGSAVPVGL TADVVLGPDGHPRWKDGGVPRTFTDGQLWWSRHDPDYKELLDTRGRDDVESPRGEWTR VECTSSGGRITVRVNGHLVNECRDVAPAAGKILVQTEGFELFVRTFEVHPIPR OJF2_RS38520 MRMPTTRREILKGAAGLGAVAAVPLVHAAGTGSVRVGLVGCGGR GTGAATQALSAGPDVKLVAMADAFADRLEGSLSFLKDEPAVGSRVDVPPSRRFAGFDA CKALIESGVDVVLLCTPPHFRPLHLQMAVDAGKHVFAEKPVAVDAPGVRSVLETCRRA AEKGISIVSGLCLRYDAGYRETVRRLHEGGAGDVSTLLANDYRSGRWTKPKEPGMSEM TYQMRNWYNFTWLSGDFNVEQHVHHLDVCAWVMKDRYPIKAVGMGGRQALTGPEYGQI YDHFSVVYEYPDGARLISNCRQQPGCKDDMSVHVLGTRGRAALTERRRGLWIKGSAGE AWHYDGPKNEMYQQEHDELFAGIRASKPVNNGEYMARSTLLAIMGRMAAYTGQEVTWE MALNSREDLSPSSYSWDASPPASAIAVPGQTPFR OJF2_RS38525 MGRREWLLAAGAGVAGLVGPRGAARGADERPRPAATRFRIACMT LPYSRFPLERALSGIRAAGYRYVAWGTTHEEEGGSAPVLPPDAPPHRAKTLGRKCRDL GLEPLMMFSMIYPEDPQAPAVFRSRIEQASAAGVPQVLTFGHTKGGNRKLWVERFKQL GPIARDHGVTIVVKQHGGETGTGAACAEIVREVADPGIMVNYDAGNVMDYLDLDPIPD IKSCAEVVRSFCIKDHRNFPKDEDCGPGLGEIDHYRLLQPVAFTGRDMPLCCENISAP ILPPPRNPDEVDALARRAREFLEIVTRGLQA OJF2_RS38530 MNHPISRRTVLGLGLSAALGPAFGGPGDAPAPDLHRQLLALAEA QEARRRARFEAVSTASQLDELRASLRGSFLEMIGGLPSAQGPPTARIAGRIAGDGYAI EKVLFESFPGYHVSALMYRPAQGGPAHPGILSPCGHSEVGKAHGTYQTLHINLAKRGY VVLTYDPVGQGERSQFWDASRGRSRYDLSCGEHCVLGNPLYLLGMSFARYRIWDAIRA LDHLASLPDVDASRIGCVGNSGGGNLTAYLAAVDDRVRVPVVGCYITSLPRRMANRIQ EDPSSDPEQDPFGFVGRGIDHAGLLAMIAPRPTLLCSAERDFFPIAGTRATFEEARHL YGVAGAEDRIGMTIAPGPHGLSRPLREAAYAWFDHWLKGEPKDARTPEFAASPREPAE LHVCSDGQVNGALGSRPFLPMAWEEFQRRPRRPKVGLKTLLRTGAGGIDFRLRDEAAG GREGRPLILLVNGNEAPEWRRERGFIDALSAAGYAVRSIDPRGVGSLRVPLRSRGERY DDPLSGVEENLAYNAFLAGESLVAMRVADVLAAVAGPATAGHAPARPVVLCGRRDSAL VAMLAAAVEPRIAAVAVEDVPLSFLPLFEAEGLAINAASLLPGLLRDFGDIPEILAAL APRPVLAASCRGSLGRTLRGVQAVGDAFTTKPAVLLDWVVAAG OJF2_RS38535 MSGADPPRIPESLRRGVVIPAMPLALTSSRRLDERRQRALCRYY AAAGAGGIAAGVHTTQFAIRDPTHGLFRPVLSLVAEELGRADAARGEPMVRIGGVCGP TRQAVQEAEMLRDLGYHAGLLSLAGVGPDEEARLAHCRAVAEVIPLVGFYLQRAVGGP HLSHRFWRGFAEIEAVAAIKIAPFDRYRTVDVVRAVCESGRDDIALYTGNDDNIVLDL VTPYRFRVGGRTVERRIVGGLLGHWAAWTRRAVDLLEACHDAASRGDGVPANLLRLAV ATTDANAALFDAANGFAGCIAGIHEVLIRQGLLEGPWLLDPDETLSPGQAEEIERVCR DYPNLTDDEFVASHRDEWLAS OJF2_RS38540 MTVEASIGDLQSIRDVDQLDDLLSTPTPGVVDAFAKLDGDLIFL GVAGKMGPTLAWMARRAFDAAGRSDRRVIGVARFSSAGSEEWLRERGIETIRSDLLDP GTLERLPDAPNIVSMFAMKFGATGQEARTWAMNAYLPGLVAARYRGSRIVAFSTGNVY GLEPVTGRGSKESDPPRPVGEYGMSCLGRERILEHQSRALGIPMALIRLNYAVEMRYG VLVDLGRKVLAGETVDLAMGHFNAIWQGDANAMTLRAFGHLASPPTVFNVTGPEVLSV RRVAERFAQLLGRPVRFHGAEAPDALLSDARKAIHLFGPPRIDADRLIDLTADWLMKG GPTLDRPTHFEARDGRF OJF2_RS38545 MTIGDLALGRRLVAQAGWNQLEADWRRQMELQPDGGFVAELDGV GVGTVTTCRFGPVAWIAMMLVDEAYRGRGIGRALMVRALESLNANGVRSVRLDATPLG RPLYESLGFVAEATFDRYRGVLPPAAEQSGEPMARKADPLDLDAAIALDREVTGTDRG RLIRSLADEHPDSLRVAGEPGRVAGFLLSRPGRSARQIGPCLGDERAGPALLADARSR YAGEAVILDVPAANAPAAAQVRSWGLRAERELLRMGRGPRVAEDPRRIWAGSGPEKG OJF2_RS38550 MGSQGDQRRRLSSLLDEVAVDEGMNRTAVEGVTVGRVSKSAPRG PVVYQPKILIVGQGRKRAYLGGEVYQYDPYNYLVLSVPIPAECETEASPEEPLLILAI SVEPTMLGEMILEMDEPLIPAAGPTPRGISSSPMTEDLAGAVIRLLECLRSPLDSRML GAQTVREIVYRVLLGEQGGALRSLASRDEHFARIARVLKHIHAEYASPHSVEGLARKA RMSVAAFHHYFKLVTASSPLQYIKRVRLDQARLLMAHDGHNASTAARAVGYESASQFS REFKRLFGVTPVEDAGQTRARLVAG OJF2_RS38555 MSTITLSDPARFAQAIRDVARDAHQLRNATSPASARGLSRRIES LASALGDRRDGPLGTWLDNLGREVRADAIRRASAGSRAAACLCA OJF2_RS38560 MPNARRARCSEKAPTDDEEGPADRFTGTVRIVPLLRLHTPARPA AAGVTFEPGALTAWHTQSLGQTLLITAGCGRVQREGGPVEEVLPGDVVWPPPGASPTT AMTHIAIQEALDGKVVGWMEHASDEEYRG OJF2_RS38565 MTTRSTCAGRCVGSLLVGVMLAASAGGAPPERLELPGIENAFRL GPGLYSGGEPRGEEAFSALKALGVRTVISVDGAIPDVETARRFGIRYVHLPIGYDGVP RDQAVRIIKAARTLPGPAFVHCHHGKHRGPAAVAVCGLANEAWTREQALSWLEKAGTA PEYRGLYEAARGFAAPTAEELERAGTDFPERAKVPLLVDMMVRVDGRWDRLKAVQKAG FRAPAGHPDVDPSHEALQLAELFREAARLDEARHRGEGFVRELEAAGAHADSLSRALQ GLADRPGDASRRAADSAFSAVSRDCTGCHVLHRDN OJF2_RS38570 MQARKLGQGGLEVSAIGLGCMGLSFGYGPPVDEREGIALIRDAV ERGVTFFDTAEVYGPFTNEELVGKALGPVRDRVVIATKFGFDTSRDPRGMKGRPGLNS RPEHIREVVDASLGRLNVEVIDLLYQHRVDPEVPIEDVAGAVRELIHAGKVRHFGLSE AGARTIRKAHAVQPVAALQSEYSLWWRKPEEEILPTLEELGIGFVPFSPLGKGFLTGK IDESTELAAGDFRSTIPRFAAEARKANMAMVDLLARVAAGKGATPAQIALAWLLAQKP WIVPIPGTTKPHRLEENLGAAAVELTPEDLRAIDEAASQIAVQGARYPEPLEQMTGR OJF2_RS38575 MEDERPGTTHKTILNVDNHTLRDLWIKISADEEHTEGPRQVNNG QSISCDSDKVRFGNAASYHIDVWRNDNGHQGGHIGGYDTGVRFNGAGFDDTDELVVVT VGGKTHLQALSQRKAGEVVGEIILA OJF2_RS38580 MVAQTVDAVREEPVRSDIDRGRLEDFNRGVRAKLTIDNHSLTDL HVHWTGDVDEDDKWDFLPHGHSATHDSRRVDPGNKATYELRVYRNDNGKPGAQIGDAF YIKVGGGLADLATLARSGDYLGYVLASASANILIIGLLG OJF2_RS38585 MEELVGEASGPAEQKKVMLIEAMQAALEKLPPPPPGTDVMSLRL IKVEMDHGGFTNMTRTRVTLEAQPGSLSDVH OJF2_RS38590 MTTDSRSLVATTERFAQYLDPESGVVTKPEGEGWDDFYGDAVWR SSWLFASLLAIRSGEPATYERLQREQGLDVVQGEHFLRFFRDHCTGGDEWKIPKNDSQ RFSGDQLAPLLYLVSSVYAYGSDAAKAVARDLLQRLIGLDERIGALSSSSSGTIRDNQ RYVIDINCRMYDIDYLSGPRRDVCKGSFSAALKANNFMAQLPWEELATMDDYSVFNAL AVVSVACVKWGKDDDDVDAWRSNYRLHADRGWGPSFRIVAGRSLEDSSIEDYYTAHIT REMDNDIIMAQRPHRYLKGDFGPQLKGGPGQWLVLDYVILKGLRLIWG OJF2_RS38595 MSSTVTEAEVQDGTRVMVGRKLSEVYIWIDKVTHQPVELSENYS LEGYHHPPDDPVLDSAAVGALTRKAQAIIQAWNQSPSDPNHYNFYGVRLLRRENEWSH THHNPVTGENNWEGGGTGVGNLLYTIG OJF2_RS38600 MPPVRLQPGDRETNRKLVDQPPRLEARVKAAILLDAERGHTDEQ IAQRLAISVFQVGQVVTAYLAGGLVAVGLTRTRLSGQKARRRSRLPGLVKTPGVCGSA RIKDTRIPVWQLVEERKLGASAAQLLNDYRTLKARDLAAAWEYAEEHPEEIDEDIRRN AMG OJF2_RS38605 MDVDILLLSRDDGPLRPDVLAGIEAQRGVRVHLHRLIGDRRPDD PHRFATIARARNTGRAVGSSPYVLLLDDDVVLGLRCAATLAEGLGRRPVFAALAADSA NEMRTGLEHWDYPHHVSMAAAMFRRERLRQVTFRWEDGKCECRCCCEDLRREGFGIGY LREAEAWHRPSSLRAAAPAGPPSVARPPADVPALPGRVLSTFNRRHQRLFRRRFLGSF RRAGNAEAVTAVAAGLYPSEHRALAATEGVEVFPIPDDAHPSKSRLRNFRRVLECWPD QTPVAYWDAGDVVFQARVAPLWDLVRAHPDRLLVVEEVSTFRHAGAALAWLETIRDES VRRRALDLLIDRRVLNGGFAAGTARTMRRYLAAADALESGPLVGSTDFGDQTAMNVHL RSNPDDYLVIPSSWNYVLVHLPGGSYRVRPDGWTDRLDGQPLHVVHGAAGTLRDWDLV HLTS OJF2_RS38610 MTAAAPPPDFQQDRILALVLAGTVSLPSTPPATGDLFLTRDPEP IPDLTAFGVLPTPYFIKIVQVVSPLCVVTRTLALKDLRAVTIPDPEEAGREQPRRVTL PLARIVGHIEKLARDLGDEVEHLLFEKAVIHVPEGAQVLVRVDSYFGVTAAEAAQHLI PPGKTCCH OJF2_RS41385 MSDREAGGGGTDADMRLLIAAIRRRFEHAWRSGECPTIESFVEG LSPDERAAALVELVALELAMRTEAGPDASPRESADGFPSEASLRETAPVPSPDETESM GGEETQGPPPPGDGPETTARDPGGESTRVASGGTTAAGPPGPSRRPDDRPGPPPAMLG RYRVLRPLGTGTFGVVYQARDDDLGRDVAIKVPTARALQSPGRLEALLAEARLAAGLR HPAIVGVFDVGRAADGSVFIVLEYVPGTDLAGILGEGPVDPGRLAAILAEVADAVHHA HEAGLVHRDLKPANIIVDERGTARVTDFGLALAGAVPPGKEREVAGSPSYMAPEQVRG EVHRLDGRTDVWAIGVMLYLGLTGRPPFAGRDRDELFEAIRSREPEPPAEARDGVPAE LARICLKCLSKRMADRYASALELAEDLRHWRAGPAGGAGTGHAGVIPRGLRAFDGDDA DFFLSLLPGPRGRDGLPESVRFWKSRIEGRGAQPFRVGLLYGPSGAGKSSLVRAGLLP RLGESIRPVYIEASAEGTEARTLAALERAFPGRGAGPGGVGQGGLPGTLARLREEGPA PGEKALLVFDQFEQWLQAHPDEASGPLVDALRQCDGSRLQAMLLVRDDFWMAVTRFFR AIEVPLLEGDNSASVEPFDEAHAMAVLREFGRAYGRLPAAPAEPGPDAAEFLRQAIDQ MRRSDGGITPVHLCLFADVLRRSPWTTSTLRGFRGIGGIGETFLRETFDSPAAPPSHR LHRQAAQGVLQALLPPPTSVLRGKARAADELRVAAGYSDRPGDFDDLMRMLDSDLRLV TPVDRSPGGVPDARTPAYQLAHDFLVVPIRQWIERSRRASRAGRARNRLATITAAWVE RPVRQRLPSSLEWAGILAHTRPRDWSADERRMMRAASASILVHAGAGLALAAAALLGY RAIRDREEAAALLRSAIVADDRRLADMLPRVDRHRERLREALGRLEREPAVDAHERDV ATLLLFRDRPTPERAAALRRGLEGAGPDRVRLLRAAHAGHEAEADAQGLRRILLDESS PPALRLRAASLLAALGPFDPPEWEPLAGILARALLEEDRRDASAWAGLLGPVAGLLPP ALGAACGDASLDPGRRATAAEVLADILGARGDAGPIARWLVLARPETSLVLLRELERR GDRAAAIAALESEYGADPEMPGEEGIRRRAVAAIGLAALGRPGPLADAFRHRDDPSLR ARAIQLVAELALAPRILGEEFFRPGLDASAVQAALMAWAETPRAGVSPPAAARLAATA AGLFAGHPDPGVHSAADLLLRRWERPRPAASPPARPPSGRGWLDGPNGHTFAVIPGPA VFPMGSPASDPDRFDREERHLRRIDRTLAAATTEVTVEQYRAMEPGYQPDRRYTREPG CPAAGISWFDAARYCNWLSRRAGLPKEQWCYPDPVTPGSTLAPGAFDRSGFRLPTEAE WEFLCRAGTVTSRPFGDSLDLMDRYARTWRTSKELNGPAARLLPNEFGLFDMLGGLWE WCQDGPPGPYGAVGDGYHPGYPRTADGRPAPDHEAPMPTARDDWRIVRGGTYLGSLAQ VRSGFRDVLPAGSTWNNSGFRVVRTLGRGGATP OJF2_RS38620 MTVADADGTGKTRARPIRGPLFHERQSCRFRVFIGVIPAGQKHD PRPSAAANRHYQEEVGNFRQRMS OJF2_RS38625 MPQIFHPSANTLARVSLALLFGGPVGLLSAGYLLMKSPYQTQQR VIKEQPVPFSHEHHVRGLGIDCRYCHSSVEVSANPTVPPTYTCMSCHSQIWSTSPMLE PVRASLRNGQPLKWSKLHNLPDFVYFNHAIHVNKGVGCESCHGRVDLMPLMWKEKPHT MEWCLECHRHPEEQLRPKDAITAMGWEPNSSTGGQAALGKELIRQNHIQVEQLTNCSI CHR OJF2_RS38630 MPSRQSEEIPAGTSRTRRPALWRSLEELAGDESFGALLEHEFAG VEMQWLDEASRRRFLKLMGASLALSGIAGCAVKPEEKIVPYVQQPEEVVTGRPLFFAT ALAAQGSTIGVLVESHQGRPIKIEGNPAHPASLGSTDMLTQASILQLYDPDRSQVVLN RGRVDTWDRFLAAALELRTKQLGTKGRGLRILTRGDASLSPTLSAQIRKVLDAFPESR WHSYDPAGQESVLEGTLKAFGEALQPVYHFDKADVILALDSDFLGSGPASLRYGREFA SRREPGTGDAKTKMNRLYAVEATPSLTGSAADHRIAVASREVEVIARELAHALKLPGA AAVQPPSKHGAWVAAVAKDLEAHRGRGLVVAGETQPAAVHVLAHLINDALGNRDAVSF YPAHQPGPEGRVGSLPDLVGDINLGKVDTLLILGANPVYDAPADLEFAAAMTDAKVPV RIHFGLYEDETAELCHWHVPASHPLESWGDLVAFDGTATIQQPLIAPLYQGHSALEVL SIFLGEPGRPGRDILLANWRGRNLLGDFDTAWKDALRRGIVPGTAAAAKAVTLKSKDV PPPAPAGPEPQGLEIVFRPDPAVGDGTFANNGWLQELPRPLTRFAWDNAALISPAQAE KLGIANEDVLVLRYRGRSLAVPAWIMPGQAEGTVTVTFGQGRTRAGHVGNGVGVNAYA LRTSDAPWHGGGLEVSRTGEKRRIAVVQHHYQMEGRELVKVGTLEEYREHPGFAKEEH AAEAHGESLYPDRPRGLDAENAWGMAIDLNKCIGCNACVVACQAENNIPVVGREEVLR SREMHWLRIDRYYDGDEQDPKTYFQPVTCMHCEKAPCEPVCPVGATTHSDEGLNEMTY NRCVGTRYCSNNCPYKVRRFNFFHYADLKASSLKMQQNPDVTVRFRGVMEKCTYCVQR INEARIVAKIEGRPVGGDEVMTACQSSCPSQAIVFGNLMDPQSAVSKLKSSPRNYALL EELNTLPRTTYLAKLRNPNPELEAGHKDHTESSHGNI OJF2_RS38635 MATSEPYNLEPRPTAPTALAPGHTYATVTEKISALVLVRPYNWR WLLGMAVGFGLLTLFLLGVTALFTYGTGMWGLDVPVMWGFAIVNFVWWIGIGHAGTLI SAILLLLKQDWRTSINRFAEAMTLFAVACAGLFPLLHLGRPWLFYWLMPYPNTMALWP QWRSPLIWDVFAVSTYATVSLLFWYVGLLPDLATLRDRARNSYAKVAYGILSMGWRGS ARHWHRYRTAYMLLAALATPLVVSVHTIVAFDFAVGVVPGWHTTIFPPYFVAGAIFSG FAMVLTLCIPLRAAFGLKDFITDRHLDNMAKVMLATGLIVAYGYFMEDFMAWYGGSIY EKYQILQNRPFVGPYTHTYWMLITCNIIVPQLLWIPRVRTWAPLLWVISIVVNIGMWL ERYIIVVTSLSRDFLVSSWAEYHGTFWDYSLYYGSIGLFTTLLFLFIRFLPVISIAEM RELVHETRHHEHAGATATSYPPEGGGH OJF2_RS38640 MSEHPAEPGIYGIMAEFVSPDDLIKAGHVAHDRGYRMMEAYTPF PVDGVAESIGYHRNRVAPMVFFGGLTGGLLGFGMQWFSAAVHYPINVGGRPLFSWPAF IPITFEMTVLGAALTAVFGMLAMNGLPRPHHPVFNVPGFVLASNDRFFLSIQARDPLF DLEETRRLLEELNPKAITVVPQ OJF2_RS38645 MSANVQERCPIRRAGPGALPATRRRLRAAASALLLAACGCRSDM YEQPRYEAQSPSEAFPGGASDRPLVEGVVPAGASSPGTLSNEPSAVLPAAGEIDPRAT TSPYPVDRAFLERGQERYRIFCTPCHGEAGDGRGIIVQRGFSPPPPFTREDLLRQPLG HFVSVITRGQGAMYSYAARVPVRDRWAIAAYIRALQLSQHAVAADLPEEDRTKLQGVK NEPAGR OJF2_RS38650 MSLPADDLLTDDAFEAGVARSQSTALLVGGGALGLLLVAGLIWP QAAASSYLVAYLFWTGISLGCLGLTLLHHLVGGQWGLPIRRPLEAGAATIFLMAALFL PVAFGMSRLYPWTNPELAAELGAKGAYYLNATAFLQRAAFYFALWAALAAAANLWSRS QDTAETSAPSRRLHAIAGPSLVALFLTASFAAIDWAMSLEPRWASTIYGAMVIVGDVL ATMALMILVTVSFLRSRPIHEVATPSRLADLGNLLLAFTMLWAYMSFSQFLIIWSGNL AEETPWYLRRTAGGWQWVALLLIVVHFFLPFFVLLFRDNKRDPRTLRVVAGLVLVMHM IDLTWLVIPAFTGPSGPAIPWGQLPMILVAMAGVGGAWLAAFLWWLRRAPLVPLRDPN LLIALQHQGGH OJF2_RS38655 MDHFRPQGTPKPSPATGGRIGYQSERISLRGILGFAVILTATIA AAQYVLALMMSHYGEEEARGKQAASPMLATPWEVPGPRLQPDPAAERLDVQAAQKEHL ASYGWVDAKAGVAHIPIDRAMDVLAKAGLPDIKPGPATDSPLMPPAEAESQAQSAAPK PAPEVKKAP OJF2_RS38660 MKTHHCLIAALAALGLQTGAARAQPAIGGADPDIKPAAAGITTD IGFDQNLGAQVPTGLPFRDEAGRDVRLADYLGRRPAVLVLGYYRCPLLCNQVLNGLTR TLRAIPQAAGADFDVVAVSIDPKERPELAGAKKASYLEEYGRGSPDGWHFLVGDEGPI GELARAVGFRYKYNAGSGLYAHAAGFVVLTPDGRVARYFYGIDYPPKELSAAISGASR GGIGSPIRSLLLLCYDYDSATGKYTLSIVRISRVLGTATALSLGLYVFLMLRRERRGG PAARKVAAPAP OJF2_RS38665 MWNFPLFPDQASTNAARVDALFLFELGVILFFTLAVCLAIFVLV VRFRRGRIVDRSGPPLEARWLEVTWIVIPLILSMIMFAWSADVYFRLYQAPPDAAEIS VVGKQWMWYLQHPEGRSEINQLHVPVGRPIKLKMTSQDVIHSFYVPAFRIKQDVLPGR YTTLWFEPSKVGTYHLFCTEYCGTSHSEMIGTVTVMEPAEYDGWLAAGGAGPSQADEG ERLFVQHHCAGCHRGSQVVNAPRLEGVYGKPVPIQDGKEVRFVTADDRYIRDSILMPR QEVVAGYQPVMPSFQGQISEPDLLKIIAYIKSLAAKETGTVR OJF2_RS38670 MSNEEDYLQEYTLRSWLLTTDHKRVGLLYMASITLFFFVGGAAA TVMRLELMTPKGDVLGSPDAYNRLFTMHGVIMIFFFLVPSIPAVLGNFLLPLMIGARD LAFPKLNLLSWYVYMTGGLIGIWILLFGGVDTGWTFYTPFSTMFSNTMVVTAALAVFI TGFSSILTGLNFIVTVHTMRAPGMTWFRLPLFVWSHYATSLVMVLGTPVLAITVMLVA LERLFHIGIFDPKLGGDPILFQHLFWFYSHPAVYIMVLPGMAVISEIVPCFSRRPIFG YRFIAGSSMAIAIFGFLVWGHHMFVSGQSMYAGMVFSIISFLVAIPSAIKVFNWTTTM YKGAVWLDTPMLYALGFIGLFTIGGLTGLMLASLGVDVHVHDTYFVVAHFHYIMVGGA VMAYLGGIHFWWPKMTGRMYPEIWGKLSALIVFVGFNLTFFPQFILGYLGMPRRYYEY SPEFQVLNVMSTAGASILAVGYVMPLVYLLLSLRQPAYAGPNPWGATGLEWQTDSPPP VHNFHDMPVVTAEPYDYSPEAFQEEHDHAVSHASR OJF2_RS38675 MPQFDDVHQQAYSSTLGMWLFLVTEVMFFGGLIAAYTVYRARWP EEFAAASRHLLWPVGFVNTIVLLGSSLAMALAVRAAHLGRDRETVRWLVATMVLGTAF LGIKATEYYIDYRENLIPGASFRVPAEGHGEAGAGHGGETAASLDPGHFQMFFVLYFF MTGLHAFHMIVGITLVGIFAYLVRTKWFSGHGGTQVEVIGLYWHFVDVVWVFLYPLLY LIDIRP OJF2_RS38680 MSENVTPVRTYILVFIILLVLLVATVGAAWLPLGRFHLATALLI AVVKAVFIGLYFMHIYHSPKLTWIVSTGSAFFLAILLAFILNDYMTRDWLAIPGK OJF2_RS38685 MNHHASLSRGSSGASGPIGRLKDGIFGLINAFRIAPARAGAAAP AARPAPDAGDRDVVSEASEDSFPASDPPAWTSTGTKHG OJF2_RS38690 MSVGAAEREPRDLVEADSTADAPPAPESSRRILVVEDNEDTRQS FQQLLELSLGIDVDIARDGTQALTMLAERPYSVMITDLRMPKLDGMKLIEEIQARRLP VTTIVTTGHGSIDEAVQAMRMGAYDFLTKPPDPQHLCVLVERALRERTLQDELAALRA QIGDRHAFWNVLSKCPRMFEIFELIGHIAETTTTVLIEGETGTGKEQVARAIHQASSQ HRRGPFVAVHCAALPETLMESELFGHEKGSFTGAAAQRKGRFELANNGTLFLDECGDI PMSMQVKLLRVLQERRFERVGGNQAIEIDVRVIAATNRNLEVMVKEGKFREDLYYRLN VVKIDLPPLRLRPEDIPLLATYFIQKYARSGNNPPHLSQEAMERLLSFAWPGNIRQLE NAMERACVTARNGIIRPENLPPDLQPKAGPGKASLRADLTRPLAEQLAEITTAFEKRY LRKALRKARGHVGRCAKISGLSRRSVSAKIAQYKIDTAAYKPK OJF2_RS38695 MSEIDEIRRQMAQIRHDLHQDVSSVVSGVTDVVSDVTEVMDWQS SLRRHPYAVVATALVAGYLIVPRRKRPAEAALERVQPLAASVLGPPAPARPAKKFRPF SWAFGMIAPLASQALQAYAMSWIEAKLKEQIHPMPEDGQQPGPRPGQYPYPAPGRFR OJF2_RS38700 MADQKVRNGVAAPGARMSNGVIEGVSSFGTDLATLAGLQVKLVA CDIRDSSKSAAPLVGGLVALGTIAGASAVVGLAGFSIWLANVLDIPMGVMMMAVAVAG LVIASIGAFFIVRSLGASFAYFRRSQEELERNIAWIKTTLVHSGR OJF2_RS38705 MTIENAAGGRARPAGWLLGLALVAATMAAGCDNDADLPPGVTKK AIAFKKVPEALRAAAKKHSPGVDFQEGWENLDAQGKLHSYEIRGRQSNGKIREVRVST SGEILESE OJF2_RS38710 MNRRYPRPRRPMARRPAAFTLIELLVVIAIIGTLIALLLPAVQA ARAQAFRAACQNNLKQMGLALAQYATRHNGLPPGYVSLWDPLHRVETGPGWGWASMIL PELDQQALSNQLRFEAPLTGPEQSTVRLTAMSVFLCPADSMARRWTATNGETWLFMGK VYSAFEPICDVAGSNYIGVYGIGEPGVDGEGVFYRGSFTRYTQITDGLSQTLCVGERS TNLNLGRGQATWVGSVPRATFWSCAPSPFDPDSGVCVREDGSGMILGHTGEGHGPGDP YGDVNQFISRHGRGSYFLYCDGHVRFLRNEMNYPLYKALSTRAGGELIGDDY OJF2_RS38715 MRTGASGVFSEEIRDQARPDVRLRKTRKSRLRRNALEYLESRTL LATIPAAQYAANAAVSLPGGGGNDSASQVAVDRYNPQHLVSVWVRTDPNLAPANITVV EGAFSTNGGQSWTSFSPTVGPQLDAAVAPPTTGPPTFYTQTINPLVGFDASHNFYVLT QQTNGGNTSGALILTKFNFSGGAPAQQSFVNPASGGTRSFNIVNQYTSDPIVYPTMTI DDNVASYTDPSTGAVQADPSSNAVWIGWTTNSAIPQGFTPANQWNPNRVLVTASTDGG QNFSASVAVSDNTNFSTHREATPRIAISQGTPGGAAGGQATIIWTDMDVTSTASPPFN VIQSSTVTGPVVVAAAGQGGLTNPAVDPGNNQPFQPQTTSFPLQVSVTAPGFSLSNLS VTLNMFQAALGEISATLVAPNGVASARLFSNNVDASGTTINGGLTGANLGRSASTQFI GTTFNDNAPRGITAGTAPYVGTFQAQDSLLDAFSGLSASQLSGTWHLVITTFRNTAPG QGVPANQVVNWNLKLNSGMVDGVDRTVATTQVVSPVPSANPFPTYSRTSAAAGAAGIG PGLQIAQDNTLGSFSAHQNRLYVVYVDYQNITVGSGAGVKNPADNTDIFLVTSDDGGL TWSSPTVVNDDLGQKDGHTEASNAGGGDQQSGRPQFLPSVAVDQATGTLVVAFRDSRD DASRARSAVYVTASTDGGTSFNQQIYANNAQTATDAITGATVTLAPETDNLAGLTPAT AFGFGSAPGLAVFGGTIVPVWAANFNIATWNGTAVVGNPLHTVARPLHIAAGPRIVDS TMGPVAAPATSFTVTFDRPVDPLSFTKDDAQVYFHDTINGDAFVQLPVTAVQPITQGA DGATVFRITFDSSGLSNPTGTYSYLIRPEIADRIRIANTDGTTKSLGNMMDQNADGTA GSDPITAPFNGRTPGDVYAAPYPTPKNAITFTSALSILTPPFDQTTLPLIVSGPQVVS TSTGSNGNLVLNGTNSTVTVTFDRPVKVYDPSNPLHGFSPDKVLQIMGPTGSVIGPKT FPSSPSTANVDIPDATSGGPGTLTQSITIPNSGGTFRIADMAVVLNITHARLSDLSAS LIAPDGVTTIPLFLAGGLSGSNLSGTTFSESSSLNVSQGSAPYSGVFRPSGSFSVLDG KVADGTWKLVVSDNVVGVTGKLLNWSLVLTPGVEVAPINASGGFATAFTVTFPVQELG GTYAIQLSPDIFDQKGQGLDTNRNAGLDVLRGEAQNVPTAGVNYGSGNVALTIPSATS GGSSTTPGTVSSTINIPDNFLIQGQTASGQSGIQVSFNIAYANDPDLTATLYYHKGQS DEVSVTLFSGVGGGPNTANFSNTTLDDNSRTPIQQANAPFFGTFNPQQSLLTAFQGLT SGGAWTLVVSSSSTSLTGKLNSWSLSFQKPLPTSGLGEPVADRVSASFRIFTMDPTNP LSHTTWTAVGPAAISDRSGRIGGLAMDPSDPTGNTVYVGGASGGIWKTTNFLTTDPAG PTYVPLTSFGPTNAVNIGGIAVFGRNNDPNQSIVVAATGEGDTQSPGVGFLISKDGGA TWALYDSTTNVDASGNLLPYNSASRDRAFVGTTSFKVVVDPTLTPTGNVIIYAALSGG NGGIWRSIDTGAHWTLMRAGQATDVVLDPASATGVADGNLQILYGAFRGDGVYLSPNR GQVWNQMTGQQGNGLIFNTVNNQNVNPATKPSPNGAQGRIVLAKPALTGNRAQDRLYE GWLYAAVSNPDGTFNGLYQTKDYGANWTQVRIPTLPAVPGAGGQHVQAVPSNDVSLND YPILGGAPGGLPAQGNYNITMAVDPNNPNIVYLGGAQHGDTGLIRIDATNIWDAHNLT ATSAVAKDGALSLNSTGAVTITDPKFSLPPSFINLISNPSSPFLTQSTVRVNNASAFT NNGFGVEWIPFDLGGTDAHRAYTFVDPLTGLTRLVIGDDQGVFSGLDDNGTYVSRIGN VSMPGVNRNGNIQITQFYFGAIQPSFVAAANANALIFGSAQDDGGPASDGDLLNNGNL TWSGPGGDAGGVATDQQGSGTLLQYWWPCCGGDITNFFQVNGDGRTTGLIQQANGGNT PDPQWPFLGTINFAVNPINSSQILISSGTGRVFSTETQGVTWNEIGAPSVFGASSPSM ALAYGAPDPNAPGGIGNLGNFLYVGTAGGKIFVSQTGGGTLGSGNAWTDISNGLDGSA VQRIVTNPNRGSHEAYAITSRGVYYLADSIPSASNTPTWTNITGNLFNLAFPIFGQTY DPSTVSTNPYTLAASLTALVADWRYAIPDDPTNPSGPVHPVLYASANSGVYRSIDKGV TWTFFPDQSLDGSSADGGYLPHANFSDLDVALGNIDPSTGRPNLAGPYDPTNPTGNAD PDLLLATSYGNGSFGIRLAPLVFPGTVAVDPSNVNGTAADGTPIVTTATPTIDGLSAI TGFGNATRITIVDVTDPANPRIIGGFDKDNAAATNKAASWTDASGNFKITTNSGEAGF QTNGLKTIKVFATDDAGAVGDQLTLSFTLSAKNLPPTSPPSQATLAITSKTVSLGGTV FATLPLSFGGNTDTNVTSVQLLKLVGGVPTPFSPALVTTVVDPTTGAFSFAGLTGATF PDGTYQLIARATNAKGSTDSTPITFTVKTNGPTQDPTLGLLAADDSGIVGDNITNVRI PVFAGTVGAANANTIIQLYKWDAVAKKPTGSVLATATADGSGNFSIHLPTALVDGAIT VVATAVDALGNKGPGTNYQPVTVTVVTVGSDYGGNPIDAGLATTANPAQSQAALFVRG TDGKGTWFALPSSPSNVPIWFTTGKAIGTSTDIPLQADYDGDGKVDLIAYNRATALWT INESFTGSTLSFTLGTAGGSASAGSIPFVGNFDGPGASQIGVYDVVTTASGRVGQWTI LNANGTRSTVQFGLEGDVPAVGDYDGTGRDQLAVFRPSTGQFFVYQGAGNQVETITIP GISPSSSLIPVPGQYDNTVSSHRTEAAVFDQATGLFAILGPSGVRTVAFQAGDVPVSA DYLGNGSDQPAVYRPGTFQFLEKDPTDSSGNKDLVIATFNGLGTQTAVPPASPLFYLL NAATTGTGTGGGNNGGGNNGGGNNGGGNNGGGNNGGGNNGGGDNGGGNNGGGDNGGGN NGGGDNGGGNNGGGNNGGGTTAPTIATTVGFANGTASEVNGVIYVRPGVKPWLTGTAP VGSVVTLLLSGKGIKGQRIVATTTANAAGAFAFHVTKPRLVRGNYTFLVQSQGPSGTA IGGVGFKVGTPPRVRPAVTAHAAAQARPVVRLQALGSQAGPAAANAAVIDEAIGSVMR ARNLFRKNGK OJF2_RS38720 MKSVAFISEKGGVGKSTTVLNVAAAMAGKGLKVLVLDTDPQANA TYVLLRGEKPRRPTIHEVLVGQAAAIHAIVPTALPGVDILPAATDLADANVTLAGEMG RERRLRVAMAGAEAAYDYVLVDTAPTRSLLTTNVLNFVEEVLVPIVPGLFGVLGLGQL QADVAAVRRFLDNKALRLGGVFLTMTERHNVAQDVEEQLRRLFGDLVFRTKIPRSVKL EEAHSRHESVLSYAPKSVGASAYLALTEEILDDGREAKRNGDPGGNPSAHDAA OJF2_RS38725 MAIPAGILQPTTLPEEPPADEGQGGAARDEAQDAKAVPRAARKS KIATKAGSGRVEGRKLYLPEDLYFRLRMLAYQRGQKLSECAAEVLDKALPKWTVSRDG OJF2_RS38730 MPHPASAAEYPCIVCGESYAATARIEAEPALYKLLNQQEGTPIN VSLCVQHAVEPDVAGIIIALVAGVKEGTIEMVQPSA OJF2_RS38735 MTIEDIAAAWGAGDDGDHTRERDDRGDEAPVPELDDEPEGDAAT SKSLPVVHRTRRPVGSVVVEGFSAVGDDTPTVDVACLTRDGGRIPFPTWEAALAWAYD RLTEEHAVALERAILDRIDGLKAEGLVEELAHRLGAVLDAFDASKDLSV OJF2_RS38740 MPLYNSPAAAPIADEYYLSRWIAERGIDLDGPDVSDDELEARTA DGDESDPETWGEEWDDYRWELNAPPADEDPAFVPDDDRRWWAGQDVADELDARESWLE YEDWASRLDAMAAAAGCRPECLTGCHEIGGLI OJF2_RS39795 MRLAERLAMTSDLDSKITALIVEALKAGVNPEEVRDALARIAGL VEAGCE OJF2_RS38745 MAKAKRTEAKVKAPAAKEEVTRHARIELSDSDYELVKSVAKRDG LSLAAYIRMAVLQRARRDQAESGR OJF2_RS38750 MATIGQAAAVQAPARRGRITLAEYESWIDGGDIEEGAPIELFEG RIVRKMTKGRRHTAGSYHARRAIEPTLPAGWHLGVEAPVRMPASAGLPEPDLSVTRGA VDDYEVRDPGPADVALVVEVADSTLAEDRRRAAVYLAEGYPAYWVVNVRDHQLEVFRL GAEPEIIGDEASAELVLDGAAVARIAVADLLPRRPA OJF2_RS38755 MGRQRSGAIPEWIAAGTARKRLGVSPERMLWMLATKRVRCRITS RRLWPEFYAPDIVKALHELPKVGLFRDRRRSPTEPPGSSGMNQAERVTPAAKQASRTR ATS OJF2_RS38760 MTAALTTRQAAPSDPTPGRRRVIRAIHRRIDQAEGPNSAHKRLL KLVGNITDWGCNPAGCYMSNRRIAEELGLSVPYVKKLVRELVDLGWLAHEHNPIRRPG SGYRALHLGPEALRLALPRVIGAGDTPVSPAEAPPASPVAGGLPTGALGSTTTTNNGT PESSSMIEPPGPGDPPPATAPAADIHASEPATPTAPRIAPGTDREPAAEPAAIDAAPL ARLTARLVALAGLSAAQAEAKIRRAAADFPAEWLEPAIDAAAKVRPKDGPWHWGIVVG VLRNFRAEGGPPAARPGPARARMRRAREKIDELGRRGRILVVDGGSLRVEPRDPDDPP ALALPDDLRAELVDLKPELLELLGGRVP OJF2_RS38765 MNRAEARDAWAIEETRRLAALGDRVALAELARIEARERGEPAPT PPVPASSLAGGAVEAGRARLARAGDALVRLGLDPKLRPELRELAATMAALVRRIELVE SGEADQAVAGEAEAEAVAEGRAAGAPTARQARGAPGRQSCVHVIGREEAPAAAFQVLG LLGPRPSGPPAGAEPLKRRPPDAGSRRGGRSSQIAHFPG OJF2_RS38770 MTTLENPGKRGGCEPRIPKKTPNTTKPGQKADWAGAVDRFVGHL ATARKSVHTLHHYREDLAAFAAWWPTVSADELTPAAITGFDVARWQAHLVEEPLDPVG RRRKPATVNAKLAALRSFLLWAGKAGVVDKVPEVPRRERLGRRMVRWLDRRQLHQLLR RSAARPRDHAVITALVETGLRVAELVALRWYDVELKERKGTIAVRSGKGRKPRIVPLS RDARAAFESLRPAGVRPGDPVFVGQRGPLKVRGVQDLLAKYEDPKAGLDNLTPHMLRH TFAIGLRDRGVPWPTIAALMGHESVKTTMDNYAVPSERDLEAAINPFADEDSTA OJF2_RS38775 MRPTSVRFTIGRLMAAVAVIAILLGWLGLWAALAFVGLSLVVII PAAIAPPGHRLEAASWASSLQPAVVLFYLYATWATAWCVLGHPPRPALDDPKSISPIV DVPYDMFAFSLMLGSMICACTGLLLSAVCLVRRRSVGPLLTLPFAWLAGFLALASDPL GVLFWYFD OJF2_RS38780 MNDEEISREMAALARTFPSMKYALGVEPWNALQLETWAKGPHSH GQVVTARFLLAVWDPHRAWELERFELMEALRVWDDAHRGAFLAWASEPWWP OJF2_RS38785 MASRRVGDRDMPVSRLEQWARGLIKAAVTFDHRMAILRFLEGNQ PPPTFGVGNWQPDEATHGPRIVIPGADVRPRPSHEVGDDCGGPGDDSDRIVVPMADER YLKRATRATKTRGPKRRTPVMPDDPRILTGEPIAEVIDEPPPAHPAPVAARPRPASSP DGRRS OJF2_RS38790 MKRLVGTVSSADMTARLYDDGTWEVDTPGCPRDRGAAVTFGYLF RAAGERGPAGRGRERQLRLAAEALDGFVVMAEPSDSDLRIAARRD OJF2_RS38795 MYCAGTMNAPDPRRTYSRDMSRHDILPAEEERRLAEAAAAGDRD ARARLIGANLRLVAKIAARFRGRGMDYDDLICEGNLGLTRAADRFDPGRGYRFTTYAK HWILEAIRSALRDATPTIRLPSHVYVLVSKWRRAERCLCRELGRMPSSGEVAGHLGLS EAQKEMVEKANRAGRLRLEGALSDGGEGWSLDEAVDDSEAPGCDLERADERAEVLRRI GLLEDCERAVVTLRYGLEGEAPRTLAEVGRRLGITSEWARKIEQRAVRKLVLGATAAP PRATRHTA OJF2_RS38800 MLARPQVEIVLSEELWRSLSHRARELGVPLELVAAGLVCDTIHP EPGDDALSPARGRPQFASPRQRRVRTESHSPMRTVRH OJF2_RS38805 MPSPTTLFSGTLSPVVVYQAKPGKCRACLLGTGRPTAALMSGGF PAISDDPQHPWASTDATFGLGVTFDAATPNFGFPVTPGFTFGHTTAPLSRFLVWLAAR TSIRCDPSA OJF2_RS38810 MLATLRAAAAAAIVAVSLAPLARGDILDFRPGPTTEGTVSYDGL GGPLVGSGITLGDVLYIDTQSHTTLWERPLFNTAVSFTTGDFEPIGNDNVSYFSAGAR SQSRRPSTHRLLHKSCSPGR OJF2_RS39800 MASNATDLGSGAGGTVCIAPMPMYGVVGVVLNPFWTLAAGRNML AAKS OJF2_RS38815 MPRKNASRRAAIAAILREIASSIEDSAPAGRADRWGDEDYEYTE VRIPGRLATCLDLSISGSTVLIRAARKDASAAGEFTIIAAG OJF2_RS38820 MAWTIGPKKCPACDGQHPLSGHEQHHLSYSCPEKWVRVRVRGLD SDRATYSPDRPPDEVTAVDEFWR OJF2_RS38825 MMTRPLSALDPGPGFRHPPPQTPALPRLAEAIVATVREPLLVLD DDLVVHAANPAFCDAFRIPPAETLGRRLADVGLGAWDIPALRSLLGRIIPSGSCVEGF ELEDDFPLVGRRSC OJF2_RS39805 MPDATRKITILMADDDPDDRDFARRALSQSRLTNDLRCVEDGQE LLDYLGRRGRYAPEGSAPRPGLILLDLNMPGVDGREALQVIKADPTLRQIPVVILTTS RAEEDIFRSYDLGANSFITKPVSLAGLVEVMRDLGHYWFEIVELSGGDETRQP OJF2_RS41045 MGGDARSTPAWPAMLGPIEAGGPALDIPLCHHERWDGTGYPRGL AGEAIPLAARAFAAVDIWDALTHARPYRRAWPPEQARSHLRSLAGSHLDPGVVAMFLD EAAPALNESGVPLG OJF2_RS41390 MAVAERLRAAIEAVPWPLRPVTASFGVATVRGEGAGGDALLAEA DQAMYRAKRGGRNRVVHHDDPHPDESMADVLMPHHPTEEAPAPSPGGIANGLVLLIDD HRGYNAPPARRRSSSWRIPRRRMDCPGPPRAWTSSSSMSTCPTWTAWRSAANSSPTRA TAEIPLLCLSSALEDGADRALALTLGADAALPKSVGPEELRAVAVALIRARRRSAAGC PASGTKAATPNAGGGCRPSRPAIPGRRVMPDGSPG OJF2_RS38845 MSGLAIAAVDAGAQDYLIKGRASPDMLARSIRHALRRKRLEVEL ERQNSRLVALASSDALTGLYKRPSPAPGGCWAPAHGAARPLSAVLLDIDRFKSYNDAF GHPAGDEILGRVGEILRAECRPHDLAARYGGEEFVVLLPTPTPGRPWPSPSDSGPRSR RFPGRCGP OJF2_RS38855 MNRLCQAFRELTTDLRAMLACAIAIAVWIWAVTYIRYLDAAEPA SSGNLHRLVRWMGSWIGY OJF2_RS38860 MKRHLAFESLEGKLLQSVTLSGAAPLATAYARIDRPAPDDDPTP RPEPDPGPLPTGEPPIIIPPLPPSGPAGPGCVAPKVPR OJF2_RS41055 MRRSPTPRREAAEGRKLAPAAPAEETDQIPSWAFHDEQARERAC RAARARVANTRRRAIDPTTCDRDYSPAEVEFMRAMQEYKERSGRMFPTWSEVLEVLQE LGYEKAQLTATHILGGEPAQGHRLR OJF2_RS38870 MMSYPPRTDAIFKASATPPRGASPLIFADGVLCRLRTWTEDEWL ALTASDRPMRYVHAPGLGYVGAVRVEPME