-- dump date 20240506_013357 -- class Genbank::CDS -- table cds_translation -- id translation MIM_RS00005 MQEFWQSCVHSLAQELPPEQMKAWVHPLSFLSFDEELGEVRVSA PNAIKQNWARTNYTQRIQELASNWFNRPGIRVIFQVARRDQHHVSQVHGPAVAPPAGM PAVAPAGAPAPREYTNGSVQPNGHGASAALHTAARATAVVEPAVTGKEPTVVIETVGQ APEDHVYKRSHMNANLTFESLVIGKSNQLANAASAQIVENPGVSSYNPFFLYGSTGLG KTHLMHAIGNALFKAGKVSRVRYIHADQYYSDMVKSFQTNTFDDLKRYYHSLDLLLID DIQFFRKKERTQEEFFLLYETMVQRGRQIVITSDTYPRELQDINSRLTSRFDSGLTVQ IEPPELEMRVAILLRKAEEKTSIVLKEEAAFFIAKHLRSNVRELEGALSRVSAYAGFH GVKIITVEFCKEALKDLLSVSIGQITIENIQKTVADFYKLKVQEMYSKRRPANIAMAR QIAMYLAKELTQKSLPEIGDSFGGRDHTTVLHAVRKITEQRAKNAELNHQLHVLEQTL KG MIM_RS00010 MQLLQANRDALLKPLQTVAGIVERRHTMPILANILLRKEGNKIA FVATDLEVQITTHADFGVGDDVESTTVAARKLLDFVRALPAANEVKLKLQDSKLGIQS GRSRLELQTLSGSEYPTVSVPESWNVSFTMPQKALRKLFGMVHFSMAQQDIRYYLNGT LMVFEPGMVRAVATDGHRLAHAAEEIEGIQSSSEVILPRKTVLEVQRLLDDSDEPVNI DVSASQIRFTFGDIELISKLVEGKFPDFKRVIPSDYTRHFNVNREALQSSLHIASILM TDKLKGIKLNLENNLLQMKYINADQENAQDEIEIDYSFEPLSVGFNVTYLQDVLSMAK TEEVIWSVKPDVNASALITLPDEKHFKYVVMPMRI MIM_RS00015 MSENTQPVQQNEYGADSIRMLKGLEAVRKRPGMYIGDTSDGTGL HHMIFEVVDNAIDEALAGYCDDIVVTIHTDNSISVTDNGRGIPTDIHKGDEFHRSAAE IVMTELHAGGKFDQNSYKVSGGLHGVGVSCVNALSEWLRLTIRRNGQIHQMEFRRGER VEPLKVTGTTDKTGTEVRYLADTQIFENMEYHYEILSKRLRELSFLNNGVKVRLVDER QGKEENFAFSGGVKGFVEYINRTKTVLHPNVFAVSTESNGDGPAITVDVAMQWNDSYG ESVLCFTNNIPQRDGGTHLTGLRAAMTRVINKYITDNELAKKAKVDTTGDDMREGLSC VLSVKVPEPKFSSQTKDKLVSSEVRPAVEEAVARTLETWLLENPSDAKAICAKIVDAA RAREAARRAREMTRRKSVLEGAGLPGKLADCQEKDPAKSEIYIVEGDSAGGSAKQGRD RKFQAILPLRGKVLNVEKARFDKLIASEQITTLITALGTSIGPDFNIEKLRYHRIIIM TDADVDGAHIRTLLLTLLYRQMPELVERGYVYIAQPPLYKVKVGRDERYLKDQAEEAQ FMMQVALKDAGLETHEGAQPITDDALAELARQYVISDSVILRLSKIMDVEALSAIAEG VVINLEDDASAKASAEQMQAALQDPLHPQAVTVTAEYDQETEKHRLVLRRMHHGNIKV TVFDSRFVDGTDYGIMARAAHTFNGLVGKGARVYRGEGDKRKEQYVSDFREAIQWLRS EADRVVSKQRYKGLGEMNPDQLWETTMDPNVRRLLRVQIEDAIAADEMFTTLMGDQVE PRREFIERNALMAGNLDV MIM_RS00020 MEIHIPQTAIEYVDRALIMANRRYEANRSKQELESISSLEVMYD SIVQQLTFVRRILTGEEKDKARLWELTFGTYSAKEFDASDPVFFDRLGDAFFIASQIR QGLKVRLPHEVDPNYETRERELRAKYPQEFKP MIM_RS00025 MSSEGILDSVPDSWGRKFEGDGAAVTNSHVAPTTIHFTTKAYFF LIMLAAQPQRTIAINSDRRTIDVAPAGSIEIVPEHSELFSQWRHPKHSMLIALTERRL HRLTHHEWGENIPEFHLPRLGVVDKKALALASEIRNELLTASLFHEESIESLLTLFGI HVLRTYSYFGANQPQKTKITGGLTPTTRKRIVEYIHAHLSEKLTIDRLAGVAQLSPSH FTRAFRQSLGQSPHEFVLTARLQTAKGMILTSGASLKEISRINGFSSNSHMTTLMKKK WGHNPTQIRYSNYDTAYIDEHVRQPPDSFRLK MIM_RS21900 MRRKNWQLATCFGLLAPGLGFAQSAGAPPNFIPDAEYRANWGVS MINAQPAYLKGYTGKGVIVAVVDTGLDVDHAEFKNRISSALRNFGKDKPPNDVSHGID ESDGEIAGHGTHVSGIIGAARDGKGMQGVAYESTILPLRAVGVTGSDPNVDITNIAIK HAIDAGAKVLNGSYGEPDPPLREEKTDKDGNLLKDENGSSIPNDKYAKLNYQGIFNNP QSFEDTANTLREAARADMVLVFAAGNDAVFQPDGYSAIPSGPAMLPLINPENTKAGVL YNFIDTSRDDYDPNNPDTYYGFDSKTTEYAENLDFSDLKGAILAVVAVDKDGTIASYS NRCGAAAAWCLAAPGGDLQDGSHSAPYSTWPTSTGKPYKEEDGTSAAAPHVAGAAAVV RSAFPYFDARQTIETILTTTTKSGLFEDAAKYGQGLLNLGNAINGPGEFRYQGVFDVD TQGYDSVWTNAIKGVGDLTKRGNGILALTGKNTYTGGTTVLGGTLGVEGRVEGNVSVS DRGVLAGTGSVGNVTLSQGGMVSPGSTLDAAQVVNALTVTGEFIQGAASSYLAQLAAD GKSDLIDVNGRATIDGSASVIIQPETSSTIRLNHRYTLISATGGVYGTYGTVVKPDTP FVDMNLIYDSRNMFLDLARSSVAFADIADSHNQRATGGAVEKLGANNAIYNSMLFLSD WQARNAFDQLSGEIHASIRAGLVEDSHFVRDAANDRLRAAFRSTGSASVSGLRYGTGA QSAAPAAPGEITFWGKGFGAWAQFKADGNAGRFRKSTGGFILGGDRFVGQNWRLGVLT GYSHTSFHANGRASSGSSDNFHLGLYAGTQRNAWNFRSGLGYTWHRIKTNRSVAFSDF SDKLSARYNAGTFQAFGELGYRVDTAVAALEPFANLSYVHFKAKHFSEDGGLASLSGK SQSNGNIFTTVGLRATSTFELGATEADVHGGIGWRHAFNRVNPKTDLSFNNQASFSVK GTPIAKNAVVLEAGLTVKMGKASSIGIAYQGQFGSGVREHGLEANVAVRF MIM_RS00035 MNIRINKMNGRILLAVAMSVPFIQGCTTAEDEAVNQMSTTQQVK LIEAGEDKQFNEWFNKILAQIKADPTYKRMPVDTKKQELDLYVWLHEAYSKKITKQEL TQRLNTAYPNHTYEVSFIVSRVP MIM_RS00040 MKTFFLFALLALSTQSAYALNCNNATTQADINQCANADYKHADA QLNKAYREVYSRADATLVPQLKTAQNAWIKFRDADCSFQTSSARGGSMYASVLAGCLE VKTLARTKELSALLHCKEGDTTCVFSGN MIM_RS00055 MKLRWFKFSILAVILLILLVWIALIFIANRLAQRDFAATPCWFI QEDQPHNIPKLVPGPQGFYIPNPLRQLNRRFVGLGLDEPSIYAPHFAVAALDADGRAA LWGWSYRKMDLWSISERAQSRRIGRLVDFISEEKIRAACPGLKQPLQTDRIRGARDK MIM_RS00060 MIRVACALSLIAMVLLLPAKAAYADEVKQGDDILRQYPEAKEGY IRYIINSQKIIEKDVQKIEVWAFKNIEVNCRKNKIGGQFNPNLVPGRGLMYWELDTNN KLYGEQGECGDAWKRRVDVRAKKDVIHLNRTVPVVVMVPEGWGVKYRALREEREEQAS EG MIM_RS00065 MNSRTAVAIMSSMVFGMTITGAHAENSGPKYKPVTENRIIENSA KGAEWLTTGMDYHETHYSPLNQINKSNIKELGLAWSYDLKSSRGIESTPVVANGIMYV TSNWGVVHAIDAKSGESIWKYDPEVDKGKWGVKACCDAVNRGVALSGDKVYVAAFDGR LIALNASTGKVVWEKDTFDGEQGFYTITGAPRIAGSHVVIGNGGAEYSGRGYITSYNV DTGKKEWRWYTVPGNPKEPFENEAMKKAAKTWDPAGEWWKNGGGGTVWDSMVYDPELD TLYIGTGNGNPWAAALRSPAGGDNLYLASIVAIDPNSGEYKWHYQETPGDNWDYTSVQ PIIMADIKIDGNLRKVLLHAPKNGFFYVIDRETGKFISAKNYMDVTWAKGYDESGRPI EFAAARDTKNEYLQIPGPLGAHNWQPMSYSKDTGLVYIPAQQISVTYKDQMDWRFEDP KPGVRGSIIGRNEAKTMGSSSAVSEFGELVAWDPVSKKSRWRVRYDAPWNGGVLSTGG DLVFQGTADGKFIAYDAETGDKLWKFDLNGGAGAGAATYEIDGEQYISIPVGWGGAYG LPRKVAEQRGSGTVYTFKLGGKAVSPGSLQEGTGKLVEGVDYDPSKIEYGEKLYTSSC ATCHGAPGATKGGALPNLAYVDKNIVENLGIFVFKGPFYSLGMPDFTDKLSQDDVSAI KAYIQGTADAIRESGGKKIKDETVH MIM_RS21905 MPCVTPLSQAEGHEITTLDVPESQSVDAARLDAWLAIHPDNTAT LFTGNIEQGNGSLNALAQIVAEELAFPFERLSMVMGTTSVHELEDALEADGQVSARLR SPSKHETTFVMEACADELAAAAGKDQPPFHPQHMEDEHAIAVLKAAVQKYGWDERPAH DKKRERDGKLPGHPTSLHFSEIPDDIEMMLVNNNPEHKFTGGGEPSTNPTAAVISDAV FDATGPRQIPFTPERVKAKLEDL MIM_RS00070 MTSELKLKVNGSTQGIDVEANTMLLYVLRDGLELYDPKFICGLG QCGACTVHLDGKAICPA MIM_RS00075 MKKKHVGIIFGGKSAEHEVSLQSAKNILEAIDKTKFDVTLIGID KNGGWHLNEASSFLLNAENPELIALNRSGRRVALFPGQHLNQLVESDGAQKFSQLDVI FPVVHGTLGEDGSLQGLMRIANLPFVGSSVLSSAICMDKDVSKRLLYAAGLNVAPFIS VKKHNRKNLSFESVCEQLGLPLFIKPANLGSSVGVSKVMTQEEFEAALSTAFAFDNKV LIEQAIDGREIECAVLGNERPEASLCGEIVTSESFYSYNTKYIESEGAKIVVPAQLSE GTSNHIRSTARKAFEVLECSGMARVDFFLTRDEKLIVNEINTLPGFTNISMYPKLWEA TGLSYSDLITRLIELAIERHHLESQLQSSVSRD MIM_RS00080 MTQNNFPERTLTIDGTRIQSIESFYAEINRVFMAKEDWKLGVSL DALDDMLYGGYGAAQGNAPVKLLWLNAEHSRTKLGIAATRAHYLDKLARPETFNHQHW LGVLHALEAGDGPTYFEQICQVFASHPRFTLELA MIM_RS00090 MDFSKFFIDRPIFAAVLSIVIFAAGLIAIPILPTNEYPDVVPPS VVVRTVYPGANPKVIAETVSAPLEEAISGVEGMMYFKSVAGSDGVLQMTVTFRPGTNA DDATVRVQNRVAQAQARLPEDVRRQGVTVQKQSPTFMMVVNLVSPDGRYDSQYLRNYA RLHVKDALARIPGVGDVGVFGGGDYAMRAWLDPGKIAARNLTASDVVTAMREQNVQVS AGQIGAEPMPNSQFLSLINAKGRLSTVEEFGDIVLKSGRDGEIVRLRDVARLELGAND YSLRSQLDGKNTVALGIFQTPGANVLDIRNQVIATMDEIQKGFPPGVSYASVYDTTIF VRDSIKAVVSTLLEAVLLVVLVVILFLQTWRASIIPLVAVPVSVVGTFAGLYLLGFTI NTLSLFGLVLAIGIVVDDAIVVVENVERNIEKGLTPMAAAHQAMTEVSGPIVAIALVL CAVFVPMAFLSGVTGEFYKQFATTIAISTLISAVNSLTLSPALAARLLKPHDAPKDAP SRMIDRLFGWLFRPFNRFFQKSAANYQGAVSRVLGKRGAVFLVYVVLLLGTGVMFKAV PGGFVPDQDKGYLVTGVILPPGASLERTDALLKKVVDIAMHTEGVTHTVTFPGFNPLQ NTNSPNTGVTFPVLKPFSERKRSAAQINAEINQKISALKEGITFSILPPPILGLGNGA GYSLYVEDRAGMGYGALQNVMGALQGAISQTPGMTYPASSYRANVPQFDAEVDRVKAK AQGVPLTSVFDTLQTYLGSAYVNDFNQFGRTWQVIAQADAEFRDDVEDIANLRTRNDR GEMVPIGSVVKIKQTFGPDPVMRFNGYPAADLAGGADPHVLSSNEAMGKITELAQRIL PPGMQFEWTDLSYQQATQGKAALVVFPLAVLLVFLVLAALYESWTLPLAVILIVPMCL LSALFGVWLTGGDNNVFVQVGLVVLMGLACKNAILIVEFARELEMQGSGIIEAALEAC HLRLRPIIMTSIAFIAGTVPLLFSHGAGAEIRAATGVTVFAGMLGVTLFGLFLTPVFY VALRKLSGRKLVQHGASTFANEPQHVIKDNPI MIM_RS00095 MPPPSVSVVQVALQNVRLWDDYNGRINAVETVKLRPRVSGYIER VAYTEGSQVQQGDVLFLIDASRYRTVLAQAKAQLARARAHASQSASEAKRAKMLVAQR AVSTEMYEQRRTAAAVAQTDAQAAEAAVSAALLNVEWTQVRAPISGIAGRAEVTAGNL VSADDAASVLTTLVSQDKVYVYFNADENAFLRYAEMARNGRRGSDLDGKLPVQVGLSD EDGFPHHGTVDFLDNQIDSNTGTIRMRAVLDNKDGALTPGLFARVRLLGSGQFDALLI DDKAVLTDQDRKYVWIVDDKGKAQRRDVKLGRKTNGLRIVESGLAPGDKVIVDGVDKV YMPGLQVAAKTVPLQPIAVNVANN MIM_RS00100 MRLFAAVVTDGSFTAAADRLGTDKARVSRIVRRMEEKLGAQLLT RSTRHLSVTEVGRDYFERAMCILTAAEAAQASVAQQSREPKGLIKITAGAEFGTMVVD EWIAAFLRQSPKVTVEAEYTSRLVDIIHEGFDIAIRVGTLDDSGLSARKLGDMVFGLY AAPDYLNSAPPLSTVSDLKHHDLIMIKARGRSNWNLVNGSDTERITASPRCAVNSTIS ARNLAFAGLGIAQLPRFMAEPYLTEGTLQRVLPDWADAPAPVHAVFASSRYMDPKVRS FIDLILSTFKCGDCSSQRMRDQPVQIAA MIM_RS00105 MSKLAGKIAVITGGNSGIGLATARRFAQEGAQVVIIGRRQKQLD EALALIGHEALAIQGDVSNLIDLERIFSHIRQVKGKIDILFANAGLGELQPLGTITEA SYENTFNVNVKGTLFTVQKALPLMTHGGSVILTGSTTGSMGTPAFSVYSASKAAIRNF ARSWALDLQGTGIRVNVLSPGSTATPGLFNVLESSGKKESLLADFAQDTPLGRIGDPD EIAAVASFLASDESSFMTGSEVFVDGGLAQI MIM_RS00110 MLNQHFNCGLEASLAILSGKWKPLVLFHLAHEVHRYGQLKRAVG KVSDKVLIQQLKELQQDGVVERTDYGEIPPRVEYSLTDFGRSLARALAPLCQWGEQHT EQIGNIVRNREK MIM_RS00115 MALDRLEAMRAFCKIVEVGSFKGAAESLGLATTTVSGQVQSLEK LLGIKLLYRSTRKVSPTTEGTAYYTRARAVIDDVDELEASVAMNHRVVRGRVSIEMPS PVGIHLIIPALPKFTARFPDMHLDIGCGERVVDLAQEGVDCAIRGGIVSDQDVVSRKI GQMRFCFCASPAYLTSSAPVNHPSDLLQHRHLGFKFPGTGRRFVPTLTRGDERFTLDH PPAMYFNNGTATAAAAAVGLGVAFLPRAEVNRQFHTGELVEIFSDWSMASMPMSIVYP YNRHLSARVRTIADWVSNLMASDPLWCLPGSGEK MIM_RS00120 MSNRINYYAAAPQAMKAMMGLEKAISQSVLPATLRELVRIRASQ INGCAYCIDMHTSDALKAGEPPRRLMAISAWRETPFFDARERAALLWTETLTRVADNG APNDVYQEVAAQFSEQELSELTFVIATINAWNRFGVGFAMQPK MIM_RS00125 MTIPRTIATLGLFAILSCTAPAFAHGGDETVTPNFEQTIPNLPG KSLIAVEVDYPPGGASVPHVHAKSGFIYAYVVSGSIESKVNEGKTKVYKAGESWSEPP GARHSISRNTSETEPAKLLAVFVVDTDDKALTTPIK MIM_RS00130 MTGLSGKRLESLRHNTPSVQNLIYLNHASASLAAGEVIASMHAA LDLELAQGVNRAIRIMTPEMETLRQSLAHLLGGQPHNIAFADTTTSAWAFALEALTTD RRSVSVVAVRNEWGMNILSAMSLQQRGLVSLRLADNNAAGSFDPVELATTANGADVVA VPLIPSSCGVINPIAELKDKLNPRTLVFVDAAQAAGQIPVHPLSLGADVLVFPARKWL RGPKGIAVLYVSDRALSQMSNPFRVSAQGGLHWGSDLQFDTSQDARKFESYEFNPAVR AGLKQSVELLADLEADKIKSAIDVLGLHLKESFRRHRLPALFEKHDNTSGIWTFSCPE ISGMDDIDRLRKKGLELGSVFGESNRLVLSDRGAKFITRISIHYFNTKEDIENGISIL ADDINMKRR MIM_RS00135 MNKVYIQRVAYGDSQELIEFNLENKNYHRPWVSPFTDQAGFQSW FSRCLTAAVVSLVVREETSRKVAGIININEIVAGALQSGFLGFYGSSKMAGQGLMTEG LKLAIKYAFEQLGLHRLEANIQPDNKASIGLVRRAGFQKEGFSPKYLFIDGAWRDHER WAIIAEK MIM_RS00140 MYRYILRLAVLLAALTTPLSYADTAIPANTKLVIADQNEALQTL LKASGEQARLSSEVSYANFLGGPAILEAFRAGALDLAVVGNTPPIQAHAAGEKLPIIA VRTNSGPDYQLAVRPGLVLNSLKELKGRKIAYAEGTGRQPFMLSALKLAGLTKKDVTL VPLRAGDFPAAIRAGQVDVAALNEPHFSRYLRDFSAEKASALPESAHAGLPTNTSYLY ASETALKDPARYAAIRQFVASWIKANDWSVKHPEQWVQAYYVKNQNLTQADGLAIIKA EGTTNYPLLSESIGPQQQLIDLIYDAGDLPDKLDAREEFDLRFDDIIRDAVSGLDAEK N MIM_RS00145 MSTPFAHTADKQSPVARWVDSESRSNDRASVSVTRSLLPRKLGT WGLLSGPLVLLLVWELASQSGLLSPRQLAAPSAAIVTGLRLLADGSLADHFWASAQRA YIGLFSGVLIGLALALISGLSRVGEASFDGVVQIKRAVPTLALIPLAIMWLGIGEAMK ITLIATSVMVPIYINTHAALKGIDIRYVELAQTCALSRWEFISRVALPGALPGFFTGL RLAVTTCWTALVVLEQINTTEGIGYVMNRARDYGQTDVIVVGLLIYALLGLGSDTLVR LWERKALSYRRAIGS MIM_RS00150 MNNATVKVENLSRRFGTRAVLDRLTFEIQPGEFVALIGKSGSGK STLLRALARLDSDVAGDGHIEVPASLSVLFQDSRLLPWHNVLSNVTLGLHDADALNRA RQALTSVGLGGREDAWPNSLSGGEQQRAALARSLVRRPRLLLADEPFGALDALTRLRM QSLLLNLVQIHRPAVVLVTHDVEEALLLADRVLLMDDGHITLDRQIAITHPRRKNRQA FDDLRDELLAALGVTELA MIM_RS00155 MTQKSIHLNLFVHGRGHHEAGWRHPRATRLALTDIRYYESLAKK AEDGKFDSIFFADALALNEGIGHVAGGALEPLTTVAAMAAATRHIGFIATASTTYYEP FNLARLFASLDHITNGRVGWNIVTSWVRGANQNFGIDTQPLHEDRYDRAYDFVEAVLK LWDSWAEDAVADDPQQAVYARTDRIAAINHAGRHVKVAGPLNIARGPQGRPVLVQAGS SPTGIRFAARHAEAVFTAHLEKSTAAAFYTELKAAARQAGRSSDQVVVLPGISPVIGS TDEEALRTWNELNELTDPQVGLTRLSDRFGGFDFSHLDLDRVLTLNDFPDPTTVQAAK SRAVVITGLVARERLTLRQLLHRLAGARGHFTLAGTPQRIADTIEDWVRSGAADGFNV MPPVLPGHLDDFVEHVVPLLQKKGLFRREYESSTLRGHYGLDAVPSRWTENERQEVAI AV MIM_RS00160 MKRIVSGALLFALSMAVHASDNTVDYPAKPVRIVVGFTPGGPTD VVGRAFANFVGKHTGKDLIVENKPGANSTIATRYVSQAKPDGYTLLGAATNHTMIPIL YGDKVQFDAVKSFTPICTIAKSPTVLVVGPSVKAGTFAELLEQIKKEPGKFTAANSGV GSSVHFATALFEKIANIKVNHVPFNGSSASVNALMGSQVDMSFATLGSVLPQVGSGRL RILAVAAGTRLKSLPDVPTFEEQGIKGYAADAWYGFMAPAGTPDSTIKILEGYVKDYE ANSDAQKVLATQGLEPDATCGADFAAQIKREVATYGELAKQIELAQ MIM_RS00165 MNTGKSFTSPHIAIRPEWLSMRQEEAIWPDLPIIDSHHHLWDRP QSRYMLHELVADMSSGHNIVATVFVQSRSMYRQHGPDIFKPVGEVEFANGVAACFESG LYDNRNGCAGIVAGADLTIGQQLHDVIGQMKQVAGARLKGIRNSTAWHDSPEVRSNPI QPPRGLLSDPGFLDGVRTLAEYDLCLDIWAYHTQLSEVFELAKACPQLHIVLDHLGGP VGVGPYREARKEVFDEWKKSIQQLAALPNITIKLGGFGLKVMGYHYFECEVPPSSEQL AQDWRPYTETLIALFGTSRCMFESNFPVDKGMYSYSIMWNAFKRICNGCSSDEIQDLF FNTAKRIYSLDLPQG MIM_RS00170 MKKLIVNALFAATLAPVAGHAAYPDRPISLVVPYAPGGTADALA RVIAQHLGKKLDQTVVVENRSGASGIIGQTYVARAKADGYTLLYDATPLTINPAVNKL NFDPSADLKPLTMVSVTPGILVVPKSSELNSVQDVVEKAKAAPGSLTFASGGTGTLQF MAGELFRQNWKIDMLHVPFKSGGPAIMATVGAQVDMMFPNISSTLPMVKSDQLKVLAI TSAKRNELLPDVPTVAESGLPGYEIFEWNGVFVPKDTPQTIADKLEKTIHAVMKEPEV QEKFASLGVQVKTSSQSEFRKFLDAEFAKWADVVSKSNIKK MIM_RS00175 MNGTSKMTTITRRIFFLSALLFSINSVQAASSWPDGKPVTWIVP YPPGGSTDVLARSIAQELDKKLNARVIVENRPGATGTIGAARVARAKPDGLTLLGTSI GPQAIAPHLMAKLPYDPIASFKPVITIGTIPHVLVVGAKQPYQNVKELIDAAKAEPGK LAYASGGTGTILQMQGELLQIKTGVRFVHVPYKGDSPALQDTLGQQVQFMFAPIAAAL PHIQSGTLRALAVTSTDRLKALPNVPTMQQEGFDDFAVEQWQAVFVPVDTPDDTVQKI NADIAEMLTTPTVKTLADKLGITLAGGTPDDLDKTRKADFEKWGSVIKQTNIKN MIM_RS00180 MINAIPQNACDCHIHVYDDRYPAAAGATLFPANATIDQYRAVQA LTGTTHVVLVTPSTYGTDNRSMLDGLQKLGNTGRAVAVIDGNESDADLQAMNAAGVRG IRLNLSLGAVGSIHSLVPLAERIEKLNWHIQVLMSPDLLAENESVFQHLPVPVVFDHF ARIHPTQAFNHPAHNIVLRLLSDRKAWVKLSGGYIVSESQTTSDPALDGLARSYLNAA SEQVLWGSDWPHATAQAGLQPMPHDGEQMQCLVQWTRNEETLHRVLVTNPASLYGFTN MIM_RS00185 MTTFKRAVVLFAVTISATAFAQDNYPNKPIRLVVPFPPGGGTDI IARDVANKVSTTLGWDFVVENKPGSGGNLGVDAAAKARPDGYTLALGQTSNLSVNPTL YSNLPYDSVKGLTAISLVASAPLVLVVASDSPMKTWEDVVKTAKAQPGKLNFASPGNG TVAHLTSELLQKTADIKFTHIPYKGAAQAVTDLIGGRVDLYASSVPTLLGSIKNGKLR AIAVTSKERVADMPDVPTVAESGYKGFESTTWFGFVGPAGIPKPIVEKLNAEINKSLA SDDLKVKLREQGAQVLGGSPEQFSALIKEEIDRWATIIKDSGTKIE MIM_RS00190 MFYINQPEVRTFEIFSTVPEKFRSKTRTVWADANQGGREIDCFL EGPAFDDEGNLYVTDIPFGRIFRVDPRGNWDLVVQWDGEPNGMKFISTNRLLVTDYKN GLMEVDTRKGEVKPYFCRRNTESFRGLNDLTISSLGDIYFTDQGQTGLHDPTGRVYRL RKNGQLDLLLSNVPSPNGIVLSPDEKVLFVAATRGNCVWRMPIQADSSISKAGQFFTS YGASGPDGLAMDQDGRLLVANPGLAYVWILNQRAEPVQVLRGIAGHSITNIAFGGTDK KMLYATDSTTGDILTMQMDIPGAYMHRL MIM_RS00195 MSNKPVILVTAADLAPQAIALLNEYEIVYAGKTPQHDEVVALAK QHNPTGIIVRYGSVTPEIMDAAPALKVISKHGSGTDTIDKSAANERGIAVTAAIGANA AAVAEQALALLLACAKSTVELNERMHEGFWDKATHKSLELRGRTIGLIGLGAIGQRFA RMADALEMRVLGFDPYAKNVPDYVELTELDTLWKECDVISLHCPLTPENKNIINEDSL AKCKKGLILVNTARGGLIDEQALLTAINSGQVAMAGLDSFAIEPFKVPHIFQHHKNLI LSPHIGGVTGDAYINMGVAAAKNVLATVSA MIM_RS00200 MSKSNINKDFERVSTDVVAKAAVFQPAIFSDVNGRRGALHGRIK ALRPNMKLAGPAFTVEVRPGDNLMIHAALALAKPGDVLVVDGKGDQTCALMGTIMMHA ARERGLAGVVLDAAVRDSLDIEEMDYPVFSVGTNPNGPTKEVGGRVGHPISCGGVTVY PGDFITADGDGVLCVEREKIETLLEKAQEKVDAEARRIAGIKEGKLSAPWLTKSLITA GVLKEGEEL MIM_RS00205 MKHQSPEATPPSPTVTDDSSEGGVIAVRRALAVLGAFGMDEGSL SLAELSRRTELHRSTVLRLARTLAADSYLVQKEDGTWRLGRAAGWLGACYQATFNVQE VIEPVLRELTMKTKESATFYVREGAQRVCVVRVEGPQAVRHHVRMGTILPLDRGGPGL VILAFSGEQGEPYETIRKQGYVISLGERDAEVSSISAPVYGLQWKLLGAVCISGPISR LTEPVLEGYVSDMLTAAKQLSYAVAGSQRASVSSNLVSSWHP MIM_RS00210 MKVIDMRCRPAFLHDFFGATPGSAEHGTARWLNRRVGTIGNDAH FEQSLTQEGFLEEVHNAGLAHAVVVGRHTPSQHLPNEKIHEIVRNHPELIGIAAVDPS IQGEQGAIDAATFAIRELGLAGLDLEPGFCEPARHPDDPIYWPIYELAGQLQVPIFLM SGPTTPDPRFNDPAAVAKVAQAFPQLPIVIYHGYWPNVHQAIGLAFRYENIKLVPDMY LFQAGSDVYIQAANSFMSGQLLFGSSYPFRPIGQSIDDVAKLGLKESVIEDVLYNNAA TLFGIEP MIM_RS00215 MTRKKILLNAFNMNSIGHIHHGMWTHPRDQSDRYNTIEYWTHIA SVLERGLFDGLFIADIIGYYDVFEGNVDLTLKEAIQLPVNDPWTLVSAMAAVTQHLGF GVTANIHTETPYSFARKVSSLDHLTRGRLGWNVVTGYLDSGARAMGRDGLDEHDKRYD RADDFLQLCYKLWEGSWEDQAIIRDRNGRIYTDPNKVHAIAHNGPYYRAHGYHSSEPS PQRTPVLYQAGSSGRGRQFASRHAECVFIAAADPATARAASAKLRQDFVNAGRQPDDV KIFVGIAVVVGHTQQQAREKYEEYLRYASPQAGVAHFSSSTGIDFSRFGLDEPISYGQ SNAMQSASQTASQQGWTRRDLLNQHKLGSRYPTLVGDPGEVTDQLERWIDEGDIDGFN LSRIVVPETYEDFVDLVIPELQARGRYKTAYEPGPLRHKLFGRGARLSDSHFAAQWRH PQQVGTDQAAPDVATAVEEH MIM_RS00220 MTNANLKDVLDTDITDIEREATDSFPGRFSHRADRIDDDAHAIA TADALALSFAKNAVQRDRNRILPWEEVNQFSQSGLWAASIPREYGGAGIRAATVARIF AIISAADGSLGHIPQNHFYALEVLRIAGTESQKQYFYRRVLDGERFGNALAEIGHKDF KRRTHLERTDSGIYVTGRKFYCTGALFAHWIPTLVSVQEALSDTTYLVFIPANATGVT ITDDWDGFGQRVTGSGSVAFDHVQVQPEWIVPFQLHFDQPSTIGPHAQLLHAAIDLGI GQGAFNAMLPYIRNHSRPWIDSGVSRAADDPLTLKEIGNVHVRLRAAQALLNRAAQAV DSARQDTNEASVAAASVAVAQAKALCTTAGLLAANTLFELAGTSATLGGHALDRYWRN VRTHTLHDPVRWKYHAIGNYVLNGKLPPRHGAL MIM_RS00225 MGNDHTDTTTEQHCPLPATQPALIRNDQDAIQTAQELAQNYAAS AAERDRERILPWQEIEAFTASGLGGITVPARFGGADVSYLTLARVFAIISEADPSLGQ IPQNHFAVLQLLRETGTPQQQARWFADVLNGHRIGNAGPERKTRAGLITQPTTHIVRT EEGLRLNGTRFYSTGALFAHWIPLRALHESGNAVQVWVRRDAPGVTVVDDWDAFGQRT TASGSVILENVPIDEADIVDMTDVPTLPNLAGPVSQLIHAAIDAGIARSALHDGLAFV RDHSRPWVDAGVSQASEDPYIIQEAGKLQIEVDAAVAVLEESAAALDNIAQAPVTDES SAQASIAVAQAKILTGEAAINASEQLLALAGSASTREKHNLDRHWRNARVHTLHDPVR WKYHSLGDFLLNGTLPRRHQWN MIM_RS00230 MSLSVDRYWQAFADTLIMVGVSGAIAFLVGIPLAVLLIITSPGG FLQSPRINQILGSVINGFRATPFIVLMVALIPFTRVVAGTSIGVWAAIVPLAISATPF FARIAEVSLREVDPGLIEAAQAMGCRKWDIVRHVLLPEALPGIIGGVTITLVTLISAS AMAGAVGAGGLGDLAIRYGYQRFDTQVMLIVIAILIVLVTVVQRSGDLYIRWLKNR MIM_RS00235 MTYTTALSNNRFRLDIQDSSVPQTLPVPDETDAGNAAAAQTTGG TVTFDKVSKTYHASGGVVHALQDISLHIPAASIFGIIGRSGAGKSSLLRTINRLESPS SGHVKVDGVDIATLDETELVHLRRRIGMIFQHFNLLSAKSVWDNIALPLQVAGVPRPE IKHRVTQLLELVGLYDKHNTFPQRLSGGQKQRVGIARALVHHPEILLCDEATSALDPE TTRGILQLLKDINKRLGITIVLITHEMSVIREICDQVLVLEKGRIAESGPVWNLFGAP AHEATQALLAPLQHQLPDDIRTRLQATPPAAGDYEQILQLTYNGRGGLEPDLSLIGRV LNTRVRLVHGGVDRIQGHAQGRLLIAIPGHATVISTASASIEQPVIAHHVETIGYVPL G MIM_RS00240 MNTVKATLASAILLFATAASVQAGTLRIGVVPGAYADSVNIAAQ EAKKEGIDVQVVEFTDWTTPNVALNSGDLDVNYFQHEPFLKNANEKQGFELVAVDTGI LSNIGLYSLKHKSIADIPENGKVAIANDPVNQGRGLLLLQKSGLIKLKPEVGFLGTLD DITDNPKKLQFVEVEGPQLARITSDVDLALGYPHFIVAAKAFDPSSGLIYSGIEDAQF AIRFVTRKDHANNPDLKKFIEIYHSSAAVSKDIHRAFSNDDRLYALAWKK MIM_RS00245 MVKYQKNDLDAVFHALSDPTRRKIIGMLAIRDHCVGELVPSFST SFVAISKHVKVLERVGLVARRVEGRNHWCALNTRPLNDAYHWLAAYETFWSGRLDGLE STLEEIKKEDSE MIM_RS00250 MTEATVSSRQIGSKETETMPQTNVHEQPGRLRRVIVASSLGAVF EAYDLVLYGPLAAIIATQFFSGLDQAYAYIFTLLSAAITYVARPFGGLVFGRLGDLVG RKHTFLLTILIMGISTVIIGLLPNYAAIGIASPILLMTLRIVQGLAFGGEFGGAVTFI AEHAPAGKRGFATSSVAITMACGLVLAILVVLACELLVGKEAFEAWGWRIPFLLSAVL MLISVYIRLRLQESPVFLKMKRTGQGSTQPIKEAFGRWRYLRTSLLVLFGAVAGQAVA TATGAYPIYMLMLNLKIDPFLLHYTILGYSVFFVLFMIMAGWISDRIGRKPVMLAGFL GTAIVAYPIFQSITHYAHPGLEASIKESPVIISADPRQCGSYFDPTRFSLSEGSSSCD MARRAVAKLGVPYVNRDAPEDGPANIAVGNDIIVSFNAHSLDEAALAKESSAFNAAVK AALSAHGYLTSAPADKTNVPALIGLLALLNFFVALASAPLAIWMIEMFPTRIRYTALA LSYNIGGWFGGFLPTIAYAAFYATGDLYAGVWYIATMLLISFLIGGLFLPETRGRQLE SIA MIM_RS22340 MTVNTVQNEADESHYHGVALSLVRRLCASRRLVFKACTDPKWLI RWLVPGAGCVQSVIMDARRDGGFELDGTDPDGLPYHVCGTCLDVVRDERIVLTWQYQG AAAGLNGPPTKVTIALRALGEDSCELTLTHTELPHAEAAGHQRMVWQICLERLAWSTD PATAHTDFRMPVGAISEIYGEHHRTLQDQFDSRKLANRLRKVSVGSELTADAREFIQA RDMVFLSTVDHRGFPTCSYKGGAVGFVHVVDSRTLVLPSYDGNGMYLSAGNIAANPKV GLLFIDFERPHRLRIHGVASLVRDEHELKHFPGAELLITISIHEVFINCPRYIHHYER VGTSRFVPQEEGETPMALWKTLDLIRDYLPERDKKKLEAQALPSITRKAYLQRLKKGK T MIM_RS00260 MKFDELQTADFTSLHFFVLAAQAGSLSRGALSAGVSQPTFSRHV LKLESEMQARLFERMGRGVTLTAAGRRLYEAVLPGFEQLLNGRRLAMADVDDAGLRQV TMGLPPSIANMLGPRLLNAVRTTLPSLRMHVVEGFHRSIVDSLHRGQLDFALLYTMIP IVGLHADPLMDEELCLVMHPARARNKKSVNFSQLGEFALSLPSRPHGLRELLEDQAAV HRIRLDVRHEFDTSLTLTKQMPMTDLACTILPFSAVHNEVDAGTLAALPIRKPDLSRR LVIIYANNRSITTGLWQFLQLLRTQCEILVDKGEWKGARMITPGS MIM_RS00265 MTFIRTLFMLGALVLFSQPALSQKYPDKPLRLIVPFSAGGVTDL VARIYASELGKSLGQTVVVENKTGAAGAVAMDAVKQAAADGYTLMLATIGTQAINPAL IPTLRYSPNDLDYVTMLTTVPQLLVVNASSPIHSVAELVDHAKKNPGTLSYGSSGIGS APHLAVEIFSSRAGFQAVHVPYRGSSQSITDLIAGRLDFMIDPVTTTLPYVQAGKLRA LAVSTPERLAVLPDLPTIAESGYPDYDVGVWNTIAVRKGTPRAVIERLASDSARILSS EQIRKRLQDVGAVPFAASPEESQAFVAREQSKYKQIVRDANLKAE MIM_RS00270 MDLGIQGRQAVVCAASSGLGFACAEALAREGVAVTLVGRDQTRL DLAAAQIVAVGGHLPVQTVVADLSDPLEYGDLASRTGIPDILVTNGGGPPVLYDSIGI GNDLWQSSMDSLFHGPVALINACVREMCARGFGRIVNISSAAVLSPKSGFALSVSPRA ALITYCDLLAREVAKHNVTMNHLLPHSIETERLSANLAAEAAHRGISLEQLRAQRVST IPVQRLGGVQEFAAYCAFLCGAQAGYVTGRKHVIDGGVNI MIM_RS00275 MMSMTKTSQMAPVSVRGGELLVRCLIDQQVSLAFCVPGESYLDA LDALYEQRERIRLIVCRHEAGAANMAEAYGKLTGRPGVCFVTRGPGATHASIAVHTAF EDETPLVVFVGQVARGHLGRGAFQEVDYRAVFGSMAKWVVQVDDAARMPEIVARAFQI AVSGRPGPVVVALPEDVLEARLFELPPSVRAWTPLHYGPAPTVMDKVSSELASSKKPL LIVGGGGWTPQASATLAEFAQTFCLPVIAEFRCQDYLDNRHDCYIGDLGLSTNPALVA RVKESDLLLVLGGRLSEALTAGYRLVDTPRPRQRMIHVHADADELGRVYHADLLVPSL AETFLQSALGVRERLFCGDVPWKNWTAAARSDYLTFVAPTPEGGALNMAEVVATLDQV LEPDAIITNGAGNYTTWLHRHYRYKHFRSQLAPLNGAMGYGLPAAIAAKIHEPQRQVV CLAGDGCFLMNVQELETAVRYNASVVFVVVVNGSYGTIRAHQERRFPGRVYATDIGNP DFVALADSFGLAAERVLTHEAFAPALQRALEVGVPSLIELRTDIEQLSAQSRLSTVRD RALAAIREQIV MIM_RS00280 MITNPLVKKLAASQTVLGVAVRQARTVDVARIMKTAGYDFLFID TEHTPVPADVVSQICQAALGTGIAPIVRTPNLERVHAIHALDSGAQGLVFPHVENADE ARHIVDICRYAPIGHRSMAYGLPHVEFASHAPRELMDFINRETLIAVMLETPQAIEQC EAIAAVPGIDILHVGTQDLSAEMGIPGEVGADSIAQAIARVSRACSAHGKVLGVGGCY EPEFIQRYVNEAQARFFVVGSDLGYLLGAARAQVSKVRSSLEK MIM_RS00285 MSDVNKRLQVAGASTVCAVLDMLGVSGTIVGLPAVQPGRSFAGP AFTVKASTGPLGTYDPSAFDIAMYADQAGPGQVIAIDAGGAAVSLAGGIAAQASARRG VAAWVVDGGMRDVDELGDTGIPIHVRYGLPVTGRTRVRIDQINGPIVIAGVAVEPMDV LVGDATGIGRVPFSQLDDVVKMADWITGRDRIASRLVSEGVSFSGAFKEATAEYTALH GPLGS MIM_RS00290 MTVTYSHIIVGGGPAGCVLANRLSASGANQVLLIEAGSDFQPGQ EPEEILDVYPYRAAFNPDYQWRDMNASLMSTSADGASRTPVKPYIQPRVIGGGSSMNG EIGNRGLPADYDEWAARGAEGWDWEGVLPYFRKLETDMDYDGPLHGNAGPISISRVMP GEWPGFTNATMQAISAEGYHNIDDQNAVFKDGWFPMSLTTNRRSRSSAAMGYLDPSTR KRANLTIRSRTKVDRVLFEGRRAIGVQAGEERILARRVILSAGALESPAMLLRAGIGD IEQLQQAGIEVVHALPGVGQNLHEHPSIAMSSWIRPGHRLGKTPRRHVQAAWRYSSAL PDCGQGDMFMVVVAKSAWHPIGRRIGSLFSWINKPYSTGWVRLNPDNPMARPEIAFQM LSDPRDMERMKLAVRRMWSLYCSREMQQASSDPFVATHGAMAKLVGSVNVRNWLMTLG PALLTEGPASLRKMVIDRLFSSGVNIQQALQDDAAMEEIIRKHTIGGYHPSGTCRMGS ASDQGAVVSPIDGAVHGIEGLHVIDASVMPCVPRANTNVPTTMVAEKLAEGLIRGMH MIM_RS00295 MNRLIGVRPEARIAKVEVHEFGYTVDGLGVDGSGNRCVMKGAQS RLSAFAVKIETADGRHGEYCSVHSGKNGAMVGQVKAAASLLLGEDAEEREAIYNKLKR AHRHYMAIGHSALDIALWDLAGKSVNRPVWRLLGGYRQRLPTYASTLNGGRDGILDSI EAYADFAEECLALGYRGYKIHGWGDGDPKEEAANLLLCAKRVGDKMDLMYDAASELST LADAIYVGKACDEGGYVWYEDPFMDAGWSPHAARQLKENIRTPLMLTEHVRGLESKAA WLRDRATDYLRVDPDYDMGITGTMKAAHLAEAFGLDCEIHAAGPAQRHCMAAMRNASW YELSLVAPGVANPVPPVFGSGYSDALEDIGEDGCMPVPEGPGLGVEYDWEYILANRTA YQAFTLKEKE MIM_RS00300 MDESRSAIAYRQIRNYIDGVEDGARLPPESDFAQMFELSRASIR EALARLRAEGIVRSRKGSGTFAVHSGAPEMVRLSAISSVRELAQWHEVRLALESEVAA LAADRRTDKDLEMLVAAQDALMASLLTGRGEQEDVAFHAALAVCAHNPMLSDALGRLT SHIFKWGNLSAQRSTLTMAERRELIALEHGAIVDAVAKRDSDRARAEMRRHLLAGRTR VLSDMQL MIM_RS00305 MKTANISRRTLLRLGAASLVTAGFPSIGRAANLSGTVRVWSFLS ADGRSPREVVLRDIIKRFSAANPNVKVVVEAQPFQELEVKFVAASAQNRAPDVIWMRD TFLDLVQRRGALADLNGLLSEDFKTRALPDLYPVFVEKSVFDGKRTSLPLWPSPAQTI FYRKDALAEVGLKEPPKTWSDFVPVAGKLTKGERLGFGLPTNDNSVSAFINMLSGFGP SIFDAKTGQFDVIGAHAIEVGNVVRQLVDNGALSSTLLNAMGDDIQDQFASGRFAMVQ AFAPRFQQYKQIAAAYKSEDLAVAPWPAFGSNPPAVLLGPYWTVGVASKSANPAAATA FVESLYSEEASMGWAKTAGLVPDRRSVLKAPYFSTPEAADIQSFMALLASPGVMTFPQ RMPDITKVFPVMNTALQELIGTKESVEAILARAKSTLGW MIM_RS00310 MQSASLNTTPSLDAVARRARLIGSSLVGPALLLVIAINILPAAY GFYLSLHKVFFFGNEGFAGLGNYADLLRDPFAWKAIGLSLFFTFASLAIAIPLALLAA IGIRYLGRWGSILLTVLLVPWAMSPIVVALLWKWILVPSSGGLVGALFTMFGYPPQDL LTNPVLAMPTLIVVAVWRTVAFAAIILIGGLGQIPQDLYKAAAVDGLGAWERFCKITL PLLAPSLLIVISMLTISYFNEVQLIIGLTGGGPIRQTSTLAYLLYHTGFVELEQGKGN AIAVLMFLINMGLIAIYIRILGKQPGAAA MIM_RS00315 MKFTLRISTVICCLLAGLVALGPIYWAVVTSFKSSSHIFAVPPE IIPLSPTVQHYVKLVAEGVQWAFLNSAGYAIVAVLIALILGSIAGYALTRFPVAGKPA VLLIFVGAMAVPAFAVLLPTQMLATALGLQNTWSILPILYAGHILPFAVWVTRSHFAS IPRELEQAAELDGYSRAEAVWKIVLPGAKPALLAAATFGFLHCWNDYVTGSTMVDSPD LRTLQVALIFFQGFHGRDWGALMAGVVIATIPPVVVFLLFRKFLIGGFADGSVKG MIM_RS00320 MNQTKSNTAQSVQFQNVGKSYGSVNAVSGINISIEPGQFVTLLG PSGCGKSTTLNMIAGLEMPTEGRIIIGDRDVTTVKPADRDIAMVFQSYALYPHMTLFE NIAFPMRARRRRTQESEVERKVRTAAKMLGLESMLGRYPREISGGQRQRVALGRAMVR TPTVYLLDEPLSNLDQQLRVQMRSELKDIHQRLGATMLYVTHDQSEAMTLSDLVVVMS NGRIEQAGSPEELYNNPANLFVARFLGEPGMNILDGAVEGDRLRGQGFDFALPSLAQN TAQHISIGIRPEDLVCELADTAPIQGIVRTVEYLGARSLVRVMVGETMISAFLPASMK FPAGAPIGLSPVYPEKARFFKTNGGESLHYRQNMAKH MIM_RS00325 MRLELAQRLISAVLDAGRTRQSKPLAAVVVDAGGSIVASARDDG AALARHEFAMAKAWGSIALGLDTRELVKRADANPAFFSAAATLLSGRLLPAAGGVLIK EGEQLLGALGVSGDTQEMDDACAMAALEQIIR MIM_RS00330 MKTATHTPSAAGRKRRSYHHGNLAEALIEASITLIEQDGVENLS LRQAAKLAGVSPGAPFRHFSTKTALLTGVAEQAMQRLSSAIATELANTDTESPLNQFH AIGLGYLNWALAHPTHFQIVSSRTLIDFEASDYLVKENNAIRERMVVLLRQAQERKEI SAAVQPDHLILSARALVYGLARMAIDGHMPEWHPQEPAALAIERALDQFVESIRRK MIM_RS00335 MNKPMAVRALWVAGVMAMSSVYASEKPTLSISWPPPDAAITPGA DKEKAVGVVVKSNFQLKPAGQCGGQANCGHIHMKIDPQDDSCNIPGKPYNSMNSDTGG ELIKARFGYCKRVTGRHVIGVLLADDHHRPVLWEGKPVTALVAVDVK MIM_RS00340 MATVNLNIGGLFQPTTETVDQSQYDGNTLLNVNALSPNTTLNIN NATGSDNVLELKQTVSVGLLSTSTINLGEDAHVKLTGLAGINVGSTFNYNLSEGSTLE MTSSFLSLGVGNKFNIDLGEDATSTLIYDPTGINLQLSDYPTITGVTAGDQIQVVGAT SGEYVNGDLVFKNNLGFTVGRFNAEGLDPTKLIFEGGTMTYACYLKGTHIATPEGEVK VETLKAGDKVLTASGGVATVKWLGHRTLHKSRIPAKDAVRAFPILFKKDAIASNVPHR DLTLSPGHHVSFNGTLVPAMMLVNGQTIVQQFDTQKFEYFHVELEQFDIMLAEGVPAE SYVDTGNRNMFQNAAEVAMNPDFGPAEGRPVVEGITVAQQGPVVEAIRKQLLVRAEAM TGAVRTTDAALCIEVNGQIVHATPAFSKEGVYRFALPANAGDVRVLSRAAVVRDVTPL ARRDLRKIGVGLSMIAITTATDRHEISLTDDALTGLNAVQDVKGTAMRWTNGAAVIPA ALINSTDEATLELTVLRTYTYWVDADVQKAVRAA MIM_RS00345 MKTAGNYEIHTPTDKTNSLAEWPYQCARHLLTYPIDTAISKQLA FMGEAIGADRAWMLEYRPDMLRFRNTHEWCRGQTEPFVAQLQEAPTTLIAWLHQYMKK GQAVMLHDVEHLPGTAKTLQIEFRRQGNKSVLNVPVCHNNNLFGIIGFDTTVSHKVWS TAEVQALYQCANLIGQARFSQRQHQRESAELPGATQVIYLSTRGIVRGVSPDNIVGVR SAGNYSEVWLEDGAMVLDSRALGVWETLLPPRTFFRIHRTAIINALQVMEVDRRQLDK WQIQLRSVASAWPVSRSYRKPLRERMGI MIM_RS00350 MVNLMAPVLIVEDDSVMQQRLRSILLEIGYADEALQFMCSIADV KCHTDFSQIALALIDLGLPDGNGIDIIESLSAIRAEIPILVISAWSSEAMIINALQAG ATGYILKERDDIELKVSIRSILKGGAPIDPFVARHILSRLPMQKAAPIEAIPEASKDE ADSVNLTAREHQILNLVALGLSNQEIANQLFLSRHTVETHIRRVYRKLAVTNRTKAVS RARTIGLL MIM_RS00355 MRAIVNELLLLLAGIVLVFLFCVFPQNTWAQSGKSVCTPSLDAA YAVQSDATAPEAITQADWQRVSLPDYWTERWPSYNGVVWYRVDWHTQCADENGRPPLA LLVSSMNMAGQVWLNDQLLWTDANLSEPLSRSWNSPRFLSLPRQSVYYSAVNQLYFRI TGDTLSSPGLGTISVGNTQQVIAQFEEATWNQRTIFYLSLILSLTLGMVCGCFWLFRR QEIAYGWYALATVFWVCFASQLLVTETAPFSNTATFTQFNIAFFAAYIFCFCIFSWRF LYKIFPRAEKYFFAFMLILVASIWLVPGDYLPGTLLGVFMASILLFSLNSLFVSYFCF RTRQFESWLLGVTLIGCLAIGIVSALSLFRVLEKFSNVLPFTSLLFAIFLSIILALRL SKSLRRIERFNEELNQNIQEAERKLELTLNNRHALTIKNHQLKERLNLAHELHDGLGS SLVRAMTQISYAKQTLGNKHTLSVLSLLRNDLRQIIDSFSESHVKLPASPVYWLAPLR NRFVQIFDDMGIDLRWEVDSEWTTLPSAMQCLTLYRVAEESLTNIMKHSQASEVHFRC LVKVSDVELQIIDNGIGFNTVDIGLSGISVGMHSMRTRIERLAGILEIQSKPGETSII VRAPYELKKVEP MIM_RS00360 MLSHKQRGLRRCLITAVMLTGLCTLLPAVWAVGKASVLEQPYPY VVVEQDLKDVLLELGRNLGIATQITKPVRGVVRGGTQPNETADGFLRRLGAAHDLVWF LDHDTLVVSTQQENKTESVSVTHLDTERRRQIAQEWSGKGAGVHVTLDERSGRLLVTG PASFQERIASALAVVQKAPTPRSGMGVTVFRGSSSPQEVMVPR MIM_RS00365 MSIDPVNAAVTDTIPEGKSFEDAVQVAKDTPDSSAEADQVFEKG IGMMMQTVLSPRMNEILSEAMSDE MIM_RS00370 MMEIPIQAGLSANPIPVESVSPVSQNLFEAMAMQARDQQFMNMG PVGQALAGHLDTYLERTNTFSERVKQISNGEPVYQDKAASAQAGPGAGDQRVMTVLQS LTTMFDYSIETQVVVRSATQISGAVNTLLRGQ MIM_RS00375 MQRRFWWYVLRVCALLSVLLLQACKEDLYSGLDERQANRMISEL MKQGIQADRYAVKDGSLTVTVDENQFAAAVQVLEASDLPETHFASLGDVFKGNGLVSS PTQEKAQLVYAMSQELSNTVSRVDGIRTARVHVDLQDDDAKRKTGKQASAAVFVRYSP DTDIESLIPKIKSLVSGSISGLDYERVSVIPVAAAEENGTYTPRMTTWLGVPVPQQSA GKLSQLVLLVLVLVAVLAALLTWWCLRWQARTTGVLGGSS MIM_RS00380 MMQADFTGRSWVQFQDNPLPGVHMDYLAGSLSPLTIVQEDVFRK AVDETRERARFIALLQQHYSLTALSGLQPVNDEDAYLAALSVQQWRWLARVCGIVYWS GALARVVQTPALRVLDAQLGDNWWQWVQAGLSEASDHVPLQEVSAGANPPEQWAMRIG HSGSALLRAWQDTLDSELAAWVRLKESTNTDQQEWIEPPGLNAGGPDIVRRVSGILMQ QAALTS MIM_RS00385 MSHKDATILRADSVAAWREGERHLEQATQDVAALKKLAQEDAVR ERERGWQAGFDEGRQAGLEEAARLARQLTAEHDAYLDGLTSQLASAIDASVKKILAGL DDAELIAALARKAIEDMQALNTIVLRVPPAMVNALQAKQLCFKGKPLPVRADDSLLND MCYMDTPLGTVQLTAQTQWARIFSTLSPAQEVTDAD MIM_RS00390 MQTESVAVQRDPIAPILAAIAQADSRPYQGKVLEVRGLSVRAWL PGARLGEYGFIEPLLGQQQPVPVEVVGFEDDHAWLSPMAAISGVSAGSPVYRTGSAFS IGVGKGLLGSIIDAFGRHLDARKPQNTADFVLRPVNVAAPSAIHRQRIRKPLALGVRA IDGLLTVGQGQRISIQGEAGAGKSSLLASILAGTQADVIVLALIGERGREVREWAEEA MTPEIRSRTVMVVSTSDRPAMERVKAAATATCVAEYFRDAGQHVLLLVDSVTRYARAW REIGLAAGEPPTRRGFPPSMFAALPGLLERAGPGVRGSITGIYTLLTEGDGEADPVTE EVTSIVDGHIVLDAQLARRNRFPAIDILRSRSRLMDKIVSVRHLQAAVAVRESMARYR EVELLMRLGEYKVGAEPKTDRAIEQHEAIERFLCQDINDVSRYAETEHLLWRLLD MIM_RS00395 MNTDLKNLRALRAVRARREERTAGLRARYARECEQIRQQHDEAR RASERFRQDVGQQQIGRWQTLFSAAFNDVAVLQACQQDIADAAAIVRADQQVAQLQDR VNQAAGQLQQATAAHAAATRKLEAASHLLTTRYRVHAACEERRSEAEQEDIWGAHHSA MIM_RS21910 MPEYSRSAHAWPASGQRLLAPLAFRVLNQDAALSVAPVAADEPL PSGSVSLALSDHGEQLYVQGHWLNELCGLAGLAGPANADWSQVSPAQRQFVVGWLLDD LFSRLETLLDRELAPSESGGLSSSGDWRRFELGRMASTAAAPPVMWLDLPQIVAARLE RALLHKADAFWLQVPFTASLQAGHQTLRLKQLASLAPGDIVLLNRPLQDLQLVVSSCL LADVRTDAKGDVLVSAWYLDERRERSVESIHTQEDVDEVDVLDNLTVQLVCEVGRQSM TLAELKTLQPGSVLSMERGAQQAVDLLINGARVGQGELVRLDDALGVRIIRLAKSHG MIM_RS00405 MGEHQSNLALIILLVATVGLIPLAIVTMTGFLKIAVVLFLIRNA LGVQQTPPNLVLYGIALILATYVTAPLIGEIGYQFQNTPVQLNSVEDLKDISERVRVP LQAHLAKYATMEEREFFLSATEGLWSEQARQNLRNDDLVVLVPAFVGSELARAFEIGF LLYIPFLIIDLIVSNLLMAMGMMMVSPTLISVPLKIFLFVVVDGWSRLMHGLISSYGG G MIM_RS00410 MDKALIVSLVQKALMVVLMLSAPALIIAIVIGLGVGLLQALTQI QDQTLPQAVKLVAVLCLLIFVLPMLAGQVSSLADQVLAGFPIWTR MIM_RS00415 MDTLGNVPVSAWLTNWFEIAYPVITAVSLAMSRCLGMVLISPVF NRLGMTGLLRSAMALVFALPAVPMLLPMIMTDAPQFSVQFVGMLIVELLIGMVIGAIY GIPVWAAEAAGEMIDLQRGSTMSQLLDPLSATESSVTATLFSIVILALFFLSGGLSVL LKGVYESYAVWPVGKLYPMFQDGMGTVLLSLLDRTMELAVRMVAPLMVAILVVDLLLA YLSRMAPSLHVFDLSMPVKNMLFAILIVLYVSFLLSDMQGALLNPFDLSDWVDATAE MIM_RS00420 MSDKSSEEKSQPASEKKLRDSRKKGQVAKSQDMITALVVLACVA YLSYFAGDVSARVLALFQQVADALASRNMVFTETWPTLLGAALDLLMRTTFPLLLVIV LVVILGNMIIQKGFLFSVDPVKPDFNRLNPAEGFKRLFSARNLVEFLKAVFKVAALAA AFVFVYRASLPLLFGAPACGVDCIFESFKVLFVPITLTAVLAFFISGLVDLLLQRWLF AREMRMSHSELKRERKDIDGSPEIRKERNRQRRASQVASSARGAHMASVIVGGPGNWI VGLRYRRGETKVPVIVHVVQPGSSEEAWFAQQRETTPLIHDPGLAADIARRARVGDAL PDAFFERVARILVKEGLI MIM_RS23295 MSDVSQVSGGGGAEDSIAKMEAAFDRAIELSAKVTEITTEKKAE LDASKQRPQN MIM_RS00425 MSVVIEQERETPRLYILSGVHQGGVAPLGSHARYLIGASTDGDI VLRDQDIAAHHVTLELDADKVRLTSHADQVNIERRPLLAPGETYEHRLPFTFSVGSVR MRVAGATHQEKPAAGLAAVGSRAMLPVLAVLLGSLLFALLYIPGMASETTYRPDVGID RRATPRVAIDVVREQLKTKLIEAGLGNLEVDAVAGQFNVVGEVSEQARKQWHDIQVWF DRTYGASYQLRSNLTLAQAPELFIKAIWLGEAPYIVADSGERRYQGAVVANGWVLEKI GLHELVLARNGRQHVLRY MIM_RS00430 MRINRADIDLWRARELQGTHRVNEAQPSSVPERRFDVRSGKPMT DRRRRVGNVDALDATPPLNSLLEETSGNVAILRHLIEQVLPTLPMSDDVRQCASALFI EDMEHHRRVLEAHSGEDKEMELDGKLE MIM_RS00435 MTENWNSLSVEWLCRRAALLSDNGYVHRGLVYQLVAYHMEPLDK RVQRGLAKMFVLAGDGARALEMIKKLEQDEQEAEELSLSLLRASAHLLLGQTEQTARI LAQHLDATGDAG MIM_RS00440 MKAQLNRFASAAARRSDMIVASFILIAVVMMVIPFPTYLVDMMV GFNISFAVLILIVAFYSARAVDFSVLPPVILLSTLFRLSLSITTTRLILRDADAGAII AAFGDYVIAGDVVVGIVIFLIITIAQFIVITKGAERVAEVGARFTLDAMPGKQMSIDT DLRNGDIDQALAKERRKNLERESQLFGAMDGAMKFVKGDAIASLVILCVNLFGGLVIG TLRHGMSFSDAMNTYSLLTVGDGLIAQLPALMTAVAAGIVVTRVNSDRDIDLGAEIIE QLGANTKVLVLCSAILFLMALVPGFPAYVFVGLSLLTGTSAYFANARQRARKIEAERE QQEHSGDAAAVGQEPVAASQSAADWTEPSTARLQLWVSPATAATLQLTALQMSLAQAS AWAEQALGVPFPSLGFKQDSQLAEQLFSICIDGVPVSGGTLHPQCVFLTGDLAVVSML NIETPDTLTFDGRKAVWVSERHAADLERLGARGLSREQVLGEYMRVVQIKHAPEFFGV QETRQLLSEMEQSHPELVRQALQAVPLQRLVEILRRLLEERVCISNLRSVLETLVQIG DGTSVPVLVENVRVALARHICHQYANEHRTIGAYVFSVELEQEIRRELSSSDARTLAL SSDLSRKLISGLQLAMRDASTVAQPVLMVAADMRRYIRQYLVRHGTTIPVMAYTELAP GYVSHPVAILRGDGTPEIPATESSEAGQEQKVAA MIM_RS00445 MQSKKNTNCASVSHQMACSVSRSVRAGLLRLGLVMGAMCLMLVS PVTWALEEQLTMSVGKGDGQVIHFKREVKSLVVADEKIADVQMINSRTAYLFGKAIGG TRITALDANDTAFMDAQVIVGTGVRGGNVQEQATGKRVVARGQVRNLEQAVQQGTQLS GHDGNGVQSVNMMTQANLPQINLRVRFAEVSRQELLSYGVNWSALFNSGNFAFGLVTG GSLTPAAGATNVISGGFRSGGASIDMLLDALQSNGVLEILAEPNITTVTGRSASFLAG GEIPVPVPVNRDMVGIEYKSYGVSLVFTPTLLPNDRISLQVRPEVSTLASSGLVEIAG TTVPSFSVRRADTQVEVGSGQTFAIAGLFQRGNATDIDKLPILGDIPILGQLFQSRRF QRNETELVILITPYLVKPVSGKASATPLDSARENPARLLMGGPAGLKNSSNFGFYVY MIM_RS21915 MMSGAMRSVMVVCLLGTLYGCTSMSESGYSSRMLPVTTPGAVGY GFIPQACLADDTPGSEARLPPGCANELNLAAMTVNKEDLHRGQEMGPAMVAPAVIAVE RYLGVRSDEEQVRQDELKRESRTAD MIM_RS00455 MLVSLTLSVLSGCASHPKLSAEEARLTRLTEDLSRRGDTASAVT LYERAVVSMPGNPDMLLGLGQAYLRNGDPKAASETFRKVYRLRDGDPEAVLGLGYAAL LEGNTERAYALISDAAPKLNTYAAFNLLGITATLTGNFAHAHEAFNTAEALDKDNLEI KSNQALAYALDNDFPNAIQKMANVMASPLAEPHHARRQVLILVLANEDQQAEQLLQGM PRKERRSLLGQARRIRDIGDPARRASAIGLIPTFATRQGAHA MIM_RS00460 MTTPVQAVGNTSYAQESSALAFEQKLNISKSSQILADYLKGKGK SAINSDELAKLANNSAGDVPADVSAAAAYMQRHPDVFTAIETHDVAGADGLSGFWNFE WAADGGLNGTTVDSIASMQDAFDRAIQLSSKVTEITTDLKASLDSTKQRPQN MIM_RS21920 MTVTPQIGSWEGFYADRTERLNAATDPATRAHFEAELNLARITM DAMGLQVPDAGNGAASQDGQPLPVDQEAGDWEKFPADKSQATQSRAEIHDTPDTPSGS DQLSQSLNDALLPYRDDILAASEATGVPPNLLAAVIWDESKGIAGAGSTNGENGLTDT GLMQLNPDTFAALKEQHPQLLTGDASDPRNNIMAGALYLKQNHDQFGSWDLALRAYNS GPLSVDPADPGISTSGFGTRNYVEKVNFYMNQLDNGTAMSDGYPGGNQLY MIM_RS00470 MSKITAPNNNAAENAGGGSSASENNWNNAVFISNKQTNNKSLSD EEKEKRSDEYAQKILDNYDDINTEGKYITLDEIKNYKKSHSDLDPKLNDALDFWSQDD AFKRLDTSKHGGDTDGDVSKNDLKAWIKDDSAGEMSSDLFSAKETKRDEDKVRKDAEN VGINWERPDDDKRTAEEIIDDSPLLKNLGNQSDVKDKLKERVGDYEKGSADERANAAY RAAQVLDHVVSFDENGKRLAGKEVTNDSIDGFTSSGEAKHGTEAGRLQDFGKYGFTSL NGKLQNDSKPVQDDKDVREQAEKLGIKWERPEGDDRSAQDIIDDSPLLKKLGNQSGVK DMLKERVGDFDKDANAAYRAAQVLDHIEKFDKNGDRQVGGDVGNQTINGFTNSGEAKP DTEAARLQDFGKEGFSALKGKLDDFKGAGTDKEERKKAEDLGIKWERPEDDKRSAKEI VEGDPLLQELGNHSGVGDLLKERVGDYTKDADAAYRASQILRHVEQFDSNGKEITGDS VGNGEINGFTSSREAKPDTEAGRLQDFGKYGFSALKGNLEDVSAISGNADDIKSYKDY LKANPDADEGSKQVAKYAAILESNYDKIREKAGSGKYLDADAIKRYVDNTKDLSDEAK DALNFWSQPGAFEMIDNSKHSLAQRPDGDLSKGDVQTWLSKSAPKDATSLMTFLSESA GRGAFADIDTSKIGKDVFENPDKYSSEEKAAVLQELQQAQQLMVDGASAGMWKDDYSK VSIANRSRTHPDKDKVFDDLNAHIKILQDDKEVTKVLSEKTAGALTELVGDNGGLKKA LQDTYDKEIKTGKALDASWDANMKDGSVEQQAVLAEFVGTAQSYQNVLGIEKAEDIQG AVKDSEHYGQFKDFYEKSLVSGDRLNDLLKDNSFDKAASVFNMEVALYNSALDPEFTG KFDDKLNDNFSNIAQENVFKDASFDDIKEVFGVDGGDKLDEEKVKDYIEQISKENPEL FLNENGTVATPDQVLTGFRGSWDFFRQGTKTLDKTGKLEDLDPNKGAKGAYDKGVLHG VSGLFMAGITIARGIGTNGKPTEKDIVNITGGSIQTATVLTEGGMKGYQQYLNKAIKN GEDAIKSGNITFQELEDKIKNNVKDMKGYKSLAKNFEEGAKGLGGMAGAVLGAYGIFD GVKSIRNGDKVAGGLSITSGSVGAMAGLASMVEGAWGLGNALLPNLISKVPNMVPVLA GAMGWAAAGIGVLVSLLPGLIEEGKHQKRSDDFGELLGTYLTKYEIDGVTDGGFRDIP DEEWPGYEDGPTIGS MIM_RS00480 MAEMIGWEHYRTLLAVLREGSLSGAARALGMTQPTVGRHVSLLE AAFGQKLFTRTQAGLQPTEAALSLQGYAQTMHDTAAALEREASGHGHHIQGVVRISAS EIIGVEVLPEALVQLRQTYPLLKIELVPTNRLQDLLQREVDIALRMTPPRQQALVARR VGAIEIGLFARADYLARHGTPVTPEELSRHVLIGFDQDTPFLRAARTQFPFWRREVFA LRSDSDLAQLAMVRAGAGIGVCQTALARRDSALVRVLPDIFAFPLETWVTMHGDLRGS RRCKVVFDALVAFLEAYAQGRDSHA MIM_RS00485 MEKSSITLREIEVFLAVVDAGSLSAASQRLSQPVSTTSRFLARL EEKLQTTLLRRTTRRLDLTDEGCSFLKDARGIINSIESAQERVLLRQGQPSGPLRVDA ATPFVLHTLIPLLADYRKHYPLVDLTLTSNEDFVDLLESRVDLALRIGEMKDSSLNSR LICRSRIRMLASPQYLLEHGTPSSAADLRQHTLLGFTRDSINSWPLHNEDGETLYIEP DIAASSGEVLRQLSLSGHGIVCLADFMTVQDLASGNLVEVLAQQNTGDTRPIQAVYYR QTALAARVSSFIDHLTQAIRKQAWAIT MIM_RS00490 MLSAAPSNSNQATVPLIALAIGAFGIGTTEFGPMGMLPAIADGI DVSIPTAGMLVSAYAIGVMIGAPIMTLLLARRPRRQALIMLMAIFTLGNLLSAFAPDF TSLVGARFITSLNHGAFFGVGSLVAASLVPREKQASAVAAMFLGLTIANIGGVPAAAW LGTTIGWRQSFASISVLGVLAMLALRFALPEGEKGQVPDVRAELRVLTRPNVLMAMTT TVLGAGAMFTLYTYINPTLETLTHASPPFITGMLVLVGIGFTIGNTLGGKLADRSLQG ALVLFLSLIAISMLAFPFLAASQVGVALALVVWGTATFAIVPPLQTWVMREATGAPGL ASSVNVGAFNLGNAVGAALGGLVLRSGLGYTVVPVAGAALALLSLLLVLLQFRSVRRQ AAIATQTC MIM_RS00495 MNTSTKSGHSASAAALASSSYSFLKAVFALGVGGFSIGIGEFVI MGLLPDAATDLGVSIPTAGHLISAYAIGVVVGAPVLAVLSARVPRRTLLMLLMVIYAL GNFVSAIAPAYESMMLMRFFSGLPHGTYFGVAALVAAHLAPPGKRAQAVGLVMLGLTT ATLVGVPIAAGLGQWLGWRAAFVLVGAIALIAVGLVRLWIPNLRASEGASPWRELSAL KRKQVWLTLGIAGIGFGGMFSVFSYVKPTLMNLAGLPEAGVPIVLALFGLGMVAGNLV GSRLADRALMPTIGGLLVWSGIVLTAFCFTSHNIWLGSFNVLLVGTAVALGPALQIRL MDVAGEAQTLAAALNHSAFNMANALGAWLGGITISMGLGWESTGWVGTLLALCGLLIF FWAVVDMRKGAVASAKQ MIM_RS00500 MATPSAFTTIKRAGRGPVSFIGRLARRVSVGSLIGVTPIAYAQT DVTQLTPILVSGVDNGLASPYAGGQVARGGGLGVLGNADVMTTPFNTTNYTEQLIRDT QARTLADVVANEASVRNTTSTGSFSEDFQIRGFTVNSSDVGLNGLYGLTSGSRIPTAM MERVEVLKGPGTLMYGISPNGTIGGNINIVTKRAEDEPLTRLTTSYESKSVLGAHLDM GRRFGEDKQWGIRFNGVYKNGKTSMDDGSQKLGLGALALDYRSDKLRWTLDAYSQRET VQNFRAQNGFHPDISYIPSAPSGHRAIYDGADLLIRDSAVATRLEYDISDNLMVYGAV GYRYGATEQDFPSARTIDGVDESGNFRVTNSWYDAYSRNKTGEIGARAKFNTFGIKHL LTVSGSVLKSEAGSFYLSDPTGRVVDSNIYDPVPINPMTGSREASTKTSENTLTSLSL TDTLSFADDRILVTGGLRRQQVKTENFNNHGEVTSSYNESAVSPLFGIVVKPVDNVSI YGNFTSGLTTGGTAPMTAANAGEVFPPYKSNQYEAGIKVDWGRVITTLSAFQIDRPNA ITDPNTNVYSFDGEQRNRGIELSAVGELQPGLRLMASATFYNAKQRRTQGGVNDGNRA SGVPKSAFNLAADWDVPWVPDLSVGGRMIHTSSMPYDAENKLTLPSWTRFDLSARYKT KVMGRPVTLRASVENVLNKRYWLSSSAKLTVVTAAAPRTFLLSAEMEF MIM_RS00505 MTPDHPIFRRWPAQHPDLLQLFSAPTPNGVKVGIMLEETGLAYE AHRIDIMANESHDPAFLALNPNGKIPAIYDPDGPGGKPLALFESGAILLYLADKTGKL ISADPATRYETIQWVMWQMGGVGPMFGQLGFFHKFAGKAYEDKRPLERYVTESARLLD VLDKQLAGREWVMGADYTIADISLLGWVRNLIGFYEAREIVGFDRFKHVQAWLDRGLA RPAVQIGLQVTAA MIM_RS00510 MGKASHSRFDCSPGCAVEAAINLIDGRWKSIILFHLLSGTLRFS EIRRTLASITPRMLTNQLRELEQDGLITRKVYPQVPPKVEYSLSDLGMSMAPILHALK AWGDAHLNLYGKPHLKDDRSSDTEHFNRGQSET MIM_RS00515 MKAIGYKSACTLDRQDALVDFNPERPRAVGRDMLVEIQAISVNP VDTKIRKNVAPEAGETKVLGWDAVGKIVEIGDAVTLFKPGDEVFYAGSLVRPGANSQF HLVDERIVGRKPKTLNHAEAAAMPLTAITAWEMLFDRLDIRKPVPGAANAVVIIGGAG GVGSIAIQLVRALTDLTVIATASRPETQAWVQGLGAHHVVDHSKPIASQIAALNIGSP AFVFSTTQTLQHLADIVELIAPQGRFGLIDDPAGIDIMSFKRKSVSIHWEFMFTRSMF ETPDMSQQGILLNEVAGLIDQGKIRTTVTKKLSPINADNLMKAHQLIESGKSKGKLVL EGF MIM_RS00520 MITLKNVTLRRGTKVLLDKTSVTLNPGEKVGLVGRNGAGKSSLF AVLNGTLHEDSGEFSIPSQWRMSQVAQDMPETEQSATDFVVEGDTVLLAAQDEVTAAE ASDDGMRMAHAYMALHDAGAHDAAARAQALILGLGFGVAELDRPVNSFSGGWRMRLQL ARALMCPSDLLLLDEPTNHLDLDALVWLEAWLKNYAGTLVVISHDREFLDAITNVTLH IDHGTLVRYGGNYSKFEDMRAEQMLLQQAAHARQQEKVAHLQKFIDRFKAKASKAKQA QSRVKALERMEKIAPVLADAEFQFEFKAPLSMPNPMLVLTNGRFGYPPADDAPVDAKP TVIVQNINRTVLAGQRIGILGANGQGKSTLVKTIAGALAPIGGEIIPGKGLNIGYFSQ QELDVLRPAETPLEHMIRLVRDTPASMRPSAMDCREQGLRNFLGTFNFSGDMVKQAVG SMSGGEKARLVLCMIVWQRPNFLLLDEPTNHLDLATREALSVALNEFEGSAMLVSHDR ALLRSVCDEFWLVSRGGMTDFDGDLDDYQIYLLEEAKRQREAASGRQVA MIM_RS00525 MNFDLPITHPVYPPTTGGSGWPTQYEEITPMCDAVLVDIKFYTN LDGKSWNDEDKYLANAGTGTKEMRYTMEPGEYGKFIDPYNTKRQAKNPEQQDIPEHLM VTYPSVSPPVTYVNAPAIDTSAMAPGKETTCRPVRH MIM_RS00530 MTLNFSGDNSSMVQKIRPPKPLTEEVHGILLEMICNGTLKEGER ITQDGLAEMLGVSRQPITQSIAQLKSQGFISDYDKKGVMVSYIDATSLIQMYHVRAAL DSLACREISRKFVDQSYGDADKQEGINLLTEGRLAYQQQDISRSVECDMNFHQFLYHK SGNPVILESTKILWFHMRRLMRAILTNNVRPPERVWDEHEAIWHAAYTGSIALAEELA KKHAEEAAEKLARIFLEQNKGETSSISDDEIRYW MIM_RS00535 MKLTEEQLKTFDELGYLYLPGCFNAEEVARLKAAAQDVFSEQRE EIWREKNGVPRTAFACHKYNQTCNALVGDHRLVEPLEQLFGEQVYVHQFKINAKAAFT GEVWQWHQDFPTWHKDDGMPEPRAMNIAIFLDDVMPINGPLMIVPKSHKHGALQSSHD QQTTSYPLWTLDNDTVTKLVENNGIVTPTGKAGGVLMFHANIVHGSAGNITPYPRRIV YLTLSALSNAITNPTRPEFIAHTDFTPVTSASDGMDQQCLAALSS MIM_RS00540 MSQTRRTLLKASLALGAASALPFPMLARAGEKISMKCGTNVPMT HPIYLRLQEASEKILKDSDGRVQFKIFPNGQLGGDTEMLSQARSGSLEFVTMPGVILA NLTKMASLNSVGFAFDNYPEVWKAMDGELGQFIREHISKANLMVFEKVWDNGFRHITS RSPIQTVEDLQGVKIRVPVSAMLLSIFKSIGSSPTSLNFSELYSALQTHIMDAQENPL PIIYTGKLYEVQPNLALTGHAWDGYWLLSSKRIWDKLPQDVQQILSVHLNAAAVEQRK DSEKLGLDLQAELTTKGMNTTTPDKESFRSKLAQGGYYAEWKKKMGDEAWDILEKSTG RKI MIM_RS00545 MKKRMEGKVVIVTGAGSVGDGWGNGKAAAVLYAREGAHVLAVDL NENAARDTQQIISDEGGICHSVVGDVTEVKDIETIVSTCLDKFGRIDVLHNNVGIAQV GGCVETSIDSWNRIININQTSIFLMSKYILPHMERQNGGSIVNIASIAGLRWLGFPYV GYSASKAAVMSITKDIAMQYASKGIRANCVLPGFMDTPMIREPLKSSYGGDVDTMRKK RDAQCPMQTMGDAWDVAYASLFLASDEAKYITGVDLIVDGGLTLKCV MIM_RS00550 MEMLLKSEVRTLVQGQEAEKQNLLSQSLVNLNSILMKLVALVAV LLLCVESIILFVGVISRYVFHSPIFWSDEAATLIFIWLTMFGAVLALDRNEHMRLTAI VSKLSDSKKALFETYSMLIVLLFLSCILVPSYEHVIEQMFITSPALEIPDGYRTLAIF TGVVLMMVSAMARLSKIANARQLLLCLLFITAVGSLFWWLTPVWQAMGNYNLLVFFVL LIGFSVLGAVPIAFSFGIGTLSYILSVTDSPLMIVVGRLDEGVSHAVLLAIPLFVFLG ALLQISGMAKNMINFMASLLGHVRGGLQFVLLGAMFLVSGISGSKVADMAAVAPALFP EMKKRGENPEELAALLSSTGAMTETIPPSLVLITIGAVCGVSISALFIGGVLPAVVCT LAIAFMCWLRARKTDTSAIQKQSAKVIGKTFLIALPALLLPFLIRACVVEGVATATEV ATIGIFYIIVVGFIAHLFQRQIEFKRIYGMLVEAVSLSGAILLIIGMATAMAWALTQS GFAATLIELMTNIPGGKIGFLLVSIVMFILLGSLLEGIPAIVLFGPLLFPAAHALGIN DVHYSMVVILAMGLGLFAPPLGVGFYAACAISKVSPDGVFNKVWGYLLVLLLMLFVIA FVPWISTVFL MIM_RS00555 MSKASISGWAHSKFGKLDAIDSETLLAEVAREAISHAGLEPEEI DSIHIGHFNGGFLYQDFPSSLVFNAIPELRFKPAVRLENACATGSAAIHSGLDAIGAG RSRHALVIGYEKMTELSTPEVGKVLLKCSYAREEADVSGGFAGIFGNIIAHHYFERYG DNRDALAAIAAKNHKNGVSNPYAHLRKDLGFDFCRQESAKNPLVAGQLKRSDCSMVSD GAAAIVISRSDQVPNQQNKVDFMATSQVNDYLPLGKRDPIEFMGARLAWQKALADTGM RLSDLSFVETHDCFTIAELIEYEAMGLAKPGEGARVILDGISHKDGRLPVNPSGGLKS KGHPIGATGVSMHVMAAMQLSGQAGQMQLNRADTAGVFNMGGAAVANYVSILRKN MIM_RS00560 MLQYVKNLGSLLKDISRRFPQAPGFIKGSEQFSWSQINARVDAM AHALRAQGVGKGDRILIHSTNNIQLFESAWVAFRLGAIWVPTNFRITEPEIGYLAKSS RASVLIYDQGFACHADAARNASDTLQHIIALNDPRDGELDYENLLNQYRVYGVFDDVE VMYEDPLWFFYTSGTTGYPKAGVLSHGQMAFVINNHLADLMPGIDHRSSSLVVAPLSH GAGIHALVNTAKGAASILPVSQKLDCEEIWSLVEKYNIDNMFTVPTIVKMLTEHPAVD RYDHRSLKYVIYAGAPMYRADQKHALNKLGKVLVQYYGLGEVTGNITVLPADCHEPDD ANNHKAGTCGFPRTGMEVAILDDTGKRLQALETGEICVRGPAVFMGYDNNPEANEKAF KFGWFHTGDIGHVDEDGYLYITGRQSDMYISGGSNVYPREIEEILLTHVAISEVAVLG VPDEKWGEIGVAVVVSKPEASVNEQDISAFITGKLARYKHPAKYYFWPELPKSGYGKI VKKDIKARLQAN MIM_RS00565 MLTSRVQSEIDNHTQQYHLKIDEGESIYEGLRARFESRLIQFCS LFFLPANLQSAFYRTASPDATGKTIVRYGQAVVIENGLLLSANAVFGQNQSGQALIHC HGCLVDANGRMHGGHLDLQRTIAGRRGVQAWVTATKFTGFRSQLDKTSNLFVFHPVRL AE MIM_RS00570 MTDKIECGRVGRIVTARLMCNDDLVTGIEDACVKYGINRAFVKS GLGSLFRTSLMQNEGAHAKTIEVSGFAVEVLSLNGEIELAPATQTPVARLYGIVADNQ GTVFAGRFVKSEAPVCVTVEVVIQEWIASDERYG MIM_RS00575 MNSFPFSETDPIVYAGPPPASSDVVIIGGGIIGITTALYLVRQK ISVTVLEKGRVAAEQSSRNWGWIRKQGRDEDELPIMIEAARLWPQLAQECGKDIGLRQ TGVTYLASADKDMADFEAFVRIAAAHDMDTRMLGAGDVSELIKGISGTFKGGMITPSD MRAEPSLAVPALARLAHSKGVTIVEHCAARALETAAGRTAGVWSEQGYIASPVVLLAG GAWSSLFLKRHGITIPQLSVKATVVATQPMPDFHAGAAAGKHIAFRRRLDGGYTLAPA ASHRLYLGPDAFRHAAKYVPALKADPLGTRYGLRAPAGYPDSWATPRTWTPEVASPFE HMRVLNPAPDASDLRSIERGFKRMFPQLEPVRFQKRWAGMIDLMPDTVPVVDWVPSHP GLLVATGMSGHGFGIGPGMGRVISDMIQGNAVGHNLHRFRFSRFTDGSPIRLGTSL MIM_RS00580 MTYLLALDQGTSSSRSILFDAKGHSVAQAQMELSQIYPRPGWVE HDPREIWRTQLATAREAMAKAGIAAAEVRAVGITNQRETTVLWNRATGEPVHHAIVWQ DRRAEPACAQLRDQGHAVTIQAKTGLLVDAYFSGTKLQWLLDNVPGARTAAERGELAF GTVDSWLIWQLTAGRRHVTDVSNASRTMLFNVHTNQWDEELLALLNIPRSLLPEVQAS SSDFGLTDAQVFGSAITIGGVAGDQQSALFGQACFSAGMAKNTYGTGCFMLMHTGNTF QASHNGLLTTSAAQPQATPEYALEGSVFVGGAVVQWLRDGLRAIEHSGQVQQLAESVP DSGGVMMVPAFTGLGAPYWQPDARGTITGITRGTTLAHIARAALESIAYQSAALLTAM SRDATEAGGRPVSELRVDGGACVNDLLMQFQADLLGIPVVRPACVETTALGAAYLAGL SSGVYADTEEISHLWRAERRFHPTQSRERADALMQQWEHAVRQATTMTAA MIM_RS00585 MSVNPRQDQLVDEVRRQGSMSVEALADRFGVTLQTVRRDVKQLA ESGILTRYHGGVRIPESTTENIAYQQRRMLNEESKRAIAREISRAIPEGCSLILNIGT TTEAIARELLRHRGLRVITNNLNVAAILADNPDCEVIVSGGVVRSLDHGIVGETAVDF IRQFRVDIGVIGISGIEQDGTLRDFDLREVKVAQAIIAQSREVWLAADHSKFHRQAMV ELARIDQVHRLFTNMPPPEPFPALLKEARVQCIVAE MIM_RS00590 MTSTAEQLIEWKQRFDRDGYVVLENLIAPEHIAQLKDALLSVEE RHGFGYAKTSFEGLKTVRINNLLAYDEAFWGVPLQPSVLALAESLLDRELLLSSLCSL TLGPGQTAQPLHEDTQQLALPRPRPPLAVNAIWALSDFTEANGATRIVPGSHKLDHAP AYGTEVETVAATMPAGSVMLFDSALWHKGGANTSNERRYALSCYYCAGWMRQQENLLL GIPRETAAAFPRRLQELCGYGIYKGQYGHIENRDPIQLLGQQGRPTVWEATDRKLQRR QAPR MIM_RS00595 MTASDALQGMPASVVHLLHAAAQDYPRHWALRFEGQRLCYADYA GIVGALADELRSRVAPGERVALLLQNSLDLAIATFAIHALRGQVVALNPGYGERELQA MLADADVCFLIADDSVRIDLTTICAALPAEHILRTGSSGCSFLRLQDSQKPLPQDLPG HADLASLQYTGGTTGQPKGVNISHGHLAANLLQREARLPTRRGQEVVLCPMPLFHVSA VAMCLHLSAFAASELVIQRRFDARATVHALAHEGVTLMSGAPAIFHDLLLQPDIEQVK AGDLRACYSGAAALPERTLREFERLTGCPIYEGYGQSEAGPCLTYNPVQGVRKPGSVG LPVPGSELRIVDEQGNDVPTGAVGEICVRGPQVMSGYRNRPDLTRQVLRDGWLYTGDL ASQDEDGYVFIHGRCQETINVGGFKVYPLEVEQTLRECASVGDCAVFGVPDERLGQVI HAWVTPAAGCVPEEQALRDYCASQLAHYKTPRRIAITNALPRTAVGKLARKELKPVGA ESAPRSAT MIM_RS21925 MLKSIDMDKLKHQLAEDGYAVIENVLDQEQLTRIREVVARRMAH ELANPLPLDDEKHEDDDDIRAYYKEHYTVSDAEAERMVARIHQYRRDNAGARWPMPIN KVSKNFLHLPTLFDNDKSQRVWNLLNKEPELIPLIEHPVVLPMVQHMMGEDCNLHDFQ STSIGPQTGGGAWHVDAPLGQIAEPLPEFPLTLQNVWMLDDFTAENGATRVMPGSHKL RKSPPWGSDSLEGEVTLTAPAGSVAIWLSNTWHRSGSNQTDAQRRAILCNYNVSWLRF FSDFTSTLPAEVIPTLSERAKYLLGFGARAPLVR MIM_RS00605 MQINNVNIDLKRPDLAGGQIHGYCAPSFEPVLDAFVANFDDDVE HGASLAVERNGETVIDVWGGFADLSAQRPWQHDTIGVVFSNTKAATALCAHILADSGA LNIDQRVAHYWPAFAAQGKGEVTIRMLLDHSAGVPALRAMLPDGAAFDWTEMITRLAN EAPYWKPGTSVGYHGLTFGWLVGEVVRRVAGQSLGQFFQAHVATPLEMDFWIGLPESE ESRVATIVPARPAEQPRNAFEHAIATAPDSISNLYFRNTGGWRPSGFNSRQGHAAELG AAGGITNARSLARMYSALSLGGERNGVRVIGRTTLERAAEVSSATNEDLCLLVPTRFA AGFMRSMDNRARGLDSASFGRDAFGHVGAGGSLGFASPQHRIGFGYTMNHMGSGVLLN TRADRLTQALYGVLNQP MIM_RS00610 MTIRKNVVVRACLVGALAVLGLNTAHAADPVKIGYLIPLSGSAA ASIGRDMSRATHLAVKHINESGGIQSLGGAQLKLLESDTRGDPKVALTETERLITREK VPVLLGAFQSGTTFPATAVAEKYSVPWVVDLAGKGEITERGYKYVFRPTQVPAAANAE GMADFVAYASKATGKPAKTVAMVYENTDWGQDMANTLRKKFKEQGVDIVLDEGYPPNS PDLRPLVLKIKGRKPDVVTVTSYAADAVQLHKLLAQMRVSAMGYIGSAGGQIDSGFLP QVGKGAANGVFTTNGWAGYETAVTTPFAKKFWDEFTGTYKAEPNELSVSAYGAVWVIK DALERAGKADPQAIRDALATTSMKGTDLNKLLGYDIVIDEKGQNPLKKFVVQQITPEG EYRTIWPENLASKTYKMVWPAANY MIM_RS00615 MSIYLQALLGGIATGAVYGLIALGLSLQFGVMKIINFAHGSFLM VAMYVTYYSCTYAGLHPLAAAPIAAVVLFIVGWACQRFFIDGIYRKESAREPIGVLIF TTGLWIFLDYFALALLGPDSRMIDSPWANEVVIMGDLIFTYPQVAGFILAALVTVGLV AFMRYTALGRAIRATGQDREAASVLGIDSKRVYQLSFAIGLGVLGIAGALLLPMYPVN PFVGDIFGMRAFVIVVLGGIGSIAGAFWGGIIVGILESVGSQLIPITYAEALIFLLFL GVMYFRPSGLFGVEKE MIM_RS00620 MMAAQGSKHSLLWGALIAVLAIAPLLTGNQYLLSIAVMTLLYAY LALSWNVLGGIAGQLSLGHSAYFGIGAYASTWFFVHMGISPWIGMWIGAALAIVAAVI VGLSSLHLRGAYFALATIASAMVLKTMVENADDLLGGPRGMEVTLLRDAPWQFQSTGK EFYYVIALVFVVLALVINWRILRSRYGYYLAAVRNDQDAALALGVPTTRYKLYAAMIS AAMTAIGGTFYAQFVLFVSPDKVFGANLGVVIAVVCIIGGRGTLWGPVLGALLLLPSE ELARSFSGGLLGADMMLYGLLLMLVIWYEPRGLVAIFQRWFKRPVRQGVTK MIM_RS00625 MTSTTLLEFDGVGKSFGGLRAIDNVSFTVSEGEIVGLIGPNGAG KTTLFSMASGFLKPTDGQIRFQGKRVDGFDPPHICRAGLVRTFQIVKPFGEMTVIENA MVGAFLHHAKPQEAREVAARVLQQVGLGARQEQVAKTLTLSGRKRLEVAKALATHPKL LLLDEVMAGLTSVETAEMLELIQSLRASGVSILVIEHNMKAVMSLSNKIVVIQYGKKI AEGTPVEVANDPQVISAYLGGEHVA MIM_RS00630 MLLEVKDVSSGYGDVQVLSGITINVDAGEIVSIVGANGAGKTTL IRAIMGTLPVTKGQIQFDGKRIDGLAPHLIARAGIAQVMEGRRLFGHMEVEDNLLVGG DILNDKAQQRQNLDWIYSMFPRLKERRRQLARSFSGGEQQMLAIGRALMTSPKLLLLD EPSIGLAPIMVKDIFEKLPLIQARGVTILLVEQDVHRSLSMSGRGYVMEHGEIVLAGT GQELLSNERLRQAYMGI MIM_RS00635 MTVDSSTLPLITGRAQLLARLAEPKTYDLAVIGGGATGLGVALD AAVRGFSVVLLESHDFAKGTSSRATKLVHGGVRYLAQGNISLVRDALHERATLLHNAP HIAQPLAFVMPSYKLLDTPFYGIGLKVYDALAGKAGLGHTQFLNTRQTQALLPTVRSQ GLKGGVKYWDGQFDDARLALALARTAARHGALLLNYCAVTKLIHDKGRVAGLICEDGD SGRNYEVRARCVVNAGGPWVDALREMDGAQAGRTTRPIVAPSQGVHVVVDRAFLPGDH ALLVPKTADGRVLFAVPWLGKVILGTTDTPRHDLAREPTPFQAELDFILGEAGHYLSR RPTMADVRSMWVGLRPLVRPQDDDGENTKGISREHTVLTSASGMVTVTGGKWTTYRAM AEDVLQACFAAGALPARADGVTVNLPLVGAPQDGITQHNMHMPQGLHSYGSEAAVVSA LPGADHWLTDGLSEAMVRFAVAHEYAITVEDILARRSRLLFLDARQAAAVAPQVASIL QDELQRNDVGLPAFLTLAQQYTGQTLDCVDGPVLSAQAQKATHGQS MIM_RS00640 MTPLLHNRIAIVTGAGRGLGRSHALELARHGARVLVNDIGADQP GSHAQDVVQAITSAGGHAIAHGADVTDPAQVQAMVEQVVAQWGRVDILVNNAGILRDK SFAKMTLEDFRLVMEVHVMGSVHCTHAVWNRMRDQHYGRIVMTTSSSGLYGNFGQANY GAAKMALVGLMQTLAQEGERYGVHVNCLAPTAATAMTEGLLEQETLDMLSPASVSPAL VALVADNAPNRTILMAGAGSVEQANITMTNGIYLPEDERSANVLLDRLEAISDRQNEM VPATGFDQLRHEVAKAQAYRQTRGPDTRTA MIM_RS00650 MRLFSSWISSSGSTSDSAVTSPGRRYFLAAGCACCATYAFGISP AAAQSPEVQRHLAAARTAAGSDLKQLLKLGDVALPASATKGPSIEELMAQPAPPPGQA FDNLYFVGGKWVSAWAITTSDGIILIDAMNNAEDAEKVIVAGMHKLGLDPAQIKMVVI THGHGDHYGGANYLVEHFHPKIIASEADWTMMETKLEFEVPSWGRPPKRDVVVEDGAT LTLGDTTVDIVMTPGHTMGTISVAFDVKSGSETHRAFLWGGTSFNFGKQPNRMERLQA YIDATARVRDMAGEQNIDVFLSNHSGMDLADVKLAQMKKGGPNPFVMGSDTTQRALTV MHECALATKAAWSA MIM_RS00655 MSKAAIVAGVVIAAGAVWTGSSWYLGSQIQERLDDYLVKTNDYL KKEGEGRFSMEAVSYDKGVFSSDAVYKVKLHFPEVPKVPSEVLLKSHINHGPIVLSAL LRGEFITGYATAVTTLVNDDKTKPLYDAAGGKEPYVQNDRIDFSGNVDSVATLAALDA KFSKGSFKSEPMTFTASTDKDLSKFDMTFDVPGAIQFDLANNVVFSLNKITGQASSSK TASGLYVGPGQMTISQFDIKPAKDKPVSISNFKVDAKTEEVDNFLNIHVGYDLGKLLV NNVDFGAIQLNLAFDHLDRQVIEKFKEKTSTLNITPDNAQQNGEQIEKLYAELFSDLV RQKLQVRVSPFTWKTASAEGSLEASAHFDGASQPEGQTVEDNQFLTESLKKVAFGLKA DTALVRDVVSAVTQVSGGADKATADKQGDELATMAGFMAQGSGLGKVENGVINSNIEY DSASKPEEQITVNGEKMSVQELAGKVGSAASGAPH MIM_RS00660 MTQRDVVFPPGRQALYDRNHYSPAIRSNGFLFVSGQVGSREDGS PEPDLEAQVRRAFDNLNSILKAAGSRFDDVIDVTVFMVDPQSTFETIWKVVPEYWGQA PYPTLTAVGVTWLYGFDFEIKVIARQTEQANQ MIM_RS00665 MDRFDAMWAFARVVETGSFTKAAETLHMSRTTVTQLVQQLEARL RVRLLNRTTRKVNVTADGAAYYERVIRLLADMDDAETSLSSAAALPRGRLRVDVPSPF ARMVLVPALPAFHARYPDIQLDMGVSDRVVDLIDNNVDCVVRGGELTDLSLMARRIGD LQLGIYATPDYLARAGVPQHPQELNTPAHHVVAFLWARSGKALSPVMRYRDESVTIQG RYILSVDDGNAYLAAGLAGLGVLWLPDYMSREYVATGRLIPLLPEWTLEPMPMYIAYP PNRHVSAKLRVFIEWVVELMKEHAPVARRRIE MIM_RS00670 MTNGIILGAPSSTPAAVVGGGTMGADVAVVLLRARCPVHLIENR EEAHQDIRARIAANLERINCADNLELLTIVATLEAVDWQKIQLVVECIPEKLDYKRDL FKSLSELARPDTVLASNSSSFPISQIAEGLPRQERMIGLHFFMPAHIVPLVEVVLGPD TDPQIADSLATLMRRCGSVPIMVRKDVPGFVANRLQHALGREAFSMIQEGIVTPEDID NAVRFGFGFRYIAAGPIMQKEHAGLDVHAAAAATIYPSLSNVSEPPPVLADKPGNGQL GMKTGQGFYDWSPEQIAAERARYDQALRSGLNILAADLPEIQP MIM_RS00675 MSKTPYYAPHGGHPAQTELLTDRAMFTEAYAVIPKGVMRDIVTS CLPFWEKTRLWVLARPLSGFAETFSQYIVEVAPGGGSSHPEQDPQAEGVLFVVEGQLS LTLAGTEYTLTPGGYAFIPPASEWTVDNNSDTHARFHWIRKRYQAVEGIAYPAAFVTN EQQVAPVAMPDTDGRWATTRFVDPTDMGHDMHVNIVTFLPGGVIPFAETHVMEHGLYV LEGKAVYRLNQDWVEVEAGDFMWLRAFCPQACYAGGPDKFRYLLYKDVNRHMSLTLGA PR MIM_RS00680 MTLRSLTIAPLTRDAFAPFGQVIEASDAAQHFTINDGNTERYHD LAHIEPGPQGKTIVSIFRGLPRNLPFEISMMERHPLASQAFMPLSSRPYLVVVAAAGE APTVEQLHVFLCQGRQGVNYAAGVWHHPLLALEATSDFLVIDRSGPGHNCDIATLTPG GLIGPLP MIM_RS00685 MRILCRSLFCQVVVALILGAIIGVFWPHFGEQLKPLGDGFIKLI KMIIAPLVFCVVVHGICGSSDLKKVGRVGAKALLYFEIVTTFALALGIGLAYLFAPGH GMNVDTSSLDASALAPYATQAEHATDTISFLMRIIPKTFTDAFASGDILQVLLIAILF GAAIAVMGERGRPVAALVSQLSHVFFKIIGFIVRLAPLGVLGAVAFTVGKYGVGTLGQ LSMLVVLFYVTCAIFVVVILGLILRLAGFNIFKLLAYLREELLVVLGTASSDAVLPQV MHKLERMGVKSTTVGLVIPTGYSFNLDGFSIYLTLAAVFIAQATNTALSLTDLITILA ISMLTSKGAHGVPGSAIVILAATLSAVPAIPAIGLVLVLSVDWFMGIARALTNLIGNC VGTIVIGAWEGDIDRQQAHNTLNGPTGTNKD MIM_RS00690 MRTPIRSSDQSLAEQAFEAFRELLACGQIRSGQMISISELVEAT GLPLAPVREAVKRAAAAGLVSILPKRGVLVLEATAAALHAGFHLRYLFDQEGARLLAQ QAPDRELAHLRQEHELVLEQASEGAISAELQLKAMEVDWSLHAWLTGALNNPVALAVY EENRYRLSVMQHSRRPLPERIIPAMTEHLQIIDHIQAGAPELAVQAVRSHLQKTLRWW GIVDNDGQLFKTGR MIM_RS00695 MNPMHQPQDVPGAFQAAWNDHDMPALGALFHEDATFVNRFGHYV RGIEEIVGLHAPIHATIYSDSTLSNELIDMVAINSDAAIVHFWSRLAAGAAHPAGPHA VDTLILAVLTREGDAWRIKALENVTLTNPRTGENVLRDTPAV MIM_RS00700 MSNVFIVGGSGKVARHLARQLSTRGHTARSLHRDARQADELKAL GAVPVSGDLLQLDIAGLAQLMTGSDVVVFSAGAGGKGGAQMTNAIDGDGLELAVAAAR TAGIRRFILVSAFPEAGRGKVVSDTFENYMAVKKRADVHLAETDLDWVILRPGTLLDT PGTGNVRAGLAIPYGGVPREDVAATLLEIIENPEVNRIIIELTEGDTPVAQVVQRLAH S MIM_RS00705 MKTQMHDYDVVIVGGGLAGLSVALSLPGHMRIAVVSKLSLEITA SSKAQGGIAAVLDTDDHTDNHVRDTLVAGAGLCDMTSVSHIVQAAPAAIDWLRDHGVQ FTLAGPDRLHLTREAGHSHRRIVHAADSTGAAITSALQAQLRQRPNIDVFEHTLCIDA ITRNEGSTHEHPERPACQGIHALDTSSGKAFVLTARSTVLATGGLGQLFPYTTNPPTA TGDGQAIAWRAGCRIANLEFVQFHPTALALPNAPAFLISEAVRGEGGVLKNADQHRFM PDYDSRAELAPRDIVARAIHTEISKQHGQPVWLDISHRPADFILEHFPTIYHTCMSLG LDITRDAIPVAPAAHYSCGGVVTDLSGRTNVDRLYAVGEVADTGLHGANRLASNSLLE CIVIGRNCAQAIVERCEQAGATEPVTDTAPLVSNHQQMTRGAPDMPEEAALPDPAAIR QLMGKQMGIMRTTLGINQAIEQLAHWRGQWAQAPQLAWTPMLVDVRNRLDCAWLIAAC AAQRKESRGLHALADMPRMLTETGPSVIDSTLPPVTPPTPAPADVALG MIM_RS00710 MGLSCDVKQAWAKVPEPLGQQDRDACRQLIVDLLEQQQAALVAH YYVDAELQDLALQTGGCVGDSLEMARFGSDHPATTLVVAGVRFMGETAKILSPEKRVF MPDLDANCSLDLGCPPDAFAAFCDANPERTVVVYANTSAQVKARADWVVTSSIALEIV TYLHQRGEKLLWGPDRHLGQYIQQQTGADMLLWQGSCLVHNEFKAEELADLKKANPQA RVLVHPESPAAVVALADVVGSTSKLIQAVTELPATSFIVATDQGIVHEMQKQAPNKTF IAAPTAGESATCKSCAFCPWMAMNGLAGVANCLQTHSGEIMLDTALGSRALKPIKRML DFAAAYKKNIRASGDLSRDVHLFSQIGPA MIM_RS00715 MVSDVPVSNSAEGATEVVAVLIAITNLNARVLTVENGNILPYGP LSPVHSSLQAGVRQWVKNQTRQPMGYVEQLYTFVDTQRTRASGQPVLYVSYLGLVKEA DERLLEANASWQDWYRYFPWEDHRDGRPEWIARVFYPHLRKWVAFAGDDAVRQSRQRR VDLCWGQGEHAWIEDNTLYRYELLYEVGLIPESPLFDGSIPEAMTGKPMQHNHRRVLA MAMSRLRAKIRYRPVISELMPTEFTLLQLQKSIESLAGVELHKQNFRRLMQHQELLEP TGQSTVQERGRPAQLYRFKDTVLLESLLSGSKLPVSK MIM_RS00720 MNPALHELPDVVLLPFVQAALAEDMGRKGDITSQATIPAGKQGR LHVVARHPGVIAGMALARLAFAQIDPAIEVKIVCEDGAHVEAGQLLATVSGDIRSMLA AERTALNFLTHLSGIATQTADFVTRVAGTNARITCTRKTIPGLRVLQKYAVRVGGGWN HRMALDDAMLIKDNHIALAGDLRTAIRQAHAHAGHLTPVELEVDTLEQLAIALEEGVR LVLLDNMDCDTLSQAVAMCKGKAQTEASGGITPETVQAVAQTGVDYIAIGYLTHSSKA LDIGLDFQA MIM_RS00725 MNLRQLRYFTRIVETGNITRAAEQLYVAQPALGMQVRQLEQDYG VSLLVRHPRGVRTTRAGQLLYERACEILRLVDDTERLVKAQGRFEMEAVMLGLTNGFM NIVGRDLILLAKKELPGVKLGVVEERSIVLIDALERHEIDLALAYEVHERPGMIRVPL LEEEMLFVYAAENGNGRLHDTPVTFSEMAKHELVLPGLRDGVREQLFTAAKRRAIELN VILDVSSVSMMKRMVAAGDAAAVMPYGNAIEHIELGLLNGRRIADPALSRTLYLVRSI TRASFKHEEALIDLLAQIVQMYISRLGSLANRCTPAADLLSNTVATLRDEYRAPR MIM_RS00730 MNAMKQEGQGRIAVVLGGANGIGAATCQKLYEHGWKLVVADIDY QAAQDLAVGIGGESVRVDVLDAKSIAQTAQHIESEIGPVHALVNSAAIFQAQVRPEEL PLEVWERIVNSAYRGTYVSCVEFGKRMALRGEGAIVNISSMVGQRPNHGHAYYSAKAA VNMLTEGMAGEWGRSGVRVNAVSPGFVAVPRMLENIEKGERYAISPIDVSALGRLVEP REVAEVIAFLLSDRASAITGTNLPVDAGVLATNGWLVHGGVPAARKASAV MIM_RS00735 MSEVEQLRVDASELQQFTESIFVGAGLNAVHAGQVADSLVKSDL RGTHSHGVVRIPFLIDRLFKGGANADPQIKILREAPATALLDGDRALGAVTATHAMRI AMEKAQTAGVGFVAVNNSDFIGACAHYALMAMPKNMIGIAWTNGYPGMTPWGGSVNNI GNNPIAFAAPGLAQGPVVLDMALSVAAGGKVRLAAKNNRRIPTDWIIDRHGQPTDNPA DLAAGGALLPLGYKGYGLAVFGEILCGVLTGSRILSEIPAWFVDTQRDIGNGHIHMAI DISSFIEPEAFKHRVDEMAMMLKNTPLLTGMHEILLPGERAWRVQQEQLSTGIRLSAS VWKDLLELADRLNIFAPTTQQ MIM_RS00740 MLTTQDLTLAHLTISATPAQTIEAAAAAGFGATGIRICGRRPGD AFATPVLNNPSTISALRNQATELGVRLSNVSGYQFYPETSIDQVKPVIDATAELGVPI LVANSFDPDESRFMDTFASYCELAEKAGIRVALEFLPYSGVRTLEAAWRVVQVSSCNQ AGLLLDALHLARSGATPEHIRLVPAERIVFAQLCDANPFSGAMTDDALLLEARGARLP AGEGVLPLFQFLDELPVNCEIEYEVARQDMRGKTPTEKARVAAADAASFMARYHARQH GMEVL MIM_RS00745 MPSSTSGLRIGVIGCGMISADHLAAWSNCRNAVVAAVCDSSVER ARERAAQFAIAQVYDSPEIMFEQEKLDAVDIITPRETHAALVRMAARYGVHALCEKPL CPTYDEALALVQEIGSSIRVMVNENWRYRAYFKKIGEWIDAGRLGTITQARIALWRSS MLPRTGDGRIHAFTRQPFLQNEQRVLIAESLIHEIDVVRSLFGEMSLIACCTARACPA LQGEDSATLLLRSKSGFPVTIDGVMTAAGHDTRAPDRLEIAGTRCSVILDNAVLRLIG EEQDTITYDESEIRQGCFNASIQHFADRLLDQSPFWTSARDQLASLQLMEQAYRSAGQ PAVLTNE MIM_RS00750 MALAGEALIAIWNDIAPEMREDFFEWHPREHMVERLGIPGFIRG RRYIAVDAQVEFLTLYEVANADVLVSDVYKTRLTNPTPWSTKTLPAFRNNVRGGCQIH YSQGYAMGGFIKTIRITTGNDSKASFIKHIVNNMLPGLIDLPRITGVHLVENDAALTG GNSGNQRGRVIQLPDLILMVEGSDEIGVSDACAQHLPEDALLRAGADDDVINGLYRLE YSIQNLLQPM MIM_RS00755 MRDKTMARNNRRRFLQYASIAPLAAALPAYANTNNFPVKPIKIV VGFSAGGTTDALPRLFATQMSRILGQTIVVENKPGAAGNIATAQVARSSPDGYTLLAS SIGQITVSPHTMSMSVDPQKDLEHISMFGEGDQFLTINKQVPATNIQEFIALAKSKPH TMFYGDSGAGGNMHLYLEYFRMLAKLDIDAVHFKGGSQIMPDLISNRVQLSLLSNIVM NSHAKDGSLRPILLYGKKRDPNYPDVPTVREAGLPDLETASNWFGLHAPKGTPRNITD KIYGALLEALKTKEVREGLVTLGITAGGDTPEAFSQRIAKESETFRKVAELTKIVPGS TT MIM_RS23365 MSSSESADNSQHWSDPIALVTFPPGGLYREAMFDQIERERRKWY VAFSGSSFQSVLVGVETGLGLSVLPVKAAAGRRVREYAPLGKTPAILVSLYAWDKSGP AAELIRQMTNVLERRVSLP MIM_RS23370 MTKLLDPRLLAAFVEIVDTGSFTAAADRLHLTQSTVSQQLARLE SAVGQQLVHRNARPVQATVPGERLAGYARRILALEREAQAMLGDPAGTQSIRIGLPED LVTDQMAAVFRACTHQHRSVRLDITTGLSRNLGERYRAGELDIVIVRKRRQ MIM_RS00765 MLACMPVFPGAVMAAYPLHVAAGNDDVAAIEQLISGGAETDARD SSGATALLVATRANKVRAAQALIAAGADVNAKDNISDSAYLYAGARGHLEILKLALAH GADLASTNRYGGTALIPAAERGHVQTVRTLIEAGVDVDHINNLGWTALLEAIILGSGG KQHQQIVDALLAAGANPNLADREGVTPLAHARSHGYREIENRLRAAGAR MIM_RS00770 MQQNTRFLQQAIELAFTNAEKGGRPFGAVVVRNGQVIAQAANEI LTTNDPTAHAELLAIRAASQYLGSASLAGCSVFASGHPCPMCMAAMRLAGISEVTYAY SNEDGAPYGLSTADLYADLARPFAEQSMAIRHAPVRLADRPDLYVHWKHQEQQGR MIM_RS00775 MMRVQSPLARVLLVATVALIGFNLRPFITSIGPLAGDIREHTGL GLQGMAMLTLVPMVLMGCVAFVGPALQSAFGARRSIIVALLVICSGCALRLLSISGWS LIATAALIGLGVAVIQAIFPGIIKREFAGHVGPMMGLYSAMLMGGGALGAVSAPAMSA TTGIWSLGLAWFALPAAAALMLAWRSLPLDAGAIRQQGTARLLLARPRTWLLMLCFGL MNGGYTSVVAWLAPAYQELGWSAGASGGLLAVLAVSQALAALTVPLFARSSMDRRPWL LFTLVMQAGGFAGLALASDYAALAWVVMLGCGLGGCFALLLIVALDHLPDPAQAGALS ALMQGGGFLIAAAPAWFVAVLHDVTGSYGAGWMGHLAAVLMVAMLTLRFSPKQYARVM RAQTAESRQQDTLLAAAVTPGQDTC MIM_RS00780 MSRITPLVFSGILAITSAIALPAAAQTTSVASIATYAQTVDSYS LPLGKLTITALSDGTVPQDLYQLLIGASHSHVDNLLNKAFLANPVEASINAFLIRDDK RIILVDTGSGELFGPGYGGKLYDSLASVGIKPEQVTDVLITHIHTDHTGGLVQNGKPA FPNAVVHVGAPDLAFFLDAGNASKTGYDDKYFAEAIKTIGVYEKLGKVKTFNDGEPVL PGITASIHPGHTPGSAFFTASSQGQSIVFVGDIVHVEAVQFPEPDVAIVYDLKPEAAI AVRKNAFSDFANKRQLIAAPHLPFPGIGHIRSETKTRFSWHPVEYRNRASD MIM_RS00785 MNRQIGDLDISLLFALDALLLEQNVTRAATRLHITQSALSGRLT RLRRIFNDPLFVPSSSGRGMTPTSHMLALKPELQRLLQQLTQFVQSAHVFDPATSERT FRIAAMDNPAAILAPALLPLMRMRAPAVKVAFVLPDKSLVSEQLERGEVDLFIGTAQD ATANLIGRRLFNEAFLTAQRIGHPRGTDPLSLDTFCELDHLLISSSGGMFNGMVDEVL GDLGRQRRVSVSVQSYALAPLILNATDCICTLPRRFLQQYAGSLDLFTPPLALDTFSM NLFWHATVNADPAHRWLRSMVFDAVQDQRNERADHERLSHQRYR MIM_RS00790 MSLDIFWFLPTSGDTRYLGTSDFGREPTSEYLRQIAITSENLGY DGLLIPTGSSCLDPWVVAASLIPVTQRIKLLVALRTSLGGPAPSARQAATLDQALHGR LLLNVVPGGDAAELAADGVQLSHDERYAAADEYLQIWKRLLSGETVDFDGKYLSVKQS RNYHAPVQKPWPPLYFGGSSEAAHNLAARHVDAYLTWGEPPAAVARKIADVRARAAQH NRTVRFGLRVHVIVRETEDEAWQDAHRLISHITDEDIARAQQNYAKMDSVGQQRMAAL HGGQRNKLEVAPNLWAGVGLVRGGAGTSLVGDPQTVAARLQEYADLGIDTFVLSGYPH LEEAIRFAELVFPLLGKTAVTTRGQAQTGGAFDRRVAQE MIM_RS00795 MPRFLNTVVINGSLHRPSRTRVLLDEVTQRIAYDVALQTQFIDL VDLVPSIGTALSKEDLNPAAQQALQRIEQADFLIVGAPVFRGSVPGLFKHLFDLIDMD ALTAKPVLLAATGGSARHGLVIDHQLRTLFGFFHALSLPAGVYATSGEIQDGKIASEA LTARIELVASLSAPVLHGTLNQQRQHIAERAVA MIM_RS00800 MKLLAGLGTLAGIAPLNAAWAQTQAKTFRVGWQKGSNIALLRAR GNLDARLKKEGVSVRWIEFTAGPQMLEGLNVGSIDFACVGETPPIFAQAAGADLVYVA SEPPAPKAEKILVQKDSSIQSFKELKGKRVALNRGSNVHYLLLKVLEREGLAYTDIEV KYLPPADARAAFESGSIDAWVIWDPFAQAAIEQIGARVLVAGHDQVHNYNFYLSTGAY AKAYPEVLKWTIEEVDVTDKWTVAHFDEAAQILSPQIGLSKEITRHALENYAYGVAYI TDEVAQNQQNIADAFSSQKLIPRALEIRNVIWRP MIM_RS00805 MLSGVHDKPADNVVEPLATEQERRLWRERIADSSLAPWLVPIGL ILLWQLAAWAGWLSTRIAPSPLAVVHAAWELIRSGEIFVHLWASFVRAAIGFCIGGAL GLVLGFLNGGFRVCERLLDTTIQMIRNVPHLSLIPLVILWFGIDEEGKLFLVALGTLF PIYLNTFHGIRSVDRDLIEMGRSYGLSDWQLFTKVILPGAMPSILVGVRFALGFMWLT LIVAETISASSGIGYMAMTAREFMQTDIVVVAILLYAILGKLADTASRLLERRLLRWN PAFNK MIM_RS00810 MEQENGGVAIRLEGAGKRFGTRTVLQGVNLSVAAGEFLSVVGRS GSGKSTLLRLLAGLDTASVGRIRVDGKAAAQSRDDIRIMYQDARLLPWQTVADNVALG LKGKGSQVRKVALEALEQVGLSDRADEWPSVLSGGQRQRVALARALAHRPRLLLLDEP LGALDALTRIEMQALIESLWRDHQFTAVLVTHDVAEAVALADRVILIDQHTISYDLDV QLARPRLRGDADYAALEGQLLSRLLGKSEPHHQSQAA MIM_RS00815 MTIELNWYLPNHGDFHGLTNNRLGESANRIRASTPAYLESVARA IEYAGFNAVLIPTGPTCHDGWVVAASLAQVTTRLKFLVAFRPGFVLPAVAAQSVQSLQ RITGNRLLLNVVTGGDSAEQRGYGDFLDHDQRYGRTAEFLDIVRKIWAAEKFNYEGAH YRLKNAALLRPLNNPPPIYFGGASAAAEVVAAQYSDTYLFWGETPEMVRERIRRADAL AASHGRKLRYGYRVHIISRDTPEQAWAEADRLLREVPRETIEKAQRQLQKSESVGQAR MRALRQSTTFNDVRDLEVYPNLWTGVGLVRGGAGTAIVGSHEQVAERIEELHSVGISS FILSGYPNLEEALNVGEEVVPLLNSNTALRAVASA MIM_RS00820 MSIEFNWFLPTNGDSRHLTGNISNPQLRPTHLAHREPDVDYLVQ IARAAEATGFNAALIPTGAACEDAWLIAAALAQNTEKLKFLVAFRPGLELPAYAAQKV AAMQTFTNNRLLLNVVTGGDQDQQEAYGDFLDHDERYSRTAEFLQVVRQIWQGPGQDF SGTHYQIDNGGLVRPLATPPSIYFGGASPVAEKVASDAADVYLLWGETQEMVKQRLHR VRTLAQQQGRTLRFGLRIHVIARRTQEQAWAEAERLWRELSPETIAKAQKSLRASQSV GQARMQQLNCGGRGDSVRDLEIAPGLWSGIGLVRGGAGTALVGSYEQVADYLKQYHDL GIDTFILSGYANLEEAWRVGENVLPRVH MIM_RS00825 MAQNTERLATLPQNRTLAVSVQNLTRRFGTRTVLDNIDLEIGKG EFVALLGRSGSGKSTFLRALAGLDHDVVGEGSLQVPSSLSVVFQDARLLPWKRLVDNV SFGLQGVNVKERATQALEEVGLHNRQLSWPAELSGGEQQRVALARALVRNPQLLLADE PFGALDALTRLRMHTLLRRLCQLHEPAVLLVTHDVDEAIHLAQRILILDQGKLTVDVP VSLPAHAPARENEIARIRRYLLQHLGVEIEPVSDTPAESQDPPNQETAAPDTAQEPTR HRRAA MIM_RS00830 MNTINSLAANTIGDRHHNRNVITATKPATSRRSRLGPGKEIRYG GAIGPVLLLVIWTVGSWTGLIDHRTLSPPWVVVQTAAELIQSGRLWSNFETSLFRALQ GLFWGVIFGVVLGVVAGLSRIGEYIIDGPVQIKRAIPSLALIPLLMLWLGIGESMKIT AIAMIVVVPIYIQTHDCLRSIDSRYLELSETLGVTRGQFIRHVVLPGALPGFLLGLRF AVTSSWLALVVVEQVNSTSGIGYMIELARTYGQTEVIVVGLALYAVLGLTSDTLVRLL QKKVLKWRKTLND MIM_RS00835 MISKNHIRILSSVTAHACATIAIMLTCAPLVSAQSAAAPIADTV PAGTTLTIGDPKTQRALELSGEVKNLPFTPKWVNISGGPRTTEAFRANALDIGSVADI PPIHATWTGLPVKIIAAAFRKDPLEHPIYKLGIAPGADINRIEDLKGKKIAFSPGQAQ GALVLRILQKAGLTKDDVTLVEMPSTGDVYVSALASKLVDAAPIAEANQPRYLANYGS NGARVISHGLRDDAWHLYTLQSVLDDPAKAAAARAYVQAWAKATRWIYEHPKEWVEGY YVQDQGLKTADGEYLAKSAGEPDIPADWNDVITRQQATIDLLAKETGNERLDAEKLFD RRFEKVATQSLQATQTLGKQ MIM_RS00840 MLISAATGAVHAEQKFGFGQPVTQEDIAAWDINVFSDGRNLPDG SGTVAQGASLYAQQCASCHGAKGEGASGDKLAGGAGTLASSKPVKTIGSYWPYAPTLF DYIRRAMPLTAPQSLSNEQVYAVTAYLLHLNGLVAQDAHLDAKSLAAIRMPNRDGFVP DPRPDVQQQSGSSEKQANDKPLAQPQ MIM_RS00845 MDNDSTEDALAVIEADAQHTRRRFLRNGVTAVTGVLAGSAGLAT ATAQTGATIKEAAAATDKALTIPPWSKQPGALVGSRPYGKPSEFESGVIRHLRKTDKQ YFSSSTRTPLQELDGIITPNGLFYERHHNGIPDINPVEHRLVVHGLVDNALSFTLNDI RRFPSQSRIYFLECSGNPGYAVYGKTAAEVNGLVSCAEWTGVSLKTILQEAGLKPEAK WVIAEGADGAGMTRSIPLEKCLDDAMLVYSQNGERLRPEQGYPLRLLLPGFEGNMSIK WLRRLQVADQPVHSREETSKYTDILPDGKAREFTFVMEAKSIITSPSGGQQVDGKGFH EIRGIAWSGKGRISKVEISLDEGKTWQPAQLQTPVLSKALTRFRFPWEWNGEPVVIQS RATDETGYTQPTLESLVAVRGVNNTYHNNAITPWRIASDGKVTHARA MIM_RS00850 MKIDLLTLKLFIRVVDEGTISRAAELENIAAAAVSRRLSELEDK LGLILLNRTNRGVTPTPAGLELLYRGRAILNSVQAVENQLGDFSKGQRGSVTLAANPT AITQFLAPLLAQFTASYPNIQLHLEEKNSLSITRALAAGELDIGIFTQIPYQEDIEVA LFRKDTLIVLVPAGHPLAKRDQVSFRDTLNYSHITLTAGTQLNYQLLNAARAESTNVR IDIETSGYDAMCLLINANMGIGILPEGCVDLYRVPNTVRVRLDEEWADRKILLGTRRI QELSPSARQVFDFLSENGH MIM_RS00855 MRNYRIGQIVPSSNTTMETEIPAMLNARRELFPNETFTYHSARM RMMSVTPEELTAMDQASDRCAIELSDARMDVMAYACLVAIMAQGNGYHRQSQARLQKA VQENNTNIPILSSAGALVDSLKEKNLGKVSIITPYMKPLTQRVIEYIEAEGIRVHDSI SLEVSDNLEVGRLDPANLLEHVTRLDIDGVDAVILSACVQMPSLPSIQKAEDKIGKPV LSAAVATVYQMLKTLNLETRVPNAGFLLSGN MIM_RS00860 MHNTIPLSALGSRICILGPSNSGKSTLAAAIARKCDLAPVHLDQ LFHEPDSDWVPRPAATFAALHDAAIAGERWVMDGNYSRHMPQRFTRATGIIVLDVHTA TSLLRYLRRTLFERERFGALAGAQDSLKWEMIRHITIVTPRNHERYTTLAQQAAIPTV LLPSVRAINGIYRQWGLDRRPQD MIM_RS00865 MFRKVLLCPVLACTALLSFAGNDSYAVTQGGMNQAANTDYKKAD AQLNEVYRQVLKKLEASEQAALKAAQNAWIHFRDLDCQFQSAGSQGGSIHSMVVSGCL TDKTQARINELKMLLQCQEGDVSCVTAP MIM_RS22760 MVWHTAFFFTLAFVSAILGFGGFLTWGALVAQILFIVFLVLALF TIFIRKKR MIM_RS00870 MSLFDSSDKYGLVSRALHWLMALGFVWMFFTAALHYFADETPIT DALWPTHPVMGFTVFCLAILRVVWAVVNMSRRPPSLSLLSGLGHSVLYALMLVIPALA LLRNYGADRPFSYLGISLMAPTGQKTQWMIDLAGLLHGELGWTMLALVLGHIGMVVVH KKSSSTTDVLPRML MIM_RS00875 MTATFKKGDHVTWNSEAGRVSGRITVVHTSDFEYKGYVHHASKD DPQYEIKSDKTDHIAAHKGAALRHLK MIM_RS00880 MKNSIKIADERTFEQYIQAIRSCRVCHDQPRYGQAMSPEPRPII QVSQTASICIASQAPGTRVYETGRPFNDASGVRLRQWMGIADQDFYDETKVAILPMGF CFPGLRADGSDLPPRRECKELWREQLFARLPNLRLILTIGGYAQRWHMGPQVARQGVT ETVRAWRQYYHAGPSLRLYPLPHPSWHNNRWLKQHTWFEDEVLPQLQRDIRQLLA MIM_RS00885 MLPHNLERLRAQHPAKNLSINGQNWKIIDVGSGSLPLVMLPGAL GSADSFYKQILHFSREGRVISANYPLSSDPSTLVDSFRQLLDQLHIDEIVLFGTSLGG YIAQRFYEKWPDMVRKLIIGNSFVDSSRLLSTEMFDPMLARNHSANELLTLWRQRIEH NVAANGSSELSDVTLDFLRTPANAEQLKARLLTLAHSERVIGETAAKVAIIDCENDAI VDPETRQECRHCYPNARIYSLSEGGHYPYILNSAQFNDIITTEIADYWQQVAPATINR MIM_RS00890 MSKSQKLPTVTLNYEQMQSRIARFKDMKGFDGGLPDSDIDGSRR TLINAVGFQPPLETEKAGSPVGSEAARNAAIKIREGFNLGYCRCKPGNGPLMHNHDTN ETFIPMTGKWRCSWNEEDPQFVDVGPMDVVSFPPGVLRRFENITFDEPDMEHILMFVI AGDAPTAEFTDNALAIVQEKTKI MIM_RS00895 MVNAGARLDRLPITAFHRKLLYLIGLGGLFDSFDIYLGGSVLAA LVEEGWSDVNMNAWFISATFGGMTLGAWLAGVLGDRYGRKFTYQTNLLIFGLASLAAV FAPSMSWLIFFRFVMGLGLGAELVAATGMLSEFIPPNHRGRWYALMSCLINFGMFLQT VLSQYLIPNYSWRSMFLVAAVGALALWFARKNMPESPRWLEQQGRFEEADAILTKIET EVQTQIGAPLPDPVVHARQAQSTASLASLFKPGIISRTIVASIICIVVNTTAFSFVTW LPTFFVKEGLSVASSLEFLTFMSFGGPIGAIVGYLLADKLGRRVGILCSVPCALVFAL IYPFTRDPILTPLVGFFFLTSLYAFFAFGFYGYLGELFPTAFRLRGIGFAHTVGRAAT MATPFIVAVLYTHYEIYGVIGLVAISLVSVFIAVLFWGLETRNRTLEQLEENVDIMQN SEADAGLVSRTSP MIM_RS00900 MNLKQISYFIKIAELRSFTLAANALYVSQPALSRQMSQLEDEIG TALFIRSDKGLKLTEAGVLLRRRAPAILSDVAQLRNDLQTAYSQAPAGVLSVGIGMSL RDILTVPVIAGFQKVYPNVALDILESVTGPLVEDIKSGNIDCALVFELDPSAQVIAEP FVKERLFLVGPPDASFRGRASMNANEIFDNALISTKADNPIRKKIETIAQGLCKEPKI AFETNAVSTMVSCVVLGGYYSVLPYSSICKAVQEGRVAAVPVEDLTLDWTFVYSKSFG LSLAAKVFRDFLFTQAEGTISSGLWPFTEALFTQGLVSQE MIM_RS00905 MTQKQTARTTRRKAGSYTIHDVAALAGVSSITVSRYFNCPDKVS AALRERLREIIDRIGYVPSQVAGGLASGHGRVVCAVMQNIASATFADLVKGMTDELQA SGLQLLLANAQYSQELEEQAIRTFVGWHPTALILTRHDHTPAAEAMLRALNIPVIEAW GLVPGRPFHQVGFPHIETGAILARHFLEQGATRIQFVMSQTTEDFRAKQRAQGYADAM TSAGIKANVQIVPEDDEFEAGAVCIEGLSHLPADSRPQALIFANDNIAAGAILHAPLC NLILPRDCAIAGFGDAPISARLRPALTTLRPARYQIGQHAARTVLQQLTAAQEGQDSI VEDQLPCDLIVRESSRFHL MIM_RS00910 MNPSKAVRRSYVVAVLLAGMTLFSSAYAQGDRYPDKPVTFVVPY PAGGVADQFARSMATELGKRLGQSVVVSNRAGANGNIGSAYVAKQPADGYTLLLGSTS TLAVNPHLYKDMGYDPIKDLQPVTLTHQMPNVLIVGAGTPYKNVKDVIKAAKAEPGRI PFGSAGNGNSMHLAGELFQKQSGIKLMHVPYKGAPPALTDVIGGALPTMFINLPAVVS YAHSDKLRILTVAAAQRSKVLPDVPTFEQAGVPGVISSVWNGILVRSGTPDVIVNKLN QNIVSILQAETFRQPLESQGYEVLSSTPQEFADLLQKDTNAMGEQVRDAGIHID MIM_RS00915 MFVQKKLNQLRSQRWFASDDIRGFAHRQRMQQQGLSREEFMGRP IVGILNTWSDLSPCHAHLRDRAQAIKRGVLQAGGYPLELPAMSLGEVMVKPTTMLYRN FLAMEAEELIRSLPLDGVVLLGGCDKTTPGLVMGATSADVPALFCPAGAMLNDRYKGQ AVGAGTHTKKYWEQYTIGNIDQPEWISLEARMTRAPGTCNTMGTASTMTSIVEAMGLA LPGSTSLPAMDAAHTRMAWACGQRIVSMIWEDMRPTRILTRDAFLNGVATWMALGGST NAAVHLPAMAGRAGVALNLDDFDALARQVPVLANLFPSGDRLMEDFYYAGGLPALLQR IQAHLQLDALTCTGRTLGENIEGQTSADDDVIRALDNPVIPATAQCPEAGMALAVLRG NLCPDGAVIKPSAATPSFLQHTGPALVFDSNADMLAAINDPDLDVTEDTVLVLRNGGP VGGPGMPEWGNLPIPKKLLKQGVRDIVRLSDARMSGTHYGTCVLHIAPESAVGGPLAL VRTGDLIRLDISARTLDVLLPESELAQRRAQWRAPEPAYKRGFTRIYQYEVTQSNFGC DFTSLAGNERTPEPPIY MIM_RS00920 MPAATDLLENPFRARLAQPGAPLGTWLMSGASSTAEAMGRAGFD WLLVDLEHVPLDNQDALHVLQAIAGTNACAVARLAANDPVLFKRALDLGAQTVMVPFV DSAEAARQAVSSAKYPPLGTRGFAAVHRASGYGTATDYAKRANESVFTIIQLETPQAV AALEDIAAVPGVDALFLGPGDLSANMGHIGNLAHPDVQHVIADVARRCQAIGVPCGIV GPTPEMVSSFISAGYAFVAVASDMGMMMRQASAFIQAIRPALAKGYEGGAY MIM_RS00925 MIFDTMMQSNQKIRIKYAMLVVAASVLSTTATAQNAYPENPVTI LVPFGPGGTSDIMARILAKHLREAMGGNLIIDNKGGAGGAIGMMQLKRAKADGYTLGL SVIGPEVLQPGMRSTGYTYQDFDHICGTYSVPLMMMVPQDSKFKNMQDVVAFASKNPR QLTYGTSGTGTLLHIAMEMLMKQANATALHVPYKSSAEMVTGLLGKQVMVISDTTTVA KQYKLRPLGIFSDQRLKSTPQVATTKEGGWPIQATIWGGLIAPKGLPKEIVAKLETAC EKAVNSEGYKADVEPLDTPPHFLNAEAFAAFVKDESEKYTKLIQEMGITSEK MIM_RS00930 MLEFFQTLSWAAVFQIIMIDILLGGDNAVVIALACRNLPKKQRM QGILWGTAGAIILRVVLITFALTLLTIPYLKIVGALLLIWIGIKLLVPEDDAHDKING GSSVWSAVKTIIIADFVMSLDNVIAIAGAAQGAHADHQTGLVIAGLVISVPIIIWGST LVLKLIDRYPGVVLFGAALLGWIAGGMLITDQVVVERFGEITGPVKIAVEVGGAVLVA LVGTWLGRRKKLASAEQV MIM_RS00935 MQTLLLSTGVVTLAEIGDKTQLLAFILATRFKKPIPIILGILCA TIVNHALAGAVGAWITTAISPQALRWILGISFIAMAAWTLIPDKMDEDEAPLGRKFGV FGATLVTFFLAEMGDKTQLATVALAAKSAFPIEVVIGTTLGMMIADVPAVILGKQLGQ RISMKLVHTIAACIFLVLGIATLLGAGSSWGF MIM_RS23375 MNELLLTAYILVWPVISAVILSVLIVALIRDLRAAKKSDGEMI MIM_RS00940 MQEQSAFYQLSGTTALLLLVGFYGLTFLMSLMIGKKKENVDSYM VSNNAVGFGLSAASMIATWIWAASFYASATSGYQYGLSGPIHYGFWGALMILFIYPYG RRFRALAPKAHTLAEVMHARHGTSSQLIMAVSNLLGSCISLMVNFTAAGALVSVLSPL SFIQGVLIAGLGVLSYTLWSGFRASVMTDFAQLVAMILAAIVIIPLIFFNAGGTDMLA ANWSNLSSEQADFFSTKAILEQGAPYFVAVLAYAIGNQTIAQRLFAVREDLIKSTFLT ATVGYGAVVIGLGMLGMLALFVGLTPAGGDMNNIIPQMASTYLPPFGIALFFILVVGS LSSTADSDLSALSSIMMTDIYGKNMARGTPDPKRMLWWGRVTMIVATMIGVVFASLRL DILVMLVFVGALWGAIVFPVIVSFYWDKVTNKAFTTSVICAVVLFAVARFELLPLKGI IAVLFELCASAGMGVVLGLMTFAFFNRKIAIFVAIATMIIAIPNAVGFLRDYPVLLSS LTSYGISALVCVAMSWNNKERFDFALLAERVTSFQKQTDMDEQTSTDVDGEVAPARA MIM_RS00945 MKPRFDSAADIVNAAARSPAAGRRPWRALGMVRRLDFNTPGYRF ESDPVAANEPVLEGRLRTVHLQPGLSMHGTEVVDLHNMVSRVNIKAGLRIVVALAGVV DVHIGGQRVHLRADDSSHAASAAIVSMPDDALFERQWQRGKWERKLALHATPEWLQSH GWLHARDQLPDTGRTGSGAQRATCLNFPDTLCIKTWQPSPHALALAEQMLCHSEDPTD ELSRLRLASRALELLYEALSSQRVDVGATEVTKGTLRQRDQERMLRLRSFIDNELQKP FTQPATIAELARHFGLSASVLQRQFRSAFGTSVNDYRRVTRLHHARNSLEQGLSISEA AYQAGYTSAANFATAFRRQFGLSPKSLRARL MIM_RS00950 MSGRHDSGRRFGIDMTLAPIVAALFFSGAGHAQETAPVATLDAV VVTASGIEQSAKDAPASMTVITQDDIKKSGYTTAAEAISKVEGVYMVGADSNAHDISI RGLPGEYTLIMVDGKRQNTRESMNRGTGGVQYEFMPPLAAIERIEVARGPMSSLYGSD AMGGVVNIITKNVPKEWSVALDTSVTLQSDHDYGNSREGQFWLGGPIKDDVLGLQIYG SYNDQSEGANYFSNVDGPYARNNQSIGMKLAAKLAENQDLVFDVSSQRLTTEATPGQS LQPTDEWNKVQHRRTAFGLTHTGRWRLGESKIALYRESSTQENWPKTGEYTENRRIAN TTLDASFSMPFKSHTLRFGGQYLHSRLDGIQKEAAFNNYPTNTNDVSLSNYALFIEDD YYVTDKFTVTAGVRMDDDERFGIHWSPRLYGVYHVTDALTIKGGIATGFKAPTIRQSN PGYCMSTGGNSGFRGPLCGNPDLKPETSVTQELGLVYDWAEGSHFSATIFNTNFKNKV ASYDTGEQDPLNPGTANHLYVYDNIDKVQIYGLELGLNMPLSDSLRLSANYTYTKSKR KGGGEVSFNGNSLDGEPLENTPEHVLNTRLDWQANDRLSAYLRWNVTGKAYYAAYRNG AMDVRTRPGGATFDIGGSYQVSKNVALRMAVLNLTDHKTPLDLRTRYEGLDGNWMVDP GRRVWLGASINF MIM_RS00955 MSGTIKRWVSAAWLIGLLLISLPASAQIEIIDQAGRTVRLAQPA QRIFFAEPGDFTIMAMLEENPARRIVAWNRWRLDKHTLAHWRSIDPVAFDKIKQLVID GPQNLNAEMLIANQPDLVVLDRFFGAAKQTISRLEQAGIPVAVLNLEADLRERNPTEG LEKMAILIGREQRGREVAEFIDRHVARITQRVATLKNQQTALPKVLMEPHAGIGPCCM SVGSGVLMGDLILMAGGKLLGSDIVEGLSAQLSAEYVIASDPDIYIGTGGRHIEARGG LLLGPDVDPAASLASLQRVTQRVGIAQTSAARNQRTYGIWHSGYPIVNLELIATWLHP ELFGDVDPAATLAEINQRFMAKPLTGTFWITPHPTPKAH MIM_RS00960 MHSEQTLALAPDAETAAINARWKQHRRTLRRRRCIVAALALLAL VAALTDLFTGSAALTPAQVIQGLWTPDSLSTPMQVVLWQVRLPVALMALLVGGALALA GVEMQTILNNPLAEPFTLGVSSSAALGAALAIVLDWGVIGSDATWLVPANAFVFALVS LMLLQFLAQWRGAQAQTLVLFGIAIGFTAGALLSLLQFIASEEALQQLVFWSMGSLAR ADWHSVAIVAATLVVTLPFSWRAAGQLTALRLGEERARSFGIDVTSLRRWALVRISLL AATAVAFVGTVGFVGLVAPHVARMIVGDGHRHLLPASVLIGAALVALASVASKSLLPG ITLPIGIVTALVGLPVFMGLILRRSGVSV MIM_RS00965 MSTGSHLHIENLGVHYRQRTVLSGVDLPDIRPGELIALAGPNAA GKSTLLRAIAGLVPASGQVRYGDDNWSGWSAGKRVAHIGYMPQASTESSNLTVLEATL VALQWGRTGARKDDAFTALRVIEHLGIGELALRALHELSGGQRQLAALAQAVVRGSSI LLLDEPVSALDLAHQWQVMNVARRLANEGRIVIVVLHDLALAAQWADRIAILHQRRIH AFGHPNTIITDTLLRDVWGVQARVRTFEQGRPFVLVDAVATGMDLSADKQSQDNS MIM_RS00970 MKPTFETSTTVPLGDAANVLAQVLAHLREHDLLAIERDNTWQVD YADTKIRFSVLAGSLHAQVAASSAATLYEGKMMVVHHIQEFGHCKPEAIQWQGDNVSL DRPPAFRLITVQAVSEVGPHMRRVRFNVDDLARYDQNDNIHCKLIFPQPDVSEPEWPT LAADGMPQFPKGDKRLDIRTYTIRRISAPEGWFEVDFVLHEDAGPGSRWAAQAVAGQQ IGLSGPGGRTARSAGWMLLGGDETALPAIARITEALPADTNGVVLIEVQSPADQIPLA TPAGMSVQWLHRGNAAAGTTTLLEDAVTACTISKDEDRFVWVGAEFSTAQTVRNWLRE AVGLGSREQLVVAYWRRGLDETQMKSAPGRATADEQAKQAGA MIM_RS00975 MSGSAEDGSASSSSGRPTCSARKRWQWSRLTLDLDPLRHSPAFR SLYLARCVSLLSVAILAVAVAWQVFAISGSSLHVAGVSIGLAAGSLVGLVWGGALADR GDRRRTMIWGRSGYVAVVVVLCANSLRAEPGLTEIYLATLLSGLTSGISAPALMAAMP RLVPTRQLAAAGALNALSMELSRLIGPLIAGALLARFGLVACYIVVLVGAAMVPVLLT RLPRDLLRPDTQKGQTQTDSTPTVRTPVYIQWRDGLLYVRHHQTIACLLGLDLVMMLF ANAHVLMPQLAREVLQGGPQMVGYLYAAPACGALLVALTSGWTRQLARPGRLVVVCAL LWGMAIAFSGVAAGGLTQGLPSGAWPVLVFLAMAGMADTASDIVRGALLQIHTPDALR GRVSGLWLLQGYLGPALGGLQAGSLASVWSPGRALVLGGSACALVVGLFASAPNGPVR MGLWREGKAAGP MIM_RS00980 MERIYFRCTFIITILFAAVFPPGAQAQVKLQLINEYPATSITAD ADLRFAKEVEKASGQAITIETKQDKDNPFKGDEQVKAVSQGKTQMGTLFGGVLGNDNS LFLLSSLPFAAKDFAQAKALYECAKPALAQGAEKLDAHLLYVTPWPPSGIWSVQPLTN EADIKALKIRTYDATSKSVFERLGAQSVQLPYSALAEKLQKNEVNAVLTSGDGGAGRK LWTQLPNFMAVSYSIPLSYTIINMDTWRKLDDAQRTVLTNAAEKVSAESWAGVEQRIE ANYARMRENKMTLNTSPPDSIMTALKKAGEEETTQWLKDNHIPKNEAGCVIKNDA MIM_RS21930 MGRSSRQQAEHNRAEILNAASRLFRERGVDNVSITDVMTAVGLT AGGFYRHFASKEALVNEVLSTVFAQSSEKWKQTCDSNQNASQGALQVLIQQYLRKKQA AQTCPILAFAPHVSHEAAGTETVDLYGSYTETLFQQFRGAAMQATSQDGKTAMSEQEI LVLFAAMVGTGFLAQSVHDQAWIESLKAAVLGAVGKSDS MIM_RS00990 MSSAPAQTRPLSVWQILFCGGMIVTFSMGVRHGFGLWLQPIIQA NDWGRETFSFAIAIQNLMWGITGVFAGMLADRFGAFKVIIAGAILYALGLLGMAYTTS PLTFTLTTGVLLGMAQAGTTYAVIFGVIGRNIPVSRRSWAMGVAAAAGSFGQFLMLPT ENILINSFGWQQALVVLSVAVLTIVPLAFGLREKTMHLATEEQSQATHTASQQGIVHA IREAFAYRSFRLLVLGYFVCGFQVVFIGVHMPSYLKDEGLSAEVASYALALIGLFNIF GTYLVGSLGQVWPKRYLLAFIYSARGVAIVLFLIAPISATSVYIFSAVMGFLWLSTVP PTNAIVAQIFGVRHFSMLGGFVFFSHQIGSFLGVWLGGKLYDIYGNYDVVWYLAIVLS VFATLMNLPINENAIDRKQAVAA MIM_RS00995 MKQSVKTDVAAATTSAAQIVQEYGPFPDVDAIHGVTFDGNQVWA ATGHNLLALDPARQRITRTLACTCDAGTAFDGTHLWQITSGQINKLDPDDGSVLATIP APGHGNDSGLAWAEGSLWVGQYRDRKIVQINPETGAVIRTIESDRFVTGITWVDGDLW HGTWEDDDSDIRRIDPESGAVLEQLLMPAGTGVSGLESDGAELFYCGGGASGKVRAVR RPK MIM_RS01000 MDSLIATCARLLAAGDVLNALNLVALRDDPPALALRGVAMARLG DYARARDLLEQAARQFGSHEPVQKARCVVAGAEVALAMRELDSPAPELAAALAVLTAR KDHANALQARLIMARRFLLLGRLEDAAATIADLDTNGVPASLAAVAALVQAELALRSL HMDRVHTAMHQAQAAADQAGIPALQAEVTTMQKTLLRPAASLVSTHDARTLTLTEVAD LVSSSALVIDGCRRGVSVDGAWLSLSRRPILFELLRALAYAWPGDISRQSLIATVFRL HQPDESHRARLRVEMGRLRKLISPMAQIVATRDGYVLVPQDNRHTVVLAPPIDSEAAA LLALLADGAPWSTAALALAMGASQRTIQRALADLQASGEVHAVGKPRARRWVSSSLAG FTTILLLPSVLALK MIM_RS01005 MTTVALFIMLFVFMFMGTPVAVALGLSSLLTILFFGTDSLASLS LKMYETSEHFTLMAIPFFVLAGAFMTTGGVAKRMIRFAVATVGHFHGGLAIASVMACC LFAAVSGSSPATVVAVGSIVIAGMVRSGYPQSFAAGVVCNAGTLGILIPPSIVMVVYG AATETSVGALFMAGVVPGISLGILLMIAIYIVARIKDYPRQPRASMKEVMAAARDSIW GLALIFIILGGIYGGVFTPTEAAAVAAVYAFLVAVFVYRDIGFRQVPEVLIDAAKVTI MLMFIIVNALLFAHVLTTERIPQIIAEQIIAWDMQAWQFLIVVNILLLIAGMFMEPTG IILILAPILFPIAMQLGIDPVHLGIIMVVNLEIGMVTPPIGLNLFVTAGITKMSIGQV VRAASPWLILLLAFLIIVTYVPAISLWLPRLLG MIM_RS01010 MNANWFHRLEEGLIAFLLAAMTLITFAQVVARYVFNYSFTWALE LAMFIFGGLIFLGLSYGVRVRAHIGVDALIKALPKKAARITGIAACILCLIYTAIVFY GAWVYVSKMYTIGILAQDMPIPQWIPRLVLPLGFALLFIRFAEILFDMLRGRRAQLLG DEAEDALKHASEDTSVIKQEVK MIM_RS01015 MKFAPMRIINVFGMSIALTISASALAADNPIVIKFSHVVAANTP KGQGAQKFKEVAEKLLPGKVQVQVFPNSQLFGDGKEMEALLLGDVQLIAPSLSKFDRY TKKVQLFDLPFLFDDMAAVDRFQQSDKGKELLDSMKNRGLKGLAYWHNGMKELSTNKA KLQRPEDVKGLKFRIQASDVLEAQFRALGANPQKLSFSEVYQALQTGVVDGQENTWSN IYSQKFHEVQKTIAETNHGVIDYMVVTNAQWWDGLPEDIRAGLEKAMAEATTYANQEA AKLNEDDKQRIIDAKKAQVVSLSKEDVQAWRTAMAPVWKKFEGDIGADLIKAAQEANK MIM_RS01020 MSQLNQSRRNFLRKTVVAGITVYLAPLNSHAYNALFEEKILEAP IWDPNTKRILHRIDARSKALGKKVFAYDIRAVDMPHWPQKQAHAFILRATRADRIFEG IDLSRLGEELQPDRLVTAADLAKDGIAFPAFYGDDMLLPAGKTPAYLGHAVAVLIYHD FARFRFAKNAIQFHPDAIRYGAETGPLERDPWASFRYVRIGGDTPFDDDTFSSLKDMP IFPAGLRKRLPVWPEGKEGGKLDEEGMYHAQQIDNEINNPADRIEVLSADYSSQSIDT AAMEADNTNGWFDQASQTLHMVIPTQSPPELADEIPKMLAKMHTPIKRLVLHPCYTVG YGSKDHSSFPFVGTMVAIYGDGHPVRLANDRYEQFQTSIKRHAFDISYSMTVNKESGK IDIFRGYMTGNGGGRCNFTPSVVMVAATAAQSIYYIPRSDFASVGLATRAIDAGSARG YGTLQSMAATEMMMDEMAARLRLDPIDFRKRNVLKSGMKNTQGAIPAGAIRADEILDL AAKHDLWTGRISRKAEFESRYPGKRYGVGFACVQKDFGTGAETSFARVEITADGKLVL NHSGAEMGTGMTTSQAVVCAQWLGKPADEAHFSVTDWDMLPMVATADPYMMPQEDQDK FSADPFWTPSYCSPSSASNSAFYFSHTTREASRLLFEQSLWPAALSIWKTGIGGGQAA PLVVRREDARWVAGGLTAAGMQILPFATLAKKAHELGLITGVVVHSFNRWQWAEADFT VNASQMRLPIDGLALRMGNGTYQAIARDRVYYPPMQRNNAAVTYYSAVGTLVALSVDT GTGKVELLNHHSFMECGNLIVPELVSGQLQGGIAMGIGHALHEYLPLYEDGPGDGTWN FNRYRLPRASDVAVWQQTGDILPPLSETDPPKGMAEVVMIPVVAAIINAIAHASGHYF HHLPVRAENIKEVFQ MIM_RS01025 MKITTRPITLTINGKKQGPLDVPEGLMMLDFLHEYVNLTGSRLG CGQGVCHACVVIEDQPDGSSQETRTCITGAHYFDGKSIRTVEGHATINDKGEEVPSPV QQAFMDHFSFQCGYCTPGFVNAATVFIEGLKRNPIARDDLEQAILKAMNNHICRCTGY VRYYEAIRDLVLQTPGLIREAKNG MIM_RS01030 MAKKRLVPALFLIVIVLLIIIAILWWREHRSNDNPVQKVSATDE QIERGRYAVRAADCAACHTVEGGGLFAGGFPMETPFGTVHGSNITPSADYGIGRWTRE DFYRAVREGITPGGRHLYPAMPYNSYHSMSDKDLDDIYAYLMTRPAIDVPTPENDLPF PFNQRFLMIGWNLLFQNKDPLPAVSSGDSELWVRGRYLTDVLGHCAECHTPRGMFGQM DLAQSLKGGTLGRFKAADITPQALAERGWTPDDLAQFLATGNAPQGSAFSEMHMVVAL STQYLTKNDMKAMTTYLMGDQPPAPKVAQLTPGGDQGRTQYLNLCAGCHGVSGEGKPN VAPAMAGNATIRQADATNLIASIFDGLPEQAFPGHGNMQSMPGFARKLNDQQMAELVN YTRTTWGGLPGDITAAQIGALREH MIM_RS01035 MRKVIVGAFVSMDGVMQAPGGPQEDPVGDFRYGGWLVPYFDDTL GVKVGEIFARPFDLLLGRKIYDIFAAHWPYVGPEEPLGALFDQIEKYVATRNEHFKPS WQNSQVLGADAVAAVRKLKEQEGPDLLTQGSTDFLQTLHAHDLVDEMYIMIFPLVLGK GKKLFADGAAPAAFNLLSTQASDSGVIVNHYVRAGDIETGSFAADNPSPAELERRRQL GL MIM_RS01040 MKYLTSGISGLMLVSCAAVAAEGAGPINIGVIQPLTGSVAYNGQ AYVRGAKLAVAQRNAQGGVNGRQVQLQIEDGQCQPANSVNAAEKLIQRNKVPVLAGAF CSSATAAVMAVAEKYKIPLLSGVSSKADLTEKGMKYFFRSAETDRLMARTFSKILAED LKLKTVAYIGVNDDWGRGGVEDFEKDLNAFGVKSVMKEYFDHGTTDFYTLLTKLRALK PDGVFVAAETQDGSILVRQLKEFGIETKVFGVGSWATADFIGLTGEASEGIYAAVPYV SNMKGERNAGFVDSYKKQYEQLPGKYDASGYNAMNILMQAVELAGSDEPEKIRDALYK TDYQAPNGRFQFTEKGEGYGFDTVLVQIQGGNTHVVTQARTEKP MIM_RS01045 MELLPQYIANGLVIGSFYALSALGLTLILGLMRVVNFAHGELYM VGGVMGWWATTRLGLDFFSGLLLVAVVLGTFGWLIDRFLIERIRDQGEEPGILLTIGL SIFLANTALLLVGTAPLKIESPMAGGPLFLGPIVLTKARLFAVAVSIALMIITYLVIQ KTRLGRAMRATFQDPMAAKLVGIRTANIYAGTFAMGTVIASLAGMLLGSIYSTQVSIG GLVSMKAFVVVILGGMGSFAGAIVGGLLLGLAEALWGGYVATGWVDIIGFILVILTLL FRPYGLFVKRAERA MIM_RS01050 MKIQRNCLTAFMLLAAVLPFIVSDQYILHVAIIVMFYAVLATSL NLVVGYVGEFSLGHTAFLGTGAYAAALLSTQAGWPMWATIPAAGLCAAIMGTMIGAIT LRLHGPFFVIITLSFSEVLRLIANNWVAVTKGPMGIAGVPQPALLAQIDTLAGKHFYY AIAWLLLAIALYLAYRFVNSNAGRAAVAIRENRYVAQSIGIRPLNYSMMALVLGAFLS GAAGGFYAHYISFVGPEMFRFAFMVTMITMVLIGGKGTLIGPLVGALLVTFLEEYLRE AQELRLSLFGLIVIAIVLFMPNGIMGFVTRHREKREQNQATPVNVNVSGTKRRSA MIM_RS01055 MINMNTQAQNRKPGGVLQINGLCKSFGGIHAVKDISFNVNRGEI VGLIGPNGAGKTTCFNLITGFYAPTSGTVHFDEQEVTGEKPYSMARRGIVRSFQKTNI LKNLSVFENVLTGHYIEARQPIWKTFFPTAKVRQTEAAIRDSAARIVNTIGLSRQMNL PAAQLSCGELRLLEVALALAAKPRILMLDEPAAGLNSHEAREFGTVLRKLLGGLVESI LIVEHNMGLVMNVSDRIVVMHFGQKLAEGTPEQVQKNEKVVEAYLGANAKEQS MIM_RS01060 MLQIRDLHVSYGKTQALHGVDLLVQQGEVIALIGANGAGKSTTL RAISGLLKPTQGQIIYQGNNIAGVSADRIVAQGIAQSPEERHIWPTMTVYENLALGAY LCKKDTEVERRVALVYDRFPRLKERHRQLAGTLSGGEQQMLAIGRALMSEPSLLLLDE PSLGLSPRMAEEVFEFVREINTHGVTVILVEQNVYNALSVSTRAYVYETGKVVAEREA AGLLDDPELLSAYLGA MIM_RS01065 MSKTLKVGIIGGGIGGAALARALQLRGIKAYVFERAPAFGEIGA GVQMTPNAVKVLKALGLQTELERIGFLPSAMVGRNWDDARELFRTPLQQVCRDNFGAD FHHVHRADLHAILCKDIPAEQVTFNTICTGITQNADSATAHFEDGSTFEADIIVGADG IHSVVRDSLWGEDQASFTGHMCWRALVPVAQHPLPFVSPDASFWFGPKAHIVTYYVKG GAAVNIVAVNESEGWVAESWTEPSTREELLAAYDGWHQNIIDLLEQTDPDQTFKWGLF DRDPMKQWTKGRATLLGDAAHPMLPFLSQGAAMALEDAFVLAEMIAHFPADHEAALRG YEAERLARTARVQLEARERGRTYHLSTPEEQRARDEALRKQQAENPNAVGIKAEWVYE YDATRCKERIGTMETQV MIM_RS01070 MTNTYLYGAHVRANGIRQHYLRFGGQADGRAARDPVLVIPGITS PVETWRFVAERLGRYFDTYVLDARGRGLSQADDALDYSLDAMAADVIAFAQTLNLAAY SIVGHSMGGRIGIRVAGQRPAGLKRLVAVDPPVSGPGRRPYPAQLDWYVDSIRQAQRG MNVEQMRHFCPTWTDEQLRLRAQWLHTCNERAITTSFHAFQTDDIHADVARIHVPVLL MMAERGDVIRDTEAEELRQLLPSMQCTRVKDAGHMIPWDNEAGFYLAFGDFLGHSLDH MIM_RS01075 MAVRDTDLIQAWVNVLKLSKLKKGDAVTVLTSDHTHPQTLRCAI TAASMQGAIVSRLDLPPVNAEKSLSRDPLAYLGTTPLTGNKPAIAALKASDLVLDLMT LLFSPEQHDILQGGGKILLAVEPPEVLLRLEPTQQDYVRTQAAAALLKQARQMRITSA AGTDLRCPLGQYPCVSEYGFVDEPGRWDHWPSGFTFTWPNEGETQGTIVLSKGDILLP MKSYVGETITLTVKNGFVTDIAGGVEAQMLSEYIESFADPEAYAISHIGWGLQKRAYW STLGLYDREATIGMDARAVSGNFLFSLGPNNEAGGSRTTACHIDVPMRRCDVYLDDLQ VVADGRVVESTLVQAEGV MIM_RS01080 MDDQVFKRQGFGTDVDLVPPFGLLLVDFVNGFADPGVFGGGNIT SAIASSQPLLDYARRCGWPVAHSRIVFADDGADHNIFSRKVPGMLTLREDSHASAIVP ELAPIAGELVVRKTVPSAFFGTTLAAWLTQRSVSTLLVAGCVTSGCVRASVVDAMSLG FTPLVVADCVGDRAIAPHDASLFDMQQKYAMVMDRQAALELCDQAAPGN MIM_RS01085 MKKTEQLARKTSVRRARKINGPGRPEGASVVRDEILDAAEVIFS DKGYAGTALREIADQANVTQALISYYFGSKFGLFQAVFLRRSELVSRERLERLEALEQ QKNPLVSDIVRTFLEPVLSLRDTFQGRAFLRLQARLHTEPSEISYSLRSEAYGGSTQR YVAVLRKALPKLSELDACWRVTFMIGTYLYAFSDTHRMEEMAPKGLYDVNDTDELIDQ VTRFMVGGLEAA MIM_RS01090 MNLEIAGKWALVCGASKGLGFGCAKALVDNGVNVVINARRAEVL QAAASELTVLADAAAKRDGFGAATVIAVACDITTVEGREAVFTAAGGPGKDFDIVVTN AGGPPPGDFREWERDAWIKAIDANMLTPIELIKATIDGMAARGFGRIVNITSSAVKAP IDILGLSNGARSGLTGFVAGVARSSIAAKGVTINNILPGKFATDRLEGTMKAAASKSG KDMDAIREAQQAVVPARRFGHPDEFGALCAFLCSQQAGYITGQNMLIDGGMYSGTF MIM_RS01095 MSHLHVNNDDLLKRVRRIAGQVQAIERALQAKADCGKTLHLVAA TRGALNGLLEQIIEEHAQFHVANPDLSDEQRQQGVKELLKAIRQYSK MIM_RS01100 MSAEHSAHSHSFLGAAHDENARRTLWVVILTFAMMVVEIAAGYI TGSMALLADGFHMATHAGALGIAAGAYAFARRNVNNQHFSFGTGKVGDLAGFASALVL AMVALGIAIESVARLLNPGSVAFGEAALVAIVGLLVNIVSALMLSGGHHHGHDHHHHH HHAAEHGHSHGHASGGDNNMRAAYIHVLADALTSVLAIVALLAGRYFGWVWLDPLMGV VGAVVIGRWSYSLMKASATVLLDVTDEHVAEEIRDILAPVNGIRITDLHVWQVGPQAR AAIVSVTGSGLTAQQIRERLQPVHEISHLTIECQAAS MIM_RS01105 MVINLPRRRAMLVTLALSTVLAACGHMPAQSPDKTITVISSGGF ASSVEALAPQFEKQSGYHVEIIHGSSMGGAPDSIPARLDRQEPADMVILARKSLDQLA NKGQVVKGSQVDLVRSLIGISVQKGAPKPDISSAEAVKRALLAAPSIAYSASASGTYY EKELIKKLGIQAQVKPKSKRIVSERVGAVVARGDAALGLQQVSELLPIKGADYVGVLP PALQRATIFSAGITTHARNQQGANELIKYLTSPAAVQTIKQNGLEPAFPAQ MIM_RS01110 MFPVKKAALSVVFAFTLAGCQSVSTNSAPATGATQPAAQAAARQ PATVDVYQFSNVAIKGYRAVRVNDKQVVYINPQALVSRSQVNLIDVVTDKQNRKLIKL GLNPQGAAQLKTVPKNRGYATVIGGQLVSLTGVRQGNDFLFSVPNPQMIGSIIEAVVP QAAATSQK MIM_RS01115 MIKVSVMYPNTPGARFDHDYYRDKHLPLLKERMGDFCRYYTIDR GIAGGTPDTPPTYIGMCHIFCDSAEDFQAGFGPHADEILGDIPNYTDLSPILQLSEVV TDTRS MIM_RS01120 MSTLPKFAANGWRRLDNGNIQHLSGLEFAPDPYERLKLVDASLS VFIRNLRHEGATEQQAERLLHKLTQQAAEQFVGLH MIM_RS01125 MSINSTVIRPTQPENSTAFKVLGAISLAHMMNDMIQSILLAIYP MLKDDFSLSFAQIGLITLVYQITASLLQPLIGHYTDKHPQPYSLTIGMGSTLLGLLLL SVAPSFPFLLLAAVLVGTGSSVFHPESSRIARMASGGQHGLAQSLFQVGGNVGSALGP LCAALIILPHGQGSVAWFSLAALLAMIVLLQIGRWYERNRGLLALRTKRAANAIELPR NKIIMALVVLGVLVFSKYFYMASMSSYLTFYLMEKFDLSVRTSQLYLFVFLAAVAVGT IAGGPIGDRIGRKRVIWASILGVAPFTLLLPHANLFWTGILVVIIGLILASAFSAIVV YAQELVPGKTGMIAGLFFGFAFGMGGVGAAALGNLADATSISYVYSVCAYLPLLGIVA ALLPDTETRSPTRQ MIM_RS01130 MQPQPRSINAEDYQNIAPAVAAMSKEYVAGTGSATHSHRRGQLL FASHGVIRVSTDKGYWLLPPLRALWVPPNVPHSTMAVSHVDMRTLYIDEEAARSLWDV CQVIEVSNLLRELILSLTTEPVVYKQNERGGRIAALILSELPSAETVATRIPWPRDRR LISICTALMGQPGLNRPLGAWAAAVGASERTLIRLFQSELGMNYRQWLQQVRLADAVC RLSMGQSIGTISRELGYRSPSAFSAMFRRALGMPPNLYLQPAKQ MIM_RS01135 MSRRQQTEQQILQALEAQIRETGMGGIGVNAIARRAGVSKELIY RYFNGLDGLLLAWMQEQDFWTSHRGMLGNDESSQQTPQQLVLSMLRAQVDALAGNETL REVRRWELVELNEVTAKLAQRREKAARAFIDRVDGLAPEIDMPATVSIMLAGVLYLML RAKTESQFLGIPIRTDEGWARIFSALETMTASFPQTMREQSLDDLEARCKPTSS MIM_RS01140 MEHHHFVPTDEIRTRFSTAMSNMYGQEVPLYRNLLELVADVNAQ TLQEHPQLQAQLKDSGEIQRLNLERHGAIRLGTAAELSGIRRVFAVMGLQPVGYYDLS VAGVPVHATAFRPVSDASLAANPFRVFTSLLRLELIDDEALRAKAAAILEKRSIFSAR VLELVEKFETEGGLSSAHADEFVAQATDIFRWHSEATVSIDTYNALLAAHRLIADVVC FKGPHINHLTPRTLDIDAAQKEMLNRTIPAKESIEGPPTRRHPILLRQTSFKALEESV TFDSGSEKVVGAHTARFGEIEQRGLALTPKGRALYDQLLLRAREARASAGATYAERLE QVFTEFPDDLGTIRKRGLGYFRYSLTQQGRDATARQIAGTDDIDALIDAGLVHAEPII YEDFLPVSAAGIFQSNLGDDDQKSYQVSAAKAAFEEALGAKVNDEFALYEAAQQRSLE QLTLQLKQ MIM_RS01145 MQFRKSQVGRRLLAGILTVAASIALPLPAVALTVDHASGQTTIN AEPKKTVVFDLASLDTMHALGVEATAVPETKYVNQLAAYAQKSVPKVGSLFEPNYEAI NALSPDLIIVGGRSAPKYTDLSKLAPTIDMTVNPKDLLGSVRRNALILAEIFNKQDKA KEQLARLDQSIAVLKQKAAKAGPGLIILTTGGKISAYGPGSRFGIIHDSFGIPAAAPD LSTSNHGQAVSFEFIYKTDPQWLLVIDRDGAIGREGVAADKLLDNELIHKTAAWKKQQ VVYLNAMDWYLLGSAGLTSMQQNVDQLSKALDGKQ MIM_RS01150 MVCVLLLLLCFLFLVSLFLGAGDMSLAGLLHMDSEAWQLLLISR LPRALALVLAGTSLAVAGLIMQMLVRNRFVEPSTAGTVESATMGILAVTLLAPGIPVL GKMLVAALFALAGTALFLLILRRIPLRSAFLVPVVGLVLGGVIQAVTTFFAYQYDLLQ ALHTWTMGDFSGVLRGRYELLWVAFALSCAAYFAADRFTVLGMGEQFTTNLGLNHKRL MLIGMVLVSAISAVVVVTAGSVPFLGLLVPNVVSIIFGDNMRRSLPWVAFLGALFVLA CDIVGRLIIYPHEIPIGTVVGVVGSVLFLYLLLNRRSRFG MIM_RS01155 MAKLSPAVRLCVLALFALLSVAAFMTLGANGHWDFVLSFRAGKL AAMVLVAYAVAVSSVLFQTITHNRILTPSIMGFDALYTLIQAVAIFWFGMTAGGQLEV TGKFVLEVSLMTVFACLLFQWIFSGASHSLHLLLLVGIVFGLLFRSLSGLMVRMIDPN EFLFLQDKMFASFNAIRTNLLLASVVMVGAASLIGWRQRRAYDVLSLGRDVAVNLGIH YRKTLWLTLVLIAVMVSVSTALVGPVTFFGLLVSNLAYMIMQTDKHKYTVPAAVLLGI IALVGGQTVLEHGLALNTTVSVVIEFIGGLMFIALIVRKGQR MIM_RS01160 MIKVNGVSKEYAKLRVVDDVSVEIPRGGVTSIVGPNGAGKSTLL GMISRLLPMSTGSVEVGGLDITRTDTAELARHLSILRQDNHLPLRLTVQDVVAFGRYP HTQGRLTVEDRSHIERAIAYLNLNGLEQRFLDELSGGQRQRAFIAMVLCQDTEYVLLD EPLNSLDMKNAVDIMKVMRRAADQLHKTVVMVLHDINFASCYSDLIIGMRNGRVVHQG TPQTFIQPPVLKELYGLDVVVHEWQNNRICVHYT MIM_RS01165 MPEQFATGSQTSRLTGVQTARPFSARLSTHFLRLFFPFLLLFAA LLQLNTAGAQTPPSSETATASAAQLADLLENENTRKQLIEQLRAQAPAAQQAASGNTA NTQTQSNFDDSLPRRVADSTQFFLTKLLNDMGDAAAAIGSMARGEGTGGAGMRDWKSA LINVALVIAATVIAFVVLRLLAARIFAMINHWAGQPATAPGRRRLAQPATLKKIAAVI GALIVDVAAIALAGVVGYSVALAVAGPNATVGTFESLFVNAFVAVEVARALVRTVFAT RFPQLRLFAMRDEVAVYWNGWLSSLVGITGYGMLVIVPLVTALFTAALGNLVGLLIML GMYLYALRVIWNNRALIRGRIVERAEQASTAFFATMLRLLARIWHILAIIYFTVLLVV SQVDPVDALPFMARATVQSLIAIALGLLVSAILTAILSHPIRLSDDLRTRLPLLEARV NSYVPATLKGLRLLTLIIVVLFVLDAWHAFDLSAWVASTSGRATISAVVHVAIILLIA ALAWTVIASIIESRLSGTGKRAPSAREKTLLSLFRNAALIVIVTMTILILLSQIGINI GPLIAGAGVVGLAIGFGAQKLVQDIITGIFIQLENGMNVNDVVEAGGVFGTVEKMTIR SVGIRTMDGGYHLIPFSSVDVVANHMRDFSYHMGEYTIAHRENVDDAIDHLRAAFAEL MQDEVLSPEILEEITIPGVTAINERGVTIRVLIKTTPGMQWAVQRGYNRLVKKHFNAA NIELPYPHTVVYFGQDKDGNAPSASVDLGRKADRTQPPKGKAPAAGHTPRKLAPRRDG SEDVLGNELEQRVPDEDGERQVDDTPRPDSTSR MIM_RS01170 MEKTALITGGSRGIGAATAKYLAARGYGICINYHRNEQAAETLV AHIREQFSVPCIAIAADVSDETQVVRLFAEMDELIGPVTHLVNNVGVLFKQMKVTEMD AERINKTLTTNVTSYFLCSREALKRMQPGCAIVNVSSVASRTGSAGEYVDYAASKGAV DVFTRGLSLELAPQGIRVNCVRPGFIYTDIHADGGEPGRVDRISSQIPMLRGGQPEEV ASAIAWLLSDEASYVTGSFIDLAGGR MIM_RS01175 MNQKSVTPYLFFGGTCEEALNFYKEAVGARVSMMMRFGESPEAP PPGTIPADYDNKIMHASFSIGSSILMASDGCGGASTFSGFSLSVTPESKEEATRMFNA LAEGGTVTMALGETFFSPWFGMLKDRYGMEWMVAMQPAEQATQA MIM_RS01180 MIITSKISPCLWFDDQAEAAAQFYTGIFPDARITQTTYYLGKKM TEVSGRPEGSVLTVSFELAGLPFTALNGGPIFKFNEAISLQVHCETQEELDTYWAALN EGGDPSAQQCGWLKDRYGLSWQIVPAILPSLLTDPARAQRVMEALLPMKKLDIGALQQ AADAA MIM_RS01185 MLHHSLNSAGTVQPNAPIQRSWERCEKQANTLPDEPVLLGRADL DTRLDQYASLLQTAAPHIATASSLAAQAQGILLLSDASGVILHATGNNDFLHKADQVT LRPGASWAEGHRGTNAIGTALIENGFTRVLGQEHFLPCNRILSCHAAPIHSPRGEILG VLDISGDTRTLPDYTGDLVRVTARQIGNHILDLAGTHIARLQFQRHAGAINATQCGTL LISDQQIVGANETAAELLNVPLAVLLDEPVEKWLPAWKQLQAQPMLSYCADGSALYAT LQFERIGLTTRAPVQPSPDDRATPEQTVPAKSRNTPAASLPPLPSLEPVLQQQLRQSA LAINADLAVLLLGETGTGKEVFARHLHAHSRWRDGPFVAINCAALPESLIEAELFGYE PGAFTGAQRNGSRGRLREANGGVLFLDEIGDMPLQLQSRLLRVLQERVVQPLGSDKTW PVQFGLISATNQLSDTLSQEDNFRQDLYYRIQDHLVQLPALRFRADLRTFICAELRRN ESTPSLIFADEALDTLCAYHWPGNYRQLRSVLKTLIAFLPAGSVIHPESLPTHLLKPS PAPAPATASATTSTTTHADREAAQETAASAKEQVDATQANDTLPASESPLPEVSLKDL QALRIRQALTKNRGNVSKTARELGLHRSTVYRQLTRADSQAH MIM_RS01190 MNLSDLKQFGIDTDYPYKTKYGNYIGGKWVEPVSGEYFQNISPV NGQVFCEVPKSGADDIERAVDAAHAARRAWGQTSTTERANLLLKMADRMEQNLKVLAV AETIDNGKPLRETMAADLPLAVDHLRYFAGCIRAQEGGISEIDNTTVAYHFHEPLGVV GQIIPWNFPILMAIWKLAPSLAAGCCVVLKPAEQTPASILVLMEIIGDLFPAGVINVV NGFGKEAGNALATNKRIAKIAFTGSTATGKHILHAAADNLIPSTVELGGKSPNVFFAD VMDQQDDYFDKALEGLSMFALNQGEVCTCPSRILIQESIYEQFIDRAINRVQKIKAGN PLDMSTMVGAQASQAQLDKILGYIDIGRGEGAECLTGGERNRVQSLEEGYYVTPTMLA GKNDMRIFQEEIFGPVASVTTFKDEDEALAIANDTYYGLGAGVWTRDGSRAYRMGRGI EAGRVWTNCYHLYPAHAAFGGYKQSGIGRENHKMALSNYQQTKCLLVSYSPKALGFF MIM_RS01195 MITLEINGSKHEVDLPEDTPILWALRDHLGFTGTKFGCGMSLCG ACTVHLDGAPIRSCVTPITAAAGKKIVTIEAVENDETGKAVQKAWVEMGVPQCGFCQA GQIMSATALLKKTPAPTDAEIDAAMSGNLCRCGTYTRIRSAIKNVAQAGGAK MIM_RS01200 MNVRVNASAIATADVQISNISRRRMLKSFALGGLVLAVGTPLRV FAEGEEQKGPPKYGADGMPHGTVDNPLVFVAIAADGAVTILCHRAEMGQGVRTSLPMV VADEMDADWGRVTVKQAWADEARFGNQDTDGSRSTRHFFMPLRRVGAAARAMLISAAA SQWNVPESEVQAKNHELIHTPSGKKLGFGDVAQKAAQLEVPAADALKLKTADAFRYIG KGQTHIADGMDIVTGRAKYGQDIRFDDMLYAVVARPPVFGGKLIKVDDTDALKVPGVV KVVTLAGSPPPAMFNPLGGVAVIATNTWAAIAGRRALKIEWDGGPHASYDSAQYRKQL EASVSKPTEKAARNDGDTMAALGSAAKRIQAEYYVPHLAQAPMETPVATVRIVDGKCE AWASVQAPQATHDMLVKWLELSPENVKVNQTLLGGGFGRKSKPDFVVEAGLLSKAMDG KPVKVTWTREDDIQHSYYHTVSVEHLEAGLDAQGKVTAWLHRSAAPSISSTFADSTKQ SASELGMGAANVPFAIPNVRVENPEAVAHTRIGWFRSVSNIPRAFAVQSFVAEMAADQ GKDHRDFLLDLIGPARRIDPAALSDGWNHGESPELYPVDTGRLRNVIETVTREAGWGK SAEKGQAMGLAAHYSFVTYVATVVHVHVSENGDLSIPRVDVAVDCGPQVNPERIRAQI EGATVMGVSLATLGEISFKDGAAVQSNFHDYRITSMMSAPREIHVHLVAASDYDAPLG GVGEPGTPPVSAALCNAIFAATGKRIRSLPIANQLQQKT MIM_RS01205 MPVSDCHQPVPAVPDIVAVVLAAGQGSRFDASGTRYKPVQPLAD GTPMVYAVCHRLLQHIDAVTVVCGPQQKAVRDALGELPVNLIHCADAETGMSASLRFG IRHSPARLGWIIALADMPCINASTVQTVTEHLRQGGRIVRPHYANRPGHPVAFASEFR NELLQVTGDEGARAVVTRHPDALTLIPVNDPGCLLDIDTHEQLLQLGKAGDPLTPA MIM_RS01210 MENLDVLVLRKLCAWRQEGKKALLATVIRTWGSSPRPLGSVMAL CEDGAVVGSVSGGCIEDDLIYRYTGGSDKAALVNGGPPCLARYGVTAEEAYRFGLPCG GTLEILLEFNPDAQLLNELVAALEQGQLMQRTVQLDNGNVHQQAIAQPLPLGIDDKTL QNTFGPEFRMLLIGAGQLTEYVATMALFNGFAVTVCDPRIEYRNGWSVPGVTVLSDMP DDVVTAFRPDRRSCIIALTHDPKLDDLALMEALLSDAFYVGAIGSRRNNHSRRERLQT HFGITAQQLARLRGPIGIYIGSKSPAEIAVSVMAEVIAVKNGLLLPENFDVSHAKANP SEYAA MIM_RS01215 MKFKLTHTAAAVACAAALGFGSLANANTAAPTSATLIAKGSSGT MTPFLVDAQGRTLYTLSKENKAACDEACMKHWEPVVSESRPLGRDILPGLLKAEKNEQ GVSQVSYGGLPLYRFDGDKQPGDMNGQGFADVGVMVSVLGTADKPEVAGEKVEATPEL MAAGAKVFSSTCAACHGAEGQGAFGTKLEGFDRLANAPALLSTIIHGLNSMPALGGQF NDEQVAAVATYVRNSWGNKFGGVSAEQAKAAR MIM_RS01220 MKIHSLLAVSAAVMFACAAQAQVKIDDYKPISNEQILKPADGDW PSWRRTIDNQGYSPLDQVNKKNVKQLDLAWAWSMPVGGLQETAPLVHDGIMFLGMNRS HVQALDATTGDLIWEYTQPLPKFTGGYHDAQANRQRNSLALYEDKVYLTTPDAKLVAL EAKTGKKLWEVQVNEWEKGYSFTAGPLVVDGKVFTGTSGCSITGTAGGCYITAHDAAT GKELWRLNTIGDPAVDDSWGGLAKENRWGGSPWITGSYDPKRKMLFWGVGMPIPYPSV LRGSGDGDALYTSSTLAIDAETGKVKWHYQHMPNDDWDLDSPFERVLVESQIAPSKGE VAYMSKDVEPGKKYDVIASVPGKYGTAFVLDRDTGKLLWARDTVYQNVIKGFTEDGKV ITNTDLKAKSIDETVKVCGGRDLGKLWMASAYSPLTNALYVPVSSSCKELTPKPIELR TGESVGAQASGAVSFAPGEDSVGRVYAVDVKTGKFLWVQKQKPIFSSGVLTTGGGLVF TGDSMREFAAYDQNNGDKLWSIRLNTSIGGFPMTYSVNGKQYIAVVTGPNAQTPPAAI LSPELKNVVDSGHSVFVFALDDVKAGNGKK MIM_RS01225 MTSNITKEEWLATLVGMDTTSRNSNMGLIETIRDALSAQGVDSW LTTDDKGAKANLFATLPASDGQTQGGVALSGHTDVVPVDGQDWATDPFVLSPAQDRFY GRGTCDMKGFIATAMALVPEFLQQKRSKPIHLAFSYDEEVGCAGAPFMLKELQKRNQK IDGCVVGEPTGMRVVVAHKGINLFTCRVHGKAAHSSLTPFGCNAIEHAARLICHIRDL ADHYRDTGPYDNHYDVAYSTITTNKIAGGIAVNTIPGECQFNYEFRNLPGMPGEQIQA KIQEYVNNELLPRMQKEYPDARIDITANASAPALEASEQAAITELVRALTQDHETRKV AYGTEAGLFQQLGIPTIVCGPGLIEQAHKPDEFVDFSQLNDCENFLRKLSASL MIM_RS01230 MQSTKVSVRNLAAAVIGNALEWYDFLVFAFMTPIIARLFFPVDP SAASGDLNAILMTTAIFGVGFFMRPVGGIILGMYGDKKGRKAALVLVTSLMAVSMAII TFSPTYLTAGMLAPVLILIARLIQGFSAGGEFGTATALLIEMAPPGKRGFYGSWQMTG QMIGLLIGAAAGTLMTVYFTQEEIEAWAWRIPFFVGLVIIPVAVYIRQQLDETEVFRN AKKQEAAEGHRITIGELLVNAWRETVLGIGLVAAATVTVYITFTYLVTFATKILKLPL QETFEVQMLGAFWVVVLTPLMGMLADRIGRSKLLIGSLGLYFVILFPIYSWLTAEPSI FRLIVVQLATGLCAAGFFAVFSTVMAVLFPTRVRSLGLSISYNIAVMLIGGFAQFIVT WLIQQTGSPMAPTYYVMFGVGLGLVAAILLGKRADSEVTH MIM_RS01235 MAVTHNYDVTVEWTGNRGAGTRDYKSYDRDHIIRVSGKPDIAGS SDAAFAGDAQRWNPEDLLVASLSACHKLWYLHLCSDAGIEVMSYIDHAHGVMVSHANG GAFSKVLLRPAITISREQDIDKARQLHHEAHKKCFIASSVNFPIEVEPDIRLATT MIM_RS01240 MKTPPTDYKVDLNAYFAKLGYTGSRDPSLETLAALQLLHQKTIS FENLDPFLNRPVAIDLPSLEHKLIFSERGGYCYEQNLLFAHVLHRLGFTVSNLLARVL WGADDNAITPRSHMLLHVRLEHRPYIVDVGFGGNCIPAPMVMNRETVQQTSHGAYRCL LIDGEYWLQVYTGSVWRNMYRFDLTRQQPVDYIAPNYYTATHDDSHFRHSVVAARIFQ NGRHTLRNQLLTTRYEDGQTDQTILQSAEAAQAALHDVFGIRVPDRAAFADKWQRLAR GWEMGARPAVREPVQVVAQGA MIM_RS22350 MPEIKPEEHGKLLSVGEIAQRSGVAVSALHFYERKGLISSIRNS GNQRRYKREVLRRVAIIKVAQRVGIPLTDIAEAFATLPSGRTPSAADWERLSRIWHDD LNNRIRTLSCLRDHLTECIGCGCLSIASCPLRNHLDRLSDSGPGAHFPPPG MIM_RS01245 MSDPVIRQFAQLISNMAIENVPIAATEQIRWRVLNAFATMLSTD INQAQRETVLQAVAIGNNGNHAGNSVSGMANARQALPKPSALLALLYGATLDVAQPDA DRASAVRAVDAAIIPAAWALVQARQGSGHLFMSALAAGYSATAILTGLCGTDQSRAWQ AAADNGAVGAAAAAARANQLAASVTLDAMGLAAAQAAQLAENAALLGQAGAYDAVGGV DQGQCDLPGPGRAATDGVLSAQMAALGGHCLPVTIDQLQQAMGSLNLNRALSEAWTAL ALHQPIACDQAARSFRQRVAPVIGADETETIIQLMLRLEHTASGELAACMDECIGFRL NSQAGS MIM_RS01250 MGIVKISDQMHENLRLASTALSRSINAQAEHWMRIGMLAEMYPD LDHSDLCRLLVQAGQGGSLDLGAISTMGTAAIRAGASAGARQ MIM_RS01255 MNQRVSIKSAEDIAKARIAGRLAADVLHMIAPHVKAGVTTDELD RLCNDYIVNVQNTIPANVGYHGFPKTVCTSVNHVICHGIPSDKVLRNGDIINIDVAII KDGWFGDTSRMYFVGEPGPLAKRLVHTTYEAMLAGIRQVRPGATLGDVGYAIQNVAHR EGFSIVREYCGHGIGQVYHDDPQVLHYGRPGEGLRLQEGMMFTIEPMINAGKRHSRQL ADGWTVVTKDHSLSAQWEHMVVVTADGFEVLTPWPEDQEGYEPIR MIM_RS01260 MSFDPVDHNLERGLKNRRRILGDAWVERSLNGANDFNAEFQQLI SRFAWHEIWSRPGLDHKTRRIIVLSITIAMGRWEEFELHVRAALTSEEPSRMTPDELK EVLMQAAIYAGVPAANTAFSHAMAILKEVGPQIGYAPQAFSPLDVSHSGTGQEGRTAS KPALHYTLRRARNGKAKNTVVLSHALGCDLTMWDRLASELAADHDVIAYDHRGHGSSD APEGLYQMAELAEDAARLLRELDCGPVIWIGLSMGAMVGQELALRHPELLVALVIANS TSGYPQAARDNWRQRIATVREQGVEAIADAVMQRYFHDTFRSEHAGEVAAYRRRLLTT DIEGYIGCCNAVGTVDTTDRLKNLSLPVLVIAGRLDQGAPLEMSEIMTREIAGAQLVV LEDASHIAVVEQPETFAAAVKQFVNKQRQ MIM_RS01265 MKKDQIQTKRVYDEPADSDGYRVLVDRLWPRGIKKEALKHDLWL KEITPSSALRKWFHENAEQWAEFRQRYYAELDDQPAAVQQLIDQSQQQRVTLLYAAKD EQHNNANVLRDYLRAHAK MIM_RS01270 MDERRPISGIAAAGLKVLAVLLILIGLFMTGAGAWLAVLGGSIY YVLMGLALLLSGYLLWKQSLLGAWLYALAFIATLVWAVWEAGWEFWPLVARVFAFAVL AFLVTLAVPALRRARGKAPCRITRWASAVLAIGLVGTFTLFFFPKSVLEAEHIAPVTK VDRNDAPTNWEHWGNTTSGTRFVAMDQINKSNIGKLQVAWTARTGDIPESTGSGAEDQ NTPLQIGDTLYVCTPYSKVLALDVDTGKEKWRYDAQATAPNWQRCRGLGYFDATASAH TVALASAEGAQATGEQNNAIAEGTIPADTMPSSQPANAVTCPRRLFLPTTDARLIAIN ADNGQPCSEFGNMGTVNLKVGMGEVKDGYYQQTSTPLVAGHVVIVGGRVADNFSVDEP PGVVRAFNVISGNLEWAWDPGNPDMTGTPAEGQTYTRGTPNVWSAMSYDAKLGLVYLP TGNATPDFYAGQRTELDDKYSSSIVALDVKSGKVRWHFQTTHHDLWDFDLPAQPLLYD IPDGKGGTHFALVQVTKQGEIFMLDRITGEPLAQVQEKQVPQGNVKGERYSATQPFSV GMPSIGNQTLKESDMWGATAFDQLLCRIQFKGMRHEGVYTPPGEDRALQFPGSLGGMN WGGVSVDPTTNYMFVNDMRLGLANYMIPRDKVGAGASGIEMGVVPQDGTPYGAMRERF LSQLGIPCQKPPFGTMTAIDLKTRKIVWQVPVGTVQDTGPLGIRMGMPIPIGMPTLGP SLATQAGLLFFAGTQDFYLRAYDSSTGKEIWKSRLPVGSQSGPMSYISPRTGKQYILI NAGGARQSPDRGDYIIAYALPDDANKGQ MIM_RS01275 MSSPTLKPTVVAGCLFSLFTCTAGAQPVTTVPAVTATQEAVPAA ATPDAPVTELSPIVATSTRMDRPASEIPASISVIDGAQIRANEMQVNLSEGLRGIPGL SVRNRENYAQDLQVGIRGFGARSAFGVRGIRLYVDGIPATMPDGQGQTSNIDLSSIDS AEVLRGPFSTLYGNSSGGVLLTETETGEGPLTLTPSFAAGSYGQLRYGMKASGSRGDG PGAIDYLLSTNHFRTDGYREHSKAEKNQVNAKLGLNVGQDGHLSLLLNHVDLSADDPQ GLTRDEFENDPRSSSPNAQTYNVRKTTKQTQGGLVYDQPLSDRTDLRIMGYYGERETK QFLSIPIGPQRAPSHSGGVIWLKRQYGGADVRLTSHVSLADRPLTLVAGVAWDTMREA RKGYENFIGDQLGVQGNLRRDEINNVWNLDPYVQASWNFAERWTLDAGLRYSNVHFKS SDRYITNGNADDSGTANYSKLLPVIALNYQATPDLSLYVTAGRGFETPTFNELSYRND NEPGLNFGLKPSVNTTLEAGLKANNVLNGQLTAAVFRTYTKDEIVSAGASGGRTTYQN AGRTLRDGVELAWDARFYRHLHARLSYTYLHARYRDSFCSGTCAGSNPEVPAGNLIPG IARNTATASLAWEPEQGWNGGVDVDYLGKVYVSDQNSESAPSAVVTGLHTGYTWKRDQ WTVNAFGRLDNVFNARYAGSVIINDANKRYYEPAPGRNWTAGMTVSYRF MIM_RS01280 MPRKASTLSVADENPAAGGVAAVDRALSLLTVFRKNDGYLSLVE LADRTRMYKSTILRLLASLEHYGMVHKSDDGRYGLGEGVVRLYGVYNSAFSQADVIMP VLRALVEKTQESASYHVMSGNSRLCLHRVNSPLPISYRTSEGDVLPLDKGSGARVLQA FTGVKGKLYDQIRRDGVVVLDGDRMPDLAGVSAAVFNAHHEFVGALTLTMPSSRLQPG FKDEVLQAALALSKKFGYTQD MIM_RS01285 MNQTATEQVLISEVGMRDGLQSIKSIMPTEYKFKLIDALYEAGV REIEVCSFVPATLLPQMADAPEVVRYALRYPDLTVMALVPNLRGARNALQAGAHKLTL PVSASEAHSLANVRKTREQMVQEVRQIVALRNEIAPGVKIEAGISTAFGCTIQGMVSE DDVVRLASQLVAAGADETGLSDTTGYANPAQVRRLFRRVFAEIGDKCGAAHMHNTRGL GMANNLAAYDVGVRTFDASLGGLGGCPYAPGASGNVVTEDLVFMFEAMGIDTGINIDK LIGARVPLQAGVPEEAVYGMVAEAGVPLTFQQQV MIM_RS01290 MTEQTLPYAGLKVIEFTHMVMGPTCGLILADLGADVIKIEPLKG DNTRHLLGSGAGFFSAFNRNKKSLAVDLKSEQGLALVKDLISSADVVSENFKPGTMKK LGLDYESLSERNPGLVYVSHKGFLPGPYEHRTALDEVVQMMGGLAYMTGRKGDPVRAG TSVNDIMGGMFGAIGVMAALKAREQTGRGQQVQSALFENNIFLVAQHMMQYVVTGKAP DPMPSRISAWAIYDVFNVKDGEQIFLAVVSDTQWRIFCDAFGLPELLNNPALETNNQR VLARSWLLPMLQKRLAVYSKAELAEVFEANGLPFAPIARPEELLQDEHLLETGGLAPM QMPDGRETNVPLLPICMDGQRLGVRLNPPRLGEHTLSILQNAGLSDAQINTLLENNVI ATQ MIM_RS01295 MKKIVAASAIAVLTHLTWNAVAQAQEDYPQRAVTITVPYSPGGT TDYVARMLARQMSEVSKQSFVVENKPGASGTIATAAVMRAKPDGYSLLMNDTTYAMLP AVFKKLSWDPVRDIAPVSNVASTPVILIVPANSPFNSLAELVDAARKKPESLTFGSGG KGSSTHLAGEVFQHQANIKLLHVPYKGAGEALLALVGGTVDVEISATPTAIPQINSGK VKALAISSPQRLPQLPDVPTFAQAGLPDYQVQNWFGLVARAGTPPEIITKLNHLVKEA VADPSIRSSFEKLGAQAVGNSSQEFSQQIASEIDSWGRTAERAGLEKQ MIM_RS01300 MFFLNQEPQRSDAQVWTTMPDHLRLRDTDSDWAKANKGGEHLHS FIEGPVMDGKGNLYVTDIPYGRIFRISAQKDWELVIQYDGEPNGLKILSDGSLLIADY RRGLLRCDPVARVVEPLLARRNAQSFKGLNDLYVTRDESQVYFTDQGQTGLHDPTGAV YRYELKTGRLDCLMNNVPSPNGIVIDEERSVLFVAATRDNAVWRAPLLADGTVSKTGR FCSLFGTSGPDGIALDQQGRLYVAHASLGRVFVFESNGECSRILRSVAGSTVTNLCFT NARCDTLLITESATGTVLYAAVDAASDHFQQP MIM_RS01305 MFNKKTMTGLTGGMLLVATLINGVAHAQDWPQRPVRMVVPYTPG GGTDSITRIIADKISQTDKGIQFVIENKPGAGGNIGMTQVARAKPDGLMLGMGQTSNL AINPVIMPDMKFDASKDFTPIAVVAELPTVLVVRADSPYQSLADVIAAAKAKPGQLKQ ALATVGTVGHLAGEMLSHKAGIKVLNVPYKGASPALTELIGGQTDYMFATPVSVINLI ADKDLRALAVTSSKRIAAMPDVPTVAEQGYEGFNAVDWKMIVGPAGVPKEAVDRISKA FMAVSKDPEFIKRMEADGNTVVGGSAAGAQAYLTKEQVEWRTLLEAIKFQLK MIM_RS01310 MKYSRNFQIDFFHPRYWLTWFGLGLLFLLVQLPYPLLHRLGTWM GRCSMRFLKRRVGITRRNLELCFPNMDQATREQKVRANFESLGMGMMETGMAWFWSDE RVKRCFDVSGLEHLKLAQQNGRGALMIGVHLLPLELGGRAMGLCQPMMATYRPHNNKA MEWAQTKGRMRSNKAMLDRKDLRGLVRALKVGEAVWLAPDQDYGRSGSVFAPLFAVEQ VATTSAPYMLARLAKPALVPVVLIRRPHAGGYDLLIQPELKNYPIDDEIAAATYMNKV VEKEIMRAPDQYMWLHRRFKTRPVGAASLY MIM_RS01315 MWIQKEFQLKARPRGFHLVTDEIVRQVPDLSNMRVGIMNVFLKH TSASLTINENADASVRADFEQYFNRLVPEDEPYYTHTYEGSDDLPAHFKSSILGCTLT VPITNGRLNLGTWQGIYLCEHRNHGGARTVVVTMQGQTGE MIM_RS01320 MTMHGTAMAQAYPEQPVKLVVPFPAGGTTDVLARALGQQLAEKI GQPVIIENKPGAGATIGAEYVARSKPDGYTLLMGAVHHTIATTFYSSLRYDFQKDFAP VTTVAMVPNVLVVNNKVGVKSVADLIALAKREPGKLSFGSNGVGTGQHLIGERVQLMS GVKLLHVPYKGSGPLTTDLLGGQVDMSFDTITTVLPYIKENKLTALAVTTGTRSPALP DVPTLDEAGLKGFDAGTWFGILAPKGTPEQTVSYLNQNLVQIIQSDGFKKDMANIGAE TIGDTPAQMGMRIADDTSRYAELVNKLNLKAN MIM_RS01325 MKSYKIACIPGDGIGKEVIPAGQTVLQALADTLKQFSFEFSAFD WGGDYYRQHGVMMPEDGLNALYDKDAILFGSAGDPDIADHITLWGLRLKICQGLDQYA NVRPTRILPGIDAPLKRCQPKDLDWVIVRENSEGEYSGVGGRVHQGHPLEAATDVSMM TRAGVERIMRFAFALAQSRPRKLLTVITKSNAQRHAMVMWDEIAVEIAKEFPDVTWDK ELVDAATARMVNKPATLDTIVATNLHADILSDLAAALAGSLGIAPTGNIDPERRYPSM FEPIHGSAFDIMGKGLANPIGTFWCAVMLLSHLGEDHAASLLMQAIEKVTADTSLHTG DLGGTATTAQVTDAVCNHIRSLGNAQKAA MIM_RS01330 MKKDPRHSADLYFFDALMSAGSLSAVALRLGVTPSAASKRLIQL EKRLGMTLVNRSTRRMSPTAEGLVYLEHARSILAQIESLDREMFRFKSEPEGLIRLNA TLGFGRSYIQPLVSRFVKKYPSITIQLFLTAFPPALSDDAFDLSIQFGKPPHQKLKTR LLAQNRRLLVASAAYLKRAGRPVLPHDLLKHNCIVIKQDELPYSEWILSNGQSTEKVP VSGNLVTNDGYVGVQWALDGHGIVMRAEWDVARYMRSGRLVQVLADYNTPNADIYAVY REQPHTTARMNLLLDYLSRSFDNLNPGSNITVSSGW MIM_RS01335 MTLLFAFLHHVLAFTLVATLAIELVLVKDRISIERARRILRADA IYGASAMLLLVVGFLRVIFFEKGAAYYFHSIPFIAKLLLFVAVGVLSIYPTMTFLSWR KSLNRGREPVLDPAVIKRIRKLIHYELVCLVLIVLAAAMMARGIGYWG MIM_RS01340 MSDSASGVMSLFVYGTLKTGCCNHDRHCSIAIHTEKAWLWGRIY HLDRDEGYPMVEIPEQAVLARGTDRPGMDALLAYAPGSTTRPAGDWDLIEGELMQFDH PARDLPLIDLLEDFSADGQGLYSRVLTTISTQTGPQTGWTYIREREHDGRRLHPDASG TVCWRPADFIS MIM_RS01345 MVNVAGSKGYAEKAQRFAADYESVTFEKVHNPVRGFYPGAPAKV LDIGAGTGRDAAAMAALGYQVDAVEPTAAMREQGDLHHTNTTINWIADSLPELALVRA TGKQYDLILLTAVWMHLLEQERLPAMQHCAGLLARNGIMSMSLRHGPVPAERHMFDIP DEETIALAHTCGLQLLYQKSYKNDTLGRNDISWSYAVFRK MIM_RS01350 MNNSHNSRDGDDIVVSIQGVGKTYKSGFTALKRVNLDIRRGEIL ALLGPNGAGKTTLIGIVTGLVNASEGTILVDGDDVQRDYRRVRPKIGLVPQELFTDSF ETVWDTVTFSRGLFGKPADPAHIERVLRALSLWNKKDNKILSLSGGMKRRVLIAKALS HEPSILFLDEPTAGVDVELRHDMWNLVRELREQGVTVILTTHYIEEAEDMADRIAVIN NGEIILVQDKAQLMGQLGKKELHLQLTQPLQALPPAMSAYPLTLSADGRTLVYTYNKE SEDNTGKSLSEMLRQLGDVGIELKDLQSRESSLEDIFVSLVRKDS MIM_RS01355 MNLYGVKAIYKFEMARAFRTLMQSIASPVLSTALYFIVFGAAIG SRMGEIDGVSYGAFIVPGLLMMALLGQSVSNASFGIYMPKWSGTIYEIMSAPVSYFEV ILGYVGAAATKTLILSVLILITARFFVEYQIQHPFWMVLFLVLTAITFSMFGFIIGLW ADGFEKLQIIPLMVITPLTFLGGAFYSIHMLPGFWSKVALFNPVVYLISGFRWSFYGV ADVNHYVSLFTILFILLLCALVVSWMFRTGYKLRP MIM_RS01360 MSENPTTHYRTIWISDVHLGTKGCQATALLDFLKHTESDTLYLV GDIIDGWQLKGKWFWPQSHNDVIQKLLRKCRQGTRVVFVPGNHDSFARHYVQQNFGGI EVVEETEHTTADGKRLWILHGDRFDGVVQFAPWLAYVGDHLYTVALTFNMWLNNARAR MGMGYWSLSQYLKQKVKNAVSYISAFEDAVVQEARKNNYDGVVCGHIHKAEIREVDGI LYCNDGDWVESMTALVEHGDGSLHIVTWTPPIVVREKKPKRSRLRGAATTPSVVDMPA AEPVARTTTEREDNLPAQTDEPDKEETPSVIQA MIM_RS01365 MNAYLAGLFLSGSLILAIGSQNAFVLKQGLRKSHVFWVCLVCSL SDAALILAGVLGFSVVTRYAANVVPLIKYAGALFLFIYGLLHFHAAITKNASMGDSQI EAPSLKRTLLVCLALTWLNPHVYLDTLLLIGSVSTRFPEQHWLFAAGGITASVGFFFA LGYGARLLLPLFKKPVAWKILDLIVGVTMWVIAWQLVWGESLG MIM_RS01370 MSKIEVKNIYKIFGKEPEKWIDAVKQGISKEELLAQSGHTLGLK DISLSIEEGSIHVIMGLSGSGKSTLIRHFNRLIEPTAGHILVDDTDVVTLGSRDLEKF RQKTMSMVFQRFGLLPHKTVLDNAAYGLSIQGISKTDAHDKARYWLDQVGLAGFENQY PHQLSGGMQQRVGLARALATDADILLMDEAFSALDPLIRREMQNQLLELQAKLNKTIV FITHDLDEALRLGNRIAILKDGELIQEGTAEDILLSPDNEYVAAFLQDVNRGKALNAS HAVNAPRLTLTMRARPAHAIERMKQYDYKYAPVLDGKAFAGLLRLSAAEEAVRSGLRD ISSKVEEARTVSADTNLDEVLTHMLQSTAPVVVTGDNDEFVGLMSRAKVVELVSPAID GSNGDNNRADNSEANGKAQGATPAEAPAAQTPATPATPASAPAEREDAPEPPEGAIEL DESLKNKPEKAPGHTH MIM_RS01375 MFPEIISARDVRKSIDGFVDHLVTNYADALNAMSEPFLHVLVWL EKVLRGAPWWSVIIVAVLLAFAASRRVVFSLMMGVLLAMLGVLGLWDAGMQTLSLMIM ATAISIIIGIPLGVITAKSNAVRSIMLPILDVMQTLPSFVYLIPVVMLFGLGKIPAII ATVIYAVPPLIRLTDLGIRLVDREVLEASRAFGASSAQQLFGVQLPLALPNIMAGINQ TTMMALSMVVIASMIGARGLGYEVLLGINRLEVGRGLLAGLGIVILAVLFDRITQSYG KRKNTGGAR MIM_RS01380 MIKTKVLSAVFIASATFGLARSAAAADAPACEISDTVKFGGMNW ESNLILADVERYILEKGYGCQTEVVPTETLTALAALERGDLQVNTEIWLNSVSDAWVQ AEKSGKVKRVGELYTGREAWYIPKYTASKFPDLKSAADLIKHKDAFADPENPDKGRIY GCPAGWNCQLVATNLAKGLKLDKDFEVYAPGTGAAQKAALMSAYKRKKDIVFYYWEPT PLVSSLDLVRLDMPPYDKEKQLCLTREDCADPQAVSYPDNPVFTALNTKFTQDAPTLT AFFSKVTVPFDVVNKTLAYMEESGAETGEVAQWFLKNQQDIWSKWVSAEVADRVKASL MIM_RS01385 MFLKHKILCSTLLAAFGLGLSATAQAADAQCEVDRTVNFGGMNW ESNLVLVDVQRTILEKGYGCKTETLPTETLPALAALERGDLDINTEIWLNSVGEPWAK AEKTGKVKRVADLYTGGEAWFIPKYVAEKFPDLKSAADLPKYKEEFADPEDPSKGRFY GCPAGWGCEVVSSNLYKALKLDKDFTLYSPGTGAAQKAALTSAYKRKQNIVFYYWYPT PLVGSLDLVKLELPPYDAEKQKCLTDPNCADPQPSAYPDNPVFTALNTEFSKQAPKLT EFFSKVAVPLPVMDQTLAHMEETGDDSRDVADWFLKNQGEVWEKWVSPEVAAKVKESL MIM_RS01390 MADSTDQNTSNPRGACSWIVREADVPGYSPANHHGTVNRRLIGP DTVGARHLEVLVGTIEQNRGALPHAHPGIEQVVYLLSGTADVEMDGERGSMQAGDCCF FPADKKHIFTVTSPEPARLLVIYSPPYEENPARVIRD MIM_RS01395 MNIKPLRCWLAAAALVAAGTAAAAWPEKPITMVVPFPAGSGTDS VGRIFAREMTSRLGQQVIVENKPGGNGTIAAQQVARSKPDGYTILISTNTPLSAAPWL QKSVPYDVLKDFTPIARGGNLPFILVANMKQPFNSVQELVKQAKANPGKLTYASGNST GIVAGATLSHAAGIETLHVPYKGTPQALTDLIGGQVDYMFTDLTSGMPFVQSKKIKAL AVSTAKPSSLLPELPSMEAAGVDHFDINSWNGFLGPADMSEEAVTALNKAINEIVSDA KVKQELARLGFDAFSGTQQEFAEFVREQYELWGKLIKDAGIQVQ MIM_RS01400 MTDSKRSGPLAGVRVLDLTSIILGPFATQMMADLGADVIKVESP VGDTIRQVGPMRNPGMGAIYLHLNRNKRSVVLDLKTEEGREACLHLVREVDVLLYNVR PQSMARMGLSYEAVSAVNPKIVYVGAYGFGNEGPYAGKPAYDDLIQGMTGVARLYERS SGHEPRYAPLTLADRTIGLQAAIACLAGVIHSRATGTGQSIEVPMFEGMAQMILGDHL GQRTFDEDAPADGMGYPRLLSEHRKPYQTQDGYVSALIYNDRHWQQFMAAIDRADLMT DSIFSTHSRRAENIGDVYRFVDEVLRTRSTAEWLALFDANDIPCAPLYAIEDLPTDPH LKATGHLIKTDHPSEGDVHTIAPLGRYSATPLGVYRHAPTLGEHTEELVDSLPMDSEK KQVVLNAARRAVGGRK MIM_RS01405 MDFSFSQDQQMLVAAIEQLCSDFDDEYWLTCDRTGDYPEAFYQR LAQAGWLGVAMPQEYGGAGLGITEAALMMKTISRSGAGFTGASAVHMNIFGLNPVVRF GSDELKQRALPPLIEGRDKACFAVTEPDAGLDTTQLTTRAQKQGDHYRVSGRKIWIST AQVASKMLLLARTTPKDQVSRATEGLSLFYTDLDRSQVEVREIEKLGRKAVDSNMLFF DGYEIPECDRVGEEGKGFEYILHGLNPERILIAAEAVGLGQVALDKAVQYAKERTVFN RPIGQNQGIQHPLAQCWMQLEAADLMVSRAAAAYDANEPAGAYANTAKYLAAEAAFTA CQTAIQTLGGMGYAREYHVERYLRESFIPRIAPVSPQLIMCFIAEKVLGLPKSY MIM_RS01410 MNTTVPGTENHRVKTALRVIEIIEIFSREQKPLALSELARELNA PASSCLALVRTLLSLGYLYETSRRQGYYPTGRLLAMAQRITKADPILERLYPSLRELC AITGETVVLGKLTSLNTVLYLDVLPSENPIHYVAVAGEQKQIHANSLGKALFSALDEP AQQALLDKMTFTKRNDRTLVTRQQFLSNIQAGKEKGLFTNLGESMVDVGALAWPVTVS GGHFAISIAGPLYRIEANLQNHAQKLRVMCTFIEQH MIM_RS01415 MKRAFMAVAVAAVFTGTSFTPASARTAEPAAADTFEVSGLEQPG TISIDKWGIPHIYAQTLYDVFFLQGFNAARDRLFQIDLWRKRGLGEMARDFGPDYVEG DRMARAVLFRGDMYREWLAYGSDSKRVAQAFTAGINEYVKMTKANPDLLPEEFKKLGY EPSLWQAEDVVRIRHHGLTLNAKNELDRAQVFCKVKDDPVKADWLRRELSPTIDPKLV EGLDPCSIPVKEIKDAYDLATATPKLTKLPPGAEQAKPAGGQPKKQAEKTSAVNDKGL LPVSALYDVVRNDSDTERGLGSNNWVIGGARTTTGRPILANDPHRSHGAPSLRYISHL NAPGLSVIGAGEPFLPGISIGHNDQIGFGLTRFYMDQEDMYQYEINPDNKNEYKYKGR WEPMETVEENIEVKGEPARKVTLRFTRHGPVLYQDDGSHTAWALRAAWLDLGMAPYFG SMDYMRATNWDEFKAAMNRWGAPGENQVFADTGGNIAWMPGGLTVKRDWDGLTPVPGD GRYEWGGYRDMDELPSLYNPKAGYIVTANENNIPPDHPAFKKGVGYEWSSDFRAKRLK RVIGASDKSSVADSEAWQNDKTSLPAIRTVTVLQEVSTDDEKLKPVVEMLKKWDGKVT ADSAPAAVFEVWFSRYLRKAVVDRAFDPDIAKMIGLADPERVLDVIENPDGWFSEAER NQIMLDSLGQAVAEVREKLGDDPQAWKWGTLHQAVFLHPMNALLSDEEKKTFNVNAGP IGGSGYTPMATSYGEKSYNLTAGASFRMVLDVGQWDDSRVINTPGQSGDPRQPGYRDL APIWAKGEYIPLAFSKEKVTENTVKVLNFKPQQQQ MIM_RS01420 MNAKARIFFFGDTHGGFEHCREIVVAGKPDAVIFLGDIQASRPL HEILGDIHQMTDVWWIPGNHDTDSAAFYHNLFDSDLADRNLHGRVVDIHGVRVAGLGG VFRGTIWNPARPYWRFYSPRDYEAHSLVKSGKSGQGDRLRHRSSIFPCDYEALMQEQA DILVLHEAPSCNRYGFTVLDRLALQLGASHVFHGHHHDRYDYSAHFERMGFVAHAVGL RGVLDSNGVVIRAGDLDGSGENRVAALQETSPQPVR MIM_RS01425 MSTNVIKARVEGMTCDKCVAHVTHALESVPGVVSAQVSLAGASA DIVASDSVTAQQLADTVKSAGYVLTV MIM_RS01430 MTSQMIKKVLIVALMGAVLAGCSTRHPNRDLGTVGGAALGGGAG YALSDGSPLATLGGAALGGLVGNQVFRDSDDRYDRGRRYYRDDRRRDRHYHRHGRRGW DD MIM_RS01435 MIRLYELCASDPDLVFSPYCWRVRLALAHKRLPFEGLPVGFMDK DKIAFADSRLVPVLTDGDIVVKESLDILEYLDRTYPQAPLGLDSEAGRARVRFISELI LRHITPVTFKPSLLAIYEAQPESARGYFRESREKRFGCTLEQLHATASAGDAHTALAA LEAQLKNMPYLDGNQPGATDFVVVAHLIFSWVFGFQYWKDDSAVGQWFTRVLDAYSEV AGPVKRLIHPAAAQFRAA MIM_RS01440 MYDVVTADLDNPEHASAVLTVLNAYASDPMGGGEPLSAYTQKNL IASLKKRSNMYTILAFDGQEPIGIALCFEGFSTFACKPLLNIHDFAVLAQYRGQGVGK QLLARVKQLARNLGCCKVTLEVLDGNAPGKALYTSQGFAPYTLGPQSGHAIFMQCPID NP MIM_RS01445 MKNLLSATLLTATLGLALPTLSHAEKVLRLNNVEEPSSLNPALG LNLISWEPLNNLMEGLVRLDAKHIAAPATAEKWEVSADGKTYTFHLRQNAKWSDGSPV TAGDFVYGWTQLLTPATASPAAFLLYDIVGAQDFNAGKAQAEALGFKALDEHTLQVTL NAPSLSFLNILTNPGFAPVNQKVAQANPKWYTEADSYVSNGPFMLKDWEHASSMRFEK NPNYWDKDAVKLDAVDWVMINDLNTSYQMFRTGELDATPSPLPAALYDKVKDSKEFMT VPQAGVYFYRFNTQMPPFQNADIRRAFALAVNRQDIVDYVVKQGRKAADAFVSPGFTG PDGKDFYQTSNGFVKTDATQARALLKKGMEAEKYTTLPPVTLSHINNPDDRKIAQALQ AMFKENLGVDVTLQAIESKVFYTQQRGGKLQFSRSSFTNDYADPYNALESFVSNSSMN RTGWSNAEYDTLIKTAQNETDAARRWELLQKSQQVLFADMPIFPLFFYNQGFMQKTGV TGILRHPVGYIDLKTADIQ MIM_RS01450 MNMPYTTAGPTTDVTDLTDLTDLTDLTDLTGRSAQPDPQQDPPL LTVRDLSVRFRTYGGHVHALRQINLHIARGETLAIVGESGSGKSVTSQAIMGLLPTST AQITSGTITFDGRELTTLKPAQWREIQGRRIALIFQDPMTALNPTLTIGEQMTEGVRR HMKYSADQAKARAIQMLELVGISSPQQRLKQFPHEFSGGMRQRIVIAIALMCDPDLLI ADEPTTALDVTIQAQILALFRQIQARTGVAIILITHDLGVVAGVADRVTVMYAGQCVE TAEVHALFAQPAHPYTRALLASVPRLDMPAASLKAIAGQPPDLFAPPDGCAFAARCRH AMRICHQITPPATALPDDGSVRCWLHDARAPQAARRM MIM_RS01455 MNSATTQQEAVVITDDMFEAAPPDPQAVAHVLRPSVSYWRSAWW RLRSNPAALLGLLFLIMLIVMAALAPMLSVWSVTAQDLKSQNMPPSSLHWFGTDELGR DIFVRTWYGARISLFVGFMAALIDFVIGVTYGGYSGYKGGKTDILMMRILEVLYGLPY LLVVILLMVVMGPSLFTIIIALTITGWISMARLVRGQVLQLKNQEYVTAARSFGAGTA HIIRRHLLPNAMGPILVQMTLTVPTAIFAEAFLSFLGLGVQAPYASWGVMANDALGVI LSGDWWQLFFPALFISLTMFAFNVVGDGLQDALDPRLGKNK MIM_RS01460 MTKYILQRFALMLGTIFIIATLTFVLMHLIPGTPFDQERQTSAA VQDNLRAFYKLDQSLPVQYLHYLKAIVTFDYGPSISSPDTTVNTLLARGFPVSFELGL IALVVATLSGIGAGTVAALRHNRLIDRTVMAVAVVGISIPNFVLATLLIQELSVNLGW FPAATWRTPWHKVLPVIALAVTPMAILARITRSSMLDVLTQDYMTMARAKGLSPWRIV IRHGLRNALLPVVTLLGTLAASILTGTFVIEKIFAIPGMGRYFVDSISTRDYPVIMGT TVFYSAILVFMLFLVDIAYGLLDPRIALHRKRQS MIM_RS01465 MTTASAPSACTNTDRPVLVSVRHLKKNFDLGRRQSVCAINGVSF DIHEGETLGLVGESGCGKSTTGRTILGLYEPSEGQVTFRGQDIHRLKGQARRQVQRNM QMIFQDPYASLNPRASVFDLIAEPLDIHGLYRDPKEKAQRIGMLLEEVGLSASFVGRY PHEFSGGQRQRIGIARALALEPAFIVADEPISALDVSIQVQIVHLLQRLQQERGLTFL FIAHDLSMVQYVSDRVGVMYMGAMAELASSEELYHNPLHPYTQVLLSAIPVPDPQIQR QRSDQVFRGERPSSLVPTIGCAFRSRCPRAMAACQSQAPVWREHTPGHFAACHALDAE GHHQ MIM_RS01470 MAIGPFFFAPMVLAAIAGVITLLAGCAILTRRVSPQFDHWPWLT MLMVLASARLGFVIRHWESFFTEPWRIFYFWQGGFDIAWAIAAAVVSLVLLQGWRLRA LGGVLLGLVAAVMAALALLVPGPLAQPMPAVALPDMGGQLHPLQRSGEQKVVINLWAT WCGPCRREMPMLEQAARQNPDVRFLFVNQGESAARIERYLQQEGLDLKQWIRLDPDST LSAQFRTRGLPTTLFFNDNTLQRTHVGEISREVLSEKLNGL MIM_RS01475 MPPTSRTSSDKRLLSLSMLLFPLAMVLYELSAYIGNDMVQPAML TITAEYGVDDAWVASSMSLYILGGASLTWLIGPLSDRYGRRRVMLAGVLYFIATNFLI LLAPTIEWFMVLRFLQGMGLCFIAAVGYVSIQEAFEELTAIRVMALMANISMVAPLLG PLAGAALISVVSWHWGFVIIGALACVSLVGLFLHMPETVNLAQPKVSPAQIWQQYRQV FKNKLFMQLTLYFPLIVLPMLVWIGLSPVFLIKDMGLSNMGYGLSQLPIFISLIVGNL ALARYAPRFPVGQSVYFSIPLMIAGGILLILAGFIPSIEYLLLVTGLALSAFAQGITF AVLYRFTLMASDQPKGVVAAVMSLIMMLIQAVGIELFKLVYVHWGLAALCVMNGLLML VFAALTPPLMRRTMKVVTPGPATPAA MIM_RS01480 MAAFLEGKVLSIHHWTDRLFSFTTTRDQALRFSNGHFTMIGLPV NGKPLLRAYSIASANYEDHLEFLSIKVPDGPLTSRLQHIKVGDSIIVGRKPTGTLLVD YLLPGKRLYMLATGTGLAPFMSLIRDPETYERFDEVILVHGVRFVNELCYHDYITNEL PKHEFLGEMVSEKLKYYPTVTREPFRNEGRITALLESGKLFTDLGVPALDPETDRAMI CGSPEMLRDLKTALERRGFSEGNTSRPGAFVIERAFADQ MIM_RS21935 MVSAPLLFDQYPDRALLIEGVAGSGKTTLIAREAEQCVTQGRTL LVTFSRAGRDVLQNYMRARNLEPVSNPNLHIFTIDGLAHFLLRRLGDERYVLDRQAIV SDLLPDLVSEVCQDLQASSEEDDAVMLQAPALSIDAMEKLMSDLDYYRASLAWQAESA EDEQAAFGDGLHHPPELVRAVFRRYDALRAHWKPEASEPDDTLLDPVYKPEHSKGMYG FRLVSEAAYDLLEALDNGAELPGNAAAYRYILIDEFHDTTPLQLLLLSRIGQHAHRLM AVGDRYQTIFAWRGANTELVFDAFVRDFSARRVNSLTSYRFGTELARLAGELTRRAIT SGIVADTPVMVCSGSWDNAGALAPLGKQSIICRHGPDRIRAAFSLLCHAPVPIKLAWP LASTPAMGIATLLYALRFPQATTPLRTLPLALLQFLSLPGCLAPDTVRELAQARLTPI NLRMYMENWIAARVPVFAPSLKHALQQWLTAPCAPDAGAAETLQAFARQADLFRNLTT PQRLLDESLLQGWEGLLMYLQLNPIAIQAWPALLTTVAARCSTRGGVPLLTVTEAKGH EYDHVLVYDTADTTFRNQTDAPDVERNRYYVACTRARKSLTLFRPARELA MIM_RS01490 MTDRTQVHSLQIATVLKNFIDQEALPGTGVDADAFWKGVDALIN DLAPENKALLAERDRIQAKMDEWYRQHPGNIADMQAYKAFLKEIGYLKDAPATVQVNT SNVDYEITNQAGPQLVVPITNARYALNAANARWGSLYDALYGTDALSEEDGATRDGGY NPKRGEKVIAFARDFLDQTIPLQNGSHKDATAYTAENGALSVTLADGSKTTLADADLY LGHRGDAAAPEALVFRQNGLHFEVQIDKTNPIGKQDKAGVKDVLLEAALSTIMDCEDS IAAVDAEDKVLVYRNWLGLMNGTLAEEVTKGGKTFTRKLNPDRQYTAKDGKPATLAGR SLMFIRNVGHLMTNPAILDKDGNETPEGILDAIFTSLVAMHDLKREGGNSRKGSIYIV KPKMHGPDEVAFASKLFGRTEQLLGLAPNTIKMGVMDEERRTSANLKACIAAAADRVA FINTGFLDRTGDEMHTSMEAGPMIRKGDMKKSSWIDAYEKRNVLFGLACGLQGKSQIG KGMWAMPDLMADMLAQKGAQLKAGANTAWVPSPTAATLHALHYHQTDVVQVQNGLKND TDERLDALLTIPVADNTNWSDAEKQQELDNNAQGILGYVVRWVDQGVGCSKVPDIHNV GLMEDRATLRISSQHMANWLRHGIVTEAQVMETMQRMAGVVDKQNEGDALYRPMVGHF DTSPAFLAACDLVFKGVQQPNGYTEPLLHQWRQKAKQQYGIK MIM_RS01495 MRTVSYSQARQNLSATMIQAAEDKAPVLITRQNGDACVLMSLEE YNSLQETAYLLQSPANARRLMDSIENLKSGNARERGLIE MIM_RS01500 MKLKWSEEAWEDYLYWQDADKRMVKKINDLLNDTCRTPFEGIGK PEPLRHHLVGYWSRRITLEHRLVYAVADDALLIAACRYHY MIM_RS01505 MKANPLISAQELSNLLDTASNLVVCDCRFELTNPSQGLQLYNDG HIPGARHVDLDQVLSIKGTPDQGRHPLPAREHFAQTMAAIGISDATHVVCYDANDSMF AARLWWMLRWIGHANVSVLDGGFKLWQQGGYPVTTEPPQPAKEGFLSLRDSLTQTVEY DEVLANISAAERLVLDARSPDRFRGENETLDPIGGHIPGAANRFFKDNLQADGRFKEA ETLRKEITASLQGQQPERVISQCGSGVTACHNLLAMEVAGFSGAALYPGSWSQWSNTS GAPVAKD MIM_RS01510 MKPYITVLTLGVDDLERSLSFYRDGLGLATNGIIGTEFEHGAVA FFDLHNGLKLALWPRTSLAVDTGLPQTPPSMLELSIGHNVDSREEVDRIMQEAIAAGA TLIKPAQDTFYGGYAGYFSDPDGHLWEIVWNPELATLGATG MIM_RS01515 MKRTTGIRMTVWLGILAVCLAVFMPGVNALKNSYQAGVNEARVN DIFVADVCYGAQADLAPVAASNSPARLAVRSPADHHSGHTDIDTPPDDPGAVHAAHGG VSALSALVGGSNAPASSGHSADHAAECLYCGFFTHHLPLLYSANLPAMSYGFVSRIAP QHQHASYYAQPAFVPLSRAPPVLS MIM_RS01520 MMSRTQPLKAQAGEPAAAPMARYAMLWRWHFYAALFVMPLLIVL GITGTIYLYKPQIEAIAYPSQLYVTPEDAPRLPQQTLYDIARDSTPGGVPILTAEIHN APDRSAQFTYLDPVEGKSSIFLNPYTGEVLAKMPTLNFNATYWIGLARQIHRGLLLGK TGEIIMELAACWTLIMIGTGVALWWPRRKKGLKQAVIPDVKKKGRPFWREIHATMGIV LALGALFFVTSGLPWTSTWGAQFKQLVAQVNLGYPPDPPGATSARHEGHEGHEGHEGQ PAAPTRVQDLPLDKTAWGAGLLTVPQSAVSAGQTASAHAHAGHQDAGSDTDPAHQAGR PVGQDHDAHGAHGAAQMDMNGDMSMSGDHNMHAMPAEPVTLDQIMTLAQSSHIPDEYS IALPANRNGVYSVSYYPGDPTGEKVINVDQYSGKVINGVSYNEYGVIAKIISFAVSLH MGTYFGWVNQLLCAIIALGLCAMAVTGFVMWWKRRPAGGLGAPRIPDTLPNMRGWMIG LVVFGLIFPVTGLSMLVVWLLDRWYLARYRRQNGLAANTV MIM_RS01525 MKTLLFVLMGWCLGVLAPVAAQTTAPVQPQRTEQVSPCITHTLQ DNGHVHIVKVDLPCPSVQLVGTAVGTAPTTVKNFAFRNRLNVAINASFFDPQYRPQGL VISEGRRWDNSRDTRQHAFLACTAANRCRIDMPNHKAEVNPEWQTVIAGWQNLRGGTY VCARGASASCYSNARAAHPRTSVGLSRDGRWLYMIVVEGRLPDFEGYTLNQLARLYRS LGVRDAMNLDGGGSTTLVINNQRMNTLPSRQLFLERSVANQFGVRDTAAP MIM_RS01530 MSVLPPLPVKNGVAPSRVYLPRETWPDLLSFLLAKFPHMDAAVL RTRLAKGDMVNAQGTPFSLNSPFPPDSWLWYYREVPMETEVPFHIDILYQDELLIAID KPHFMASIPGGRHLHQTALVRLRDQLGNPHINPIHRLDRDTAGVLVFCADRDRRGVYQ TLFQTRSVHKIYEAVAPWRSDLTFPLLRESLIRRSGQVFVMHEIDGPPNSRTRIEVLA HNNTLGHYRLEPVTGRKHQLRVHMNALGMPICNDEYYPELKPLRTDETFDNPLQLLAR SMQFTDPVTGIERYFESRRTLELAAQIHK MIM_RS01535 MGAGFVTAAYIIAALFFIASLAGLSRQETARYGNGFGMAGMAIA LVATILGPNSASVIWVIIAMLIGGAIGLHLSRKVEMTQMPELVAVLHSFVGLAAVLVG YNSYIEVQQHAMPAGALLNIHLTEVFLGVFIGAVTFTGSIVAFGKLRGTFSSKPLSLP HKHKLNAAALIVSFILLWIFVSNGGSTTALIIMTLIALAFGWHLVASIGGADMPVVVS MLNSYSGWAAAAAGFMLANDLLIVTGALVGSSGAILSYIMCKAMNRSFVSVIAGGFGT DGSSSASSEEETGEYREIKPEDVAEMLKGASSVVIAPGYGMAVAQAQYPVAEITSLLR KQGIEVRFGIHPVAGRLPGHMNVLLAEAKVPYDIVLEMDEINDDFSDTDVVLVIGAND TVNPAASEDPSSPIAGMPVLEVWKARDVVVFKRSMNTGYAGVQNPLFFRDNSSMCFGD AKATVEAILAALR MIM_RS01540 MQIGIVKEGLSGETRVAATPTSVEQLLKLGFTVVIESGAGSAAS FDDVAYEKAGASVVPGDQVWQSDLIYKVNTPDDAEIARMKKGATLVSFIWPAQNPELV QKLAEHGITVLAMDMVPRISRAQSLDALSSMANIGGYRAVIEAAHHFGRFFTGQITAA GKVPPAKVLVIGAGVAGLAAIGTAGSLGAIVRAFDTRLEVAEQIESMGGQFLKLDFGD ENGSSSDGYAKTMSEEFIKAEMALFAEQAKDVDIIITTALIPGKPAPKLITREMVDSM RPGSVIVDMAAAAGGNCEYTEPGQVITTDSGVKVIGYTDLPGRLPAQSSQLYATNLVN LSKLMSKEKDGQILLDQEDTVFRNMTVVHNGSITYPPPPIQVSAATSKPAAAKPEPKP AKPPKSPAFKFGVLALACIVFAWIGANAPAEFLSHFTVFVLSCVVGYYVVWNVTHALH TPLMSVTNAISGIIVIGAVVQIGHGSGLVNILAFIAILIASINIAGGFLVTRRMLKMF MKGKGE MIM_RS21940 MILWFRRHTLAAHLLVIALVVLLYLRWPAVAILVIVLYVAVLGI ASMNMATNLFVDTLRHVHPGSGTEGEPGGQIALSFDDSPTEGTAQVLAILKAHNVKAT FFIVGAHAAARPALLQQIVREGHAIGNHSYSHAHTLPFNRPATILSDLQQCSQTITAI AGVTPRMYRPPFGVVNPAIGQAVRASQLPCVGWSLRSFDTASSHPQRLLARITSRLTP GAIVLLHDYPAVTPQILPELLQEIKRRNFTCVLLSPETLRP MIM_RS01550 MTYTMLMAFAVFIAVSSVTPGPNNLMLLSSSVNFGVRRTIPHCL GISAGMGLMIVLMSFGLHWVVQHAGWLIDLLKIASLAYLLWLAWKIASITPTPLSVQN EATPFGFWNAVLFQWINPKALIMVISMFSIYVPDNIGQLTLLQISLLAVVINLLCISV WMFGGTLMRRLFTTPATQRGFNIVMALLLIGSVIPMVSADLGI MIM_RS01555 MTSQSSPSVPARLLRRHGASDARHHDASPPAPAPAVVAEAANPA NSRDALKESGQPQQQGAPLLAHWQPVRSGSLTLVDQIVDRFVVCIEQNVFRQGSRLPS VRLLAQELNVSRYTIVEAYDRLVATNHITANARSGYFVQHKKQPARGIKSGEIAPPQS QDRIDVPWILNRLFSQQNNLGLKQEWLDTEIVARSIRKVARKMQPSALMYGEPLGFAP LRQTIMAQLAAQDLHVQAAGEVLLTTGVTHSVDLLIRQLVRPGDYVVVEEPCWFVVFA YLRMVGVNILTVTRTPQGPDTAQLEAHCRKHKPVLFICNSTVHNPTGFTLSARAAHDV LRLAQQYDMWIVEDDTYSELSAGPPLRISTLDQCERTFLLGNYSKTLGAAMRVGYIAG PATILSEIAMLKLLSGLSTSSLNERVIHEIIHGGHYRSYIERLRQRINRARTRMLERL SDLGYLLIQPPAAGLFLWLDMSRDAEPLARQLNQHGIPATPGSLFYPDQRVSTHLRLS VSSYDHPHLWDLLAAWRSA MIM_RS01560 MSASFQLKKSVDGQFYFSLRAADGLSVLRSETYTTLASARNGIE SVRKNAGTESRYAFEKSANGKSYFNLKAANGQIIGSSPLYADPNGAKQAADTVRHHAA TAPVHDA MIM_RS01565 MLYITDIMGKKISKTLAAVVLLVILGYFGGTWYSGTQVDEQVIR RTQDINAQLRSNGLPVQVSSEKKDAGLFSSTYILTLRMDNAGQSHSLDFNVQVEHGPL PLSRLQEGKLQPVRALSHVTLINNEKTARLYELSQGQPPLELMLTTHLDGQTQYHGRV ASLAFNNTRDGQLHFDGMNFEGSVDATVRAAQFSANMPLIQVTPPETANGTSTVIFRD LALSSHYEGRDTNNPLWRQQSTLAAFSLASDNARLEIDHYQTDSTADTQDNLLALQQN TVLNNIRINGTDIGKLQYGVSASGLDRDGTIQFMTQAWQQLLNAGQPGAATEPQQWTT LLASLDLMLSGKPELTVGPITWTLPEGAATATLNVGLNNPVPLLSQFGNNPNALLFNA LRSVSMQLQADQAMPAAVEDRIKQLIPEALASADSTSGPTAAEKLDTMIDILVKNQLL YRRQNQIGLNVDIEGKPSLATANTIEMNGEQFEPKAFIDAVQTRAAAAELQIRQLTPP PASQAPDTTRPDQEASDQAAPALTAPEQEGSEQTVPEQTVPDQTAPDQTTPDQTAPAQ QAPAQTAPQQVDPEQN MIM_RS01570 MKKVYLAGFDVFRPDAVSYGQWLKALCVQHGFAGLYPLDNAAPE GLSGAALADWIYRANIALIEQADCVMANLNPFRGLEPDSGTVFEVGYAVAKGKPVWVY TEQTASLVAQAGVQTQDGRVVDAQGYTVEDFGMNLNLMIACSTNRVLGDAQICLQAMQ AQQAGSD MIM_RS01575 MIEKKKNIANPAWHVVRKSSLHGNGVFAARNIPQGTRIIEYTGK RITPKQADDMHPVNPDDPFHTFFFSTSSGKIINGGDGGNDSRWINHGCDPNCETQEGS GGKRVYIYAIRDILKGEELFYDYGLIMDGKITKTLREEYKCLCGAAICRGTMLALPEK KKAKRKAGK MIM_RS01580 MSVHTTAKRITVPQLRAYKNQKKIVSLTSYTAPFARVLDEYLDM ILIGDSTAMVGYNMENTLAITLEQMAAHARAVVRSTQNVCVVVDMPFGSYQESPEQAF RNAAFLLKESGADAVKYEGGTPLAATTRFLVDRGIPVLAHIGLMPQYLNTMGGFKAQG MSDEAAEIIYQDALSQEQAGAFAVVIEGTAEPLARRITQALSIPTIGIGASPECDGQI LVAEDIFNLTPNRIPKFARQFSDVQAAIREGVAAYATEVREGTFPTLDHCFGVKKK MIM_RS01585 MNTPQNESPAFNGARLMLETLIANGVDTIFGYPGGAVLPLYDAL YSEPRIHHVLVRHEQAAMHAAEGYARSTGRPGVVLVTSGPGMANTTSGLLDALCDSIP VLCISGQVATSAIGTDAFQECDAVGISRPVTKWNAQIKRVEDVAAMTAKAYNMTAAGR PGPVLIDFPKDIQMAQAPQEQEGDVIEPAVNTAGQMTSSVMQAAIKRAASLIANAKRP VFYGGGGLINSGPDACEVFSNLVSYTGAPCTLTLMGLGAFPANDKQFVGMLGMHGTLE ANLAMHHADLIVCIGARFDDRITGRLSDFCPHAVKIHLDIDPASIDKVVRADVALVGD CYPVLKSLFNEVKMLEREPGLIDDWWTRIEKWRGANCLAFTPSDDVILPQHLLATVND LIDGRDVIVSTDVGQHQMWAAQYLKFREPNRWLTSGGAGTMGYGVPAAIGAQVGNPDS LVVCISGDASVLMNIQELSTAIQHETPIKVILCNNRHMGMVRQWQELIHEGRYSHSYN ASIPDFVALATAFGWGAATVSDPLQLEDAIRDCFDYDGPYFLNVEVQPQENCFPMMPA GYGHQQVMLSEDTWYVEE MIM_RS22765 MTAKTVLGVFAANTRTSRTIPANFLRKTPAKSPPGAFQSARASD DLCASDAAISSMRARTTLSSSRDVFSTEDELLPAPEKMAAATSSSVATKWSQVRDAVM RNGIMSITNHQRPQLILMNVEHYDSLRDQVARNKAAQPKLDELNAMFDAQFDSFQTDE FKSATKRMLEAEGEFPEPRYLGPTR MIM_RS01595 MPRIIVLAGVNGAGKSSVGGQYLQSFGMNWYNPDAMARLYRAHA RIQPTIEEANAYAWRYGYSKLQGAIANKLSYSFETTLGGESITQLLKVAAQTHELHVL YCGLESIELHIERVRRRVSEGGHAIPESAIRKRWVGSRQHLIDLMPYLTSLRVFDNSA EQDPVKYLPSMRRIIKIENQRLIVPDESDVTALRHLPGWARPIAMRALLGFAD MIM_RS01600 MSYPSPEKDGLPGLEARLQEDLTRLDLPGKDWVQPRLVDGERLL DVAIIGGGMAGLTAAIALRNLGVRACNFDRAPAGFEGPWATTARMETLRSPKQLTGPA LGLPSLTFRAWFEAQYGRQEWDALDKIPRLQWMDYLRWYRKVMNVDVRNEHHVRHVHL HPDNYLELTLQAPDGQKVVGARRLILATGRDGLGGPAYPAFADKVPAHLRAHSSDFFD YNTLAGKRVGVIGAGASAMDSAATALEAGAHSVDMIIRRNEIPRINKGKGSGSPGLVH GYAGLSDDWKWRIRHYINVQQVPPPKGSTLRVASHHNARFNVGCPVLDIEVREDVIYL TTPKGTFVLDFLIFATGFKIDWHLRPEYAELAPLVRTWGQRYQPQPGQEDAELAACPD LGAGFEFQPRDGVDAPGLAHIHCFSYPAVASHGTVSGDIPAISDGASAIARAIAGSLY QEDVAAHYAQLEAYAEPELDGDEWTAAGPPLPVEAQ MIM_RS01605 MTLWLIKRVLQALLIILLMTVIVFIGLHAVGNPIDILIGPDADQ RDRARIIAQMGLDQPLWLQYFHFLGSAVQGDLGNSFVYNQPALQLVLHRLPATMELAL AALVIAIVVGVPLGLIAGLYSESIASRTIMTGSILGFSLPTFWVGLVLIMAFSVSLGW LPSSGRGQTVTLFGYQWSFLTLDGLRHLLLPAINLALFKVSLVIRLTYAGVQEVLPLD YIRFARAKGLSNLRVIGVHVLRNIMIPLVTVLGLEFGSTIAGAVVTESIFSWPGAGKL ILDSINGLDRPVILAYLVIIVCVFVTINLLVDIAYRLLDPRVRLEGAR MIM_RS01610 MSQPVTGSAVQAQINVPKPSLLRERLADFFSSKRAVVGFVLLLL LALGSVFAHWLTPQNPYDLMQLDILDSRLPPGSANGAGTFNYWLGTDGQGRDLLSAIL YGLRISLTVGVGSAIIASVVGTILGVLSAYAGGRIDSFIMRTVDLMLSFPSILIAMMI LAYLGKGIGNVILTLVILEWAYYARTARGQALAERQKEYVEAAKSLNIPQWRIMLRHI LPNCLPPLIVIGTLQVARAITLEATMSFLGLGVPVTEPSLGLLIANGYQYMLSGQYWI SLFPGVALLVTIVALNLVGDRLREIFNPRRLR MIM_RS01615 MSTTPLLQVRDLRTHFMTRAGQVPAVDGVSLQLESGRILGLVGE SGSGKSVTGFSIMGLIDEPGRIVGGQILFKGQDLRHLPQKELRRLRGNRISMIFQDPM MTLNPVLRVDTQMIETLRAHEKISRRQAWEQSRDMLGLMGIPSPEARLSAYPHQLSGG MRQRVAIAIALLLRPDLIIADEPTTALDVTIQSQILSEIQKLSARYGTALIWITHDLS VVAGLADDLHVMYAGKIVEAGTVDQVLDNPQHPYTQGLIQSLPANNIRGRPLRQIAGM TPNLLSLPSGCAFASRCSRRTAVCETMPALDELTAGHRVRCFHPGAVPETEVAL MIM_RS01620 MTDEIKVPLMQVRHVSKTFGQVNEGILDPWLQKLRLTRPAALTR AVDDVSLDIAKGEVVGLVGESGCGKSTLGRMVAGIMEPTAGQVLVNGQDLKTLPRHEA EAARLKIQMIFQDPYASLNPRMRVRDIVGESPRLHNMISAQDVDQYVSEQLERAGLSA SLRNRFPHQFSGGQRQRIGIARALAVAPDMLVCDEAVAALDVSIQAQILNLFMQLRAQ LGLTYLFISHDLGVVEHLSDRVVIMYLGRIVEQAPVSDIFSKPNHPYTQALLAEIPKM RDRKKVFSMIKGEIPSPLNPPTGCHFHPRCPHAMPRCRTEVPLLKTVAGGHLSACHLN DQT MIM_RS01625 MKKRVLAAIAASLLAAGNMAHAEELTIAFADNLSSLDPQLNNFA GDRSAGLFFFDMLVNNYHNKLLPGLATEWKNTGPTTWEFKLRQGVKWSDGTPFTTEDV IYSIERIRKVPGSVAPFTGYVRTIKSVTEKEPGTLVFETTIPNPGLPLNLASVHLVQK KSTENATSDDFNSGKALIGTGPYKLVSYTPGAGFKVEANPTYWGEKPLWDKVSYRYVA NAATRSTALLSGDVDVIDKVSVADLKKLEGDDKVKVYAYNGLRVFLLQPSFNPQPNKF ITDNSGKPLDKNPLLDLKVRQALSLAINRDAIADRILQGSVTVADQWMPKDSIGYNPN IKPIAFDAQKAKELLKEAGYPDGFNLTIHVPTDRYPMAPETVQAVAQFWSRIGVKTQV SVVPWAVYSSAARKNEYAMSVIAWGNGTGEGSYAMVNILATVNPDKGLGASNWGHYSS QKLDDYLKQATEEFDDAKREKIMQDAAQSVSDEVGVIPMFHYKNIWAARKGLVVKPLS SDRTVPVMVTKE MIM_RS01630 MANEILSVTPADALIDEPRAIRVEGLTAGERITIVSETERAGGV LWRSQAEFEANASGVVDLTRLAPLAGSYEGVSAMGLLWSQAPVQGNSREVFADTVAQP LITELIVTTANTTLHATLTQRLMQDGVERIEVREDGLVGTLFLPATPGPHPAVMILNG SGGGINEPRGALYASRGYIAFALAYFKAPGLSDYISNTPLEYFRKGMDWLRRTQRPLG GFVALSGQSRGGELVLLLASIYPQDVNAVVAYVPGAVVHSGQNAADPKVGREGPAWLL NGKPLTHVWQDNKTATWAPFDDGPSPHRHARAILTALQDKDAVARARIEVEKIQGPIL LLSATDDGAWPSSLYSKMIYDHLGEVGFEHVVQWVDTQDGGHSIVFPYVPTTQLVYAH PVSGKINTGGGIPSANAQSDEHSWTAVREFLQNAVRAHLHRRQEDSKTPIAG MIM_RS01635 MQSAEAFDLIDTLLNLKPDSKTWQARQFREKVKNGTQASYAALF SPDLSFPLQDRWLVALYASSLMQATELTAHYTEQALQAGIDEKLVQIVTEDHVEDIVK SELNSVLQFTKKLILDPIEGNQEALLTLKQSGLSTQEVVVLGQLIGFLAYQIRLVAGL KAMQKLEQAA MIM_RS01640 MSDVIKANGFTNQTLGWKAWLDVVDASAATPEQNKVLEASHPQA KTSDYYLLLVHEPHILDQRSFTYNAIMYAPGGLNRADRELGALAVSRVNGCVYCASVH AQRFEQLAKRNEIVHDVFVDLATAAKNERDAAVINVATALTRDVKGFDAQNLQGLKDQ GFSEIEMLDLIHSVSVFGWANRLMQNLGEPVFPAE MIM_RS01645 MEVVYGKKLFGYTMLKREKIAPSKTLASSKSPEGKLENAIAARK VIEQHRKVLIALRDR MIM_RS01650 MKGEEVIKLLTADGWVWVGGKGDHMKFKHPHKVLHVVVPHPRKD IALGTLRNIYRQAGWKWR MIM_RS01655 MFYPAYIHKDPDSAYSVTFPDFPGCFTAADELADLPRLAQEAVE VYFEGEDADIAPPSSFEDWCNDERFQAGCWMLIDIDLSKIDTKAVRLNISLPQNLLYR IDARAKALHMSRSAFLAFAAEKDMAAS MIM_RS01660 MTLIPEIKPQQSLELLKELHILTREGKINQDTRRKLKQVYHLYQ FIEPLMQTVLDERQALSLVDHGAGKSYLGFILYDLFLKEHDNATVYGIESRADLVKKS EDLAQRLGFAGMQFKDMTVEQSISSADLPEKIDIVTALHACNTATDDAIRFALARDAR FIVLVPCCQAEVAAALRATKGNTLGKTPLSELWRHPLHTREFGSQITNVLRCLQLEAR GYQVTVTELVGWEHSMKNELIIAKKTGGFKKSARERQLAILKECHLEALEERFAY MIM_RS01665 MNTLIQKYNIPGPRYTSYPTVPYWDEGQFDSNAYLQTVKRSFTE SNAQEGISLYIHLPFCEVLCTFCACHKRITKKHSVEEPYVDAVLKEWAMYRKLLGDKP VIREIHLGGGTPTFFSSANLQRLLNGILDDAIVHEHHEFSFEGHPNNTRKEHLQTLYD LGFRRVSYGVQDYDPMVQKAIHRIQPYENVLNVTDAARAIGYTSVSHDLIFGLPFQTW AAMESTIKKTIALKPDRLAFYSYAHVPWIKGNGQRGFDENDLPSGEEKRALYENGKRL LEELGYVEIGMDHFSLPSDTLYQALLNKDLHRNFMGYTSSSTQLMIGLGVSAISDTWY GFAQNEKVLEDYYARVESGVLPLFRGHLLNDEDLIIRRHILNLMCQLQTSWADPAMQF PELPDVLERLQEMENDGLIRIGADRIDITEAGRTFARNICMAFDLRMLRKQPNARIFS MTV MIM_RS01670 MTKTLIIAEKPSVALDISRALGGMHREGDYFENDQYVLSSSVGH LLTLTNPDDVVRGKWSFNHLPAIPAKAFDLKPSDKKATDRLKMLVRLLKRKDVSAVIN ACDAGREGELIFRYIIQYAGVNKPIKRLWLQSMTRNAIIEAFDNLRDDSVMKPLEAAA RSRAEADWLIGINGTRAMTAFNSKDGGFFKTPIGRVQTPTLAIVFEREEKIRQFKSRD YWEVHATFVAAGGLYEGKWFDPAFKKDEHDPEKKDNRLWSAASAQSVVVACRHKDGTV TEESKPSTSMSPGLFDLTSLQREANSRFGFTAKTTLALAQSLYERHKALTYPRTDSRY LPEDYQGTVRQTFEAIVGAESLLARRNAPFAKKILQNSWIKPNKRIFDNKKISDHFAI IPTLQVPKELSEAEAKIYDLVTKRFMAVFFPPAEFRVTTRITVVASHHFKTEGKVLIK PGWLEIYGRDNQESDATLVPVAENETVKTEDIVAKELATRPPARYTEATLLSAMEGAG KLVDDDELREAMSERGLGTPATRATIIEGLLNEQYLRREGRELIPTAKARQLMTLLVG LNVRELTSPELTGEWEHKLKQMEQGQLDRAAFMREIAQSTQIIVKRAKEYEQDTVPGD YATLTTPCPACGGVVKENYRRYACTKCDFSITKHPGGRTFEIPEVEELLQNKTLGPLN GFISKMGRPFSAILRITAENKLEFDFGQSDDDNSEPLDVSQLTPVGHCPKCTGNVYDT GMSYVCENSVSEKKTCDFKSGRVILQQEISHEQMGKLLSQGKTDLLDGFVSNRTNRKF KAYLAMSKAGKIEFEFEPRPAKTAKAASKSAAKTASKTAAKSAATKAEADSGKAVKKA VKKTARKTASKTATKKTAVKKAAAKKVASKTATARKQTAKTADPDDTEPAF MIM_RS01675 MQAASAQSAQSGQDRTILWRRFFNLGLRVLGIGLLASGIVTWIA ANWDGLSKFERIAGVQLLLVGAVAVALVLAWRGPHRSGQAAGTPTAAGSDSQGIPAFA GALFLATVVTGGLLALLGQTYQTGADPWTLFGWWALLTVPWLLVARSWFVIVLWLVVA NTAVILLLGTEVLLSSQQSMLMSAAWVVAGLNIVLLAVAEWLRGRYGDPYRVVPRLLV LAILIALFGTLVATLDSLFGGHANPGMPLQVVFVGAVVAGGFHFYRRVRPDFFILSQL AVFTVLYAGTYALIASWLSAESIELSVLLAFVVALGGLLGCVSWLRRVYQAQFSVPDQ PAASDLSVAQPAAVTPTQTGAAHTESAATSVHRSPLLFLISFGFIFLLGLALIAVLEI PLTVAAGVVLVVGLLAYFARGGAWRVSGATLLLVGLLLLAFEVFSGSYFDYDNRSLPL LGLVAGLLLLYHMHPIAWFQFMCAVAALVLFALLWPQYSPGWALYGGDQSLGYYYDVE WQVFMTELAPLYLVLATWMLLRLSEKWQRRYKALAWALLCVPLCGYVFQMSGTYFGLS HMAAGGRDLLALLLAKWRLPAPLPFVYASNVVLALLPVVVGWVVARRHQVPAAEQVLM VLVLLGLGLLWGGLHGVQLGLLLCLLGYALRYRSMLVLGILSIMLFLAAFYFQLHFLL LDKAYFLMGQGVVLLVIALLWRRLWRSASQVEQQPDPSGRLGVDAGSRDSGTAMRSTG LLPVQGRRGLSATVLAGILVGLVAVLAAANADIMQKEAIIRDGTRFVVALRPVDPRSL MQGDYMALNFVSGWGEGAELFNDYSHHYVELTPDAQGVHHFTRTLAQMETPAPQSGKV VVRYRRQLDKQLLFVTDAYFFPEGQGEHFAQARYGEFRVNKDGVALLVGLLDEQQNRL MIM_RS01680 MPFLALLPISVLPILSTPSALSCTPYTGRFAPSPSGPLHDGSLV AAMASFLDARAHHGRWLLRMEDIDTPRVVPGADQVILSQLEQLGMQWDGDIIWQSQRL RRYNEIFERLSKQELIYGCACTRQEIASHALQAGLLGEDGERPYAGTCRAGIAAGRSP RAWRLKMPDENYTFTDRWVGTRSQNVAQAVGDMIVKRADGLFAYQLVVVIDDYDQQVT DIVRGQDLLSSTARQHQLARMLHFTPPRMMHVPLVLDPEGRKLSKQNHAQAIDMQHPV KSLQRAWAALGFTAIAADDVGDFWRNAIPVWQQRFSID MIM_RS01685 MYDVLVYLFENYYTPESCPSADVLTKRLMAAGFEHDDIDDAIGW LFGLAESTEKCVELTSMSDSSTRFYTPAEQQHLGVAALGFLSFLEYSGAVAPPLREII IDRAMAAPEAPLSLQHIKIITLMVLWSQEAEIDHLILEELVTDDEEKLFH MIM_RS01690 MTFSSADAQSLMEITARPPLYFVRGEGSWLTDDTGKRYLDCIQG WAVNCLGHCPPQLVQALNDQAGKLINPSPAFFNEPSVALAKRLIDASCFDRVFFTNSG AEANEGVIKLARKWGQKNKNGAYKIITFDHSFHGRTLATMSASGKPGWDTKFAPQVDG FPKAELNNLASVKALIDDQTVAVMLEPVQGEGGVIPADKAFMKALRELTREHNMLLIV DEVQTGMGRCGELFAYQAYGIEPDIMSLGKGIGGGIPLAAMLSREEVSCFEHGDQGGT YNGNPLITAGGVAVFDTLTAPGFMQSVQARSEQLSQGLQALSDKWGMQGERGVGMLRA LILDKDDGPAIVDAARERGPEGMLLNAPRPNLLRFMTALNITEDEIKLMLAWLDEVIA KVRA MIM_RS01695 MQNEMELKELVIELSDNLNQCMAQQAALQHAVYALLANVSDKKA VRNSLNICAESFLEAQESLGLPDDMIETYQDEIAELLDTLSDDVEQQRDADWANEGGN IHLDADK MIM_RS01700 MKKFRHGFLVGAVLVFCIPQIPFIGPMLLRHLGPCYHPTPTQVR KLIMASAMLPNKLKYQDTEPYDGVELTWEDGSDTVYTTRQGKRVGRHFPMVSCGYLEW AHDQTFVPER MIM_RS01705 MFHTILIANRGEIACRVAATARRMGIRTVAVYSDADANAAHVHA CDESVHIGAAEPRASYLLSDVILNAARDTGAQAIHPGYGFLSENEAFAQACGQSGITF IGPPASAIAAMGSKSAAKSLMEQAGVPLVPGYHGKDQDPTLLHQEADRIGYPVLIKAS AGGGGKGMRVVNSSEEFAAALASCKREAISSFGDDMVLIERYLTKPRHIEIQVFADSQ GNAVYLFERDCSVQRRHQKVIEEAPAPGMTEERRRQMGEAAVAAAKAVGYVGAGTVEF IAEPDGRFYFMEMNTRLQVEHPVTEMITGLDLVEWQLRVAAGQPLPLTQEQLTMQGHA IEARIYAENPDTGFLPSIGTLSHLRLPEHVTFRNGTVRVDGGVGQGDTISPYYDPMIA KLIVWGENREQAIARMHTALAETQVVGVRTNLAFLGRLMENTAFATADLDTGLIEKNR EQLLPEHSEATIPALACVAATWISAQGQTGHSTNRHARSSDPWDSTDGWRLSGSYQQT LQLQDGESTHTLQMQRADGQWQLVHQERNYPLSWSAEQDGASWHTQVHLDGRNYRGTV VREGEQAWLFGTGQTGGTRIFGLPDILRHAADESADHGGNLTAPMPGKIISLSVKAGD TVKKGDALMVMEAMKMEHAITAPASGVVEEVFFAVGDQVSDGAELIRIEEE MIM_RS01710 MPVITTKINARSEGFLENAQAMQQQIDDLKRHLEQAALGGSESA RNKHVARGKLLPRERVERLLDPGSAFLELSPMAAHGMYDDEAPGAGVITGIGRVNGIE CVIVCNDATVKGGTYYPMTVKKHLRAQEIAQQNRLPCIYLVDSGGANLPRQDMVFPDR DHFGRIFYNQAVMSSMGIAQIAVVMGSCTAGGAYVPAMSDESIIVKNQGTIFLGGPPL VKAATGEIVSAEDLGGGDVHTRLSGVVDHLANSDLHALQLARDTVARLNIKKDIPLKL QPVREPLYDPTELNGIIPADTRKPYDVREVIARIVDGSEFDEFKARFGTTLVTGFAHL HGMPVGIIANNGILFSEAAQKGAHFIELCCQRKIPLIFLQNITGFMVGRKYENEGIAR HGAKMVTAVSTASVPKITVLIGGSFGAGNYGMCGRAFNPRMLFMWPNARISVMGGEQA ASVLSTIRRDGIEAKGGTWSADEEEQFKAPIRDQYEREGHPYYATARLWDDGIIQPAD TRRVLALSLSAALNAPIEDTRFGVFRI MIM_RS01715 MNDPIVIVSAARTPMGAMMGSLSGLAAHQLGATAIRAAVERAGI KAEDVQEVILGNVLQAGQGQAPARQAALGGGLPKSVACTTIHKVCGSGLKAAMLAHDL LLAGSADVVVAGGQESMSNAPYLLLKGRQGYRYGHSTVYDHMAMDGLEDAYQRGTAMG VFAEQCADKYTFTREEQDAFSIESLRRANAAIADGSFEWEIAPVTVSGRGGDTIVSTD ESPGKAKPEKIPALKPAFKKDGSVTAANSSSISDGAAALVIMRESTAKKLGATPLARI VGHSQHSHEPEWFTTAPVGAIKKLLDKLSWSKDEVDLYEINEAFAVVTMAAMKELELE HAKVNIHGGATALGHPIGASGARILTTLLGALRKTKGKRGIATLCIGGGEAVALAVEM I MIM_RS01720 MPLTADHQQVRQVDPPVPEPSALAAEILAGFNRHYSLFRFVAQR AKALFESHDWHGMQRVNRERIDYYDTRVRECTRQITQLLDRTRMAMQDPRLGNAAFTE EQSQYWQDIKQAFTQLLLEHSQPECAETFFNSVCSRIMHRDYFHNDYLFVRPAVATDY IDAQGSSYRVYYPHTHGIVATVIDMVADFGLALPYHDLPADARLVARRAVGVLRREII KTGGSRIAPDCQIHVLRSLFFRNKGAYIIGRFINNGQLYPFSIPLLHTPAHEVRLHAL LHTRADISTLFSFTRAYFLVDMETPSSYVSFLQTLMPAKPKAELYTAIGLQKQGKTLF YRDFLHHLTHSTDTFDIAPGIEGMVMTVFTLGSYPYVFKLIRDKIRKDGMEHKTVRQK YQMVKLHDRVGRMADTWEYSLVALPRHRFSEALLKELRTEVPSLIEETETAIVFRHVY IERRMTPLNLYLNRASDAALEDAVRGYGDAIAELAAANIFAGDMLYKNFGVTRLGRVV FYDYDEIQPVTEMVFRRIPPAPNEEAEMSDEPWYAVHPNDVFPEEFERFLLGDPRVRR AFLKYHAPLLDYQWWQNRQSRVASGKIEDIFPYPAERCLHIADVPRQPNSLKEST MIM_RS01725 MTLPGLNFDLGQDLDMLRDAVADFASAEIAPRAAQLDRDDQFPM DLWKKFGDLGVLGMTVSEEYGGANLGYLAHMIVMEELSRASASVALSYGAHSNLCVNQ LHRNGTEAQKQKYLPKLISGEHIGALAMSEPGAGSDVVSMKLRAEKKGDRYVLNGSKM WITNGPDADTLVVYAKTDPEAHAKGITAFIIEKGFKGFSVAQKLDKLGMRGSHTGELV FENCEVPEENILGQLNGGVKVLMSGLDYERAVLAGGPLGIMQAVMDITVPYVHDRKQF GQSIGQFQLIQGKMADLYTTLQASRAFCYTVGKHLDRLGAGHVREVRKDCAAVILYCA EKATWMAGEGIQILGGNGYINEYPTGRLWRDAKLYEIGAGTSEIRRMLIGRELFNETS MIM_RS01730 MNPRTWTISELAEEFNITPRTLRFYEDHRIVNPIRDGQKRIYTH RDRARLKLALRGKRLGLQLSEICSLIGMYEGPGSTQPQLQSYLTILTRHKQQLMQQKQ DLDQTLAEIDEQEKNCLKLLGELDSTATPR MIM_RS01735 MNELEARLDYPFGDELPQPGQAREIAPGVKWIRMPLPFALDHIN LWLLRDEVDGRQGWTIVDTGIAKPEVRALWETVFENELEGLPVLRLVVTHMHPDHIGL AAWICERWNAPLHISMTDFYVASLWSSQPAGGAGTGGDVAVAHFARHGLTDPDAQEQI RQRAKYYPSLVDGMPRRFVRMLGGEHLNIGGRQWDFIAGYGHAPEHISLFCPALNVLI SGDMVLPRISTNVSVFDHEPDADPLKLYLQSLDSYQPLPEDTLVLPSHGRPFKRLHER LRQQQAHHAERLREVLQWCSEKPMSASDVVPLMFKRKLDLHQMTFAMGEALAHLHKLY HEGKLTRTEDDQGVFRFSAAA MIM_RS01740 MYFDMQALEAKNTYKLMGSVVLPRPIAWVVTQSEDGTYNAAPFS FFNVLSGDPPVVALGIGHHGDEKKDSLRNIERTGEFVINLVSASLLDAMNITATEYPY HIDELQEAGLATLPSEKVKPGRIADSPVALECRLWKEMDVDGKRSILLARVEGLHIDD AAVIDADKCYIDGNKLDLVGRMHGAGWYTHTRDSFQLDRIPYKKTES MIM_RS01745 MKLHWSPRSPFVRKVMIVLHETGQAEAVELVRTPVAMAEPNLSL IPDNPLIKLPTLVLDDGYSLFDSRVICEYLATRAAERGLALFPADPQQRLRVLRQQAL GDGFMDALLLYRQERNKTEERQTPAWLSAFALKTEATLNFLQANVSALDQGGFDMGAI TIGCALSYLDYRFADLDWRQRAPDLARWHADVFVCRPSVVCTEPDETP MIM_RS01750 MSRIPFPTVETMNEAQRAVYDEIVNGPRGRLVGPLRAVLHNPEL AQRWQKLGEMLRFGTSLPPRLNELAILVTARHWNSQIEWYIHVQSALAAGVPQAIADE IKNCEVPGFTDAQDAAVYEFARQLLAYGTVDPAVYERIRTWFDVTGVVELTAVVGYYT MVSMTLNAHEIPLPDGATSPLVPPQRDDQQVLSTLAPISLEDIAA MIM_RS01755 MTENYIPDSVDMPRMPTPPAVSLPNGSCDTHCHVFGPYTDFPLH LRSTYAPPDAPAGRYLEMLDTVGMQRGVLVQPAPYGTDVSAIINAIARRPAHLRGIGV AVPDADAQQLKQWRAAGICGLRFIEARDPQGNLFPGSVGFDNIETLAPAMRAAGMHVQ MWGPYERYAPWLERVTDLGLPVVIDHMASVVLARGVQDPQFQLICRLLREQRLWIKLS VCRVSTQAPDYADVRPFHDALLEANVNRVLWGSDWPYVRMGERAPDVGRLIELAWDWF GTDAVRRQVWVNNPSALYEFAQGAGK MIM_RS01760 MSLDKQQIDAFGDSARDLLSRMNHAGRMRERVSQPPALDRDFWQ EMAEAGWLAVLVPEEQGGLGLGLGEINAIAREMGAALPAEPLVAVAVQTVTLLCSLPP GPLRDRLLAGIADGSLVVGTAWQQRPGQVQAGPGAILSASEGALSVSGYFIGVSPATG ASGWLILAREQEEDVLLWQEAGAEGVHLTDARRVDTGSMGTLQLQSCPIDQTQVLARG AQVLSAVDKANDYARIALSAQLLGCAGRAFDITLEYMKVRVQFGKPIGAFQSLQHRMV DSYIQLQMLEFALWDITAAPGQTPAVLAMQASRLKARAEQAAGQVTRLAVQMHGGMGY SDESDVGLMLKKTIALSSELGNRRAHLTRYLQLLQTQPAASADTSAASSDASARADAR DNTWTSFPTDRDWDAMPEAEFRQMLRAFYHAHYPEHLRHRNRRLHWHEIGDWYRTLAR QKWIAPAWPKQYGGMALSAEKMIAYIEEQERYGVGRPPDQGLVMLGPILIRYGTPEQQ QKYLPAILSGEHVWAQGYSEPNAGSDLASLRCEAVVDGDDFVVNGQKTWSTLAQDATH MFMLVRTDKEVKKQAGISFLMADLRTPGITVRPIRTIAGDEEYCEIFFDNVRVPKENL VGELNQGWTISKALLGFERLFSGNPKHAQNTLAMIDKVIAATGLHDEPAFMAQYAQLH MDIADLSCAYAAFSDMVRRNEPLPAKVSLLKIWATETHEKASLLLLDAAGEQAGTAGA ADFIGNSQGQAGSEDHRAVVVEDLQMPLYNAAAAKIFSGTNEIQRNILAKVVLALPVA MIM_RS01765 MAQTLSHIRVLDLGRVFSGPWTGQMLADLGADVIKVERPGRGDD VRQQGYRAKDAAGNETAETSSFLAMNRGKRSVTVNMSSPEGQDVIRQLAKQCDVLIEN FKAGDLARYGLDYASLKEVNPRLVYCSITGFGQSGPYSHRPGYDPIFQSMSGLMSLTG NPAEEPGGGPQKVGYAVSDLTAGFYAIIGILAALNHRDAVSGEGQHIDIALFDAQVAS IGHIAMNYLVSGMVPKRMGTASPITCPYQAFECSDGYLMIAVGNDAQFRQFVQLLGLP ELADDPRFVLNRLRAQNQKALIPLLQAEMIRHPIRYWQDQLDAMNVPCGPINDLSQVF EDPQLQHRQMLMHMEHTTAGRIPQIANPLKLSATPVSYHRPPPTLSEHTDDVLTELLG LDAAAIARLRENKVI MIM_RS01770 MTSRRKLPPLNALRAFEVSGRRLSFQAAARELGVTQGAVAQQVR ALEAHLGIVLFQRHARGLALTAAGAAYLVDVTRAFDTLTESTSQLLAQPRRVTISVTP TVATKLLIPRLAELRTAIPDVELRTVATESLSDFDHDQVDIAIRLTDKPFPAELQAQL LFHQELVAVASPHLLRHLTLPLTMHQLRKLPLLHDAHDHWPTLLHADKGLPGAVFNQT TLALDAALAGQGVALACRAFVAADLEAGRLLQVIEKTTTMGPSFYLVRKRTASSTQAV TAIWNWCAEQFAAN MIM_RS01775 MSVEKVALITAGGSGMGAAAARKLAQDGYHVAILSSSGKGEALA RELGGVGVTGSNQSTEDVQRLVDLAMQKWGRIDALVNSAGHGPRAPILDITDEDWHKG LDVYFLGAVRPARLVAPIMVAQGGGAIVNISTAWAFEPTEVFPTSTVFRAGLASFTKI FADTYAAKNVRMNNVLPGWIDSLPATDERRDSVPMSRYGKAEEIAATIAFLLSEGAGY ITGQNIKVDGGVTRGV MIM_RS01780 MLRVDTPAELAGYCGQSLGTSDWFTVTQDQIDHYASLTGDDHWV HVDIARAQREMPEGKTIAHGFFILSLIPVLARDIFKISRRGKGLNYGLNHLRFVSPVP VGSQVRLQQTLVDAQPKNGGTLFTFSNTFEIQGEDRPALVATMLLLIYDE MIM_RS01785 MRQSPPILSFLPDTGMRIQGALAAALTLCAVLLAPAPALAADDA YPSKPVKLTVPFPPGGSSDTAARILADGMSKQLKQTMVVENRAGAAGTIGIASVVRAQ PDGYNLGVGPVGGTIIARLIGMKVPYQPQDVVPIANIGSLPLVVAVNKDLPVSNIKEL VALAKSRPGSLSYGTSGAGTPGHLVFEYFKKITDTDIVHIPYKGDSPLTSDLIGGQVP IGILTGPAAKAQLSNPKLKFLAVTSAQRYPQMNKVPTLVESGYPNLDIEIWNLLIAPA KTDPAVLARLNTTMNQVLEEKDTIARLNAQGYLPPVSMSLEQARAFVETDRKKWETIV KTTGVTIGQ MIM_RS01790 MSQKSGPLSHVRVLDLSRIMAAPWASQLLADMGATVIKVERPGQ GDDTRAWGPPFLQDSEGNNTAEAGYYLSVNRGKESITVALDTPEGQQIIRDLARDCDI VLENFKTGALAKYGLDYASLKQVNPRLIYCSVTGFGQDGPRKNEAAYDFLIQAMGGLM SVTGERDDKPGGGPQKVGIPIVDLMTGMYTAVAVLAALANREQTGQGDYIDLAMLDVQ TAVLSNQAMNHLLSGKTPKRGGNAHPNIQPQDVYSCRDGDVILAVGNDRQFVKLCEAL QRPELASDARFSVNAQRARHVGELTALLQDVFADWARADLVAVLSAAGVPCGPINSIP EVFQEPQVQHRRLLRTLPHPAGVDVPQVVCPINFTNQPLQFESPPPLLGQHTEKILTD LGYDAARVRQLRESGIV MIM_RS01795 MNEIQLEKQGKIAIVTLDSPPVNALTLARYEAITQTFESINAMD DINVVVFTAKGTKAFCAGLELKEFLAATPEEDPARAKVVRATFRAIRHCAVPVIAAVN GPALGAGSVLASSSDIRIASEKATFSMPEINVGRCGGGAHTGRLVPQGSLRYMAFTGE QISAWEAHRVGFVEKVVAPRLLMPTAMDMAQVIASKSRIGLVTMKEALNRIEAMPVDE GYELEQQYSTRLMNSEDAREAVRAVVEKRAPVFKGR MIM_RS01800 MTQAQTRNSTAPIDFSRSAAARYVQLASLFRRRVESGQWPVGSQ IPTVDELAEECQVARATVRQALDLLQAEQLIERYRAKGTFVTGKPQEQFWCEVASNWD GQLIAPDGVSIEILSARDRRMPSSADLSLGKLAQSYQYWHRRHWRNGRPYYLGEVFID EKVCRRIPAKAFETKTSMRILRDLPGLEIVEAHQTLTLGSADPELADLLQLPLNAPVA HVHRSAVDRNGTIVFIGDGIYRGDVVRLDIRLK MIM_RS01805 MISADTAFVFAGIACLLALTPGPDNLFVLFQSMLWGWRAGFMIT LGLCTGLLWHTFIVTIGVAALIAASQTAFLVLKLVGAAYLLYMAWQAWRAPPVFASGE TAPSRRSDLQFYRRGILMSATNPKLSIFFLAFLPQFARPEAGSVTLQLLMLSAIFIVC ALLLFNLVAAFSSFAGRYLKRSALAQQVLNKLAALVFVGLAVKLATSSK MIM_RS01810 MSSESSIHTRLQHLGTAPCDAQHPVAPVGLPSIRTSTVRFNTVE DLENTYKRKSRGERLVTYGRMGMETHAALEDVFCALEGGQRVFLASSGLGAISMALLS LLSAGEHMLAADCVYGPVYHLNNTVLQRMGIEATFTSARDPQALEQHLKPNTKILYVE APGSLLMQMLDMPALAEFARRHELILVTDNTWGSGYAYQPLALGADVSIVAGTKYVAG HSDLMLGAVIANDPELIKTIDTNQYAMGFSISADDAWLAIRGVRTLPVRMEQHARNAL AVCEWLAQQSVVRQIFHPAWPQDAGHALWKRDCTGSNGLMSVALTMSADQARTFVNAL TLFGIGYSWGGYESLVQWVDTGALRSHAYFDAHAGDNVQVVRLHIGLESVDDVIADLQ QAMQQAGVL MIM_RS01815 MNNPAEPGVTTHSTTDPGSALRPLAIDVVSVQSQVVYGRVGNNV AVPALQAQGLTVATVPTVVFSNTPHYPSFHGGAIPADWFGGYLDDLFARHALTQVRAV LTGYMGSPQQAQLLATWIERLLKVRPALQVVIDPVLGDHDTGQYVSPDMADAYPRHLL PLADGLTPNGFELQQLTGSTASDIDGVVAAARRLLTGRTQWIVVTSAAPAAWPDNQML VAVVTRHEHKILSHARIDASPKGTGDLFSATLTGQLLGGASLVDAASYACDQLIAALK LTRQAQSAELLLPAIAGLMSVQAG MIM_RS01820 MNTPTTTSAPTSAALDTQTQHDQHGHWPQAESVDDFRHNLAAVQ ARIQACCQRVGRDPASVRLLPVSKTKPEANLRLAYAAGCRQFGENKPQEAYRKWEEMA DLTDLRWSVIGHLQTNKAKLVARFASEFQALDSLRVADALERRLQAEGRGLDVFVQVN TSGEASKYGLPPEEVSAFLQQLPAFSALRVRGLMTLAVLSAEAPRVRSCFTLLRELRE RLRQDAPEGIALDELSMGMSGDYEIAIEEGATVVRVGQAIFGARATPDAYYWPSAEQG EVR MIM_RS01825 MKSSSIVLRFVSAALLTGAAAFVPVMAQSGPAFKTLNTAQPSGS GDKVEVMEFFAYSCPHCADMEPMVEEWVKTLPANVAFIRVPVAFNAAMQPMQQLYYSL EALDKLDLHPKVFNAIHKEGKKLFTKDAIIDWAATQGIDKAAFTAAFDSFGVTAKVKR ATEMTDTYAIEGTPSFAIGGKYVTSPGMTGDYASSITQAQKLLDQVLAEKK MIM_RS01830 MATRKKRPAARSTRSSSGNGMTFSSVLLGLIIGLSVAAVAAFMI MRSPSPIQDHRQPANGQPGAFTGQTAPSQGKTLIDPNAWMNSDGSINSAQQPPTRQKI EPLPPLLGIPENAGDMPFTAGGSLPSATQPTTPPAKTAPKADDDQIASLINTLPGDKT PATRAPATSQAASRNEPTTASQSTTPKTAAATTAPAAQSRSGAPRYLQVGSYRDEKEA DAFRARMIMMGFNVQIQKARVNNMDVNRVRIGPFDSDKELSESRAQLSGAKINSTIVR MIM_RS01835 MLPELQQHLLSLLQAAVHHILPEASPVIKLERPKQADHGDIASN VAMQIAKPARRNPRELAQEIVAHVLANPAAAGVVESADVAGPGFINLRLTQATHQQVL QAIAQQGSQYGNAARRNEKVIVEFVSANPTGPLHVGHARQAALGDCVCRLLDAGGYDV LREFYYNDAGNQIHNLAVSTQARARGISPDDAAYPSDGYRGEYIADIAHDYVNKATVE ADGRSITATGDLDALEDIRQFAVACLRREQDLDLQAFGLKFDNYYLESSLYSDGKVEE TVSKLIASGYTYEHEDALWLKTTELGTGDDKDRVMRKADGGYTYFVPDVAYHVTKWNR GYTHAINIQGTDHHGTIARVRAGLQALDLGIPKTYPSYILHKMVKVMRNGQEVKISKR AGSYVTMRDLIDWVGQDAVRYFLIQRKADTEFVFDIDLALAKGEENPVYYVQYAHARI CKLLRDSADLTDSLASADSSRLVAPTEITLLKRLAELPGLIQTAGQELSPHQVAFWLQ ECAADFHSWYNAERIMIDDIELKRARLRLADATRQVIANGLDLLGVSAPQQM MIM_RS01840 MRTHPRSIFPAVSHLVLVFAGMLHFAPEAVAEQANIAQCLRPAT GNGHPCRSNNPFTLGASESTINSGAGNPVNLATGNKYQETVDVPPGNDGLLVMRSYNA MDRADLGMGAGWRHNFDIYLQRTHDGLQITQADGSRIRFGKRHGSTAPAIEPSHGRLI LAGNRWVWQWPDGSSITFDSQGLLTTVNVNGHQLYIQRHSRASLFADRILRVTSRAGR ALVFHYNQDAHKPVRARLTRIDTPQGTIRYRYDIPAGRLVSASTTDGKQTLYLYERVY QGGDPYKLTGMAILPRPDDRASNQPFVNDLPVPDFPLPHSIAPTLAGYTGPGTTLPGL QPYRLRTWQYDSSGKVIRAVLHDLPVGPGTQLFSYGTAGPQRSITRVTDADGHQTRFI WRHMHGRHLLESVKGHGCIGCAAPGLQATYDEQGRLTYINGLDITRYANGMPAQLSLP HGPWPDLQLRFDQQGRETSWSSALTGNQRTQYNDQGQATAQTFANGVHWSYEYDAQQR LRAARASRAPAALEPANGKDPKRHSSAHTQTTIDYIKDGGVTLAHANETRTHTVDWLR GVLRIRTSRPGTPANPYRVRYEDIFLRDPQADQTIHLLPEGGRLRYTYTPDQQLRSIV WEDASQRQHLVLQVNATGDATFGNQIRMQYRQDPAGRRQHLHFIAHTGSAQRPILGLE RQTGRDGHILGEDYYFPAVASAIRRSYFYGKQQRLAGMVEQRYRYPKGQLSRAPVAAG KRRVWYAWDDSGARIARFDGQRTQRSHISRDASGLPVRVGSLETHYSVNRRLAQVYRQ GQRILRNLHNGLGHRIARQDLQAFTHFYYQDNRVVGHWSVPTGAPLRVPSRGAISRRY IYAGAIPVAFIEYATPAAFQAIPQPSFAQATDSTVHAKLRPLLRNQAAGTLHFIHTDT QGLPLAVTNRQAQPVWLARPEPEGKMTPLIAQLPMPLRYPGQLEDTATGWFDNIYRTY DPAFGHYLEPDPIGPVPGTDPLGYAAGQPRRYVDPLGLLLFAFDGTRNQGRATNSNVY KLQQLYDAGPVHYIGGPGTSDGMHAFAEPAVYGATNPLQNPYVLGPLGVLLRPADAMA GESVAGIVRTQMHRLINTLLTNGLSLRNADGYIPIDVIGFSRGAAAARIFANQILQHT QNGLFSVQVHTPYTNNGQTPDAFMTVSACLNLRFMGLFDTVTQLGVLGANNAAYNYQV SPAWQWVAHAVALNEHNNIFPLTSIGNSGLAQFHEVGFMGNHSDMGGSIQATDTTHVA NATGLPGDLGNVVLQWMYQQGRAAGVSWRPLPAAALRIRNPLVHNNLMRYEWQDPASE SLRTDREMEGPDRRSIRQHQSASIGRSRRMQVEQFIERDLPRTRPLTAADLFYGNMMA VAPGHEAALISQPIVGLADIQAYARWLRETAGFELQTGQVW MIM_RS01845 MLITFRSDIAGDVLMMAEQARTVLQAAGREYETVPEMGVFTVTQ LEEAIRQLEQAINADPDSAPDHQFDDKDRQEEDDEIAVHPISENVSLRRRAYPLLDMM RQARAAGKEVVWERGSAW MIM_RS01850 MISNPASKYIPFKAFERDFSERTWPGKKIEHPPIWMSTDLRDGN QSLIEPMSIERKLRFFKHLVKIGFKEIEVGFPSASQTDFDFVRLLIEENHIPDDVLII VLTQSREDLINRTVEAAAGARQAMVHLYNACAPAFRKIVFNMNKDEVKNIATTGTALI KQAVSRHPETKWRYEYSPEVFSTTEPEFAVEVCNAVADVWQPSATDRIVFNLPATIEA TTPNMYADQIEWFCNNVNNRDRVIVSVHPHNDRGTAVAAAELAVMAGADRIEGCLFGS GERTGNVCLVTLALNLYTQGIHPGLDFSDIDDVRRTAEYCNQLPVHPRHPYAGDLVFT AFSGSHQDAIKKGFAVQKADGVWEVPYLPIDPADLGRSYDAVIRVNSQSGKGGVSYLL ENERGLNLPRRLQIEFSRAIQRVTDETGTEVNGNAVFDIFEKEYLKQTTPWKLLRHQI TSKADGESGDQFSIRAELEVDGQVKTVEGSGEGAISAFINALNLPIRFMDYHEHAMGS GEKTSAVCYVELRLGESATGFGVGIHKDIVTASFIAVLSAVNRHLNQQEKEGAALREV A MIM_RS21945 MKYSNAAVQQNDARLVSKTLLKLATPRIIMRAAVIIVTIVIWLW VAANILEFGAAQDYAILNKFNVQLVTFLQSINTYLWWAVVLLGTLIVYFFLSSWYSGS VERAAYKTVPADTAAQLIGSLSPEGRDVLGWVWRDRSEPINIGNLKNTKDELDNNRVS KLAQIREQERLLSPGTVIAADNRIATTRTEPLAQDKAGLVPTLQAQPVARPTIETERR PAAPVTSAAAASTAATSPKADAEPANASAKPSPPAKTETAEKSEPERPADAANNVATR AQNEPSISEEPAKAQPTVSTSEQTEPTIGRAEATHERPPRDEVKHTIT MIM_RS01860 MRHALELRTGQQLVLTPQLQQSLRLLQCSALDLQTELAQVLQDN PMLELVDNNQISDDSTNPSENDAELLQQDWASQSGQKSRDDEYFQPETAVGLSLSEHL REQLRLTHASERDVSLAEYLIEELDDNGYLMSSLQEIVTLFSEEADVSEDDLAVALRL LQSCDPAGVGARTLSECLLLQLNVSSLVNPPEPEVLACARLICEHGLEALGRADMAQL RSVTGADIDRIRQAHALIRQLDPRPGKQFSTPTADFAVPDVLVRKINGEWVLTINQAV MPSLRISEGYESMIRRLGKEAGAGMSEQLAAAKGFMRQVSQRFTTILDVSRAIMDKQR DFLEYGMARLQPLTLRDVADAVGMHESTISRATTQKFIATPHGVFELKRFFGSGVATD SGENASATAVQIRIRNYIEAEPEGKPLSDSRLTELLAQEGITIARRTVAKYREVLGIP SASLRKARASLNQE MIM_RS22355 MYICICNAVTEDAVVSAISQGASTLSDLQRELGVATNCGCCAQM ACSYLERAACSGAPIANEGANDHCGKEKCDALPTESVVLWRSAA MIM_RS01870 MKGDKDVLKFLNKQLTNELTSINQYFLHARMVKNWGLNRYNKSE YHTSIEKMKNADAIIERIFLLEGLPNLQDLHKLHIGENVPEILKCDLKIEVDNHRTLT EAIAHCESVRDYVTRDLFQENLEEVEEQIDWLETNIELVGKIGLENYLQSQMGDND MIM_RS01875 MSLLIVAGVATWGGLQAGDWLIDHAPVSANVPNVTESDPNPMVD AQGRPVQLQPQQPLMSGAQGVPKQLDPVQWHVDAAQGEQAIEQAVTTTNSEVTTGEAT DTLDGGTASDSNQDMVPIRRTPRASRNAPAGNWEPAFHQAMSECRALGFFDRPSCLSR VRNQYCGANNAWGNVSDCPSR MIM_RS01880 MSNLSIPQEVARRRTFAIISHPDAGKTTLTEKLLLFAGAIQIAG SVKARKASRHASSDWMEIEKQRGISVASSVMQMEYRDCVINLLDTPGHQDFSEDTYRV LTAVDAALMVIDAANGVEPQTIRLLQVCRARNTPIITFINKLDREVQEPLDLLAEIES HLGMDAVPFSWPVGMGKSFSGVFDIQRNRMRVFRAGQERRNNDEDIIDGLDNPEIARR FGPAYDKAKDEIDLLQDAAPSFDHEAFLAGRQTPVFFGSAINNFGVQEVLDTLVEWAP KPGSRQALQRVVQPDETKFSGVVFKVQANMDPAHRDRVAFVRVSSGRFERGMRLKVSR TGKEIRPNNVVSFLSQRRDLLDEAYAGDVIGIPNHGVLQLGDVLTEGESLQFTGLPFF APELFQAVEVKDPLRTKQLRTGLTQLGEEGAIQVFRPQAAGGALLLGAVGQLQFEVVA HRLKTEYGADARMIPSRYTMARWVTSENPKALKKFIDSNAAHIAYDVVDALAFLITSP AQLRVAQELNPEINFHAMREHGGKVFGENG MIM_RS01885 MGRVLTLEDVTFAVKGGSVFACGGGGWVEHGMELGTLAVTIGRP ELVAMDEIHDDAWIATAAAIGAPGGLTDWQMLGADYVKAVQLLQEALGDKVYGLIIGQ NGMSSTLNAWLPSALLGTKVVDAVGDIRAHPTGDMGSLGLANSVEPMIQTAAGGNREK HQYIELVTRGATAKVSPILRTASDMSGGFIASCRNPIRASYVRQHAALGGISMALSLG QAIAEAESRGGIAVIDAVCTNTGGQVIATGKVTSNSLKYTNEAFDVGVVTIGTDAGEV RIHVMNEHMAVETGDGQRLATYPDVITTFDMAGKPTSAGKLREGMQVAVFHLPKSRIP LSSSVTDPSVYPHVERTLGIKLADYALL MIM_RS01890 MGKRFEVTSGDQLRCKGWRQEALLRLLENVLSVGEDPENLVVYA ALGKAARDWPSHDAIVHALRTMTEEYTLVVQSGKPIGLLRTHDKAPLVIMANCNMVGQ WAKADVFYELEKKGLICWGGLTAGDWQYIGSQGVIQGTYEIFCRIAERYFNNDLRGRF ILTAGLGGMGGAQPLAGFMANAATLVIEVDPERIARRLSIGYLQKQAATLDEALTIIR EACAARQPISVGLQGNAADVYPALLDKGVVPDIVTDQTSAHDLLYGYIPSGFDLDKAK ALRQSDPDALMQASLQSIKRHVTAMLAFQEKGAVVFDNGNLIRTQAFNTGVKQAFDIP IFTEAFLRPLFCRAIGPFRWVALSNDPADIEAIDDFILTRFPDNRIVTNWIGLARKYV PFEGLPARIAWLGHGERTELALAVNQMVADGTLKAPVAFTRDHLDAGAMAHPNIMTEK MRDGSDAIADWPLINAMVNCASQADLVAIHSGGGGYSGYMTSAGVTVVADGSAAARER LALAMTNDTSLGVMRYADAGYPEALDEAVKKGLHYIRL MIM_RS01895 MTTLPFRGLDFKTMLKVAHLAESRSLKETAQAFSCSASVVSEAI SSMESLQQISLFVRKNKVFIAQEVTRKIVRDFNAMILLERFACINVGAAQADLAWLKI KCSDAMYSGSLNNALLSAIADVNQLYPNVLVIPDYVEAHFGPSEQESAWRPAWRDAGQ VDFMLESQTGEADCADPMAGQWMILHSPDVTLPDPMSVQDLKNWRFVIPRMPWELLQH ISGICERAEINFVYDNRHFYELCALPPDAGQLVLINTLSMDECIHDKWHVSEFPVSTW MSVKSSVYQDSPVTAYFLKAFAFHLASLPRSYGLARPRTTLKQWYYFANVIECGSIRR AAEQLYLTQPALSTQVQQLETALGMRVFDRKTGSRRVHVNAAGGVLWAIFEGMDFYVR RVQQTLQQLRLARQDRLSLGILPSIDVQSRVIALIADQVTTWQQLHADVRLEIVEERH QFLADALRAQSIHLAFIETEMPWLVQHVVTTPEPMGLVCSTALAGRPAPVRLQWSELQ RFPLVLPRKVTGMRELIDRHCLSHGLELRPSVESDSLNLNRRWLLDGRYAAILPRSAV ASLIESGELMFIEVEPPLYRKLHLSYLKNRALNKVEKDLIDFLIDHRTMNLQL MIM_RS01900 MNHYRRLWAVLVCCGMLFVWRTGVARPVLELGPSHRLTLQEVRE VALDHRPVTLSEAGMRNVRRGHEIVMDAALGGVPVYGLNVGVGWNKDHPVFHEVNGRK VLSDDLLTLSVRFNEMSLRAHAAGVGKPMSADVVRAGMLIRLNTFLSGAAGVQPEVAS MYVDYLNHDITPVIPSLGTVGEADITLASHIGLAMIGEWDVFYQGKRLPAAEVMKQLN IAPLKPVGKDFLSILSTNSLTAGQATLAALDTQAFLDKQVRLFAFMLEGFNGNVAPFS ETAVAARPYAAMAQEAGRIRAALVGSDLWRRSADRALQDPLSFRTMAYTLGVVQENLL RLAEDLQVQINASDDNPLVLRQAVIARDAAQSDQLRAYRVTSEQGEGAIYPTANFNFL PVASKVEYLNLSLAKLAESMVQQLIRLENPELTGLPRFLAAKTNHGHAFGAIQKPFVE ESIRIKLLAQPASLYGATLAGNIEDTTSMSGLAVRNTEQILDALYHIAAFQLLEGAQA LELRDGFAPSASSKALLASYRQRVPFIDQDMPYSGYIEESAKFWRAYR MIM_RS01905 MKRYLPQKVVHFFNSEPASGIILMVTAVLGIWLANSAMADNYFS TLSSYVAGLSILHWINDGLMAIFFLYVGLEVKRELLTGELSSNQKRLLPCLAAMAGVM VPALIYLAFNYDDPQQIRGWAVPAATDIAFALGVLALLGSRVPTSLKVFLTALAIIDD LIAIVVIALFYTAQIQSLYLALAAGTLIALILLNRNNVMRSLPYAILGVFMWWFVLKS GIHATLAGVALALTLPMSGTCQTPDNAPLLKWEHGLSPWVAFLIVPIFGFANAGVSFA GLSWSQLGHPVVLGIAAGLFLGKQLGIFGLVWLTVKFGLVNKPERASWPQIYGVAMLC GIGFTMSLFIGALAFTDPAVQDLAKIGVFTGSVLAAVLGYLILLLSAKENQ MIM_RS01910 MIWQACNGPEHIRQIDGRLHRLVESQEQIATLGYVDTLEEQALL EQMLDQAKPVSQPDCEPLHYLLKTPFRYPPLKWGSRFGRVHERSLFYGGRNIGAVLAE SAFYRFVFWHSMDGMPVKDRIRSEHTLFSVRYGTEKGIQLQAPPFNRYQAALTNPVNY QAAQQIGSAMRAADVEAFEYCSARDPEHGLCVALFSPAAFRQKKPQETSQWFGELSAD RVSFTQLRSGKVMTYGIGLFLVDGVLPRPA MIM_RS01915 MTALQQPKPATEAVLAKAVLRAAEQLGLKQAELAAVLGLHRTAI SRLKSTPSLDPDSKQGELALLLLRLARALFALTGGDQEWIRHFMRTPNNITGGIPAAQ IETIQGMTTVLQFVDAIRGKV MIM_RS01925 MKRFFGMICALMMAVFLSGCGYNQIQTLDEQVKAAWSETLNQYQ RRADLVPKIVASVNAYMVNEREVLTQVTEARSKVGSVQISADTVPSQEQLQQFQAAQN QLGSALSRLIAVSENYPQLKADALFRDLNAQLEGTENRIATARGRYIKDVQAYNTLIR QFPTLITAKIMGYQVKPNFGTDNQDEIMRNPEVKFDTGARAPAGNAAPAQPAPAQ MIM_RS01930 MGRLQSLFRLLILLVAVAGFAPAMAQQDVPVPQLTARVTDTTGT LDQGQKAALEAKLQALEEKKGAQVFVLMVPTVQPDTVESYSRRVFDQWKVGRAKVDDG ILFLIAKDDRRMRLEVGYGLEGAVPDALASRIINDYVAPHFKTNDYAGGVNAGVTALV SLIENEALPAPEKKTASDSDEFDEGLIGALGPMLMFGFFLIAFFPPVISAVAAGVMLF AITGNIIWAGVAAIAALVISSIAKKVVPRGSVRGARRNGSILGGGLGGLGGGFGSGRG GGGFGGGGFGGGFGGGGGGSSGGGGASGGW MIM_RS01935 MAGNKWEWLGLDGLDGWWLSRRVFTPAMMERLTEEIRRSEARHV GELVLAIEHDTPGHSRETSGRALEVFGRLKVWDTPMRTGLLLYISLNKHKFEIVADRG INVNPQQWDGICAILQARFAARQYEQGLLQAIGAIETLLQASCGGQAADDDGRNHLPD APVLL MIM_RS01940 MSTTLALRLAVVGHTNTGKTSLLRTLTHDAGFGQVSDAPGTTRH VEGARLLLNGAAAVELYDTPGLEDGIGLLDYLDQLQSQTGSRHDGPESITRFLDTPES KRRFEQEARVLKKLIACDAGLYVIDVRDPVLAKHKDELAILARCGKPLVPVLNFINDT HARKQDWKDTLARLGLHVVIEFDTVAPAIDGEAQLYQKLALVLDTHATTLQALSEDVG VQRERRRNAAFALVADMLIDVAALRISSQTDNESVKSSIQLMQDKVRQRERAAIKALL THYRFRPEDYPGQPLPLEGGRWNMDLFNPQALLDTGIHVGKGFAAGAMAGAAVDVFTA GLSLGTAALIGGVLGSVWQGTDKLGKRLYGRLQGFHEVTVEDSILRLLAIRAHQLIRA LELRGHADMAPVQVAMEAAREELKDQPLPEQLHEARSRPEWSRLGDRFSSGSRRDVAV GQLGRALARIDQK MIM_RS01945 MARRPFSFKDFWLTEAVRLREDHWGPLDDAALIRQLRSGNDSPE QKIVRRARLLADRENTTQLVEQWTQGAKLALLILAVLAIMAGAGAAAGVLGSASRHIN VTLAIVTLLGLHSLTFLFWILSLFLSSRAFSWLGQFWLWLSRKIARGPEAALAPQSFV SLLTQNSALKWALSCISHGFWVLFFLAALLTMLGLLAAQRYTFGWETTILSPEVFVSL TRTLGALPALLGFPIPDAEWVRQSTDGATLSESTYAIWSAWLLGVVLCYGLLLRAISL ALCLWQLRGALQALRLDIDLPSYSPLVARLTPTSENLGIDGPAGDDLLSQPQDNATRP YSSDPVYVGIELPPDTSWPVFPVIAGAQDGGVLESREQRHALLDQLHAQPVKGLLVVV DAAQTPDRGTLRLIAELAGLARATHILLWQRPDQASRQQSWQKQLSDAGFLPDTLHLD AASLPDWIAAS MIM_RS01950 MTRSHTIDANTQDADKPAGLVRKIARIAKRAGRTVVQKALLLHY AVRNPATPAWARRVIYGALAYLVLPLDAIPDFLPGVGYTDDLSVIAAALAAVAYYITP DVKQQAEQATNRWFKP MIM_RS01955 MSIIVTIIIGFIVGLIARAIMPGTQSMGFILTTILGIVGSIVAT YLGQMLGWYNQGDAAGWIASVVGAIIVLFIYGLIAKRS MIM_RS01960 MPLRHWLAALLVVLLWGLNFVVIKFGVNEFPPLLLGALRFALVA FPAILFLPRPVISLRLLLLFGLTLCFGQFAFLFSAIAMGMPAGLASLVLQSQAFFSVL IAALLLNEKVRLHSVVAMVVAAIGLAVIEIGADLAQPVPLFAFFLTLCAAFSWGCGNI VIKKAGPAVNMFSLLTWGAVFPVFPFLLASWLFEGPQAMVQSLANLSWTGVGSVMYLA YAASFIGYYLWGRLLAQHPVSLVTPMSLMIPVVGLLSAEVVLHEKLSLVQWLGSAVVV AGLAINIFGSKLSRGRVAVVSQKTKIRVSAATDKGR MIM_RS01965 MPRHATVNPQRRTRLIQALAFALSAASNKLLAAPADARAPASDA KTNAATKTPSTAATPPSPATASPSSPLPPPAEPAPLPENLAWKDADSLLVHNPHAIET RRAVPGRQLITPTECLFVRDNALPPPARLLAEAEQWPVAFDGVAQPDAYTLAELQAMP TRTIATVLQCAENGRALQSNPAIGTQWQTGGAGCVIWTGVPLTTVIHELGGAVPGSRF ITATGADSLPDGIPSDFTRVERSVPLSALDNALLAWTLNGAPIPLVHGGPLRLVLPGY ASINYIKYVRRIAFTAEESSAHIQTADFRVPLRPGEPKLTIPAWRLPVKSWITEPLGM DAPVKAGAVPIRGVAMGGASAVTHIEVSADGGATWHAARFTGSDLGPFAWRLFDISLH LPPGPVKLACRATNAAGQQQPELTTDNTGYFVNGWREHQISLTVAPAG MIM_RS01970 MKVAVNSIAAALLAFSGGAVADTLVLYTSQPATDAQMTVDAFQK ANPDTKVEWVRDGTTKLMTKLRAEMNAGAVKPDLLLIADSVTLEQLKKEDQLLAYKSP ESAQYDPALYDPSGYYYGTKLITSGIAYNTQAAQKPQSWKDLVKPEYKNQVSMPSPLY SGAAMIHLSALTTNPDFGWKYYEDLKANGVASQKGNGGVLTDITSGGKPYGILVDYMA IREKAKGAPIAFVFPQEGVSLVTEPVAILKSAKNPEAAKKFVDFVLSQQGQELVLQQG YIPGRNGMQNPPGFPPRDQIKLLPFDAAAALQNADANKEKFSTIFGG MIM_RS01975 MATSISFLRPFGKNPASILTPVLLCLVLILALLPPARLLIMAMT DLPAGDGGAMWSMLRSASTWRATGNSLVTAGLATLLSVVLGTSLALLVVLTDIRAKGF WVFMIMLPMMIPPQVTALSWLQLSGPGSPLLQMLHLAPPLGSPQPLRSWWGIAMLMGI QHAPLVYLAVRGSLIVLPKELIEAARMAGASQRDVVRDMILPLCRNGLAAGAAIAFVS ALGNFGIPAMLGIPVSYYVLPTLIYQKMADFGPAMLADVSSLSVLIGVIALVGVVAQQ HFSARIPLTGMPGRPLAFILGSRRWLAELALFLLVLLIVVVPLIALISSSLVAAMGVP LTLDTVSLRAYEQILFQQSVTFRAFGNSILLAGAAALILACLCLPLAYLFVRFPSRSN AFLQGLIDIPYALPGVVLAVACILLFARPLPLIQVSLYGTLGLILFAYLSRFMAVCLK PIQSSMQQLDPALEEAAQLCGAGPTRRLRDIVFPLLAPAAFAGMLLVFLIAVNELTVS ALLWSAGNETLGVLVFNLDDGGETVLASAVSVLIVLMVLVLMLCLSALGRRVPRGVIP WRN MIM_RS01980 MAQLTLENISKHYGEHAVVDDISLAIEKGQFVALLGPSGCGKTT TLRMIAGFEQLDQGRIVLNDRLLASPQQHIAPEQRNMSMVFQSYALWPHKTVLDNAGY ALALRGLRGQPYRDQVFAALEAVNLGHLADRFPQALSGGQRQRVALARCLVSHPDVVL LDEPLANLDVHLRAAMETTFRDFHARTGATFIYVTHDQAEAMAMADRIAVMNHGRLEQ WDTPENLYRRPASRWVATFIGKGAVLDVPNHVHGKNLRSDDILNLLSNSGAMHRGAML VRPEHVSVVDEGIDVTVQHRVYKGERYQYMANLADGQSVTFYHPRLLEHGQAVQVQIE HAWGLDL MIM_RS01985 MKSINADVLILGGGTAGMSAFRAARKSTDNVYLVEDHKFGTTCA RVGCMPSKLLIAAADAAHHVRTADQFGVHPDGALRIDGHEVMARVRAERDRFVGFVLE DVAAFPASQRILGRARFKNEHVVVVDDHTEITAQRIVIATGSRPFVVPAWQSLGERLI VNDDVFAWETLPASVAVFGAGVIGLEIGQALHRLGVTVHVFGKDNLLGGISDPVVQEK ALEIFGKEMDLHLDADTQVSLLPSGKGVKVDYTENGASGSVTVDFLLAATGRKPNVDN LGLENLSIDLDARGVPKADPYTMQTSVPHIFIAGDASNQLPLLHEAADQGLIAGRNAG AFPDVTEGLRRSQIGVVFSDPQIASIGLRYREVTSKFDNCGCYAIGEVSFNNQGRSRV MGINQGHLRIYAEHGSGRLLGAEMVGPAAEHLAHLLAWAHQQKMTVAQMLDMPFYHPV IEEGVRTALRDLSAQLRLADDLAGECAECPGQ MIM_RS01995 MLQNKEGQRVPSVTFPVRSGDAWEKVSTDDLFKGKTVVVFSLPG AFTPTCSSTHLPRYNELAGAFKERGVDEIVCVSVNDTFVMNEWKSQQEADNITVIPDG NCEFTEGMGMLVDKADLGFGKRSWRYSMLVKDGVIEKMFIEPEKEGDPFEVSDADTMI HYIDKDYQDKPSIVMFTKPGCGYCAKAKALLKEKDLPFEEVVLGKDASTVAIRAITGK TSTPQIFIGGEYIGGSDELAAHFAGK MIM_RS02000 MTSLLLSLFPIAAWLLAGYILGYLLSPRIVSMLSRAITPFVWIM LFAIGYRFGQQLSAMHQVVLILQTALLYSVGITLLCSLVLLVLFRPNVRTQATQHDLG IMHVICECGIALAFLLAGLGLAMLTAHWDIAVPVPDSEFFLYILLFLIGTDLAHTPLQ RNAIRPAMFTVPLVVAMVSLLAGALIAWATDTDIRHGLLLASGFGWFSLSGVLVTARL GEFYGATALLTDLFRELLSIIVLFFAGARYPLAGIGAAGATAMDTTLPIVRKTTGASF LVTALFSGMVLSLAAPFLLSYVLSLFD MIM_RS02005 MITPFPKPLAPIQLEGHGLVLQPMRLAHAEGLRNAAADGQLWNL TFTSVPEPGQETAYIEAALKGQQEGHMLPFVVLDAKTRKIIGTTRYHDIVPEIARLEI GYTWYGQSWQRTHVNTVCKYLLLFYAFESLKANVVGWRTDNLNQRSQNAIERLGAKKD GVLRGHALRRDGTVRDTHMYSVTQEEWPDIKQRLKEKLPGNRS MIM_RS02010 MMNMKNWLLAVTVGLSAGFGATAQAGEWKELKIGIEPGYAPFEY KNAKGEIVGFDAEVMTGICAKLQAKCEWVEQSFDSLIPALAARKITLIHSSMQITPQR EKVILFSQPIYGIPTQLMARKDSGLLPTAESLKGKRVGTLQGSTQETYAKQRWGTQGV QIVSYQDQNQTFADLASGRLDAAIIEKPNGQSGFLSKPEGSNFAFLGDPITDDKALTG EIAIGMRKSDSDLKQAVDKALNELQQDGTIATIAGKYFKPGEVDLKNVKQ MIM_RS02015 MFLHGYGAQILSGTWETVKLALLSLLVAFVLGLLGASAKLFGNG VLRGIARAYTTLIRGVPDLVLMLLIFFSIQMGLNEITDMLGMEVVDIDPFYAGVLTIG FIYGAYFAETFRGAFLAVPRGQLEAARAYGMRSGQVFRRVLFPQMMRFALPGIGNNWQ VVLKATALVSIIGLTDLVQVTQSAGRATSSMFLFICVAGVIYLVLTSVSNIVLWWLER KYSAGVREAQL MIM_RS02020 MIESLNNFLTILNDYWQPLLFWDGTAFSGLAVTLWVLVLSLLLG LVLAIPLSVGRVSKNRWIAWPIWFFSYVFRGTPLYVQILLIYSGVIGLQFVRDTPPLQ AFFMSGYNCLVLALMLNTLAYTTEIFAGYIKNSAHGELDAARAFGMSRYKMYTRVILP SALRRALPAYSNEVILMLHATSLAFTATVPDILKVARDANAATYLTFAAYGTAAVMYM CISFVLVALFRRLEKRTLGFLGHSQH MIM_RS02025 MYKLDVQNICKSYGQNEVLKGVSLQAKAGDVIAMIGSSGSGKST FLRCINFLEQPNSGTVSLNGETLTVSKDARGRLRVSDEKRLQVFRTKLAMVFQHFNLW AHMTALENIIEAPIHVLGVPRAEAIERARQYLNKVGLPPAVENQYPSQMSGGQQQRVA IARALAMEPEVMLFDEPTSALDPELVGEVLRVMQKLAEEGRTMIVVTHEMGFARNLAS HVMFLHQGTVEEEGPPNEVFGNTRSPRLQQFLSGNLK MIM_RS02030 MTTLTIIALLCLGAFGGFMAGLLGVGGGMVLVPFLTLLFGTSLM SPDLAVHSAIATSMGMILFTSISSMRAHHRQGAVLWSVVFTLAPGILLGGLLSGGAVF AYLHGAALSLFFALFVGYSGMNMLRNKKPKPSRQLPGMVGRTAAGTGIGFISGLVGAG GGFLSVPFMVWCNVPLRNAVATSAALGFPIALSNSFGYILSGIREVGVHDGMLGYVYW PALILLVIMSVLMAPVGARMAHTLPVDKLRKVFAGLLFALAAYMLFKALQQFGVLGG MIM_RS02035 MIVEVLSGLDTTRPWWSPLHTWLPGQAYWDAAVLAATGVTSCVA VAPLLNALAPPACPVRFTSQSALPAGVAYEAFIGQHKACPTRDNVHDFFNGLSWFRFP KVKTLFNHIQCQQIAHQGVGQQRGVVRDTITLLDEGGLFLQAPPALWEAIEQRDWQRA FIRERALWQQTHIAIVGHALLEKCVNPYKAITANVIRIPSDTPAVDVDTVMAQTLEKL LTQEQLQVKPHCPLPVMGIPGWDAASENPAFYDDPQVFRTARR MIM_RS02040 MTIMKRFCFALAACAALFSVSAPASAASDVGCVSTTWRIVNNDK VCVQSFNDPQVEGVTCHLSYAKTGGVSGALGLAENPSRFSLSCRQTGPLKTEQPLRKV QEDVFTQRMSFLFKGLNVSRLVDEANKSLIYLVVSEKLIDGSPYNSISVVPLMPWGET EPAITFK MIM_RS02045 MEKLRIDKWLWAARFYKTRSLAATEIGKGRVLINDQPVKPAREV SLGDQVAVRRDQTTITVQVLALSAVRGPAPVARTLYEETEASRLAREAAQEQRRLASE PALGFEHGRPTKRDRRQLDLVKKGGDW MIM_RS02050 MLFDTKASRLALSALCVSVALALGVSQVTAQEVPAAASTVNLPA PPTIKYDYDMDIFHPVSATNGMVASEQRLASEIGLEILKKGGNAIDAAVAVGFALAVA LPNAGNLGGGGFMMVHEAKSGKTIALDFREMAPAKAGRDMYLDDKGQVINNKSLYTHF AVGVPGTVKGMEHALKKWGTLPLADVIQPAIALAEKGYPVSPTLAEALAVEKDNLGKW DTTKAIFFKDDEPLKAGDPLVMKDLAASMKLIAEQGSDAFYKGAIAEQIVQEMSKHQG LISKEDLANYKVVEREPVAGEYRGYKVVSMPPPSSGGIHIIQMLNVLEHFPLNESGPN SAKTIRLMAETMKRAYADRSEYLGDTDFVKVPQKGLIAKSYADDLAKLVAEDKVTPSK DIKPGAPQKYESDQTTHYSVVDKEGNAVAVTYTLNLNFGSGIVAGNTGILLNNEMDDF SSKPGVPNAFGLIGGDANAIEPGKRPLSSMTPTMVLKDNKPWLVTGSPGGARIITTVL QTLTNSIDFGMNPAVAAAQPRFHHQWLPDELRLEPGFSPDTVDLLKKQGYKVVVKPTM GRTQTIQIRDNMMYGYSDPRNPDGVTLGY MIM_RS02055 MSKSLVQLLNIDYPLIQAPMAGVSTPALAAAVSEAGALGSLGLA SASLDQARSMISETQRLTRKPININFFCHQPTTPNQAANQAWLDYLRPMFEEFGATPP AELRDIYRPFPGYADMLELVLETRPAVVSFHFGLPSQEYIDALKNAGIVLMASATTPA EARQIEAAGIDVLVAQGYEAGGHRGVFDPENGDAEYGTFALVHLLATQSALPVVAAGG IMDGAAIKAAQALGAAGVQCGTAFVLCPESAANAAYREALQSDRAYDTRVTTTLSGRA ARGLHNRLYVDEPASARPPTPGYSMTYDAAKALNAAATAKGNTDFAAQWAGQAAALAR PMPAAQMVQTLVTEAGW MIM_RS02060 MTDTLHPSDTPQPNRLLSAVLPVLQLSPQYVQQHLQLGAGNFLP SSLQVSELASGAVAAAALAAADLRQAQTVKVDPAQVSVAFRNDQLQTIDGEHAAVFKS HSGYFQTQDGWVRLHGNYPHHRQRLLDALGLPDGCSREQLADTLKQWRAIDVEEKVTA AQGIAVMVRSTGQWQQSTQAQQAASVPLLSIDNIAARTPVPSSPQQGRPRVLDLTRVI AGPVATRTLAYLGCDVLRIDNPAMPELPVQHVDTGADKRSALLDLREPEALHRLHELL STADVLVTGYRPQALAAFGLDSEALAHRYPQLIHATLSAWTFAGPWGDRRGFDSIVQA ATGIGMLESRDGTTPGALPAQALDHATGYLLAAGILRALKWRSEQGGTWRVQAHLLAT ANWLLDQGTATDKGIALTDVSRWQFSQASPSGIVTQSLPAFTIDSHARFSQVGGLWGS DDPSWRAN MIM_RS02065 MNSRDPYFDNDTLQAILTRKSVRGFLPDPVSAEDIRTILHVSAR APSGSNIQPWKVHVVSGTARDALSQALLQAHENGEPEQREYQYYPVKWREPYLARRRE TGWSLYNTLGITREDKAGMKRQHGRNFAFFDAPVVLFITLDNDMETGSWMDTGMFIQN IMVAARSLGLHTCPQAALSSYPDIVRRHLQIADDQIIACGISLGYEDTRHPANQFSTS RLEVDEFTVFHADVQEKAP MIM_RS02075 MSTTALKVRELPVTQQIQVLPQSGYTGALITGVNLTKPLSREQI AAIRGALNKWGVVYFRDQYLNHAQHIAFGRQFGDVTPGHPYEGDLAPSGFPEIHTVSP DAYKKRYGEHYRRQEGSNGPGWHADVTPLINPPAYSILRAEVVPEFSGDTHFTNVAAA YRGLSRPVRDLIDTLRAEHRFGASQNAERSNEKIGEWVRNKPLASVHPVVRIHPDTGE KVIYVNPSFTRQIVGVSPRESRHILDLLFEHIANPVYTDRFRWEPGSIAFWDNRAVLH LAPRDFEHLDVARVLHRITLVGDVPVGPTGERSTSIDGEPFLAA MIM_RS02080 MVSPSSGIVSAMADYPVELLSRQPPANLHVPRVAEPTGLVDPTV IAQTHQPPGRIPRSVQRLLGPVLLLALWQLLSSAQVFDARTTPAPYTVISTAYALLAS GELQTHLWSSLQRVLAGLGLGLAIGLTGAVISGLSRAGENIVDANMEILRAVPNFALL PVLIAWFGIGEDSKIILISLGVLVAIYINTYSAIRNVDAALIEAATTFGVRRREMITT VILPGALPGFLVGLRIALTSAWLALIFAETINAPLGLGRMMSDAREYFRVDIIFVLLA VYAILGLLSLSLVRYLESRLLQWRRVFDGR MIM_RS02085 MAGNPAAVQVKSLDKLFGSRKVLDGLSLTVGQGEFVALLGRSGS GKSTFLRVLGALDADVSGQVHVPARRAIVFQDPRLLPWQRVLRNVTVGLPQDRSTRQR AAEALDEVGLARHAQAWPRTLSGGEAQRAALARALVREPQLLLLDEPFGALDALTRLR MHVLLRNLYERHRPAVLLVTHDVDEAMLLADRVLVLTDGSISFDEHVGIDDPVRRNSP RFDQLRDALLQELGVAQA MIM_RS02090 MQLSIFGQISRFRSTTIARTRSLAVTGALVCVCLPAFAATPNDD QADFARKLQDAVPAGVRLVVAEQNDQFSVPWKISGLGEHAPYNINFANFNGGPAVLEA LVAGAVDVGFIGEAPLPIALAAGVKDLKVIAAIANPGSPGNIFLVAQPGSNIKNATDL AGKSIAYPPGTGRHMILSGILHSAGLDIRKDIRSVALAGSEVAPTFASRSVDAAIVLG QQLFRLGSPPIIEDGTGHNWGLNVLVTRQSVLDDPAKVQALADLTRRAVQVLNWQQRN AEQWINASYVKQQGLTYEQGKYLYDKSGLGTYYPIENRLSQVYQQIADGLYETGALQK KVTIAPFLDARFNDIVASQNRLDGIVPKQLENNQHAVISAQSAPTPASASAAAAAITG VKSDTTPVALAQPTRVAP MIM_RS02095 MSYVIEATETQVAAKPSARPQFSITPLTAHIGAQIDGLDLRFTL TQSQTAAIRAALLKWKVIFFRNQYLSHEQHVAFSRQFGQLTVGHPVFGYVEGHPEIYS VGRNRKANRFDGQRLVRPWTGWHTDVTAAVNPPFASILRGVTIPPYSGDTQWTNLVAA YRGLSPTLRAFVDTLFGEHRFAPPEGAQAKTAFERDVSKRPLVSHHPLVRVHPESGER ALYVSPSFLKHVVGLAPRESEQLLQLLFEHVIRPEYTVRFKWEPGSIAFWDNRSTAHL PPVDIFDTTFDRQLYRTTLVGDIPVGPDGTSSEAIEGDPVLAHRAD MIM_RS02100 MLEIRKSEERGVAEHGWLSSRHSFSFGGYYDPAHTGFGPLLVIN EDRVQAGRGFGKHGHRDMEIISYVLEGKLAHSDSMGNGSAIGYGDVQRMSAGTGVMHS EYNHSPDQPVHFLQIWIEPDRTGIAPGYEEKHFAPAEKKGRLRLIASPEGEQGSVLIH QNARIYASILNADPTVTHELAAGRAGYVHVAKGTVTVNGRQLQAGDALKITDEKSVVL ENGSDAEVLVFDLPTQP MIM_RS02105 MTLNANDLILFAQVMDSGSFSAAAERLGLPKSTLSRRISGLETE LGERLITRSTRKLVITEFGSEILEHARRMVDETECTMAFALNRQATPQGTLRVSFPPD FFEHALAEFLPLFSEKHPNVRLELDLSARRVDLLTERFDVAIRVATTLPDDNSLVARR LATLVTALYASPAYISKYGAPQEPADLKEHRGLLLMNSVGETRRWRLSRGTDYWEGSP ATCMFSSNSLGLQRIMALNDLGIGGLPEPMARPFVENGTLIPILPGWHSPEAIVWCVT PGRRLLSPATRAFIDVFSQVMKRNAAYRIADIKVVMAGLP MIM_RS02110 MKNVLASVLVGAAFVAGSAHAAGFGDSQYPFPENAVSPVQQNLI VDQSGTSDSSYPAIRVQSTDSRANVQRELADYQAAHPVDEYLGD MIM_RS02120 MQAWRVAKAKRATDLSGHGAAIEGGRWNDIDVPAVYMGMSPAIC ALETFVHTSARPNTPLKITCFELPNDPSLYLEVKSQMLPIGWASMPADRASMSFGTKW LKCGSQLGLIVPSVVLPLERNIVLNPLHPAIASVQVLKVYDFAYDERMFYQRG MIM_RS02125 MQTSAPPSFWCLAHGHARMSEEQRIQSIMTGLSVSWATAAKLTF GMSATNIGRLLNLSLSTYERRKKDEKPLDSVASERLDRLASIAILAEEVFEDKQEATR WLATPNDALGGNSPVMQCETEIGAKQVRRVLNAIEWGGVV MIM_RS02130 MINKLTSLVIAIIGLAMLYMGGKLVLVGGSPFYAILSIGLLITA VLLFMKRAVALSVYAVIMWIVMGWIVYEVGFDKWQWIPRGDIIGLIGLWLALPWVVRP LSRAQNARKVRSFHPFLGGTVIFAIALVIGMMFYDPYPQQGTLANNRNPADVEVAQND WTAYGGTDNGLRFSALKQITKDNVGDLEVAWTYHTGDLRQDKDASEYTFEATPLKVNN TVYLCTAHNEVHALNPETGELKWKYAPEKDRSYLQQHQTCRGVSYYDRNAVAAVANTT PAESTPAVAPAQAAACSKRILSATADARLIALDADTGKLCEDFGQGGVVDLHANMGKV RPHALMQTSAPLVAGNLVIVGGSVMDNGYDKGNPSGVIRAYDATTGRLVWNFDPANPD NTQPIAEGATYPQDTPVAWATLSADTRNGIVYVPFGNASPDLLSDQRDQNSNTEKFRD TLVALDLKTGAFKWSFQSSKNDLWDRDNPSQPSLLDIDYQGKKQPVVILPTKVGNLFV LNRLTGEAVYPIKQVDVSTQGLTGETYSPTQPVSALNFIPEPLTEKSMWGMTPFDQMA CRIEYHSLRYDGNPWTPATETGSLIFPGNIGAFNWGSVAIDPERQILIGAPVRLAYKY NLIKRTPGTEDKRLFTKEDTPYWNENFEGTYATQIRQFASSLGVPCIAPPWGRMVGVD LTTGKTAWLRRIGNTKNLQTTFLPMRFPIGFPMGMVAHGGPLTTAGDLVFHGATADNF FRAYDINTGEMLWEKELPAGAQATPSTYMGADNRQYVIIAAGGHGSLGTQQGDAVVAF RLKK MIM_RS02135 MNQSVDQTQGTHFSLPARVLHWLMAAGILAMLFIGVGMVASVSE QHTWLLTIHKPLGATLLLLVCLRLIVRLVKGTPPLPADLPAWQRSAALASHWLLYLLM FAMPLIGWAMVSASGTPVTLIGAWALPAIAPENAGVFAVLRAAHRYLAYLLFATVLLH LAAGLFHGLIRRDGVLRSMLSNRA MIM_RS02140 MQENKEPRPPGMTTGQKLLRFAAIGVAVLGLGAAFGYTGGWLGP QRLTADRIANTFEPGGKRHPGFRRNHAKGICVTGHFASSGQAQALSSAPLFAPDTTTP LVGRLALPGPNPYAPDSSVPIRSFALRFTMPDGQQWRTGMNSMPVFPVSTPQSFYEQQ LAGAPDPKTGKPDPAKLKAFFAAHPETATFLAWVKTARPSASFATEAYYGLNAFVFIN DKGVRQNVRWRVVPIDAPVAADATPEHDQNYLDKDLMTRLSAGPLRWRLMVTVADSGD PSNDATKQWPDSRRQVEAGTIVIEKSQTQDHGPCRDINFDPTVLPQGMAISDDPLLPA RSAVYATSVDRRTREGLSSPALSSSTHSSEHAQ MIM_RS02145 MPEIDGEGTITDDISDASLRALLPRLYRFALWLSKDPNAADDLV QASIERALKYQHTRRPEGDLRAWLFSILYRQFIDSKRHAKRYARLLEWFRKTDEAPST ERHVQARASLQAFEQLPEAQRTLLWLVSVDGLSYKAVADMFDVPLGTIMSRLSRARGA LRDASDGESRPHLRILK MIM_RS02150 MNNEPRIDERMRHAYVDGRLNAQEQQAMAAYLAAHPQEARELDA WRKDAAQLQAAWDAAEPPLTAPLNPALDPAMIRLRLQERASMRWKLAASVLVALCIGG LSGWQLGPGATSQLNLAVRPLPMQDAIQAYRVFTDNPALRADALATETDLQQWVAANF SNAQPLPDLSSSGFRPVSARMLVTEEGPAAVVLYRNDAGQQTGFYIRPPGPGNKLLPE GQRQEGSLLTRYWSDQGYNYALVGSRAIVMNGAG MIM_RS02155 MIQLSGKLICKTLDESESVRRFLPEHIRLTKNEPGCVSFVVQAT SDPLIWTVEELFADQKSFDAHQERTKKSRWAVETATILREYEIQEVV MIM_RS02165 MKFSHMVLGLSCLVTGLTVQAQEHVAGYPQRSISLSVPYGSGGS TDGIARQFARLLEAELGQPVVILNQPGATGTLQMGNLARAKPDGYTIGFYSYSTATFT SQLLKVPYKREDFELLGGVAEFSYGIVARPDSPINNINDMIAKAKSDAGVFYGVTGAP NNFPFLQLQKVTGGRFDQITYKSSAESINAVIGGFVDVALQGPSEYVELVKAGKLKVV ASASNYRLPWFPDTPTIKEQGFDFGITGIIGIAAPKGIPEDVKNRLQAAIHKVVSSNE YGKFLVNRFGIKSYPASAAEFSTYIDDGYSIMKNMITTYDIKGL MIM_RS02170 MMKENLNDLKIFVAVAQAHSFTRAAAQLGLSRSALSHAMLALEA RLGVRLFIRTTRSVSTTDAGTRLLSTIAPHLDGIQQGLTSLTSLREKPAGTVRITAHD HAITTVLWPRLQPLLRDYPDVKIELNVDYGLTDIAAQSFDAGVRSGTRVDKDMIAVRI GPDLRMGVAGSPEYFQGKSLPELPRDLTDHSCINLRLPTHGGLYVWEFKKQDIPVNVR VDGQAIFNNTFLMLQAALQGVGLAYVPFDMIEPHVKAGRLVSVLEDWCPPFPGYHLYY PSRRHASPALNLVIEALRYRPQGDERARTGEKK MIM_RS02175 MKISMFRTMMCMACMQPAAGLAWPGLAADADPLAEKTEAEQTIT RAGTQAVSAGSSDYFTGTVRVEMVWPANEAINASGGKVTFEPGARSAWHTHPKGQHLV VIGGTGLTQEWGKPVQEIKEGDVIWCPPGVKHWHGAAATTAMTHIAVTGTENGKNVDW MEKVSDEQYSAQ MIM_RS02180 MNKDQALTEKQLSIIPIAAFTATGDLVKLNEALVQGLDAGLAVN DIKEILVQLYAYAGFPRSLNALGEFMKVVNDRKQRGIQDEVGSEPGAIPVGEELLAQG TANQTKLSGAPVTGALFDFAPAIGVYLKTHLFGDIFARDNLDWQSRELATVGALAALS GVDSQLQSHLRISMNVGISKEQLGQVADVLSEQVDADAAQRTRAALDRHLGVSQG MIM_RS02185 MTNKTTSNDGSAGSTLAASLLGFFIITLDAVVVNVALPTVGKEF SATVSGLQWVVDSYTLLFAAFLLSAGVLTDRIGARRAFGLGLIVFIMASIACGLAPSL PMLVAARFCQGLGAAVMMPSSMALIRQAYPDPITRGKAIAMWALGGSVAATSGPVIGG LLTLINWRWIFFINLPVGLIALAFLTRTRPSIPRIAPFDGWGLVAAVLAMGALTFCAI EVGSEGLAAPSVLISLIITVLAICAFFVLQRLRAHPMIPPELMRSRNAKIATVIGFTF MVGYFGLPFVMSLYLQQYRGLSAMGTGVAFLPMMLIGLVFTPFSAHLVQRFSARIMIF TGLMSMTIGLTAVAMLPESAPVWLIAVLMLLVGIAGPFVAPPVTSVLLNSVPAMLTGT ASGVFNTSRQMGGALAVAIFGALLAQSTNFMSGVHQSLFLAAGVALFTALASLFLQPS SSAT MIM_RS21950 MSLKFITSIAAFVLALVSIAPASAHHGWSSFDTRHAYYAAGTIT QVRWGNPHSEVRFNVERTALPDGWLQRELPQGADATNGRATMESARPYAGEHKELRLI LAGPSWMERWGLNRPLEKGETIEVVGYLNSGEDEELRPMMFWLANGQGVWQQLTALPR APEPASKATP MIM_RS02195 MPGSPGWLDATLNTIEQSGLGDTVRNTPYLYPILMSLHVLGIAL LVGPIIGVDLRLLGVGRSNLPVTVVLRYLLPLARVGFVIVVLTGALMFTAIANAVGSS AAAPWKFGLIVVAGINILIFHLKIYRNVTEWDIGVASPIQPKIAAVVSATSWTGVIFA GRFLAY MIM_RS02200 MKQRGLIVIDLQNEYLPTGNLPLSGIEAAAANAARVIADARAKN IPVFHIRHEATAADSPIFRPGTPAVDIQPAVAPVDGEPVIVKNHINSFRETDLRQQLD ARGVEEVIIVGAMSHMCVDACVRAAADMGYPVTVLHDACATLDLSFNGVTVPAAHVHA ALMAAFEFGYGTVTSTQAYLAV MIM_RS02205 MMIEIGLVIYPGAQLAAVLGLTDLFGVANRIAVSCRKTDAPAVR VTHWRQDNTSDAPHCVFDSCPEEPSGNLSALIVPPTLGEPISTKEAAALTTWLRDHHA DGVALGSVCAGAFALAATGLIDGRRITTHWSYADMLQQRFPALSVDADQLIIDDGDII TAGGLMAWTDLGLRLVDRFLGPTVMIETARVLLVDPPGREQRYYSVFSPRLTHGDAAV LKVQHWLQATQAADVALKTLAAQAGLQERTFLRRFQKATGMTTTEYCQRLRVGRAREL LQFSNTSIDRVAWEVGYSDPGAFRKVFARIVGLSPGDYRRRFSVGQGAGK MIM_RS02210 MEPSLKKPKKSARDRLLEAATGLFYNEGIAAAGIDRIVEKAGVA KKSLYNNFSSKAELVTTYIEFRHAEWLDLYRNRVEQVSTPKDKILSVFLAYQDHAEFA YEHGFRGCGLLNAAAELPADAPGRAAVRRHKEQVEDILRNHLTDYLKGNAEQAAQWAT LFSFLLEGAMSRAGLEGQSNRLVQAREMAVKMMESQ MIM_RS02215 MIASANARLSGVLSVLIAAILWGTTGTAASFAPDVSAAAIGSAA MGIGGLLQAAIAYSVIAKHRAHLLRQWRLLVVGALAVAVYPLAFYASMRLAGVTIGTV VTIGSAPLLSALIENRMDALRLTKRWACGAAFGLIGMVLICLAEGGGHSHNGLASSTF AGVLLGLAAGFTYALYSWAAWRMMQQTLPSRAVMGSMFGIGGLMLMPVLIVTGAPLLA SVTNFGVGAYMALVPMFLGYVCFGYGLARISASTATAITLSEPAVAAVLAVVIVGERL DMAGWIGVGLVIGSLLVITWPTTTTYSDISEVGGGASAGIGGAPL MIM_RS02220 MSDAASSSFLSLNAQQAIPRGAWTLANYAQISDGVARVLAQKPV INTCDLAALDELDTAGAQLLVRLLGPDMIRSLDAQQTHLSPERLALLARVALSLSQDD PDADRSPSRVGAIRHVLARIGEGAERFYTKTVALIGFIGVILEALLRNLLHPRQWRVT SMVAQIEETGLNAVPIVALLTFMVGAVIAFLGATILESFGASIYTVNLVAFSFLREFA VLLTAILMAGRTASAFTAQIGSMKANEEIDALRALGLNPTELLVIPRVLAMMISLPLL TFVGMVAGMAGGAVVCAATLDISPTLFIAMIQQNIGISHFLLGMAKAPLFAFLIAAIG CNEGFLVSGSAQSVGEHTTSSVVQSIFVVIFLDAVAALFYMEMGW MIM_RS02225 MVATADSRTTQKEEAVIQVRGLVNRFGSHAVHEGLDLDVYKKEI LGIVGGSGTGKSVLLRSIVGLRRPTSGDVHVFGQNLSALDELARSKVERRLGVLFQQG ALFSSLTVIENVALPLIEHAGLNRQQAEGLAQMKIALAGLPANAAQKFPSELSGGMVK RAALARALALDPGILFLDEPTAGLDPIGAAAFDQLILTLRDALGLTVFLVTHDLDTLY AICDRVAVLSQKKVLVADRIDVVAATDDAWIQEYFHGPRGRAAQQAKEAFTQERI MIM_RS02230 METRAHHVLIGLFVVILSAGALLLALALSRPDSNLSYTYYKVVF NEAVSGLSRGSAVQYSGIKVGDVSELALDEKDPSKVLARIRVEGRIPIKTDTRAILAV TGITGVAAIQLSGGTPDSPRLVGEDGEDPVIIASRSPLSRLFEGGGDMMSNLNELVLN AKQILTPENSENIRRTLANVESLTGSLAQPDGQVSTLMKELTQAGRDAQTTLQSTNAL IGSADRLLTDQGGAMLTSVRKAMVSLERTSAQVERLLGANRGALNSGMQGLNELGPAL QQLRGALAAIRAIAGQLQENPSAYLFGQKTIKEFQP MIM_RS02235 MKRILSNWRPLAIACALSALGACSILPETPSRDNYRLPPTTLIA PQHSGASIGHSLQVSTPHGGRMLEGLRMMVIRQDNQLNVYKGAQWIDPAPVMLRDRLL DGFYADGRIRSVIREAEGLSPDLELRSDLRQFQVEYRENRPVVVIQLDADLISPSARR TVASRRFSIAQPAAGEQVPEIVQTFGMATDQLTQEVVQWSVGQAAGPRR MIM_RS02240 MNAQYLISNFSNGFSSESLSESLVIRIASTFLDTYAVALGGQSE PASQAARQYLDASGSALPGVTTVWGTQRKASPEVAALVNGIAAHVLDYDDVSSPLRGH PSVVLWPALAAIGECTDLPAVKMASAYIVGFEVMLKLSRGFAISQYAAGWHTTASIGI IGATAACAHLLGLSIAQTSHAIGLAVAQAGGIRQNVGTQAKSFQAGHANAAAVRAVLM AQAGFESSPEALDGAFGYFALYAQDRDVGHELASLGKETLEFERIGVDVKKYPMCYAT HRALDALADLLAKDAIHSDDIESVTVRTSAGALIPLVHPMPEKALEGKFSMQYGIAAM LLDGQVCLSSFTDAAVGRSSVRALMQRMTVMETDAPPTPRWAEVSIRTKNMATYTQKN EILRGSADAPMSDSDMLRKVKDCLAWGNSNVDGTKLCDAVMRLGEGQSKQYLQRIYEM PSL MIM_RS02245 MNLKKCSRILFLTLSGTLGVITAAIAAFPDKPVTVMVPYPAGGG TDALARKLARALSDYWKVPVVVENAGGAEGQIGTQRVLNAPADGYTLLFQISQMLLWD KTVPNNKIDVVNDFRYVSKIQTSPLVFGIAAKLPVHDFEEYVAWCKSNECAWGSGSAY AQLIGKQLMAEVGLSNAVNIPYRGTAPMMTDVLGRHIAMAVPAVTSSLGQIKGGAFRP LAVGSTERFDQLPDVPTLAELKYPVLGETWYGLLVAKKTPEPVFERIVDAVKAASVDE GLQAQIRAEGGKPVFNAPDVFQREVEQESKQLNTLLDKYWSSQD MIM_RS02250 MSATPSSSSKLHGNTVEQLFNQLKKSIQNGTFAPGQRLVATDLV KDYGVSRGPLREAFRRLGAEGFIDVVPNKGAIVKKFSRKQIHDLFLIRQVIEGLAARL ATENLETLGLEAEHQMLEQIASGAPEEIANFSEENSKFHSTILRLCDNQKLQSLVEQM QLPFVKFQIRGSLDRDYITSSKKEHSQIATAMLAHDAKLAERLMQKHLVNAAERVMKL PDIFP MIM_RS02255 MNKSATSSQAEQRAVPCVILRGGTSKGLYFHEEHVPAPGEQRDH FLRAVMGSPDILQINGLGGSRLITSKIAIVKRSERDDADIDYTYAQVVPDRDVVSYAG NCGNISSGVGSFAIDEGLVEALEPITQVRIFNTNTQKILVAHVPVSGGMARIHGNFAI PGVPGTGAEIFMDYRQTAGAKTGRLLPTGSPQDVIGLDDGTRLNVTLGDLANPCVFMR AQDLGLSGNEMPEQINENTSLLTRLKEVRGKAAVLAGFCRDWTEAEKKSPSLPLVVIV APSEDYSDMNGKAVAQNDMDLRARLVFYNKCHESMAGTGSMCTAAMSRVPNTIVNLTA RNTDGDTLRIGHPLGVMHVVADAAYDATQESVRFTRLGFSRTARRIMSGNVYIPNEQV SGDNE MIM_RS02260 MTKASEQFRALLATGEMAVAPGVYDGLTARLVEQAGFPVVYMTG AGTSAARGYPDYGLLTMTEMVEAAGVLARTVRIPVIADADTGYGNELNVTRAVREFEM RGVAGIHIEDQVFPKRCGHLDGKEIVSTEDFLAKIRAAVAAREDDRFCIIARTDARAV TTFEEAITRANLALEAGADLIFVEATQSFEEFKRVPQLVKGPCLINIVKGGKTPDISL ADAAEAGYRLAILPSLLSVAVIDACESALTQLTQTGLPPSSAEGSSLRARFQRFRADE WDALRTRFRGDVVQTDEPTDQKRA MIM_RS02265 MEARTLLDKLWDSHVIQQHENGWALLHIDRQLLHDLSGTASLNA LSHRGLGVHNPELVFATPDHAVSSAPGRDAWTYETGGKLWQRLHNLTRQEHIHFFDIG QPGHGIVHVMAPELGLVLPGLTVICGDSHTCTNGALGALAFGVGSSELAHALATQTLK QRKPKSMRIRFEGTLKTGVTAKDLILYTIGRLGVAVGTGYAVEYAGPVIDRLAMEQRM TLCNLSIELGAKMGFIAPDETTFEYIKGSQYAPRGDTWDQACKYWSTLHSDADARFDR EVTIDASEVGQMITWGISPEHVIPIDQNIPDIDECVNENVRTARQTAADYMGLALGSS LAGTPVDRIFIGSCTNARLSDLVEAAQVIQGQHIAEHVTAWVVPGSETVKREAQALGL DTVFRDAGFEWREPGCSMCVAANGEIGRPGERIVSTTNRNFVGRQGPAVRTHLASPRV AAACALAGVITGTRGV MIM_RS02270 MEKIRIIDGRVASLRRENVDTDTIIRIERLSTVEKSQLGQYAFE ALRYDKNNELDPSFPYNQPMFAHAPILTAGANFGCGSSREGAVWSLVSLGVRCVIAPS FGEIFHNNCFQNGVLPIVLPASDVALIDARTSQGDSLTVNLQTQQIRLPDGRIIEFDI DARRRDALLEGRDDIAQTLTQDAEICAWQAQDREARPWVWLQKQKD MIM_RS02275 MYPFFRKIATALFSGCLALSQAAYAQTTYPDKPIKIVVPYTAGA STDTLARIIGESVSKQLKQPVIIENKPGAAGIIASNYVKQQKPDGYTLMLTTDGIASV NPSLYKSVSYDPVQDFTSLTMAVSVSNALVVRNDSEFKTVGDVVDYAKKNPEKLSYGS AGVGSSLHIAGELLNDTEGIHILHVPYKGGAAEMNALLGGEVSMIYVPMVSALNFQSA GKARILGIGSKQRNPAVPEVPTFKEQGVDYDSDTWYGFAAPAGVDSAVVQVLHQAIKT ALVDNKSRLEAMGFTVTASSPEEMDDTISAGLERWKPLLQKIDLYQKM MIM_RS02280 MTTESHLLRAKGTALHQQIFLVLRDGIIQGLYAPGTALPKEEAL VKLFGVARATVRRALADLEAEGLVQRRHGSGTFVRANLSTGANIASLSFVDELRQNAQ TTDVQVITVETVAPPPWVSAVMQIPREDLAVRAVRMRLLGKTPAMLTEAWVRRDAAVG VTAATLKKHAMYKIVMAHGVEFGRVVQEISAESADPYKAGLLHCELSTALIRLTRLMH DKGGRPVMHVTAHMLPQHSRILMEIPGDAIDTLSAGHIVHDPLLAETAEPRTKRQQAS KKH MIM_RS02285 MNPAHSLRQLLAKPGTLIAPGAYDAIGVRLIEQAGFLAAYMTGA GTSLARGYPDLGLLTMGEMVENAAAMARSSQIPLIADADTGFGNELNVTRCVREYETR GVAAIHLEDQIMPKRCGHTDGKDVVSREEFISKIRAAVAARQNPAFVLIARTDARAVV GFDEAIWRANAALAAGADIAFVEAPQTAKEVADVPKYVRGPCLLNIVPGGRTPIDSLR DTEKAGYKLAILPGFMLMANIDAGDQALATLKSTMMSPAAKGSVTDVFRRFGLDQWNA LATRLTASDDDSR MIM_RS02290 MGTKSLFDKLWDAHIVRDLGDGWALLHIDRHLLHDLSGPPALAS IANRGMQLHHPDLVFATPDHAVSSRPGRISTTFPLGGKLHGDLSRLSAEMGVRMFDLG QPGQGIVHVMGPELGIVLPGLTVICGDSHTCTNGGIGALAFGVGSSESTHALATQTLR MQKPRQLRIRCTGRLGRGVTAKDLALHIIGKYGTAAGVGCVVEFCGPAVEAMAVEERL TLCNLAVELGARTGIIAPDDRTFAYFRDKPYAPTGELFNQAVDYWQTLFSDDHAVFDR EEQVDVSDLRPTITWGTSPEQAITVDGCVPSPCDIEDEAERNALVATLEYMGLEAGQP IVGTPIDWVFIGSCANSRITDLRAAAEVVRGKKVSASVTAWVVPGSENTKRAAEAEGL DRIFQAAGFVWREPGCSICVAANGEQIPARERSVSTSNRNFVGRQGPGARTHLASPAM AAAAAIAGVIVDVSAVSEVMS MIM_RS02295 MSLQPFTIVTGAAPYLRHTNMDTDVIIRIERLTSLGRDQLGPYA LEALRYLPDGSEDPAFILNQEQFRGAPFILAGENFGCGSSREGAVWALQGIGIRCIIA PSFGDIFYSNCFQNGVLPIRLSLQHIETLAAACQDGVPLTVDLEQCILHVSGASSIRF EVDVLRREGLLHGLDDIGLTLKHNAEIVAWQHKDRLRRPWAWNTVARTDTLPP MIM_RS02300 MLGLMQNHPLLISSLIEFAERHHGDAVIVSRRVEGDVHRYTWAA AARRARQVAKALDAERLLVGDRVGTLAWNGYRHLELYYGVSGSGRVLHTINPRLHADQ IAWIVNHAEDQILFFDVNFLPIVQAIHSRCPTVKKWVALCESDSVPADTAMFGLQSYE SWMGAQSSDYVWPRIDENSASSMCYTSGTTGNPKAALYSHRSTLLHAYAVSLPDVMNI SAHDSVLPVVPMFHVNAWGIPYAAALTGCKLVFPGPALDGKAVYELIEAEQVTFAAGV PTIWQMLLTHMKPAELRFSTLKRTVIGGSACPPSMIRAFGQDYDVDVLHAWGMTEMSP LGTLCNLKHKHLLLPLERQDEIRQKQGRAIFGVDMKIVDETGNELPWNGKAYGELLVK GPWVIKNYFSDETGDPLIYDSQNQGWFPTGDVATIDADGFLNITDRSKDLIKSGGEWI SSIHLENIAMAHPAVQMAGCIGVPHPKWDQRPVVIVVTKPGATLTREELLAFYIGKTA KWQIPDDVLFVESMAVGATGKIIKNQLREQFRNYQQRTHS MIM_RS02305 MISSIQKANEPTDPTGPTGILCNWLAAFELDQTSAIARECARAL TLDGIACAIVGARLPWSVTAANIVQKFEGLGDRTIVGWGTRTSAPGAALLNGTFIQGF ELDDYHPLAPLHSTSLVLPALWAAIEGQPMVSGRQFLEAAMAGYEVGPRVGKALHGIE MLSRGWHSGAVFGTHSAAAAVGKLLKLDAARFEDALGLAGTQSAGLMAAQYEAMCKRM HHGFAARNGLYAAMLAEGGYTGIKRVFEREYGGFLSTFGEGHEPDASQICKDLGQHWE VEHIVIKPYAAMGGIHSPLDALFDVNRQRALKAEEVARIEVDVSHPVYHHGWWTPTRP LTPVGAQMNIGYCLAVAVLDGTAMMRQFAPARIDSDDVWALIPKIEVRHEPAFDVGGA AQRFQCRVAVTFTDGERIEVLRQTSRAMAARQSTDEVAEKYRSLTDGLIDRSRQQQIE QMVRCIEEVDDIRDLLALLSPVVKPVFD MIM_RS02310 MKPFFLKHSLLGVIFCAWLGLMNVPANAANIWPERPIRLVLPFS AGGPADTVTRLIAQGLSRQLNQPVVVENKPGAGGIIGSALVAKSKPDGYTLLVAGNGS ITNVLLRSKMPYEDADLVPVASTHTSPSVIVASVTSDFTNLTELRDSAKRKGGLNFGT AGAGSTGHFVAEMIQKVLGVPITLVHYKSGSETINALMSGQIDLASEAPVGVSGYVTG GKLRALAVTAGERSSFLPDVPTTSEQGFPAISIQHWGGIYAPKGTPTNILDQVVSALL AASEEDNGALRTKLEAMGNEPMIGSRQDFERFIASEKERLGKLIRESNMKLD MIM_RS02315 MIDHPDMRKVINTSSTALRGLGSRFNALTISAMLAFGAVPAQSL AQETAVRISLPAQALNNSLIQLGEQASLQIFYLPETVQGLNAPSVTGNLTPDNALQRL LAGTGIEFRRNGSNVSLSRPASGTVTALAPIVAQASLDSTTEGSGSYTTTGPSTTATK LGLTLRETPQAVTVITRQRIEDQGLSSVNDVVQSAPGLTFRRFGPERASFYSRGMYVD NIMYDGLPVSLDGSYLSQDLLGTDMAVYDRAEIVRGAAGMALGAGNPAAAINLIRKRP TKDTQVSLSGSTGNWDRYRTELDASGSLDTDGSLRGRTVVAYQKNHSYKHTDKSERKL IYGILEKDFGPQTTLTLSVLHQQDDLDGNGFTGLPVARDGSDLHLSRSTSFANDWEYW NKTTTSIYGSLEHRFDNDWKVNLSAYHSIAKLDMLGNYIGLNIPNNIYTQYGSRNQHT ERQNSYDLYASGPFELFGRQHELVFGGNYRKVSFDGNTRQGSTLISGMDIYNWDSGAI AKPDIPLRDWFDSSIEQKSVYTTARLNLADRLKLILGARLDWFDYKDTSYGYSNFDAN TPSSITRNQYNITRNVTKYAGLVYEVDNQHSVYASYTDIFKPQSYLDANQTVLAPIKG KNYEIGIKGEYFNGALNASATLFRLDQANRAYKLSDQTSCSGYPSQVCYAAAGLVRSQ GVELEVQGAILPNWQVGAGYTYTNAKYREDANPLNVGQPFDTDTPRHLFKLSSTYQLT GDWHRWRVGGSVYWQSTIYNEGTSSGVNYRVEQKSYAIADLMIGYQATPKLDIRLNIN NLFDKTYYSAITGSVPFPSNVYGEPRSVMLSLNYKL MIM_RS02320 MTEPGDQTPSDVPFDQALHWFTKARLGTLSSQEKHEFEIWRAAN VEHERQYRSLERFWETTDLLPRNEMRAIMDQSEVQTPMFSQRRRLMLGAGALCTAALA VGALGRNTWWSTPDFTTQFSTTKGERKRFYLPDDSVLDLNTATRVAVTFYDDRRVVQL VAGEALFSVSPDTARPFTVDAGRAQVLVTGTRFNVRRDDETVAVAVDEGAVEFSAGPW WKRNRTRLTAGYVSRASADGTLTTPYQDNVASLLSWQRGRLVFENTPLSQVVAELNRY LAFPLSTSNTHLGRIRISGTVGIDSPESLLTVLPQIAPVKVRRLADGRAVLESSR MIM_RS02325 MSRPPAHKKGWLAHYSELIGSWAKRNSLPKDAEDAAQDAMERLL HGEHAAVLDQRAYLYRTAQNRLVSEIRRQSRYKTLSLHELSEDEHPVQQDPDVALRTA ELSRALHTALLELPLKCQQVFLWNKIEGYTQQEIADKLQLTPSSVEKYMKRAIRHLQE KLQNYAPH MIM_RS02330 MCGIVGAVAQRDITPVLVEGLKRLEYRGYDSCGVAVHMDGELRR TRSTQRVAELEAQIKEDHIAGFTGIAHTRWATHGVPATRNAHPHFSGPANGKPRIALV HNGIIENHDELREELKGHGYVFESQTDTEVIAHLIDHLYNGDLFETVQQTVRRLTGAY GIAVFCHDEPHRVVGARHGSPLIVGLGENENFLASDALALAGTTDKIVYLEDGDIVDL QLQKVWIVDINGKAVDRKVNVVHAHTAAADLGPYRHYMQKEIFEQPRAVGDTIDGIES IEPELFGDGAEKIFKDIDNILILACGTSYYAGLTAKYWIESIAKIAVNVEIASEYRYR DSVPNPKSLVITISQSGETADTLAALKHARTLGMENTLTICNVQTSAMVRECKLNFIT HAGVEIGVASTKAFTTQLVGLFLLALTIAKVKGRLNDVQETQYIKQLRHLPTAIGAVL ALEPQIMAWADRFASKENALFLGRGMHYPIAMEGALKLKEISYIHAEAYPAGELKHGP LALVTEQMPVVTIAPNDELLEKLKSNMQEVSARGGELYVFADTDSKIKASEGMHLIHM PEHYGKLSPILHTIPLQLLSYHTAVARGTDVDKPRNLAKSVTVE MIM_RS02335 MELDELDKRILQQLQKDSGLTNQALSERVHASAPTCLRRVRQLH EQGVIQKTVAIVDPTLVAASLTAIVEITLDVQTAEAYAAFEENVAGEQSILQAYRVSS GPDFVLIVQVRDMPAYHALVHRFFTAQKNVRNVRSFFSVHRSKFETQVAID MIM_RS02340 MKILQVNFEKGWRGGERQTLYCMRAFREAGHEVSLLARHDQPLA HAAQQEHFTVVTRQRPFEQGAFLLQHARDFDIVHVQTAGSLTWAALTRPFFGKTRLVF TRRTSLPVKRQRQWRTLRKWRKADLFVAISRMAASEPRRLGLDPILISSAIEPRQINT AHAQQVRDEFAIGDKKVLATSAALVSVKDPLTLIRAIARLHQQRQDFIFLHFGAEGDC SDQARALIREHGLQQVYHLAGFRQNIEDLYSLFDIFVMASREEALGSSVLDAFALHIP VVSTNAGGLAELLDDERGYLCETGNDEQMAAALQQALTNPAQANARADKAWQYVQDEH DIGRMSARYLAQFERLISQAR MIM_RS02345 MPAEAAHSDRRATLAVALIVKNEAQHLAACLDSVAGWVDEIVIL DSGSTDDTEQIARRYTQAFYTSADWPGFGPQRQRAQTHVTADWILWLDADERVTPQLR ESIEAVLRNPPPNTIYQVARLSWAFGQFIRHCGWYPGHVERLHPRKLTQYNDALVHES LVLPPGAQLATLQGDLLHFTYSTVDEHLRKSAGYAIAWAEQRAAAGKKGSLATATLHA VARFFRTYFLRLGILDGKAGFLLSVMAAQSVFNKYACLWSRTRQAKPPR MIM_RS02350 MTEAPTRNPDQPAPAAAPRTTTGLPSPARQWAAGALLCCIGVTV LDANIANIALPTISRELNIGEADSIWIVNAYTISVMATLLPLSAVAERIGFKLMFRLG LALFTIASLLCAMSGNLHSLVGARILQGLGASSMMCLFGGLVRHIYPTHKLAAGISVN AMTVGVMSVVGPSVGAAILSIASWQWIFGFTVPICLFAMYAAGYLPEAASRSKGRFDY LSAILNIFTFALLLIGLDAIGRNPGRGLFMLAIAAAGGYVLIRRSLPQATPLVPVDLF RYPIFKYAVIVSALTFTAQMISMLALPFYYQHNLGMPLQKVGLLFGVWPIGSVVIASL SAKLCRYFKASLLSGIGAALMAIGIIALISLPADIALWYYVAPMFVAGMGFGFFQTPN NRAMLMSTPLKRSGATGGVQATTRLFGQGVGAACVAISFHVDPVRGPMYVMGLASALV IIAVIINLFRYIKGLDTDAS MIM_RS02355 MLNIVILAAGMGKRMQSDLPKVLHTLAGKPMLAQVIDSARQLSP DRIIVVVGHGADAVRKAFADQTDIEYALQQPQYGTGHAVQQAIPLLVGGEQEGDTTIV LYGDVPLVQPATLKRLLEARANGMAVLTETLQDPTGYGRIVRNDSGQVQRIVEHKDAT EQEHKIREVNTGILAAPTAKLKDWLGRINNDNAQGEYYLTDTIALSVQDNVPVNAAQP DANWETLGVNSRVQQAQLERAWQAEQAQRLLVQGVSLADPARFDLRGTLECGRDVFID VGCVFEGTVTLADGVRVGPHCVLRNVTLGAGTRVEAFSHLEQATAAENVKIGPYARLR PGTVLAAESHVGNFVELKKTTLGQGSKANHLAYLGDAEIGAQVNIGAGTITCNYDGVN KFKTTIEDGAFIGSDSQLVAPVTVGKGATLGAGTTLTKDAPADKLTLSRTRQTTIENW TRPTKKQD MIM_RS02360 MTSSNPFDRPGLGPDSAGNPIMQSLDMMSKAWQQFAQVQQTSNP MFAMPPALSPEELDKRIHELKSIESWLTMNLSMLSGTIQGLEIQRASIHTLKSFVDNL QTQNQDRSLDDIFGLKKSAPAKPAPEPPKAAADRKEKSATNGDSGFNTDSVSQASQAW WDMLQGQFNQLAQVATQATQAMHKEPAPVKTPPGPEPDQPTEPQEPVKPATRKRATTG RPAAKRSTASRRAT MIM_RS02365 MNRIPALYAALLQSIQEVPPEFSLPLTIAGKLAGFITPQALKAI AHLPAVRITQTAVHIADPGTPRFELDPLLADISVILRDAGLLKTWRDELLTVYAEGED LAKMERAAMRPLGLLTHAVHLNAWTPDLQLYIAKRAMTKATDPGMWDTLAGGLANGSE DLEHALLRETLEEAGLQENVLTCRTPLRTLLRMHRRLPEGYQVEDILVSDCILPPHAT PCNMDGEVSEIRIVSQQQAVQMIADRQITLEAALVILEGMLSRTSETLLARFRAQPQN QGEPHDKQ MIM_RS02375 MSSTVVGHAPDHHGHDDHHHAAPTGWRRWLFATNHKDIGTMYLL FSFIMLLEGGTLALLIRAELFEPGVQFFRPDLFNQFTTMHGLIMIFGAIMPSLVGFAN YMIPLQIGASDMAFARMNNFSFWLLPVGAILLTGSFFVPGGATAAGWTLYAPLSLQMG PGMDMAIFAVHILGASSIMGAINIIVTILNMRAPGMTLMKMPLFCWTWLITAFLLIAV MPVLAAAVTMLLTDRHFGTGFFNAAAGGDPVLYQHVFWFFGHPEVYIMILPAFGIVSA IIPTFSRKRLFGYASMVYATAAIAVLSFIVWAHHMFATGMPVTGQLYFMYATMLISIP TGVKVFNWVATMWRGSLTFETPMLFSIGFIFVFTIGGFTGLILAMAPIDIAVHDTYYV VAHFHYVLVAGSLFALFAGTYYWLPKWTGRMYNEKLGKIHFWSTMLSFNVTFFPMHFL GLAGMPRRYVDYAPQFTDFHQIATIGAFWFGFSQLLFLYIVLKTYRGSGETATAQVWE GAEGLEWTLPSPLPFHTFETPPVVK MIM_RS02380 MSDDLRDLSQRKLNFFQTMKAVLWGLFGVRRGAGYQEDISRLNP VHLILGGIIATVIFIILLVMVARWAITSLT MIM_RS02385 MSASHSVQVKEAPYYYVPADSAHPVRTSVTMLLILVGAVTWINH VPYGQYLFLFGLLALFINLYQWFGDAIRESESGMNSKRIDLSYRWGMGWFIFSEVMFF AAFFGSLWYAREVTTPWLSDMDHQLLLWPGFTGQWPNLGPAGIVSHFETIGPFWLPTI NTLLLLTSGLTLTLSHHALRANHRKSTIFWLFVTVVLGFTFVFFQAEEYIHAYTELNL RFDSGIYGSLFFMLTGFHGFHVILGATMLTVILIRLLRGHFTADHHFGFEGAAWYWHF VDVVWLGLYLFVYWF MIM_RS02390 MRIFIGLAFLVIIGSLASAFFYLMRDKGTTNRTVNALTVRIALS ILLFLFVLFAHHMGWIQSTGIEQVSR MIM_RS02395 MKRELINWKTVTMLILLALLTVVFVSLGRWQLQRADERRLTAEQ IASGQALPPLAIDKHIPSSDIVAWRPATVAGNWLGAFSVLVNRNQNGRPGYWLATPLL LDNASRDAVLVLRGWFPQVLTPAAMPEFPLPDGRQTVSGEVALRVPRLFELWTFNKGV AQLPEQIPQSSGPLPQVQNLDLIEFGRASGLHMLPLVLLQTGPSTKGLVRDWPRPSID ADKNIGYALQWFCFAAIAALALVISLIRLRLKSTKETSSS MIM_RS02400 MNTNSPSSSGTSSRSGARRSNMPLYLIILSCIVPIVAAALLYYV PSLRPAGSTNYGNFVQPQRPMPSAEALSLTTLDGKPFDLNTLKGKWLLLTSDGGACPD SCAQKLHFTRNGHASQGKNVSRIQRVWFVTDDQPIPEKVLEAYKGTIMLRVDPKVLGN FLLDQADREGDAVALEKKMDSYLWIVDPNGNLIMHYPGNESDPVRFRDDLAKLLHASS IG MIM_RS02405 MHMNTASERIRSRYRRLVFLTWFFTLDLIMFGAFVRLTDSGLGC PDWPGCYGKASPLGASDHIANALEQQPFGPVSMSKAWIEMIHRYWGSLLGLMIIAIVF MAWRYRRELGRSPALATATLIAVCLQGAFGAWTVTHKLMPLVVTTHLVLGMLLLAMMT WLAAREKPHVGIAARARNWRPWMALGFIVLLVQLFLGGWVSTNYAALACMDFPTCHGA WVPEMDYQGGYSLVRALGELPTGEIISQEALTAIHWTHRNFAFFVFVFLFALALVARR EDGLKGPAHLLIGLLIVQVLTGLTTIFFQWPLLIAVLHTGGAAGLTIACVTLLVRMSR GGKGSFLPL MIM_RS02410 MTTAIHPDTGLLRQYLVLTKPRVTQLAVFCAVIGMFLASPGLPP VQPLIAGTVGIWLLAAAAFAINCLIELEIDARMLRTARRPTAKGTITPLQVILLSGVV GGAGMLVLYHLVNPLTMWLTFATFIGYALIYTIILKPRTSQNIVIGGLSGAMPPALGW AAVANAVPAEAWLLVLIIFVWTPPHFWALALYREHDYRNAGLPMLPVTHGKDFTRLHI LLYTLMLLVITLLPFVIRMSGYMYLASALVLGAIFIAYAWKLYKAYSDELARKTFRYS ILYLSLLFIALLIDHWILMSGATI MIM_RS02415 MKLFLSLRLATRTVLAMAIATALAACDNNASERAGSAGSSSTAS ATATGGFTGTDITGTGFGKDIELVNQEGADIQLQQAYHGKVMVIFFGFTQCPDVCPTT MAELAQVREKLTAEQRERVQVIMISVDPQRDTPAVLKQYVSAFDPSFVGLTGSDEQIA KVAASFKAYYKKVPSGDSYTMEHSAGLYVLDTKGESRLLIKPNTAPEAIAADIQKLL MIM_RS02420 MEIQYFVFALAGLLTIACFMPSLASRLGLPHTVMLAILGGVLGL IVQANGVHLPFIGDFLHALHGFSISSQTFLIVFLPILLFETSMAMNVRRIMVDISPIL TMAIVAVLVCTISVGMILSAFSGYGLAVCLLLGAIVATTDPVAVVGIFREVGAPKRLT TLVEGESLLNDAAAIALYTVFISVITRNAPLSIENIAGHFLYSFLIGGLIGFVFGHIT CRLFHYLRGWPTAEISLTICTAYLTFFISEHYFHVSGVVATVVAGLVVGSAGRTRMSP STFEQLGHAWEQFGFWANSLIFILAAMLIPRLVVDLDLKQGLLVVLLFIVTLAARAAV VFGVIPLLTMFRISTYVSRPFRAVMCWGGLRGAISLALALAVTEQTAIPEDVRHFVAA ATTCYVLSTLLINGLTLRLLISKLGLDQLSPVEKGLRNQALVIALDDVKEQTDELAGR LKMEASAKQQVDAVFTKGMADILKDDTDYLSKGDRLSVALAIISNREEELFFDSLKKQ LIPWQAAQTLLSNAENLGDAARDGGARTYTRALRHDIRYTLAFKVALRLQTHFNFSPW LAYELSQRIIKLISKHAVVSQLIRFCRDDLTPLLGQEIAGKAERIQVARLHTIDSALS ALNLTYPVYSHWIQEDYLARIARSLEVTRYNEMLEQSLITKEVYNDLIGQVHLRWEPL FRHPSLDVSLSAKELVKRVPLFEEMDDSALTQLSKLLRPRLMLPNQTVPQVDRYGHKW MFFVASGAVQVTLPDASRVELGSGEMLGELSMLTDKTLTQSVRSMGYSKLLFLQARDF HSLMKKFPDIKEKIDLVVKQRLRALEVWEQYAAKSTLAASETSNMDESETAAAPGGIS ARSAQEQQSPAPVNEALPADSSESEQADINTDADSDSDSDSDSDTTTTNTNTNSQ MIM_RS02425 MSNQALTLQNSVASAISNPGALGTIESYISAVNRIPMLTPEREQ QLGETLQEKGDLNAARELIMSHLRLVVSISRQYLGYGLPHADLIQEGNIGLMKAVKRF DVTRGVRLVSFAVHWIKAEIHEYIIRNWRLVKVATTKAQRKLFFNLRSMRPDGQTLTP EHIEGIASKLNVRPEDVSEMEVRLTGRDMSLESQDDDSDDFAPISYLSDEGEQEPGTV LDRRAHDDMQGTGVSNALAVLDPRSRRIIEARWLQDEGGGSTLHELADEFGVSAERIR QIESAALKKMRGAFAA MIM_RS02430 MNKPRRVWLKQTIMLVLTAVMGTTAYAQNKEVVIGYQDMVVPWR YAQETRLLEERTGYKVTYRQIGSGADVVRALASGAIDIGEAGSSPFAAGLSQGVPIEL FWVLDNINQAEALVARKEAGIASVADLKGKKIALPFASTTHFHLLVALENAGIQPNEV QILNLRPPEVLAAWQRGDIDATFIWNPVLQQVKENGKVLLTSGEIAKATGKATFDAIA VRKDFAKDNDDFLTAFVKVLADSDKDYVGNTARWTADSAQAKAVAKWSGAEAGNVPDS LALYSFVAPAEQAGKQWLGGGKDSVVVQSLAATSEFLKKQGTIGSTLQDYSAGVNPRW VERAAQGQ MIM_RS02435 MALDSLQTTRRTATAFHGLQVRDLSVRYAGAGGQQATLALSHVD LDIKQGEFVVALGASGCGKTTLLNCIAGFTQASAGSIWFNDQEVTGPGAERGVVFQKH ALLPWLNVQDNVALALRLRGVDAAKRHRIAQEKLEWVGLASFMKAPIWQLSGGMQQRV GIARALVSDPDVLLMDEPLAALDAFTRESIQELVLKIWQKTGKTVFFITHDVEEALFL GSRLVVMSPRPGRIAQEHAIDFNRQYLAGGNARAVKASRAFIDWRERVLDWIAPPGSR EIQSEVTPRLKTA MIM_RS02440 MTEQVSLRNAEPCEGVVGAAPQRRLQADQALPAVPQFRLPGAGS SHGISAVCISILLVLWWVSTEFGWVPPLFLPTPAAIRDAFLQAWAGNIQGGQGLLLHL QWSALRVFGAFSLAAITAVPVGIAMGVSRVARGIFDPPIEFYRPLPPLAYLPLIVIWF GIDETSKIILIYLACFAPIALAAKAGVRSASVEQINAALSLGATRWQLIRHVIVPAAL PDILVGLRIAIGFGWTTLVAAEMIAATVGLGQMVLNASNFLRTDIVIMGILVIGLVAW SFDLLMRWIEAKVVPWRGR MIM_RS02445 MSDKLELISEHYCFDGTQRFYRHTSREIGLPMQFSVFIPPQAAR GPVPALIYLAGLTCTEETFMIKAGAQRLAAELGVLLVTPDTSPRGANVPGEDEGWDFG TGAGFYLDATEPGWKDHYKMYSYVTKELLQLLPEFGGDLSRTGIFGHSMGGHGALTIA LKNPELFRSVSAFAPISAPMNCPWGEKAFTGYLGQDRSTWENYDASKLMEKTKTPFPD GILVDQGLADKFLADGQLLPELLEAACAKAEQPLTLRRHEHYDHGYYFISTFMEDHLR FHAERLK MIM_RS02450 MARLPRLYAPGIPQLVHARFLFPLSTRAPAGSRVLDTLQKWLFD GLARHGVLLHAWTLTDDGILLLATPEHDKSLSRLIQMLGRNLATTLRSGPVFSGRYRS TLLEPGAWVIPSMIWLESWVAREKGASDSELWPWSSAGFHTGAFMGYSPVLNDHADYW SSGNTPFDRQAAYKYRYTEGLSSSQLQQIAQALHGQWALGSPDFISRLNTVASRRPMP GKRGRPRIRPQQDRPQEEE MIM_RS02455 MRYQLLTFVLALFLVFLVSRVLFVLWQRRRVQAASGIGRVFLGG LRIDLNQIATYAALPALLMPWLEDRQWFVTVTAIWFGVWWLGCVFMEICTPQFIDEYD TRPNRLFVEYLKHPKEVSGMLWRGYKKVLALGLLVFAGMIFLAVHLFSGYTAVPLGPW WLRPIESLVYAALCFLMIRGTLKKRPINPSTVAFAGDGMVNSLALNSMYSLQYAIYAM KYEMSAEDVYGKMPAEQMNQIVCEAAGITALAEPGKGAATLHKQQVSVSSDRPLNIVF IVEESLGAQYVQTLGGQSLTPHLDALYEQGWGFTRAYATGTRSVRGLEALSAGFPPTI TQSVFKLPGAQSGFFTIADVLGQQHGYHSRFLYGGEAHFDNMKGFFLGNGFREVIDGP QFKDPAFLGTWGYCDEDMFNQLDRLLQEDAARSEPVLTLAFSVSNHSPWEYPEGRIQT SGNPATVENTVRYADHAIGQFFLTAKTRDYWNNTVFVVVADHDSRVFGADLIPLKHFH IPAVILGGTIAPRRDDRLISQIDLAPTLLSLAGAACEHPMIGQDLTRQTPDRAIMQYG DRYGYLQGDQLTVLEPHLDPCQFRYTAPETFEPMTSDESLVKLARAHALWPFSVYRDK SYTVPKQR MIM_RS02460 MDYLLALDQGTSSSRSILFDRNGQIVGLARQEFTQHYPQSGWVE HDALEIWRTQLVTMEKVLHQTGVDISRVRAVGITNQRETSVLWDRRTGEPLGPAIVWQ DRRGEPLCRQLRDQGHEPLILEKTGLRLDAYFSATKLVWMLEHFPQARARAEKGELLF GTIDSWLIWQLTGGKVHATDVTNASRTMLFNVHTGQWDAQLLDLFQIPASLLPQVLPS SAHYGDIPADLLGYALPICGVAGDQHSALFGQACTRAGMAKNTYGTGCFALLHTGTRF TTSENGLLTTRVAQYGQPQPEFALEGSVFVAGAAVQWLRDGLGIIKKSRDVQALAESV PDTGGVMFVPAFTGLGAPYWNADARGALVGITRATTAAHIARAAIESIAYQSAALLSA MARDGAGLEQLRVDGGASVNDALMQFQADLLGIPVLRPQVVETTALGAAYLAGLQCGI WSDVDEIEQLWQIDRTFEPAMSADQAQACMAQWEKAVVQTISGT MIM_RS02465 MSFSTLPLSEAQQKNLAELGFHTMTPIQSASLPAVLEGRDVLAQ AKTGSGKTLAFGLGLLQRLNPTYFGCQALVLCPTRELAEQVAQEVRKLARALGNIKVL ALYGGTALKPQAESLQHGAHIVVGTPGRVLDLVDRDVLRLSAVKVLVLDEADRMIDMG FYEDISAITQACPLKRQNLLFSATFPPAILKASEGFLMNPVHVRTDDKPDHPETDSWF VATEEADRFNTTAALLNAYRPVSTLAFCNTKAQCEALTDSLLERGIEARTLHGDMEQR DREDVLVDFIGQSCSVLVATDVAARGLDIATLDAVINVDMSPNAETHIHRVGRTGRVA GRGGLALTLCTPQEKFRAERIEQALGKPLAWLDMNTLKRGRGAFTPPMRTICIRGGKK DKLRPGDLLGALTKDLGLQASQVGKISLFDFVAFVAIERNIAQDTLQRLSQRPIKGRS FQMRFLS MIM_RS02470 MSVHSSSPADQVSVIDASAIGHRPHRMPKAQGMYDPTNEHDACG VGFVANIKGRKSHAIIQQGLKILENLDHRGAVGADKLMGDGAGILLQIPDTLYREEMA KQGVTLPAEGEYGVAMVFLPKETASRLACEKELERAVRDEGQVLLGWRDVPIDHDMEM SPTVRDCEPLIRQLFIGRGPDVMVPDALERKLYVIRKTASHAIQNMHLAHGQEYFVPS ASVRTVVYKGLLLANQVGIYYRDLADTRAVSALALVHQRFSTNTFPAWPLAHPYRMIA HNGEINTVKGNFNWLRAREGMMDSAVLGDDLKKLYPIVYEGQSDTATFDNCLELLVTS GYSLSHAMMMMIPEAWEQHTTMDENRRAFYEYNAAKMEPWDGPAAVAFTDGRQIGATL DRNGLRPARYLITDDDMVILASEAGTLTVPENRIIKKWRLQPGRMLLIDLEQGRIIGD DEIKSQLSNNRPYGQWIKRLRVKLDDILVHGNNHPQAPGAPATLLDRQQAFGWNQEDL KFIIQPMAKNGEEAIGSMGNDTPLAVLSSRPKSFYNYFRQLFAQVTNPPIDPIREQLV MSLVSFIGPKPNLLDINNVNPPQRIEVSQPILDFAGMSVLRDIEKYTDNKFRSAELDI TYPASWGAEGIEACIAALCSSAADAVRSGLNILILSDRNMDGERVAIPALLATSAVHQ HLIREGLRTATGLVVETGSAREVHHFALLGGFGAEAIYPNLVMETLAGFPEPEKAVKN YVKAVGKGLNKVMSKMGISTYMSYTGAQIFEAVGLQSALVKKYFSGTPSKIEGINVFQ VAEEALRLHQAAYSEDPVLKNALDAGGEYEYRIRGEDHMWTPDSIAKLQHSTRANNYR TYKEYAQLINDQSRRHMTFRGLFEFRVDPARAIALEEVEPAKEIVKRFATGAMSLGSI STEAHSVLAVAMNRIGGKSNTGEGGEDELRYRNELRNGASGIKKGDTLASVLGSDRIE ADVPLQDGDSLRSKIKQVASGRFGVSAEYLSSADQIQIKMAQGAKPGEGGQLPGHKVS EYIAKLRYSVPGVGLISPPPHHDIYSIEDLAQLIHDLKNVNPSASISTKLVSEVGVGT VAAGVAKAKSDHIVIAGHDGGTGASPISSIKHAGTSWELGLSETQQTLVLNKLRSRVR VQADGQMKTGRDVVIGALLGADEFGFATAPLVVEGCIMMRKCHLNTCPVGVATQDPVL RKKFQGKPEHVVNFFFFIAEEVREIMAQLGIRKFDELIGRADLLDMRAGIEHWKARGL DFSNIFYQPEVDTFVRHTEEQDHGLDKALDIQLLERSKAALERAEKVSFIVPIRNRNR TVGSMLSGAVARKYGHEGLPEDTIHIQLNGTAGQSFGAFLAHGVTFDLVGEGNDYVGK GLSGGRIIVRSPNDFRGYGPDHIIAGNTLLYGALAGDAYFNGVVGERFAVRNSGAATV VEGTGDHGCEYMTGGTVVVLGETGRNFAAGMSGGVAYVWDPEGELKSRCNMSMVELSE VAAHDDQLKENRQEGWHSIQRGQERQTDESILRTLVENHFRLTGSFRARDLLGDWDKA RKQFVKVMPTDYKRALAEIWQSEQSARKAA MIM_RS02475 MGKITGFIEFERVAESYEAPGARLKHYKEFVLALEDKQAQVQGA RCMDCGIPFCNTGCPVNNIIPDWNDLVYRQEWKQALDVLHSTNNFPEFTGRICPAPCE EACTLNISNEPVGIKSIEHAIIDKGWEQGWVVPQPPLKKSGKKVAVVGSGPAGLAAAQ QLARAGHSVTVFEKSNRIGGLLRYGIPDFKLDKSLIERRVSQMEAEGVEFLASTYVGA AADATEDGLNVITTAELDEQFDAVIMAGGSEAPRDLPVPGRELGGVHFAMDFLRQQNK RNAGDRIANQISAAGKHVIVIGGGDTGSDCVGTSNRQGAASVTQIELMPRPPEHENKA LTWPYWPAKLRTSSSHVEGCERDWAITTKSFQGDKGKLKKLVCARVEWVKDDTTGQMK MQEVEGSEFELKADLVFLAMGFVSPVAAVLDAFGVEKDQRGNVKANVDNYRTSRDKVF SAGDMRRGQSLVVWAIREGRQCARAVDEFLMGASLLPR MIM_RS02480 MTAESRHSSPPVIRFTDVTLGYDTRVILRDLSLEVNKGEVVALI GGSGSGKTTLLRAATGQIRAQKGTVTVFDQDVNRMTPQQLGAARRRMGVLFQQGALFT DLSVFSNVAFPLRELSNDSEAVILEKVLDKLDQVGLKRAAHLSVSEISGGMARRVALA RAIVLEPEIILFDEPFAGLDPISLGMTARLIRSLTDKLGCATVLITHDLEESFLIADQ VYMVGDGSLVVSGTPDEVRQSDNSLARQFLKGASSGPVVFEYPPSDDFDRWLSRHREG VK MIM_RS02485 MIVDLITALGARITRPILALGICFRFLLAVLARTSIVFKRPRLV IEQIHFIGNYSLVIIAVSGLFVGFVLGLQGYYTLTRYGSEEALGVMVALGLVRELGPV VTALLFAGRAGTSITAEIGLMKAGEQIAAIEVMGINPLRRIVVPRFWGGVIAMPILAL IFSTVGIIGGWLVAVVLIGVDGGSFWSQMQSAVDIQNDILAGFIKSIVFGLAVMLVSV YEGWMSKPTPEGVARATTRTVVVSSLLVLALDFLLTAVMFGG MIM_RS02490 MSKKTDFLVGLFVLLGILAAVFMMLQAGNLRTFSIGQTYHVTAK FQNIGALKVRSPIKSNGVVVGRVSSITFDNKEFKAVVGMDIEAGYQFPDDSSASILTS GLLGEQYIGLTPGGDDKNLADNSEIIYTQSAVVLEELISKFLFSKAESEGAGASGSSG ADQTHNAPALTPPASAPAPVQ MIM_RS02495 MKQTQIRLLGLGSALALLAGCASVKNPTPGDPLEGYNRSMFAFN DAVDRNLLTPVAKGYQAVVPSPVRTCVTNIFNNFGDIWSGINSFLQGRGLDSVNTFGR VLFNSTMGLGGCIDVASMKGAPRIQNDFGTTLGVWGFGQGPYLVLPLLGPSTIRDTAG TVGDGFGGSAVYANPGAINDVPVRNTIWGISVVNSRANLLNASDLLDDVALDKYSFLR DAYLQRRQALLNQKLGPVVLDRADGQNKGGSDNLPSYDDPEAGGTTGAADPNVPVYDD PDAAASGTTQSAGKAAARPAGALRQQPAATPTPPASGAASADPSLPVYTDPESK MIM_RS02500 MKFAGILKTTQVAMIAGFLSVGAMQAAVAAQDPNQFVEQIANNT LQAVNQSDAAKSGDISAINGIVTQYLMPHINMEKTTRLAVGQPWRQATAEQRSALVNG FKQTLLRTYSGAFRNVTSGTTITMQPFRGDTNASDVVVRSIITAGQGPVSVDYRLEKA GNSWKIYDLNVENIWLIQNYRNQFAGQISQGGIDGLIKALNR MIM_RS02505 MSAVQVQHLSKIYNSVRNAGWRRFLSSRDPSREPFRALDNVSFS VQEGEFFGLLGPNGAGKTTLISLLAGLSHPTAGTASICGYDVATQYKQARRSLGVVPQ ELVYDPFFTVRETLRLQSGYFGIHRNDDWIDEILHNLGLTEKADANMRALSGGMKRRV LVAQALVHRPPVIILDEPTAGVDVDLRRSLWDFIVRLNKSGHTIMLTTHYLEEAEALC NRIALLKRGQIVALDTTQALMARVGGRDLEEAFVHIMHNGLQESVAS MIM_RS02510 MNPLQKDVRFGSGFPTLLYKELLRFWKVAFQTVAAPVMTAMLYL LVFAHVLEDRVRMYDTVPYTAFLIPGLMMMSMLQNAFANPSSSLIQSRITGNLVFVLL PPLSHYEFFSAYVIAAVLRGLVVGLGIWIMASFFVPIWPASIAWVLAFAVLSCCILAV LGIIAGLWSEKFDQLAAFQNFLIMPATFLSGVFYSIHSLPPFWQGVSHWNPVFYMIDG FRYGFFQASDVSPWHSVAVVAAFFVLLSAYALRLLAQGYKLRT MIM_RS02515 MTMLPTPEQIQQYIESHLPCEHIEVRGDGSHFEALIVSTVFEGK RPIARHQAVYAALGERMKAEIHALSMKTLTPEEYRKNG MIM_RS02520 MDKLRIVGGRRLNGEISISGAKNAALPILCAALLTSEPVTLRNV PQLNDISTTVRLLADLGVKVTQEAGGVVHLQADQITNLEASYELVKTMRASILVLGPL LTRFGQAKVSLPGGCSIGQRPVDQHIKGLTALGADITVEHGFVVANAVRLKGAPIVTD MVTVGGTEQFLMAAALAEGQTVLENAAREPEVVDLAEMLISMGARISGHGTDRIVIDG VERLHGTDYTVVPDRIEAGSFLCAVGATGGDIMLRNAAPDTMGATLDKLIDAGLTIES GADWIRASMQTRPKAVGFRTREYPGFPTDMQAQLLALNTVATGMAVVVENIFENRYMH VPELMRMGADIELDGHTAVINGVQQLMGAQVMATDLRASASLVIAGLAATGETIIDRI YHLDRGYEKMEDKLQAVGADIQRLRS MIM_RS02525 MTQNPQLTMALSKGRIFEETLPLLEQAGIVVTEHPEQSRKLILP TSRDDLRILIVRASDVPTYVQYGAADFGIAGKDVLYEYSKEHPGGLYQPIDLNIARCR LAVAVRDGFDYEAAVRQGARLRVATKYVNVAREHFARKGVYVDIIKLYGSMELAPLVG LADAIVDVVSTGGTLRANGLVAVEDVMPVSSRLIVNQAALKTRAAVLQPILDAFEHAT PSQG MIM_RS02530 MKPVINRLDSRADGFAGTLRQLLAFEAGEDAHIEQAVAGILQDV RQRGDAAVLQYTTQFDRLPVTTMADLEISPAECQAALDALAPARRQALEQAAQRVRMY HDRQKTDSWRYQEADGTVLGQNITPMDRVGLYVPGGKAAYPSSVLMNAIPAKVAGVGE VIMVTPTPDGVRNPLVLAAAAVAGVDRVVAIGGAQAVGALAYGTDSIAPVDKIVGPGN AYVAAAKRRVFGTVGIDMVAGPSEILIICDGTTPADWIAMDLFSQAEHDELAQAILLC PDADFLEKVQEAIVRLLPTMPRAEILGKSLADRGALILVRDLDEAVSIANEIAPEHLE ISTENASELAGKIRHAGAIFLGRFSSEALGDYCAGPNHVLPTARTARFSSPLGVYDFQ KRSSIIQVSEAGAITLGAIAAELADGEGLPAHAQSARYRLNSNTDDKT MIM_RS02535 MRTADITRNTNETRIRVSLNLDGTGKQAIDTGVPFLDHMIDQIA RHGLIDLDIKCDGDVHIDDHHTVEDVGITLGQAVAKAVGDKKGLTRYGHSYVPLDEAL SRVVIDFSGRPGLEYHVPFTRSHVGRFDVDLMREFFQGFVNHALVSMHIDNLRGTNSH HQAETVFKAFGRALRMALTPDPRSEGVIPSTKGVL MIM_RS02540 MSGKIAIVDYGMGNLHSVERALRHAASEADVYLASDVAALEKAD RIVFPGQGAMPDCMRNLQNSGLLDAVLRAADSKPLLGVCVGEQMLMQHSEEGDVPCLG IFPGTVRKFAGPQFAVNLQEQSDTSAAQVQHEARPLKVPHMGWSRVRQARPHALWDGI PDNSYFYFVHSYYVDPADSAISVGETVYGHNFTCAVARDNIFAVQFHPEKSAEFGLRL YRNFVNWNI MIM_RS02545 MLLIPAIDLKDGRCVRLRQGDLQDATIFSEDPVTVAEQWYDQGA RRLHLVDLNGAVAGKPKNEDVIKAIVRAVGDDIPVQIGGGIRDLDTIERYLDFGIQYI IIGTAAVKDPGFLQDACSAFPGNIIVGLDARDGKVATDGWSKLTRHDVLDLGRKFEDY GVESIIYTDIGRDGMLTGVNIEATVRLAQHVRIPVIASGGVTDLSDIEALCAVEQEGV EGVILGRSIYEGTLDFQAAQDMADELNGDEQE MIM_RS02550 MTQSTAPAHSLTTRVIPCLDVTAGRVVKGVNFVQLRDAGDPVEI ARRYNEQGADELTFLDITATSDGKDLTLSMIEQVASEVFIPLTVGGGVRALPDVQRLL NAGADKVSINSAAVNEPELVRACADYYGSQCIVVAVDARRVSAPGEPLRWEVFTHGGR KPTGIDAVAWAVRMARYGAGELLITSMDQDGTQAGFDLQLTRTISDAVAVPVIASGGV GNLQHLCDGVNEGRASAVLAASIFHYGDYSIAQAKAYMAERGIQVRNTQ MIM_RS02555 MNWIDEVAFDADGLVPAIAQDWQSGRILMVAWMNREALQETADT GRAVYWSRSRKRLWRKGEESGNTQRLCSLRLDCDGDVVLLTVEQQGGVACHTGRESCF FRELTGEASARQWRVTDEVLKDPETMYK MIM_RS02560 MTQANLPAPAADILARLADVLETRRPQAGGDSQTSYTAKLLARG PDAFLKKIGEEATELVMAAKDGVPERIVSETADLWFHCLVALTHYGLRPEDVLAELAR REGMSGLEEKAARKQ MIM_RS02565 MGSLSIWHWLIVLVIVALIFGTKKLRNVGEDLGGAVKGFKKGIK DANDEADSSKDDGINRKVADTQDTAAPAKPDAIDVQAREKSE MIM_RS02570 MFGLSFGELFVIGVVGLIVIGPERLPTVARTLGHLVGRAQRYVN DVKSDIQREVDIQEIAKIKEEMQSAVSDVKSSVEDTASALRNPVNALRDDLERTGASL RDSVNPNTTNTTSSQADAADAANSASDAQADTTRHAAGLFDTPAPATDSPSAGVADVT APLTEPPLEPASGAEAKSAETVNATPPAAPASAGKPVSSTASSPSAGPEQPSVKPTEA DAPASAVTRSDKHS MIM_RS02575 MPNPILPPDSSQESLPEDSFFSHLIELRTRLIRALLAVIGVFAI LCIYPGTGAVYDFIAQPMIASLPAGNRMIATGVITPFLVPLKVTLLLAFMIALPYVLY QAWAFIAPGLYRHEKQLAMPLVISSTLLFFFGMAFCYYIVFHTIFHFIAMVSPESINF APDIEAYLGFVMTMFLAFGVTFEVPIVVVVLVSTGVISVEKLKAMRGYVIVGAFVVAA IVTPPDVMSQLLLAVPLCLLFELGLFAARFIKVRELNRDESETEQ MIM_RS02580 MYRFWLLLSQAVTVCLALVFTITTLRPGWLTPGASDNPRVLPST VLPSAVESLSFASAVAAATPSVVNIYTTKNIESPFKNLPDVPALKPFIKDNKDQNTMG PTNLGSGVIASDKGYILTNYHVIEAADSIEVGLTDGRKAPAKLIGTDPDTDLAVLKAD LPDLPVIHYNIGNTPRIGDVVLAIGNPFGVGQTTTMGIVSALGRTGLGINTYENFIQT DAAINPGNSGGALINTHGDLIGINTAIYTESDAGGSLGIGFATPADTAMRIMDEIIKT GSVSRGWLGIEPQDITTDLSRAFNLKNTNGVIIATLAPNGPAGQAGLLVGDILLAANG EEIAGTDHLLNKIAEFAPQSKVTFTVLRGGKKHDFIVTLGKRPQITTTN MIM_RS02585 MNKIFVQDLLGWLDQQLKPALFQDYAPNGLQVQGKPEIKKVITG VTASAQLLQIAADKGADAVLVHHGWFWKGENPCVVGSKFRRMELAIRHGLNVIGYHLP LDAHPEWGNNAQLARVLGLVPDRADDGSGQPVVFGRGGLIWSGTLPPGMQTLGELGTQ IETTLGRRPLLIGNSNQPLRRIAWCTGGAQGMFEEAIARGVDVYLTGEASEQVYHQAI ESGVAYIGAGHHATERYGVQALGQAVAAQFGVDVEFVDLPNPV MIM_RS02590 MSKGFINEFKEFAIKGNMIDLAVGVIIGAAFGKIIDSLVKDVIM PVINFILGGSVDFANKFIVLSEPDGYTGARTYEAMSKAGANLLAYGNFLTIFINFVLL ALVIFCLVKAVAKARATFEKEKEAEVEADPADVALLKEIRDLLRQKPTV MIM_RS02595 MSQDSIQQYDNQGPASPAVLPSDPQRRFWLGTACAVGGVAGVAT AVPFVASMNPSDRAKAAGAPVEIALGGIAPGTMITAEWQGKPVWVIHRTQAMIDGLTK NDSHLADPKSERPDYTPDFAKNEGRSRKPEWFICVGICTHLGCSPSPRFAIGGAEGMG SDWEGGFLCPCHGSHFDLAGRVFKNQPAPDNLLIPPYYFVDDNTVMVGLEKAEG MIM_RS02600 MAGEKVVETTGLLGWVDRRFPLTTLWKAHLSEYYAPKNFNFWYF FGSLALLVLVIQIVTGIFMVMHYKPDAALAFTSVEYIMREVPYGWIIRYMHSTGASMF FVVVYLHMLRGLFYGSYRKPRELVWIFGVAIFLCLMAEAFFGYLLPWGQMSFWGAQVI VNLFSAIPYVGPDLSIWIRGDFVVSDATLNRFFAFHVIAIPLVLIGLVVAHLIALHEV GSNNPDGVEIKEKKDANGRPLDGIPFHPYYSVHDLMGVVGFLMVFAAIMFFAPTMGGY FLEANNFLPADAFKTPPHIAPVWYFTPFYSMLRATTDEFTWVLVALALIFAVGLLFSK NLKGIWKIIFPVILVLLAVALRVYDAKFWGVVIMGGTVVILGFLPWLDQSPVKSIRYR PGWHKLVYAVFLIDFVILGIIGTKAPSPVLNIISQVGTLIYLAFFLFMPIWSRLGTFK KVPDRVTFHPH MIM_RS02605 MFKKLIGALLLAVTFTTPVLAAEGGMEWDRAPNRVNHVASLQNG AKLFVNYCLNCHSANSMRYNKLTELGLTEEQIKENLLFTGDKVGELMHVAMSPADAKA WFGAAPPDLSVMARAKAANMGQDGTDYIYTYLRTFYRDTSRPLGWNNLLFPNVGMPHA LWNLQGPRELETVDIHQVEKDGKQEWHKIVTKVDAEGFKSVVSDDPLPEYHGGASSHS TLKYLDPSKQAQYDNDVADLTAFLGWMSEPEQLLRKKLGVWVLLFLGLFFIVVWRLNA SYWKHVK MIM_RS02610 MMVLYSGTTCPFSQRCRFVLYEKGMDFEVRDIDLFNKPEDISVM NPYGRVPILVERDLILYESNIINEYIDERFPHPQLMPADPVMRARTRLFLHNFEKELF VHVGVLEDRSARSDEKKLENARRMIRDRLSQLAPLLIKNRYMLGEEFSMLDVTMAPLL WRLDHYGIELPKNAAPIQKYAERIFSRPAYIEALTPSEKVMRR MIM_RS02615 MEKPSTKPYLLRAWHEWCTDAGYTPHLVVHVDAACQVPREFVRD ERITLNVGAMATNRLVMGNDWIEFQARFGGVSRQISVPVARVEAIYARETQEGMQFEV EEYDLTDNAGSDAHDTQGPDDDPPPPSSPQEKAPWLKVVK MIM_RS02625 MTDRLTSIITKTGDDGTTGLGDGSRIAKHSIRIQAIGDVDELNS QLGLLLADASVPLAITQLLQHVQHRLFDLGAELSVPGYVAITDAHVTTLEQAANLHQA GLQPLREFILPGGCPAAAQTHVCRSVCRRAERSVVALNGTEPVSDAARHYLNRLSDCL FVIARALNQGAGQSDIFWQAADKTTP MIM_RS02630 MRKSIATVILGLAAALAVTGTASAQETGRGSGEVRRIQPDQGKI AIKQGEISDLKLPAMTLSYKIDAALLKNIKPGDSVSFTAERVGKDYVIKEISN MIM_RS02635 MSASVMTPKEIVSELDKNIVGQNKAKKSVAVALRNRWRRQQVPE PLRHEIHPKNILMIGPTGVGKTEIARRLAKLANAPFIKIEATKFTEVGYVGRDVDTII RDLVEISIKQTRELETRRVRTQAEDAAEDRILDVLVAPPRDSNGEPLRQENTARQTFR KKLREGQLDDTEIEIDVTQPMPHMEIMAPPGMEDMTEQLKGMFAGLSRDKKKTTKMTI KNAFKLLVDEEAAKRVNEEEIRAAAVRNAEQQGIVFLDEIDKIATRQENGGGDVSRQG VQRDLLPLVEGTTVNTKYGMVRTDHILFIASGAFHLSRPSDLIPELQGRFPIRVELDS LTTADFVRILSDTDASLTKQYTALMATEDVALEFTDEGIHRLAELAYDVNERTENIGA RRLYTVMEKLLEDLSFDATTSSGQVVKIDADYVNSKLEEAATSQDLARYVL MIM_RS02640 MEQFHATTIVCVRRGNQVALGGDGQVTLGNIVIKGTARKIRRLY HDKILAGFAGATADAFTLQERFEAKLEKHQGHLMRAAVELTRDWRTDRVLRRLEAMLI VADAEHTLILTGNGDVLEPENGIAAIGSGGAYAQSAALALLQNTDLPPEVVVKKSLEI AGDLCIYTNSNHIVETL MIM_RS02645 MAKAAKKTDATTQAPLLTEEQLLAMPESDYMNDEQLAFFRDRLK QLEDEIINNAGVTTENLRETQFVPDPADRATIEEEHALELRTRDRERKLLKKVQQSLA LIDSRDYGWCEETGEPIGLRRLLARPTATLSLEAQERREKRQKMYGD MIM_RS02650 MTKTQAQADKMIPVTVLTGFLGAGKTTLLKRILTEYHGNRIAVI ENEFGPESIDNELLVQNSDEQIIELSNGCVCCTVRGDLLNTLNDLKVRRQNGELTFER VVIETTGVANPGPVCQTFFMDDSVAAFYRLDAVITVVDAKHGMETLDTQPEAQKQVGF ADRILVSKKDLVTDEEYSALRHRLLHMNPRAEIMPVHFGEIDINKVLDISGFNLNTIL DIDPEFLAEEHPDAAHDHDHGHDHGHDHDHDHAHGDHHDHDHHHHDHHHAHHDDEIGA FVFKSDKAFIPERLEEFLSGVVQVFGPDLYRYKGILYMKGMRQRMIFQGVHMLMGAEP GKPWGPKEKPSNTMVFIGRKLPKDVILNGLNQCLVQK MIM_RS02655 MSHLRPTIARHMTFRTPSDTDHPEHTHQHGAAAHSVAYQLLEAI RHCEQRGTRLTPIRQHVLTLLLESGRSLKAYELLEAMKQLYPQAKPPTVYRALDFLVE EGLIHRLDAVNAWTACQDVSGHHHDLLIVCTRCGKVAELSAPLISHQLQDMIQAAGFI QTTSETELRAMCTNCSQKQDETPAAP MIM_RS02660 MQNDTLFPALQRVYPSVKGTPFVEQGRAKSVTVADAVSVLAPAA DTGNSAYFAAGTQSMLADSTSTIFLASALGRLAPVLGVLMLLWGLIAWSAGWLGAIAG MIM_RS02665 MSTSFATNSPRVDCPAGAVSTSARPVIGLDDVTVGWNGVQALSG VTGTFLEGSLTAILGPNGAGKSTLLKTITGQLAARSGKVTIHDPFRGGISLLPQISEI DRSFPITTYDLVSMGAWRRVGAFRRYDKGERQRIHEALATVGLTDKSRDLISSLSGGQ MQRALFARLIVCDAPVMILDEPFTAVDEGTCDLLLQILLGWHREGRTVLVVLHDAALV RECFPQTLLLARQVVSWGDTATVLTDANLDRARSLALGGF MIM_RS02670 MAEAIVAALITPFTEFAFMNRALFGSIFVSLAAGPLGVFLILRR MSLMADSMSHAILPGVAVAFLLAGVSMTAMLVGGLVTGLLVAMLAGIVARSTGLKEDA SFAAFYLISLGLGVLLISLKGSNLDLLHVLFGTVLGLDDSHLIFIMCVSSVTLVVLAL MYRPLVIECLDPAFLKMEGGGGSLVHGGFLILLVVNLVAGYQVMGTLLVVGMMMLPAA AARFWGRTLGWQLVLAVCLGVVASYIGLVMSYHFGLPASSAIILSAGALYILSMLFGN QHGLLRAGR MIM_RS02675 MTRILPDSMEKWLSYLQTNQRYASHTLAAYRRDLVLLLEFYPDT PAENLSNAQIRHAVARLHAQDYSPRSLARILSAWRGFYQWWIPQTTVKVNPALDVKTP KIARSLPKALSVEQTQALLDHPTVITGTEPADLRDQAMFEVLYSSGLRLAELVSLDTQ YTRKDGYESVSWLNLDEGEVTVTGKGGKTRTVPLGRTAIRALTQWLAIRHKLLPPSST TQDQSAVFLGARGRRIAPRVVQLQLKKLSLRSGVPAQVHPHVLRHSFASHVLQSAQDL RAVQEMLGHANIATTQIYTRLDFQHLAQVYDKAHPRAKMDETDKKK MIM_RS02680 MSEAATLTAETIAQFLQDNPAFFDEHAELFSSLKVPHPHARHTL SLGERQILTLRTRNKELEWKLSELLHNANSNEAISDHVTLWCTHMLAEQDPQRLPQRV VDGLRQEFRMLEVALRLWDLPALTDERFQGSDDMLQAFVAGLQKPYCGQEGYLPAHEW FDSKPGSVAMVPLTHEGRSIGVLAFAAEGAEHFKPDMGTTFLEILGKLASACLSRLNY AA MIM_RS02685 MIWSFSKMHGAGNDFVVLDGVRQSIDMTPERARALAHRQFGIGA DQILLVEAPSHPEADFRYRIFNADGSEVEHCGNGARCFVRFVHEQKLSSRNPLKAEIA TGLITLEEADDATVTVNMGQTRFEPEAVAFDTSDLSSTRDHDDTLWSLPLDNGDTVTL SLVAISNPHAVQIVDDVASAPVTVQGPLIEGHPRFANRVNAGFMQVVDSHTINLRVYE RGAGETLACGTGACAAVVAGIRRGRLQSPVTVHTRGGDLNIAWDGTQITMRGPAVTVF SSEINIDRLVAQYRNSTAL MIM_RS02690 MMKSVLLVFLFRIINLLPDSLRLGLGSLCATLMRVLMKRRRFIV STNLQLCFPEASEAQRQRWLHEHFRALAQTMIDRAVLWYGSEEKIRRMVHLTGYEHIQ NSIDSPRPTLMFAPHFVGLDAAATILSMRLVKSATMYTPASDPQVDALMIKGRGRFNQ VTQIPRKEGIRGLIRQLRQGTPVYYLPDMDFGRDGALFVPFFGVAAATLPATAQIARA WKADVIPIVTRWDSATGHYHTQVLPPFVDFPGEMSLEQATRFGNQQLEQWVRNDPPQY YWVHRRFKTRPEGEKKFY MIM_RS02695 MAHNDFLFTSESVSEGHPDKVADQISDAILDALLEQDPQSRVAA ETLCNTGLVVLAGEISTKANIDYIQIARDTIKRIGYDNTEYGIDYKGCAVLVAYDKQS PDIAQGVDRSEEEILSQGAGDQGLMFGFACDETPDLMPAPIWYAHRLVQRQSELRKDG RLPWLRPDAKSQVTFRYVDGKPVEVNTVVLSTQHAPDISQNEIREAVIEEIIKPTFAD GLITPDTKFLINPTGKFIIGGPQGDCGLTGRKIIVDTYGGACPHGGGAFSGKDPSKVD RSAAYAARYVAKNIVAAGLARQCQIQVSYAIGVAEPINITVYTEGTGVIPDDDIAKLV REHFDLRPRGIVQMLDLLRPIYAKSAAYGHFGRSEPEFSWEALDKAAVLKKAIA MIM_RS02700 MTADYKIADIALADWGRRELSIAETEMPGLMATREEFAQSQPLK GARIAGSLHMTIQTGVLIETLTALGAEVRWASCNIFSTQDHAAAAIAASGVPVFAIKG ETLADYWEYTHRIFEWPGEQANMILDDGGDATTLLHLGAKAEKDISVLDNPGSEEETV LFAAIRARLAKDATWYSTRLASIIGVTEETTTGVHRLYQMAQKGELQIPAINVNDSVT KSKFDNLYGCRESLVDGIKRATDVMVAGKIAVVAGFGDVGKGCAQALAALRAQVWVTE IDPICALQASMEGYKVVTMEEAADKADIFVTATGNYHVITRDHMERMKDEAIVCNIGH FDNEIDVAAVEDLQWEEIKPQVDHIIFPDGKRIILLAKGRLVNLGCATGHPSFVMSAS FTNQTIAQIELFTRTDQYKKGQVYVLPKHLDEKVARLHLKKLGVNLTTLSQKQADYIS VPAQGPFKPDHYRY MIM_RS02705 MTLLLTWVLQALALMIVAYILPGITVGSFVSALIAAVILGLVNT VVYPILAILTLPITIVTLGLFLLILNVLMFWLAGSVFDGFKVDGFWWAVIGAVLYSII SAILLSVLN MIM_RS02710 MSSFSDEAFSLEFFPPRDQVSRERLVGTAKQLMAMHPRYVSVTF GAGGSTRDGTAETVSMFSQLGCEAAPHLSCIGASKAALVQLLDHYKASGIRRIVALRG DLPSGMGGNDSDYRYASDLVGLIREHSGDWFHIEVAAYPEMHPQADSPQHDLDNFVTK VNAGANSAITQYFFNADAYFDFADRAAQRGVTIPIVPGIMPITNHTQLLRFSQMCGAE VPRWIRLRLAQFGDDKASIRAFGADVVTQLCQKLIDGGVPGIHFYTLNNAEATLSIWK NLHY MIM_RS02715 MSSADTPILRQTLLAARSAIPDSRRQMLSVQIKQHVLDWLQGRH QDCSLPDRTTIGSIAGFWPIRDEPDIISLLHDLHTLGHAISLPVIEQRDAPLHFYQWS PDTPMRRGAFNIPEPHVDTPALHPSLILVPTLGYTRDGHRLGYGKGYYDRTLHALAQQ GHKALCVGIGWEEGLIDAPYVPAAHDMPLDAIVTPGGWILPATPQRR MIM_RS02720 MTITGQHMQQWAQAKSGFNKSITGRLRRRSVQAVWGVFGSGVLL VGCAESVQNTQVVKPSLAPSNLAVTSTAVVYGQAPRAVPEAARAAVVSAREAMQRRQW EALPGYAEQARDDHELGGYPMYWYLRQLLNDATQPVPTQQIYAFLQQNKNPYLENRLK SDWILASAKQGDFDTVRRIGTVASRTSEVDCAILQARFLGTGQVSAAQALAVFKPGNA CWSMLGQLTGANVITHEHLEPLLRDAVEYDSKDSARRYAALAFSPAGLSSYDALMANP MGWLATQSGSATGELQQLRALAFSRLARQDRDGGAAFLEAQGNTLLNERNRQWAWTQF GLVAALNLEARADGWYRKAGEGFRLSDYNHAWRTRMALRQPTIDWKWVEQTIRMMGAD QQKEPVWVYWYGRARAGQGDNSGAASAWQSILYDHGFYGQLATEALGRKIVVPPQPAE PSAAEINKIMTHEGLQRAIALFRLGWRPEAVGEWNFAIRGMNDRELMAAAEWALREQV YDRTINTSMLTKNDFNFRQRYLAPFEGRVSQQARAVGVDPAWVYGLIRQESRFVTVAR SSVGAAGLMQVMPGTAQLVARKLGLSYSAANAHDFDTNTLLGTSYLKMILDDLNGSEV LATAGYNAGPNRAKRWRDASDHSLEGAIFAETIPFTETRLYVKYVISNATWYRAAFTG RPQSIVEQLGQVRP MIM_RS02725 MSVTDGLDVYVVGGAVRDELLGLPQGDRDWVVVGASPQEMIRRG FQPVGDDFPVFLHPQTKEEYALARTERKAGRGYKGFTFHTGPDVTLEEDLKRRDLTIN AMARRSDGTLVDPLRGRDDLERRVFRHASDAFTEDPVRILRLARFAARFTDFALAGDT LQLCRDMVSSGEVDALVPERVWKEMSRGLMNEKPSRMFAVLQDTNALAVVMPQLEWNP EVARATDLSAARILSLPSRYGVALSQTREHAALSTHLKVPAECADYARLTQVLSERLD MLPLPPSPTQQAHLLSRAQYVLETLEVTDSLRKPARFRDLLGVVLCTRHSAAENLQAE ITRVESWTEVLDCYLQVDAGAVARQTEGGANRIKEAVRAARLDAIAACLGKIAPG MIM_RS02730 MPSIICAPARIIVGITGASGAIYGVRALELLNQAGFETHLVMSR SASLTLSQELQMHPGELADRATVVHAIQDVGAAISSGSFKTCGMLIAPCSIRTLSEIA SGVTSSLLTRAADVVLKERRKLVLMVRETPLHLGHLRNMVAVTEMGAIVMPPVPAFYA RPESIQAMVDHSVGRALDLFGIETDAVTRWDGMSNP MIM_RS21955 MGQDKDQGQSVADHWQFSLAVYTREGVAGHCLELQNRLGLDVNV LLVMLWAAGQWGEAPTTQQIEDADSTIVAWRHEVIVPLRQLRTRLKTGPAPAPDAATE TLREEVKRLELEAERLQQDVLAQWVKEQALARTRAGHGGVAQDIKAGSRVQNHALIET VATEDLPAVPGTQTALANTAENARKLAVLEQTAVRVVAHYEAAYAQTAADEGHWAGQA ANEQAADPWLALQHAHQVVLAALAVLCQI MIM_RS02740 MARNVEIKARIISFPGVLQAAETLATEPIARFTQDDTFYCCPNG RLKLRRFAQGHAELIFYRRSDQAGPKTSFYDIARIDKPDELHCVLGNAYGVRGQVIKH RTLVMAGRTRIHLDKVEGLGEFIELEVVLKDDEPAEEGMEEAHLLMNKLGVSSDQLVE HAYIDLLDADEQ MIM_RS02745 MNEDISQNQTPVPAPDNAARNFTLITYAMYALGLFTGLFSIVGV IMAYAKRGEFANTIYADHMQYLIRTFWIGLIGAIVGFFLMLILIGWVVLIAVTVWYIY RIVMGAIRLNDGKGVSTTSWF MIM_RS02750 MKKTDLEKAKALKTVGKMKREGVPGRFGAAADTVVDRRAQRKLD QAQGLVSFPVKLRQEVIDRIRERAVQQDQSTNDIIGQLLEQALKD MIM_RS02755 MTRSTPHAEHASNMVIYIALAGNILVAISKFIAAAITGSSAMLS EGVHSVVDCINEILLLYGVHRSRNRPDRTHPIGYGREVYFWSFVVGLLILTFGAGVSV YEGVMHIVEPRPIESPHVTYIVLALAFLFEGFSWLFTLRKFRGNRPYSALFRMIVHSK DPPTFIVLLEDSAALLGLLIAFAGVYLSVALDNPVLDGAASILIGITLALTALLVARE TKGLLIGEAAGSDIRDSIVELAESTAGVARVNGLITVQTAPQEITVAMSLEFADALRV PELEAIVMTLENKIQQAHPEVATLFIKPQSNEQYERFKPEDDPGYIEP MIM_RS02760 MRADPSGAVLPQIQEAAQRYSENARHKLAGLIYEAGPQGLPFAR WMQAALYDPRTGYYAGAPLKFGDTTAAAGSALQGDFVTAPELTPWFGRALARQISPIL THLNTPHILEFGAGSGALAEHILQALLPDFPELQYFILDISPDLTQRQKQRLAPFGDR VQWLHSLPTGFTGCIIANEVLDAMPVTLFEWGEDGHVYELHVINKAVNKTDDASTETD SQANQDPAAVSHFDFLRVPANALLDQAVRARMPALPGYRSEINLQGESWVAGLGQWLD RGVALLIDYGFPAGEYYHPQRSQGTLMCHLLHHAHSQVLHFPGIQDITAHVDFTAMAD AALAADLEVLGYTSQARFLLNCGLLQMLSDLDPTDTVRYTREIGPVQKLLSEAEMGEL FKVLAVGKNVDMDLDGFATGDRRHRL MIM_RS02765 MSFDSLGLSPVLLAALNKAGFTQPTPVQTEAIPKALEGKDLIVS AQTGSGKTAAFMLPSLHRIAGMPGNKGVGVQVLVLTPTRELALQVAEATKSYGAGIND LRIATVVGGMPYGAQIKALSRRVDVLVATPGRLIDHLNARRVNLSRVHTLVLDEADRM LDMGFIEDIENIVAQTPSDRQTLMFSATFEGTVARMAADMLENPERIDIANQKQKHAN ITQTLLYADDSGHKLRLLGHLLRDASMDQAIVFTSTKRGADALAERLEDEGFAASALH GDMNQRQRTRTLGMLQKGRLRVLVATDVAARGIDVQGISHAINFDLPMQAEDYVHRIG RTGRAGRNGQAFTLATHGERHKIRRIEQFTGQPMPAEVIPGLEPVKTAESGRGAGGKG RGGKPGGSRHGRPGGYSREKDHARSGFSHRKGGNAPSYGAREDSRFAEGRSDRPARAA RTYDAPRESLIDRAATSLSRNPFASDRPRTERSGFVRADAAPAARKPRSEFAPAPSRK PRPSTRSDGGFGGDRHGRSDKPGFSRGKKKPGSSRTWA MIM_RS02770 MTAHTNCPLCQSDGGLVLFRNAFLRVIDAQEADYPAFTRVILNR HVQEMSDLNQAERGGLMSAVYLVEALQRRHFQPDKINLAQLGNMVPHVHWHIIARFTD DKHFPNPIWGAPREAANAPDGEHEAARRNAHIRQRVPAYHEDITQSFTALLAETTDPV PEQTRFEQTLHRIING MIM_RS02775 MNDILEQILNVKKEEVAMQRQFRSEADLLREAQARKDVRGFANA LREKIKQKKTAVIAEVKKASPSKGVIRPNFNPAEIAHTYAAHGATCLSVLTDVQFFQG SSDYLRQARAACPLPVLRKDFMIDPYQIINARALGADCILLIVAALQADQLKEMEAVA QELDMDVLIEVHDRAELDIALDMKSSLIGINNRNLRTFETTLQTTLDLLPHIPEGRFV ITESGIHAPEDVSSMHKNGVYGFLIGEAFMRETDPGVTLESFIVNH MIM_RS02780 MSISYTDALTRCIEHREIFHDEMLYLMRQLMRGEVPPPIASALL MGLRVKKETIGEITAAATVMREFATPVSISNPENLLDMCGTGGDASNTFNISTTAMFV AAAGGVRIAKHGNRSSSSSSGSADVLEALGINLMLSPEQVAECVEQTGIGFMFAPAHH GSMKNVAEIRKMLAVRTIFNILGPLTNPANAGNQLMGVFHPDLVGIQVRVLQQLGSKH VLIVHGKDNLDEASLGAATLVGELKDGKVSEYEIHPEDFGMQMVSIRNITVRNKEESR DLVMSALNNEPGTARDIVVLNAGLAIYAGNQAATMQDGINKARELIQSGAARDKLETF RAYTRKFTT MIM_RS02785 MLLMLDNYDSFTYNLVQYFGELGQDVHVVRNDQMTVEQIAALNP ARLCVSPGPCSPAEAGVSVELIKHFAGKIPVLGVCLGHQSIGAAFGGKIIRAKQIMHG KTSEITHDGSVLFRNIPSPHTVIRYHSLAIERDSLPECLRITAETADGEIMAVEHTSL PVYGVQYHPESILSEHGHALLQNFLDIHP MIM_RS02790 MTEIEFNALAAQGFNRIPIIKETYADLDTTLGIYLKLAHTGKEA GRMTCLLESVVGGEQFGRYSFIGLPAKTVIRATGELTEVLTNGEVTETYHGDPLTFIQ EFKKRIKVALRPGIPRFAGGLAGYFGYDTIRHIEPSLGPNVKPYPHGQKEGVPDIMLM QVDELVIVDNVIGRTYLMIYADPNEPESYSKAQKRLLELRARLRHPVEIPYSLPSLQT TEIRDFKKEDYLKAVLKAKEYIAAGDLMQVQVGQVIAKPFRDSPLSLYRALRSLNPSP YMYFWNFGDFHVVGSSPEILVRQETFTENAEEKTAITIRPLAGTRKRGETPEEDAALA DELRADPKEIAEHVMLIDLARNDVGRVAEIGSVEVTDQMAIERYSHVMHLVSNVKGIL KPGMDAIDVLRASFPAGTLTGAPKVRAMELIDELEPVRRGIYGGAAGYLSYNGTMDVA IAIRTGVIKNGMLYVQAAAGIVADSDPEKEWQETEAKARAVLRAAEQVQYGLDQPI MIM_RS02795 MSIRATLIDLDGTLVDSIPDLAQAANAMRIKLGLPQLHQELIAQ FVGKGIDNLVRRAMTGGDDTATISDDAFTVAREHFIDAYHQVNGDKATVFEGVIDGLK AMKAAGIRLAVVTNKPTVFTEQLLIQTGLRSFFDAVVSGDTCPTRKPDAGPVLHACSL LQVAPADCVFIGDSINDAQAAQAAGMPVLIVPYGYNEGNSVQNLKVNDIVETIAEAAQ WIERHNASASQPDLDLLT MIM_RS02800 MELQPVTRIAPSILSADFARLGEEVKNVISAGADWIHFDVMDNH YVPNLTIGPMVCKAIRPCTDAPIDVHLMCEPVDDLIPQFAQAGANIISFHPEASRHVD RSLSLIRDNGCKAGLVLNPATPLAVLDHVMDRLDLILLMSVNPGFGGQSFIPSTLDKL RATRERIDAWQEKTGHRILLEVDGGVKTDNIAQIHEAGADTFVAGSAIFGQPDYAAVI ATMREQIAGVRRS MIM_RS02805 MVNTMTVEVTPRYIEEQSDPTRSQYVFAYTVRIRNTGTAAAQVI SRHWVITDGDDKIQEVRGLGIVGEQPLIAAGDMYEYTSGCPLNTPFGTMKGSYHCVGE NGVPFDVDIPEFILTLPRTLH MIM_RS02810 MNMKNPQAQYRLLPVAVIALVLLAGCSTQETGVPPSESSFSMTA PLSVPDRSAFAPTPPRPLAGQYSQVAWSSLPGWNADDARHLWLTFYNNCRGLMRPVSG SKALPARAAPAVWQPVCQAAAQSGLDPRSDDAAAVKAFLEQHLQPWQVTENSKSTNTV TGYYEPVVHGSRSRGGEYQWPMYAAPDDLLTIDLGQQYPELAGKRIRGKLSGKTIVPY DTREQIGRSENKPPVIVWLNDPVEAFFLQVQGSGRVQLEDGSTIRLAYANHNGRPYSS IGKWLADKGELPLAQANMQNIKAWAKSHPDRVDEMLNANQAMVFFREEAIPDDAAGPK GAYGIPLVAERTVAVDPNYVPLGSPLFLSTTRPHSTQPMQRVVFAQDTGAAIKGVART DFFWGSGDAAGELAGRMKQTGQVWILWPRSAGAPEAR MIM_RS02815 MKHEVIVCGAGIAGMTTALALTRAGVDVALLAPKKVSAPMQAEQ YHPRVYAISEASQALLASLGIWGAMPQERITPVDAMQVHGDRDGQVVLDAWQAAKPHL AWIVESGEIERALFQALTIYGVPWLDDTLATWQPGQVTTTGGVTVQAQLFIGADGARS PLRSAAAMAHQKKDYGDQGLVTHLTCEKPHLNTAYQWFRNHHVLAFLPMPDTSEGHQV SLVWSVDDATAARYLEMDEATLARALPEALNEVAQGCLGALKVRARLHGFPLTLEKAQ MVATGVALVGDAAHRVHPLAGQGLNLGLGDVKVLTQVLNEKEAFRSYGDLRVLERYAR TRAADVLAMRVATDGLYQLFARDLPALPFLRNAGMKLVQQLPWVKRQLIAGAAGS MIM_RS02820 MGLNWIKARTVRQYQRAALAGVLALAAGTFAMPSVQAQTADAAK LESVKDAVKKAFDVEVTKVQPTDYANLYEVQLGGNIVYTNEKAEFVLAGNLVDAKTRR DVTSERLEEISRVDFSTLPLTQAVKLVKGNGARKMAVFEDPNCGYCKKLHKELATLDN VTVYTFLFPILAPDSVTKANNVWCAKDQATVWTDWMNKGVEPPAATCDTPIEKNLALG QKLAVQGTPAIFFESGNRVNGYVPAARLNQELDRKGSK MIM_RS02825 MICTPLIKPLQPLVRHTRTPRVWLFDLDNTLHDASHAIFGHINQ SMTRAVMQSLDIGEEEASALRKTYWARYGATLIGMVRHHNVKAADFLHLSHDFDIAGN TKIEKNLSAMLNAVPGIKYVVTNAPMHYARIVLDRLNVRHCFAGICSINEMCLQGRFR PKPSPALMQQLLVQLQCEPTRTILVEDTLKNLKTAKLLNMKTVHIFHRGTPFSSTQRM RPAYVDLRVNSVQQLLTHPFALSA MIM_RS02830 MSDTPLDINAVSPAVKADIISESLPYIRKFHGKTVVIKYGGNAM VEERLQRSFARDVVLLKLIGLNPVVIHGGGPQIDSALKRLGKEGNFIQGMRVTDADTM EVVEWVLGGQVQQDIVMMINEAGGKAVGLTGKDGGLIQARKKLMPNKEQPESPLDIGF VGDISSIDPSVVKALQDDQFIPVISPIGYDPEEGDVYNINADVVAGKMAEVLQAEKLL MMTNTPGVLDKSGQLLRKLSAKTIDELFADGTISGGMLPKISSALEAARNGVNSVHVV DGRVAHCLLLEILTDKGVGTMITS MIM_RS02835 MKKIVLPAVLAVAAIAGGFMMTSSKAAPDVTFTSLDGRQFQTAD LKGKVVLVKFWATSCVTCIAQMPDTIGYYNTYHDKGYETVAVAMSYDPPNFVKNYTAD KKLPFTVTLDSDGSAARAFDDIKFTPVSFLLDRQGNIVKRYIGNYDKKDFIKTLENTL AQN MIM_RS02840 MSVSRPFTLSRPATALVALSLSCSLLAGCVPLVVGAAAGGTAIV AVDRRSAGMQLVDKNIERRTQNTINESIGEAGRVIVSSYNQRVLLTGEVPNEAAKQSA ETMARAATDVKRVDNQLTVGPRASFSTRSNETWITSRVKAELLATKQVPSGSINVITT RGVVYLMGQVTDLEGQRAASAAAGVSGVSRVVKVFDIVSGSSLNTTSGSSGTPTTVPG TETNPSSGGVQTFPLE MIM_RS02845 MDISSRISFHFDDSARVVAQSHQVLAEPLAAAIDMLVNTISNNG KVLACGNGGSAADAQHFIAELVGRFERDRIPFAGISLNTDTSILTAVGNDYGFDNIFE RQVQALGQPGDVLVAFTTSGNSANVIKAIRAAHEREMHIIALTGKKGGTVTGLLTDQD VHLCVPHDRTMRIQEVHSLALHVLCDGIDAMLLGDSE MIM_RS02850 MTEKKPAERTQDEAPVFTPMHNDQRTAFMLAAAAQNKLRTRQRQ RQRPGTQPRDGRKLHDRRLSATQQQGLQAESRAIDWLCAHGLHLLGTNLSCRYGELDA VFRADADTMVIVEIRHRRNARHGGAAASITHAKQQRLRRAAAWFLPQLTRSGFGGRTP RCRFDAVCIEGDTLNWLPAVF MIM_RS02855 MTSESGQFDARWQRVLQDVASQDWPAGCLYVVATPIGNLADLSP RALYALQKADLIAAEDTRSSRVLLNAWGIETPLMAAHRHNEAQAAATLLRHLEQGQRI ALISDAGAPAVSDPGGRIVSEVRAAGHSVRVIPGPSAVIAALMGSGATSDENPAFVFA GFAPQKAVARQTWLKTWCAVPAAIILFESPHRVRSTVNDIAAIAGNERPVTIAREMTK RFEQIATMPAAQLADWFAQDSQRILGEFVLIVHEAATQETAALGEEAEQIVAAMLSTH SVKDTARLLAAFSGLPRDVLYAKALQLKQQGEDAQD MIM_RS23465 MMKRTSLLRSTITTLVTGLFLAPVVHAAGIEIRENQDFSEHMVA PADAVAPGAKAQKPAAPAQKMRITANGVVPEGADDAATPVQPVTTGKKANKADVAVAT TPAKAEADSKTLKGSSKPVNSVKTGKTLASHSEAREKSTVTVGENAKALAKASSRQVQ KTQDSASTAASAPEEKTTAEVKQANAAIAPIMITAANSAESSKPQLVDGLSMRITENG IIPESEYDIQVPKDDTSLVERKDTKPAADEDIATKAQKALTGTGKAYTVNGIRYKPMS IDETTEFSQEGIASWYGPGFHGRKTANGETFNQNAMTAAHKRLPISSYVRVTRVSTGK SIVVRINDRGPFVGNRVIDLSYGAAKRLGIVGRGSDKVKIEPISKEAATSERNTETQV KAKKML MIM_RS02865 MLHVVLITGISGSGKSVALRQLEDLGYSCIDNLPVSLLHDLVAN ARENSLKTVAVAIDVRTPGELAGLPGVITALRSMGLPMQVIFLDSDDETLIHRYSESR RKHPLSNRMRETTGITPSLEDCITHERNLLAPLREQEHVIDTSGLTPGQLRAWVKDVV DHEEPEVLLTFESFAYKKGVPNDADLVFDVRCLPNPHYDSNLRPLTGRDEPVAAWLAQ FGSVPALINDIAAYIEKWLPLYMQDTRSYLTVAIGCTGGQHRSVYVAEELAKRFSSYK PLRVRHRAQLTL MIM_RS02870 MLSIQDLAADNDETLRFTWIAGKEGRTRHIQDSAVNTSAADLIG HLNVVHPSRIQVFGKEELIFYNRISSVQREKILIELAEGGVPAMILAEGLDSPADLVS FCARSKIPLLSTPVDAAHLIDVLRIYLNKRFAPKTTVHGVFMDVLGLGVLITGESGLG KSELALELISRGHGLVADDAVDFSRTSPTLIEGQCPDLLRNMLEVRGLGLLDIRTIFG ETSVRRKMRLKLIVHLVRANSEKFERLPIQDQTQDLLGIPIRRVMLQVAAGRNLAVLV EAAVRNTILKLRGIDTLGEFMERQAAAIMENSNL MIM_RS02875 MNQLSRILPQENILLDVNVTSKKRAFEQAALLFENNQGIARSTV FDSLFSRERLGSTALGHGVAVPHGRIANLKQAVAAVMRLSSAIPFDAPDGQHVQLLVI LLVPESATQQHLEILAELAQLLSSAETRKQLLSSPNTEGILQLLAQSAGN MIM_RS02880 MNLNISGHHVEITPAIKEYVVTKFAKVLRHFDHVIDTQVILSRE PLKHSAEVTLRVPGKDIHCEVTDENLYAAIDLLSDKTDRQVIKYKTKASNHSNESAKR MSVG MIM_RS02885 MNTSSSSSLQTSTIDSLGQLKAIGLQKIYNGRSVVQDVSISVSS GEVVGLLGPNGAGKTTSFYMIVGLVPADFGRIEIDGRDITALPIHKRAHLGLSYLPQD ASVFRRLSVEHNIQAVLELQADPATGKTLTRNRVQEQLELLLEELQITHIRKNAAISL SGGERRRVEIARALATNPRFILLDEPFAGVDPIAVIEIQRIVRFLKSRNIGVLITDHN VRETLGICDRAYIISAGKVLTDGEPDSIITNPEVRKVYLGEHFKM MIM_RS02890 MNASSFRITTHVLCLGLCAVLAGTAALAQTPAGSKSPANKEEPD TQVLSDTLSYDDANKTSVFTGNVILTRGLMKLTSDKLDLREDAQGFQYGVATVSKSRF VFIRQERPENYEVVEARGDRGEYDGKLNTVKMIGHATVTRFICGKPFDTVNGEVVTFN NQNNTYSATGGPTSAAQPGRVRSIAQPRAKTDLAVAECRKLYNNKPMPSTIQAPAQDG GSATTGAAG MIM_RS02895 MKERFPALIALFMLITLVISTWWAADYAQRAIDVDPPARKTQEP DSWSEKFVMLSSDANGVPVNRLEGSHMQHYPYNDSYLITNATATGQRPDSPRTVGTAD TATLLDKGDKIIMRGNAHLHRFPYGEDKALDVTSEELIIYPNEDIITTDKPALVINGG SRMNGNGMMYNNKTRKLDVYSSSDVSISGQDSPRRRTVIPNKQGNSPQ MIM_RS02900 MKPITTPHPAEALVLSKISQPVRELAAQVKLIAFDVDGVLTDGS LWYGEHGELTKRFCALDGHGMKMLLQTGVRVALITGREGDILTRRAADLGLADVFQNV RDKVAVLGQLAAEMGLGFENVAFMGDDVIDLPAMQKCGFAISVPNAPLYVQQTAHWVT TLPGGSGAVRECTDLILAAQGSLSGFFTPGRITGNVIQ MIM_RS02905 MANETAFTSDDALASAHRTLQTELAELQHLDARLDERFSQAVAM LLACTGRVAVTGIGKSGHIARKISATFASTGSPSYFVHAAEAAHGDLGMITGDDIIIA ISYSGSSPEMATILPIARRLGSKIIGICGNRYSELASLSDIFLDVGVTREACPLNLAP TSSTTVTLALGDALAIACLEARGFGKSDFARSHPGGALGRRLLTHVRDVMRQGDELPI VGPDTPMQKVLEEMTGKRMGMTVIADQTRKPLGIFTDGDLRRLIMQRGDIRPITAGQV MTPHPRTISPEALAAEAAAVMEQQKLSTMLVVNQEQTLVGALHMHDLMDAKVI MIM_RS22770 MTKTASRLQRLISAVGRHVCRATCSGATGCLGIARNALASLATR CNAHFDRSLKFIHLAVALRLKLPDGQ MIM_RS02910 MTTTSASPVFSTPLSGAATRVMLLGSGELGKEVVIALQRLGVEV IAVDRYENAPAHQVAHRAHVVNMTDKDALRRIIEAEQPHVIVPEIEAIATDLLVELEA QGQVRVTPTALAARLTMDREGIRRLAAETLGLPTSPYRFVDTQQALQQAIDEEIGYPC VVKPVMSSSGKGQSLIRNADEVQHAWQYSQEGGRVGKGRIIVEGFIRFDYEITLLTVR ARDPKSGEITTHFCEPIGHRQEAGDYVESWQPQAMSATALQRAKDISLAVTGALQGQG VFGVELFVAGDEVWFSEVSPRPHDTGMVTMITQVQNEFELHARALLGLPVNTALRATG ASSVIYGGVDADVVRFSHVAEALAEPDTDVRLFGKPQSFVRRRMGVALAAAETTDLAR EKALRVSSTIKVSAVD MIM_RS02915 MTMIDPHQMVINAIRSVPDWPKPGITFRDITPVLQDPRTFRVLM DIFVYRYMRERLDLIAGIDARGFIVGSVLAYELKLGFVPVRKKGKLPFQTLAEEYTLE YGNASVEMHTDAVRPGQRVLLVDDLIATGGTMLAAAKLLQRLGANVVEAASIIDLPEL GGSKLLRENGASVFTVCEF MIM_RS02920 MSTDYLKRILTSRVYDVAIETSLEPANLLSQRIQNTVLLKREDT QPVFSFKIRGAYNKMANLPADALKRGVIAASAGNHAQGVAMSARQLGCRAVIVMPTST PAVKVEAVKRLGGEAVLHGDSYSDAYDFACTLQKKEKLTFVHPFDDPDVIAGQGTVAM EILHQHPGKLDAVFVPIGGGGLLAGIAAYIKQLRPDVAIIGVQTEDSDAMARSFRAGR RVHLNDVGLFSDGTAVKQVGSETFRLIHKYTDDIITVNTDELCAAIKDVFQDTRNVVE PAGALGLAGAKRYVAEHGWKNKTVIAITSGANMNFDRLRFVAERADVGEAKEAIFAVT MPEERGSFRQLCTLLGDRSVTEFNYRISDAQKAHVFVGIQINSLAEAEKLANTLRRKK FETLDLSQDDLAKSHLRYMVGGHSPLAGNEELYRFEFPERPGALMRFLDTMNPEWNIS LFHYRNQGSDYGRVLIGIQVPPADKKAFKVFLSQIGYPYENESKNPAYKLFL MIM_RS02925 MEHEVMLWVREMLAVLSLPTVGLPGIFVVALVSATLLPLGSEPV VIAYLKVAPDMFWPAILLATVGNTIGGVITYYMGKAARVAYEKLQQKKALASGEITDE TGLAVAAEEKYLSEGDAAPVPVTKEGGRWHDKMTYYFDRFGPAALLFSWLPIVGDPLC GVAGWMRLPIFSSIVFMAIGKFLRYVLMTSAVLWIW MIM_RS02930 MKKIDLNCDMGESFGAWTMGDDAAIMPYVTSANIACGFHAGDAH TMRRTMQLALQQGVRPGAHPGLDDIRGFGRRPFALTPIEAYDLVVVQIGALAAVARAQ GGRLYHVKAHGALYNISANHAELAAAIAQAVLDVDPQLTLFALAGSRQVEIARGLGLK VMQEVFADRTYQDDGTLTPRSQPGALIEDVETSVAQALTMVREGYVVAQSGKKVAIEA DTLCLHGDGAHALEFARQIHAAFAREGLLLAH MIM_RS02935 MSLQVIKPGPLSLFQDAGRHGYQAYGAPVCGVMDQVAYRLANAL VGNRTPLPVLEMTLSGPQIRFHKDACIAVCGAPFILRCDRQPLALNRPHLIRAGQVLE ISAAPMPDGQPHGSARASLAIAGGVQLTTSMESASTDLKSRMGGIQGRALAKDDRLTL NRNINASAVNALAHFLDDFRIYLPAGLGLAARQHIRVIRGVHWTVFGTRQQDLFLNSP YTITAHSDRMGYRLDGPSLEPEAGLQILSEPTAFGTVQVPPDGQPIILMADRQTTGGY PKIANVASVDLPVLARCLPGERLAFALISLDHAHQLFREREALFAHLLQTLEPLTARI AAAFCD MIM_RS02940 MSSHPANSSAPWTFTSEGDHCLIIRFGDSIDPAINARARQAAEL IHNADLPYISDLVPTFTTLGVYLHPFYPNPPARKTLIDMLTATLAPLALQQAQATDAL SESTEGSDVTEATRLIRIPVCYDPDLGIDLADIAKHCNLTVDEVIQLHTADPVRVFML GFAPGMAYMGLSDSRLAIGRRGTPRQHLPAGSVAIANRQTVIYPNDSPGGWNIVGATP LRLFDPNTPPYAIYAPGDQVQFDAISREHYEQIKAQQYATR MIM_RS02945 MPRSTFLIAYVVAIALALGIQWLLGSVSGIVFLALCGLFYLVRH QRHHQQTLKWSAQPTAAPPPDLGSYDEIVTPIYKYVRSRQNEYVALRELTNNVLSAAQ ALPAAAVTLDKSFQIEWCNAQSQRLLDLLYEKDKGYNIFNIIRLPEFFDYAQSRQWSR PLRTRREIGGQVCSLQFQLTQHSNEGYLLLCQDITQLEKLQTTQRDFVANVSHEIRTP LTVLIGFLETLRDLPHEALTKEQRKQYEELMHEQAQRMLAIVADLLTLSTLESTEIVD GTIVQLAPIIDKAAVQAQSISRESHAFEFSVDPALAVVGQMNELSSAVTNLLTNAVRY TPEGGKITIRWDRNDHGEAIFSVTDTGLGIEKKDIPRITERFYRVDKSRSRASGGTGL GLAITKHIVIRHNAKLVVDSEINKGSTFKIVFPAEAVVYEQRDNSAETAEVAGA MIM_RS02950 MASTILVVEDEPAIQELISVNLSFAGHKVLRALDAEQAKVLINA ELPDLILLDWMLPGSTGLNLARNLRSSERTRDIPIIMLTAKSSEADKVEGLESGADDY ITKPFSPKELMARIKAVLRRRAPQLTDDEIEISGLKLDPVSHRITGNGSNLPLGPTEF RLLHFFMTHPERVFTRGQLLDQVWGDHVFLEERTVDVHIRRLRKALEPSNHHNLVETV RGSGYRFTSKLPA MIM_RS02955 MNSERPTPAGQSGTTHFGFRTVDEQEKAGKVAEVFHSVAQRYDV MNDLMSGGMHRLWKSFTIGRANVRPGMRVLDIAGGTGDLALAFAAKVGPTGQVWHTDI NSSMLQVGRDRLIDKGVLLPTVVCDAEHLPFPDEFFDVVTVAFGLRNMTHKDQALQQM QRVLKPGGKLLVLEFSRVAAPLAPAYDWYSFKILPWLGKKVANDEDSYRYLAESIRMH PDQETLAQMMRDAGLPRVNYFNLTAGVVALHEGVKLF MIM_RS02960 MFKKWSKFLAAAMIVVSSVSMLAVTHDAEARRMGGGSSFGRQSS NIMKNRAPAAQTPNAVNRSSAAPAGAAANAGAAAQRSGFSRFLGPIAGIAAGLGIAAL LSSLGLGGAMLEFLSSALLIAIVIFGVMFIVRRLRGGQARPAFQGASPMQRQSNEPAQ GSRHQYTGQGTTGGAQQQNGLSATDFSGNAQAAAEPADKSWFIPGDFDTPAFLQTAKK QFVTIQGLWDKGDIEELKNYLTEDLVAELSPQITSRAGQSRTEVVLLNAELLGIEQVQ DGHLASVRFSGMLREDAQEAAFRFEEVWNLYKENNSGWLLAGIQQIPVENAS MIM_RS02965 MNLSFNNVYKQFGSLSVVENFSADFRSGEIVALVGPSGSGKSTL LHMAAGLESTSAGQIATDLQPVTRPDPSRTLVFQEHALYPWLTLKQNVAMALEFQQVA RKQAYERAVTWLQRVGLDGFENYYPHQVSGGMRQRAALARAFIAQPEVLLLDEPFGAL DALTRLSLQDVLLDLVEQAKPTVLLVTHDVDEALFLADQVLVFSARPATVLKEFNLSH RERSHDLSDLAAEKREILSLLGIAVTHESKPTAKPVELAA MIM_RS02970 MNIRKWTALPLVASLLFSGAAMAADKLKVGYLRVMDDAQAIVAH EGEFYKKQGLDADLMEFKSGTDLIKAIVGGQVDMGVLGFTNAIAWASRGADLKVVAGA QRGYHSLVVRDDAGINALADLKGKTLASQSQGSTADTVLRGVVFKQAGLAEGDVSVMG VSPAVAVQSLVGNRVNAAFLFEPYDRIAQLVAPVKQIYEVGQSWPFPCMVVITSGKVL ESRKDDIWKALDAQQQAIELLEKQPAQASKLIASYFIAEPTLKTLKQGDLPRETVIED AIKTQEFTPSVTDKDIERMTELARIMERDGSLKTKDGQPFDVNTVLDLEWQKARKL MIM_RS02975 MSNSTRIGGYKKPLAVVLAIAFILLVWQLVALTLPDFLMPGILP VLERLSDSIRSGEFYTGLAGSLARLGSGYGIALICGIAFGLLAASLNFFREVLRNVIV ILQSLPSIAWVPLFLIVWGFGNKPMIVVVALAAFFPAALSVMNATESVHKVHISAARV MGASRWGLVRRVYLPAVMPELITGAQLAFGNAWRALISVEMLVDFGKGLGRSLSFSGD TGDMTGVMANILVIAVLAALIDQLILERIKHRLLRYQYV MIM_RS02980 MTTLSIRTVLSALLISVCAATLLPAHVSAATGSAASTASTAPKA ADSPALFSQAIARGSLRVAVVHVTPAAAPGAKVRTEDRLDAPAVKALATALKVPSQLV ELAPAEAAKALVDGRVDLALYSLPADAANWPDVTPVPTSYRTYPKAVIRSDTNIRSRA DLAGRSVCVARSATQAATEATRAGATLLSFPYPSDALVAVREGKCDLGLIDQTVWQPL MHYPEWKKFSATLELADAPRTLTWLLPATQVREAQWLQSRMQQWDRAGQWVAFSKKWA TDVAFDVYLDQEVPDCHS MIM_RS02985 MPRQSMRDNVRKRKTGRRLHEKSTLSDSESCVIYRIFMLLSALP DPNILTVRALNLLLDREPWAKTRIAAHAGKTVCIRVSRLVLRFTLGHDGSVARADPAV VPDVTLSIPESRLGDVPAALRNRHDPAQLASLLHLEGDAGLAQLVSDLARDLRWDSEH ELARFTGGLLSKQIHLLLRRAVTTTTTVAARFSENVGEYVSEEAGIVVGKPALQAWER TLREATVQLDQLDARLRALDARQRRSAR MIM_RS02990 MMSALRLLRILLVCLRYGLDELVLSGLKHPIASSLLKVVRLGRS FEQPRGERLRLAFESLGPIFIKFGQVLSTRRDLIPLDIADELSALQDRVPPFPSEQAM ESIQKAFGKHPSTLFALFETEPVASASVAQVHFAVLHDGREVAVKVLRPGMLAVIERD LSLMSMFAGFVERLLPDGRRLRPREVVSEFDKYLHDELDLQVEAANCSQLRRNFEANP KRADLLMVPEVIWEFTSKTVMTMERMHGVPVSQIDKLEAAGVDIKKLARNGVEIFFTQ VFEDGFFHADMHPGNIFVSLQPETLGRYIALDFGIVGSLSEFDKNYLSQNFLAFFRRD YRRVAQLHIESGWVPANTREEELEAAVRSVCEPYFDRKLSEISLGQVLLRLFQTSRRF NVEIQPQLVLLQKTLLNVEGMGRQLDPDLDLWQTAKPFLEGWMWDRIGPRAFFSQVRK EASQWSHLLPEIPRLVHTQLARQDSLPAMRKELAQLGKSHRRSERIFIVMTLILTLQA GLILWLVATLLEWQ MIM_RS02995 MSIRFESKILTRQQCIEAVRNGTLPRPLVFTNGVFDILHRGHVS YLDEAAQLGASLVVAVNTDDSVRRLGKAPDRPLNGQEDRQAMLAALACVSAATVFEED TPYELIEQLRPDVIVKGGDYDMATLRETGLVQSWGGRAIAIPFRFQRSTTALVNTIRG TSSR MIM_RS03000 MYMPDIKFWYGPGSCSLVPHVLLHESGLPFTAIEVSISKLETRT DAFSRINPKQRVPVISLDESIITEVPAIATAISHLVPELGLMGKTPIEQVRVMEWLCW LSGELHGQCFGGLFRPERFIDDPNQFEAVQSKARNRIIDCFKTIDSRLSRPFAVGNGL TAVDPYLLVFYRWGSRNRFDMSAQYPAFTAYIQSIAAYPAIVSAMGEDGSTL MIM_RS03005 MNERHHRKGAGRAQVLSTATRLFMDRGAANVGVNEVTAAAGVAR MTLYNNFPSKEALIAEVYRKLAEQIMQNLAEVVAQKRTEEDKILSLFDQIGAVNDGGR GCPMIHASLQAEEASGEVYAIVRSYKRELRAFIFDLLDQARVARDQLADQILLMLDGL ATESYLDGAVSPVASAKQAVKILMRNMP MIM_RS03010 MNALTSLADQSRFNMIEQQIRPWHVLDQDVLDALSAIPRSRFVP TRYQNMAYSDIEIPLEVEGINSCEAMLAPKIEARLAQELRLTPTDGVLEIGTGSGYQA AIVSRLCSTVVSVEIDRHIAAFGKANLEREHISNVTVEIGDGRAGWGTGEYSAILLTG SIPNIPDSLKYQLTIGGRLVAIVGQAPVMTALRITRVSAAAFETESLFDTYAKPLRGT TVSHFKF MIM_RS03015 MIFNTLTIAGVDPSGGAGILADVKTMSALGTYACAVVAALTAQN TKGVSGVQPITPDFVRQQIDTLFDDVHIDSVKIGMLGHEPVTRMVAERLAYWMPDHIV LDPVMVAKSGDVLLDKAAIATLREALIPLSTVITPNLPEAGVLLGEREADSLKDMYRV AERLRKLMDHHRTVWVMLKGGHLPGNDTVDLLTDGDRMIELPGKRIDTRNTHGTGCTL SAALCALIPGTGDVPQAATMAKAYIETAIARSGQLNVGHGHGPVHHFHALWS MIM_RS03020 MATRKSTNRSSRQGSKSNTNSQHAETDLTPREVAAGDIQSGTSP EQTRDITVMDSERAHINAEAAAFTADLVGTDKAARNQRAHLLLEGMAADDRRELLKLL REEKLRADNVRHPETDPDYKLQENWQDGGYPYKYLMSRRNYEFQKYDLQVELLKLQSW VKETGQRVVIVFEGRDAAGKGGTIKRFMEHMNPRHARVVALQKPTERELGQWYFQRYV EHLPTSGEIVLFDRSWYNRAGVERVMGFCTDAEYMEFMRQAPEFERNLVRSGIRVIKF WFSVSQGEQKRRFKERRHHPLKQWKLSPIDMASLDKWDDYTRAKEAMFFHTDTSDAPW IVVKSNCKKRARLNAMRYVLQQFEYTNKDPAHIGKIDPLIVGRSNVVYEQGEHVLQSP SGVKQETR MIM_RS03025 MIDLNAFLPYQFSQLADVVSHSVATVYESRLGISRDDWRVLVAV NQARHMRATDIAQHTTLDKMQVSRAIARLEKKGFVSRKADADDKRQQILLATPAGQAA YEKVSPLMVARNDYLLQDLSPTEREVLQRSLDQVLNRAQELVARG MIM_RS03035 MDIQKIHHVAYRCKDAKQTVLWYKENLGMDFVLAIAEDRVPSTK ANDPYMHVFIDAGHGNILAFFELPNSPEMGADPNTPDWVQHIAFEVPSVQVLERTKEK LQAKGIDVVGITNHVLFKSIYFRDPNGHRVELAAPTATPEMNRKLDEVKWEMLEEWSK TRRAPKHAAWLHEEEFQS MIM_RS03040 MLRVQISIAMVITGCLLAGCASRGPTASVPASYSSPSLDASYRD PVLEQALTLTGTPYRYGGMTPDGMDCSGFVSYVFQESADFRFPHNTAMIAKLTRPISR SELKKGDFVFFNTYKPYSHMGIYIGNNEFVHAPSSRNNGKVRVDSLNSRYFADRFLDA RTAFQ MIM_RS03045 MTDSILFSPFNLGSLQLKNRIIVPPMCQYSAIDGCMQPWHLMHL GSLAVSGSALVFIEATGVEPAGRISPADVGLWDDVTQEAIARTLRDIRSFSDTPFAIQ LAHAGRKASCRQPWFGGAQLPLEEGGWQTYAPSPVPFDPKDRPPQELDQAGLTRIISA FVQAARRSVDLGIDAIELHGAHGYLLHEFLSPLSNQRQDNYGGSLENRMRFVLEVFEA VYAEVAGKVPVGVRISASDWVDGGWDVQQSIQLSQALKERGAAFIHVSSGGLSQAQQI RNEPGYQLPFAQAIKEAVDVPVIGVGLITEPHMAETVVASGQADLVGIARGMLYDARW PWHAAAELGAHIDASPQFWRSQPSRLKTLFTNNIK MIM_RS03050 MIETEFTRALAKRLPELTKPQRLLGAYVLEHPFKVAIMSIDEFA QAAGVSSASANRFARALGYPGYAQFRQNIIKGFEGVLESVNRLKKEQSYPATNQEIIS NVLVEGQRNLEKTRLNLRAETCDQAVDMILAARRIFVLGMGTSGYLAGLLERRLLAHN GMVVSLAGPGGTTYAARRLALVDENDLIIVLTFPRYLSDTVRIVQRAHGRGAKVLGLT DKATAPIVPTCDCVLYTSSDSVYGTNSDPIALALIDGLMAALDYRSPFSVEIATEVAE TITPWLIHGETSA MIM_RS03055 MTTAIIAIHGGAGALSRATITPQQQAAYETALNDILVQSGAVLE QGGSALDAVTLAVRLLEDCPLFNAGYGSVFTSAATHEMDAAIMDGATLGCGSVASVST VRNPVLAARAVMETSEHVFFCGKPAEALAAAAGLEMVEPDYFSTPLRREQLDRVRASG EGGFVLDHDAVEKTQDDRTAPLDEDKKLGTVGAVALDQFGNLAAATSTGGMTNKQPGR VGDSPIIGAGCYANNHSCAVSSTGTGEMFIRSVAAYDVAAQMQYGARTLAQAADNVVF NKLPAIGGVGGLIAIDAQGNVALPFNSEGMYRGYLRVGQEPFVGIYR MIM_RS03060 MASDNVLDVRNLSVNFVGSERTVQAVQDLSFTVGRGETLAIVGE SGSGKSVTSLSLMRLVEHGGGRIVNGQMLFRRRQGQVVDLAQLPGAQLRAIRGADMAM IFQEPMTSLNPVFTVGDQIAESIRLHQGLGQQQASAEALRMLDQVRIPEARAVMSRFP HQLSGGMRQRVMIAMALACKPALLIADEPTTALDVTIQAQILQLIRELQKEMSMGVIF ITHDMGVVAEVADRVLVMYKGNKVEHNGAEAIFHHPQHAYTKALLSAVPRLGSMNGTS LPAHFELLSLQQAKADQAVAAKEPVVLAPNREAGPILQVKDLVTRFDVTSGIFNRVVK RVHAVEKVSFDLYPAETLALVGESGCGKSTTGRSLLRLIQAHSGQILFQGRDISKLNT QDMQAVRRDIQFIFQDPYASLDPRQTVGYSIMEPLLIHRVASGREALARVEWLLEKVG LPPAMAQRYPHEFSGGQRQRICIARALALNPKVVIADESVSALDVSIQAQIVNLLMDL QKEFGISYLFISHDMAVVERISHRVAVMYLGQVVELGSRREIFENPQHPYTQKLMAAV PIADPERRHKLSTLMAGEIPSPVRAVGDEPLVMPLEQVGTDHFVARHAVGIY MIM_RS03065 MKPIYLRSALLAPALVACLAFSHTALAAKDVTVAVPYGFDSLDP YNTNSTQSQAVGKGWYEGLFMFDRDFKIHPLLATGYEVSEDGLTYTLKLRQNVKFHDG TDFNADAVKVNFERVLNRSNALGRYNQFKMIDKIEVIDPATVKITLKQPFSAFINNLT HPSAMIISPAALEKYGKDINLHPTGTGQYTFVEWNPGQNVKMKKFDGYWDKEHLAKVD SINFRVVTDNNTRAAVMQTGEAQFTYPIPYEQAAVLKKNDKLDLLAEPSIIARYIAMN NLAKPFDNPKVREAMNYAINKEALNKVVFNGYARVAEGVVPGKVEFSQKMAPWPYDPK KARELLAEAGYPQGFETTLWSAYNDGTSVKAIQFIQQQLRQVGVKVSVEALEAGQRVQ RVETVQKPEDAKVRMYYIGWSASTGEANWALSPLLASESWAPTFGNYSYYKNEQVDKD LADALKTTDKAKKTELYKDAQERIYKDAPWIFLNTADTVAARSKKLEGFDMMPDASYY FRDVNLKD MIM_RS03070 MLSYLVKRIFGMIPTLILVCVVVFLFVHMLPGDPARLAAGPEAD AETVQQIRKQLGLDLPLPQQFGHYLVNLSQGDLGTSLRTKRPVLTEIAARFTPTLLLT ITSMIWSVIFGLVIGVVSAVWRNRWPDRLFMTLAVSGISFPPFALGMVLIQIFAVDLG WLPSVGATSWQHYILPSITLGAAVAAIMARFTRASFVEVIQEDFVRTARAKGLSEKVV IVKHTLRNALIPVVTMMGLQFGFLLGGSIVVETVFGWPGMGTLLVDAVTARDYPIIQG LVLLFSFEFILINLIVDVLYGVINPTIRYK MIM_RS03075 MTQVTSSPIESLPPQRERIRTPMTEFIRKFRKQHLAVVAALFII ALVIVAIVAPWIVPFDPEEYFDYDRINQAPSLLHWLGVDSLGRDIFSRIVMGTRISLA AGFISVALGAVVGTVLGLLAGYYEGWWERIVMRISDVLLAFPGILLAIGVIAVLGPSM VNVVIAVAVFSIPAFARLVRGNTLSLKNMTYIEAVRSIGASDFTIIFKHILPGTISPI LVYGTMRIGTSIITAASLSFIGLGAQPPTPEWGAMLNEARSDMVLAPHVAIFPSLAIF LTVLAFNLLGDGLRDALDPKIDRQ MIM_RS03080 MIDSQSPAALRAAPQVGILPAGPHNCITDVSGVKVGHTTLAQGP IQTGVTVIYPHAGNLFLDKVAAGASVINGFGKSMGLVQLQELGVIETPLALTNTFSVP MVAQAQIRQAITANPQIGRDWATVNPLVLECNDGYLNDIQAMAVTEADYFEACTNVTA QPAQGAVGAGRGMSCFGLKGGIGTASRIARQDGELARSAPDYVVGVLVLSNFGKLRHL RVNGHMLGQDIKRRMARHETHETLPAASGIADNAPDQGSIIIVVATDAPLDARQLRRL SMRAAAGLARTGSNFGHGSGDIAVAFSTSMRISQQVQAEQRSAIPLHEQQLEPLFDAA AEATEQAIVNALWRATAVTGRDGNTRLPVTHWLHD MIM_RS03085 MKILISTDIEGVAGVVDSQQVRAGNVEYERARQWMTAEANAAIA GAFDGGATAVYVNDSHGGFRNMIASDIDARATLITGKPRVYSMMTGLEFGVNAVALVG HHSRAQGRGVLAHTINSFAFARVMVNGIELGEPGLYGALAGQAGVPVIFGSGDQVFVE ENKDFFDGAVWVETKQAMGHASAATLTPQAACARIREGMSRAVQAGKTQALTPFVIGA PYECRLQTNSPALADTFCILPGTERVDGVTLSFKAESIEYVIRTLNVFSTMSVALR MIM_RS03090 MPVPHTVIDHIVVTASDLDTGAAYVKDCLGVAPLPGGEHQKMGT HNLLLRCGTNVYLEVIAVNPAAPPPAQPRWFGLDQMASDSAPTLSAWVLRTTDIHSHA AACPQHLGPITSMQRGDLNWLITIPESGTAPLDGLAPALIEWHTPALPVLSMPDQGLS LEALHIYHPEPETMQALLASLQLDAPVSVLPSAPGSKPALQAIIRTPTGICKLG MIM_RS03095 MSSLDSTTFSTSPAAAPAAWPVLGVLGGMGPLAGATFAARLVQL TPVTADQAHIPVLLRNDPRIPDRSSAQLAGGPSPLPAMREGMQDLQRWGAQCIAIPCN TAHLWFEQLRDSVQVPVLHIVESVIQDLRRNGIFDGPVGVMGTPATMQLGLYQDALRA AGYEPFTGNDNRIRDWSVQAIAAVKANQNELAFAPAASAVNQLTQMGARAVILGCTEL PLAIPPSRRGEFDVVISDSIDALALAVLDAFSQQGQLQANPDTNTDQPAA MIM_RS03100 MESFDYDFFVIGGGSGGVRASRMAAQAGARVAVAEGSDLGGTCV NVGCIPKKLYSYAAHYHEAFEEARGFGWQAGEASLDWSVLKTNRAREISRLNGIYHSL ITNAGATLLTGWAELAGPNTVRMDDGKTYTAKHILIATGGWPVVPDFPGREHVITSNE IFDLPQFPKKLVVVGAGYIACEFASIFNGLGAQVHIVIRRDRILRGFDEEICAFTAKE MEKAGVHFHFETKVEGIVKEGDALSVKLNDGTTLQADQVLYATGRAPNVQKLNLESAG VQVNHNGAIQIDENYQTSVPSIYALGDVTDRIQLTPVALGEAMVLVDHLFGKGERRMS YEFIPTAIFTHPNVGTVGYSEDEARQKYGDVTIYRSEFRPLKHTLSGSTARMMMKLIV DKQTDRVVGLHMAGDDAGEIVQGFAVAVRMGATKADFDATIGIHPTAAEEFVTMRG MIM_RS03105 MDLKQLQYFIVIAEQRSFSRAADMLDLSQPSLSRQIQLLEAELG QHLLVRTGRGVELTEAGARLLGHARTLHHLATQARQDLINFRSSAPGRVRLGIPPRIA RRLAPNIMQQFRAQFPASSITLAEGLSAQLRDWLLKDKVDLALLYEPAPSSLMQVESL YREELVLAHARAGAAPLPASIPVSDLDKYPLVLPSAPNTIRTLVEQTCRECNVQLNVV AEVDVVQTIVETLSYSHEPVFTIIPRSALGDLPGPTLLSCAPIVAPAIRNNLTLAIAT AAAQSQLVLAVADIIRGIDMNSHMR MIM_RS03110 MRRLENKIAIVTGAGSVGPGWGNGRAIAWRFASEGARVFAVDMN AAALEETVARVNEVNGTIGTWTADVTSADAVRDMVRACEEAFGGVDILVNNVGGSRKG GPVALDEETWDQQLDFNLKSVFLGCKYVLPIMQRQGGGAIVNISSTSGIRWTGSAQVG YASAKAGIIQLSRVVAVEYAKSNIRCNTVVPGQMHTPMVETRLAGQRAGGDIEALLAQ RQSRIPLPFMGDGTDTANAALFLASDEARFITGTEIVVDGGMSARCD MIM_RS03115 MHAATDTPVRYPDPAVIALDARFHALTLPLAAVERLARGCRWAE GPVWFGDRNCLLWSDVPNNRIMRWDALTGQTHTIREPSNYANGNTRDREGRLISCEHL TRRVTRTEHDGRITVLAEYYQGKRLNSPNDVVVKSDGSIWFTDPPFGIVGYYQGEKAE QQLPAAVYRIDPDSGKVTLVTDTVNGPNGLAFSPDESKLYIVESRAQPRNLLVFDVTA GGTALAGQRVLFDAGEGTPDGFRVDIHGNLWCGWGMGTAELDGVRVFSPQGQVLGQIA LPERCANLCFGGVNRNRLFMASCTSIYSLFVNTQGIAGA MIM_RS03120 MSGFRKKYTKYMVPFAAALCLSVGQQVAAAPPVNVVVAFPAGGP GDTLARVTGKELESQLKTPVVVENKPGGNGAIAASTVTRARPDGNTLFLSSTGAIAVN PALYKKLIYDPAKDLQPVALLVSTPEVLVVSRKSGITSVKALLEKAKTDPNGISLSTS GVGSMPHMAIAQFRIATQANTLIVPFGGAAPAITATIGGQVDGFFGDVSGLVQHIKDG SLVPIGVASDKRSAALPDVPTFDELGIKNVHATNWYGVFAPTGTPEEKIRELNTAYRA VMKTPAVQKYVQTSGLDTGDLDPAQFGTLIKEDTQKWGALIKQENIRMNE MIM_RS03125 MVDINVKAKVKEYLRLRALQHRSETIQRWKDENIYPYEDKTELA SSEQIERQVFDILAVNVADHLPLFEDSPATSKRFTFRLLAQAIRENPETVRIIMDEVL NLKTEEQDDLARLLKKTTLSKIISSATIVADRLNFVEALSDLLFNKNTRKRLLERDQL HKALEAESWIFREDFHLAGSEKTLEDALAIYREKLGKREDDDGPVLREGNKGGRIDLM LARAIQPTQGTHEYLVVELKRPSQKINSDVLTQIESYAIAVAKDERFHQKKTKWIFLV VANELDEHAQLKARQKGRPEGLVLDLDRLNITMWAKTWAEILNDARARLSFFSEQLNY QVDTNSELEYLRKAHSKYIPEDLKPSA MIM_RS03130 MSLQNHSVAIVGAGIVGLCTAYSLRQAGWQVTVFDAQEPGSQCS FGNAGALSEGSVAPLAMPGVIRQAASMLLDSTSALHVPLGYLLPAMPWFVRFIAASRP ERVRQIAAALHSLLEGSVRHHTELAHAIGCAHLIKNTGQLHLYPDASSRDQDRASWQL KADFGMTMQSVDRSAIAELEPSVSDAYRSGWFLPDEGWVSDPFQYSQALARANTDRGV TFVGARISTLRRDNDKWHLHDGEQSWQADHVVVCAGIGSRELLKTLNLSVPLESQRGY HLQAPHPGVSLSRIVVLADRKIFMNPMQGQLRIAGTVEFGGTAKPMNEQRALLLKDHA LAGLDNLDTSGFTTWLGHRPCLPDSLPVIGPVADLPGLWAGFGHGHLGLTGSVNTGRL LARAMAGEASAQELAPFALSRFR MIM_RS03135 MQTLPTYSDVVAAAERIKGYAHRTPVMRSRTIDAQLDASLYFKC ENLQRIGAFKFRGAFNAIAALEPAQQRAGVIAFSSGNHAQGVALAASVLNVKATIVMP QDAPAAKLAATRGYGAQVVEYDRYTEDRAAIAMNLAQQHGYTLIPPYDHPDIIAGQGT AAMELIQETGPLDLLFVCLGGGGLLSGSALAARALAPECQIYGVEPQAGNDGQQSLRA GHIVRIDMPKTIADGAQTQFLGDYTFSIIQREVADILTVTDEELIRTMRFYAERMKML VEPTGCLSLAGACSAKELVRGKRVGIIISGGNVDLAMFCSLLGSTQE MIM_RS03140 MTHAIRVQNLNKTFGTRQVLHDLALDVAPGSMVALIGASGSGKS TLLRHLAGLATGDRQVGGEIQMLGQQMQKDGVLNRNVRRLRSDIGYIFQQFNLVGRLS VLKNVLLGRLGRMSRVRGALGMFNKEEVNNALQALERVGLLEYAYRRASTLSGGQQQR VAIARALCQKAEIILADEPIASLDPESARKVMETLADINKRDGKTVIVTLHQVDYAVR YCRHAVALKGGKKYFDGPIGGLSNDFLSDLYGSEIGTALLFGEQDVKKPEARDPARLV LAAA MIM_RS03145 MFNSIVRRLGISVFAMGMAAGVAQAQDLKELNFGIISTESSQNL RTVWEPFLDDLSKQTGYKVKAFFAPDYAGIIQGMRFDKVDIAWYGNKSAMEAVDRANG EVIYQTVDKDGKPGYWSLLIAHKDSKINSVKDMLDNAKNLNFGNGDPNSTSGFLVPGY YVFAENNVDANKIFKRSVNGSHEVNALSVANKQVDVATFNTEGMERLEKTNPAKAAEL KVIWKSPLIPADPIVWRKNLPDEAKTKIKAFFDHYGDKPEELKVLNGLAWSKFKPSSN DQLLPIRQLELFKKRSQIAGDSKLGEDDRKKQIAGLDEELSKISARMKEIEGKNS MIM_RS03150 MNMSVLTTNPSPVPGALPVQPPRMTWARMLGWGLFLLFLAWAWK GAEMNPVLLWTDGGNMATFAADFFPPDFADWRMYLREMLVTIQIAVWGTVLAVIFGIP LGILSSSNLVPWWVCQPVRRLMDALRSINEMVFAMLFVVAVGLGPFAGTLALFLGTTG VLAKLFAEAVEAIDPGPVEGVRATGASALQEVIFGVIPQVMPLWVSYVLYRFESNVRS ATVVGMVGAGGIGVLLWEAIRGFAFGQTAAILLIIIVCVSVIDVISQRLRKFFV MIM_RS03155 MMNLSRQEEPLYQTLASTIRAELSMYKPGDLLPGELRLAERFDV NRHTVRRALDLLVQEGNIIRIKGKGTQVLTRPLLYPVQATTAYTDQFSAMGHTARAQL LKVYRRAANHDELTQLALAPGSTVLEYRTLRFIDNEPISVMTHFFSSGYETLLQDYKR DSMRQYLKERGCELHRASSVIGARLPTIDEAARLLIPQSAPVLTVTTLSKNQHGHPVE LTFSVSRADRFQYQVVLNGEHI MIM_RS03160 MKADFAPNERQRWMQVLARAGDALADYESELGGVPYQCIRKPET GMAMVRGRTGGTGQAFNLGEMTVTRCVVQLQDGRAGYSYIAGRNKRHAELAALADALL LGDEKEQLMQAVIEPLARSQQQARQTRQAEVATSKVDFFTLIRGENE MIM_RS03165 MTTPVLVPAFADPVLNAQMSFRAALKAMSEPGVVEQADYTDALD KMHPATFSLALTLFDDGTRVWLSPALDTPMARANLAFHCACPVVDDPQQADLAIITAT EIDYLAQFPCGTDRDPEMSCTVIVQLDSLDGGREVVLQGPGIESQRTVTLPLSEPFWS ARERVTDFPKGLDFFMTSQRQLMALPRSTVVSFL MIM_RS03170 MYVAVKGGEAAIENAHRLLDLKRRGAVDTPPLSLAQIAQQMPLA VARVMSEGSLYDRELAALAIKQSAGDLLEAIFLLRAYRTTLSRYCVSSPLQTENMQVE RRISATYKDLPGGQLLGPTFDYTHRLLDFTLQAEGEQTQGQGSEASQAQGAVAANGTA QQASVPGATHDDAQARTDAVERADDPVFPRVLGLLAQEGLMREETDSAEPVPDITREP LDFPSNRMQRLQALARGDEGFLLALGYSTQRGYGRNHPFAGEIRIGFTEVWLEPEELD FAVPAGDIEVTECEMVNQFVGSKDQPAQFTRGYGLAFGYNERKVMGMALVDRALRAAE YDEEITSPAQQEEFVLMHCDNVEAAGFVSHLKLPHYVDFQAELELIRKMRKRDAQAQL NQEQQDIEAGQVPPQKEKRA MIM_RS03175 MNANVEPYNFAYLDEQTKRMIRRALLKAVAIPGYQVPFGGREMP LPYGWGTGGMQLTAAILGRDDVLKVIDQGADDTTNAVSIRRFFSRTAGVRTTVDTTEA TVIQTRHRIPETDLSNHQIMVFQVPIPEPLRFIEPSETETKTMHALNDYGVMHVKLYE DIARYGHIATAYAYPVLVAGRYVMDPSPIPKFDNPKLNRSAALMLFGAGREKRLYAVP PYTDVVSLDFEDHPFDVQKWEHSCAICGSTESFLDEIITDDKGSRDFVCSDTDYCAQR VAKAGVTQ MIM_RS03180 MSALQAINNQTARPVADDLVAEPLLQVSDIGLLFGPDKGCQEVG FDLYPGEVLGIVGESGSGKSTLLNVLSGRSQPDAGTIHYRQDSGVVTDLYASTEAQRR TLLRTEWGFVEQNPRDGLRMTVSAGANIGERLMAQGVRNYGVLRSESLRWLRQVEIDE SRIDDLPRTFSGGMQQRLQIARNLVSHPRLVFMDEPTGGLDVSVQARLLDMLRALVRD LGLAVIIVTHDLAVARLLADRLMVMRRSRVVESGLTDQILDDPQHPYTQLLVSSVLQP MIM_RS03185 MNTVLEVNNLSKTFTLHQQQGVQLNVLEDISFSINAGECVVLHG QSGAGKSTLLRTLYGNYLPGGGSIRVRHRGHMTELVGAAPRRIMQVRKETMGYVSQFL RVIPRVNCLDVVSEPALLRGWSAEQAKARATELLTRLNIPQRLWSLAPNTFSGGEQQR VNIARGFMVNWPLMLLDEPTASLDEANRQVVLEMIGEARSAGSALIGIFHDKAAREAV ADRSLDIATREMKHVA MIM_RS03190 MLRERVITNAKIVTASEVIHGTVAVRDGQIHDVSEGRSSLPAAE DWQGDFMMPGLIELHTDNLEKYMNPRPGVDWPSENAVLAHDAQIVGAGITTVFDALSI GDVNPKGQRLLQLPKMVEAISHAADQGHTRAEHRLHLRCEVSHEKTLGIFEDLVGNDL VHLVSVMDHTPGQRQFVKYEKYREYYQGKYHLNDAEMDAFIVLQKQNAERYSEPYRRR IVDICHERGLSLASHDDATSDHVHESAGFGMTIAEFPTTHEAATLSHQLGLKVLMGAP NVVRGGSHSGNIAASDLARKGVLDILSSDYYPASMLQSVRILSQSDLGISLPQAVNMV SLAPAKSANLPDRGQISPGLRADLVQVRDSGRQFVVQQVLCKGQRVF MIM_RS03195 MAGQLVYVMGPSGSGKDSLLQSAASRKGSALRLMKRYITRSAES EGEDAFSLSPEAFDAMQARGAFAMSWRANGLAYGIPIELDELLEQGHTVLVNGSRAYC EAAVQRYPLALVVLVQVDPPLLLQRLLQRGRESREEIAQRLARNTALDAAFIETLRKQ GARVVVVDNSGDLDTAVTQFLHTIEQATTLEQQ MIM_RS03200 MKFTFLGTGNSAQLPVYNCDCMACARARDDARYVRLPCSALLQN NDGQWLIDSGLTDLTSRFAPGALQGILQTHYHADHAQGLLHLRWGVNLRIGVYGPPDE AGFADLSKHPGILDFSKPFQAFEERQFAGFSVTALPLQHSRPTYGYLICPDQGDSLAY LTDTQGLPEPVEALLRSRRPAHCVLDCSYPPRPQPGRNHNDLTQALAIHTAIGAGTTW LTHAGHELDRYLMSHPEALPADVRQAFDGDQIQL MIM_RS03205 MIKKIALISAGMALSLSAQAAEYPIGKPHLKNGMEIAAVYLQPI TMEPAGMMREAKDSDIHLEADIHATADNKNGFEEGAWMPYLTIKYKLEKEGGQVQEGM FMPMVANDGPHYGDNIKMQGPGKYKLTYRIESPEANKMSHFGHHTDKETGVEPFWAPF EVNYEFTYAGTGKKGGY MIM_RS03210 MLKRALAVVGLALSLTLAQALLAASAWAETPTFTLTFKEDGTFE PQRLEVPAGRFKINLINESKVPVEFESLPLRKEKVLGPGLSSFLVFTISKPGEYPFFD DFHQSVKGTLVVKPAE MIM_RS03215 MDQVAFIVWRESVEALLVVGILYTWLRASPDGRRGLPWLWGGVA AGLVLAIALALVFMGVSTWLSDTGQEWFQAIMALVACGLVVQMVLWMKKHGARLKSEL VSGAAVNVQRNSWWGLLILVMIAVAREGSETVVFLWGTVLAGAQQDQALALAAAGVGG FLLALLTFYVLQLGGKVITWRRFFQITEILLLLLAASLLMNGIDHLISLEAIPTLVDP VWDTSAVLDDSSGLGKVLADFAGYRAMPSLTHVIVFILYWLAIGLLYRWLRPAVAPKM VSSHG MIM_RS03220 MSAMLQSFAYRLSSFLRDHKKLLRNLQWCVVGIYFFLILVPAAL PLPGNSAHIFSNLTIFAQFAFWGIWWPFVLVSMPLMGRAWCGWFCPEGMLSEWASEHG RGHAIPKWMRWGGWPFVAFALTTVYGQLVSVYQYPWAVLAVLGGSTAAAMVIGYLYGR NKRVWCKYLCPVNGVFQLLAKLAPWHYKVSEDAWRHPVRRIETVNCAPLVPLRHMKGA TDCHMCGRCADYRGAIALTTRSPEEEIVDVAQNSGWQTALIVWGLMGIAVGAFLWSAS PWFVSLKQGMAEWLVNRDIFWPLEQNAPWFILTHYPEVNDSFSWLDGFTILLFIFGTA LVTGGALWLSLQCIQKVVGKTFIDAANTVVQALIPLAGVGVFLGLSATTITLLRNDGI PTGWAGPARLALLSCALLWSLRLAWKILQRHQVALRQRLSCMLLLMAGLAPFTYAWLL FFVIW MIM_RS03225 MKETPSDWLALAGVQLSPIVALIVTLGVMLCLLVLLHAVLHYGV LRMLRGRMRNDRFLFIKLVGHSSLFRYAAMLVQGLALGLLTRLWVAPGTVHEVLLGFS RIWMLVYTILAVFAFLDIVLDWCFRKQVAVQFPLKGIVQSLKIIAAIAGVIFIVSVLI GQSPVILISGLGAMTAVLMLIFKDPILGLVAGIQLSANNMLSIGDWLEMPKYNADGAV IDIGLTTVKVRNWDNTVTTIPTYALISDSFKNWRAMSESGGRRIKRAIHIDVNSIKFI TDSQMQRLTKSRLLSQYILDKSREVEDYNQSRSEDLSSALNGRRLTNIGTFRAYLEVY LRNHPHIHRNMTLLVRQMAPGSTGVPIEIYAFTTTVVWAEYERIQSDLFDHIFAVVGE FDLRVFQEPSGYDMAALKPAIAGQVNFNTPIDNDRSAVAAQPNS MIM_RS03230 MGPTPHELALQLQQQFDNRVHFSPLLADGKPLNLEQAYAVQEQL VQIRMARLHARRAGLKIALNAPAAWSRLGLSEPVYGQLLDTALLPSDAVLSMGDYTHM KFEFEVAVRIGKTLSGDDLPSVQAAADWIDAVAPAVEVLDDRCPEKTPPDAQTLVACN VNNTSVILGPWQPAVADFDRNITITAHGTVIEEGNVAEIVNPLESVYWLAKVMARQQR SIPAGAVLITGNLMTVRFPDAGDQFTFALEGLGSVSFRCTA MIM_RS03235 MAATEIDLPRFNQILPQVLSNAQLHPAAHPLPQVSVNLSAFALT AKASVVLLQAHHANLVMAPAASAAAGSNIEQWFLVCTWEAVEFVENASRCSLQAGDLI LINSASSVSLRPHRKLDCTIIALQSEYVGHWHALFRQACHRYFRADGGWARLLSVYLR ELNDPFMQRIATVPSDQAVCLDTVLSLAAMVMGQSLHYGLADRVPDRQRQARHKLYSD ITLWLYLNFGEASLTGKKVAREFGISVRTLHKLFQEFNDSASFAIFLNDIRMQNARNM LRDSLLGHLKVSDIGWLCGFADPAHFGKVFKKHHSITPGQMRESVQGNGEVGATG MIM_RS03240 MNTFSSRRSFLIAAANVAGASAFGLRSGVASAQEKKWPVKLIRI IVPFPAGSITDAMARLLANGLSKSLGQTVIVENKGGANGSIGATEVARAAPDGYTLLA TNSSSITGNPLIYKSSPYKSTDFAPIALVLDAPFILNVNPDWAKTHSINSVKDLVAFA RDHPSELSYGSGGVGNLAHLAYAMLSNEGNFKATHVPYKAASQASMAVIAGEVNTSFD TLASVPQIRAGKLKALAVTPTRRISQMPDIPTMAEAGFPDIDVTFWLGLLAPAGTPPE IIDTLYKQAKQAMSVPAANAALSAQGTIVMTNPKDFATRIAGETKQLAEVIKRENIKL D MIM_RS03245 MIKHESTASLALAGLRVLEIGSGAALAYAGKLFSDFGAEVIKVE DWEGDALRSFPPLLANSDREQQSALNAWLNTNKRSVTLGSNHVEEHAWLSRLAKTCDV VLDARALREGIDVLRRPVYGTRDAANTQNVPIEVCLTWFGETGPYSHYVGAPAVCRAL AGAVYGSGAVEGPPHLPHDIQTGIVAGLAAFSSAISALLGESDGSRRYVLSIHELAFS VVEMEVGMVQDGRHPKARLGVNRFCTTHPGGIYKAREGWIGIFAHTGPQWAALCAAIG YPEHADDPRFESGPTRIRHADEIDAFLTPALLTRTATEWFEELAKVKFPAVLVPTMDE LLVQSVHRERGAFVPVQSGTNQFEGVVVPFPLGDAGPLPGGAAPLKGADNPFYRSEDA LAPRVRRACATEMKPPLRKIRVIDLTMGWAGPLAARTLADFGAEVIKVEGAQYPDWWR GTHYTDAFYKERLYEKNSNFALMNRNKLGITLDLTRQEGRAVLLELVKTADLVIENYS TEVLPKLGLDYTALTKVNERLVMVSMPAFGAGNDWSGTRAYGGTLEQASGLPHHTGFA QNPPSLTSYAYGDPVGGWNGGAAALLSLFVQARTGKGRHINLSQVECMLPMVAPFIIE QSVCGQTIPRQGNAHPVFAPHGVYQCAGSDEWVVLSITSDTQWQALVNLIEARQLSAD VTLNQVEGRRLRKQEIDAQINRWSRQRSADRAMGELQQAGIAAGVVNPVWRVLDDPHL NEREFFKTIPRAYLGKYRATTPWFRETSAATEMVRPAPTLGEHNLEVFDRILGMTKEQ QQALENCGITGTEATRKADRQ MIM_RS03250 MKKILVANRGEIAVRLLRAVRDLGMHSVAVYSSDDANSRHRILA DSSVALNEAGPSAYIDINAIITAAQRESCDAIHPGYGFLSERPDFAQACATAGITFIG PEVSQLALFGDKGSALQLARECDVPVMPATPGGASLEAITRFFDEQGGVGIVIKAVGG GGGRGMRVVKTRAEIPEFYARCRSEAMSAFGVDSLYAERLVNRARHIEVQIVGDGTHV IALGERDCTLQRRFQKVVEIAPSPVLDARLRTDILAAASKLASKVNYRSLGTFEFLVE EDESGVQTDFVFIEANPRLQVEHTITEQVTGVDLVALQIGIAQGKQLDMLGLDPAKPP QPKGYAIQVRVTAESTDAHGLARPAQGRLERFDPPTGPDVRVDTHAYSGYAPAPAFDT LLAKLIVSSATDDFSNVVRRLRRSLAEFRIAGIATNIHLLKALVDRDDFRLQKNHTRY IESTLPELLETATQLESEQASQDQLIGETTRPASASPAAKNLNQEVFEEGLVASRAPL SGRLVEMSVGIGDVVLKGQPIAVIEAMKMEHSVTAEVNGRVVEIRAEKGNQATEDDVL VVLEQDLNSTDAQAAAETVDLTAIRADLQAVLDRHAILYDDARPDAVAKRRARGQRTA RENLADLCDDGSFVEYGALVIAAQASRRTKEDLIVNTPADGIVTGLGNINGDLFGYES SLSAVMAYDATVLAGTQGKRNHIKTDRMVERARRDELPFVLFAEGGGGRPGDVDFPFV SGLYQPSFAALAELSGEVPLLGIVSGRCFAGNAAFLGVCDVIIADRNSNIGMAGPAMI EGGGLGVFKPEDVGPASVQFANGVIDILVDNEAHAVAVAKHYLSMFQGRTKDWSAPNP LELRHVVPENRLRVYDSRKVIEGIADVGSVLMLRAGFGHGIHTALARIEGQPVGIIAN NPQHLGGAIDADAADKAARFMNLCDVHGLPIISLIDTPGFMVGPDEEAKAQVRHVSRM FLTAAKLRVAILAIALRKGYGLGAMAMAGGGFRSASCSVSWPTGEFGPMGLEGSIRLG FKKELENVPEGPERQALYDQLVARAYERGHAINVASTTEIDAVIDPAETRTWIRQGIA SASLRADRPRRSFVDAW MIM_RS03255 MSSANNHKASIEADDFQLKHFGIDVPFMNHIGLQSISLDNDCCR THLKLKRELVNSRGDIHGGALMSALDFTLSVVARAHDPLNVGAATIDMSTCFYEPAQS DVDIIATCKRRGKSIAFCEGEVQDRDGGVLAVARAVFKLVPRVR MIM_RS03260 MLTSYTLNRRVFAVLPMLAVASTLFFSNSARAADWPSGQPINII VPFAPGGFTDLIARRLALDLGRELKTSVVVQNKAGASGQIGSAIVAREKPDGYTLLVT ATQHVIYPALQPHLPYDPKKDFSNIAILALAPNVLLVPAQSPVNSVKELVDYAKKQPD GLAFGSSGVGGSAHLSGELFKLVSGANLRHIPYKGAAPAATDLIGAQIPSAFLDATSA SSFIQSGKARALAVTSKQPLPSLPSVPTIAESGYPSYESQAWVGLFGPPGLPTEIITK LNHIAQQANTKEDNIKWLSDNNSVTVKLSPQQVTAFIHSELDKWQQVVNEAHVTAQ MIM_RS03265 MDLRQLRTILAIAETGSLTKAAELLHIVQPALSRQLKQLEDELG APLFERNRLGMVLTVPGRRFVDQVRVSLKGLNRAKAEIGAAAANLMGSVAIGMLPGLA SVLAGPLVTSLRRQYPDLKVRIAAGFSDFLQDGLEDGKLDICLMGDYLQSEMLVTSPV FREPIYVVGLPDCGLSPSHPVNLAEVAKKPMVVPEAQSLRNVIDRACTIIGVNLNPVA ESDSTAVILDLVERGVGYTILPVMPITPMLNAGRIVGAPIVSPHLWRTVIIGSPVINR NPHTVNALQGELIGLLRPYVQQFSCVGVEWLADTP MIM_RS03270 MKKTLLSALLCTLPLSVLAQTAPDTLKQVTDSKTLRVCTPGDYK PFSFDQGGHFEGLDIDLMNALAGTLGAKMEVVKTSWANLMADFTSGKCDIGAGGISVS VERQKKAFFSAPYMVNGKTPIVRCEDKEQYQSIDALNRPEVRIVANPGGSNEKFARTM LPKASLTMHKDNLTIFDEVANGKADAFVTEAAEARVQSKLNPKLCAVNPDQPLQYAEM GYLIPDNDIRFKLYVDQWMHLLKASGQYDEMAEKWIPADPAK MIM_RS03275 MNTSPWLTGFAPLYSPHITHLILGSFPSEASLARRGYYGHPQNQ FWRLMGTILDEPMDTMDYEARTATLLAHRIGLWDVYSGCERVGSLDSAIRRGQLNPLH TLFAAAPALQYVGFNGKAAAKAGQSHIPEHIRTQTLPSSSPAYTLAFAAKLQQWQPFF AQGRV MIM_RS03280 MSLSRRHLLKAAGLSGLATVWPGIGLAQNAPKLEKTDVSIAVGG KGLVYYLPLTIAEQKGFFKDEGLNVKIADFAGGSKALQAVVGGSADVCSGAFEHTINL QAKKQFFRAFVLQGRAPMIVLAGNKKTLAGYKTPADLKGKKIGVTAPGSSTNMLVSFF LDKHGMKDSDVSIIGVGGGAGAVSALRAGQIDALSNLDPVISLLDGTGDIFTIADTRT MKDTQAIFGGPMPAGCLYTSQKYIDENPGTVQALTNAMVKADKWIQTAGPDEIMKTVP KNYLLGDPEVYKLALTRSFEGLSPDGKIDPLGAETSLKAQAAYIAGFKADAIDLSKCW TNEFTDKANA MIM_RS03285 MTDPALSLDNITCTFVSRDDRDKKYTAVRDATLHIAPGEFVSVV GPTGCGKSTLLNVGAGLLTPSSGQVRVFGQPLSGINKRAGYMFQGEALLPWRNALDNV TAGLEFAGTGKEEARRQGLDWLRRVGLSQAEYKYPHQMSGGMRKRVMLAQTLIRDPDI ILMDEPFSALDIQTRQLMENEVLDIWMKQRKAVLFITHDLDEAIAMSDRVVVLSAGPG THIMGEFHIDLPRPRDVAEVRAHPRFVELHQAIWSVLRDEVLKGYDQQKRK MIM_RS03290 MWNAIKPSPKNYRAWQLLIVIVLLGAWYLISLNDTAAFFFGQPL GVAEVIWNWFVVNADIYMHLGITLVETLLAFFLGTVFGMGFGLWLGLSRTASLVLDPF LTALNSMPRVILAPIFALWFGLGIGSKVALAFTLVFFIVFFNVFQGIREVSPTLLDNA RMLGANRRQLLRHVYIPSATSWVFSSLHASVGLAFVGAVVGEYLGSASGVGYLILQAE GTFDINTVVAGIIVLTAFALILDGIVSITEKYALTWRPAAGETEKL MIM_RS03295 MTKQIKIAAIPGDGIGKEVMPEGLRVLQAVNEKFKLNLAITEFE WASCDYYEKHGQMMPDDWFETLKSFDAIYFGAVGWPDKVPDHISLWGSLLKFRREFDQ YVNLRPVRLMPGVPCPLANRKPGDIDFMVVRENTEGEYSSVGGKIFEGTDREVVLQES VFTRKGVDRILKYGFELASKRERKTLTAATKSNGISISMPYWDERVVEMAKQYPDVKW DKQHIDILSARFVLQPDRFDVVVASNLFGDILSDLGPACTGTIGIAPSANLNPERDFP SLFEPVHGSAPDIYGKNIANPVAMIWSGAMMLAFFAGDNPEAQKAADCIMQAVEATLV NGPKTPDLGGQAGTTEMGKAIAQLVAGQQ MIM_RS03305 MSNIDHKPIISIGALGGTISMTGTPGSQGVSSNLGAEELARSVP GLAQAATLQLHTLARIASGSIRFQHLFDTLAWAGQQIDQGAQGVVVTQGTDTLEETAF LLDLFWNRHQPLVLMGAMRNPQMPGADGSANLLAAVQVAADDNSCDRGVLVVMNDDIH EARRVRKMHTTHVDAFVSPVFGPVGVVVEGRPQYLRDTQQRPRLPVPPAYKHKVLLLE HSLDDDPDIVSYAVSAGYAGIVVAGFGSGHASESLRDALVTAVAQVPVIMCSRTGAGS TTTAVYGYKGAEIDLQQHGILMGGWLCPRKARLLLAAALWNGLGRDALASLLAAWSV MIM_RS03310 MSYRYLGKSGLSIFPLTLGTMMFGQQTDEAQAHRIIQDARERGI NSIDTADVYNGGESERVVGRALKDSRDFWVLATKLGNPAGEGPNERGISRKWIIQSVE ASLKRLGTDYIDILYMHREIPTEPLGEAIRAIADLIRQGKLRYFGVSNFRGWKIADTV RLADELGIDRPVASQPLYNLVSRNAEVEQLPAAANYGIGVISYSPLARGVLTGKYAAD APPPADSRAGRGDKRIAQTEFRTESLLVAQTIKAHAEARGISAADFALAWVLNNQYVT SAIVGPRTFEQWQAYQKALEYRLTAEDEALVDSLVTPGHASTPGYNDPGHPIRGRVPY GS MIM_RS03315 MINIERAQRLSDPHKNDLVMSAWERLVHGNPCESNVLRPLVTDS WQRCLHAHIDPFQPNLAPALEDTSFRDLQNRHAALLSICRPVMQMARDDLDNTGAIMM ITDPQGVILSIEGDSRTRTDAENVSLMSGHNWNELASGTNAIGTALVTGSPVQIHAAE HFCHPVKDWTCTATVIRDPLNGNILGAVNISGRQKTYTPNTLAFIATTASRIENLRAN SELRFRCQLLEHSMDKLACSQDGIIIVNRHGTPITMNRHARAALHAWAIHLKCNALAS IPSLNLADDIADTSLPDWINPEWLETVTIQGTRVGTILTIPRAKPQQTVCLSKQPELA IQQRRTTRSPAFSRIIGHAPPLLTAIDQAQQLAAVNVPVLVLGETGVGKENIVRAMHQ FAQDSRLSDATTGAGAQAPRPFVALNCGALSADLLASELFGYADGAFTGARKGGMTGK VEAAHGGTLFLDEIGEMPLALQPHLLRVLEEGEIYRIGETSPRQIQFKLIAATHRNLQ HEVAQGRFREDLYYRLSVTTIRLPSLREMAEDIPLLATHLLQRFAEQYHAGVKSISDE AMAWLCQYRWPGNIRELRNTIESMYLTSTTAQLHISDLPAHLLAESISGSDPASQSSP ILSGGLQVAESQVIQQALRACRGNLTLSAQQLGVAKSTLYAKLNKFGLIGQLHKIRQE RQAS MIM_RS03320 MQTESMPVQVMGIDAGGTMTDTFFVSSDGRFVVGKAQSNPGDES QAIYESSQDALAAWGRSVEDVYPELLTCVYSGTAMLNRVVMRKGLDVGLMCNKGFEDI HSMGRALQSYLGYALEDRIHLNTHRYDEPLVPLSRTRGVTERTDVQGKIVIPLNEDEV RSATRELVRNGSQAIVISLLQSHKNGTNEQRARDLCLDELKKLGKEIPVFATIDYYPS RKESHRTNTTVLEAYAAEPSRATLKRVSDRFRKHGGKFDLRVMATHGGTIGWRAKELA RTIVSGPIGGVIGSKYLGELLGYDNIACSDIGGTSFDMALITKGNFAIKSDPDMARLV LSLPLVAMDSVGAGAGSFVRIDPYSGAIKLGPDSAGYRVGMCWPESGLDTVSVTDCHV ILGYLNTDNFLGGAIKLDVERARRCMKEQIADPLGLSVEDAASGVIELLDLQLREYTR SIISAKGYNPADFVCFSYGGAGPVHTYGYTEGLGFEDVVVPAWAAGFSAFGCACAEYE YRYDKSVDVAVPPTAGDDVKMAAGKTLTEAWAELAEKVIEEFIINGFKREDVLLRPGY SMQYLGQLNDLEIDSPISAAATVADWEKLVEAFDQTYARVYATSASSPELGFGVTSAI MRGSVVSKKPELPEEPLVGETPPQEALLGKRAFYRRKQWEQADVWSMEKLQAGNHIVG PAVIESDSTTFVVPKGFETRLDTHRLFHLKEIK MIM_RS03325 MNMMTKKVFGPGQLLGNGKTLREHRGEVLSKTRETGYYNGLTKL ALKETDPIRYEKMFSRLRGGLVHARETAKKIAASPIVEQEGELCFTLYNAAGDCILTS TGIIIHVGTMGAAIKYMIENDWEANPGINPGDMFTNNDCTIGNVHPCDIATIVPIFWA DRLVGWVGGVTHVIDTGSISPGSMSTGQTQRFGDGYMTTCRKTGVNDQPMRDWLHESQ RSTRTPKYLILDERTRIAGCHMIRALVEEVIESEGVEAFEKFAYEIIEEGRRGLRQRL KDMTIPGVYRKVAFVDVPYDHEDVNLTSSYAKMNTIMHAPTELTIRPDASWRMSFEGG SRWCWHSFNANQVAFTSGIWVMLTQTLVPTQRINDGAYYATEFHIPKGTWMNPDDRRT AHSDAWHYLVSAWTGMWRAMSQSYFSRGYLEEVNAGNGNTSNWLQGGGINQDGEIHAV NSFETAATGTGACAVKDGLNHAAAVWNPEGDMGDIEIWEMAEPLLFMGRNVKCNSGGY GKYRGGCGLETLRMVWHAQDWTMFFSGNGYMNSDWGLMGGYPAASGYRFEAHHTGLKD RIANRQSLPLGADANPNSPLYEQHLNEGAVVKRDKQCMTTETVFDDHDLYLNYIRGGP GFGDPLDREPQAIARDLNSRFVLPEYAERVYGAVIAKDEAGVWTIDEDKTIARRKEIR QARLKRAVPVRDWMKQERERIIAKDASLPVRHMYSTSFGLSEKFTNEFKSFWDLPADW SLPESELGVPSFGAKYRNDLSEMPDVRTVVLVEE MIM_RS03330 MSVYTKEQVSNLVDGKLDWDTTLRMLQMPKDKERFEMYREVLQS KMSWADEIILPLGPHLHIVRSAKTGEWITKCECGHEFCAYDKNWKLEAAIFVRDTPQA MEEVYPLLMAPDTQWQVYREYYCPDCGVMLDVEAPTPWYPVIHDFSPDIEAFYKDWLG LPVPKKGK MIM_RS03335 MYLVAILAILIALIVLATTKLKLHPFLALLAAAFIGGFMYQVPL LDIVKHITTGFGGIMGSIGIVIVLGTIIGVILEKTGAAITMADSIIKLLGNRFPTLTM SVIGYLVSIPVFCDSGYVILNSLKEAIAKRMNVSLIAMSVALATGLYATHTFVPPTPG PIAAAGNLGLGDNLGLVILVGLVVAAVTALAGLFWANIFLKKDIELEAPVVATGPNQE LMKTREEYGVLPSATQAFTPIFLPIILICIGSVVAFPSKPFGEGTFANILLFLGHPVV ALLAGMISAMFLLKGSGKRQQFHDYVAEGLTSAAPILLITGAGGAFGAILKVTPLGDY IGTTLSAMGIGLFMPFIVSAALKTAQGSTTVALVTTSALVAPMLGQLGLDSEMGRVLT VMAIGAGGMTVSHANDSFFWVVTQFSRMRVSTAYYAQTVATLIQGVVGMITIWLLSLV LL MIM_RS03340 MLENTKKENAGQLQLILGMAMSGTIGLFVVWSGQNPFNVGFWRC LVGGLCLLLFCFAKGYLKLAHVSRLQWVLLAVSGVVLVLNWAALFASYLHAGIGVGTV IYNTQPFFLLLAGPLMFGERITLKQVLLAMLAFGGVILIVLPALFGVKVDLLFLQGAG FALLAAILYSGLTIVTKKLTGIKPHVVAMCQLGVGLVFLAPIMAFDQMPQTSTQWLCV VVLGVFHTFLMYILIYSAYQSLPVGKIAILSYVYPVVAVIADYFAFGHEVGGWQWLGI VMIIVAGVANARQAGVKRQAPDPLSKSKPLTQDAVARDVSANGLARTQSQ MIM_RS21965 MKIRQPLPPLNSLKAFEATARLKSMALAARELHVTASAISQQIK QLEHHLGQRVITQSRSGIVLTASGRDALPKLSQAFDLLAASFHHPRDPMLVRISVLPS FARFWLNPRLPAFFRQHPGIRLDIDSSSKLIDFTFDDLDLAIRYGKGHYETPLCDSLM KEAHQAVCTPRQYPAWAPLIESGDFARLPLIGDRGMLGGDDQDVTWREWLHAKAPDAT LPENRITYTDSGLSVDATLAHQGMLLGRLVLLDSLLKEQKLIVLDPLTLHSELGYFLL GPSLAGLSEGAQKFRQWLLQEAATYQAQHHTRSSAFSDR MIM_RS03350 MSGINPMIITFAIYLIVVLAVGFIAYFSTRNFDDYILGGRSLGS FVTALSAGASDMSGWLLMGLPGAIYLTGLSEAWIAIGLTVGAYFNWLLVSGRLRMHTE YNNNALTLPEYFHHRFGAGNVIIKIAAAAIILFFFTIYCASGIVAGARLFESLFHVDY VTAMWLGAGATIVYTFIGGFLAVSWTDTIQASLMFFALILTPIMVLLGLGDLSAVITT IDQAAATAGKNYSSLISGTSFIAIVSAAAWGLGYFGQPHILARFMAADSVKSLKKARR IGMTWMILCLGGAVAVGYFGIAWFQLHPEQAGEVTNNSERIFIELAQILFNPWIAGVI LSAILAAVMSTLSCQLLVCSSAITEDFYKGFIRPHAAQKELVWIGRAMVLLIALISIW IASDPESKVLGLVAYAWAGFGAAFGPVIILSVFWRRMNAAGALAGMVAGALVVVLWKQ YSGSNLYEIVPGFIAGLIAIVVVSLLTKAPAQAVVDNFDRADRDFKSAV MIM_RS03355 MDTPSAPVTPTHTLPPDFWQKLEADPYRYGLYHVLRWLDARSGT RKPLGRDSLPSREPVRLRQEPSMAFAPSTICDVDRDKDGPPVVSILSYGLFGPNGPLP LHLTEYVRERIVHHRDHTLSAFADIFHHRLIALFYRAWADAQSTVSLDRPEENFSRHV ASLINQGQESLKHRDSIMDHAKYFFAGHLVRQTRNPEGIIQILKTFFNINVSLKEFVP QWIQLHPSQQLGLNGSMGLGQDTILGSSVRDAQHKFRLSLGPLTRSEFDQFMPGTRKA KQLTHWLRHYVGIELNWDAEIVLKKEDIKGIRLGEASPLGLGTWMGLRPDSAGDAHDV VIDYELRERQ MIM_RS03360 MSEINRSDLFGKLDTLLYRSLEGATAFCKLRGNPHVELVHWLHQ IMHEHDSDLQKIIRYFELNTDQLERGIVATLDELPRGASSVSDLSEHLDNATERAWVY GSLKYGEARIRSAHLILGILKTHSLRNVLYGISSEFKKITPDVLADNLPAIVKDSVEQ QDSAALSEGGAAAPATGPGGKSALAQYAVDMTARARNNEIDPVSGRDEEIRQIVDILM RRRQNNPLLTGEAGVGKTAVVEGLAIRLASGDVPPSLREVSLYLLDIGLLSAGASMKG EFESRLRQVIDEVQASEKPIVLFIDEIHTLIGAGGAAGTGDAANLLKPALARGQLRTI GATTWSEYKKYIEKDPALTRRFQVVQVHEPAEARALIMLRGLAGRLESHHQVLLLDEA IDAAVRLSHRYIPARQLPDKAVALLDTACARVAVSQHAQPPAVEDCRRRIEHLQIERD IAQREWQVGVGSEARIATIDSDLEAAREQLGQLEENWRIEQELAARMFELRQVLRNED TDEQLRSESLAELRQVQAQLEEQQGEAPLIFPSVDANAVAAVVADWTGIPVGRMVKDE ASSVLQLSDSLEKRVIGQRDGLDAITRRIQTSRARLTDPNKPVGVFLLCGPSGVGKTE TALALAETLYGGEQNLISINMSEFQEAHTVSTLKGAPPGYVGYGEGGVLTEAVRRRPY SVVLLDEVEKAHADVHEIFFQVFDKGWMEDGEGRYIDFKNTVIILTSNVGTDRIVDLC KDPDLMPDAEGLAGALRDPLLGVFPAALLGRLVVVPYVPLSDQMLGSIVRLQLDRIRV RLQQNHNIDFDVTDAAVAQIVGRCTEVESGGRMVDAILTNTVLPKVSQEILQSTIEGR SLTRVSLDAQDGEFVYQYS MIM_RS03365 MKLTVQHLHNATTFTCQLQPPGGTIGRGEQNDLNLPDSSGDLST LQAIVRMQDTGNTGTLLNMSSMSHVAVNDTPLGLSQEVSVSCGDRITVGDYLIIVNEP SAAATASAAPAATPAALNDKELSATPYDTAAFSGKTVAAGTPVATSAFAATPMTAPGN DEALPTWHPAYKAPAEQTASTGQPDPYPEEHPLGTPINRMPPPAPVANTTVAPLGDSQ AVSRALPDNAMAAPPADASDNPPPEPPAPVPEEDPDDIFKDLLSGPGVLPVGGSTPDE RHPFDMESATNRNHHNPVELLRPEGMRHPSIDGDPLDALPSDGTDKDRYTIFSDDSPT TLNKDTALDSHKQDNILDTLHRAVHAGYKDKYPEKK MIM_RS03370 MNALRVFRLSGVLAAGVFMSACSLWGGSDSTALSSSEEIQIRPI RESFMAGQYDAVITQVNSTPALSSGSVPLHTTALKYKAFSECLTEAKRSCASTFEQIL TLNPNFTLLPAEKSHPSWGPVFERVQAEHQPASGNTASSGAQTSGSITPIRPLTK MIM_RS03380 MTFIHNKIMKLGAVSLIAAGALAGCASTESKMAVPYVVELKADN QVNPNASGKASPVKVTVYELKSTNAFDTADYFALMKDPRAVLGDQMLEVNSRILKPGS TEQIKASGSTQAKALGIVASYRDLNNSQWRLVVDLPEARTTNFYKFWQFSPDEKRIRI DVQRAAVNVNKTEPK MIM_RS03385 MSIKDKVVWSEGMFLRPHHFQQFERFLEHNLRVRIESITSVFWG FQDLDIDIDALALGKIALKKATGLFPDGTPFSFDAESAPFALEVPAGTLDKRVYLAIP RVREGAEDVVFEETPDSLARYSVIEDEVVDTCEVSLGTAVVQVGRLRFRLMLESDFGD EWIGMPLAWITERSADNKVVLDFNYIAPVLSSSVSFALTSFIRELFGLLNARSELLAA RLNQPGRGGVAEVSEFLILETINRYRGAIWHMVTLGNLHPERIFHDFLMLASDLATFT GTGKRMETFPDYVHDNLRLSFEPLMQVLRRALTTILEEQAVRIPLHDKGQGLRVGQIT DPHLLQSADFIIAVHADMPAELTRTRFPAQVKLGPVERIRDLVHLQLPGVSLKPVTNV PRQLPYSAGHIYFSLEKTGDMWKQLERTGALALHLAGDFPGLTLEFWAVREDRDR MIM_RS03390 MAENFAGTPIGPWANSTAEPDTGKLRPDDYVISGSNTLVAAANP LLVLIPQIRNTRSHPSPAQLREHLVDEVRQFELRAQHAGIRNETILGARYCLCTALDE AAALTPWGGGGMWSANSLLVTFHNETWGGEKFFQLLAKLSQNPAQHIDLLELLYYCLV LGFEGRYRVVDNGRSQLETLRQRLLLILRNARGQYAAALSPHWQDAPILNKVRRLPIP LWVFAVLAAALGFLSFLGLQWSLGDRSDKLFADVIKIKPPTVQISAPQVTREPVKTDR IAPFLAPEIRENLLTVRDEADRSVIVLRGDGLFESGADGIRSQYLPVLSRVADALNAV QGNILVTGYSDNVPIKTIKYPSNWELSQARADAVKKLLDERLTDKLRVRAEGRGEADP VAANDTPENRARNRRVEITLLVSPVAPGSSAPADPSAMPARGANR MIM_RS03395 MISRFFSFLFSRGLWVFLGLLVISFLIWTVGPSLSVNNWYLLDS QEVRLWVIGTIWAIWLLRIIWRKWREGRLNAQLLGQLRKPKPEAELKEMPEAERAEIK VLSERFDEAITLLRNSRFEAGENKSPLARFSKQYLYQLPWYVFIGAPGSGKTTALVNS GLNFPLADRFGKVALKGIGGTRNCDWWFTDEAVLLDTAGRYTTHESDPTGDEQEWKGF LNLLTKYRGRQPINGVMLTVSVADLLSATDTERVAHAAVLRRRLQELREELGIQFPVY VLVTKTDLLSGFEEYFATFSRQELDQIWGFTIPYEQGQKPDFKLMNAYDAEYELLQKR LYEALPDVLAAEPDETRRALAYLLPQQFAGLRQVLGHFLSDVFSSSKFESVVIPRGIY FTSGTQGGQTFDRVAGQLKKYLKIEGIRDPGSGIPLESGKSYFLHNLLKDVVFPESML AGLNLKWERRYRTIQWGGYVLLTAVLAICLLLWLNSYRNNRNYLADVNDKLPGYTQLS RDIKITESGDVLGLVPFMNATTDLPNGKDFPADSPPVWNTFGLYQGSKIAAAANSIYD ETIKQVLLPQVSRRIENALENAPPDDLEYSYEALRAYLMMYDTEHYDPSFLQSWVLSD MQKILPAGYTTEDYDMLKLHINRLFNNGVVSSPFPKNDALIERVRGSLDRHALAERVY SRMLRLLSGQSLTQSTFITLGGAEASTVFFRKSGKPLNEGIPGLYTYNGYWNVFSKNV ENVATQLREDDTWVLNVKAGSFADGSNRKLFEDVKKRYFDDYVQYWDSYLADVSVRKP QTMLQSIEIARSLSSSNSPLVKFVKGVALETTLLREDEENQRSLIDRARERVTGSTQS LESMFGATGIDNPIRRDATQEKLEKIVDNHFVQYRELARSAGQGVAPPIEGTTGLLNE LYTYLTAADTALRSQSPLPPADVLTKLQAEAGRVPPAVGGVLTDLSVTASRQVAGVRQ EKVGEDVNAVLGNFCRRSIAGRYPFGNSSKDVAPNDFARFFGPQQMMDQFFRENLASL VDMSGGSWRFKPGIDGTKGGVAGFLDSFEKAAVIRDVYFAAGKLEPSFKVAVRPIDMD PEITQMVMEVDGQTLTYAHGPQVGVTMEWPGKQGSNQVSISLQPQIGTSGISASGPWA LNRLLDRASQRQGRSPEVTVATFNIGGRRVTLEFAAYSAKSPFRLSDMRSFRCPGKG MIM_RS03400 MGGISIDQLSDSLGWYGKMPSSGDFVHRRLDQGLIGWWHRWLSS GLIAMHESVLLSAEQEYMSAPIWNFLIPASLGCDMVQMGCLAPSRDRVGRVYPLLAVL YVPAVQYEAQQVAGSGRFYEHLGRSLLAAVGHGCSATQFEQNIQGARGTLTQMLTRAS TQMTEDDGSSDILDILNGGHETAPIEKLDQNDSRWPELALCFNSDAHNSYWWTNQANG AAQKSLVHGGALNIPLFNSLFITHTNFRL MIM_RS22775 MATSLQDLLRNVDPSWQPLDKTALGTGSASQGQLEDLVLDLLPQ LKALHAQQRAYGVWSLQTVFLDPVGRAKLLPGVGQPFMKQGAPVVPGTEAFAAIELQT DDVAWRIGPWTDVYGLGALLRAMISGQEPQSPVRRFVQDTQQPLAAAAPAGYSRQFLR TVDLSTVLQSALRLQSVDELSVMMGLSAASASAPVAPAASGGIAAAGAVAGGLAAQGI LSPAAGDDQQAAAEQAAARQAQEAQQARDAQVAQDEARRKAQEEAEAARAEQARQAQA DEAARQEQIRAEQERAEQARAEQERAEQEGLEQVRAEQARAEQARAEQAKAEQVKAEQ VKAEQARAEQAEAEQTQSRRDAAAASTDASADDQSVDATAGTSGAAGLPPDGAGGGQP ASPVPPGEDKKRAPVLLIAVVLAAIAAGAYFGFGGQKEGEAPPVASSTEPATPQASGT AATPAPAVADQAAGEPAPGAAASPSEASPAAEGATIAGGAAPATTGNTGSGSASNEAE PSQSATTSTNEPSGQNQTAGATASVTDTTQPATPSGATEPAASTSGAPATATTENTTP SATGASPMPTESDNTLSATGGAAAQAPGTAQSAAVNEQAAAEQARREEEARRIEEATK AEEARLAAASQQAPAAVAPTANDTVGGTAAGAATSTATAPDAAAQAAPSGRTSTFAQE SAPATSAGGTAAGTSAPADAATPSAAAGAEDAPANAANNTENTTATATDNQVAGGAAG LAGAAAAGSSSTTGAAAPASGASESVEMTAADAAREEEATQAREQAALEKARQEDARL KRERERRAAAEARAERNAGTTRSSSKGTVSFVIRPWGNVFINGRSRGASPPFRSLQLA PGTYNIMITNGDLPRYVRVITVKAGENITVVHQFQ MIM_RS03410 MKRVVSCGFFLPGACAAFLLLQAPAALAQSAGGYKPEYSLSFVS DERISIGRTAQRWRELVTEKTEGRVNIRMYPNASLVKGEQTREAAAVRQGVADMSVAL ASNWSSQFRALSVFSLPFFVPDMNGTAALIRGDLGNLVRDNMDKAGIVTLAWGVSGYR QLSNALRPVQAPADLKGMRIRVIGLPLFMDFFKALGAAPVQLNLTGLPDALQKKDIDG QDNPLSLFTGLKFNELGQKYLTVWNYPAEPIFIAVNKNVWNNWSRDDQQRVREAAEQA ISETAPILTSQEASQAVDATVGQLEQAGVQVTRLTDQQKAAFKNAASEVLRNWSERIG QDLIAIANADIKRGPTLPPASDPATAPTSAVPASPNPAPPPVDEAAPAATGAAADKAK QSAPAATGAGG MIM_RS03415 MATTTTLGIKVDTDLKNRLQYHADKLNCTPHWLHKQALITYLEQ IERGMTPDELRHLAPSATDSDGVVTDMQDSRKPPFYEFGQDVQPQSVLRAAITSAYRR PEPECVPLLLEQAALVKPEQTRELALKLVKSLKEKSPGGGVEGLIQEFALSSQEGVAL MCLAEALLRIPDRATRDALIRDKISHGDWKSHMGSSPSLFVNAATWGLMLTGKLVAVN SEQNLSKALTRMIAKGGEPLIRQGVNMAMRMMGEQFVSGQSISSALANSRKFEAEGFR YSYDMLGEAATTAADAQRYYESYEQAIHAIGKASGGRGIYEGPGISIKLSALHPRYSR AQRDRVMSELLPRLVALTRLARSYDVGLNIDAEEADRLELSLDLLEALCATREFEGWN GIGFVIQAYQKRAPHVIDYVIDLGRRTRHRLMIRLVKGAYWDTEIKRAQLDGLEGYPV YTRKVYTDVSYLACARKLLAAPDAVYPQFATHNAQTLAAIYHLAGSNYYPGQYEFQCL HGMGEPLYSEVVGSKKLNRPCRVYAPVGTHETLLAYLVRRLLENGANTSFVNQIGDDN IDINMLIANPIEVASAITPLGAPHEKIPLPRDLYDTDGKPGRRNSSGLDLSNEHRLGS LAAALLNGATQPWVAAPTLYNADSSQSDTANRKPLLNPADHRDVVGQVIEASAQDVDQ AMAAATTMAPIWQATPIEDRARALRRAAQLLESRMQPLLGLIVREAGKSLPNAIAEVR EAVDFLRYYADQIEDNFNEDHHRPLGPVLCISPWNFPLAIFTGQVSAALAAGNTVLAK PAEQTPLIAAQAVAILHEAGIPKEAVQLLPGAGETVGDQLVSHPDIRGVMFTGSTEVA RIIAGKLAGRLDNHGHPIPLIAETGGQNALIVDSSALAEQVVYDVLNSAFDSAGQRCS ALRVLCIQEDAADHVLTMLKGAMKELSVGCPDLLSTDVGPVIDTQARQIIERHILKMR QSGHAVEQLPMDASTEKGTFVSPAIIELNDISELEREVFGPVLHVIRYKRSELDALID KINATGYGLTFGIHSRIDETIARVSNRIHAGNIYVNRNIVGAVVGVQPFGGQGLSGTG PKAGGPLYLYRLLSQGNDDMPRGIDLPGLNPAGMLLPGPTGETNVYRLVPRGVVLAWP ASAEGARLQLEQILETGNQALFVDTPAIREWVDALTNADNRERIAFVNEEQIDHATVD AALFEGDGDTLRRLNLQLAAKKGPIVIAQGLQTDEIPQGHRYAISGLVHEQSISTNTA AAGGNASLMTIA MIM_RS03420 MASTHPDLNDIRHNDWVEQYLPASWRPYARLCRLDRPVGTWLTL LPCIAALIQAQYGLPTLLRLVVFCLGALLMRSVGCTVNDICDRNFDKHVERTRFRPLT SGQITLKQAILFLVAQLAMAALLLFFINSYSRWLAVALVPLVFIYPLCKRFTYWPQLV LGIAFNWGMLMAWSDTTNSVPLAAVLMWMGAVTWQIGYDTIYAYTDMKDDEQLGLRST ALRFRDQGLAWLIGFYAATVVLWTVPGFLLGFGWLYYVFMLAIALHFYWQLATFDIQN PGRSFALFRANIWTGCLLVAGSLGGTLL MIM_RS03425 MFSFDHSAGFESVPAMYDTLVSQARSLFEGEPSHIANAANLAAL VYHSVPDLNWAGFYFFDGSELVLGPFQGKIACVRIALGKGVCGTAAATGQAQRVPDVF AFPGHIACDAASRSEVVIPLFSGDKLIGVWDVDSPKPNRFTVEDKDGMQALCQVWLDA CSSAA MIM_RS03430 MPDKIELIATVLFAIAIVHTFSVPFFAKRAHQGGPHSGLWHAFS EVEAVFGLWAAILIIFMGVSGGLDSAVKYMDSRNFTEPLFVFAIMVVAASRPILVLVG SIVRYLARVLPLPTPVATFLLTLTLVPLAGSFITEPAAMTLAALLLKRAFFDHSSHTR FKYMAVGVLFVNISIGGVLTSYAAPPVLMVAGSFGWDTAYMATHFGWKAALAVMINAG VLTFLMRRELLIAGEHASEQNRADGDARPPVPWIVILIHLVFLASIVVFAHHPAVFIG LMMLFVGYCTAYEHYQDRLMIKEALMVAFFLAGLIVLGGLQQWWLQDLLAGLSPTLLY WGATALTAITDNAALTYLGSLVEGTSEVWRYMLVAGAVTGGGLTVIANAPNPAGFSIL KGCFPDEAISPKYLFLAALVPTLVAAAMFLLPVQLLGNTGL MIM_RS03435 MPIYAYKCSACGHAKDVLQKMSDAPLTTCPECGQSTFSKQVTAA GFQLKGSGWYVTDFRGGGNNGAGSGSAAATSDSGATGQSAAAPAAAPSSASSNGSAT MIM_RS03440 MRTCYTGQVSLDQLDQTVTLFGWVHRRRDHGGVIFIDLRDREGI AQIVFDPDNAQAFAIAEEIRNEYCIRITGLVRRRPEGTSNKELVSGEVEVLCREVEVL NASVTPPFQLDDENLSETTRLTHRVLDLRRPQMQRNLMLRYRVSIEVRKFLDGLGFID IETPMLTKSTPEGARDYLVPSRVHDGQFFALPQSPQLFKQMLMVAGFDRYYQIVKCFR DEDLRADRQPEFTQIDCETSFLNEEEIREIFEGMVRHVFKQVQNVDLDARFPIMSWDE AMRRFGSDKPDMRVKLEFTELTDVMKDVEFKVFSAPATQPGGRVVALRVPGGAELSRK EIDDYTQFVSIYGAKGLAWIKVNDPAKGREGLQSPVVKNLHDAAISEILQRTGAAAGD IIFFGADKAKVVNDAMGALRVKLGHSDFARANGLFEDSWKPLWVIDFPMFEYDDQEGR YFAAHHPFTSPKDGHEDFLTTDPSKAYAKAYDLVLNGWEIGGGSVRIHREEVQSKVFR ALNISDEEARAKFGFLLDALQYGAPPHGGLALGLDRLVTMMAGAESIRDVIAFPKTQR AQCLLTQAPSPVDEKQLRELHIRLRNKTVVE MIM_RS03445 MTTEVQTSLAGKVALVTGAASGLGKEIAETYAKAGAAVGIADLN LEGANAVAEQINAAGGKAFGIAMDVTDEAQVNKGVDDLVAHFGSLDILVSNAGIQTIE SIDKFEFAKWKKMLAIHLDGAFLTTKAALQHMYKAKKGVVIYMGSVHSHEASKLKAPY VTAKHGLLGLARVLAKEGASHNVRSHVICPGFVRTPIVEKQIPEQAKELGITEEEVVK NVMLAGTVDGEFTTPQDIAQTALFLAAFPNNALTGQSVVVSHGWFMQ MIM_RS03450 MVQLPEYERIALVLQGGGALGAYQAGVFEGLEQAGVAPNWISGI SIGALNTAIIAGNPPGQRAQRLHEFWDTICQPNNGFGLLPWVEQSLFRLNDLARSGLS AMYGMSAMMDGQKGFFTPRFPPPPVYTPGSVDQTSFYDMSPLRDTLLRLCDFDLINSG HLNVSVGAVNVRTGNFTYFCNDRHHLTPEHFMASGALPPAFAPVQIDGEYYWDGGIMS NTPLGYVLDAEVNADTLVFQVDLWSARGHLPDNMMQVYDRVKEIQYSSRTRLVTNQWA RMQRMRAVMANVLKELPDQHALDAETLETARAIADSKHCNVIQLIYRDREYESYNKDY QFGVSAMRDHWKSGLKDIRNTLAQPDYLAMPDNDISFVTHDIHRIQSEKARKRKF MIM_RS03455 MDALNHPHPGLILREDVIVPLGLTVSDAAEKLGMSRTAFSRVIN GKAGISPDLAIRLETAGISSARFWINLQAEYDLEKARQHKQPKIARLRAKLALT MIM_RS22370 MIKSFRHKGLEIFFRTASTRGIRAAHASKLGRILRSLNAAEMPS DMDLPGYDLHPLIGDLKDFWSVSVNGNWRVIFIFVGTDVELVDYLDYH MIM_RS03460 MRLDDQEQSSNVEDRRGSGGFSRGGGGVRLGGGKIGIGTIVVAL AAWYFLGINPMAILGGGDIMQQEPANQSQQTGSSGANDQDKVFISKVLKTTENVWSNI FKQNGGTYQNPSLVLYSGATRSACGVGQAAMGPFYCPGDHKVYLDMSFFHQMGAQMGV KGDFAQGYVLAHEVGHHVQNLLGVMDKVAQARSSMNERQANDLSVRVELQADCFAGIW AHELQKEGTIIEDGDIEEAMNAAAAVGDDHIQKQAQGYVVPDSFTHGSSQQRMSWFKR GLSAGDMKQCNTFAQQ MIM_RS03470 MDEIIRAITIYAIPLVLAITLSEAARGYVAHRLGDRTALMLGRL TLNPARHIDPIGTILVPLLLIAANPGFVVGWPKPIPVNESQFANPKRDSILLALARPV ANLLMGVLWVITARLLLGFGLLDTYWWKVAIAGFTLNIFLMVFNLLPIPPMDGSRIIA GFLPARWAIPYQRLEPYGFFIVLGLIAFGLLQVVLLPVVSMVINLLGSLFLFG MIM_RS03475 MTTLKVVSYNIHKGKSAFGKRISLSDLQSGLTQLGADLVFLQEV QGRNSRFESLHAQQDMLANHLSMDVCYGCNAVRTDTDHGNALLSRFRIVEHENEDISD HRLEQRGVLHARVQIDGTTVHCFVAHLGLFAASRGRQVTAIIERIRRLVPDNEPLILA GDFNDWNEKLAPYFDHELGLHEVFANSPLRIDNELPRLKPSLRSMMEGRSLIAVDQLA PPRTFPAVFPWLRLDRMYQRGFNILSAQVLKGRPWARISDHAPILAELELA MIM_RS03480 MTKKILIVRSSSLGDLVHMLPAISDIHRHVPGAQIDWVVEEGFA EIPRWHPAVHEVIVISHRRWRKRWFAPEARRERRAFAQNLRKRDYDIVLDMQGLMKSV WVVRQTNGRRHGLDWRSARESLASLFYHERHKVEFWQPAVRRQRRLASAALGYTFSGD PDFGLQAFTDNTPIQDYAVIMPSASREDKLWPEQDWQVVFGLLKEAGLGLKLLAGNEK EAARAQDLIRDFDNAEVLPRMSLTDVAKLLAACKMMVGLDSGLTHLAAALGRPTIGIY SASTPVRTPLEGAGFTASLGDRGLPPTREMVVSKLKQALQA MIM_RS03485 MNRYFYTAILRMASPVLLWWLQRRARKAGGVWDIRGPERYGRYT ERPQASPQDEDDGYAESVFDFARPVWVHAVSLGETRAAQPLVQALLDQGLPVLLTHFT ETGRSIGGKLFAPAINTGRLCQAWMPYDFPEAVQGFLDYWKPRCCILIEREIWPNMVA QAKKQNIPVIVASARFSPASLRRGQLLGSVLRKALTSIDLILTQTHIDAARLAEIGVK RTRVVGNLKFDLRISPEQSHMGQVARRHIGRPVIVIASTREGEDELFTRAIAQIKKTY QSEQAPRNDAQRQDPSEAGQNPMPLFVLVPRHPQRFDQVAGYLADDGLSVCRRSAYPT DKQLRTTDVLLGDSVGEMFFFYGLADVAIVAGSFAELGGQNHIEASALGLPVIVGPHT RNFQQSVEDALAEGAARRAHTPSEAVDLALRILENPELRLGMSRAAKEWLSLHEGATD RIMTALQPYLK MIM_RS03490 MSLLPSASVLQKTLYSALPDFASIAWVEQVGSTNVNLMQDVRST QSVMGRPALLGAHTQTSGRGRAGRRWQNPPGSTLMFSCAYDVFVPPARLPMLAPVVGI VACEQLRKIAGPAVQDRLLMKWPNDILYDQAKLSGLLVESARPVQRESQHHHVVIVGM GMNLSSAVELTQHLGRKVADWTSVLSDLNDDSGNSEDIALLVARIARAWRDAFAQYEQ QGFAAFMERHAQVDALREQQVNVIQDERIVLSGAARGVNQDGCLLVESAGRQHPITIG DISIRPEGQG MIM_RS03495 MLTVLIDAGNSRIKVSFFDAADPSADTSVHAFAPADLNALADLI RQLPQRPARALGVSVTTEAIRQELDAILAPCPIQWQTPTTRLLRLKNRYHNPAELGPD RWLGMLGVLTARPVDGPLMLVSFGTATTVDTIDDHEAFLGGVIFPGVSMMQSSLGAGT ARLPIAPMPAQVWPAFPQSTQAAIAAGIVAAQTGGVIRQWQQVAEHLGRAPLVFVTGG ARAAIMPELQARIDSLGVDMGFGTIPLIESESPVLDGLRALAQHSTDA MIM_RS23300 MRTLFFIIVLANVLTYGVGAGWFGLRPGESRLGAAVKTPTEFRP GAIEVGPIR MIM_RS03500 MAIQTEPFETVGPAGRIDCLVDWPDPAQPLLGWALVLHPHPLHG GTRNNKVVTTLSRAYAQAGYAVLRPDFRGVGKSEGSFDQAHGETADMQQLVQAFLAAH PQLDGKPWLLAGFSFGTAVAAQLYSVLSDEKTQPLPQLLTLVGTAVKRFTWREVVLPA NAVVIHGERDEVVPLQEVFDWITPYRNAVTVIPGATHFFHGYLIELKKRALDGLLQMS AEVSA MIM_RS03505 MFLRRLVLILIIVLVAGGAFVFFNKTNNSASSGGTQISTGSSTT QTNSSTQAAPTTPARADSRSSVPVTNASTPVLTEVAGMTPPQTNVKAWFLIDATSGQI IGNQEPDLKVSPASLTKLMTASLVFSALDENRIRPEQEVTVSEKAWRTGGSRMFIQVN TQVTIDDLIKGMIVQSGNDATIQLAETVAGSEDVFVNQMNKEAAQFGMSNTHFTDPTG LPAPEHYTTVRDLSVLAQHVIKDHPKYYHYFSQPEFTYNKIRQQNRNGLLSRNIGVDG LKTGHTEDAGYCLIAAAKRDDRRLISVVVGAATVREREQVSQNLLDWGYQNFTARQVA AAGAPLISPRVWEGTIKEAKLGAADGVSVTVPRGMEDKVQTITQINGKLVAPLAKGQT VGSVQFVLNGKVLKQESLNVLEDVPQAGFFGRMWDKVLSSFNS MIM_RS03510 MIQGIDSDSIVYLNGEYVRADEAKISVFDRGFIFGDGVYEVVPA YNRKPFRLTEHLQRLERSLQSIKLATGKTTQFWADLMQEMINRAKTDDSFIYLQITRG VAKREHIFPVPPVAPTIFCSSGPFIRPTAEQRERGIRVISGPDERWLHCDIKSVSLLG NVLARQVAAERGVDEVIQFRNDFLTEGSLSNMWVVKDGTLLAPIKNHLILEGIRYGLL ATLAERAGVPFEARNITRAEVFAADEILVTSATKEVLPVLELDGAPVGNGQPGPIYRK IRAEYDKEISRL MIM_RS03515 MKDIPPEQSLIEYPSDFPIKVMGVQHPELAQLLTELVLQFDPQF DPATVEMRASSKGNYIGLTFTVRATSREQLDNLYRALHGHELVKVVL MIM_RS03520 MQVQVRHLDGPAPYEPVWQAMKSFTEARHKDTPDEIWVVEHAPV YTLGQAGKPEHLLNTGGIEVVHSNRGGQVTYHGPGQLVVYPLVDLRRKGIYVKEYVTL IEDVVLDTLASFGLQQACRKAGAPGVYVPLPDGSLAKIAALGVKISQGCAYHGLALNV DMDLRPFAGINPCGYAGLQTVDLKTMGVATTVQEAGQRVLERLVPRFSTSTDTP MIM_RS03525 MTTNTAVTPTVKDEQYDATKKQKSFDKTSRIPIKIIPVERLKKP EWIRVKAAAPNSRFNDIKKILRENNLFTVCEEASCPNIGECFGKGTATFMIMGDKCTR RCPFCDVGHGRPDPLDVNEPSNLAKSIAAMRLNYVVITSVDRDDLRDGGAAHFVECIN ETRSRSPKTQIEVLVPDFRGRLDKALDIFGNGLPDVMNHNLETVPRLYKQSRPGADYM HSLKLLRDCKQRYPDVPTKSGLMVGLGETDEEILQVMRDMRDHDIDMLTIGQYLQPSE HHLPVMRYVHPDTFKMFEEEAYKMGFTHAAVGAMVRSSYHADEQAHAAGF MIM_RS03535 MKLLITGGAGFIGSHTVVELQNAGHEIVVLDNLSNSSVASLRRV EKITGKPVAFIEGDIRDRAGLDALFSAHPIDAVVHFAGLKAVGESVLQPLRYYDNNVS GTVTLTEAMAAAGVHRIVFSSSATVYGEPESMPIAETCPVGNPTNPYGRSKLMVERIL QDLASSDPQWSVAILRYFNPAGAHESGLIGEDPNGIPNNLLPYITQVAVGKLEKLSIF GSDYSTHDGTGVRDYIHVVDLAQGHLAALNFIQDKTGAHIWNLGTGKGYSVLDIVKAF EEATAVSIPYALSPRRAGDIAECWSDPGKAGRELGWTARRGMSQMMSDSWRWQKNNPQ GYRSDGGEQV MIM_RS03540 MKILFTNFHKRNGGGHVTYILNLLRQFADHDCWLATPGSSRLFR YASALDGVTVRDQTFTSRIGKLIPEVRQLRQLIRQEQFDIIHVNASADHRHVMLACLG LPRQQRPRIIFTKHNDHSVHTIGHRLRAWLGTDAVIAVSHYVAGLFTDSAYVRFPIHV IHHGIDVQYFAPPTRAERRAARQALLGVDDPKQIVFGSTGGTDFEKGWLELVYAIAGL PDALRRRCRIIMAGDPPQDDIIQTILGLQMQDQVIFPGLLDDTRPVLAASDLGFVLSH REALSYAARESMACGLPTIVSDAGGLPENLEHGVSGWITPVQDVTALRTLLAQILPDE ATLATVAQHTRQRAEALFNLSVFAHSTMNVYKDVRQGCPRTERGV MIM_RS03545 MNTQPDSHKPLTQMPQWHAYVQAINAAPRVAPAPRIIEAGGMQI DLTAQTYSADVLATASALLEARDFVSARQALFDGAPVNTTENRAAWHTQLRAPRPIEE VAEERKRALEFVRRSDSERRWRNIVHIGIGGSDWGVRLVVGAFGYAGMWRNIRFVANI DGHAVEGGLAGLDPRETLIVVATKSFKTAETLENAKRAIEWMKAAGIAKPLNQVIAVT ANPQEAQAWGLQQSQIFRFWDWVGGRFSIWSAVGVAAGLAVGPDVVAGLQSGAKTMDD HFLTAPIEQNAPVQLAMAGIANRSILDYGSLNISAYDSRLANLIPYIQQLEMESLGKS VDRDGQPITVPTGPAVWGMPGTDAQHTFFQWLHQGSDGAPVDFIACQHEDHAWKEHHI QLLAHCLAQREALMNGKSYEQALQESLATGMSEAAARELAKHKVHPGGRPSNLIMMPR LTPFSLGALLALYEHKVFVQGLVWGLNPFDQWGVEYGKVLATDITAELKGAAPQATHD ASTRYWIERIRSQME MIM_RS23470 MNELIPTRRFDRFFEQLLFSRVFSMVIGWILCVALPYVLMWGPL AVWSPNNGQQTALVVTTVASLLANIAVFRLLTRYPGGRNAALVAPQILAIYLILSLFV LILRQEVSRYLLLASGVASFIWLHVEFMTLQRLKRIKLAAVNLGRAKDLANISSITVR FLQEPDLHGLRYDGVVADFNAIDATWERFLTKCVLNGIAVYNARNLLESLTGRVSIKK MSENDIGSLLPSKNYERLKYLFDVGFVIVSLPIVLIICLITAICIRIDSKGPILFAQT RIGRGNRPFTLYKFRSMVYSKCDDPERFADENDDRVTRVGRFIRCTRIDELPQFLNIL KGEMSLIGPRPEQPSFVRQFDEVIPFYSYRHVLKPGITGWAQVRSGYAADIDETQLKI EHDFYYIKNASIALDIYIVFLTVKTVFTGFGSR MIM_RS03555 MPKNLIPTVLCGGAGSRLWPISREGHPKPFIRLPDNQSLLQKSF LRGLAIGGVEEIITVTNRELFFQTEDELAELNLRDIQMNYILEPFGRNTAAAIVAAAL AATKDHGEDAILLVLAADHLVSDKEAFRQAVIKAIELAENGRLVTFGIKPTHPETGYG YIEANGSDVVRFVEKPEAQVAQEYMDSGRFYWNSGMFCFRADVILRELEICSPDIVSA VKACMAESKTLRGDRQTQLNLDPETFRLVPDISIDYAVMEKSSVVSVVPCDIGWSDIG SWAALGAIGEQDENGNRVEAQAMLHDVKNCYIKSDARFVGAIGLDNLVIVDTPDALLV ADKAHSQDVKKIYTQLKKEGNELYKWHRTVYRPWGSYTVLEDSDRFKMKRLEVKPGGR LSLQMHHHRSEHWIVVSGMAKVVNGDREMLINTNESTYIPAGHKHRLENPGVIDLVLI EVQSGEYLGEDDIVRFQDVYGRVE MIM_RS03560 MKKAIVTGVSGQDGAYLSQLLLDKGYTVFGTFRRTSSVNFWRIE ELGIQNHPNLHLVEYDLTDLGASISLLNKCEPDEVYNLAAQSFVGVSFEQPSTTAQIT GVGALNLLEAIRLVNTKIRYYQASTSEMFGKVQAVPQKEDTPFYPRSPYGVAKLFAHW MTVNYRESYDIFGCSGILFNHESPLRGREFVTRKITDSVAKIKLGQLDVLELGNLNAK RDWGFAKEYVEGMWRMLQANQPDTFVLATNRTETVRDFVRLAFKGAGIDVEFFGKDEN ETAVDSTTGKVVMTINPKFYRPAEVELLIGDPSKAKAVLGWQPTTSLESLCEMMVKED LRRNEQGFSF MIM_RS03565 MTHDMASNEPKRVLVTGASGFTGQYVTTELKKAGYRVITLGSKP AEGKDCFQVDLLDSEQLTAVVQQSRPDHVIHLAAIAFVAHADARAFYDVNLIGTRYLL QALSMSDHPLTSVLLVSSANVYGNSSAGSLSEQTAPDPVNDYAVSKLAMEYMAHTYRA KLPLIITRPFNYTGVGQESHFVIPKIVSHFRERKSEIELGNLQVWRDFGDVRKVAQAY RQLIETPAAVGHTINISTGKATSLGEIIGICEQITGHSLDVKVNRAFVRENEVQTLTG NSDLLRSLIPDWSPLDIRDTLSWMLTGDDVSSPA MIM_RS03570 MKVLHIFKTYYPDTYGGIEEVIYQLVTHSADVGVHAEVFTLSKE VPRAIPEDFDGHLVHRIKRNFEFASTTFSWSAFNYFRKLSREFDLIHYHFPWPAMDLL HFYANHGKPSVVTYQSDIIKQKMLLQLYKPLQQRFLSNVQAIVATTPNYVNSSPVLGQ YLEKITIIPNGIEPVPLTDAIKERIKYWETTLSGPFFLFVGALRYYKGLDTLLEAATK VPYPVIIAGAGFEEANLKQIARTKGLTNVMFVGAISEEDKHALLYLSYGFVFPSCTRT EAYGIVLIEASMYGKPMITCEIGTGTSYINLDGETGIVVPPRNPEALAGAMEALWQNP ALAQQYGQTAKLRYERHFTANQMMQSYAELYRKCLQNGTAPGL MIM_RS03575 MMSAVWRYRSFILGSVKREFQSKYRNSLLGATWMVLNPLAMIIV YTVIFSQLMKARLPGVTMPFAYSIYLCAGVLTWGLFSEIVSKAQNVFVDNAGLLKKLS FPRLCLPVIVIANGLVNFSIVFGLFTIFIVFSGHFPGVVYLGIFPLLVLLVLFAIGLG ITIGVLNVFFRDVGQFFGIVLQFWFWLTPIVYVSNVLPEKVQNYLRYNPMVPIIESFQ TIFVHAQWPDWGGLWLVTLETVFLCVIGLRLFRKHVGEMVDEL MIM_RS03580 MGTITVKNLGKAYKQYKTHWSRLADWILPGKRQYFDLKWILQDV NFSVQAGEAVGIIGINGAGKSTLLKMITGTTLPTTGTIDISGRIAALLELGIGFHSDF TGRQNVYMAGQLMGYSVDEIAQLMPEIEAFAEIGTYIDMPVRVYSSGMQMRLAFSVAT AKRPEVLIIDEALSVGDAYFQHKSFDRIREFNSQGTTLLIVSHDKSAIQSICDRAILL NAGKVAMEGAPEAVMDYYNALLSDKEKQTVTQERNSEGKIQTISGSREVTIANVELLN QEGVSSEIVNVGQAVRLRIRLQTHSEIPELVVGYMIKDRLGQVIFGTNSYHLKRILHK LPANQALEYVYDFTANLGEGTYSISISVHSSDTHIAKNYEWRDLALVFNVVNSDKESF IGTSWLPPTLEIKQ MIM_RS03585 MTDQFYAQFEASFRGTREQIKNRLRVYLPFVLPLRDIHEPCSAL DLGCGRGEWLELLSEFQIDAQGVDLDAGMLDYCRARHLSVVQEDLIGYLKRQPDQHFS VITAFHVVEHIHFDDLRTLVAEALRALKPGGLLVMETPNPENVYVGTTTFYMDPTHRT PIPPDLLAFTAKYEGFSRVKLLRLQEDPAMVAKEELVLLDVFKGVSPDYSIVAQKSAS ESEMQQTANAFSAEYGIGLDEIINRHDAQHALRQSQVDQRFASMQQDISSSTAAVDEI SESLPEQLDALQRQLNALKAQMDSSMTQLQQSIQDIHSSTSWRITKPLRWAGHQGLLL RERGIKRRLIDFCKKVGKPTAGAAMVWFARHPVMKQRLIDLLKAIGLYATLKSLAFRL MAADGRQTTHSMTVPENIYNASTYLTPRGREIYRLLDEKITTRSDDEKVK MIM_RS03590 MRIVIDMQGLQTLSRLRGIGRYTLAFTRALIRNAQDDEVWLLLN KGLVDPAEPVYDEFADLLPPERLIEFRAPADITWEPPQSVWNRNAAELAREAFLRQLM PDVVLNTSLFEGATVSDAVTSVGKLPGHLRTAVVFYDLIPFLDQKKYLGADWVKEWYF DKLESVKRADLLLAISDSSRQEAIDHLHVEQDHIVNISSACSDIFKKQIYTDEERRQF NDKLGISDSFVLYNGAFDSRKNIENLIAAYARMPGELRDRYQLVLSGGCEPTYQQVLS ALAERLNVSGRVVMTGRVTDDELVYLFNCCELTVSPSLHEGFGLPALEAMACGAPSIG SNVTSIPEVIGLQDALFDPLDPDSIADKMVKILTDQHFRQLLTDHHAVQVKKFSWDQV AQTALQALRKMAPGDSAIRKNWNAYMAEIRSIRTELIDKLSDTDSKTSQPTEHDLRVL AACIAENEATAERINAAQVLPEKLTWRLGGPFDSSYSLAIVNRHLALALGRQGHHVAL HSTEGPGDFPANKAFLDANPELARMHAYNEEADDDKINVSSRNLYPPRVNDMQGRLNL LHLYAWEESGYPVDWIEDFNSYLQGMVVVSTHVKKILIDHGLHVPVVVSGNGTDHWAS LEAAPRFAVKGKGFRFLHVSSCFPRKGVEELLSAYGQAFTRHDDVTLIIKTFPNPHNL VHEWLATERQNRPDYPDVSILEQDITEAELKSLYTQCHALVAPSRAEGFGLPMAEAML SGLPVITTGWSGQTDFCNDDTAWLVDFDFERAQSHLELFDSVWARPRVDDLARQMKVV FDMPEADRSKKVSAGKTLLQERYKWSDVAAMHVKAARSWAGRTETETPRIGWMSTWNT RCGIATYSAHLIEQMPEVFTVFAAHTNELIGSDAANIKRSWMNDDSEDLKKLESEILE SGIQTLVIQFNFGFFHFEHLNRFISNLTDAGVQVVITLHSTQNPPGKADKDLRLLLDA LKRCVRILVHAPGDLNRLKALGLIDNVTLFPHGVKGGYALARAQTTNQVNKPFIISSY GFFLPHKGLIELIKATAQLIRQGRNVRLKMINAEYPVADSTNLIAQAQQVARKLGIED AVVFNTAFLPDEVSLNELSESDLIVYPYQETGESASGAVRYGLAIGVPVAVTPLSIFD DVGQAVFRLPGTQIQDIANGISALMDQIQENAAPVKKVQEEADRWRREHSYDRLSQRL FNMIKALQNDARTGSDDMPTARPADE MIM_RS03595 MIGRIGVGATVLARGTRTGHIDGIGTYTQALCTQLASIKEIDVC TVSWQEPMTASITGEHLTLPKIRMEYDLAASALTGKSSFNSSEIEARFSLFHAADHFI PRLRKTPVIASLMDPIPLMYPEWVNQRARKLKNWLFRKEATWADHYITISHAVVDDLV KYFHLSRSNITVIPLGVDDIYFNRPSQDMTVATLEKYHLDPGFFLFIGTIQPRKNLKT LLDAFVMLPPEMQKRHPLIVAGRLGWGCEDEIRKLKQLEIQGVAKWLDYISLDDKRVL LSNARCLVFPSLYEGFGLPALEAFACALPVIGSNTTALAEVVDDAGLQVDPLNANALS GAMQTIASDESMAVELGEKGRARARTYTWKATAEKTVEVYRKYL MIM_RS21970 MALQKSSNYRADIDGLRAIAILGVLVFHVFPEYLTGGFVGVDVF FVISGYLISTIIFSKLYTGTWRFYDFYNHRVKRLFPALLAVLLATLYVGWFSLFTDEF LQLGSHVLAGSLFVQNFLLWSEAGYFDVASTLKPLTHLWSLAIEEQFYLFYPVLILVL WRLRLNLFYALLILFVFSFGYNVYLVGHDDVALFYSPLTRAWELLIGSLLAYGPYLTE NRQKQGGISRTISRTRLFNVGSSEAPATAANHVTSFLGLILIFIAVFGIRDGSHYPGW RAILPVLGSFLLLRAGAAAWVNKYLLAHPVMVFIGAISYPLYLWHWPIISFVHILVPD ASVAVRLAAMILSVVLAWLTYRFIEVPVRFGNRGLRLVPATLCVGMIAVAGIGLSIVQ FQGMPERPVNASNRVIDTGKALAGPVAFIQKGCGLAQADVGDFFGCLHDTRGLPKIAL YGDSKAGAFSAGLLSRSTPETPWLFIGGNGPRGGTVPVINHDFPAYAIYTELTEKAFD ALLKSEADLVVLYTATRALFQLGDNGTLDELPDSPLSDEAYNGLNRAVDVLVRGGKKV VLMVDNPTLPDPKQCIGRVTEIGWLNTALALRPGFRCHIPYDEQLKLSEKYRVVLDKV SKNYPDQVRIFDPTRLLCNMTEGQCTSMLNGRLMYSNTDHISEYASLIIADKLIPFVE GFSRNEPADDRFELQRYRPK MIM_RS03605 MINLVKELFFFGFAGVLGFLVDTGILYLLKDSIGNYWGRAVSFL AAVLVTWTVNRNLAFRHKSSNKGLVREFFHYFQLMLIGGAANYLTFVLLVDNIAIVSR HPVLGVAGGSLAGLLINFMQLRFVIFRHKKH MIM_RS21975 MSLFSTTSKTQSASYEPGVDGLRALAVIAVLFFHAGFQAFSGGY IGVDVFFVISGYLITGILFNSCIEGKFSFLRFMARRIARLYPALLLTLVLCLIAGFLL FSPDDYVALADSSVFALFSVSNFRFWLVSGYFDTSSETNPLLHTWSLGVEQQFYLIWP FVIYLVYRLNRALLPWVLLALGVASLALSQWYLSVDTSANYYLTPFRVFEFAIGGLMV FAVDYRKRHTPGVIQYEIIMAVGIALLLYSIFVYDKTTTFPGLHALVPAIGAALCIYA SPAKYLGNIFRNRAMVTVGLISYSMYLIHWPLIVFYKYFIYRPLFLSEKWALVIAPFI LGYLMYVLVENRYRRVDLYSWYFGQTIQRVCALAVILVPALMIMTSNGMSFRMNDYFV EHMANSPRFHEEQYGGEGFSMGSQVLGDADGRRKSAVLLGDSYARQYAFGLNQTLAAN KKWVDTSFEDGCFFGPGYTRLLDGVPRKDCVERLDYALNEAKLSRVPLLYAVSYQNYQ KTMGTVDGKRVDFPNTEAYVTFLEKNFDAIHERIGLTNKLVIIGTPPGAGSQVGLASC VDRPGYLPLVCAKYLELNEEKGNAYALNRSLEKYAQSHKNVEFVNPYSVLCEAGRCVT MIKRKQFLYSDGTHLSKQGSAFVIQGLWPRLNAIFGK MIM_RS03615 MDNQNVLPDLIEQLQVESLGNDVFNGISTDIFGTGRIFGGQVLG QSLMAASLTVSPGRAVHSLHSYFLRPGDTSKPIRFEVERTRDGGSFSARRVIASQLDQ PIFIMSSSFQGEEPGFQHQTPAPVVAPPDSLKNEKQLIADFEHKLPKRLASLVGRDFA IEMRPVEPAQMLKPGNYDPKAHIWFRAADKLPDNPLLHRAMLAYTSDFYLLFTSLLPH NQTPFSKSMQMATIDHAIWFHRPFRMDEWLLYSLESPSASNARGFCRAHVYSSQGDLI ASTTQEGLIRKIQE MIM_RS03620 MAMPLAIMSTQSVPSPLYYFLLVLSLLIVIALRPAQDTFRFRTF SWLFALCAVFVAAVVISEIVNGRGDGTGFEKALRFSAGLPLLVAACCYVPRRWLSYSV LGVYAGLIFAIVFIVNLSLPDFIRPETSAVYNAVGYGDLTILLSVITLYSCNVTFTRF ARTEKILKIILGILGIVAFVLTQTRSGWVAVPFFLLFGVMIHMRQNNRIKLAGVFVIG LVLAAVVTLTVPGIKDRMQLGEKEFTECITTDSTKLSSICIRLQLWRSTIAIWEDNPL FGSGSNGYFSEFMKTEGVQRGLVSKVVANQWGEPHNDWLQALSSYGLLGVLGLFFVYF APAAVFVKRMLRTTNEELRTYAAMGAAVCIGFALFGLSELMFRGIRTMGFYTVMVAVS LALSTRIRGVEH MIM_RS03625 MGKQEKVAILMAPRLGDSLLMMVIANNFRHNGAQVSIFGDYIWQ LRDWFPGFEIHRSLAEEQAQAALCDFDRAIQMHPGWPFDLTRYHPTVTIYDEHVVVTG KGFVKAYQMRDFCRDFFGHSGTTHANGLVAPDGMAKHRHPKRVAIHPTSTGALRCWAP RHFGKLAKDLIKAGYEPAFIVSPAERADWEWVTRLGAELPDNPGLSGVASYIRESGWF IGNESGIGHLASATGIPVLTLTGRMKRTRAWRPAWSHSRIVAPWYIPGGRLRDRYWRR LLTPQHVLWAFGKLRSDTAASGNPHINNDIDTDINVGEAV MIM_RS03630 MSLAEQFAAVREQVRLACECAGRDPQQVSILPVSKTFGHEVVRQ AMALGERRFAENRVQEMKEKALALNDSSIEWVIIGYLQTNKVKEVVRYASQLQSLDRL ELAQALDKRLLQAGRQLDVLVQVKSSSEESKTGMAPEQVPSFLKTVRDYDTLNVQGFM TVAENTDDQERVRRCFERVRQLRDQCQDELGDALPVLSMGMSADFALAIQEGSTQLRI GSALFGQRPAVR MIM_RS03635 MSSFSPEAAQWFNLLAPEHQRRVLQDVTVAHYGNGALIARKGEA AEHWIGVMDGLIRISVGNADGKVASLTGIPVGGWLGEGSILKKECRKYDVVALRDSYV ARMPSRTFEWLLDNSIPFNRYLLDLLNERVGQFIGRAEHDRLLNADARVARCLAELFN PLLYPGLKQRLAITQEEMGYLARVSRQRANQALKKLENEKLLKVEYGAVSILDLDGLR RYGA MIM_RS03640 MPQTVNSTRTAEPQTFPALLQQHAAIRGNRPALREKDLGIWQTY TWAQVAAQVQRVANGYLQFGVQPGEHIAVVGENRPRLYMAMMAAQAVGAIPVPMYQDA VAQEMVYVLQDASVRIVVAENQEQVDKMFEVREQVPTLAHVIYDDPRGLRHYSDPLLL SWEQLQQSGDQYATGAPNAYFDAVDRVQPDDTAAMFYTSGTTGKPKGVVLTHAALIDR ARVIQKLEKLTDREEVLAYLPPAWIGQNMFSYTQLLVTGFTVNHPESPATVAIDMHDI GPTYYFSPPRILEDLLTHVTIRMEDAGRFKKWLFDTCMKLAHRVGGPILDGEAVGTLD RIKYSIGNILIYGPLRNALGMNRVRVAYTAGEAIGPDLFRFYRSIGINLKQLYGSTET SVFVCVQSDGQVSADTVGPPVAGVELRIAESGEVQVKSPGLFREYYRNPDSTRESFTD DGWYHTGDAGIIDAQGQLRIIDRAKDVGRLSDGRMFAPKYVENKLKFFPFIKEAVAFG ANRDFVTAFVNIDLEAVANWAERRSLAYAGYTDLASRPEVYALIADCISKVNADVAQD PQLSGLQIHRFLILHKELDPDDGELTRTRKVRRAFIAERYGVLIDALFAGKASQYIET EVKYEDGRTGKIAADLTIQPASVVPDTLKKAA MIM_RS03645 MSMSDQREARIGDVILDMQNISLRFGGVKALTDISFNVKEHEIR AIIGPNGAGKSSMLNVINGVYVPQDGSIEFDGRQFGRMTPRRAAEMGVARTFQNLALF KGMSVLDNIMTGRNLRMKSGLLSQAFRIFGAEKEEIAHREFVENIIDFLEIQAYRKTP VGRLPYGLQKRVDLGRALAMEPRMLLLDEPMAGMNIEEKQDMSRFILDVNDEFGTTIV LIEHDMGVVMDISDRVVVLDYGKKIGDGTPAEVRSNEEVIRAYLGVEH MIM_RS03650 MAYFLETLFGGLMSGMLYALVGLGFVLIFKASGVFNFAQGAMVL VAALSMARFSVWIPQWLGFENLLLANILAFIVSAGVMVLIAMLVERLVLRHLVNQEGT TLLMATLGISYFLDGLGQIIFGSSVYSINVGMPKDPVFILDSLFEGGILISLEDLTAA VISAVLVALLAFFFQYTSTGRALRAVADDHQAAQSIGIPLNRIWVIVWVVAGIVALVA GIIWGSKFGVQFTLSTAALRALPVVILGGLTSVPGAIIGGLIIGVGEKLSEAYIGPFV GGGIEIWFAYVLALVFLLFRPQGLFGEKIIDRV MIM_RS03655 MFYRENGQFKISYRQDQQIFPIRQDRIFIGLLLVIAVVVVPLLS SNYFLGGIMIPFLILAMAAIGLNILVGYCGQISMGTGAFMAVGAYAAWNFGVRFPGLP LIFQLLLGGVFATLVGILFGIPSLRIRGLYLAVATLAAQFFVDWTFLRIPFFTNNSSS GSVSVPRLDFFGLPIQTPLQKYLFVLALVIVIAVLAKNLVRGAIGREWMAIRDMDVAA SVIGIRPMYAKLSAFAVSSFIVGIAGALWGYIHLGSWEPSAFDLNRSFQLLFMVIIGG LGSIVGSFFGAAFIVLVPILLNRIPEMLGLSLGVDTAAHIEHMVFGALIVFFLIVEPH GLARLWSIAKEKLRIWPFPH MIM_RS03660 MKLQMKQWIAALGVAGVLGTLPLQVQAADEQFIPLLTYRTGSFA PLGIPWADGKIDYLKLVNERDGGINGVKIAYEECETAYATDRGVECYERLKAAHGGAS GFDSQSTGITFAVSDKAPGDKVSIETVGYGLSQSADGSVFEWNFPLLGTYWTAADVMI QDIAKKLGGEDKLKGKKIALVYHDSPYGKEPIPLLKARAEANGFELQLYPVTAPGVEQ KSTWLQIRQKRPDNVLLWSAGIMTPTAIREAQATGYARDKIYAIWWAGSEGDVKDLGQ VAKGYNAITIHNTGEAKGKVYDDLKTHVFDKGNGSSKNTLGTIAYTRGLVISMLQVEA IRTAQEKYGKGQHMTSEQVRWGFENLNLTEERLKEIGFDQIIKPFKTSCANHVGEDWA RIVQWDGSMFVPASDLYQADQKYVGPLVKSEAEKYAQSKKVTPRDCSKASS MIM_RS03665 MQTKPTAADAAVTPDPERLLLNVNGIEVIYNHVILVLRGVSLVV PAGKIVALLGANGAGKTTTLRAVSNLLKSERGDVTKGTIHYQDERVDSMTPADLVKRG VIQVMEGRHCFGHLTIEENLLTGAYTRKVSKGDIAAALDRVYQYFPRLKQRRSSQAGY TSGGEQQMAAIGRALMADPKMILLDEPSMGLAPQIVEEIFEIVRDLNTRERVSFLLAE QNTNIALRYADYGYILESGRVMMDGTAQELASNDDVKEFYLGIESGDRKNFRDTKFYR RRKRWLA MIM_RS03670 MTEYFDALEVRDQAQREADLFAALPAALTAARERAPAIASQLQD VQVDRITSRQALAGIPVLRKSELLQRQQAQRRQAIEQRAQAGTAAGKVVERVFGGFST IGWGDAARVFASPGPLYEPESKRADYWRFGRALYAAGFRANTLLYNCFSYHFTPAGSM FETAGLAIGCTVFPGGVGQTEMQVSTIHDLQPEGYAGTPSFLKLILEKADEMQIALPS LKRALFSGEAFPPSLQNWFAQRGIHGYQAYGTADLGLIAYESEARDGLIIGEDIVLEI VRPGTNEPVPDGEVGEIVVTTLNPDYPLLRFGTGDLSAIMPGHSACGRTNKRIRGWMG RADQTTKVRGMFVHPEQVARIVARFEEVNKARLVVSGETGRDEMTLFVEVDGAPAAGL QEKLGNVVREETKLRAVIVFVGVGELANDGKVIEDSRSYE MIM_RS03675 MNTPFQTLPASAIFAAGISRRDAETRLLDRVDRHGQRLAIIHQA GHGISVPRSYQRNRYFATACTQLAATGLPVDVRLSGGGVVPQAAGVVNLHLAYPVHAN YPLQHVEDHYLGLCELIARALAACGIEATHQPVEGSFCDGRFNLAVAGKKIAGTAQYW RRNKAANVAAASTVSTPAFSLLSHAVILVNANSDELTSMANRFETALHSPVRYLPEKT TSAQALLGAPVTDFEQKLLQALQNPPF MIM_RS03680 MALLPILHFPDPRLHTVAKPVAQVDDRIRQLVRDMAETMYAAPG VGLAATQVNVHERVVVIDVSEEGDDLLALINPEIIWKSDEKQVYEEGCLSVPGVYDKV ERAASIRVRALNEQGETYEFDAEGLLAVCVQHELDHLLGKVFVEYLSPLKQSRIKTKL KKQAKEQAEA MIM_RS03685 MAMSDEERYAWVRLTLEPGIGPVTARHLLVAFGLPQDIFGASVA ALMKVVPEKQALQLAAPVDPGIHTLIERTFDWLARENHHIVTLADDLYPARLLDTADP PPLLYVDGDPQALSRPVLAMVGARSATAGGTDNAFAFARHLADAGWTIVSGLAQGIDA AAHEGALASGATPATIAVMGTGINRVYPAANKGLAMRIREQGALVSELPLDTAAVVHQ FPRRNRIVAGLSLGVLVVEAAQRSGSLITARLAGENGREVFAIPGSIHSPLSRGCHAL IRQGAKLVETADDILEELARSGSVPGSQGIANRESGPASQSGARRAAKSRAPLTFEPS PPSVSSVSRSPAQSGALYSTPAGAEGGANAAQQALLEKMGFDPVSMDLLLIRLDTTVG ALAGLLTELEMAGKIVRLPDGRYLQRVNHLQ MIM_RS23105 MNEPDRRATSCTPMCCESDNGDNTDPAIPGKCPWGTPGVIDFAA SLL MIM_RS03690 MSTKFNVLIIIGSLREKSYNAAIARQLADLAPDNLELVYAPSIG TLPHYNQDVENAGFPKEVQAFADAIRAADGLIFVTPEYNYSVPGVLKNAIDWVSRLRP MPFDNKVGSIISASGGAIGGARMQHHLRQVMVAMNVHLVNRPEIMVGTVQNKADGDGG KLTDETTLTFIGNHLKELARVLELHHQKQQA MIM_RS03695 MAIAERVKVVEVGPRDGLQNEKQFVPTEVKIELINRLSAAGFQN VEAASFVSPKWVPQMADGADVMAAIERRPGTIYSVLTPNLKGFEGALAAGADEVVIFG AASEAFSQRNINCSIAESIERFAPVAQAAKDAGLRLRASISCSLGCPYEGEIAPAAVA DVVKRMLALGCHEIDIADTIGVGTPRKVTAVMNAVSAVADPAMLSAHFHDTYGMALAN ILAALEAGIAIVHSSAAGLGGCPYAKGATGNVATEDVLYMLHGMDIDTGIDLDQVIST GQWISDYLQRANASRAGKAIITKRQAA MIM_RS03700 MVINFLPLSESEREQLLASIGPLPIRGQAWPAWVKTAAWVILAV IVLQSLVAFNKAGVEALFSTTGLILLVVFLALAVTTRYMQTSVTTIDAQGLRQSWLTR REVAWQDVTFAKFVPMLASKRLVVFTKKGKPVVFQGGTQELQVAFAKISLAFKNRKI MIM_RS23385 MNALRHITLLSAITVYATAPSTVLAQNQLKESTSMTANTIAPSL SQWIPQTHKETSRLSVTIDGEPAELVRYERIDERNAGLGGEHFSTVIAANGRLKGFAN MSLDLVGLPLPDRSRTEQIARAFLQEAAPDLLPRMEISWIEPHDEPIQITRNGHIETV TLTGMKVKARNLG MIM_RS03710 MSSMYLPSFSLRILLVLCALAVVSLLYLPLPILPQLAQTHHMST AASAGVISAFGFAYAAGFLIFGPLSDRLGRRVVMMCGLAALTLITAWLSTVQTVPFLL AGRAAQGLAAATFPPVVIAYLAERGTPKQRVWSVAWLSTAFLSAGLLGQIYGAAVASP WGLGSALLPLAAVFAITAWRLWTTPADPTHTPQGSLRTLYQPIGKLLVSSPLRRVYGP ALLLLMCFVAFYIGIDTHVGRALQAHGITPLVARELALPAFLTPLAVASVMPRWGAER IVNTGLLVATSGLALSSWAGDGHLYGLLASSVIFVAGIGISVPGLIARVASVAEAPMR GLAVAFYTFVLFVGASLGPWLAQQTAHWPTGQAFLLLAILLGAAALYAITGRSTRTA MIM_RS03715 MFELKDLPSYDTLERFGAIYGNTDVQGLQTWLIWASATQEMLSA FEANLAHACGLSQTQFFVLLLLKRNPDGLSVGVLAEGVSVTSQTMTRIIDRMVGADLC SRDVDPVDARARLVRLTKAGDDMLSKALPSHYAWVARLMGHFNADERRMLNQLMLKLN QTGVLVRSEEMD MIM_RS03720 MAHQHDHSAVPARRLASYQASEPVAESERSRVARRSTWTSVVVN IILVIFQIIVGVFAHSQALIADAIHSLSDILSDFVVLFANRHAARAADAGHPFGHRRF ENIASLAIGLIMLAVGLAMMWSAGQRIAAPSSIPTVHLSALFVAICVLIAKEGLFRYM LRAALRVRSALLVANAWHARSDAASSLVVGIGILANIAGFPMADPLAALLVGAMIVRM GGKFSLQALNDLADHAVDEDIEQQIRQALHDTPNLPGFHDLKTRKSGDMILVEVHLEF PADMTILAAHDISERACARIMQIDDILQVTTHFDPVTDKMESTEHTNQR MIM_RS03725 MNVVFAGTPEFARQALAAILQAGFSVPLVMTQPDRPAGRGMKLT PSPVKQHALEHNIPVIQPISLRLDGKYPEEAATARETLLALKPDVMVVAAYGLILPQW VLDLPRYGCLNIHASLLPRWRGAAPIQRAIEAGDSQTGIAIMQMDIGLDTGNVIASDT LPIVTQNAAQLHDELAAMGAQQIVAVLQTLDKDGVLHSNPQPEAGVTYAAKLDKREAA LDLSEDAVTLARRVRAFNPAPGASVMLPGLDSPVKVWDACALENGVAGAGAAAAPGQV LAVTPEGIDMATGNGTLRLLQLQKAGGKRQPVAVFVTGWKPPQE MIM_RS03730 MKSLPYQLLAFDFDGTLADTLPWFDTVLASVAQKYGFRNPAQDE KDQLRHRDVRQILSTLDIPFWKAPAILMEFRQRMQEASPDVHLFAGIEQTLAALKQAG YQIAVLSSNSEINVRRTLGCAAEYIDQYRCGSDLFGKASRLKTLYRQTGCTPDTCLLI GDEIRDIDAARESGCDAASVSWGYNHPDVLAAKEPQYLFGTPDDILAQLLPA MIM_RS03735 MSAPRVGFVSLGCPKALVDSERILTQLRTEGYDISPSYEGADVV VVNTCGFIDSAKAESLDAIGEAISANGKVIVTGCMGVEEQVIRQVHPSVLSVTGPQQY EEVVRAVHGVAPPLREHDPYVDLVPPQGIKLTPRHYAYLKISEGCNHRCSFCIIPSMR GDLVSRPVGDVLQEAQRLANAGVKELLVISQDTSAYGVDIKYRSGFWNGRPVRTRMTE LCQALSELGIWVRLHYVYPYPSVDEVIPLMAEGKILPYLDIPFQHASPRVLKAMKRPA FEDRTLARIHQWRETCPDLTIRSTFIVGFPGETEEDFQYLLNWMTEAQLDRVGCFQYS PVEGAPANALGDHVPDDVKQDRWERFMAHQQAISTARLALKVGRDIDVLVDEIDEDGD AVGRSHADAPEIDGCVFISSTEKLKPGQIVRCTVTDSNEYDLWADHKPLS MIM_RS03740 MTRPVQPTASPQTGAVTPPLSELLLATAYVVQAIGEGRSLTDVL NAEPAHRRAAVQSLSFYVMRQLARGHALADLLLDRGLPNELAESLLTTALCLLSVQER EVQENPRVPVYEPYILVSQAVTAAGLDRKTAGFKGLINACLRRFEREREALLVEAYRR SNVRWGFPKWWIGRVRKAYPAQWEAILASSFQPGPLTLRVNTRKTSREALLAALHEQD IAASAIGDHGVLLDQPLPVTSIPGFEEGWWLVQDAGAQLAAGLLPVTDGMRVLDACAA PGGKTAGLLMQARLQLTALDIDRNRLERVAANLQRLGLHDEGVKLRAGNAIDLKSWWD GQSFDAILADVPCTASGIVRRHPDIRWLRQEQDIAETAALQRRIVSALWQTLKPGGIL LYVTCSVFPEEGIEQAQWMLQTLEGAVALPAPGQLLPGQGQEHDGFFYSLLRKQGTTS GAGG MIM_RS03745 MKTQPASMSIAFFLRLVLIVLLGFVVSGGAALHAQPAAADSVTG TITDSDATTVATINSVDIQQQGNDYVLNADVDLPLSHQLQVAAQHGVPLYFTVEFRLV QPRWWWFDEPVYDRSQTWRVAYNALVRQWRVTSGDYSVPEMSLDDALQTITRIQNWPV GPFPDLDPNQHYEAQFRMKLDTSLLPRPFRMDALGGRAWALSTPWKNFAFHFSATAPR P MIM_RS03750 MGLLVRSTGNSSRLAQQYDLLLLLNGVLALALFLWVSMLTFRLI RQLRSRQFGARLTSRFALAFAVMAIIPGVVIYLLSVQFMSRSVESWFNVRVDSALQSG LTLGQASLDSQVGDLLSRARLMADDLSTVPDQELSVALTRLRENTTVGDALVFTVSGS RVVGFSSSSFGSLLPQMPPVSVLNQLRLSRSYARTEAVEGTDFENPQLRIRVIVPVFS NSFKLDAPLGASPEPYLLQLTRLVPDNIGSNLNEVQKGFRDYQELALSRQGIQKLYGI TLTLALLVTLFTSIAVALAIARRLVQPLLTLASGTQAVAVGDYRPLPEPTAMDEIGLL TRSFNAMTRQLDEARRQVESNRIQLERSNAYLESVMAGLSSGVIVFDERFNVTTVNKG AQSILDVDLRSVPGRPLEVIDSLIEFTRQIRKAFADHAAVGSERHYWQEQITLSTSSE SDETDDVTLLMRGTRLRIDGRPTGYVVVFDDISEVISANRARAWGEVARRLAHEIKNP LTPIQLSAERLAMKLEGHLDERNAGILARSTNTIINQVSSLKKMVDDFREYARTPPAQ MQHVDINALISDLAILYGWDPDGTGHHDEPLYRHIRLDLTPGLPLAEADPTQLRQVLN NLLSNARDAMAEMVLEGDEPGITIRTTLTHSDKDQGTEGQAIRITLEDRGAGFSAKVL QTAFEPYVTTKAHGTGLGLPIVRKIIEEHGGRIDIANRKDGGARVSILLTRLTPKTGN MIM_RS03755 MARILVVDDEIGIRELLSEILYDEGHTVELAENASQARAARLRY RPDLVLLDIWMPDTDGVSLLKEWGSQGLLDMPVIMMSGHATVDTAVEATRIGAMDFLE KPITLQKLLKTVQAGLMRPHAKQVMAAATNGATRAPATTPAARPGVAAAPAAATVQGT PVAAEPEAPVQGSQLGSISLDQPLRDARDEFERVYFEYHLGRENHSMTRVSEKTGLER THLYRKLRQLGIDSSRKRNS MIM_RS03760 MKILILGAGRVGASVAENLVSEKNDITIVDTDGERLRYLQERFD LRGVQGDAAMPEVLRSAGADDTDLLVACAATDPVNLVACRIAKDVFNIPRRISRVRSH DYSSNVELIKESFGVDSVISPEDSVTTYLHSLIEFPEALQVVEFGNGRLSLLIIRIGR ISPLINLRVNRTGENLPNLNARVLEIFRNGASVALDHESKIQQGDELVVAVDTQEGKQ AVAKLQYASRKVRTVMIAGGGNIGFRLARKLSEGGYNVRILEASKARCETLASLLPSK TLVLQGDATDESLLDNENIEEMDTFLAVTNDDEDNIMSSLLAKRLGARKVIALINRKA YGELMEGSLIDIAVSPSQATIGALLRDVRQGAVVTGHRLRWGNAEAIEFEVNGDRKSS QVVGKSIHDLKLPKTAIVAAILREDEVILPEPSTVIQPGDHVVVFAGNRHDMRRVEKL FQVSVFFF MIM_RS03765 MRNILNVLHALSLTMLGFSTILLFPLAVSLYFDDGAHNAFLLSL GIAIGVSAALWKFTRRSRDEINPRNGLLLVSLVWLVFPLIASLPFVFDAYIEGQSLTF THAYYEAMSGLTTTGASVLNNISSLPPSVNIWRVTLIWVGGMGILVMAVAIMPLLGVG GHQIMRGEIPGPMKEEKLTPRIAGTAKALYAIYISASTLCVLCYRLAGLSWYDAWCHA ASTMALGGFSIYDDGFQHYDSPMVDTVACVFMMFAGINFATHYNVFRFRSLRYYVTCP ETKIFVAILLLGGLGVSAWLYFDGVYPTLTQSLRFGMFNTISLATTTGFANTDYLHWP VLLPVVMLLLGSFCSSAGSTGGGIKLIRVVLIAKQIRAELRKLLHPHAICPVKLGRRI VPPPIISSIMAFVILFVIMNVALTGLMVMSGLDFATASSAVFASLTNIGPGLGEVGPM SNYSGLSNFQMWICTFAMLVGRLEFFTVLVLFTPGFWKK MIM_RS03770 MLHIALFEPKIAPNTGNIIRLIANNGCWLHLIEPMGFRIDDAKM RRAGLDYHDLAKVTIHPDYAAFKASVPDQRIFAITTKGTRAYTEVAFQDGDVLLFGAE TTGLAPEVMATIADGERLRIPMLPNNRSLNLSNAVAIVSYEAWRQLGFVAGS MIM_RS03775 MTNALFSWQGRVDAAERGDTCRLHQIAQPLGDELPAALNGQAVV LGFCCDEGVSRNHGRIGARLAPDHVRRALAGLPAHRLQQLYDAGDIHCTDNNLEYAQS QLANRIASLLNTSSRLAVIGGGHEIAYGSYLGLDQHLQATAQPGTVLVLNLDAHFDLR TSRPASSGTPFDQILEHAAQHGRSVRYCCLGVSLLSNTPALFERARELDVAYLQDTQM QESQLPQIREFLAAQLQDVAHLYLTIDMDVLPAWQAPGVSAPAAYGVSLSVIETILGM ARESGKLRLLDIAEINPEYDHDGLTAKTAARLIWRYLQPAG MIM_RS03780 MTSSMIHLDRVEKYYGDHQVLKGVTLDIARSSVVVLIGPSGSGK STLLRCCNGLETAQKGNITINGQALLDDGKLIAEKDLNALRMNVGMVFQSFNLFPHLS VLDNVTIAPKTLKKTSDADAQKLALSLLEKVGLKDKAQAMPASLSGGQKQRVAIARAL AMEPEVMLFDEPTSALDPELVGEVLQVMKMLASEGMTMLVVTHEMGFARDVADKVVVM DHGVILEEDTPSVIFTQPTHERTRSFLQAVLNA MIM_RS03785 MNLDFSPVLAGWEPLLRGTLVTIEVTAGALLLSCCVGLLIGIGR LNPERKFIYMLCSTYLTIFRGTPLLVQLFIWFFGLPQFGILLPAYMCGVLGLGMYSAS YVSEVVRGSIQSVDKGQGEAARSLGMSARQAMRKIILPQALVRMIPPLGNEFIALIKN SALVSLLTIHDVMHEGQKIISVSYRSLETYLVIALIYLVLTATAMFILRKVENSLKAG GMVQ MIM_RS03790 MKTFFLTGLLALGLAAPTLSAAADAPLRVVTDATFPPMEFYQDG KPTGFDIELIHALGKEMNRPIELTNIDFKGLVPAIVSGRADAAISGIYITPERKNVVD FTDSYYAGGLVVLTRKDNNIKNLKDLDGRKVSVQVGTKSVNYLTEHFPKVNRVEVEKN EEMFNLVKIGRADAAVTGRPAAKLYAQHNPDFVVLDEQITTEEYGIAVSKSQTQTRDA LNAALKKLRDNGSYQKIVDKWFEAKS MIM_RS03795 MLSQSDKGGADRALYILSVFAKIERPVTVAELMTITGLAQSTLY RQLTLLKKWGFVFESQGLYMPGPGCLPLAWGFNQSSFLLQHARRDMLALSTQTGESVG ILVALDAMAVCLDMVESTSSLRCSFVKGRSLPLIRGASAKSLLAFMPAAKQQVIVQEA VQQNLLTETQAAQLATDLQQIQQQGFAVSEGEVDDGVWGVSAPLFQHQRIALGSITLM APTARALPRSQQLIDATVKAAANISTTLKALLV MIM_RS03800 MAATGPLSLRFSYLGRRLNTALGVPCALCTGVAPGGVLCPGCTS DVQYSMLHHPWRCPRCALALPAEGPCPDCADQTPSLEKVIAAFDYVHPADSLILRYKN ARQFHLASAFAALAQNAIRHESQRNGIPPWPPRTPLIPIPGSAHSLRRRGFNPAGEFA SQLGRLMNMPVWHTLLYREPDHLKQSTLNRRQRRANTAHLYYCARNIQAPCAVLVDDV LTTGNTLHTAAQALIAAGVQRVFAVAIARTPYVARDKADGIGLPDTLALPR MIM_RS03805 MSQLIHSASRLSINMTHVRQQFARRGLLEDASFFHDEIATRMID RLGYIKVSPERIVDAGCGPGNSLHLLAARYPQASIIGVDHCAPFIEHCQSQFVSKGLK SIVQKLKGRQGFSFLLADMAAMPIAPESVDMVWSNLALHWHQQPHDVIREWRRVLSNS GLAMFSCLGPGTFREVRSAVAAAGIRTQTMQFVDMHDFGDILLENGFMDPVMDQEVIT LTYRTVDALLKDVRGLGGNPSEARGDGLKGRAWYQRLREALEAGRGEDGLLRLSLEVA YGHAWSRPVRQNAAGDTLVPVSSIKRAVR MIM_RS03810 MTPAPSPLSLHVLVLGAGSWGTALAHAACNNAQTMVWARDPDTA RHINQQHRNPRYLQDIPLPPALLASDNLEQAFAFLQDASSSLIILGTPVAAMEQTCTI LREHLPAANLQTTPVLWTCKGFEQKTARLPHEVVQAVLGEIVPYTGVLSGPSFAREVA QGLPVALTVASRHECVRTQATQALHAGNTRIYRSHDVVGVEVGGAMKNVIAIACGIAD GLALGMNARAALITRGLAEMSRLGLALGGDATTFSGLTGLGDLVLTATGDLSRNRQVG VALGQGQDLETILSSGMTAEGVRCAHAALTRARGLNIDMPITEAVCAVLFDGVPALQA VSLLLSRESRSE MIM_RS03815 MADENNAASNNEATSTDPVFAMQRVYLKDLSLEMPNAPQIFLEQ ETPTVEVSLNVGGERLAETVFEATVTVTITTKIGEKTLYLVEGTQAGIFEIANVPEEQ FEPLIGIVCPTMLFPYLRANVADAINRTSLPPLHLAEVNFQALYEQRAQEAQNQAGGN GSPDSGSGIYVPPGTTRQ MIM_RS03820 MKKVTMYYKVTCPYCVRAERLLRERGVNDLEKISIDTNREQRAA MIERSGGRTTVPQIFIDQTHIGGCDDLMALDRDGKLVTMLGA MIM_RS03825 MDFLFYQNSIYFLIFLLVSAGLLLFPLLRQQGKGNTGLSVKDAV NLVNRENAVFVDLRPADSYRSGSIPSARNLPAADFEQKAGTLPRNKPLILVCDNGRES AKMAGVLRGKNTENVYTLAGGMGAWKADGMPVKQHA MIM_RS03830 MYKLVLMRHGESQWNLENRFTGWKDVDLTETGRKQAWEAGELLK KEGYTFDLAFTSVLKRAIRTLWIALDAMDAMYTPVHSSWRLNERHYGALQGLNKAETA RKYGDEQVLIWRRAYAIAPEPLDGDDERHPRFDARYSRIDAADLPATECLKDTVARVL PLWNESIAPAIKAGRNVLISAHGNSLRALIKHLDQVSEDDIVNLNIPTGQPLVYELDE NLKPIKHYYLGDQEAIAAAMAAVAAQGKAKKD MIM_RS03835 MSGAGSLSSLRVLGSATLACLALLAGGSAGAQSISTLTKQQQNA EQLRRDLQEKISEVQKRIDESESDRKDVTESLRQSETEISRLNARLDDLDSQRDKTER ELDDLRKEQGGQQALMEQRREELAEQLKSQYTHGVSPWAALLSGDDAQKIGRDLTYLS YVAKARTQAVVALNTEIARLDAVKKKVADHQKTLQGLADEAEKNKADLEQEKQKHAAV LARVEADLTARRSQASKLARDDKRLNALIDDIQSSIVKQREAIRRAAIEREAREAARR KALQQAREQAARQAAQQAALAARRAEQAARQAQAAREAEQRAYMTAEEQTEALRRARE NAPSAGAGVQPAPDLNAASRSAAAAREQLAQARIQRENLEIDREKARLARLRAEEQVQ LAQKAREDERKAAAEASSGSAGLQRGAPWPVRGSVQGRFGTPRPDTGDVWRGILIRAG SGTPVKAVGSGNVVYASWVQGFGNLIIVEHQNGYLSVYGYNQSLSKRVGDRVRIGETI ARVGATGGQVDPGLYFEIRQGSTPVDPVSWLAR MIM_RS03840 MKLFAVRQLSYVIIVGLLQAGIAYSPLALAQQKPANPLRQTPAP ETHAPLPAEESLPFAELQRFARVYAAIKNSYVEPVDDQKLIESAIKGMVQDLDPHSAY LDALEYEEMRVSTDGEFGGLGIEIGPEKGFVKIISPIEDTPAAKAGIQPGDIITGING ESMEGKPLNDVVKNLRGDVGTPIKLSVSRPSTGKQLDFDLVRAVIKVQSVRSKMLPDS IAYVRISQFQERTGPDLATHLKQLDAKGKPKGLVLDLRNDPGGLLTGAIGVSAAFLKP GINVVSTKSRGDQDSHVFKADPQDYVHNASDYLKELPSWTRTVPMVVLINVGSASAAE IVAGALQDHKRATVMGNRSFGKGSVQVVMPLDDNTALKLTTARYFTPSGRSIQATGIV PDIVVTDTEKGDLFSFPREADLAEHLSNDQAGEGGEVKGTTSIKADETAEEREVFEFG STGDFQLAQAVNKLLDRPVKGGAPVAEKSLTEKERKAEEKSVDATSGAKPAAGQGDKQ QAPEPADADQRDRAAPSAPQPADSKAPANTVKPAAPAQPAGK MIM_RS03845 MEDKQLLRYARHLMLDAIGIEGQEKLLASSVLILGLGGLGSPVA AYLASAGIGRLVLVDDDQVELSNLQRQIIHTTARIGQSKAESARVAIAEINPDCVVET VVERVDTDRLGQLLAGVDIIVDCTDNFRTRQAINEVCVAQSKPFVSGAAIRFAGQVTV FDTRHHDAPCYACAFPPSDNLPTDNCATMGVFAPLVGIIGSVEAAETIKTLLDAGDNL RGRLMMLDALSMQWQTLQLERNPACPVCAARDR MIM_RS03850 MPSVSYQITPADPAAHYFDVQITIDNPDRKGQIVSLPAWIPGSY LIRDFSRHIHSLTAKTGRSPLAVTKLDNHTWQIAPCSRRLTLNYRVYAWDLSVRCAHL DQTHGFFNGTSVFLKVHGREKQPCQVTIEAGNSTRHWRVYTSLRPARRGRRTTLPHRF GVYEAPDYDALVDHPVEMGTPHVETFTVSGARHEMVFTGVLPNVDFKRIARDTAKICE TQIRFFEPQSQRAPFLDSADRYVFMTYVTGKDYGGLEHRASTALVASRQDLPVIGNDA RSEGYTGFLGLVSHEYFHTWNVKRIKPQAFVPYDLSRPNLTSLLWIFEGFTSYYDDLM LLRAGAIDEKDYLRLLAKTITGVHRAPGRFKQSVADSSFDAWNRYYRQDESSINNIVS YYTKGSLVALALDLSIRNATGNRKSLDDVMRLLWDRFGRRFYQSRQHGVQEHEFAGLL KQATGVDLQAFIETATIQTEDLPLASLLAKQGVQLSWQTESRTPGIGANLRATASGEP GLVQVIEGGAAHAAGLSAGDILLAMDGLRIEAASWDKLLERYQPGQQVVIHYFRRDEL RSTQLTLQEPANDKCNLTRQPASQH MIM_RS03855 MSEELVVSRTEGAVGVITINRPKVLNALSNELMQVLSQALLAMQ ADSSVNVIVLTGTDKAFAAGADISAMKDWDYMDVYKSNYIGGDWETMKNVIRKPVIAA VSGYALGGGCELAMMCDTIYAAESARFGQPEIKLGTIPGLGGTQRLTRAVGKAKAMDL VLTGRMMDAKEAESAGLVARVLPVENFLEEVIKIAQGMAGMSQTTLMMAKECVNVALE TTLEQGLLFERRVFHATFATKDQKEGMDAFVGKRAPAFNNM MIM_RS03860 MNHPSGMSHPSKVITPDRTKHLPEGSVLQSGVSTDTPVANNQHN AVGKAAPVMSEKAQTTPQEARPLSLTPQERARMNARAARGLVNMVLAQAALLLLTALV SWLVAGGYAALSALAGGLAYLIPSSFAALHMLVKIYSQANAGALTFFWAQALKIGGTL AMLALVVRFAGAYLVWPALLIGLVVVLKGYVLLLVLNKLR MIM_RS03865 MAASAEVSPQSAYVQHHLAHLNNTGHPQTSILDMSVINYDSVFW SLATGLVVVFLLWLAARRATNGVPGRFQAGVEMVVDMVNDQAKSIVHNEVSRKFIAPL ALTVFLWVTLMNTLDLLPVDLPGSVLGWLGLAGDHHAPGPLYYHRILPTADLNVPMGM SLAVLLLSIYYGIKIKSAGGFVKDLFTAPFHAHGFAAVLLSPFNLLLNLIEYAAKTVS LGMRLFGNMFAGELLFMLIALLGGAWTGFSAMNIGLGVGQVLAGSIWAIFHILIILLQ AFIFMMLTLVYLGQAHESH MIM_RS03870 MTTAAFVALACAFIIGLGAIGACIGIGIMGGKYLEASARQPELM NVLQTKMFLLAGLIDAAFLIGVGIAMLFAFASPFGA MIM_RS03875 MNLNATLFFQTLVFFVLAWFTMKFVWPPLIKAIEERRQKIADGL AAADKGKADLAQSQARISLIEADAKQQTHARLQDAEKHAASIVDAARGEAETERSRII AQAQQDAEREVQRVRDSLRDEVAALAVKGAEQILKREVNPQTHAELLNQLKAQL MIM_RS03880 MAELSTVARPYAEALFASAKNDPAGLAAWSDAVNELAQLSGLKD VREAMSDPQLERKTKEDVFLGLLKTTLSQPMRNFVDLLIENDRLLLLPHIAEQFEALR NRNDGTAQAEITSAFEMSEAQVQELIAGLEKKFGVKLKPVVTVDSSLIGGVRVTVGDQ VLDTSVQAQLSRLRDTLAAH MIM_RS03885 MQLNPSEISELLKSRIEGLGASADVRTQGTVVSVTDGITRIHGL SDVMQGEMLEFPNNVYGLALNLERDSVGAVILGDYTGVSEGDQVKTTGRILEVPVGPE LRGRVVNALGQPIDGKGPVNAKATDIIEKVAPGVIDRQSVSQPLQTGIKSIDSMVPIG RGQRELIIGDRQTGKTAVAIDAIISQKGKNVTCVYVAIGQKASTVNNVVRKLEELGAL EYTIVVAATASDSAAMQYLSAYAGCTMGEYFRDRGEDALIVYDDLTKQAWAYRQVSLL LRRPPGREAYPGDVFYLHSRLLERAARVNANYVEKFTNGEVKGKTGSLTALPIIETQA GDVSAFVPTNVISITDGQIFLETDLFNAGVRPAINAGISVSRVGGAAQTKVIKKLSGG IRTDLAQYRELAAFAQFASDLDDATRRQLERGKRVVELLKQPQYQPLQVWELAVSLYA VNNGYLDEVDVEKVLSFEKGLKDELKSKHADLIGRIEDTKELSKEDEAALKDIVAGFK SGGSY MIM_RS03890 MPGIKEIRTKIKSVQNTRKITKAMEMVAASKMRKAQERMRASRP YAEKVREIAAHMMQTHPDYRHPYMEERTDIKAVGVVLVTTDKGLCGGLNTNISRLLLA RLKEFEAKGIKVQTTALGNKGLSLLTRIGSNLVSNEVQLGDQPHLDRLIGALKVQLDD YLDGKIDALYVASTHFVNTMKQEPTIMRLLPLPDGLADPFVAGSVKSDNEAAAIESSY QWDYIFEPDAKSVIDDLLTRYVEGLVYQAVAENMASEQSARMVAMKAASDNAKKVIGD LQLVYNKTRQAAITKEISEIVGGAAAV MIM_RS03895 MSNGTIVQCIGAVVDIQFPRDNMPKVYEALVLADNESKFAEKGL TLEVQQQLGDGVVRTIALGSSDGLRRGMAVDRTNAAISVPVGEGTLGRIMDVLGRPID EAGPIASEEKREIHQAAPKFDELSPSVELLETGIKVIDLVCPFAKGGKVGLFGGAGVG KTVNMMELINNIAKQHSGLSVFAGVGERTREGNDFYHEMEESNVLDKVAMVFGQMNEP PGNRLRVALTGLTMAEKFRDEGRDILFFVDNIYRYTLAGTEVSALLGRMPSAVGYQPT LAEEMGVLQERITSTKTGSITSIQAVYVPADDLTDPSPATTFQHLDSTVVLSRDIASL GIYPAVDPLDSTSRQLDPQVVGEEHYVVARGVQQTLQRYKELRDIIAILGMDELSPED KQAVARARKIERFLSQPFHVAEVFTGSPGKYVPLAETIRGFKMIVDGECDSLPEQAFY MVGTIDEAFEKAKSLK MIM_RS03900 MATLLKVEVVSAEASIFSGEAKFVALPGESGELGVLPGHTPLIS KVRPGTLKIVREDGTEELVFVAGGILEVQPFGVTVLSDTAIRAADLDEAKAEKARQQA LEALENAHDKQDIAAVEAELAMLAAQVAAARRLANMKNRH MIM_RS03905 MMNAITPELDQRSMKQWFEQLHRMPELSMQEGKTAEYIASIVKD LGYEVEVGVGQHGIVASLTVGDSPRAIGLRADFDALPIQEVNTLPYKSGVPGVSHLCG HDAHTAMLLGAAKYLAEKRNFNGTVRLIFQPGEETMQGGPAMIEDGLFERFPVDAVFG MHNMPGLETGKLYFTPGTTMAAVDNWEIEITGKGGHGSMPELSIDPVVAGASLVMALQ TIVSRNVSPANKAVVTVGAFLAGNAGNVIAHSAILRLSIRTTTPEDRDMVLQHIRRLV ASQSESFGCTAEIRTGVPGAVLVNDPEETEKAADIARKTFGAENVICPGPTYLGSEDF AFMLQKKKGTYCFVGNGNTKMVHHPEYVFDQNNLSIGATYWVALTESYLA MIM_RS03910 MSTHTSTPYQGSDRLLFGIIMGVLAFWLFAQTTLNISPIMAKDL GIQPTVMNIAVSITALFSGIFIVVMGGLADRYGRVRVVQWGFIFSIAGSLLVAVAPSG PTGAALLMLGRICQGLSGAFIMPASLALVKAYWDGAGRQRAVSLWSMGSWGGSGFAAL FGGLMAQNLGWRSIFWAAAVLSLIGMLMVRGTPESRAQAKDGFKLDLRGIVTFMIAMV ALQVFATQGGKFGWGSATSLALLAVAIVFGFVFIRVESNNANAFVNFGLFRNMTYTGA TISNFLLNGVAGMLIVSMTLLQLGGNMDAQQTGLLTLGYAIVIVTFIRVGEKLLQRFG PRKPMIWGTLVVGVAILLLMATHVMLDTYKLLAIVSYALFGLGLAFYATPSTDAALSN LPADQAGAGAGIYKMASSLGASFGVAISAAVFTSLSGDNSAVSWIEGVISYVGRQDNL AVREAGFFALAVNLLMVIAAILSIVITVPKQSHAKKM MIM_RS03915 MQNNSQSRPGGDIIVDQLVRQGVDHVFCVPGESYLAVLDALHDA SINVTVCRQEGGAAMMAEAHGKLTGRPGICMVTRGPGISNALAGIHIAKQDSTPLIVF VGQIETGMREREAFQEVDYRAVFGTQTKWTTEIDDAARIPELIARAFHVAMSGRPGPV VIALPEDMLVQMASVADAPRVEPVESAPVPEQITQMATLLAGAKKPLAILGGSRWTQE AVNDFIRFAEQQQLPVAVQFRRQHLFPSSHPNFVGDIGLGINPKLLKMAQEADVILLV GGRMSEIASQSYTLLDIPVPKQTLIHVHPDSQELNRVYQAALAINATPAQFGKAAVEL AASSQDLRKNDVDAAHATYLEWSNPQSVNTPGALQMGKVMEYLESHLEPDAILTNGAG NYATWLHRFHRFNHFNTQLAPTSGSMGYGAPAAVGAKRVMPQRQVVCFAGDGCFLMHG QEFATAVQYGLNIIVLIFDNGMYGTIRMHQEKHYPGRVSATNLQNPDFAAYAVAFGGH GERVEKNEEFGPAFERAVASGKPAILHCLIDPQAITPTATLDQLRAAAEQAQSQ MIM_RS03920 MNLTQKAFFPRALSFAAGLGLLAAVNTASAQEEAYPSQPVTVLV GFAAGGTTDVLARIVAKGLGDELGQSFVVENKLGAGSNIATDQLLRKKPDGYTLMMMA VTTTINQTLYKNARFNIEKDIEPIVLVAKVPNILVASNKTPYNNVKEFVDYAKANPGK VNYGSSGAGTSIHLAGELFKQQAGIDMLHIPFSGSGPSMTALVGGQTDVVFENMPAAV PQVKAGKIKAFAVTTDKRSEAFPDVPTLKESGYPQFNVSSWFGLVAPKGTPKPIVDKI NATVEKILARPDVIKQLEGLGATAEKNTPAEFAKFVSDETQTWGDVIRKGNISID MIM_RS03925 MNISMKNALAMSDAPELAFSDSMERELINNAINEERAMSLTGLI KAIATSVAAAGVMMYDMIKETEKAIDDTDSEGGFSW MIM_RS23350 MKERLDCALIKIPGCEPLAETLLRQDRLPNARLHFSLIEPSPRA EQGSFPSVSMLQDMTYSLRHFDAICLPVSSASLVWTRLLLQQTRIEQHRPIFVLGLAL RPIGLIDLLELGVVDFALWPCEPEEIRVRLLRALHELRGLQARLPSLLGDSVNPQQYA VHDSAMAYQAEYGPAPASAAVGALHLAADKSGLPLLPGFKRPVGRMPVTTMMGVTAGA NGILPPVSLLRRMGGGLAHAGKSVSTYPTVLSVPRVCCQEIAQYPEGFQQLKSVVVAQ FERTYLTHALARSKGNIALAARNSHKHRRAFWALMCKHQISAEPFRDNGI MIM_RS03935 MAFPVLQNDVFLRALQRQHVPYTPVWLMRQAGRYLAEYNETRAR AGSFLGLAKNPDFATEVTLQPLARFPLDAAILFSDILMLPDAMGLGLDFVAGEGPQFA RPVRTQQDVEQLAVPDMNQLRYVFDAVSQIRQSLNGSVPLIGFAGSPWTVTCYMVEGR GSTDYRQIKTMMYAQPAMLHRILEVTAQATAQYLNEQIRAGAQAVMIFDSWGGVLADG LYQQFSLAYITQVISQLNRTQDGVVVPVIAFTKGGGLWLEDIVSSGCDAIGLDWTVNL KQARERVGDRVALQGNIDPMALFGTESAIRHEVRRVIDDFGPVTNGGHIFNLGHGISQ FTPPQNVSILVDEVHSYSSGKHG MIM_RS03940 MQVSWVRVVLDIPRQDAFDYRCEHPVQIGQRVIVPFGSRQLIGV VIELLPAPALDPDQIRDVEQVLDDLPAFSASWLALAQFASRYYQRSLGEVILPVLPNG LRKPTAYLGKRSAGGPVARADRKKRSAPATVVPSPIVLNAQQQQAVQQICESDGYAAY LLHGVTGSGKTEVYLHAALTFLQRGQQILMLVPEINLTPQLETAVRARFADLVAPEEI AVFHSGLADGERLKAWADAQRGKLKVLLGTRMAIFAPLDNPGLIIVDEEHDASYKQQE GLRYSARDLAVWRAHQAGIPLILGSATPSLETWHHALSGRYTRLSLEQRAKTRFLPDI RLVDTRKAKLNQGFEPGVLEAIRTRLERGEQSMVFLNRRGYAPVMHCAACGWVSQCPR CSVHTVLHRTPGSGYQLQCHHCGFQSRVPSICPDCGNQDLQPLGRGTQRVEEFLQDIF PAARIQRIDADSTRLKGSALRLFDEVHTGNIDILVGTQMVAKGHDFKRLGLVAVLNAD SMLFSADFRAPERLFAQLLQVAGRAGRHAEGGEVLIQTGYPDHTVYQTLLNNDFPAFA DDLLAERQDTGLPPFTFQALITAEAKSVQRALAFLQEIREQAATVLADEGEPDAVCLY DPVPLRILRVAHVERAQLLIESASRPALQRFLQQWLPQVQAIGQKQRMRYFIEVDPLE I MIM_RS03945 MSNALQSMNPAQREAVLYLNGPCLVLAGAGSGKTRVITQKIAYL INECGYDARGIIALTFTNKAAREMAERIQQSVEKKRLKGLTISTFHALGVRFLREEAV LAGLKPGFSILDSTDALGVIQELLATTDKGRLRSVQQQISLWKNALITPDDSEKIAQT PDEMDAARVYRSYDATLRAYQSVDFDDLIVMPATLMSTNDELRERWQRRCRYMLLDEY QDTNVCQYQWVRSLTGLRDMFTAVGDDDQAIYAWRGATIENLAKLPQDYPALRIIKLE QNYRSVATVLDAANQVISRNPNLFGKKLWSTLGQGEPIQVVVMDNEEAEAESVGMRIS ASRFERNARWKDYAVLYRGNHQGRLFEQTFRELRIPYVIAGGQSFFDKAEVRDIIAYL RIVANEEDDPAFIRAVTTPKRGVGQASLTALGDTATQLNCSLFDAVHDELAATRIAPR QLEPLKVFVDFIRNIQWRSGRGRTDGGAATPAEGATELLDELMKYIDYERYLYDTQEE RAAQSRWQNVLELTNWLKRKAQEDGLTLAQLVQHIALITMLERSEDDEDPDAVRLTTI HASKGLEYPYVHMVGVEEGLLPHFGKDDEYGDANKDAEALEVRIQEERRLMYVGITRA QFHLTLTWCKKRRKAREDLIREPSRFISEMGLETGVKTVVDPFSGMTPKERLVRLKEM LQKKG MIM_RS03950 MTQRMAPLANIRVLDLTRVLAGPWCTQNLADLGADVIKVERPGS GDDTRGWGPPYLKDQHGEDTSEAAYYLSANRNKRSLALDFTTDAGREVILALAAKADI VVENYKVGGLKKYGLDYASLKQINPRLIYCSITGFGQTGPYATRPGYDYIIQGMGGLM SITGEHDDLPGGGPQKAGVAVADLMTGMYASVAILAALNERHSSGLGQYIDMALLDCQ VAMLANQNLNYMTSGKAPKRAGNAHQNLVPYQVFAVEDGHLILAVGNDTQFAAFCRLI NMPELAEDERYRKNAGRVMNRDSLIPLLASVMKTRKRDVWLADLEASNIPAGPINTID QVYADPQVIARQLKLELPHPAAGATPMTASPLRFSDTPIQYRHAPPMLGQHSEEILRE ELGWSDEQIAALLGK MIM_RS03955 MSDQTEHHEEHDEHSSPIKTPKQLIVVVVLAFILPVLIIILLVN VVSSSTSSGAGSDSLSEEAVATRIAPVAKYNTAPVIVAAADAGPKKLKTGEEVYKSLC MGCHDTGVAGAPKFGDNGAWAPRLQAGQAEVVKIAIHGLNGMPPKGGDPSLSDLEVER AVVYIANHSGGSFKEPAAPEGDGAAPAEGAAPAEGAAPAEGAAPAEGAAPAEGAAPAE GAAPAEGAAPAEGAAPPEGAAPAEGSAPAEGAAPAEGAAPAAAAGAAAGAAAAAPAND KLAEGEALYKQICFACHDAGVAGAPKFGDKAAWDARIATGMDKLYDAALHGLNAMPPK GGSSASDEVVKAAVDYMVKAVK MIM_RS03975 MNRRYFLAAAGAAVMTIMAGCDQPESKFAGYWQDAGTNKAAKFP VLLHIRPNGDSFIVTITIWEGPFGRGYEAKEFPATRGTSSDELKVSNTPLAFTYDAAT DLLLVAGDKSRIERISKEDYQAALDSGKAEYERRKARKKEAQKAK MIM_RS03980 MHATGSSLIQQSATLIFLPNPKVKRETYIKDFGLTPVEFELLQQ LGERSHKFLVEQGSNVTVAHLDLTNCEDELLVFSGSQDMAEIAENAVR MIM_RS03985 MLQKDQWMSIHVLKAQGLSQREISRRLGISRNTVSRYLALPETL RYKPREARPTKLEPFHAYIVQRMQAAEPEQIAAPALLRELKAQGYQGQLRSVQAFMQL HRPTPAPDPVVRFETPPGRQMQCDFVVFRRGSHPLYAFTATLGYSRWRWVRFASNERA STLVACHHALFETLGGVPREILYDNAKTIVVERDAYGLGHHRWHSGMLDLAKCYGFMP RLCQPYRARTKGKVERFHRYLRGNFYVPLSSWLKQSGLTLDVETANAEVAKWLRDVAN QHIHPVTHKAPAVLFEELERAALLPLPAFSRTQQPLTSLGQCALSEPFASLQHPLSVY QQLLTEVRA MIM_RS23580 MNLQHERIDTHCQALKLEGLMQRYRELAAEATSKDWSFLDYLEQ SLASERDLRQVRSRQTNAFLNDRFIVISSHLALIRVFLTSFPVFPGQDLINPHLRNWI SY MIM_RS03995 MVKFSDQIYQQKLKKIKRELNKFLSRTDDKLFLQFMWSINTLQT EFRGNVKRYLSFPRSAYGAPLGSDYALPKWEIETLLTLLFTTDKRPKIQINYDNFETI VPVINNLRKLENHENRLTCTEDKIFYELHRIGQRQFGWQRGFHSSERLYRYVFIYGQG SCATNFENKYGFTIEQFLKVSCVLYTQLSNSPWIKPIEIPVLDVTQELIDKTLSLISL PLSDLRVDAQTLIKKTIEGGRIPTAYLPGSLRKFPIIRSPQDQNILISPLSQLIMYRA TVGLYYDMINSAPSKKDADQAITEANDRFEQYVGMVIEKFCPRFKAFPSEQYGPKKAR IDTPDVLLIDNEEVTTVFECKATKLTFEAQFSEHPFETSDKAYAQIVKAVTQLWRFFS HVRIGKYTERKISDTANAVVLTIDSWMQMSHALQGRVFAQAKKVLEDDPNVLDVDMRP IIFCSIQDLVDVMYISDEDNLLKTFANAALPKYAGWRIVEIRRDFGIDVQKKFPLNVE EVLPWWNVINSSR MIM_RS04000 MLVFFPKWEGNTVTLLLKITMSTPEDPDSLSKNNQLKKLSTVAR IGAAIEKTKPISEMLRPMSVGIRPVSETLRETCAFSDALKSISTVSESFRKAAIMSDR LRVPSLFSEHIDPISKQFRIGLIAEQVALGQMSRSLRLGDVAKLMRSSHIERLGAMGS VTRSIGTQHINSLFEPYRKKQKEIQDILKPQLALQSRYRAVLEPLAQTSFQAAVGRIL ASELRNNRSIVDALERFNPRLSDEEMASVHLEDDGEFSVAGEVVTVEALDQALKQLEI QPSTDDQDFIDQFFKIFARLTKEVKTFLHLLLLTICANQLAPLLERWAEEFANTTPRV AVKAIKQEAKAFYEPGMVSNYRFVTVSLLNVRKSNSSRSEKIDTLSLGKTVTIVTKLK DWTLVEYLDDEGDMREGWVFSRYLHKLVK MIM_RS04005 MTYALIDGNCFYCSCERVFRPSLKGKALISLSNNDGCVISRTDE AKALGIKMGQPWFEIKHLEEKGLIGLSSNFALYGDMSDRMMSIIGRFSPCQEIYSIDE SFADFSGIAEDLTAYSQKIRAAVLRETGIPTCVGIGATKTLAKLANHIAKKQPSWSGV CDLTQLDRYQLADMMKNIEVGEVWGIGRRIARRLGELGIYTVFDLARMRPEAARAEFS VVVGKTIQELRGISRIDLEELPDPKKQIISSRSFGLPMTDRAGLQSALSEFVAIACNK LRQQNSVAASMQIFIRTSPFDKGKQYGNSRLVTPPCPSADNLVFTKYALRALETIWRP GIKYKKAGVMLMDISPADTVQGELFPVEPEHNNRAQLMVALDGINARYGRGSVKTGSI GFHDREHWYMRQELISQGYTTSWSEVLIARA MIM_RS04010 MKTYLDLIPLVQTSTSVGLIASKTPAGFPSPAQDLAVNRIDIGE ILVKHPTATYYMCVKGNSMAEAGIDDGDHLIVDRSLTAKHNSIVIAEIDGDVTVKRLH KKNGMIRLKAANLTYPDIVPVPGQEWMIWGVVTHVIKDLL MIM_RS04015 MIVTIAFEVKNYVEVMESWPIKIGNTTFFLDRDGDVVKKVCLSY ANVGIENAPTFTNSPEIGARAKINISCGEYSMLAIQQILSWQAVVSGVQVFDLDLDNY ELRFRPESIEEQKKIPIKSFRHSRDNAQGSTCDFEQIGRAFCVGHIEDSRIESVSHYR EGRLAYKAGRYIDSYNNMFLFLESRYCDGKTKTGQQVELLSSNKIVCESLKDTISDMR NLDVATSKHLHGVFENKGNLRESIHTLVLLRGKLRHHSLKSPQRWDPNKQNEYEMPAR FLGAVVGYITSTESLNEIYAPEPVKQFRDISVNSGFETKIRVLTNRLEYKPSLELSLS YPTIFMSSQVSLNAVRRAIDSCDNGSQLADTVKLEAIHSQTDLEVFIVELGLWAYTKS RILSAETAVNHIRCSFEHFHASTVVKHEFSFLIEEKQINIACAWRLLIDCFDWIEKKD PTTRILSLKFFLNSERRPIVSYRVGAQIKK MIM_RS04020 MKDKFVPLERHFSLIRKSESDQDDQEVGWVGLEPNPITWEDLKQ EFRCVILAEAGAGKSFEMEAQANLTKKRGQVAFFIRIEDIEDDFENAFEVGSATAFES WLGSKEDAWFFLDSVDEARLEAPHNFEKAIKRFARRIKPAYQRAHIFISSRPYAWRAR SDRELLERYIPYIKPTVEEVEKDRILEKVDDGQKNESQSALQVYLLDPLDESGIRLFA EHRGTPEIDKLIADLQRANLIAMAARPFDLEGILAKWALDQTLDGKYGLIQHNIDCWL DEIDPNRALRQPINREKARRGARLLAAAVVLTGEPGICVPDSVQPNKGIDAKTVLGDW EPTDVQALLERGIFNDALYGIVRFRHREVRDLLAAEWFCEQLKTGGSRNTIESWFLRE QYGHLVVTPRLRPILPWLILLDGELQEKVLKMSPEIAVEGGDAAYLPVAVRKSILTDI VNRIANGDDERSVRDNSAIARIAQPDLSNDVLQLLLKYRNCDDAIFFLGRLVWQGEMR ECVPTLIGIAIDHKRGIYARVAAIRAIMTCGEDIDIDNMMVELKRSVDAFPRQVLAEV LNNATPHMNSVKFLIEKIDKMEPYKRFESTGLSHALHGFIDRLTVDDSIAGPQPLETI VSGLNIFLCQTPHIGRGESHVSKKFLWLLGPAVHAVERLVSMRSEFALSSEALRIMLM VPAARFWQVEAFDEYKSNLYKAVPDWKRLNDALFWQSIEEARISRLEKNKFERLINVC SVQMLGHFWNFGIDRFWDVLGFVANRGFLDDKLVALSLAHRIYMLAEEPDDWLLELKR TVDGCANLSDFLEQILSPTTHPSILEFEEQEAIREEIRRNKKQELELSRKKWVKRLKA APDIVRHPLTMKEGAISKDQYCLLREIDDSTIKTSRSGTANWRALIPEFGEEVALAYR DAAVSFWRNYTPGLGSDGDNIRSVPYALTFAMSGLEIEANEDDRFPANLIEADIRHAL RYIVWELNGFPRWLEHLHRAAPTLVIEAICTEMEWELANSEPDQPMHYILHDLVYYAP WVHQHLIFPIFTWLGVNEIRNLSVLSHCIHILQSADVDGNTVLKLAKSKIAHPAPKNQ LAIWYALWVDREPESGIFAVEEWLSSLKKEEASLEAQLFITELMGTGRSFNSRPYSGN FRNVKDLKALYILMHRFIPAISDVQRAGTGVYSPGLRDNAQDGRNALFNLLSEIPGKE TYVALKQLEKDHPDVEYRSWMRKRAYKRAEQDADIEPWSIQQIRDYGQSQISTPLTHR QLFELSVSRLNDLKLWIEQGNDSPYKTWQRVEGETEMRNLVAGWLNGQSLGRYTCAQE NEMPNKQRPDIWVQNPNVSSPVPIELKLLDKSWTGPSLCERLRNQLAGDYLREETAGR GVMLLIWQGKSKALRWKIENRFVTLSDLKDSLKSYWDSVAHDFSGVVAIEVILIDLTV RDKKSTG MIM_RS04025 MPADQQFLNALTDLWNIPAPGPKNILSIPEFGALNELCAQRYSD GKITIGGKFALGRALRSLGLPCWQAPNTNCQSLDLQSVADSLVDAFSRKTVIRRHICP LDLAVNFPSVSFGNARIENFTKEGLEGLFDSRKLALWFPTLPFESEKLAQFNWLVVEE EITVHLDNGVRTFPFFPDESDIDRGDIEPHAGRVPKAVEDALFFILQLPWEQWEASAS IDWRGFRMPWVYTQDDDLFVFPSPPPRPESLSFEPAIYEDENGEEIETERPITLWLAA SEVTEIKSLTHEAWQKLRNARTTELFETPVVHFLVRAFLANGIDEFMAHLIVIEAAFG IHGDPKLDLLKKMRIKPSLHVAFRLSAALGENVVCDYDDLFKLRSRFIHGRSGLEPIS LHKRILARRLARLASCKLVSLALTDNRRREKILRDLLSKGINNFHSELK MIM_RS04030 MHFQSMCLIQYAYRSIIAVVLLLCTPAYADFQGKVINVIDGDTI DVLVNLQAIRVRLADIDAPELGQPFSQRARRRLSGMILHQKVEIIETGTDRYGRTLGT VYAKSNDPGQIAQLTNINAVMVKGGTAWANRYKGELANPQMYVLENEARRQRRGLWSD PNAQEPWEWRRKSKNR MIM_RS04035 MARIELKVPFAEKDEAKRLGARWDSGRKVWYVPDGIDASAFSRW LPREPDITVRSGSYFIAQTTKICWRCSKSTSVFGFILPAGHESLEYDNEDEEPDTWYR HEEPTIVHYVDNLLPAIAARIKALTPHYRIDFSKTTKSSYWMNHCEHCGMKQGDFEMY CDPGGTFYPMDEDDASLILLHKFAEPFGCTGSRMYGDFPFEYMRGARL MIM_RS04040 MTESVVDSIYGAKMASTESLIALAAEGSDFARQELRHRLPNHVD ELLRIYLAAYPGAGQSDAAVAALEEIKRTVGTSND MIM_RS04045 MYQEGSVQALGYDDMNEAQPLYVRSPRGRYRLATDSQVLAAARI AAESLIACGSQFNAPGRVKAFFQAKLCGLGHECLAVVYLNAQLKVIKYIERSHGTLSQ ASEYPREIVKTALRLNAAGLIMSHNHPSGIAEPSATDLSLTRHLKQALDLIEVRLIDH IIVAGNTAISLAERAQI MIM_RS04050 MIEVIKSDVFDRWLNSLKDRQARARVQTRIRRLSLGNPGDVKPV GGGVSEMRIDYGPGYRLYYMQRGPVVVVLLCGGDKRTQSRDIAHAKVIATQWKE MIM_RS04055 MDVKFSRYDTADYLKSEEDIVAYLDAVMEDGEPGLIAAALGDVA RARNLSQLARDVGMSRQGLDKALSGEGNPSLATVLKVAQALGLRLSWKVDNASHSTGV MIM_RS04060 MAAQTSMLHIRVDEKLKADATEKLANVGLSVSDAVRIFLTRVTK EGGLPAGLATDPEAHDKWFRAKVHEALTDNRPSVAHQQVMDEIQALIDRKRGVRH MIM_RS04070 MIQKKLDFRSHAFRSVLSFTLSHWRKQPLRVTVIAGLVLLSAVA DVLTPLYAGRLIDAVASNVHSTDIAWDAAIFAFWVLTALGLSGTLCRELTFLGITALT LKMMSEIASDAFHRVQRFSTDWHANSFAGSTVRKITRGMWAIDLLNDTLLVALLPSIV MLVGSTLLLSIQWPVMGLVVGLGSVIYIGVTIFLSLAYVAPAASLANTWDTRMGGALA DAISCNSVVKAFGAETREEDRLRRVIDKWRRRTRRTWIRGTINGGVQGFLLVVLQTAI LGVALYLWGRNEASVGDIAFALTMFFMLQGYLRDVGMHIRNLQRAVNDMEELVGLEAQ AIGVDDREQSMPIIIRDGQIRFENVSFRYGDHVTPLFAHFSMTIAAGERIGLVGQSGS GKSTFVKLIQRLYDLNQGRICIDGQDIAHATQASLRNQIAIVQQEPILFHRSLAENIA YARPDASQAEIEMAARLASAHDFIVSLPKGYATLVGERGVKLSGGERQRIAIARAFLA DCPILILDEATASLDSESEVLIQQAMERLMVGRTTIVIAHRLSTVRTLDRLLVLEKGR VIEEGRHEALIRLEGGLYRRLFERQALELTKGFREQTPPRASAAEVS MIM_RS04075 MMSNRIKGVLSPVVTPFAEDSTVDLARFTTQCKWLQANQIGLAF LGTNSEANSISPDERIAMIDAVIDAGLDPSGMMPGTGSCDLPTTVRLTRHAVDRGCAG VLMLPPFYYKGVSDEGLYRYFSEVIQQVGDERLNIYLYHIPPVAQVSITLPLIERLLK SYPSVVAGVKDSSGDWNNTQAMLTHFAPEGFDVFAGSETFLLRTLRGGGAGCISATAN VNAPAIHALYKSWQEPDADSQQQALDKIRQIFQQYPMIPALKSAIAHWSTTSSWTRVR PPLVELDSQQHQGLIQQLEAAGFSITGLET MIM_RS04080 MSKIQFAIATATLAAASVPGFACAQDAWPNKAITYVVPFPAGSN TDVLGRIIADELSKRLKVPVVIENKPGATGMIGSTSVARAKADGYTIMGGSIASHAIN AGLFPNMQYDPAGDFTPITIIGFNANTLVVSNNSPFSDVKAIIAAAKDKPDSISYASS GIGTTQHLSGVLFGQQANIRLVHIPYGGKAALPDVMGGQVDMMFEGPTVIPHVQQKTV RALAVTSKTRLASLPDVPTMQELGLPEYEMRSWQAIFAPKGTPEPIVEKLYSNIKAIL DQPHIQQKLDTMGVEPSGMPPAEFAAFQKEEIEKWRAVIKRANIKVQ MIM_RS04085 MPIIESIQVCAPVVPLDKVTSFSNRTVSARHYGLVKVTSTDGVT GIGFCYVGSAGGPIFSQAVSQLLAPVLLGQDAYAVEGLWQKMYQESLLQGRAGTVMRA LSALDIALWDLNARTHQLPLHKYLGAVALEQVPAYASGGYYLDGKTPGHLGEEMASYV AKGFKAVKMKSGRLSPKEEEQRLKAAREAIGPDVELMMDMNNAWYDTTQAMQYVRRFE QYDPYFIEEPFSPDDLENHARLARMTHIPIATAEIGYGRWYHKSLMDQGAAAILQTDA AVCGGITEWRRIAQTAASYGLVMCPHWFHDLHAPLVASTPNARYVEYFWDDQVLNFRR LVDRQLSHKDGHVILHQEPGLGFEFDEKYLASLTQWESIKKQ MIM_RS04090 MDTRFLESFVQVVELGSVAAAARQLDLTPTAVSLRLRALEADVG TALVKRVGRTMMPTAAGSKALRQAKLLLAEVRNFNSLASNTELPAGPLTLGATPSVVK GMLPGMLRKWLGKYEDTKILIEPAASTVLYQRLLQGELDAAILVHPLFELPKTVLWKP LRKEPLILLAPARLQGEDPFSILRKYPFIRYDRRVVAGKMADDYLKLHGVYPEPWLEL DGLDYISDLVKADLGVSVVPDWSYGNQYDPGLIRHRLPAPVPTRNLGIMWLRSNVREK LIHAFLALAD MIM_RS04095 MNPTYKSIWCERTGNYINSNNQHILIVSVCQSSLSALYDSLEAQ GFHLSIAQNNVKGYECAATQCPNLIVLDFNLTESRNRFELFDILRSSLLTKYIPIIIL SKSSDLQTRLHALQHGAVDFIYGQYTIEEIVARMNIHLSYARQKGHQTLPLQKENREA TINRIKLYLSEHLNDPPTLDQLASELGVHKKKLARVFQAHMHETIYEYLSRLRLDYSQ VLLKETQLSITDIAEEIGFTSLSAFTSSFRKQYGMPPTVFRKTMS MIM_RS04100 MPFQNFAVKALLPALFTGGIALFPPIALAAGELTTAECTFSWGN DNGGTITIKGEGTSTTTTQVCAEALQGIVDNPLEYFSVNSTGGGNVNNDGAAAPDGIA IGKNASVSIGATNGVALGLGATTTTPNGIALGAGSVANTAAGAAPYVPVGASVSTTTA VNNTTSTLGALSAGDPSTGKLRQITGVAAGTQNTDAVNVSQLKAVESLATGAGAGWNL TANNGATTQNIAPGGSADFIGGTNTNVVQTGNQIKVNLNDNVNLAGTLSVTGLSTFTG GAVVGTSLSVNPGATVNMGGNRINNVGAGVADSDAVNVSQLKSQGDDLTAKGLNFVGN DAVPVHKDLGQTLSIVGGAAPVAGTFSSQNVQTINDAGAIRVQIADSPKFGGVVINDA GSGKITGVMDGAVTELSTEAINGSQLFGVQTTANKGWNLTANNGATTQNIAPGGSADF IGGTNTDVVQTGNQIKVNLNDNVNLAGTLSVTGLSTFTGGAVVGTSLSVNPGATVNMG GNRINNVGAGVADSDAVNVSQLKSQGDDLTAKGLNFVGNDALPVHKDLGQTLSIVGGA APVAGTFSSQNVQTINDAGAIRVQMADSPKFGSVVVNDAGSGKITGVADGDVTAVSTD AVNGSQLFGVQTTASKGWNLTANNGATTQNIAPGGSADFIGGTNTNVVQTGNQIKVNL NDSVNLAGTLAVAGLSSFNGGAVIGNSLTVNPGTTVSMGGNQIHNVAAGVADTDAVNV SQLKSQGDDLTAKGLNFVGNDAVPVHKDLGQTLSIVGGAAPVAGTFSSQNVQTINDGG AIRVQMADSPKFGSVVVNDAGSGKITGVMDGAVTEFSTDAVNGSQLFAVQNTAGAGWN LTANNGASSQNIAPGGSADFIGGTNTSVVQTGNQIKVNLNDSVDLAGTLSVGGLSTFN GGAVFNNSLTVNPGTTVSMGGNRINNVGAGVADTDAVNVSQLKSQGDDLTAKGLNFAG NVGNVHRDLGSTMTIRGGLDPLATASNKNIRTEVDAGTGAMNVMLSESPVFGTVTVNN AGSGKITGVADGDVTAVSTDAVNGSQLFGVQTTANKGWNLTANNGATTQNIAPGGSAD FIGGTNTNVVQTGNQIKVNLNDSVDLAGTLSVAGLSMFNGGAVFSNSLAVNPGATVDM GGNRINNVGAGVADMDAVNLSQLKNQGDDLTAKGLNFAGNDALPVHKDLGQTLSIVGG AAPVAGTFSSRNVQTFNDGGVLRIQMADSPRFGDVVINDGGSGKITGVMDGAVTEFST EAVNGSQLFSVQTTANKGWNLTANNGATSQNIAPGASADFIGGTNTNVVQTGNQIKVN LNDSVDLAGTLSVAGLSTFNGGAVFSNSLMVNPGTTVNMGGNRINNVAAGVADTDAVN VSQLKNQDDQLTTKGMNFAGNVGTVHRDLGSTVVIQGGLDPLAMASNKNIRTEVDAET GAMNIMLSESPVFGAVTVNAGGTGKITGVSDGLVASGSKDAVNGGQLFNLQQDIGQLS NLPITFSGNSGSVDRKLGQELKITGEATTAGTYSGANLRTVVQDNEVKVQMAEAPVFQ ELTVAGNTTIGGSLNMSGNKVTNVGAGEISATSQDAINGSQLYNIVGEVKTVGWNLQT NGDMSTKILNNDTVQFNDGNNIKITRDGGNVSIAVDDNPTFTSVNVGGGAFVANAQSV AIRPETEVSMGGNQIHQVANGTAPMDAVNVRQLSSVESSLNSRIRRAEKRAEAGTAAA MAVAGLPQAYLPGKSMVAVAGSVFQGESGVAMGLSTVSGNGKWVLKGSVTSSSRGQVG GTVGVGYQW MIM_RS04105 MRNKIFNFNRPAFVLTGIMPLVLVGCASLSNVKPDGTTDKVVWP DVNRVTFDNKQGIYPTQQSISLIRAGMTRDQIYHLLGRPHFNEGFGTREWDYLMHFPG TGPGDNGVTRCQFKILFDAKKLVRNLYWKPVDNQSASCPPGAAAQTYTLNADALFEFD RSSLSGMTAGRQALTRLAESLASTQGIRLIVVTGHTDRLGSDAYNQRLSKARADTVRS YLIRNGVAADLIHSQGLGKSQPVTQCNGSGAALIRCLAPNRRVEVTVEK MIM_RS04110 MRLAILFSGQGQQSPEHFQALQAMASEETQIQLARQLPDVWQNT TVHCETLGQNRIAQPFIFAFQLQWWQALRPLLPAPVCAAGYSLGELAACCAAGAFDMH EGITLAAQRAASMDDCIQTQAGLIAIMGLPLKNIQSITTASNTHLAIINPDQHHVIGG LVQDLQHAAQLAHEQGAVRIVRLLVQTPSHTVLLKPATLVFKRHLAPYRRKAPLAFKV LSAMDGRSALSVEHALNALAEQISHGMDWGACLQAIRERQPDAVLEIGPGRALARMWN TIYPDIPARSIDDFRSPTGVQHWLEHLPARR MIM_RS04115 MFAELIEAERDFAVASCSTLTPEKIGRLAIQALYREVALAPKPG LVSPMDSGSHTDMDFSTFVRSLQALRSYFPDISHLGTQTPTYAQLQSCGVSAEQRMLL ATNGINTHRGAIFNLGLLCAAAGVEIARTGSCSAESVCQCVANLWGPAILATATTINN SHGAVVQRRYGSGGARAEAAAGFPSAIRIGLPAYRTALARTQSTQLAAVQCFFSLMAE LEDTNLLWRGGRQGLAFARDTATGFLARDGVCAPDWQNQAQALHAEFVARRLSPGGSA DMLGVVLFLGAL MIM_RS04120 MRRHDLAYLYPTADYRLLSTSPDAAAHHALQRWLHAERPLVVAR QAVQAGAQIMLGLTLPPDAHPRRLSVLVPPEAIRAISPPLTLAHCLNRCATNVAKPLC HLLQRCDSYNINVAVYGSLAWEMLSAKIYRHSKSDVDVICDITYVSQLAPCMEALQQA QQAMPCSLDGEIRFADGPAVNWKELSRALQQPSLRVLVKENTGVAMLSPAELLSRLRE HDHVC MIM_RS04125 MNLPTILDALFPTGHQISINGHVLAGTANTASGSVAVLGTTDAA AIDHEIALFLAQHILATIQAHPRRPVIFLVDTQGQALSRAEELLCLNGSLAHLAACVD LARRLGHVSLSLVTGEAVSGGYLSFGLMADRAYALASAQVRVMDLKAMARVTKIDHAK LQELAVSAPTFAPGADNYQRMGAIEEIWPTPSASLLDSALAALLKAPGSSDRRMQAAQ DRTGRLEAARIVDQILAA MIM_RS04130 MTTENPSALSLHHSYFEATARQRLSWILDEGSLRELMPPTESSP SPHLAALDIPGAFDDGVVIGEGALDNKQVFVISQEGQFMGGSVGEIHGAKIVGLLRRA IRDKPAAVLFLVDSGGVRLHEANAGLIAISEIMRAILDTRAAGIPVVALVGGSCGAFG GMGIVTCLCSAIVMSEEGRLALSGPEVIETVKGVEAFDSRDRALVWRVSGGKHRYLMN DCAALVEDDPLAFRDATRKLLAENTYAIPDMAAQMQEQSRLQARMEQFKDMNDGLQVW QALGVKTPSEISMMSCSDLIQLRKELYK MIM_RS04135 MEKLEYHFSTPAIKPANDAVLCGVVGSGNLEVLVRPANTATSCT IEIKTSARGFGDIWQAVLAEFVSHHDVGGTHIAINDMGATPAVVTLRLGQAISQYTGG SR MIM_RS04140 MNHLGTSKLWDTKKRGRQRRLARAASLRLDAQITPEQIVPLLQA VIEPGDRVCLEGNNQKQADFLADSLAACDPQQLHHLHMVQSVLALPSHLDLFENGIAS RLDFSFSGPQGGRLARLVQDKQIEIGAIHTYLELFGRYFTDLTPNVCLIAAQAADAAG NLYTGPNTEDTPAIVEATAFRSGIVIAQVNERVDTLPRVDIPADWVDFTVVAPRPNYI EPLFTRDPAQITEVQILMAMMAIKGIYAEYGVQRLNHGIGFDTAAIELLLPTYATDLG LKGKICTHWALNPHPTLIPAIESGCVESIHSFGSEVGMENYIAARPDVFFTGRDGSMR SNRAFSQTAGLYACDMFIGSTLQIDLAGNSSTATTGRITGFGGAPNMGSDPHGRRHAS AAWLKAGREAGGPDAIRGRKLVVQTVETFREHMTPVFVEELDAWQLKATMEAELPPIM IYGDDVTHIVTEEGIANLLLCRSAQERAQAIRGVAGFTPVGMARDRRMVENLRDRGVV RRPEDLGIDPRLATRDMLAARSVKDLVKWSGDLYTPPSRFRNW MIM_RS04145 MDMLKQAIMQNNLIAAFAFVGLIIWISGHLSRRLTNGRVHGSAI AIVIGLILAYWGGVETGGTKGVADIKLFAGIGLMGGAMLRDFAIVATAFDVQVEEVKK AGLIGMVSLMLGIVLPFVVGASVAYAFGYRDPVSMATIGAGAMTYIVGPVTGAAIGAS SDVIALSIGVGLIKAILVMVFTPATARFMRLDNPRSAMVFGGMAGTTSGVAAGLAATD PRLVPYGALTATFYTGLGCLMGPSVLFLATRALFG MIM_RS04150 MIIYGTAILAACHLLGVYVGDLLGMLIGVKANVGGVGISMMLLI AARSYLHKKGLMPATTLAGVGFWSAMYIPIVIAMASQQNVVAALKGGPVAILGAILTV VLTVVVIALINRSGPENAGATWQASLASDQA MIM_RS04155 MAIDESITLKKLEVFLAFMERNNLARVAEDLGQSVVSVHRALHS LEQAIGCPLFKLEGRNLVPQDTARRLAESARRVVAECEDGIHAVRDMAGINASRLRVG AIYSLTLHCIPHLIVGTKLRKDGLNIELTLGSNDELLQKLQDGRLDAIVIGVHDGIDS KRLITVPLFSDEMFLAVPLDSPYASRSEVDLHELANENFVTLADGFITSYSFNQMMEN AGISPVIGMHVGDIFSLINLVSNGMGISLLPGRIASFTPRIKLISLRPGHTYSQHIAL LFLNSREQDRNLLALAAEGRTYSRRLLQGGDFPMLGEAE MIM_RS04160 MSMAVFFSACAMPLAANAESVLTIAMTAADIPRTSGQPDQGFEG NRFTGIPMYDALVEWDLSSDKGATPLTQGLATSWTVDEADKTKWIFKLRPNVLFHDGS AFNADAVVWNVAKVLDKSAPQYDPAQVGATVSRMPTLKAAKVIDPMTVEITTTEPDAF LPYNLTNLFIASPVQWQKKLDAVPASVKDPLERSKQAWNAFASEASGTGPFKLSRLVP RSRLEITKNDHYWNPARVPKLDKVVLIPMPEASARTAALMSGQVDWIEAPAPDALEPI KARGNTIYTNVQPHIWPWQFSMVEGSPWADKRLRQAANLCVDREGMKGMLGGLMAPAQ GVVAKSSPWWGNPTFDIKYDPDASRKLMQEAGFSASKPVKVKVQISASGSGQMQPLPM NEYIQQNLKECFFDVEFDVVEWNTLFNSWRLGAKDPSAHGAQATNVSAATMDPFFAMV RFVSSKALPPVSNNWGYVIDDTFDEYIREARNSFDPAQRDVALAKLHTRMVDEAVFLY VAHDVGPRAISNKVKGVVQPQSWFIDIATMSKE MIM_RS04165 MMYFLRRLVYSLPVLLGVAFLCFSLIHIAPGDPLVSVMPPDASE ALRSQMMSIYGFDQPFLVQFWNWLWRALHGDLGMSIATSRPVATEVLAAVGNSLRLAV IAVLIGFSLGVVFGMISGYARHSIWDRLASLSSILGVSIPHYWLGMVLVIIFSVFLNW FPATGSAAGDGTGWRWDWEHVQYMILPAITMSVIPMGIVARTIRALVSDILSREFMVG LQARGLGRGRMFMHLVKNVAPTALSVMGLQLGYLLGGSILIETVFSWPGAGFLLNSAV FQRDFPLLQGTILIMAIFFVLLNLLVDTIQTWLDPRIQR MIM_RS04170 MTDYSLATNADLSLVQAPRSAGYWSGVLRNLRRNRGAMFAAGLL LLLLLMAILAPWIMPADPYKSSIIHRLKPIGTENYFLGTDELGRDMLSRLILGARLSL FMGITPVIIAFAIGGAIGIVAGYAGGRTNAVIMRLTDVLYAFPSVLLAIALSGTLGAG TGNALLSLTVVFIPQIIRVAESVTTQMRTQEFVDAARISGASPVRIVFSQVLNNVLGP IFVFSTSLISVSMILASGLSFLGLGVKPPEPEWGLMLNTLRTAIYTQPVIAALPGLMI FITSISFNVFSDGLRQAMDIKE MIM_RS04175 MTTTTLAQTQDVGGPAQPLLIVKNLLKHFPLKNTFFRRNAAAVQ AVDGISFDVMKNETLGIVGESGCGKSTTARLLMQLLQQDRGELIFDGQTVGTSQLPMK SFRRQVQMVFQDSYSSLNPRMTILDTIAFAPIVHGVPPRQAEREALELLANVGLDPQR FAGRYPHELSGGQRQRVNIARAIALKPRLIILDEAVSALDKSVEAQVLNLLSDLKKKY NLTFVFISHDLSVIEYLCDRVLVMYLGKVVELGSTDQVLSTPAHPYTQALLASVPTTD PDHRTEKAPLAGDPPNPIEPPSGCRFHPRCSQMIAQCKSNMPLLLAHRPGHEVACIRV NDRIGQSVDTARQASADMSI MIM_RS04180 MKEQHSSASVADDRADAAISVTDLTVAFERAGKTIRAVNGVSFD VAKGETLVLIGESGSGKSVTLRALMRLHPERQTRQTGSIRINRQDVLKMDRRQLRALR GKKIAMVFQEPLLALDPVYTVGQQMIECIRTHHQISAQQARALAIAALEAVRIPSPER RLAAYPHEMSGGMRQRAMIALALSAQPEVLLADEPTTALDATVQIQVLILMRELQKKL GLAIVFVTHDIGAATEMADRVAVMYGGRIVEEGSIGDILHHAQHPYTLALLKSRRHGM ERGKPLDVIRGSPPDLANLPPGCPFAARCDQVMAHCATSVPPTTRISQSHRVQCHLAA A MIM_RS04185 MDMNTHSSVGQINGARLWDSLMTLARIGGTEKGGVNRLALTELD KEGRNLFVQWATEIGCTIRVDELGNIFARREGTDPQLPPVMTGSHIDTQPTGGKFDGN YGVLAGLEVLRTLNDLQIKTLAPLEVVVWTNEEGSRFVPVMMGSGVYAGAFSAQTALQ STDTKGISVAAALADIGYDGAIPCRATPVKSYFEAHIEQGPVLEQRSHTIGVVTGALG QRWFDVQVQGVESHAGTTPMALRRDALLPAMRIMNEINEIGCRYGEDGRGTVGWVDVY PNSRNVIPGRVRFSVDLRALTDDDLSAMKADFQRYCDAMAGKYEVQIQVEEVVYFPPQ AFAQTQVNAVENNAARLGYPHSRLVSGAGHDAVYLARICPAAMIFIPCKDGISHNEIE DALPEHVEAGCNVLLHCMLGEAGVA MIM_RS04190 MMEQYAFASITELARSLALSNVTAESLTTFFLNRIANLNPVLHA FVCVFPEQALAQARQSDERRQQGKALGMLDGIPLGIKDLCDIEGTITTCGSRQWETRI SSGTADVVQRLQAHGAVIIGKTHTVEFAFGGWGTNPLQGTPRNPWDRNLHRVPGGSSS GSGVAVAAGLVPGALGTDTGGSVRIPAALNGITGLKTTVGLVNADGVFPLSRKLDSVG PMTRTADDAALLLQALTHAPGQEVQSVMYPGCDQADQLAGMRICVLPEEDYGVPVQRA VRLGLRDMARMVDMAGATIIREAPPFNFQQAMSDCGKLIAAEGWRVHRDYIQDPDMLF GPFVRDRLMSGKSISDMQYQDLLEAHQKMQAVWTQWMADKDALMLPTTPSSAIPLAQV DESVTPLGTFTRFVNWVKGCALALPAGFDQTNLPVSVQLTGKAGDEAKLLHIGSTIQS LTAWHSYTPVVG MIM_RS04195 MKKTLLAAALTVGFAGVAHAETSVTLYGIVDAGIGYQQTKVTQG DAWTKTRDIGLINGVRNGNRWGLKGAEDLGNGTSAIFQLESGFDLGNGKSLQGNRLFG RYAYVGLTSANWGTLTLGRQNNVASDTVSSLNPFGVGYNQAGVLSGAFGASTYARMDN SIKYVTPDFSGFKFGIGYSGKNTKTTSSDGIDDFEQRDTSNWITTGLSYDNGPVSIGA SYDRFRTDVRVANADDQKGTTHMWNLFGAYDFDVVKLYLGYGQVRGSMDNDVIVASGV GSTGLTGALNDFTSDANGFNYAETNGYRQQAWVVGVSAPVGDSGKIMASYQGSSTKNN DDDFDGVKGKLSIFSLGYEHKLSKRTTVYALASYGTGKLKFDNAENVKLKSTLVGVGL NHRF MIM_RS04200 MAESASASQQNQPLQIDDITVIDDTKLKKAVTAAALGNAMEWFD FGVYGFVAYALGKVFFPDASPAVQTIAALGTFSVPFLVRPLGGVFFGVMGDRFGRQKV LSLTIIIMAISTFCIGLIPSYATIGLWAPILLLLCKLAQGFSVGGEYTGAAVFVAEYA PDRRRGFLGSWLDFGSIAGFVLGAGLVVLLQTILKEPTFLEWGWRVPFFVAGPLGLLG LYLRHAAEETPAFTQQLEKMEKEDKDAIKEKPGVSFREIFSKYRKALLISIGVVLVTN ITYYMLLTYMPTYLSSSLGYTEEHGVLIIVVVMIGMLFVQPVVGFFSDKIGRKPFLRL GSIGLLLLAVPSYHFIGSDNTGLIFLGLLFIAIVLNCMTGVMASTLPALFPTRIRYSA LASSFNVAIIVAGLTPTIAAWLVEETGNLMMPAYYLMVAAVIGIITSFYLPETANKPL RGDTPTASNRREAKALLKEAYENIEQTVADVDEEITAMEEQLEALKARRQRLVDRHPH ME MIM_RS04205 MKKSIVCSAILLAFAHGPAQAFSVPLRVSNALSACVNIHITDTS IHNNMVLASTTFQLNKSIAECGCRSALVGYDSMTSVNTAAQVLQKGLIGVSRSGNKTL VLASEQTLIADREILLQFHCAPDL MIM_RS04210 MNPQSDNFFILTGGPGSGKTTLLSHLAGMGYHCMPEAGRAVIRQ QERLGGAALPWNDKNAFARCMYEHDMAAYRRACAMTGLVFFDRGMIDVIAYLQLEQLP VPKCLQAAASRCRYHKTAFILPPWPQIYRNDHERRQDPPTAIATYKAMMKTYAQYGYT LVQVPCLPVAQRAAFILNEIAFRQTQEG MIM_RS04215 MKKISFVLAALCATLIGGAYAQDKPTVQFIATGGTIAMKIDPVK KAPVPAISGDDLLATVPGVAELAKIEVNNLSNVPSDYMDPPRWVELSKAVSAALQRPE VSGVIVSHGTDTLEETAFWLDLTVQSDKPIVLIGAQRNASSSDFDGPRNLLNAVRIAV DEQAKGKGTMLAMNNQINAARHVTKTHTANVETFQSGDYGFLGEVYPDRVLFAREPLR RQHIPLSAETMPKVEIIAMYGGADGSLLRSAVDQGAKGIVVQALGMGNMNKPMFDAVK YALEKQIPVVISTRVYNGRVMANYGFEGGGKTTADAGAIMAGNLSPQKARILLMLLLQ SGKSGKEDLQSAFDAM MIM_RS04220 MKRKNASDFHPAVLKLFDAYVHGGISRRQFLDRSARYAAGGITA SAILSSLSPNFAWAQQIAPDDKRIKTGYVEYPSPQGNGTMKGYLAQPADATGKLPGVV VIHENRGLNPYIEDVARRLAVENYVAFAPDALTPAGGYPGDEDKARELFAKLDPAKRT EDMVAAAQFLKGHEAVNGRIGAVGFCYGGGVANMLAVRIPELAAAVPFYGGQPAAADV PKINAPLLIHYAGLDERINAGWPDYEAALKANNKKYEMHMYDGVNHGFHNDTTPRYDE AAAKLAWSRTLEFFKSNLGA MIM_RS04225 MNQYPDRLAPLAPETWSADQKQYAEEIINGPRGGLLSPFIPLMR SPELMAHASRMGEYLRYRSALGLRLSELAILITARQWSQQVEWAIHAPIALREGVSAQ TIEAIREGRQPEGLQDDEAILYAFSTELFRNQSVCDHTYERALQQFGEQGVMDLVGIN GYYSLLSMVMNVARTPVPASSAQPLVSMPAV MIM_RS04230 MKDSANSAGRANIADIAKLAKVSTATVDRVLNQRAGVREATVQR VLRAAAELAYLPENDLYAALTPSAMRISFVLPKGTNRFINMLGDTITYSQDSWAPYNV KCKVDYVEGFNPELLAATLKKQAERADGVACMAIEHPLVRDAIEALIDKGKHVITLIT DISNTRRAAYIGLDNRAAGRTAAYLIARFIGSRPARVAMIAGSLSYRAHEEREMGFLY MFKELFPTVEVAGLREGLDSAEENYRLTRQLLEQSPDLAGIYNIGGASDGVAKALKEA GVAHKVVFIGHGLTPDTRALLIDGTMDAVITQNPQSATMNCIRIFANLRDRRPVLSGI DPVQSQIIFRENLP MIM_RS04235 MQKYSRRSMLRTLSAMPLAGIVSALPQVARAAEFSYKYGNNLPL SHPLNIRAREAADEIREKSGGRVDIAIFPNNQLGGDTDMLSQVRSGGIQFFTPSSLVI ATLVPVAAINALGFAFSDYAQVWKAMDGDLGAHVRASIRKIRLYAFDKMWDNGFRQMT SSKGPIQQASDLEDLKIRVPVSPLSISMFKGLGAAPTSLQFSEVYSSLQTKIVDAQEN PLPIIQVAKLYEVQKYCSQTNHIWDGFWFIANGAAWDRLPDDLKAIVADGINGAGMRQ REDIRKLNESVQSDLQAKGLVFNAPSADSFRAALREAGFYKEWQGRFGNEAWSALEAS VGKLV MIM_RS04240 MIQTPTTTVASGPGKRVQVADKTLGRCVELVAVALIVLEVVILF SGVAARYVLHVPLVWTDELASILFLWLSMLGAVIALRRGQHMRMTALIDRVSPERRVL LEGFAASASLAFLLLFLYPAFEYAYEESYIVTPALEISNAWHAAALPVGIALMILTSV FRMVMTDARKQLLTSLVVTLALLAVFWLAQPMLMGLGKFNLVIFFVVVVAACVFSGVP IAFSFALATFGYLALTTQTPMVVMVGRLNEGMSHLILLAVPLFIFLGALIEMTGMARA MIQFLASCLGHVRGGLSYVLVGAMYLVSGISGSKIADMAAITPVLFPEMEKRGAKKGE LVALLSATGAQTETIPPSIVLITIGSVTGVSIAALFTGGILPAVVLGLCLCVVVGWRY RHEDLSKVAKVPRRDIFRFLLIALPAISLPFVIRAAVVEGVATATEVSTIGVVYSILL GLLVYRQFDWKRITPILVETATLSGAIMLIIGCATAMAWALTQSGFSSDLAALMANVP GGSYGFLIISVIAFIILGSILEGIPAIVLFGPLLFPVARALHIHDVHYSIVVIFAMGI GLFAPPFGVGYYGACAIGKVNPSEGMRYIWGYVAALLVGLMIVTFVPWISTGFIK MIM_RS04245 MSTFFGEIRQLGYVVDDIEAAMAYWSNTLGVGPWYYNPRVPIRN YQYDGQTYEPHNSVALANSGFVQVELIQTRNDVPSMYRDFLQAGRTGLQHVAYWTEQY DADLERLLRQGFKPRMSGEVGEQGRFIYFDTEYHPGTVIELSEVAGPKGRLFDLIRAT SEGWDGQQAIRPFPDLDTL MIM_RS04250 MSDTAVQARYVIETPFDPAKVAEIMAGEQSCGTFTRVAGETDEL RDRARATVTAIEALPAGEVPSLPNAWLERRNVRGPWRRALIDISFPIANIGANLATLA ATVSGNLYDLGEVTGLRLESLTLPASYRMRFALPRVGISGTRASIGVTAGAMVGTIIK PNVGMSAVQTAALVKTLCEAGVDFIKDDEVCANPEHAPLAERVPAVMAVIRNHAQRTG KKVMMAFNISDDLDAMRRHAELVAQEEGTCVMASLNYCGFSAIESLRKSTPLAIHGHR NGFGAISRHPFLGMAYQPYQTMWRLAGVDHMHVHGLQGKFSQPDSEVVSAASDSLANM SDTVDDRVLPVFSSGQWAGTVPATWQSIQSQDLLFMSGGGILAHPMGPAAGVVSLRQA WAAQRQGVTLDDYARDMPELQSALAFFGGRQS MIM_RS04255 MNLMFGWYGDDFTGATDTLAVAARANLRSILFLDVPTDGQLARI GPLDAIGIAGTARGMNSQQMQVSLPKIGRFFREQQVSVLHYKCCSTFDSAVHTGSLGV AVNILGDYVDAPYALFVGGQPDIGRYCCFGNLFASAGAAGQVHRIDRHPTMQCHPVTP MHEADLRLHLQSQAMAEVTNIPYTAYIQQPAVLDALVDQAGRCSDRGAGKMDTGVLFD VSDNAQLASVGGQILRIAQAHGSALVVGSSVVLQAVMAADNVDERVPVVPASACEDTL SPGHGGPTFVLAGSLSPVTAAQVRATTGYVKVPLHAGQLLHDAAYRMTHIQHICDALG TQQHVLAYIDQQHGRDSTIKSADLAAATARFLQSIMQSLSEQGTRLGQLGIAGGDTSS QAIAQLDVWALSYLSVLSPGVTVCTMHSDDPVLDGLTVMLKGGQMGSEDLFDKLLQVP VSHQAATA MIM_RS04260 MSDHVYKHIELTGSSKTSIEEAVSNALGKANETVRNIQWFTITE TRGHVVDGKVAHWQVTINAGFTLE MIM_RS04265 MPKNMTSSMTDRTLDADSPPPDQDPRPLAQEAPDANADVFTPWM KKWNLVPDGAPIATPGSHLLPVKMNGTAVMLKIAGDEHEIRGGKVMEWWDGNGAATVL ALSENALVMERAQGQRSLMHMALHGQDDDASLIACDTVARLHAHARSVSRQPELAPLS EWFDDLYAAADTDSALRPSASAAQQLLTDPQDITVLHGDIHHDNILDFGDRGWLTIDP KGLLGDRGFDYANLILNPELPTVTDPVRFTRQIHVISQAAGLDCHRLLYWVLAFAGLS AVWFRQDESEAQAQQDLNVARLAIQALSLSRN MIM_RS04270 MTTPTLTSIQTQLEAFAKAREWDLYHSPKNIAMALTVEAAELLE IFQWKTQAESATLNERDQLAARHEIADVLLYLLTISRVLNIDILQAAQEKLELNARKY PVEKSKGNAKKYDQLDD MIM_RS04275 MNTRQMRYFIKVFELGNMTKAAAALHIAQPALTQQMHLLEAELG VTLFLRSTRGVKPTEEGTLLYKHTQTIMRQIDNTKAVLHRHDHPISGTVSIALASSTA RMLALPLIHQIKQRYPSIILEIVDLPSADLTRQVLQGRVDFALTPDQQEIKGMVLKPF LVEELLLLLHSETKIRSRRIRIEDIKDVPLILPSLPNRLRSRIDHAFLERRLPYDLIA EASTSAILIPAVKNGLAATILPYSAAHEEIHEGTISMRRFDFEFFREIFICHTNNIMR NDAVDCVMDECEKTAARLIKNNAWKYTQLL MIM_RS04280 MTRPLAGLRVLDLTRALSGPFSTMTLADLGADVIKVEPTPNGDM IRQWGPFDEDISVYYLSANRNKKGIGVDFRKPEGLAFIRKLALQSDIVVENFKVGTMA SMGLSYESLSQEKPSLIMASISGFGSTGPARNWAGFDQIAQGYSGFMSLTGTPESGPT RVGTAIGDLTAGMWAVIGVLAAVIEMKASGKGQHVETSLLASLLSLLSVQGQRYLSVG EVPQPCGNVHPVIAPYGTFETSDGPINLAPATQEMWVRLCAVLKLDWLVADPRFVRNA DRMTHRHALKEILEGALKQHPRMYWTPMMIEQGIPAGPINTLDDVFRDEQVLACNLVQ TVEHARLGQLRQVALPVNMSNHDSNSVVSAPPVFGQDTLQVAIDIGISRDQIEQLMAD GILYQADESKERERL MIM_RS04285 MTEDVYAPTLHIQGAIATLQLNKAAYANRLSPANLKTIERHIAT VNEREEVLVLRLRASGRYFCSGYDISSLASDEAPSSLYFGEVVDSLEDCRAITIAAIQ GGVYGGGTDLCLACDFRVGTDLANMFMPAVKFGLHFYPGGMRRYISRLGLNNAKYLFL TGEKIEAARMLDMGFLTELVPEQHLLSRTDELSSSLAAMAPQALLGIKKHLNLIVRND IRTEEITQAVLLSERSEDMKEGAAAWKAQRKPVFTGR MIM_RS04290 MIGKKKIGIAGFLLAVTTTVHAAGYPDKPISLVIGFPPGGGADN VARVYADYLSKTLKQPVIVENRPGAGSTIAATHVARAKPDGYTIFMGNSSVMGSDNVL YKVAYTPDSFVPVGRLTTSPMILIASKKSGINSVQDLLDKSQKEPGKLTFASSGNGVI THLAGVEFLRLSGRKLLHVPFKGGAPATQSVAAGDTDISFATAPSARAMIDTGKVTGL AITSKTPSSVIDQYKPIDQSGLKGYDITNWWGIFVPAGTPQPVIDALFTATGKVLADP VVKKNLTANFEEVNPSASQQDFVQFARSEGKLGLDLARASLQTKN MIM_RS04295 MKNTNTNTQPDPIGNKQDSRAGWMVLILTLTIQSLATMVLLVPP VMAPVLSAQLGVPAGYIGFYIAVAYLSAMLSSLSAGAMLTIVGPIRLSALCILSSAIG LTLFVMVPSVVTLALAGIFIGLGYGPVTPCSSQILIKNTPMHRLSLIFSIKQTGVPLG GVLAAIIMPSLQDMVGWRSALGVVCVMLLICAVVSLFTPRSWEPDASGVRKSFREEFL GALRTIYRIPVLRTLAICSFCFSICQLTLMTYAITFLHEEVGMSMVLAGVFLSVSQAA GVIGRILWGYLADHFFSTWSMLMVLAVGMLLSAVGVFYIDANASDWWVIIIFAVFGAT AIGWNGVYLAEVAKRAPEGKSGSITGGTLAVTYLGVVLGPPAIGLVSQAAGGFGYGFL SLLLPTIASIILLGRTRKHFQRR MIM_RS04300 MQDSKPFKRILLTGAAGGLGTVLRQTLKPFADTLRLSDIIAPSD NPQAGPGEELILCNLAQKASVDQLVQGCDAIIHMGGVSVERSFEEILEANIKGVFHIY EGARRHGVKRVVFASSNHAIGFYRQDETIDASVPRRPDGYYGLSKAYGEEMASFYFDR YGIETVSIRIGSCFPVPKDRRMMHTWISARDLTELIRCALVTEAVGHTIVYGMSDNEN VWWDNHLASKLDFVAQDTSEIFREQVERQPMPAADDPVAVYQGGTFTALGPFE MIM_RS04305 MQYDFNFAGLLPYWHTFLDGAWLTLELTLASTVFGLAIGIFCSI GSRSRYRWVNRICAIYVETIRNTPFLVQIFIFYFGLSSIGWQMPAAVAAVIAMVINVG AYSSEIIRAGMDAIPKGQIEAAECLGLSRPRIYWHVIMLPAFEKVYPSITSQFILMML MSSITSQISTEELTAVANNVQSETFLSLESYIVVAALYLLLAVVLRLLFWLAEQVLFR RKRVIAQAQRRSASMGNVAARRRKAVGRKLPAVTIRG MIM_RS04310 MDSFSSVQLLYLLKGVGWTLVLSLISFALGSIAGFAVMLARVSV HKPIRVITSVYIQIIQGIPLLVLLFIVYFGVGIFGINVAPLVASAVALMIHVSAFLGE IWRGSVQAIEKTQWEASECLGLSRWQSLILVIIPQALRMSLPPSVGYLVQVIKLTSLA SVVGFVELTRAGQIINNSLFQPFLVFVLVGIFYFVLCYPLSLWSRAMERKLNVGNR MIM_RS04315 MSAIVNVRDVHKKFGENEVLRGVSFEIERGQVVAIIGQSGSGKS TALRCMDHLETINSGSISVCGHELGTGTVNLRELRKDVGIVFQSYNLFPHLTVQQNIM LALKHVRKLSRDECSRKAQYVLEKVGLAEKADSYPEQLSGGQQQRVAIARSLAMEPKV MLFDEVTSALDPQLTGEVLRVIEDLAKGGMTMVLVTHEMSFARRVADKIIYMYQGLVW ETGGPEILTNPQTRELQDFIGTGL MIM_RS04320 MKLIQTVKMIGLGLSLMGVCTASHADALDTIKKNGTIRVAVAMG VPMFSYANANMEPEGSDVDTAKLLAKDLGVKLDLVQITNAARVPSIQTGKADLTVSSL SITPERAKVVDFTIPYASLQTIVAAPKDVQIKDYADLKGLRLGVTRATVNDADVTKNA KDANIRRFEDDATLVTAGVSGQVQAVSSQWPIVAEINKKQTSNPFETKFVQHEFMLGI ALPKNNPELKAWLDKWILENLKNGKLNEIYKKYHGNELSTKVVNQ MIM_RS04325 MSELSSRIAGFHKLGIQGRLDILKERCNLDPGALESMLNTGNLP ADIADHLIENVITTMNIPVGVATNMKIDGTDVLVPMATEESSVVAAVCNAARQCYENG GFITSMSGSQMIAQIQLVNITSPQFCRVTILEHKAQIKKLCDDCDPILLSLGGGLQDI EVRVIDSNSGPMVITHLIVDTRDAMGANAVNTMAEKLAPLIEQWTGGKVYLRILSNLA DKRLARARATWTCDAIGGEAIRDGIMSAYHFAKSDPYRAATHNKGIMNGVSAVVLATG NDTRAVEAGAHAYAARDGHYGSLTHWEVNKNGDLVGSIEIPMAVGLIGGATKLHPTAK TNLQILGVKSANQLARIIAATGLAQNFAALKALATTGIQKGHMALHAQNVAMMAGAVG QQIDQVANALIAQGTIRIDVAQKILQDLKPDQ MIM_RS04330 MSANIILVIMFAGLTLFMLTGLPIAFVLGGLSLLITVTLWDPNA VVIMVLQIFDTMHSEALLGIPLYIFMAAILQRTGVIEELYGVMEIWFGRLRGGLAIGT VLICVLMAAMTGVVGAAVTAMGLLAMPEMLKRGYDPKLVTGTICASGTLGILIPPSIL TIVYAVTAQVSIGKMLIAGIVPGLILAFIYILYILYIAYAHPDRIPQSQRKRVPFAAK LRSIKGIIFPVILVILILGSIFFGIATPTEAAAVGVAGAFIIGLLKRKLDFSGIRHAT FETAKATTMILWITIGAKAYVSVFTGLGGADTLLNLIRDMQVHPYVILAAMMLILIFL GTVLDEIGIILLTVPVFLPIVKLLGFDEIWFGVLYAITIQTGYISPPFGYTLFYIKGP LPAHLGMETVYKGVLPFMLLQMCALIFCAVFPDLVTWLPSLMDKR MIM_RS04335 MPAFVSVYIVGVTRINKFFFNIASLLIFVIVFSMLYEVVSRYVF HSPTTWGMELATLLFGPYFLLGGAYLLHMRGHVSLDLLQNKLSVKNQRLLDLFSFLII IVFSIIMFSYSFAPAIEAWNYKETSFSAWNPPVWPVKFAIPISVLLLGLQSFAEMLAV LYSAKDEQP MIM_RS04340 MKIVRRHLLKKMATGTAASIGALAMPAVARAQEIVKWKMQSLWD GGTTPQKFEEIFVKRVAELTDNTFQIQLYSAGQLVPANQAFDAVRGGAFQMMKTFDGY EAGKIPALAFTSTVPFGFPEPDQYEAWFYEKGGLDMARQAYQPAGLYYVAPTVYGPEP IHSRVPIKSLADLKNKKGRFVGLASTVMGALGVSVTTLATNEVYSALDKGVIDFADRG DLTANLEAGLAEVAKYIVMPGPHQPTTATSYVANRGAYEKLSASHKAALATAAREVSG ALRQHILVADTVALKAFQSKGVEVIALSADELAQGRTQAVKAWESATRNNPLAKKMMD SQIAFMKDLGLLS MIM_RS04345 MSKINSIVEHLLLAIQNGNLKSGQKLMSIRVAREHFNVSKNTMI DVYDRLVSLGHITARPGSGYYVSSLSKRQNRNSTTHIAEAVDAISLLREQLNQTYRIR VGDGRPPSSWMSQFDFDMRLKIPNDSKYGYGHPMGFAPLREAIAQNLIERSIQASADQ VLLTFGANHAMDLIIKQFLSPGDTVLVDSPGYYPLFAKLKLYKIRMIGVKRAGTGPDT ADLEDKARLHRAKLFFTQTLGHNPTGGSATLATQYQVLKLAEKYDFRVVENDAFADLL PPGVPRMASLDQLDRILYIGTFSKTLSASFRVGYVAGRQTLIDSLCNIKMLTVVTTSD YLERWLYSLIANGQYLKHLRRLRPMLEQAAAQALNNFSELGFHVPYQSEGTYYMWLEL PADLDDIQVARQAAEEGIFLAPGTLFYPEKKTSQKPALRVNVAYANDHDFLAFLRKLL MIM_RS04350 MKASSKKSASSPANRAKDEVGNAGELQQQAGGTHPVMTTQQGIA ISDNQNSLRATPRGPTLLEDFVLREKITHFDHERIPERIVHARGTGAHGYFELTQSLS KYTTARILTEKNVQTPVFCRISTVAGGSGSVDTPRDVRGFAVKFYTQEGNWDLVGNNI PVFFIQDAMKFPDLIHAVKMEPDRGFPQAASAHDTFWDFISLMPESMHMVMWAMSDRA IPRSLRMIEGFGIHSFRLVNKDGESTFVKFHWRPKIGLQSTVWDEAVKLAGADPDFHR RDFFEAIQNGTFPEWELGVQLFTQEQADTFAFDHLDSTKLIPEELVPLTIIGRMVLNR WPDNFFAETEQVAFCPANIVPGIDFSDDPLLQGRLFSYLDTQLSRLGGSNFAQIPVNA PKCPFQNTQRDGHMQMQPQKGRTAYEPQSLDPNATRASIKTGFHSAAVQTDGAKSRIR SESFADHYSQARMFYRSQSEVEQAHLASALVFELSKVETEHVRLAVISQLRTVDESLA KRVGDGLGLKSLPAAVKPVVPVQDMALSPALRLIDRMKDTLEGRCIGILVADGSNNES INAMKSALDKAGAAVKLLAQKRGVTLKDGSYVTVDGQLAGTPSIVFDGVVSILPMAEA EKLAHEAAAVDWFRDAFGHLKAIAACKGTHKILEAAGIKPDAGVVAPEDIKAFIKLAK TRQWEREPTLRTLA MIM_RS21985 MMHPFAEGGLQNVWLINGYRIKETRNGRNIVVHQPQGLKRIICS ALCVKSVPLSGAEFRYLCTELRITAAVLCKRLALTESQLQAWEAASQVPRHADTFIRI MYAVHLDRPEQVQRLEARSVPRHQKVYFLLRHTGREWTLQETLEQPQASHVLTHAKKP NPAQATDQDSLA MIM_RS04360 MQSLLIGCGAGFSGDRIDAAQAVVRTLIARGQPAVLIFEMLAER TLALGQLAKNQNPALGYEPLLQEVLAPILRDCIAHHIPIVSNFGAANPVAAARAIQAL ALEQNLAGLNIAVVEGDDILANVDLSRLQIWEGDRELAVDAGDPIAANVYLGARGIAD ALADGAQIVITGRVADPALTLGPAMAHFNWDWQDWDRLAAATLAGHLLECGSQVTGGY FADPGYKDVPDLANVGFPIAELFADGRIIIGKADHTGGAVNLQTVKEQMLYEIHDPAC YMTPDVVLDITQVQIDQIAPDRVALTGARGAPRPGTLKATVSFMGDWFGEGEISYAGP NAGARAKLAADILGERAKQLTQPCRFRRDLLGVVSVLDSDTATLRDSLPLDQVTDVRL RLAVNAPDKTTVERMMHEVNALYCCGPAGGGGVRTSVKSSVRTVSYLVPRELVQESWR YVAGEA MIM_RS04365 MSKWIKLHEIAHARAGDKGNRLNISLIPYDPVHWPLLLEQVSAE KVKAWFAHRGATQVVRYELPNLQALNFVIDNVLEGGVNTSLNLDKHGKSNSFRLLDMA IQVETQKGDKQ MIM_RS04370 MTMNYFRSRIVLALAGITLAAGSAMAAYPDRPITFVVPFGAGSG TDKLARVLAEEVSRQVGQTVVVENKGGASGFIAAQDIARAKPDGYRIFVTSNTTHASN SALFKKLPYDPVGDFAPISKLGNIPLVLVVNPQSIPAKTVPEFIEHLQQNPDKVFFGS GSTSARIGGELFKILTNTKISNVDYKSNPQAVVDTVGGQIQMMIADAATTLPLARDGK LRALAVSTSKRTEIAPDLPTLAESGVKGYEMVAWFASYAPAGTPDDVIKTLNQAFVKT LSDPKVVKNLQVQGIEAEASSPEELAAFQKAETEKWVDIVAKAGVEVR MIM_RS04375 MNLSTKHLKAFKALATEKNFTKAASQCHLTQPALSVLIQNLEEQ VGAKLFERNTRNVMLTPEGLLFDAFADKLLDDFEHALSELQQHVSKKAGHVTVAALPS VTVGSLIPAVAKFNSVYPGVSVAFIDVTADECLNLVKARKADFAVTFMGEQHPELISE PLCSDSFYVVCSADHPLAARKQLRQQDMLAYPVIQFVRSTSIRQHLDASFYPEKLITH MEVSNLSTVAGLVANNMGISIVPGLSLFLYNKPAIAVIPLELEVPHRMISLVQARDRV QSVAAQALITHLKETIST MIM_RS04380 MTLQDFSTRINPSSPVLEPGIAAALQQIVTPHLSDNLHRQVGVV GLKRYNQTGKLVGTAVTVKTRAGDNLYIYKAMTMLEPGHVLVIDAAGDVSNACIGEIM KKYLQQRECAGVIVNGAIRDVAAFENDNFPCYARGNVHRGPYKEGPGQINVPVSIGGQ VIEPGDVIVADEDGIVCFPVEQAPALIAAATAHAHKEEKIMNEIASGATEQSWLHPVL AAKGLS MIM_RS04385 MTTMMTSTGSLLAQRMQWIKASPSMAAKKKVDTLRAAGKKIVDF TIGEPDLATPEHIANAAVQAIRHGATKYTASAGVPELLHAVAQKFERENGLRYTTDQL IVGTGAKQLIYTALAASLNDSDEVIIPAPYWVSYPDMVTLNGGKPVMLASTAESGFKV TAAQLEQAINDKTKWLLLNSPNNPSGSMYTAAEFRDIAAVLERHPHVYLMIDEIYEHF SYDAAYVSLATYSETLRERTLVVNGVSKAYAMTGWRIGYAAGPAFLIKAMTTLISQTT SCASEPSQRAAVAALTEDQACVREACRIFRERRDVIVPLLNAIDGFVCAVPQGAFYVF PSVKGLLGKRTPDGTTLATDLDVVHYLLDHAGVAVLDGTAYGTPGFIRISFATNLDII REGCEKIASACSQLV MIM_RS04390 MKLKNLLTLLPFVMACANVAQADSLDTIKERGTLVCGTLGTSEP FSFQDPKTRQVVGYEVDLCKEIAESIGVKLEVKMISVAARIPELAAGRVDVVAANLGW SADRAKQIDYSYADYVSPQKILIRQADADKLKKNADLAGKRVSAVSGSSSEAGAKRLI PDVTTVTFKDPPTAFVALQQRKVDGFVGSELMLLKFEQGAQKTPVRLSMIEDPLFTEP WGLGVKKGETALLGKINETMAGLEQSGKAQQIFDRWFGPQTEFHTKRIFKMEEIKG MIM_RS04395 MNYTLDFASLLDGQYPWLVLQGVLTTLKMTLLAWLIAFGLGSVL TVVRTLNIRVVNYLIAVYVAFHRNVPMLVHILFWYFGVASIVPEAINDAINIIGGEFF YSTIAIGLVTAAYVTEDLRSAIRSIPAGQMEASRTLGLNYLQSMRKVILPLAFVVSIP TLTNQTLLLFKNTSLAMAIGLIELTGAGREIESATFKTFEIYLVVTVIYLLISLCLMF IGAGLSRKTAFCEKRS MIM_RS04400 MFEILSDNWLLLLVGQYPQGPLGGLAATIVLALLSLVFSFPLGI VLALCRISPVRMLYWPATAVVYVVRGLPLIMFIFWAYFMVPVIINRPVAGTTTMVVAL VFYESAYISEIVRSGIQALPAGQMEAGRSLGLSYFQTMRKVVLPQALFNTIPSILSQF ISTVKETSLGFVISVHELTFAASQINNILLTRPFEVFGLLALTYFFLNLILVGLVKVV ETRIEHSRIAFEG MIM_RS04405 MIQFNNVSKWYGQYQALCDVTARVSQGEVLVVCGPSGSGKSTLI RTVNRLEPIQAGSIIVDEQDVNACKSIDALRSHIGFVFQQFNLFPHMSVLDNLMMAPV LLKRSDKAAARAKATSLLERVGLGHKAAAFPGQLSGGQQQRVAIARALAMNPKIMLFD EPTSALDPEMVNEVLQVMKSLATEGMTMICVTHEMNFAREVADTIWFMDAGAIVEQAA PAAFFTRPQTERARKFLADIRH MIM_RS04410 MLTFKQIEALYWVVQLGSFASAAQRLNTTQSAITKRIQELESDF DVNIFDRSGHKATLTPKGQEMVEMASELLTQRDVMLMKLKGHHTFSGILRLGITEITA MTWLPDLMAQLRILFPKLTVSPKTGMAAELLQNLLKGQLDMAFLHNEFRSPLLEQYPL DYVNFAWVGSPGMITSDRVYTPQDISEMSLIRQDMESGLNSLYDDWLHPYTAEQNLFT INSLLAMAGLTVAGFGICCLPIDYFHPLVTSRKLAVMKTSKAPPRSLYCAMYAKNANA MLYKEVATLARDVCNFSIPYGSGINA MIM_RS04415 MTASKTFKPFYMAALAGVLTSITVPATVHAEAFPDHAIKLVVPW SPGGATDVLGRILAKGMAAQLKQTVVVENKAGAGGNIGTSSFVREKADGYSLLVATSS TNAANPHLYKRLGFDAQKDFAPVAFVANIPNVLEVPKGSRFTSATDLLKFAREKPGEL NYGSAGVGSSQHLATSQLQHLTGASFTHIPYKGSGPAVSDLLGKQLDFMIDTGSMAQV KGGNLTALAVASTKRIPFLPDVPTFEEVGVKGMIASAWYGIVAPAGTPPEVVDTLNKA INNALKDPQTRAQLEGMGAQVVEGDNTAAEFGTFMQSEITRYGQLVELSGAKPE MIM_RS04420 MDNNTPQSLPLAGIRILDLTSAVVGPYATQTLADYGADVIKLEP QSGDIIRWISGHSPTPGMSGKFMHMNRNKRSISLNLKAERGKSAFMKLAAQSHIVIHN MRADAMARLGISFSALSSLNPDLIYCNIVGFGSQGRYANRPAYDSILQGATALASLFA HNGSEPRYVPYVVVDRTAALMVANTIMVALYAQTRDPGPREIEVPMFESYATLVLSEH LYGESFDPPISPSGDKRLLDENARPVKTSDGYICITTNTDAQVLALFDAFGLPQLKSD PRFNRAINRIDHIADFFALRAREIAKCNTQYWLEQLTRYDIPCMPCHTISSLLTDPHI ADVGLVEKRQHPTQGTIRHLNVPISMTGFSPTLRHHAPLIGEHTRQILLEAGLCTKEI TSMLQAGEAYQSDPGEQASVG MIM_RS04425 MKQPENIKELFSYRLNRLAFLSSTLAESINQDLFGLDKQSWRFI GLLAAFAPMSLKTLAKEANIDKSRASKAVASLSERGLIQRKNSDRDGRSIQLSLSEEG EKLYASAFPIALRRNNEILDVLTPQEKEMFDTIVDKLIFRTKILMDLQPGGKKGA MIM_RS04430 MKTRITQMLNIEYPIIQAGMSWASSSAALPIAVSNAGGLGMIAA GPMYEQDFRQVLQAVRAGTDKPFAVNIPLYRPGAQAFLDMAYEFRVPVIFASQGGPKA HLARFREIGTKWVHVVSTLEHAGKAAAAGVDALAVVGTEAGGHPPANEVSSLVIVRKA ARDFALPIVGGGGVADGYGIAAMLALGADAVQLGTRFLMTEEASVHDAYKSVALATGV DGTDLVGRKGLPVRMIRNDFAAHMQQVDQSGCDKAAYEAEFKKSTLRQAALDGDVAWG KVEVGQSAGLVESIMSVRQLMQQLVAELNDARRVLSEI MIM_RS04435 MSVLLTEIENHVLTITLNRPEKHNALNTALTQALLDALRVAEHD PQVRAIIVHGQGKSFCAGADIGEFSSLTPDATNQVLDRADLTTSLHLSFSKIAKPIIA AVHGNALGGGAGLALACDLVVMAEDARFGYPELKHGIVAAVVLANLVRQLGQKKAFEL VAMAEPVSGQRAFELDLVNRVCPAEEVLGEARRYAEKLAGWSPVAMATTKRTFYRAAD LALEEALAVGRDANVMMRGFSKK MIM_RS04440 MRPLEGLKILDLSRVLACPFASMILAEMGAQVIKVEQPVTGDET RHFEPRVTGENGSESAYFFAFNRSKQSITVNLRTPEGQKIIRDLAREADVFLENFPVD TLKKYSLHYDAIREHNSDIVYVSCTGFGMTGPYAPRKGYDTVFQAMGGIMSLTGERGG GPVKPGLPVADLTSGLWVVIAILTAVVGKDRSGHGCHVDFSMFDGQVGLLSLAAARYF TLGEVPARLGTEHPGRVPSAAFECADGRWVQITGSDQHWKPLCELLGLDQWAQDEVLA KNIERVKRRDEVMHGLQQAIGKLERARLCQLCDERGVPAGPILSVDEIFADAHVASRE LVQRFAHPQVGEFEGIAVPFKFTGYDDPVMGRPPLLGEHTDHILMEKLGLTQEQIQGL RQLKAI MIM_RS04445 MFNHFSRSSRLFAGAITILAVGVMHTAKAAGFPDKPITFINPYA AGGPADILARIVAKQMSEALGQSIIIQSKPGGGASIGAEYVARAKPDGYTVLFGTAAA HVVTPLMQKVPYDGLKDFTFVGMVGNIPNVLTVAADSGLTSVDELIKDSQANPEKYTY ASAGNGSSPHLTGENFKQKTQARLLHVPYKGAAPASTDLAGGLVKVGFLNLPAVLPFI KQGKLHALAIAANKRSPALPDVPTMDELGYDGFKGSSWYSMAVPANTPKDVVDTLYGA LKKVSENPDTIAMYEKQGVEPFLMDSKTASDFVAQDRERIEKLLNAANITNQ MIM_RS04450 MSYETIALTVEENGVATVLLNRPQSRNALNVKMCAELVSVTEQI EQDERIRVVVFRGAGPAFCAGADLKERKDMTLSDMTARRVAGFAAYDAIEKMSRPAIA LVHGPAFGSGCEIIAACDFAWATPEATFRYPEVSWGTVGATQRISRIAGVRVAKELLF SGRIFDAQEAREYGLINRIVPAETIAEQLMQMAGDIANAKPLTVRLTKHCINAGVETT REGAMAIELLAIEKNLRHSDWKSAISTFGSTKGE MIM_RS04455 MLPRGRILSEVLAETAAAYPDHDAFIDNDSRETWRSLSVRVDRT AAALWQRGVRKGDHVAVMLGNSVQWLQTFFACARIGAVTVPINTRFKQEELAFCLKQA DVKTIVLTETFLGIDFAALLAAVEPALATGLPGEALPTLTQALMFDTSAVPPGAINFD QLIASVNDDDIEACQTQSGTVSPDDILLIQYTSGTTSFPKGVMLSHDNMLSDAYAVSL RMGIKPEDRYFSIRPFFHVAGSTLSILVSLSTGCCLLTLPKFDVSLALAMLQREKCTL TSGNDTIFLMLMGHPEFDASTLHLRGGWAAAGPEVMQKIHDQMNVPHLCNAYGLSEAS PNVVMSGWDDPLPLRTEGWALPHTGVRVRIIDANTSEILPPGEAGEIQVKGWSVMHGY YNMPDVTARTFTEDGWLKTGDLGKMDRAGRLKMVGRLKDIFRVGGENVAPTEVEGFIL LHEAIALAQVVGVPDERLGEVPAAFVVLKQGYSCTPDELIAWCKPRIANFKVPRYVRV VDSFEDIGMTGSSKVQKNKLRAHAISLLGLAESAK MIM_RS04460 MSEIVICECFARDGLQHEPVMLPLATKIALIDRFTDMGLTRIEA TSYSNPKVIPQFADASDVLAGIRRAPGVFYKATCANVRAVERALIDSGKGFGANEISL LVSATDSHSLKNLKRTRADQWQNIADMVAAASGQYRLVGTISMAFGCPFEGRVSESDV LKDVEKFSNAGVELVALGDTTGMAVPAATQRLFSAVLREFPQIVPVAHFHDSRGTGMV NYLAAYESGVRYFDCSMGGVGGHPTEVKYGGGFTGNVCTEDWVNLLESMGVNTGIDLQ RLLEGSAYCESVLGRALHSKVALSGLNPLLKSTARQAS MIM_RS04465 MNLHAKCDVIIVGGGIHGVASAYFLAKKGLSVVLLERDYCGRSA SGVNAGGVRTLGRVVPEIPLSLASSELWQTLDFLHGFDGAFVRTGQIKVAESVQDMQK LQERHNLLARHGFDHEKLIDQDQVRQIVPAIAAHVQGALWVSTDGFAFPYLIVQAFAR QARLLHADIREQSPVKAIEHSGNHWRVSTPEQTIVGEKLLLCAGAWTPELARLCGDEI PVTPGGLMLMVTQRVPHFINPVLGATSRGLSFKQFANGTVVIGGALECDADTGQNYAE LDFSRLANSARIVTDLFPFLNNVSITRAWSGIDGYAPDHTCIIGPSASVDNLYYACGF SSSGFQLGPASGQYLAEVIADNAENPRYQGLSPARFS MIM_RS04470 MSTIERFETNKRMSRVVKYNGIIFLSGLTADNPDEDVQGQTRSI LAKIEKHLEAHGSSKDKILTAQIWLKDIDRDFAGMNEVWDNWLPEGTAPTRATGESKL AAPKLLVEIIVSAAA MIM_RS04475 MSTHTNVAIIGAGPAGMIAAARLTSLKHSVIVLDEQPQAGGQIW RNITHTTSGLADILGPDYTAGASVAGQFAASGAQHLRGATVWNLTRERQLHYLHQGKS YSLTADAVILATGAMERPFPIPGWTLPGVMGAGAAQVLLKGAGTVPAAPVVIAGCGPL LYLICWQYLRANVQIAAVLDTSSGRDIFQAGPALLGGLAAFKDIRKGLSMINAIKAKK IPFYRGVQKLRINGQSSVRSIAFEHQGQTHELETSLVLLHQGVVPNTQFTWLLRAAHD WSDTGACWIPKTDGWGRLHELDGIYLAGDGQGIAGAQAAVTRGELAALAVDAQLNPAQ ADSLANRSVPLQSQLKKDMALRPFLDAAYLPKRENRLPQDDTIVCRCEEVTAGQIRDF VRQGCMGPNQAKSFSRCGMGPCQGRLCGLTVTEVIADQLNVAHDQVGYYRIRAPLKPV TLAELACATDSEN MIM_RS04480 MNTVLFRTVPINSGDAAQTVTFDFEGRQLHAPRGITVAAALMQN QVLHFRDSPVSGQPRAPYCQMGVCFECLVQINGVQNRQSCMTTIEDGMVIRMQRGQTT FTVIQTGEAQ MIM_RS04485 MYNTDIIVIGGGIVGSSVAYGLARQHAKVLMLDEGDVAFRASRG NFGLVWVQGKGHGFSPYTRWTLQSARHWPALASELTERTGIDPYLQQPGGFVFFTNQA DLDERQQLMRNVQASVEEKYDYTFMSPAEVRKYIPAIGPKVIGACYTPMDGHANPLKL LPALQQGARQLGVDYRYNNPVEHIDYESGSFIVTTRAGEKYAGKKVVLCAGLGNKRLG SLVGLHVPVEPNQGQVMIGERCAPFLSYPTMHVRQTNEGTIQIGDSLEDVGYDDTTRL SLQQGIARRAIDYFPALADMRIIRCWAALRIMSPDGMPIYQESSQMPGAYVVTCHSGI TLAANHVFTIPDWILRGTSAQTIAPFSSQRFDTLTRAQS MIM_RS04490 MKRNRTLSLIFHTAFMLFLLAPLVIVIIVSFTDKGFISYPSDGL SLRWYRAALDEPRIMESFWLSLKLAGFASTIAVCLAVPAALALTRFRFPGRDAISAFL MSPLMIPNVVLGVSFLRFFNIAGLSGSIFWLTMTHVIFIFPYSLRLVLASATGMDREV ELAARSLGAGKRHMYGRIILPLILPGLVGGWLLSFIQSFDELTMTVFVATPGTTTLPV WMYNHIAQTIDPLITSVSAILIIATVIMMIIMDRLVGLDKVLIGKG MIM_RS04495 MRKEPYVLLTPALLLFCGMLIIPMLLVAMLSFYAFNGTTGIIPD FSFGNYITIFSDPYYGEIFLRTAGMALLVTIICLILGIPETLILARMRAPWRGIFLVM VLGPLLISVVVRTLGWSILMGRQGLINDTLLLLGLIDSPIRLTFTFTGMVIGLVHVMV PFMIISIWATLRKLDPTVEYAGRSLGGSPLTVFRRVIFPQLMPGILSGSIIVFALSAS AFATPAILGGRRLKVVATAAYDEFLNSLNWPLGAAIVILLLIANIIVIMGLNRYTERK YRTIFAAGENE MIM_RS04500 MSFLSVKNLGKDYGATRVVKSMDLEIERGEFVSLLGPSGCGKTT TLQMIAGFTEPTRGQVILDGTDLTDMPPEKRDIGVVFQSYALFPHMTVQDNISFGLEM RKMAKDQRRERISEVLDMVSLSGMGERYPAQLSGGQRQRVAIARSLAIRPRLLLLDEP MSNLDAKLRENMHIELRRIQRKLGITTILVTHDQTEAMTMSDRIALMSDGCIQQLAPP LEVYHNPRTLFVSGFLGRANVFAGTITRSDKQTYQVSSEGLSFAVCLPENHPFREGKA NIMIRPENVGFADPGTAGKLTGTLSEAVFLGTHWLCEVQTPLGMWFVSVQRLASQPGD TVALDWLNSDLRVIAEQQ MIM_RS04505 MTAAIAGLLVTPTSMVYAQTPTLYLGSYGGSTQKAFEEEILPAF EKANNVKVVYVPGNSTDTLGKLQAQKNNPELDVVMLDDGPMYQAMQFGFCDKIDKSAP VFKDLYPIADLGPNALGIGLVATGLFYNEEAFKKEGLTPPTSWHDLENPQFEQRMVIP PLNNTYGLHTLVKFAKLNGGDEKNPDPGFDAIIKKVNPNVLAWEPSPGGMTQLFQNGE AIIGVWGNGRVETFRSTGFPVKFVYPKEGAMVLQTAVCPVVKSDVAELSQKLVQYLAS PEIQVVFARTQSWGPVNKTVKLPAEDAQRVVYGEAVNKLEKTDWDTVNAVRTQWNDRW NRTVER MIM_RS04510 MTLRQLEAFRALMITRTVTQAAAFLSISQPATTRLISDLETSVG FPLFERRQGRLFPTHEAEELLREVERSLVGVQEIAYAASQIQKAQKGQFHIAAAPCLA SGALPFLLHNFMQEHTDLSVKLTTHASTTIMDMVQNAKCDLGMVILSSDYPSPLGEHL FSLDSKIAIPRNHPLAQKSEIHVTDLQGQTMILGSHDMDTRQAFGLLLVSHGVKVFSN VDTNTSQTMCSFVESGNCLAIVDPITVITYTGNNIIFRNFSPRLMVHFSALYRADKVA LRVLRDFVHYVKTNVTMAIAQNGKLL MIM_RS04515 MDTTFGLLLQGFDIALQPMNLFWALVGSVLGTAIGILPGIGPAL TIALLLPVTVSVGPVSAFIMFAGVLYGAMYGGSTTSILINTPGEAGSMMTALEGNKMA RSGRGAAALATAAVGSFVAGTIATALLTFAAPSIAELAFYFKPADYFALTVLAFTSVA VVMGTSRVRGFISLFIGLAFGVIGIDKMTGQPRMTFGASSLLDGMELTVVLVSLFAIG EIIYVASRYRHQNDDVMPIKGGVWMTKEEWKRSLMPWLRGTAIGFPMGALPGGGSEIP TMLSYTLEKKLCKKKEEFGTIGAIEGVAGPEAANNAAAAGVLVPLLTLGLPTSATAAI LLAAFQNFGLQPGPFLFTSNPELIWGLIASLYVGNVMLLILNLPLVGMWVKLLLIPKP QLYAGILVFAMIGIWGVSGSTFDLACMVVVGLMGYVMRVYDFPIAPILIGLILGPMAE NQLRTALAAAEGNPAVLVETPISIVILTISALFLLAPVVLRKLRKAA MIM_RS04520 MQNKETPSQTGAAPATPEAAAPATRPWWLGIAVVILGCICLYSA TTLPATAQYAAIGPGMFVTIAGGGLLLLGILLLIQIAKGERFEAQDAENAAGNLPMDK RAFFTALAATIVPALTMEPLGLPITAMLSFMLVARAFGSTRTIMDLITGAILGSVCWF LFSRLGLQLGGFLPLAGF MIM_RS04525 MKLSAILRSCIAATSLFAAAASAQVSVMLPANPGGGWDGTGRQA FSAMNKAGIYTGSVNFTNKGGAGGTIGLAEFQNGTTGKPDNLAVFGAITVGAITLNKS PVDLSKFRPVARLTAEYLVLAVRPDSPYKTLADFAKALKENPGGTPVGGGSAGGVDHI ALALLAQASGTPVNKLNYIPQAGGADTVTGIVNGTLKAGISGISEFQQFAKSGRVKIL GITSAERMKGLDAPTFKEAGFDVELANWRGILGSVDMPEDNYKVWVDRFTKLNDSDAW KQTMTTQGWDQFFLAGPEFGSFIKDESDRINKILKDAGLAK MIM_RS04535 MPILQVQVTAGRSQQQKTAFLQNATKVIEQTLNAALPSIRISLH EIEQQDSIVAGQVGAEFVNIVAFLLAGRNDEVKANFLAAINKTAVTTLDVSDSCIRTM LIDIAPEHMGVQEGLSAAAFRARSAG MIM_RS04540 MLSAEELSAEAPRQPKRWYQILYVQVVIAIVLGVLLGQFYPQVG ESMKPLGDAFIKLVKMIIAPVIFLTVVTGIAGMSNMKTVGRVAGKAMLYFITFSTLAL IIGLIVANTLHPGSGLDIDPKTLDAGKVATYVTKAHDSSIVGFLMNIIPDTTISPLVN GDILQVLFISVLFGIALAATGEHGKPVFDFFQQLSRPVFKLVAILMKAAPIGAFGAMA FTIGKYGIGSIGNLLYLILTFYITAVLFVVIVLGLVARYNGFSIFKLVRYIKDELFLV LGTSSSEAALPTLMQKLERAGCAKSVVGLVVPTGYSFNLDGTNIYMTLAALFIAQACN IELSLQQQVLLLLVAMISSKGAAGVTGAGFITLAATLAVVPDVPVAGMALILGIDRFM SECRALTNLVGNACATIVVARWENQLDTRQLDAALNQQLPDDVDEQMVGVAQAAD MIM_RS04545 MTSFNSADLPIFRLAPGQLTYSQLRASFLKAAHHRGAQLHAYPH PLKGVENENLCTDVAVAGDPQADKWIVVVSGTHGVEGYYGSICQTRFLLEQDITPTAG VGILFIHLINPWGTSWKRRVNEDNMDLNRNYLDFDQPLPVNPGYEAVHELFATDIRDA DRRRAREQRWREVVQEKGYAALMNIVEAGQYRHRDGLYYGGEQPSWSNVTLHQILKDH LPAHAREIISFDLHTGAGQFGHPMLMAIAEQDYPGIARAQSIYGPWLYTVITGANNAS DTGISAAATGYTSAAMVKLFSDRQFTQLVVECGTYDSQSVGQPALLADHFLHLHGDPA SAEGKQIKQQLLDFFFPADPDWQALVQFRTRQILERAVHALRNGQ MIM_RS04550 MKKLFVAAVLAALLPTVVSAAPVKLRLGIDPTFAPFESVNPQGE LVGIDVDMGKSICKRIEADCEWIRMNFDGVIPALKAKKIDGILSGMTITKEREKQVLF SDVMYASSTRLMVPSGSEGLDTTAESLKGKTVGVVQGTTQAAYADKHWKGKGIDLVSY QNDDLAKQDLALGRVDATLQDAAAATIFFDSPDGKNFKLVGTPVSDADVFGNGNGIGL RKEDTELKGKIDKALADMKADGEYAKILDAYKKYGIKERE MIM_RS04555 MLYGYGSQIWAGTLATCLLTLLTLVFSIIIGMVGALCKLSSNPV LRWTFTGYTTLIRSVPDLVIMLLVFYNLQELINAICVWAGWQQYQMDAFSAGVTTLSF IYGAYMTETFRGAIQSVPKGQLEAGYATGMAPLTVFRLILLPQLIRYALPGLNNNLQV IIKATALVSIIGLLDIVTVTQQAGRSTQQLFFFNLVAAAIYLCMTAVSLVVLSWLNRR YSVGVREVRL MIM_RS04560 MSEILERYWQAYLGFGIPMTGLAMTIWILLLAVLFGTLLAIPMA IWRSSSNRWVSVPVQVYTFVFRGTPLYVQLLLVYTGLFSLSVVRGTPLLATFFRDGFN CVILAFTINTCAYMTEVLAGAIRSIPHGEIEAAQAFGFSRFRLYTKIILPSAFRRALP FYSNEVILVLHSTSLAFTATVPELLKVARDVNSATFNSLPAFGIAAILYAVLAMVLVA LFRKAEKKWLGFLKPAAV MIM_RS04565 MENLRVQDIHKRFGSNEVLKGVSLLANKGDVISIIGSSGSGKST LLRCINFLETPDAGKIFIHGEELRTVMRKGTLVPADRRQLQYMRTRLSMVFQHFNLWS HMTVQENITAAPVNVLGISRQEALARAESNLLKVGLSLDTLKKYPMHLSGGQQQRVAI ARALALEPEVMLFDEPTSALDPELVGEVLRVMQKLAEEGRTMIIVTHEMAFARQVSSH VMFLHQGVVEEEGAPGDILAKPRSARLATFLAGNLK MIM_RS04570 MPAKSQNQQQAAGAALAAKRGETKVSSLKGASRSMYDSMSEKEL EELASTRRKGKPERVEDKDKD MIM_RS04575 MFLKNAWYVAAWSHDIVHELYPLQLLNEYLVFYRQQDGRVVALE DACPHRKLPLSMGRLIDDAVECGYHGLTFNGQGTCIFAPGAKIPRAATVKNFATTEKY GLVWVWMGEADKADPTLIPHIAEYGHPDWGINQGDAMIVECNYLYMTDNLLDPSHVAW VHRSSFGNSACENEPLTTTVNDNGVIVSRWMYDTDVAPFYARFVKFTGNCDRKQHYEV RYPSHAIIKAVFTPAGTGGDEAPIHPDVFLMDSYNFLTPIDEKTTRYFWFQLRNFSPN DEDVSRQFAAGVRKAFEEDRIVLGAVQKGMDNRRSPFINLKLDGGPIKFRKGLERLIS QEQVVADQARPEVIPIQEAAIAQPD MIM_RS04580 MTTLQQHRDSLTHILRGIEREGLRIDRDGALATTPHSALLGSAL THPNITTDYSEALIELITGTHSSVDDLLEELTDVHRFATRQFPSESIWMQSMPGHLPA DNQIPIATYGTSNSGMLRHVYRRGLAERYGRTMQCIAGLHYNFSLPESLWQLLDIEGA NETERQSVGYMGLIRNFTRYNWLLMYLFGASPAVPRSFLGDRPNPLRELDHDTLYLPY ATSLRMSDLGYQNDAQSGLKMCYNDLSTFLRKMYHAVTQPWPEYQALGTHRDGQWIQL NTNVLQIENEYYSTIRAKRTTARGERPITALMERGIEYVEIRCLDIDPFSPIGISNAT CHFMDAFLLFCAVHDSRLFPYDGFCEESQANFTDVVNRGRDPSLRLTSNGEDISIPDW GNQLLDQIALYAKELDIAFSTTQYSAAIQEQRHKLDDVSATPSARILQELRDSGLSFA DYTQLQSQRLSDELRFGELSAETEQKMRASVKQSLEDQAAIEASDNESFDEYVERYMA ALKRPE MIM_RS21990 MQAIFPDKPRSESRTTQIEGVPYPFTMDMALVDDQVFAVVYSLL PEGKQDEASTRKAGEALLRSVYASMNEPAPEPLPPFGQKLTFRKAVGNENASVYVKVF AGSGVIVQAYAAGQDNTLKESVADEFLNGMTLR MIM_RS04590 MVSQHTTSQQSVGVVTPQFLQFDQPLTLSSGQVLPSYTLAVETY GTLNAQRSNAVLVCHALNASHHVAGVSATDAKDVGWWDNMVGPGKPVDTNIYFVIGVN NLGSCFGSTGPASIDPATGKPWGSTFPVVTVEDWVRAQARVADHFGIDRFAAVMGGSL GGMQALSWAIELPERIAHCVVVASTTNLSAQNIAFNEVARRAIISDPDFHGGNYYEYN TVPARGLSVARMVGHITYLSDDDMAEKFGRAQRNPTEDGRYRFGYDVEFEVESYLRYQ GEKFSRYFDANTYLLITRALDYFDPASKHGGDLTEALRPATAEFLTVSFSTDWRFPPE RSRELVKALLKNGQPVTYAEIDAPHGHDAFLLDDPRYHAVVRAYYQRIAASLNLTATP LEQETA MIM_RS04595 MTTTTEPRKVRADLLRIAGWINDDTRVLDLGCGDGMLLAHLQSR RGVTAVGVEKEDAQVIACVNKGVRVIQQDLEDGLAMFDDRQFDTVVLSQTLQAVVNTE HILKEMGRVARYGVVSFPNFGHWTHGLSILTGRMPVTGQMPYEWYNTPNIHLCTLKDF EDLASRLGFRILERATFRDETEISLMPSLRSTLAVYHFETDRKPAL MIM_RS04600 MINLIRPYLSPRVYPLLLLGLASGLPLALTGGTLQAWATVEDVP LTDIGFLTLVGAAYTFKFLWAPFIDRYVPPFMGRRRGWMALSQVLLGLTICGMGFLDP SRSLFWLAALATLVAFLSATQDIAFDAYSADVLRPEERAAGAAIRTLGYRIGMVVSGG LAIWLAAVWMGWGGMYLFMGLLMFGAAVLTWLAPEPEVAGANVAPRTLYDAFTVPFQE FFSRKGAISILALIVLYKLGDAFAGALSTTFLIRGAGFSPEVVGAVNKILAVIATIIG ALIGGGIMSKLGLYRSLMLFGLLQALSNLMYWMIALNPQNLPLMALGVGFENLCGGLG TAAFVALLMALCHVQFTASQFALLSALSAVGRVFVAGPLTPPIVDAYGWPVFFVFTFF IALPGLLILWFMRASIKALEKDPAPASDTGD MIM_RS04605 MNLTLKGVLKTVMPWCSVWLLASAMAQTPTQPEPAAAIQATGSV SSTQGDPARPGKVAGAQVPAGAAGATPAAPANPVNGENRIPVGAPGSLEARVAACTMC HGATGVQGADAFYPRISGKPAHYLYQQLLRFREGRREYLPMQRLLVNLSDEYLHEIAV WFSKQTPEYETVTPPRASPLILQQGEKLVRHGAVDRDIPACVACHGDNLKGMLPGIPG LTGLTNEYITAQMGAWQYGIRHSVDPDCMGDIARKMTSAEVQAVSAWLASQDPRSPGT INESAETPVTCGIQTVSGVQQ MIM_RS04610 MKWLLRVFAAVVVIGLLVAAGMYWLGARDGAMVASTLPDGKASA EVVARGKYLTQIGNCVGCHTAVNKPAFSGGSGLKTEFGTFYAPNITPDQQHGIGNWTA DDFWQALHNGKAPDGSLLYPAFPYQSYSHLSRADTDAIYSYLKTVPASDRQAPAHELQ QPFNMRFLLAFWRALYFRPADAVARTEPSQDALVRGRQLVEGVAHCAECHTPRDSMGG MEQSRFLQGGKMTDGIWFAPALTGDTEGGLGAWSAEDIAQLLLTGSSAHGAVVGPMSE ALRGVQYLNQQDVSDIAVYLKSLPAAGLKAERSGDVNDTLYAMGKKIYGQYCAACHQA DGKGVQQAWPALDGNSLVRGRDVTGLLRVIMDGGFTPTTAQTPQPYGMPPFRHFLNDQ EIAAAATYIRNTWGNDSGGINQREVNRVRNID MIM_RS04620 MTPRHKGLISAHIAAVFFGLTGVFGHVIHASPMTITFGRALFAV LALYGVARYLRTSLVRGLDRRSCLSLVCSGVALTVHWVTFFMAVKTGGIAIATLGFAS FPAFITLLERVVLKDQVNMVDWVLVALVMSGLILVTPAIDLNNAGTIGLFWGVLSAIT FATLALINRSLARLNAIQIAFCQNLIVLALCLPLGLGGMAMLSDSSLLWLIVLGVICT ALSHFLFVHSLRSIPARSAGLIIALEPVYAIAFALVLFNEQPTLKMALGAVLIIAAAI CPRKQTGHAT MIM_RS04625 MTMPVYFISHGGGPWPWVEEWKPMYAALHESLQHLSAELPATPK AVLMVSAHWITDEITVSTAGQPGMLYDYYGFPEHTYSVQYPAPGDPVLAARVQSLLQA GGIAIAQDARRGFDHGAFVPMAVAFPQANIPMVQLSIRKDYDPAFHLQLGRLLAPLRE EGILIIGSGLSYHNLRLLDRRGAEPSAQFDAWLQQTVVDSDPVQRATGLLHWEQAPSA RLAHAHEDHLIPLMVAVGAAQQDPATLSYHETHAFGSITASSFRFSQTAV MIM_RS04630 MKTDHLVPYALALLRIVSGYTLVLHGTAKYFHVPHIEMFDNLQA LSMPGIAGMIELVAGILLILGLFTRFAAFIASGMTAVAYFYAHAPQGNALFPLMNGGE TVVLYSFIFLFIAAAGAGAFSIDNSRKA MIM_RS04635 MDTLLSIKVFCEVVRAGSFNAAARHLGISNPMASKHVVHLEQHV GARLLHRTSRKLSLTEAGRLYYDKCIDALDVLDQAEAVLGDRHGEPSGVLRVTAPVWF ASNRIAQLLVQYQNRYPRVVLDLYLTNSKIELAEAGMDLAIRVTHDPEPQLIVRKVGQ VPLVLVSSPDYIGRMGQPQSVQDLERFGAVMPNYRDRNDYLLQGPAGTLKFQLQSLMK VSDTTLSRKLVLAGMGVAMLPAWLVEDDLRQGRLLRLLPDHESPPLDIFASYMSRQYQ TGKVRSFIDFFSEAMAGSTVQ MIM_RS04640 MSKTSNSASWSVLNTLLRGHRIPLGMAIMLAAVAAALELVPFLI LCYSVAALPGLPDAYVFFQLAGWLALALAGKYIVYSLAYFLSHQTAYRVLMDTRQILV RRLARAPLPWLQQHNSGVLNQLVMQDVERIEQFIAHHLVEMMAAFAASVLVISVLWWV DWRLALAALAAPLLAAVIQAIAMRNVGQYMTEYQQAIGELNGASVEYLRSMPVMKTFN QGAHSFARMRNGLSRYHDLVQRITRGTVPGWSLFVVMLNANIVVLPIGLWLMHEQQID LTGMLIAIVLGNGMVRPLMKLMRFQTQIREILGGVQRMQPVLSMQECQSETRQTLTSS GVQLSGINVTYGSRPVLSDVSFPIPAGRVTALVGPSGAGKSTIASLLGGLIEPDQGHI LVGDCRLANIGDAQRCATIAVVAQDAFLFRGTLLSNLKLGQPEASDNQVRQALRVAQA EAFVNALPEGWHTHVGERGLTLSGGERQRLAVARALLANTPILVLDESTAFADSRTEQ HFYEALHRTYPDLTVLTIAHRLYSVKDAANIVVLDHGSVVGSGSHASLLQTCTLYRQM WQAQASQQSRDDSQTRFVVPPGEKEHA MIM_RS04645 MPEFVRACLRVIRKSRRSPAKIYVGLLLRVAERAFSIAPYFLAW YWLLDMPPFAAATSDHFSWAVPAVILVVLLLGQMAFSYFSQMNSFLGSYDLTLSYRER LIDHLRQLPLGIFARQRVGQLSSVMTDDVKRLEDVFTHLTAELLAAVSVPVLFAICLI WVDWRLALALLITWPLALFALNAANRIFLTLGRGKQLAVQETSGLIVEFISGLRTLRL FGRAHVWIGRLDQRFAQIRQVSMGAEAWGGGSVQAYRAVLELGLLLLLLVAGWLAGDE DLTPATWLLFVLVSYKVLDPLLDAAAYLVELRAMLQGEARLQRLLDTPVLREGARTEP PQHFSVAYRQVSFAYDSKLILQDIDFEVPQGTVTAIVGPSGAGKSSLLHLLARFDDPQ SGTIYLGGTDLNAWRSDSLYRYFGFVFQDVQLFEGSILDNVRIGRDGASDREVIDACS RAGCDSFVQNLPEGYATRIGENGQQLSGGERQRLSIARAILRDAPVLLLDEATASVDA QSQHDIEQALACLSEGKTVLMVAHRLNAVRHADQILVLDQGRVHEKGTHQELLKHDGL YAELWRRQEVEIHVEACGDATN MIM_RS04650 MLRLAVTQRIDSDNLLRDVDQAFRLVNTATETLNEGELRLFCAP LSLACAVPPAWLDAHEHSRCRAYRFADDRRRHLLAHGMKRWAIGNVLNVSPRDVQFTV DRLGKPTLPGDAVHFNLSHSAGWVALALYRQAAACLIVRPGV MIM_RS04655 MQVVNSTEFFDNHKVAASGAAVKTLDLQPGLAVSLVRFETGQHM DAVTEDDADARVHFNCLLRGTTSITCDGRSFELDSDDILATFTPGKRYQIGCSAGWCN IELRITPQLLCELAGEESEALYQGCGNDFCLMRNASNLRIRDATDRLGRLLAEDNTSP LLVHAAALEFLAWQLKSLQPDAVSSGVAPRERRQLLAARERLLADLSNPPTIEQLARE TGLNQLKLKRGFKNLFGVSVYALFQRERMEHARRLLLQHSVTETAIQLGYSNFSHFST AFRKQFGVLPSEARRFALS MIM_RS04660 MTISSEGHLPFASAERRGKRVVTNNSLAGSVDPFGVACKKEGIY ALADILMGLSSMKNSSFPNRRSANRQLICALVAGGLYTLPVWQTQAVAQQATIGTQQT PVLPSIFATPVADDGQNLFTTPASMTVVNGKTLEDQHLDTLGQIAHRQPNMHLTSYTH ANPIITIRGLGIHADEADSTNIPVVLDGVPVSGLSIGQLFDLDQVQVLRGPQILEGPN GLGGLIRLRSRDPGEIAGGNVSLEYGSHNRRRATLSGDIPLSERTGLRIAVGAEQSDG ETKNAALDRDDTAGWKSTQAKLKLLHTDDAGGQWRLGLYHMNSRGGNDYFAPASLSRK HESNATDKGTNNTKYTLVSGSYQRQFASGTRLSVTLGASRSEWNYWLPESMFSARNGF DMVTRELSADARLAGKKDAIDWTVGLFAQQTRRDAPYLYDMAPYYTSDTEATRKGNTT ALFGQVGWTFAPGWRLAAGLRIQHDRQRMDWRSDQSGYMDSDGDGMPDMPFSTTETVQ NASVNKTVWLPSLTLEYRPNERHFAWVRLTRGYEAPGFNTYATMREQAAQAYLPTLAN YIELGYRLRGRDDTWEVGATAFSTIMHDQQVVGSVNGQNMTSNAARAHSRGVELSGRW RPLQELELNAFAGFVDAKWDDFMRNGVDYGGHSFSMTPRQSYGAGLSWQPHPQWDLGV NVVRHGRTTLAPNSEIENKPYTLVDAHVTYTNGRFSVGVYGQNLGNVRYFTRALSQDL LVAGASRTVGVRMSMNF MIM_RS04665 MTHQTASTRPLASPSPSGEYLSIALMYFAQGVPIGLAFNALGAM IRHGGHSVAAVGLTGLAFLPWALKFLWAGPIENACARWGLPRFLWMTQALIVLTCLVM IPFPPSASLYTIIVLIVLLNLLCATQDIVTNSYAVLRFQGRRAGTANAIQIAGFIGGM LIGGGGLLQVYSSVGWPVTMLILAILMAVVYIPLWLIPGWRHTAANTKATTSRVRLRD LAKHRDLGWALLLALSFKFAGTAVSTLAQPWLLDKGFDLEQIGRLQMSNLVFVAVGGA CIGIPLLRYAGNRRAVLAGMILSGVLMGTAWVLQITGPFSLMTLYAAFALQSLFEGAM FVTVWALFMNWSSKDRPGTDFTVMQCCEGFGNAIATGMIGGLGQAYGYGDAFAIAWAS AAGVLLITVVCLPRLRLAEK MIM_RS04670 MPSSVSIINRKTLETQHLDTLGEIAHRQPNMHLTSYTHANPVIT IRGLGIHVDESDSTNIPVLMDGVSVPSNFVGQLFDLDQVQILRGPQLLEGPNGLGGLV KMRSRDPGLTAAGDVSLEYGSHKRQRATVSGDVPLAGRTGLRIAIGGEQSDGNTYNRT LDRKDTAGWHSTLAKLKLLHTDDGGGQWRLGLYHMNLRGGNDYFAPEDLSRRHESTAT EKGANNTKYTLLSGSYQRQFAGDTRLAVTFGASRSEWNYWLPRSLFKAQAGFDMVTRE LSADTRLSGHKEAIDWMVGVFAQQARRDAPYQFDMAPYFTSNTLAKRKGHTLALYGQA GWAFARGWRLAPGLRIQHDRQRLNWRSEQRGYMDSDGDGIPDRSFSMTDSLRNASVTK TVLLPTLTLEYGPDERHFGWVKLSRGYEAPGYNLYATKRERAQYAYLPTLANYIELGY RIRGEGDRWELGATAFSTILHDHQVVGSVNGLTVTNNAARAHSRGFELTGMWRPVPEL ELNAFAGVVDAKWDDFVLNGVDWAGQRFSTSPRQSYGLGLSWQPHAQWSLGASVVRHG RSTLATNSNFVNKPYTLVDAHVTYTGGRFSIGVYGQNLGNAHYYTRAVSQNALAAGTS RNVGIRVSMNF MIM_RS04675 MSSQNRLDFVRFASQEGVLRFGEFKVKSGRLSPYFFNAGLFNTG RSVGELGRFYAQALRDSGVGFDMLFGPAYKGIPLAAATAIALAGLPSQAAEVPFAFNR KEAKDHGEGGTLVGAPLQGRVVIIDDVITAGTSVRESVEIIRAAGATPAAVLIALDRM ERAGADDALSAHSAVQDVQQKYGMPVVSIASLDDIMQLLDEDATFAQHQEAVSAYRNK YGILR MIM_RS04680 MKWEIVIGLETHTQLSTQSKIFSGSSTAFGAAPNTQANCVDLAL PGSLPVMNRGAVDRAIRFGLAVGGTVSPRSIFARKNYFYPDLPKNYQITQFEIPVVIG GKIRFFVGDEEKTVNLTRAHLEEDAGKSLHDTFKGPQGEPATGIDLNRTGTPLLEIVT EPEMRSAAEAVEYARTLHGLVVWLGICDGNMQEGSFRCDANVSVRPVGQKEFGTRTEI KNVNSFRFLERAILFEARRQIDVLEDGGTIIQETRLYDDVNDETRSMRTKEDAHDYRY FPDPDLPPLMISSEWIEQVRADMPELPVVRRERYETELGLPAYDAAQLTVTRSVSDFF DATMARLPAEQAKLASNWIMGELAASLNREEREIADSPVSPENLASLIQRIADGTLSN KAGREVFAALWAGEHERNVDAIIEARGLKQVSDAGAIGALIDEVLAAHSGIVEEYRAG KQKAFNSLVGQIMKAGKGKVNPAQVNQLLKEKLG MIM_RS04685 MTDISSFSLSGLRQALDGKQVSAVELAEAALNRAGQYASLNAFL HIDPELTLAQARAADERIARGDVHALTGIPLAHKDVFVTRQWRTTAGSLMLKDYVSPF DATVVTHLANAGAVNIGKLNCDEFAMGSGNENSAFGVCRNPWDPQAVPGGSSGGSAAA VAAGIVPIATGTDTGGSVRQPAALCGISGIKPTYGTVSRFGMVAFGSSLDQAGPLARN AKDLVTVLDTISGFDPMDSTSLQDCLGTPNIVGRVQQSFDKASAGYREGGAKPLQGLR IGVPREYFGAGLNSEVAAAVEAALVELEKLGAVRVDISLPNTELAIPAYYVIAPAEAS SNLSRYDGVRYGHRATAYRNIDEMISRSRAEGFGAEVQRRILIGSYVLSQGYYDAYYL QAQRIRRLIVDDFHQALSTQCDIIVGPVTPDVAKNIGDNNEDPTADWLADIYTLGVSL AGLPAMSVPCGFGRNGTRPVGLHIIGNYFDEGRLLAVADCFQQHTDWHTRTPDIQS MIM_RS04690 MALTENDVNRIARLSRLELDPAEQAQALTDLNSILGLIEKLQAV DTHGVEPLAHPLSAIQDIALRLRDDAVTETPSETTRSRLMANAPAQEEGLFLVPKVIE MIM_RS04700 MQQNSSLRFFTHGPAAEVRLFFLAIFCVGLMIADSQTRYIEPVR KAVSVMLYPFQKTAMWPRDAVTQVYDWSNAITIAKQESAAVQRQRIELAQLSTHAAQM AAENAQLRRLLAVKTTVQTPSVAVEILYTSVNPLNQTLVLTKGSNDGIRPGMPVIDEG GVVGQIRRVTPMTSEATLVTDSKISVPVMVLRNGLRVIAFGSGQTGRLDVRYLGLGAD IRPGDDLVTSGIGGIYPQGLSVGKIAAVDNNSAEGFMRARAVPSAHPERYRHFLVLLV PTDNLPDIPASLTDSGNSTATGRVN MIM_RS04705 MVKRSLTALEPLQRTNYVYGSSPIYAWITILLTWLASLLPWREW EGAPDILILVLAFWCVQQVRGVGLTSAVIFGLLMDVHDATVMGGHALSYVLVIFGALV FRRRMAQFGFVSQMLQMLPVFFLAPFPSHLLNAWLQGAWGGWTWLLSGLITGVMWIVA DLILKLPLRPVDDNETLN MIM_RS04710 MFEFRKRSTIQRKKIRLRVLVALVFVLGCFGLLIDRLWVLQVER YQGLAERADRNRIALVPIAPRRGDIVDRNGMVLARSYRDYTLEIVPAQVKNLDELITQ ISAVIPLTPLDIRRFKRRMGQTTRYASVMLRGNLTDDEAAVFAAHSFRFPDVNLRARW VREYPQGESAAHVVGYVGRISERDQERLEQDGVEGNYRGTDVIGKKGIEASYEAVLHG KTGWEEVEVAASGKPVQVLKRIDPIPGDTLHLSIDMGLQKMVEDLYSKGFVKEGMPER GALVALDPRNGQVLAYVSAPSYDPNLFIDGIDVENWRRLADSPEHPLIDRPVSGTFPI GSTYKPFVALAALKLGVRDPNARIPDPGYFEYGNQRFRNAGGAAYGPTNMHRALVVSS DTYFFSLGPLIGVDALHDFSQLFGFGRKTGIDLDYEKIGILPSREWKKKAFKDPRQQR WIPGETISVAVGQGYNSFTIMQLAQATSTLAADGKYIRPHLVSELENTVNKTRTPTVK QPDYQIPVSQASIRLVKDALVDVTRRGTARRSFAGAAYDSAGKTGTAQVFSLKGSKYN SRNLKRNLWDHALYMGFAPANEPKIAVALIVENGGWGASIAAPIARKVFDYWLSPKRS AQVDSVPVLELDSDEPAEDTSPDVMVPQDVPANPEDKAPEHLPDILAPVGNGSGRNGA EQRQNNE MIM_RS04715 MNKLMDWILRAFRAFDWPLLLILVIFCMLGMTVMHSAVGGTDWR FASQARNFLLAFFVMWFVAIIPPSRIMKFAIPLYVVGVLMLVAVLLVGITNKGATRWL NIGVTVIQPSEMMKIIVPLMLAWYFDKHRSELRVLDFLIAGVLLLAPFMLIIRQPDLG TGLLVLSTGFFIIYFAGLSFKLIAPVFVLGVAAIVMILHYEPVLCGPDFDWVILHEYQ KHRVCTLLDPGSDPLGKGFHTIQGMIAIGSGGLYGKGYMLGTQTHLDFIPERTTDFIF AVFAEEFGLYGGVMLLLLYTLLLLRGFLITIRARTQFGRLLAGAMTMMLFMYVFVNMG MVMGILPVVGVPLPFMSYGGTALVTLGFACGLLMSISNYVPSRKSARSEE MIM_RS04720 MSFAGTVIDWQRERGRHDLPWQGSRDPYRVWLSEIMLQQTQVAT VIPYYDRFLSRFPTLHALARASQEEVMPYWAGLGYYARARNLHRCARVIVDDWHGAFP KNADDIAKLPGIGPSTANAIAAFCYNARQPILDGNVKRVFCRYYGIEGETQKKATEAV LWERAWQHLEAVPDDIDMAAYTQGLMDLGATLCTRSKPACHRCPLAGHCVAKREGRQA QLPTPRQRKVVPLRQVCVLILEQNEQILMYRRADKGIWGGLLTLPEFRDRQACTAYLQ QALGEHTAPVALAAFEHVFTHFRLHIEPLLLHAPALPAMLPPVGDEADQSSRWQWVAL DTLADTALPAPLRKLLTGYFDETPNGASGTPRLF MIM_RS04725 MKTWIRHHFYAMHIAIRRLLATPFSSLTNIAVIALVLALPLLAS SILVSMEPVARDVSVNPAITLFMKGQVPLEETRELGDKLQQENQAYIEKLEVIDKNEA LAGLRASESWSNALKVLPRNPLPNSIVVTIKAMDDQAGKAQELAQAWSSLDNVDTVQF DSAWVQKLDAILSFTRGVLLLLAIGVAVVVIGTVFNTVRMQALVQREEIAVARLVGAT ESFVRRPFLYLGALTGLIAGVLSLLLTTFGLASLNGAIARLSESYGTDFVIRLPDVTW LATAIVLVMIVAALAAQWSMTRHSRF MIM_RS04730 MIQFQHVFKSYGQGANILADINFSIAPGEFVFVSGPSGAGKSTL LKLIGGLEAPTRGSVIVKGQHMDQIGSRARPYLRRAVGVILQNMHLLYDRSAFENVML PLAVIGLSSDKAAARARAAIAKVGLSGKEQLNPIALSGGEQQRLAIARAIVNKPAILI ADEPTANLDQASAQKILEVFRDFNRVGVTTLIASHDLELLRRHANRTLLIEPGRFKDL GTHA MIM_RS04735 MKKNKSKGMAFSWSDPTFRSMVYQIVVLGLVAGGVWYLVTNTIH NLEVRNIRSGFDFLGREAGFAIGESLIDYSPTDTYRRAILVGLVNTLYVSLVGIVLAT LLGVVMGIARLSKNWLIAKLAAVYVEVLRNIPLLIQLFFWYALIVETLPGPRQAMHPL PGVFLSNRGFRFPSAEGEGLIWMLAAVVLALLLTVVAGRYVRRRQIDTGVQTALWPIG LALFILLPVAGFFLGGGELVFSVPELRGFNFAGGASITPELTALLCGLVIYTSAFVAE IVRSGIQAVPAGQWEAAGSVGLSRRRALRLIILPQALRIMIPPLTSTYLNLIKNSSLA VAIGYPDIVSIINTTLNQTGQAIEGILIVMAAYLTVSLSISLFMNWYNRRIALVER MIM_RS04740 MNMTTQDMDIAAAMPPPRGKSGAFGWLRARLFSSPLNILITLFL VWFLLMSLPPLVEWALLKANFTASNAQECSAASGGACWAFIREKYRLILFGTYPYDEQ WRPLLATLVLLAVIICSALRRFWNWRLVVIWVVGLAVVAGLMWGGFAGLSYVENTRWG GLPLTLILSTFGIAFAFPIGVVLALGRRSDMPVIKALCVVYIEIIRGVPLISLLFMSS VMLPLFLPEGISIDKLLRAQIAIIMFASAYVAETVRGGLQAIPRGQIEGAQSIGLNYW QTMRKIVLPQALKIVIPPLVSIFIALFKDTSLVVVIGIYDLTLSAKASLSDPLWRGFS IEAYLFISLIYFVFCFAMSRYSKRLEAQLDTGYSR MIM_RS04745 MSETIILMENVNKWYGQYHVLRDINLDVAAGERIVICGPSGSGK STLIRCINALESHQEGRIVVDGTQLSDNLKKIEQVRKNVGMVFQHFNLFPHLTVLENL TLGPIWVLKQSQQQARDTAMQYLERVRIPDQAGKFPGQLSGGQQQRVAIARSLCMNPK VMLFDEPTSALDPEMVKEVLDVMVNLAEESGVTMLCVTHEMGFARQVADRVVFMDQGS IVEQNTPDAFFDHPQHERTRHFLDQILH MIM_RS04750 MADNFESDITKFIKQYKDEHPGTEERQRQGRGLLWDKTLDTDLL DGFRKAKVPQKPYVYSSNS MIM_RS04755 MTVPADQLNALVSPEVDSTPDTIDSVALARLYGEPLFNIPQDLY IPPDALEVFLEAFEGPLDLLLYLIRKQNFNVLDIPMSEVTRQYLNYVDQIRKHNLELA GEYLLMAALLIEIKSRMLLPVKKSDTGEEVEDPRAELVRRLLEYEQMKLAAQKLNELP QLGRDFERARAFMDLSTERALPEVNAEDLRLAWLDIMKRAKLNAHHHITREQLSVRDH MTHILRRLSDVRFMEFRDLFYERVEDGDPAAVVVVHFLAMLELARETLLEITQAEPYA PIYIRLSYSSQAVA MIM_RS04760 MKVVHTIEELRDQLRGQLRASFVPTMGNLHEGHLALMKMARQHG DPVVASIFVNPLQFGPNEDFDKYPRTLEEDIRKLEERRDVYVLFAPAVKEMYPEPQSF RIQPPEGLGNILEGEFRPGFFSGVSTVVLKLFSCVQPKVAVFGKKDYQQLMIVRKMCR QFQLPITIYAHETVREDSGLAMSSRNRFLSDSERAEAPILYQTLEAVRNQLQTGDASV ESLEAFGRKKLADRGWEVDYVSVRRRHDLNPPSQEEILANEPLVVLAAAKLGTTRLID NLEFAYQP MIM_RS04765 MGTGENMPGLDVLIQCLTPREKEVFFFITRGLPNKVAAAELGVS QRTIEAHRARIFRKMHVRNATELARQVFSRLNPHISDNQQA MIM_RS21995 MLSVPFRFRPALLSASLLVLLAGCSTSAQHSTASDTATRERETR PASAYPGSGQIQIPFGTSEPTQAELLAERQRQSTTQGLIPEGRTFLGSIPCETAACSV QRVTLTLLPDGRWQRISQPLVPAGAPRTDAGCWRPEPGTRPLVHLLPLRANGSSASIA SFSMQSPAVLNVQTLGTRTITRRYTLTIQADKDSSSALQNDTSFFCPAR MIM_RS04775 MTVFFLLNRISVRVKAGTEVGTKARIKARIRTRIKARIRTRIKA RIRTRIKARIKARIKARIRTRIRTRIRTRIRTRIRTRIWNKARKTSQACADTELAELA AYYHGNIHPGTASRFGSTHRISDGAGSVMHGAGGQASIVGGTGVKTLPASGFSGGCRV LL MIM_RS22380 MWPAYALLCAVLRWRYGHAAVAACVVTAGWRFTGILLLIVGIAL PVFLYLLTCEWSRQWLLFFYLLALLTLADIQMRLLPDYLLALLLLVGLLSIVAGLPDT PTLAHALPAFAVTVPVVLLCIRRCEAVGSRPALAAGDLKMIAVLTLWFPYNQLLLLLC VACFAGLVYILVIRCITGALLRTIAFGPCLALGALAMHVSCDCPQADMGSTYCMIRPG VARPALFFKGVCVVQDRSDRGHRLG MIM_RS04785 MYRIGLTGGIGSGKSTVSQLLAARGASVIDADALSRSLTQPGGK AMPAIAQAFGSRAVQADGAMDRAYMRQQVFQDVAKRRQLEQILHPLIGQATQAAAAAA TGLYLVYDIPLLVESLARYRPIMDRICVVDCEETEQIARVQARSGLSVQAVTDIMSTQ ARRQQRLAAADDVIFNGAGITLAMLEERVQQVHEHWLQLSGQQKTQ MIM_RS04790 MISKKKRVSQLVLYEYPINERIRSLMRLEYLFKRLFAFISGTSP MHQHVALSLLFEIMDTCDRAEVRSGILQDIDRQKANLENYRHHPNIDLNALDAAIGDL NRVSVALMGKGRIGQAARENEWLMSLKNRFAVPGGTSQIDIPSYHAWQLSPEENRQRA ITQWVEPFMPLFDGVSVVLKMLRESGSPIDAGTQEGGVFQEMLGGKIYQMVRVWVSDE RLIYPEISANKYVVWVRFSELDNQYKTQLITTPTAFKLSFCNV MIM_RS04795 MPAPSSTNRKSRKPLLLLIILLLAGGGYYYWQHSADQKQADKPA AGQRAGGAAPASGGRGAPGGGRNGMPMVATPVSVVPVMKKNLTVSVSGLGTVTAFNTV TVRSRVDGPIQKILFTEGQKVNEGDLLVQIDPRSFEVALQQAQGTLAQNTALLANAKR DLQRYQTLFKQDSIARQQVDTQQSLVRQYEGQAQNNQAAVNEARLSLTYTKVTAPISG RLGLRTVDVGNLVSAGSTDGIVTITQVQPIAVVFSMPESWLPDVAGPLYKGEKLKVVL RDRDNKTQLAEGELASMDNAVDTTTGTVRMKATFANENETLFPNQFVNTELKVREVPD ALVVMSDAIQNSSRGPYVYIVKDDNTVETRQIELGVVDSGYTQITKGLAEGEQVVSEG VDRLRNGAKVEIVK MIM_RS04800 MNISRTFILKPVATTLAMIAIFLGGLVAYRLLPVSALPEVDYPT IQVVSLYPGAGPDTMASLVTSPLERQFGQMPGLLQMTSSSSGGASVVTLQFGLDVQMS VAEQEVQAAINAASNLLPSDMPMPPVYNKVNPADRPVVTLAISSDNVPLHEARDLVET RMAQKLSQISGVGLVSIAGGQRPALRIQVNPTALAANGLALSDVRTAITAANVNQPTG NIDGPRRGTTIYANSQVKTAAEYNNLILAYNNGAPLRLKDVANTIESAEDVRQAAWIN DKPAILLNIQRQPGANVIDVVDNVQKLLPQLRQALPASLQVDVVADRTETIRESINHV QNEMLMAILLVIVVTYAFLRSFSATVIPSIVVPLSIVGTFGVMYLLGFSLNNLTLMAL TIATGFVVDDAIVMIENIARHIENGENPMQAALKGAAEIGFTLISLTVSLIAVLIPLL FMGDVIGRLFSEFAITLATAIIISLVISLTLTPMMCARMLKPESEYEGNRLHRRLGQA IDRVIHGYDRMLTVVLRHQTLTLLVALATFVLTVLLYMWIPKGFFPQQDTGMIQIITE APQSSSFKMMSERQVQIARAIKADPAVASVSSFIGVDGSNATLNTGRMQVELKPTGER DTITDVMARLEERAAQVQGMKVYLQASQDLTIDARVSRTQYQLSLSSLDAAQLREWVP VFVEHLAADKALAGVTDNFQFNGLQTLVKVDRDAAARYGITMTQIDNALYDAFGQRLV STIFTQSAQYRVVMEVAEHFRDNPADLDQVYIKTSAGTPIALTQLAQIVPGQELLEVM RVDQFPSALVSFNLAPGASLSDAVQRVNQIKAEIGLPASVEMRFQGAAQAFESSLSST LWLLLAAVFTMYIVLGVLYESYIHPVTILSTLPSAAVGALLALMLSGNELDMIGIIGI ILLIGIVKKNAIMMIDFALDAERHQGLPPVKAIHQAALLRFRPILMTTLAALFGAIPL MLSTGTGAELRQPLGLTMVGGLICSQLLTLFTTPVIYLMFDRLAKKTGHLTWPRRPNY ESVAPVHP MIM_RS04805 MSLSRPFILRPVATSLLALAVAVMGMVAFFLLPVAPLPTLDSPV IVVRASLSGASPETMASSVATPLERSLGNIAGIDLMQSSSSEGSTSIFMIFDLNKDVH TAAREVQAAINAARPLLPSSMKQPPTYRNVNPSSTPVMVLALTSDLLGQGELYDLAST ILAQKLAQVRGVGEVTVGGSSLPAVRVDLNPNMLNNMGISLDTVREALAATNSVRPKG FLDNNEYQWQLATNGQLRYARDFENLIVAWKDNTAVRLRDVAHVYDSTESLYNKGFYN TKQAVVLLVRRQADANIIQTVDAVNAMLPDLTQLLPPQVNLDVAQDRTPSIRATLHEA ELTLIIAVLLVIVVVMLFLQRLRATLIPAISVPVSLMGTFIFMYFLGYSLNTISLMAL IVATGFVVDDSIVVLENVMRYIERGMHPMRAALVGAREVGFTVVSMSVSLVAVFIPLL FMGGLIGRLFLEFAMTLSVAIVMSLIVSLTLTPMMCAQLLRHEPNLEKSRLQRMWDGF FDRLVAGYGRMLRFAIRFRFLTLLTLVATVVLNVYLYIAVPKGFFPEQDTGMIQGFFR ADQGTSFAATVPKLEAYRKAILQNPNVDTVSGYSGGRGGSNSSFFLIQLKPFAERSQS ATEIINDMRKSLPTIAGARLSLVPQQDIRAGRGGDSAGSYQYDMLSGDLDTLRKWVPQ VRQAMSTLPELVDVQSEAEEKGRRIELVINRESATRLNVEMSTIAGVLNNLFSQRQVS TIYSALNQYQVVMGIDVKYAQDPEVLKTVQIIASDGKLVPLSAFASFQIGTSPLSVSH QGLMASDSISFSLAEGVSLEQASDAIENAVARIGLPANEIQAGFGGNAQLFQQSSSQQ PLLILGALVVMYLILGMLYESYMHPLTILSTLPSAGVGALLALLMVNMEFTVIALIGV FLLIGIVKKNAIIMVDFALALEREKDIPPEEAIYDACIVRFRPIMMTTMAAMFGALPL ILATGAGVEMRQPLGIAIVGGLVLSQVLTLFTTPVVYIYLDRLRHRFKWRSKNKNTPA DGPEVAPGSGQ MIM_RS04810 MKMTRNAVQPFFRPFRLTAATAALLLLAACAVGPDYVVPQQDTG GSFKSAQGWVQARPGEPLASDSWWQRYQDPLLNQLIGQLNVSNQTLAQAVARYDQAVA TAAQSRSSFFPTVGFSLSGDRAKTASGIGNSVNGSASLQWEADLWGKLRRQYESSRSD EQASRADVAAARLSMQSTLAQQYFALRMLDERKRLLLANVRAYERSVQINQNRYEQGV AARADVVSAQAQLESARASAIAIEADRNTAEHAIAVLIGQPPAAFAIQDRPYEVTFPS IPVGMPSTLLLRRPDIVAAERRVAAANAQIGVAQAAWFPDLTLGGSIGNTTATLAQWL ASPLQFWSLGPSLAMTLLDGGARAGAVDASRASYRAEVATYRQTVLTGLQEVEDAIST LRVLERQQAAQMRALTAARQSLAITQNQYLAGLVDYLSVAQVQSNAYSAEQTALQLES QRLQASVQLIAALGGGWDKQALQGSAAP MIM_RS04815 MPIYKCESSSLNPQTFPLDEIVMGLRDARFKWRTDQNRLKEMGG RHLPSPQTINEALELVIGALFPMRLGPNDLLQENEDFYVGHTIGVALNTLLTQVRLEV RHQHRNEDADPAELEKTAVDITREFARKLPGVRSLLDTDVYAAYSGDPAAKSVDEVLL CYPGVLAMVYHRLAHCLYTLGVPLVARIAAELAHGQTGIDIHPGAQIGAGFFIDHGTG VVIGETAIIGERVRLYQNVTLGAKSFPTDSNGDLSKGIARHPIVEDDVVIYAGATVLG RVTIGKGAVVGGNVWLTHDVAPGCFVRQASSSNKEALFNGNCD MIM_RS04820 MNATKPYIKVVVGVILNEQTGEILLGQRPKGKPWEDWWEFPGGK IEEGESQKQALVRELKEELGIDVHTCTPWVTFTYEYPKTIVNLAFWRVTGWDGTPRSL EEQQLAWTTPEEANKLGELLPASLPPLRWLRLPPHYAISNIQQPENSQAWLANLQQQL DQGVKLVQLREPSWPDGPAAASLKDVFERAIGCCHAAGARVLINSVHPKAWWKLADGV QLRAQDALLADARPLPEEKYLVGVSAHNTADALYAQVLGADFVVLGHVLETPSHPDQP PLGWDGFASIAGEAGLPVYAIGGQSAATLEIAREHGAHGIAAIRGLAG MIM_RS04825 MSSPDSRPDLSSLIERADQVLRQLAAWLPPAPPPVDWSAHAFRW RRKGSTGWLEAVKHISSIHKDDLLHIERQRDIIDRNTRHFLQKKPANNVLMTGARGTG KSSLVKAMLAEYAPQGLRLVEMDKSDLADLTDLVDIVAGRPERFIIFCDDLSFEEGEA GYKALKSVLDGSIASAGENVLIYATSNRRHLMPEYMNENLSTKHQPDGEIHPGETVEE KISLSERFGIWLSFYPFRQDDYLDIVAHWLRELGCPPEFIAESRTEALQWTLERGSRS GRVARHFARDWAARHMPASTSGQADSGAEQ MIM_RS04830 MNLPEIIRPITDDMRAVDGVIRKKLDSDVVLIRTIGEYIIGAGG KRMRPALVLMAARAFGYQGQAHHTLAAVVEFIHTSTLLHDDVVDESDMRRGRDTANAV FGNAASVLVGDYLYSRSFEMMVELDSMRIMQVLSAATTVIAEGEVLQLLNVHDPDVSV ERYLQVIRYKTAKLFEAAMQTGALLAGVTPEQESAAADYGRYVGTAFQLIDDVLDYTG DVESLGKNVGDDLREGKPTLPLIRVMQVGTPEQRSLIHKAIETGEADFAEVARAINDT DALQYTRQVAREEAERARAALQAFPDSECRESLLAFCTFATERDR MIM_RS04835 MIKSKLKAALLGFAITLAVPATTVAQAQDKELVVATDTAFVPFE FKQDGKYVGFDVELWDALAKDMGVKYRLQPMDFNGIIPGLQTRNIDVALAGITIKDER KKVIDFSDPYYESGIAILTSVKNQDSIKTAKDLAGKDVAAKTGTATVDFLKKEVPDAK VKLFPNIDNAFLDLATGRVDAVVHDTPNVQYYANTGGKGKVVVTGSVQSGDFYGIAFP KGSDLIEKVNASLKKLKENGEYDKIYTKWFGQAVK MIM_RS04840 MDFDFSVIQDALPSLLAGTWVTIKITFWGLFGGVLLGAITGVIR TYIPSLFSAEAIRRNLITGILGPLLSLVAQIYVLVVRGTPIVVQVMFIYFALPLLMDV RVDGMSAAIATLIINSGAYIAEIVRGALQSVPKGLFEAGQSMGLSFYRILLKIIWPVA FRRMIPALGNQCIISLKDSSLFIVIGVAELTRQGQEIMAANFRAVEIWGAVAVIYLIL NGLIALVLKIMEHRMRNV MIM_RS04845 MSMVTFEHVVKRFGDNTILNDISLNIEKGEVVVVVGPSGSGKST FLRCINALETIQEGDIRVGELSVRGTQAEVRELRREAGMVFQQFNLFPQLTALENVMF GPLESRGVSKQQARTEAIALLEKVGLAERMNHYPSELSGGQQQRVAIARSLAVKPLLM LFDEPTSALDPELRHEVLKVMKDLAEEGMTMVVVTHEMDFASKVGSRLLFIDNGKIAH DGKPAELLSSPPSQRLKDFLQHVV MIM_RS04850 MPQYRSKTSTHGRNMAGARALWRATGMKDGDFGKPIVAVVNSFT QFVPGHVHLKDMGQLVARHIETAGGVAKEFNTIAVDDGIAMGHGGMLYSLPSRELIAD SVEYMVNAHCADAMVCISNCDKITPGMLMAAMRLNIPVVFVSGGPMEAGKVLAPDTNK VIKLDLVDAMIKAGDPTVSDAETDAVERSACPTCGSCSGMFTANSMNCLTEVLGLSLP GNGSIVATHARRRGLFERAGELIVELCKRYYEQDDASVLPRSIASKSAFENAMTLDVA MGGSTNTVLHLLAAAQEAGVDFNMADIDRISRHVPCVCKVAPATNKFHMEDVHRAGGV IAILGELRRAGLLDADAGNVHSGTLGKAIDAWDVNSGHASEEVQTFYKASPGGVPTQV AFSQDRQFAELDLDRAEGCIRDKAHAYSQDGGLAVLYGNLAENGCIVKTAGVDERILK FTGRAIVFESQEDATDGILGGKVKEGHVVIIRYEGPKGGPGMQEMLYPTSYLKSKGLG AKAALLTDGRFSGGSSGLVIGHASPEAAEGGTIGLVHDDDVIEIDIPNRTINLAVDDT ELTRRRAEMENRGQSAWHPVHRERYVSQALKAYAAMATSADRGAVRDISQLTK MIM_RS04855 MSKSDKAADSGKADSRAKRPSQGQVAAKSGSGRNTADSLVPDAA NRLQGSPAISKRLSTPRKRDFPVSEARRYLEPGPIVLVSSHWQGRHNIMTMGWHCILE FAPSLFGCIISSGNHSFDMIRKSSECVINLPTTALTDIVCRIGNCSGSSVDKFSAFGL TASPAEQVSAPLIDQCHAAFECKLHDDVLVDKYNYFIFEIVKAHVATRPAHPETLHYT GDGVFMVAGRIISRRSLFSKVL MIM_RS04860 MQQDIRLLGRILGAAIRESEGQPIFDVIETVRRAAVRFKREGIP QERDTMINKLTALSDEQANTLSRAFSYFLHLANLAEDRDQQKRQRRRLLSRNDPQTGT LGHTLTELERHGVQHSQVRDFLAKTMIVPVLTAHPTEVQRKSTLDLHRHISQCLVDLD SRLTEEESHQLALALTGYIKTLWLTRMLRFNKLTVNDEIENAVAYFNTTFLKAIPTLY TTFARKLGGPPDNAALMPTFLKMGSWIGGDRDGNPNVNADTLTHAMNRHATTLFEYYL SQIHLLGSELSLSTSLTQVSPELASLSDNSPDQSRHRLDEPYRRALIGVYARLAATAK HLTAHDLALRNTVPAEPYADPAAFAADLAVIARSLCDNHAAAVVPLRLQELQQAVAVF GFHLAALDLRQSSDVHERILTELYQRAAIKYDGKPVQYDQLDEERKIALLLAELDDSR PLVSPWQQYSEETRKELAILQAAATVRRHYGHHAITQYIVSHTETLSDLLEVLVLQQE TGLISQQRDDSGQRLPVTHGDGLIVVPLFETIPDLEAGPAIMDRWLALPQVRERVIHA QDNIQEVMLGYSDSNKDGGYLTSNWSLYNAELQLLEVFRRHDVRLRLFHGRGGSVGRG GGSSFDAILAQPPGTVDGQIRLTEQGEVIQTKYKNAESGRVNLELLVSATLLSSLAPH EETRKDAETLQRYTQAMAWLSDTAQHAYRRLVYDTPGFVEYFFAATPINEIAGLNIGS RPASRKKGQRIEDLRAIPWGFSWAQCRLMITGWYGVGTAIDTFIRLGTGKDDGSTPQS RTQLLRDMAAHWPFFQTVLSNMEMVLAKTDMDIGRQYSELVADQQIRSTIFGMIEKEF GLTRDALFSIKQQDLLADNQPLKAALQERFAYTDPLNYLQVEVIRRQRALEDAEEEPS KLEQVRSQRTIHLTINGIATGLRNSG MIM_RS04865 MQLYNLLFDIPNFLVWILCLFCFLGMSMFVVLIIRLQRKNSTSP RSSALSHTLISGLLVPIGLNMAFMASDVWKENEKGQAATEQEAGSVGDALRVLRHMPE EINKDLRARLQTYVNAALQDEWPRMGRDQASEMTESALDVLVINAAKTAIEVRDDPYI SSQMALLNTYLKQVREARDERLNVANYHINMQKWLVLLILLCVCMYVIYDIHYHTRNE LRKAVVLISLSFASITFLILVNDRPFTGSSIIEPEHLRQIQKTQFR MIM_RS04875 MNESPIAPLAFERFLYLADDPQVIEACLQPGAVLPGVSEDIRLR DDISTDEITPVAIMSHYDEKLGEFAYTGVLAGGRTPIARRAVKEAGIQVVVAGNRYGK GSSREHSPAAEKHAGVRLIFARSFERIYRQNADNIGLLTSTDFTLLAQLLAGQSITLE QILQERDELTQAIVRSGGLLNYGKRYLARSRPQAAAAGDKPQTLFEKIIARHVLRTEQ TGTDLTPGTGIFLRADWRFIHEYYTGMAAHMLYQSYTAPLQLFDTEKVIAFEDHTSYI HESPAHLRQGLVPNMLRMCQAHRDFVKATGLRWHRTLTEDEARRDDGSNVAGISHAMM AEHYALPGQVVVGTDSHTPHSGALGCVAFGVGTTDMANAFMTGAVRITMPPGILVRLQ GTLPAGVAAKDIVLHLLALPQIREGKGVGRVFEFTGAVIDNLSVDERATLTNMCAELG GFTGIVAPDIRTVQFLQERRGVAFELADWMRSDPGATYEQEMTVDCSTLDVLVAAPGD PGNGRPVGSLGETVAIDIAYGGSCTAGKREDFDQYHAVLAWGLQQGLHVAPHVQLYLQ YGTTAVRDYCVQKGYDQVFERMGAHILQPSCGACANCGPGSSTDAGQTTISAINRNFP GRSGPGNVWLGSPATVMASALAGHICSFSQLQEQAATGQQPV MIM_RS04880 MLSVMLIHDEQNDDLRGKLQAAGIHVVAEISPLADFAAALAGIK PDVVLVSSDASCRDTLEGLCMRSDDSALPVVMFTNDNDRSFMQASIRAGVAAYVVGDV PSARLLSLLDVAIERFALERSRRLELNDTRQRLAERQDVEKAKGILMKTHGVDEDEAY RMLRKKAMQTQRRIGQIAAALIEAHTFL MIM_RS04885 MLSVARSAAGIGALGLADPFLRTGAWAAGSDAPEKTEVKIGFIP LTDCASVIMAAELGIDKKYGVKIIPSKEASWAAVRDKLINGNLDFAHALYGMIYGLQV GVGGPQTDMAVLMGLNQNGQGITLAKALHERGVTDGASLKKTMATLDRQLTFAQTFPT STHAMWLYYWLAAHDIHPFNDVRVITVPPPQMVANMMIGNMDGYCVGEPWNARAVIDQ IGFTAITTQEIWQDHPEKVLGTTAKFADQYPNTARAVTAAILEAGRWIDSSAANIEKT AKVIAAKSYVNTQADVITGRMLGKYDNGIGKVTDSAHPMRFYGDGAATFPYLSDGMWF LTQQKRWGLLQSHPDYQAVARKVNRIDIYKTAAQASGTPLPTSESRSSTLMDGVLWNG SDPAAYADGFAVHV MIM_RS04890 MAWLGKLGYPLIGLMVVVVIWEMIAGKIAGIPTPLATLQSAVTL FQDPFYRNGPNDVGIGWNVLASLWRVGVGFGLAALIGIPAGFIIGRYAPIRKMAAPVI SLLRPVSPLAWLPLGLLIFKAAEPAAIWAIFICSIWPMIINTADGVARVPADYLNIAR VLNLSERKVLTSILLPSVLPYVLTGVRLSIGTAWLVIVAAEMLTGGTGIGFWLWDEWN NLNVQHIIIAIFIIGLVGLLLDFALLSLAKRFAFAEE MIM_RS04895 MEKYVAVESVSQVFDTARGPFTALNEINLAIRKGEFVSLIGHSG CGKSTLLNLIAGLTKPSSGVLICDQREIAGPGPDRAMVFQNHSLLPWFTCFQNVYLAV ERVFGATETRARLRERTAAALELVDLAHASSKYPREISGGMKQRVGIARALAIEPKVL LMDEPFGALDALTRAHLQDALLRIVKRTQSTVVMVTHDVDEAVLLSDRIVMLTNGPAA TIGQILAIELPGRRDRVALAADPRYLQYRAAVMKFLHQRYSNPEQSTRLALVEAGDAE PAEHKEDSAIVA MIM_RS04900 MKKRKLVLIGNGMAGVRTVEELLKLDDQLYDITIIGSEAYPNYN RILLSPVLTGEQTIQDIILNDQSWYDSNNINLMMNQLVTRIDRVRRQVHTADGTVVPY DRLLLATGSNPFILPVPGNNLDGVVTFRDINDVNLMIEAAGVRRHAVVIGGGLLGLEA ANGLAVRGMSVTVVHLGETLLDRQLDEQAANMLRKSLEARGLQFLMGHQTSEIVDDGQ GRVGAIRFANGYEMPADLVVMAVGIRPNVALAASAGLHVDRGIVVTDTMQTYDPSIYA VGECVSHRGTAYGLVAPLFEQAKVAANHLAMYGIARYEGSVTSTKLKVTGIDVFSAGD FVGDAKTDCITLADPEGGVYKKLVVADDKLVGACLYGDTADGAWYFRLIREGRSIHDI RDQLMFGEGAVGDTGHAGENKVAGMPDSAEVCGCNGVCKGTIVKAIREQGLFTVDDIK KHTKAASSCGSCTGLVEQILINCVGGAADVKPKSARPICGCTDVTHGQARKVIREQHL TTIAEAMHFMEWRTPDGCATCRPALNYYLLSTWPGEAVDDGASRLVNERMHANIQKDG TYSVVPRIWGGVTNSAELRRIADVADKYAIPMVKVTGGQRIDLLGVRKEDLTSVWKDL DMPSGHAYGKSLRTVKTCVGSQFCRFGTQDSTGMGIALEKDLTGMWSPHKVKLAVSGC PRNCSESGIKDVGIIAVDSGWEIYVGGNGGIKTEVAQFFVKVETQEEVLQYCGAFLQL YREEAYYLERTVHYLARVGLEHAKEQVINNAENRAALYERLRFAVSLEQDPWQDRIEK RIAAPELETLNV MIM_RS04905 MKVCEKWTPVCATSDIPTRGARVIRRNGQDDIAVFRSGQGAVYA IVDKCPHKGGPLSAGLVHGASVTCPLHGMVIDLPTGQVQAPDEGCVRTIAVKIDQDRV CLNLDEGQ MIM_RS04910 MDAPVPVPLVQMTTDEIRVTLSVCCYCGTGCGVRIQSKGEQVLS VEGDRAHPSNAGLLCSKGMALPGTIRRDTTRVLHARLRDRQTGQYRQIDMAEAFDVAA KVISTTVREAGPQSMGFYLSGQLLTEDYAIFNKMARVLVGTNNIDTNSRLCMSSAVSG YKMTLGADAPPASYEDIELADTVLIAGSNMAYAHPVLFRRLMQARQLRPHMKIIVIDP RNTDTAQAADLHLAVTPGADVALFHAMLNVMVWENLIDHDYIARYTDGFPALKDRIRE FTPAAAEGVCGVAAADIVKAARWFAQSAATLSLYTMGLNQSSSGTAKNMSLIHLHLAT GHIGRPGTGPFSLTGQPNAMGGREAGAMATLLPGHRDPVNPVHRQEVAALWGADSIPD TPGHPAVAMFDALLEKRIRVIWIAATNPAQSLPDQTRVRKAMQSADFVIVQEAFADAE TLAYADLILPAATWPEKEGTVTNSERRISRVRAAIAAPGDALADWKIVQQVAQRVARQ IAPEKEALFSYADEAQIFAEHAALTAGRDLDYSALSFDVLEQLGPVQWPFTQSGTPRL YTNHVFATPNGRARFAAVGYVAPVENISAHFPLRLTTGRLRDHWHTMTRTGLSQTLIG HVEEPVVHLHPSDMARYRIGDQALVTIRSRRARLVLPAQADETLKPGCAFVPMHWGSR FMAGDGINGLTHGATDPDSGQPELKHCVIGVQAYQPVWQAVAWVRGDAVQLQRQLSIW LSGFDYAIAVPVATGGGGLRLRLATRTVPEPARLKHLLADLGIADADVAFDDPARGIY RRVRCSDGRVAVYCLAGTDLNAEVALNDWVDTDVRPEPLLPVLLGKSQMKERARIVCA CNGVTDAQILHGIRSGMDLPALKQTLNCGTGCGSCVVQINQMISRHAGVEAL MIM_RS04915 MTNSIKAMSASDTVPGKVWLVGAGPGDAELLTLKAYRILQGADV WLVDDLISDDILALAPTHARIVPVGKRGGCPSTAQSFILHLMARYARAGLQVARVKGG DPFIFGRGGEELAWLHEQGIAAEAVGGLTAGLAAASQLNLPLTHRSVARGVAFVTAHT ADGTVPDWQALAQSGLTLVCYMGMSKKEQLQRDLLQAGFAADLPVAVVERVSCRNERT IYTTLDTMSADICAAGLSSPALLIIGRAVAHRVSARYQPDDDVQSMADTVIAQAACL MIM_RS04920 MNTLSTQGHILIVEDEPRLAAVLGDYLTASGYTHCWVSNGLDAM TTFSAAKPDLVLLDLMLPGKGGMEVCREIRLDSQTPIIMITALVEEIDRLIGLETGAD DYICKPFSPREVVARIAAVLRRHRYIPKEDRPDPLSINEESYEAMVHDKKLDLTPIEF NLLLFFYNAQGRVLSRDQVLAQIYPDHRVVTDRTVDTHVKNLRRKLAEAGASEDWIKS IYGVGYKMEYLP MIM_RS04925 MRFSLTTKLFLAILTVCAAVMVMQTMAMRYGFEQGFLGYLNDQG RQSMNEARPLITAAYKKHNNWDFMRNNLSTWIQTLRPPITAAEIRRGPSAADQSGAIV RMGLLDENLSWVSGNPSVNKDSMRLPIIVDKNTVGWIAMVPFEDVLAPGESRFLESQL RIMILIATVSLVIVAVFTFLIARSILRRVRNLAKGTHILAKGDYTSRIAPGARDELGT LADDFNRMAQALENNERTRRAFMADISHELRTPLAVIQAEIEAIQDNIRSASPESLSM MHGEVQQLNTLIGNLHQLSLTDAGFQQYRMVTLDLTSLIRHAATSMNVRFQQADLSLQ LDLPSYALYLKGDESRLQQLFSNLLENALRYTDSGGQVLIRGEKQSDTITISIADSPP GVTPEKLDRLFERFYRVEESRNRASGGSGLGLAICRNIVEAHNGKIQAHASALGGLEI RLQFPAL MIM_RS04930 MLLLLTACTEKPQLPANQQAEVGVATVQSEILPMSNELSGRTRA YQVSEVRPQVGGILRKRLFTEGSKVEAGDVLYEIDSASYQASYDSAKGTLAQAQADLL SAKPKAARTRRLSAMDAASKQDSDDAAATLKKAEATVAVAKADLEQAQINLQYTKIRA PISGIIGTSSYTAGALLTADQTTALTKINQLDPMYVDVTQSSASLLHLRNLVKNGSLK SVDGKVPVSLLLEDGSEYHHPGTLEMVASEVDEETGTVKLRAVIPNPDGDLLPGMYVK ARLAMAINEKALLVPQKAVIRNTKGEATAWVVDATGKVEQRMLQLGQAVGDRWVVTSG IKDGEQVIVEGTQKGQKRRSR MIM_RS04935 MAQFFIKRPIFAWVIAIVITFGGLISILSLPIEQYPDIAPPSIS IRATYTGANADTVQNSVTQVLEQQMTGLDNLLYMSSSSSTGSAQLTLTFASGTNPDTA QVQVQNKVSQANSMLPQAVQQEGVTVSKSNQGSMFMVLAFLSEDGSMDDTDISDYMAS TIQDPISRVNGVGNANVFGSEYAMRIWLDPEKMAHYKLITSDVTSAIEAQNADVSSGE LGGVPASKGQQLNATVTTRSRLQTPEQFGDIVLKSDTDGAIVTLRDVATIEMGSQDYS SVSRFNGKPAAGMGLELATGANALDVAAAVKVKLQELSEHFPSGLTYSIAYDTTPFVS LSISEVVKTLGEAIALVVVIMYLFLQNWRATLIPVVAVPVVLMGTFGVLALLGYSINT LTLFAMVLAIGLLVDDAIVVVENVERVMSEEGLSPAQATSKSMRQITGALVGIAMVLT AVFIPMAFFGGSTGEIYRQFAVTISAAMILSVIVALTLSPALCASILKPIAHGGEVSR RGVLGRFFAWFNHHFDRYARRYTKGVGKVVRYRKTGLLTYLCMIALMGLLFLRLPTSF LPQEDQGMLMVMFNTPPGATQERTLASMQKATDYIQKEPGVESVFSVAGFSTSGSSQN SGMGFVKLKDWEERDQTAEQIAMKLTGDMASMMRDAQVFVVTPAAIPGLGNSSGFTMH LQDLSGNGHEKLVQARQQLLMLANRNSNLSNVRFNGLDDAPTFKLDLYDKKASALGLD LSDVNSTLSTVLGGTYVNDFVHNSRVKRVYVQGDVGARMLPQDIDRWQVRNTSGDMVP LSEFTKGRWSYAPQTISRFNGVEAMEITGDAGSGVSSGVAMNHVSDLVGQLPGGYSYA WSGMSYQELAAGTQAWMLYAATILFVFLCLAALYESWTIPVSVMLAVPVGILGAVLAT TLRGLNSDIYFQVGLLATMGLAAKNGILIVEFARELEQQGTSLLRATLQAAGLRLRPI IMTSLAFMLGVLPMVLGTGAGSGARHSLGTGVLGGTLISTLLGIFFVPLFYVVIRSFF PERKNSDETIVLSEEKS MIM_RS04940 MKKILSLSVLMSTLLLAGCMSMAPDYQRPSMPVADQWRSNTASA TTQTNPATPLSEISWQSFFLDERLRKVIALALEHNRDLRVSVLNIEKARAEYRVQRSE SLPSVSVGGTQTASRTAAAASTTGASSVGRQYSAEIGFSSYELDLFGRIRSLNDQALE RFFATEETKRSAQLSLIAEVAGDWLTLATNQQLLTLAGNTLASQQKTLTLSEARHKVG AISGMDLAQIRTSVESARLDVAQQKAQIEQDRNALELVVGTAVPDALLPTGSSTRAVT LAQIPASLSSRVLLERPDVLSAEHTLKAANAEIGAARAAFFPTISLTASGGFSSDQLS GLFTGANRTWSFVPTVSIPIFNAGNLQASLKVSETERDIAVADYEKSIQTAFSEVADA LAVRASMKEQLDAQKALVQASQAAYRLADARYRNGIDGYLDALDAQRTLYSAQQTQLS LYLTDLKNRVTLYKVLGGGMNAG MIM_RS04945 MKHRARIADVASLAGVSVATVSRTLSNPDSVKPATRERVQRAID ELNYFMDGSARALASGHGHTVGMVVPTLDNSIFALAVQGLQTTLAAHGYQLLIAAHEY NLETEARQVRALLEKRIDALVLVGTHHSDATWDLVRRSGTPLLVAWATHESYPSVAFD NRLIGQLAAEHLLALGHTQFGMISGYTRYNDRARQRADGFIETLQRNNIAFDKRNLIE QPFGFPGGRTGWTRLMQLPVPPTAIFCGNDVLAMGCMFEAQKHGIQLPRDLSIVGCDN LPIVSHLPPGLTTVQLPTYELGIECAHSVLEWIEKDARPASVCLDIELIVRGTTGKPP ATPDTLSANKSNLA MIM_RS04950 MTIEYLKKADKTPETETGTARAVVDEMLTDIRARGEAAVREYAS KLDKWTGEIVMSDAQINQATRDIPVSIKKDIEFAVRQVYDFAVRQRESIEEFSVALPG GVTAGQRVLPVNVVGCYAPAGRYAHIASAYMGVATAKAAGVKNIIACSSPFRGGAMHP YVLYAFRTAGADTIMTLGGVQAIASMAFGLFTGTAADVVVGPGNKFVAEAKRSLFGQV GIDVFAGPSEVAVIADETADPEIVASDLVGQAEHGHESPAWLFTTSRVLAQKVAERIP QLIEQLPGIAREAATAAWRDYGEIIICDTREEVVEVSDRYASEHLEVQARDLDWWLER LTCYGSLFLGEESTVAFGDKCSGPNHVLPTKGAARYSGGLSVHKFMKTLTWQRMSKDA VRQIGQVTARISRLEGMEAHARTADDRLAKYFPGETFDAGEAVTV MIM_RS04955 MKNRELFGLSGRTALVTGGNSGIGAAIARGLGLAGAQVVLVARR EQPLIDQVALLTEEGIAARYCICDLADHSALQACADEAMVSVGDIDILVNAAGVNLRQ PFEQVDYDSWRQQMELHLAAPFFLTQALAPAMKKKGWGRIINIASLQSYRAFADSAPY GAAKGGVVQLTRAIAQEWSPYGITCNAIGPGFFPTPLTASVFGQADLSQRHAAQTCIG RNGQLDDLHGVAVFLASQASSYITGQVIMVDGGYTAK MIM_RS04960 MSTSEHGQARSNLHRATISSLFGSIIEWYDFFLYGVVAGLVFDK LYFPGENEFISTMLAYGTFALGFVARPLGGVIFGHFGDTIGRKKMLMLTLLLMGGATV AIGFIPTYAQIGIWAPILLLFFRIVQGIGLGGEWGGAVLMTYESAPQASRGFFSSIPQ MGMSLGLVLASGVVGLLSWKLSNEAFLEWGWRVAFLLSGLMALVGGYIRNHVSESPEF EAMKQKAALSENAEKTVLPLVETLRRYPRMILACMGARMIDGVFFNVFGVYSLNYLTR ELDLPRTSALIGVMMSAILMTCFIPFWGSVADRVGKARVYGLGALFASLSAFPAFYVM QTFADNIYLVWLAIVIPFGIFHAAVFGTMSSLFSSCFDAKVRYTAISFVYQLAGVVAG GLTPIVATVLTDINGGAPWLLCLYVLAAGLLSVACTRWIARHVPDHGTYAYKGAAGSA QTSIS MIM_RS04965 MKALVYTAPNEMELRERPLPELQAGEVVLRIEAAGICGSDMHAY HGHDPRRKPGLVLGHELAGVVAESAVDTIKVGQKVTVNPLITCGVCQYCLQGRDNLCS NRGMVGMSRAGAFAQFMSVPAKSVIPVPADMPPVMAAVTEPAATVLHALNLAMSRMHR PLPEGRVLVIGGGAIGLLTAILLRAYGCKHVDLAETNALRRASAERHAGCVAIDPIAT TPRQDYYDLVVDAVGAKPTRNTALNSVRPGGTILHIGLQDWGSEIDMRKLTLAEITLL GTYTYTMADMYATVNALHNGTFGDLSWVETRSLNDGVTAFTELDQGIIASGKVVLLPH MIM_RS04970 MTDQTVLKVVLHAPTADALQRARSNAGNLLREAPAAQVKIVLNA KAVMAALDEPHDTCDAITWLCPNTLKQANRQNRAPLQVLAHGAVLELARLQQDGWIYI RA MIM_RS04975 MKHAITDNRYRTGYILVTTLFFMWGLSYGLLDVLNKHFQDALHI SKAQSGLLQAAYFGAYFIIALPAGMFISKKGYKAGIITGLSLYAIGALLFVPASSAMT FPFFLFALFVLACGLGFLETAANPYSSALGAPETAETRLNLSQSFNGFGQFCGPLIGG LFFFEGASTEQSGAQNSAVTITYVAIAIVVVLLIILFARTRLPDLRDAENLLDQKNAS SNQRSLTSHREFIGGVVTQFFYVAAQVGVGAFFINLTLETWNGFEAKDASFLLSIGLL AFMIGRFVSTGIMTRIPASRLLAIYGMINVVLCGMVMTALPGVASVAAIGIFFFMSIM FPTIFAMGVKNLGSKTKIGSSFMIMAIVGGAVMPYFMGKIADGHHTALSYGLPLVCFI IVAVYAALYDRLSRKSA MIM_RS04980 MTYQRYCLALDLVDDPEKIQTYIVQHRKIWPEVKANILACGIID MQIWHIGTRLFMIMEVDETYSPARAAAIAANEPRIEEWETLMWQFQVPTPWTPAGEKW VAMEKIFDLKLQ MIM_RS04985 MDLNLKGKVIIVTGGGSGIGEAISLTLAEEGAVPVIFARSPLSQ PLHEKLSASASGYAFRQLELMDEAACAAAISATAEQYGRIDGVVNNAGINDNVALTAS VDEFRLSLEKNLVHYFTVVHHALPHLKKSQGSIVNIGSKTAVTGQGQTSGYCAANGGR LALTREWAVALLNDKIRVNAVIPAEVMTPLYESWIGSFDNPQEKLAGITARIPFEKRM TTPREIANTVVFLLSDRSSHTTGECLFVDGGYTHLDRAIV MIM_RS04990 MIDTHVHFWHYNHDEFPWIGEDQAALRQNRLPGDLTALNAGRIT GAIAVQARPMLSENDFLLQLAQANPIIKGVIGWFDFDAGIEPQLETAGGHAMIKGFRH LIQDEPQPSLYLTEHQGLQQGVQAIQQQHYIYEVLAHQADLPAVIKFCARHDKHWLVI DHLCKPAFSSPSAFDWWLLHMRKLAALPHVVVKISGLVTEAGPHATAADLQPYVDEVW ALFGPERLLWGSDWPVSSATHSYSSLLTYWKDNTSDRQQAEIHRVELATPVKIYQL MIM_RS04995 MTKITQMEVLDIRFPTSTALDGSDAMNPDPDYSAAYVILHTDNP SLAGHGLTFTIGRGNEICCQAIEAMRHLVIGLDMDSVKASPAQMWRKLTSDSQLRWIG PDKGAMHLATGAVVNAIWDLWAKMEGKPVWKLVADMSPEQLVNCIDFRYLTDAITQDE ALRLLQERAQGKAARETSLQSEGYPCYTTSAGWLGYSDEKLARLCQEAIDQGFNYIKL KVGRDLEEDKRRVRIARDIIGPDRKLMIDANQTWETEQAITWVQELAFANPWFIEEPT SPDDIEAHRRIREAIAPIQVATGEMCQNRIMFKQFMSRQAIDVVQIDACRMGGVNEVL AVMLLAAKFKLAVCPHAGGVGLCEYVQHLSMIDYLCFSGTHEGRVTEYVDHLHEHFID PCVIRHAAYMPPSAPGFSIEMKPESRRQYAFRA MIM_RS05000 MESDRVYQRIAASIREKILNNSYPVGSRIPPERELASQLQVSRT SVREAIIALEVSGWVEVRLGSGVYVLQRGPAQVNHEDPPVHPKLLPHLALSTEVTPFE LLQARLVLEPEIAAQAAQRRSDEQMQSIKDAYLMNVQDNLNHSQDHIGDRLFHIRIAE AAENGAYAFFVRYLLGKQYTELFGRLQQLYTPDDMPLRSQLEHHNILLALDKQDADGA RQAMHQHLTNVIRIFMRSVDSAS MIM_RS05005 MATTSEKPARKPNAAFMKPLTPSAELAQVIGSDPLPRTEVTKKI WEYIKKHDLQDPKNRRNINADAKLRPIFGKDQVSMFEMTKLVSTHLK MIM_RS05010 MLITDTAITLIQPDDWHLHLRDEEALRAVVAHSARQFRRAIIMP NLRPPVTTVEQAGAYRDRIVAALKEAGRDPATFTPLMTLYLTAGTTAQDIEQAAAADF VHAVKLYPAGATTNSDAGVTDLLDQCDKALAAMEKYGVPLLVHGEVTDQTVDLFDREA VFIDRVMIPLRQRYPSLKVVFEHITTKEGVDYVTQAEGPVAATITAHHLLYNRNAIFT GGIRPHYYCLPVLKRETHRQALVAAATGDSDRFFLGTDSAPHARGLKEHACGCAGCYT ALHALELYATAFEQAGRLDRLEAFASLNGPAFYGLPVNTETVTLERKPLEVPYTLEYG ATELVPLAAGETLNWSFAD MIM_RS05015 MKIQITPERYVAVDDYFNRLLLADDTIPAKVLAHCEAHAMPAIQ VAPNQGKLLNLLVRIKGAKRILELGTLGAYSTVWMALGMGPDGRLITLDFDENYVKVA RESLRIAAVEDRVEIRVGRAVDSMQALIDEGAAPFDFIFMDADKENNPRYLELALQLS APSTVIVADNVVRQGQILDAHSDGSNIKGLRRFFDDMTGNPALSATAFQTVGSKGWDG LSIAIVNG MIM_RS05020 MAANTLITLLNAQLAFGHHALLDNASLTIQEGERIGLIGRNGAG KSSLLRILDGRSVPDDGEISRLGSLRSMTVEQEPELPEESTVYDTIVGDFLSTEDWQR PTRANMMIEELGLPPDSKIAGLSGGTRKRIALARAFVEQPDLLLLDEPTNHLDFQGIA WLEKRILQNRGACVVITHDRRFLDAITTRIVELDRGKLHSYPGSFSQWQVHKAEWLAA EKLQNERFDKVLAQEEVWIRKGVEARRTRNEGRVKRLEQLRRERSERRERVGNVNFAV TTGERSGKLVAELENVSKSFADKTVIHDYSTTIMRGDRIGIIGPNGAGKTTLLKIILG KLTPDSGQVRLGTNLSVAYFDQMREQLDDNAALTEVINPGSEWVEIGNQRKHVMSYLG DFLFSPARAQSPVSSLSGGERARLLLARLFARPANVLVMDEPTNDLDIETLELLEELL QEFSGTVLLVSHDRTFLNNVITQTIACEGNGQWRDYVGGYDDWLTQRPSSIQQQESAS ASTQAKNTSSTQPQAAARKENKPGRLSNWEQQELERIPQEIVVLETEQGELTQHLSEP DLYADGPEKAAGIHERLLEIEETLLAKLERWELLESKKGN MIM_RS05025 MFQGNSALTLDAKGRMTIPTRYRDALTEAADGALTVTRNFDGGL LIYPRPVWEEKREAIMAFPMSARHVQRMLLGNAQDVEIDGSGRILIAPELRMATSIVK DVVLVGMGKHFDLWDATVFAQQQAQDLAKGLPDSLEHFSL MIM_RS05030 MAEAGYEHKSVLYEETVNALVLADFHAKSAAVRQWAVPVKRDGV FVDGTFGRGGHSRLLLSALSESARLFVFDKDPRAIEAAMQLSREDARVTVIHDGFATM VPALAEQGVTQIDGVMMDLGVSSPQIDDAARGFSFMRDGPLDMRMDTSRGQTAAQWLA QASVDNMKEVIKYYGEERFAFQIAKAIDARRQSSPLCTTLELAELVANVVRTREKGQH PATRTFQAIRIHINEELKELADTLASILKVLAPQGRMAVISFHSLEDRMVKQCFAAAS SLPPELARMPLRESEMPEPVLRNLGRVLAEESEVRDNPRSRSAVLRVAERTAAVWNQA HENAIRAALPGMDTQKRRGSWPASH MIM_RS05035 MARLALMFLIGFFMYSAISLVSSRYQQRLLYIDIGRAQAAEREL EVDWRHLLLERAQLTTSANIDRVMSDKLSMQTPQINQTVFIKESEMNAGAARAASVTE KSAATPAPRGAQ MIM_RS05040 MKLNLNLFKSKKTSRGGYQPGKRPSQHHYYSSSPVLHVQIPKWR ARLLFVVISLGFTTVIAKSLYLQTMNNDFLQAEGGKRYERTLVLPASRGRVLDRNGEF LATSIPAKAVWASPEETRSATPEQLATLAKLLDMPLKDLTTRLNSSDKTFVYLRRQVA MEPATEIQKMKIPGIGLLSETRRSYPQGSLMAHIVGFTNIEDRGIEGVEMEFDKQLSG QPGLRKVLRDRLGRIIGDIQEVEPARDGQNLELTIDSRVQFLVSRALQKAITDHEAAS GAAVVVDTQTGEILSMVSLPTYNPNIPQERRGAALRNRAITDTFEPGSIVKPLVAALA LDAGAVTTKTKFNTGHGTYRYQGATITDVSTRNGVLDVAGIIRRSSNIGMTMISERLR SDQMWTVFNALGFGQAPNMGFPGAASGRVRPWERWRPIERATMAYGYGLSVSLLQMAH AYTALARNGDMISLSLVKNRANPTSIQIFKPETARAMREMLEDAAGSEGTKIQGKVMG YRIGGKSGTARKIVNGHYSRKDYRGSFVAMAPISKPRIIVAVTLDQPRKGGYYGSLIS GPVAASIIEDTLKYLAVPPDAPIEPAPLEARNTVPKRSQG MIM_RS05045 MNSGQIIQWLASHVTDKADLRLDSRDIQKGDVFVACAGSVVNGL AYIPDAITKGAAAVIVEVASEDQRQAAQDAEHAVPVLPVVGLRALLGEIADSWYEHPS AAVSVLAVTGTNGKTSCVTWIAEALNAIGKACATIGTLGTVMPDGTNLGGYLTTPDVL TLHRQIAHMRDAGVEYVAMEASSIGIEQGRMDGLQVKVAAFTNLTLDHLDYHQSMARY EAAKAALFVWPGLSHAIVNVDDEAGGRIFASTTAQAKAGYSLKAAPTAAFTAIEHEFR DYGLVFTLRTPDGDAHLTTRLLGEHSIANILLVVAVLDALGIRLQQSAGLVSFLAPVP GRLQTVTPVGTDAGKKRPLVVVDYSHTPDSLERALQALQPVAAARGGQLLCIFGCGGD RDKSKRPIMGQIAARLADQVLVTSDNPRTEAPDAILSDILKGMPQGSRAEPDRGCAIV EMILKASAADVVLVAGKGHETYQEINHERQPFDDLEWSQLGLLLRDRPAISTDSRHIP ENGLFIAIRGELHDGHDFIGDARQAGAAAALVSRRDPAVDLPQILVADTTLALMSMGH AWRRQYRLPLIAVTGSNGKTTTKEMISSVLAAAVGPEHRFATEGNLNNQWGVPRSLLQ LTADHQMAVLELGMNHPGEIEQLAVLCEPGIAVVTNAQREHQEFMHTVEAVAIENGQV FLSLPADGIAVFPADEPYTELWRELAGARRVLTFGLTADADFYAEAVIPDPLGISFVM NTPVGQIDVRLAIAGLHNVRNSLAAAACAHAAGVSLADIRDGLQAFAPVRGRMRSHTL SGGLTLVDDSYNANPDSVIAAINVLAQLPAPTVLVLGDMAEVGENGPQMHEEVGQYAR NKGISYVFTYGNASALAARACGPTAEHMTDIHEIAEKVVAKQPASILVKGSRSMRMER VVQDLQSWSEKNEAGHAL MIM_RS05050 MLYELTRWLSPTFSVLSVFEYITLRAMLACATALFIGLMAGPHV IRKLTELKIGQAVRSYGPETHLVKNGTPTMGGALILIAIGITTVLWADLSNRFVWVVL LVTFGFGAIGWVDDYRKVVYRNPEGMSSREKFMWQAIIGLIASVYLTFAVSAPANADI FRLMWAWISSGFSMPLPSRADLIVPFFKSVSYPLGVFGFVMLTWMVIVGASNAVNLTD GLDGLAIMPTVMVGSALGIFAYVVGRVDYSKYLLFPYIPGASEVLVLCAALGGAGLAF LWFNAYPAQVFMGDVGALALGGMLGTIAVIVRQEIVLFIMGGVFVAETLSVMIQVFWF KYTKKKTGTGRRILRMAPLHHHFEVGGWKETQVVVRFWIITMMLVLLGLATLKLR MIM_RS05055 MSTDTGGVADFPSRVLILGLGETGLASALWCLRQNAALHIVDTR DNPPGLAALAEHGQGDITHFLGDQAFSDAALDGVKQIILSPGLAPSEPALAAFLDKAQ QRQIPVSGEIELFARALTDLAATQGYRPQVLAITGTNGKTTVTSLTQAMLETAGKTAI AAGNISPSALTALMQALDTQTLPQVWVLELSSFQMQTTTSLQPDAATVLNLTQDHLDW HRDMQEYAEAKARLLAASPVAIVNRNDAAVVAMVEDINDVQVRSFGVDAPVLVGDTGM ESAHGVTWITASEKDDFELPEAPGARRRKNVDKPRRKPGLIKRLMPADAMQIRGQHNM LNAQAAMLLCRELGVGWGPMLITLREFAAGMYRTQFERSIRGVDFFNDSKGTNVGATV AALDGMGRDIVLIAGGVGKGQDFSPLAAPVRRCARAVVLIGEAAAVIEQALAQTGVTC HRAESMEAAVRQALELAQQGDAVLMSPACSSFDMFKSYAHRGQVFSEAVHELALDNGE VA MIM_RS05060 MSLLGDLRASVNAVKPGRTRMRNYDLLLVAAVVTLLMFGLLMVF SASIALVDGPKYSNSTRYYFFVRHAIFIAVGLVGFSITVALPMQIWERFTLPLFFVSL LMLVLVLIPHIGNEVNGAYRWIPLGPLNFQPSELTKLAILLFAADYTVRKQKYMHDFW RGFAPMMVALGVVGILLLLEPDLGAFMVSVSIAVGILFLGGISAMLFLLLVVVLLGCF ALLILMAPWRVKRIFAYLDPFDPDTVQSTGYQLAHSLIAIGRGEWFGVGLGSSVEKLH YLPEAHTDFIMAVVGEELGFVGIIAVVVLFLIIVHRGFEIGRQAIAMDREFSGLVAQG VSIWMGVQALVNLGVCLGLLPTKGLTLPLVSYGGSSIVMNLVAIAILMRVDYESRLMM RGERPDRRPLREYQ MIM_RS05065 MKSEHSESRTLLVMAGGTGGHIMPGLAVAAQMRARGWKVAWLGN PDKMEGRLVPAADIPLLPLHFAGLRGKGPVALAKLPFLLGKACLQARRALKQCQPAVV LGMGGYVAVPGGLMARVANIPLVIHEQNAVAGTANRWLAKMSAFVLTGFPDVLPGGQM VGNPVRSELVNTPAPDVRYGARQGVLRILVVGGSLGAQALNTVVPAAMALIAPGQRPQ IMHQAGEQHIASLRDAYKQANVSAECLPFIDDMVTAMMSADLIICRAGAMTVAEVAAV GVAALFVPLPHAIDDHQTANAAWLTSQNAAWAQPQREFTAAWLAQWIQSRTRDQLMQT ARAARACARTQATEAIADICERASAGKT MIM_RS05070 MKHRIRHIHFVGIGGSGMSGIAEVLVNLGYKVSGSDLQESAVTR RLAELGAQIFIGHHAENVGEADAIVTSTAVANSNPEVLTARAKRIPVVPRAIMLAELM RLKRGIAIAGTHGKTTTTSLVASVLAAAGLDPTFVIGGRLNSAGANARLGQGEYIVVE ADESDASFLNLLPVMSIITNIDADHMDTYGHDMTRLKSAFVEFTQRMPFYGSAIVCVD DSNVRNIIPFISRPLVTYGFGEEAMFRAVNVQARETRMAFTVERRVTPAKRLPDLDIV LNLPGRHNVLNSLAAIAVATELELADEDIVSALAGFNGVGRRFSYTGDFAVPQAHGGG TYTLIDDYAHHPIEMAATVDAARGAWPERRLVLAFQPHRYTRTRDCFEDFVNVLSRAD AVLLSEVYSAGEQPIVAADGRALTRALRVAGKVEPIFVEDIAELPQTITDFVRDGDVV IVMGAGSINKVPGQLGERNA MIM_RS05075 MRNEFGRVGVLYGGQSAERPVSLVSGKGVHEALISKGVDAHLFD TAEHSLAELAAAGFDRVFIALHGRYGEDGALQGALELLGIPYTGSGVMASGLAMDKIM TKRIWIEQGIPTAPYCQVRTRDDMDEAARQLGYPFILKAPHEGSTLGLSKVTGPQDLF VAFDDVSRFDSELLAEKFVVGRELTVAILGKGDTARAFPIIEIVAPDGKYDFEHKYVS DETQYHCPADLPEDLAASIRQTCEKAFAAVGCEGWGRVDVMLDRDNRYFLLEINTSPG MTPHSLVPMGAKAEGVSYADLCVQILAQASCKIQRTQPVTESAL MIM_RS05080 MITPSARITNFIANLIMLLAVVVMLGAGGYWLIHRPMFNLSRIV IEPMKGNKLEYVSPASIQQTIAGKLQGNFFTINLPEAKKLFEQSPWVRHADITRVWPD GLLLKIEEQEPFAYWNERQMINTWGEVFTANQAELPEDADLPQFNGPAGSEMLVVQRY AELVRWLAPLNLGVDEITLSDRYAWRVELNDNTKLVLGRDPGADALNPHGGQGAVSFA STIERFVQAWPVLKEKVGGRQIAQVDLRYTKGFAITFEPAATTQSDSKEKK MIM_RS05085 MTRDLKDLIVALDIGTSKVVAVVAEALPEEGRFEVIGLGQHESK GMRKGVVVNIESTVNSIQRALEEAELMADCKIREVYTGIAGNHITSFNSSGMVAVKDK EVSEADVARVIETAKAVNIPTDHQVLHVLTQQFIVDSQEDIRQPVGMSGIRLEVRVHI VTGAVSAAQNIVKCVRRCGLEVQDLILQPLASSMVCLTNDEKELGVVLVDIGAGTTDI AIFTGGAIRHTTVLPVSGDQVTSDIAAMLRTPTPDAEEIKLRYGIAKQVLANPDELIE VPGLGDRDVRRVKRQTLGAVIEPRIEELFMMVQQVVRDSGYEDLIASGVVLTGGTALM PGIVELAEDVFLKPVRVAVPDYRGSLADMVRNPRFSTVMGLLTEARVQRLRGGKIKQQ NGTLKDIISRMKEWFMN MIM_RS05090 MDFQLLDDSSNSGTVIKVIGVGGAGGNAISHMMRAGLKGVDFIC ANTDMQALIATEAPVQIRLGRSGLGAGAKPEQGRAAAETAREEIRAALNGANMVFITA GMGGGTGTGAGPVVAEVAKELGILTVGVVTKPFSFEGTKRLRAAEEGIADLSKHVHSL IVVLNEKLYELMGDDASQDDCFKEADNVLYNACAGIAEIINVQGNINVDFEDVKTIMG EHGQAMMGTAVASGADRARIAAENAIACPLLEGVDLNGARGLLVNITASRSLKMSETR LINEIISSYAAKDATIIVGSAYDDEMADELRVTVVATGLGRKQPELVVNNGADVEFEA LTGTHGGNTDLYGGSFLNQPSGGRAPVPPTVIRSGRGGAAAPNKAQFEQQVRAMESSG VERFDIPAFLRKQAD MIM_RS05095 MLRQRTIKNLVKTTGVGVHSGRRVEITLRPAQANTGIIFHRVDT PEVVDLPAAADKVGDTRMASVLQNGNVRVSTVEHLMSALAGLGIDNIHVDLNAEEVPI MDGSAGTFVYLLRSAGIQEQEAPKRFLRVLKTVEVHEGEGENAKWARLEPYAGFALAF SINFHHPAIDSTASFAEVDFARDSYTREIARARTFGFAQEVEALRAHGLARGGSLDNA IVMDEFRILNADGLRYDDEFVKHKILDAIGDLYLIGHPLLARYVACKSGHALNNKLAR TLLAQPDAYEIITYDSAAAAADAVSQDWRLA MIM_RS22000 MEHDARRGRLLETAEQFNLIQGLIAQALQLPVGHACRVVGLDNG TLIIAVPSAAHAAKIRQLTTTIHQLLVAKGQRVDQIRIKIQAGLRSSVSTTAAAAPNT RHALGEQARGALLELQQSTDNQQLAETLARILEKNQARRQS MIM_RS05105 MSMLSLGLAVAAGALLERHFGMPSLPVHEQIASVESSPEALRDA ALIHHNLNVMASQIGQLRAKADTIARLGERLAVSTGADAETSELHKLLSQNAQASDEP MEDLEPENSSVISAEELGRELDSLKTALSRGDDMLKTADLAMQLQGAQQQRTPTAIPV SMNDARISSTYGWRKNPVTGRYMLHSGVDFAAPAGTSVYAASAGIVVAAGTMSGYGNV VDIDHGNNVVTRYAHNSKLLVKVGDLVAKRQVIAKVGSTGRSTGAHVHFEVRVDGEPT DPIAFLSQMSSSTAVASTVPDSLLKRAEQVGAAALSSKIVTDTKEHVRLPPGITTRPR MR MIM_RS05110 MISLLKKIVGSRNDRLLKQYRKLVSRINSLEKTVEVLSDEELAA KTQEFKARYQQDGDLDALLPEAFAVVREASRRVLGMRHFDVQLIGGITLHYGKIAEMR TGEGKTLMSTLPVYLNAMSGKGVHVVTVNDYLARRDAQQMGRLYNFLGLSVGVVVPEQ DNAEKMAAYRADITYGTNNEFGFDYLRDNMEYRAEDRRQRELTYAIVDEVDSILIDEA RTPLIISGQADDNTELYVKMNQVPAMLTRMASEPKPQEPEPEGDFWVDEKSQQIYLSE AGHEHAEDILTRLGMLPEGESLYEPRHITLMHHLMVALRAHNLFFRDQHYVVNDGEIV IVDEFTGRFMAGRRWSDGLHQAVEAKEGVAIQNENQTLASITFQNFFRMYKKLAGMTG TADTEAYEFQEIYGLETVIIPTNLPMIRNDQNDQIFKNDQEKFAAIIKDIRDCHERNQ PVLVGTTSIENSEVLSELLKKENLPHDVLNAKQHAREAEIVAEAGKPGKITIATNMAG RGTDIVLGGSIEKAIALVRADDTLTAEQKEERIAAIREKWLPINQQVKEAGGLRIIGT ERHESRRIDNQLRGRAGRQGDPGSSRFYLSLDDSLMRIFAGERVRAIMDRLGTDGEPI EARMVSRSIESAQRKVEGRNFDIRKQLLEYDDVSNDQRKVLYGQRNEVLEEADSGPLV ANLRDAAITDFVRTYVPAESMEEQWDIPALQTALEAEWQISVPLTDMLEKNDNMGDED IVEAVLAQARENYDIKVALVGKEQWVSFERAILLQTIDTLWREHLSSLDHLRQGIHLR GYAQKDPKQEYKREAFELFSGMLERVRNDTARVLMTVQIQSPEQVEAAEADAAQSHVS NMQFHHSDYDEALANADINEQGDVSAGGITVKNMVGRVGRNDPCPCGSGKKYKHCHGK LT MIM_RS05115 MAQENQQSPEAVLVHVPRRLDTEDAQLALERIQELLKKHAIVEQ LVHRQEAGDDQSTLVEGLIRRQHEAELSNTLNALHPADIAFILESLPTEERQLIWSLV NSKYDGDILLEVDDWVRESLIASMDRADLLAATEDLDADELADLAADLPPDIVAEVQK GLTDAERAQLLEAMGYPEGTVGAIMDFDMVRVREDVTLEVVLRYLRRLSELPDHTDQI FVVDRGDQIQGVLSISRLLVSDPETIVKDVMNTDYLSLGPDDEDREAASAFERYDLVS APVVDQQNRLIGRLTIAEVVDVIREDSQEQDLSRAGLQEEDIFAPVSNAIRNRAPWLF LNLCTASVASYVASQFEDTVSKIVILAFLMSIVAGIGGNSGNQTMTLVIRALAMGRIT SNNVKSLLRREFVVTFLVGISGSLVAAVFAWLVSHSISIAAVMMAALVCNMLIGAMLG VLIPLTRDRFGKDPAMGSSVLLTFATDSLGFFVFLGLANLFLI MIM_RS05120 MSSSLIDFGIALDAGIKAAHAGASVLQAYSHRRSDLIIDHKARN DLVSQADKEAEQAILEAFAELTPQFGIVAEETGGKPEGAATWYIDPLDGTTNYLHGIP HYAVSLGLIAHAGTVLPQATEPLTRDTPVVAIVYDPNREELFTAVAGTGAWLNGQRIA CSKTNALADSLLATGFPFRDFSFEDQYMPIFDTAIHSTRGLRRNGAAALDLAWVACGR VDGYFEMGLAPWDVAAGALLVREAHGRAEDMYGKDPWPINGYVVASGNGLFAALSAMI SPHLKPR MIM_RS05125 MVDALDNFSDVLERAMVHLQQSMQRERVFTVLVLTAKRAQVAAT LQAHWQRHAPAQAQLHLVSFEANANPFAATGYETDDSTDTVSAVPRWATDNPAEGEED VHTALTALLAMTDDTGVPLLPGVHRFEAPAHRLTVTRFIGPSAQTFSQLVAMVDLVLV DSTSQIAGITHYADSLLRIAAPELAVLAPQAVLEALQQAIRSKGARGVALHSVAQPWQ MLLAQLHKPAKPSAASDRTAWVVGGGLAGAGVAYALALRGWQVHVTDPALAPDQPGPQ AGHLAAALTPLISVDDNFKARLSRAGVYRAHQRWADFGPDIIPSRSGTLELARSKGHA RDLLQAVRAMAYPQGWVRLSEPQQAEQQAGVAVLRPGAYFAKGMTVSPPNLIRRLLSH PNIVCHGQQVDAVQKGAQGWQLRSHGSCEPLVIAECDTVILAAAARTPALLAASGLDQ QTLRSGRTQAAMPAIMSMDTLAGQVMHVPTAMLPQVPVSVIGAEGYFLPPVQGQCVLG STYEREPAAQGCTRLGQQHIVSKLAPALDAAALQPVVDALSTGVPLAGLPQAGSRGEP AAVGETNQDAVGDNATTAGTPTSQQSDAPLFTGWAGSRAVIRGRLPAFGPVRHAPGLW LACGYASHGLTWSALAGDVIAAMLNAEPLPLERDLLQAVAPR MIM_RS05130 MIQFDRLVFKSAHATHAEFSTDTDLLLRVEAHGPGVFRILAGLP DRLSEEKQTARQKQRQALTIAREECIGEMLTESLIDESGWRFTQGDVSLVIGNSPLKI SLFRGDSCILRSDDDFETPLVMESEDGQPAHWSVMFDLPENEPVFGLGETTGDFNRRG VELVSDLNDFRYLPLAWNPQGWGIYANSLERVMHDPGTEDNPGTYQIFMDGPVFDLFL FAGDVPEIFNQYSALTGRAGQPPLWAMGAWLKQQPGQSTPGFIAQAEALRQAQFPLDV LDFAQPSMVQFQADKLVLEWDDRRMDDARRSLAALREKFFEVCVPSFPGVPRESVLFD DLEDRGWLLTDDDGNAFVFDGVPENGNVPFGLLDLTYKDAYAFWVERHHQLVDEGVGA FQSSFPVEISDAVSARNGESGALLRELYPLLLRRALFDAVSMNKTPTEGVVPSADLIM AVQRVAWQKTPASANDWDGLTQWLRQALSIQASGVIAVTHELGNPAQLDGDKPLDATL YLRMLGLCVFSAGFAFQAAPALLPDAYPEEIQAKIRTLLELRYRLVPYINGVIEDAVR TGLPVQRMMALAYPDDAKARAWDQQYMLGPALLVAPVLQPGTSVNVYLPEGDAWWDLN LNQRYEGGQVLTIECGLDSVPVFGREGHMLCLGPVLRHMGEFNSARILDEVWMFGMPM HNPVVMRNKIRVMQMQGSSYIKGLEGLKILQSDGLEVKRRGAEVRISRER MIM_RS05140 MGRSVIQLELEGTILAYIPFVGIVSILNLLIQEMAMVVLDRVMR LADFVGRKFEPSPKAANSVDDVLILDADGSMRLNYKNQKVQDNINKHLASLSKINLKS R MIM_RS22005 MALLLVLLILVLGYRHCTAIPAQKAILKRSTGWESYVLLGNHGL GVLWLGFVTFIALLVTLYGAIIIFSLFINLLGVDARPVESFTLWVWYKQIAGVDVWVA SVGLLSILMGPKKIKQDLAKESNKNWVDELRKQDAISNIVIHASLEIKPVKISLKSRK VYVGLIDQEQFERIDSDNIVIIPFLSGHRDKDSLMVAFDSNYIDVYRKNKIENFNVSG EASTTKLSDFRCVIRLNEVESISLFDLQYCQDFRSEAIGLSRGCHSF MIM_RS05150 MADSSFSLKSIAIPAYGPSLLFGFANGAILPVIALSARDLGATP ALAGLIIALIGLGSLLANIPAALITARIGERSALLGASAITIVALLLCIFASHTSLLA LAALLIGVSTSVFFLARQTYMIEAVPFYMRARALSILGGVNRIGMFAGPFVGAGAMHF MGLAGAYWVGIVALIASTILTYLMPDLHVAPKEQDIPVARPRMLQIAQEHAGIFASLG LAVLLISAMRAARQIVIPLWADHIGLSPTTTAIIFGISSAGDMLVFYPAGKIMDEWGR LWVALPCTLIMGLSFAAMPFSDTIVPFAAVAVLMGLGNGFGSGINMTLGADASPDHGR TEFLGLWRLISDVGTSAGPFLLSGMTALVSLGAGVMLTGGFGFISAAIFWRFLPHARG SKK MIM_RS05155 MAVLDKKIAIVTGAGSGFGEGIATAYINEGAKVIVADINEAAAR RVADALGNNASAFTVDVSNGEQVRALVAHCVDTFGAPDIVVNNAAITHKNQPMLDVDE AMFDRMFDINVKSIYHMAQAVIPLMRKAGAGVIVNIGSTAGIRPRPGLSWYNASKGAV NVLSKSMAVELGPDNIRVNAICPVMGITGMFELFMGLPDTPENRAKFISSIPLGRFST PQDVAAAAVFLASDAAAFLTGIELPVDGGRTV MIM_RS05160 MPQMIVNGRKTDAISGQKLDVISPVDGAVFTQIPRGGEADVDLA VKAARIAAEGPWKKFTALERGRLLVKLSNLILENAEELSQLEARDTGKAISSARNDIQ VMARYFEFYGTAADKVHGEVIPFLNGYSVQVIREPLGVTAHIIPWNYPAQMVGRSVAP ALAMGNACVVKPAEDACLSVIRVAELGLEAGLPAGVFNVVTGLGEEAGAALSAHEDIN FVTFTGSNEVGVLVQKAAAEHAVKCVLELGGKSAHVVFNDVNMKLAIPAIVKGILANA GQTCTAGSRLLIQRDVFNEFMDLVVEEFSKTRVGTPEMDLHCGPLINKSQFDRVNRYL EQGKKDGLKILAQGQIDPGVPETGYYVTPTLFVADNHDSDLLMQEIFGPVLVALAFDT EEQAVQLANITDYGLMAAVWSENGGIQQRVAKEMKCGQVYINGFGAGGGVELPFGGVK RSGHGREKGLVALEEMSTTKTIIHYHG MIM_RS05165 MKLEKLLLAGVLAAGLMSAAGAAELKVTMNEATTSGAGKEVGQV TISETPFGLLFTPELKGLSAGVHGFHVHENASCDAAEKDGKTVPAQAAGGHLDPKKTG KHEGPYSDEGHLGDLPGLVVAADGTSTYPVLAPRLKALSDVQQHALMIHEGGDNYSDH PKELGGGGGRMVCGVIK MIM_RS05170 MRKFVFIVFLLALSACSSLTPWRNPPLPPGTPDTGVDSARLNER SDPSFVMILSISGGGARAAAFGYGVLRELADTRIQWNGHSQTLLSQVDVVRGVSGGSI IAAYYALNGSKTFPAFKNDYLYKNFQQSLVSAIFSPQNFAAAVSPTVGRGHVLAQKLD LLFDGKTYEDLYRNPNADLIVLATDLSQGTSFEFSPDQLATMCADIRKIPLSFAVASS AAVPMVLSPLTLKNYAGQCDYSMHKREQVSRLQGDYRMRAYRSDVLGYLDSKNRPYIH LVDGGLSDNLGVRGLMDRVTLGIGQLRRGQISEGTVRKVVFVVVNAEREPSVNIDKSE HVPGLLDVFDTIMFSTSARVTTQTMQMVQDTSRYWDSLRKSLNPQLQALLAPQAKLHV VPVSLRDAPAGLSVPRQHLLRIPTLFTVNRKDVDALIEAGRQTLRQNPDYQALLRELG GQLSPRPAASAVAAPAGRSARSAPSASSTAGESDTARSPATSRSIEVLYSGG MIM_RS05175 MPVSPLTALVTCDIMDQHPTLPSCDTQFRLFGRKRAIAGQIRTV KVFEDNTFIRQVLSEPGQGGVLVVDAAASLHCAVVGDMIAKLAQDNGWSGIIVYGAIR DSEQIDAMDFCVKALGTNPRKSGKNSLGLIDVPVTFGNVRFVPGQYLYSDADGIVVSE QALVL MIM_RS05180 MIKAASLPSYRLTVLASLLCTGAVYAQAATESGSTVAPSTAAVA KLSAVHAQAEAAAPSWETRTSASEITDKQVQNWSDLGRLVPGLDFNRSNSSVNMRGLD GNRVRILEDGIPLPWLQDGSRDVKGGLETINFNTLSSVDVARGAGAGNTSGLTGTVML RGLRPDDLLADGKDIGFLIKGTHDGLDNSIGGDTAFAARLGASTKMLIQYGIHTGSEV KNGGDIGGYGATRTKMNPADYTRKNVGLRLEHELAPGHTLGLGASTFRLDRDIDLMHD QNTSAFAIGNNKMTERISRDRIWADYNYKSTQAYSALDQAGVQLYWSKTRLEENQHAF RNKDGRAFAIPGDPYKYGYPSGLYERSNSIEQKGWGLNLNAGGYWGNAALRGHWTVAG TFADDTYEQYSAGVDNCPDIPAGLPARMGPRTCDFLHSNQSDTPKVKSRDWSLFASHA FIWNDGRFELTPALRYDAWSRKPQTGGGFASNQNGYESELRNRSGNQASPSLEFAWNA SDIYRFTARYGYGYRAPTAPELFLQYGSPANYMRKGDPNLKAERSRGWELGLQARGQV VGGSLNIFETRYKDFIDENVPVGPDSPFYALREQGLYPMGVTTYANRDRVRIYGAEAM GYWNINDNLYTRATAAWTVGKDLETGQHLNSVAPLKGTLALGYRTPQWGAETVWTLAA KRDKVQYPDPTADAPNADFKAPGYGTLDVHGWWAPASLKGVKFQLSVVNLFDKKYWNA LNVPTAGASAIARPVDYYSEAGRYLRVSVIYQY MIM_RS05185 MTQPSTDLKVRYDALLQEDPKLRVRNAAEKLDVSEMELVAAQCG DIDATLLEGTAQTIFRELQTLGGVMLLTRNEWCVHERHGHYESVKVGNGPVGLVLGAD LDLRVFFSSWKYAWAVTQNGRKSIQFFDGAGMALHKVYCTDQTDMAAYDALVEKFRAG NQEPAAVDRTPEAPKAYASEVPELLREDWLAMTDTHDFAGLLKKWNLPRVQVLESAGD DLAQRVDNDAIEVMLHKAAESDLPIMCFVGNKGMIQIHSGPIKKLMRTGPWFNILDPK FNLHLNTTAVHSTWIVNKPTEDGWVTSLEGYTEDGEQIVQFFGARKPGVPEIRQWREL LESFCKQPLAA MIM_RS05190 MKQHAVIRCLAILAAASFFCGSAGAQQAQRIVSLGGTVTEIIYD LNMQDKLVADDQSSLYPEAATKLPRVGYYRAVPAEGILSLKPDLVLASENAGPPASLE KVRSVGVDVITVSDKPTLNSLYERIDQIAQALDVPEKGKALAADIQARVKQAQDTPSE SLRTVLILNRTGAKLMAGGDTTASAIMEMAGLENVLKSQHGYKPVSAESLLALKPEMI IVTKGSLQAAGGIDQFKADPAIAFTPAVKNNRIVVMDDLLALGMGPRVDLAISQLKAA AGHAN MIM_RS05195 MPINAAPGQGRVRKSFTILLLILLGAIILGSVSGAVVIPVQQWW PVLTGGQGDETQLLRQIFLDIRLPRVVFGVVTGAALALSGVVMQALFRNPLAEPGLIG VSAGAALGAVAAIVLTAAGFWVISFAAFAGSLLSTWLAYLVGRRYAGVAGLLLAGIAI NAISGSLIGLLTYIATDTQLRDLTFWGMGSLASANWRTIGLLGPWTLLICLLLCREWR ALNALLLGEREVTHLGFSMKHLRRRLIVGIALLVGPLVAVTGSIGFVGLVVPHCLRMI MGANHRHLLPASMAGGALALVMADWLARIVVIPAELPIGLVTSLIGGPFFLWLLVKRN MR MIM_RS05200 MEQCMRGIDICATRGQRQVLRDISIEIRRGEVLALLGANGAGKS TLLSILAQENQDVRSHDTGTIYINGKDIATQSTRAQARQRAVLPQGSGLTFDLGIREI IQMGLYPFPELDPGVASALLQHAAQTAGVPDLLDRSWVTLSGGEKQRVQFARVLAQLL ARRHDGQTRYLLMDEPTSALDPKHQHAFFRIVTDLAHRDEVGVMVIVHDINLAAQYCD RIALLADGELVICDTPERVLTREHLYATYGIYSQTLPHPFKQGKVLVVWE MIM_RS05205 MKSMDSFGARSILNVGEQSYRIYRLDALQEAGLDVASLPYGLKI LLENLLRTENGKDITAQDIRALASWDPQAQPDREIAFTPARVVLQDFTGVPAVVDLAA MREAMHELGGDPARINPLAPVELVIDHSVIVDVFGQTNAFERNVEIEYQRNLERYQFL RWGQQAFQDFKVVPPGTGIVHQVNLEHLSRVVFTADEDDMKVAYPDTCVGTDSHTPMV NGLGVVAWGVGGIEAEAAMLGQPISMLIPRVVGFKLTGTLPEGTTATDLVLTITEMLR KHRVVGKFVEFYGPGVASVPLANRATIGNMSPEYGSTISIFPIDDETLRYLAFTGRSA EQIALVQAYAKAQGLWHDPQAEPRYSETLELDLSQVVPSIAGPMRPQDRIALSYAKLA FRGALASSIGEPEQRPHGYDKVVDQSFPASDPPAHEEPQSSGAPPADPVEQATADPGP ARAPIPVTFADGTQGQINHGSVVIAAITSCTNTSNPTVMIAAALLARRAVEKGLQRKP WVKTSLAPGSRVVTDYYERAGLTRYLDALGFNLVGYGCTTCIGNSGPLIPEVSQAVAD GDLSVVSVLSGNRNFEGRIHAEVKMNYLMSPPLVVAYALAGTMDIDLFNEPLGQGSDG QDVYLRDIWPSAGEVQEVVDAAIVSDMYTAGYADVFAGDERWRALPTPEGERFAWDEA STYARKPPYFENMSRQPEPVSDIQGARVLAMLGDSVTTDHISPAGSIAKTSPAAAYLT EHGVAPKDFNSYGSRRGNHEVMIRGTFANVRLRNQLAPGTEGGFTRDFTRSDEPVTSI FEASRAYIEKGIPLVILAGKEYGSGSSRDWAAKGTALLGVRAVIAESYERIHRSNLLG MGVLPLQFPEGQNAATLGLTGKESFDITGIVALNQDTTPATVRVQANGVQFDAIVRID TPSEAAYYRHGGIMQYVLRSLLD MIM_RS05210 MSVLSNGWRGVQAGVPVLLGYVPVAMAYGIAAKGVGLSFWETVL ISVFVYAGASQFFILAAIKLGTPLPGIVAMVSLLNARHLLYGPLIAKWLPQALGRRLP AAFWLTDEVFATAFHAMGQQPAQTQFAWYMGLGLTAWLSWIGGTIIGLVAGSGLTAEF PQVDQILRFALVALFFSLALLSVKRPMLRALLIASVVTLVCLIYVGATPAILAGTITA WLCFAPVDQAATAIDSEVQGQHSEGADHGTN MIM_RS05215 MGQTEFWLAIAVMAVVTWLTRALPFVLMRKSGLFGRLTSGRFVI LGPALLVSMTVVVVYSDLQHATSIFTVLTYFCGLLAAGVCARLTRNAGYSVLTGMAAY GIALLLLPA MIM_RS05220 MKPFAIADTLLESRFFLGTAGYPSPKVLQDAITASGAAVVTVGL KRQLTPSASGGAGAALDNSFFQLLKDSGLHLLPNTAGCKTGREAITLAQMAREIFGTH WIKLEVIGDDYTLQPDPIELIRAAEELVKDGFEVFPYCTDDLVTCRRLLDVGCRLLMP WGAPIGSGQGLSNPQALRILRARLPDVPLIVDAGIGSPMDAVQAMQMGYDAVLLNSAV SQAHDPVRMAEAFKLAIQAGRMGFEAGIMARQDMAVPSTQVTGRPFLL MIM_RS05225 MSTIHISVNGTTHEIASGTTLAELIAQIQSAAGQADDPTAIATA VNEVFIPRLKRNDTVLSDGDQIFTFSPITGG MIM_RS05230 MNIGIAGAGLLGRILALVLSRQGHQVSVFDPATSVQARGAAGWT AAGMLSPIAELESGDVEVFDMGVRSIELWSALVPTLSLPVQFHVRGSLLVAHRGDEGA AARLTSLLDAKAPQHARPQMLSRAQLQALEPSIQGPSHAWMLEGEGQIHTVQAMQALA ESAENTTWHWGCPVISLKPGQLQTSQSVHTFDWVFDVRGTGARERPHANSHQLNCRNV RGVRGEIFWLQAGDLTLARPVRLLHPRYRVYIVPRAPDTVVVGASEIESEDRSPVSLR STVELLTAAHSVLPELAEARLIHSEVNLRPALLDNLPRIENEQGLTRINGLFRHGWLI APAVVEKALQSIFEPDFSLIQAA MIM_RS05235 MKITHVLTGAIGCVLAVAAQSALAKIPVYVFGNTPNDPIGTYIT AQVRNQIANSPTLTLVNDMNQAVARLNLQSADPTREGRFTAIAENVDIVNAASPINPW LNIQQHIRICLSESSGSCATTIVNDLTTVALQYGPQLQQKLGR MIM_RS05240 MSDSTSPLSVLKRVFGYDSFRGEQQAIVEHLIAGHDALVLMPTG AGKSLCYQVPALIRPGTAVVVSPLIALMQDQVDALRELGVRAAFLNSSQEWEAIREVE NAFARGELDFLYVAPERLLTDRCMRLLERGRIALFAIDEAHCVSQWGHDFRPEYLGLS RLHEQWPDVPRIALTATATPQTRDEIAQRLGLTQARHFVAGFDRPNIRYTIVEKNDVR RQLLGFIREEHAGDSGIVYCLSRNKTEDTAEFLCREGIDAMAYHAGLPAELRAQRQAR FLRDDGVVMVATIAFGMGINKPDVRFVAHIDLPKSVEGYYQETGRAGRDGLPATAWMA YGLQDVVQQRKMIDDSTGDEFFKRRSGAQLDAMLALCETVQCRRTLLLAYFGQQSQAC GNCDVCLTPPQTWDGTVAAQKILSAIYRLHRERDQRYGAGHLIDILRGKRTEKVAQHS HDTLSVFGVGQDVSEQGWRSVLRQLMAANIVTVDGDGYGTLALTEKSRGVLKGEQVLM MRKESARAAARSRNIMPRGKREVRVLSAAAQARFESLRQWRRDIAKEHGVPAYVIFKD DTLAQIAEQEPSTLDDLAAVNGVGQHKLDTYGEAILNFLDELE MIM_RS05245 MALALTASACVHAKQLSFSFDDGLNPDTNPEAAAINQALLTQLG KAHLHAIIYPSLIKLGGSAGVQLVAQWGAQGHAIGNHSERHLNLNKKEVSTADYLEGI AVAERQLHVLPGWTARYRFPFLKEGDTRQKRDAVRQWLKERGYQSGAVSIDASDWFYN LRYLAYEKAGNTADLAQLRKAYIAHLLDRANYYDELGKQTLGYSPKHVILLHTNKINA ATLTDIISAFKAQGWEWIDTQTAYADPLYKQQPDVIPAGESIIWSLAKQKGSTTLRYP AEDAPYETDNLRRFGLD MIM_RS05250 MVIQEALSFYEQLQQALGRLLPVSATPLHGPADLTVGQVREDVL QLLFDDVARLHPEAGRHYWNAHTWRTCMWVPVYATILAYEHHRCMVDARQLQVHFAEG LAKQVYVPEQAITLNISDETGPATALANSLEQIRQTLGNITPVAAKLAGRHTADSVLA ALLLYYHDLPQYTTAHIRARGDIWLQAIGLPAESGYLDIDMSSYGLPDRLSLNRRVCC QYFRCPNAKLCSNCPKIPMEQRLPLLASDWAEHDRAHVESDA MIM_RS05255 MTPSMVRFTAVPRSLYIAVLALLSVSGAHAQTQQEQEQTTPASV AQLGIIRATSDATSTEPTIGLQSTVSRAASRADMDWIETPHSVSSLTRDRIDAIGAAT GTDALRYTPGAFTGLVGGASRYDYISLRGFNENSTDNLNLDGQKVLSDSGTYSSVQID PYTLERIDVYRGPTSVLYGRNSPGGLVSYSSKRPLDEPYHEITAGIGNMGQTHLGFDL TGPIDKEGNAAYRVVGITRNSKTQYDNNRVERYTIAPSLKFRLGENSRLLLQGYFQKD PNTGFHSGFPADATINTDHNGRRISPEFSDDDEGGKFSRTLSMLGYQFEHDFSPNLTF KNSFRMTSLKSEMAQFYGYGWADENSLNRYYSGGYEELEAQQFDTSLTAVFHTGAVSH EVIAGFDYQHRNVTGRWDSGSANPLNAFDPVYGNPGLNITYREPFDRTLEQFGMYLQD TVRYGALTGLFSIRHDIVDIDNRNPELNTKSGYKGNNTTFRAGLSYAFDNGIAPYASY SESFNPNGYTDINNQVLPPTEARQYEAGVKYQPNEHVMLSAAYYDLKQENVAARHSSA TSYYVPLGVVHSRGIELEANANITDNFTLMASYTMNNMKLKKGDNAGNRPFQAPKQMA SLWGRYGMNNGLALWAGVRYVGKSWADNENTVRVPAYTLLDLGAEYDLGRLNPNLKGA TVRLNVNNVTDKTYVASCATTNFCYYGEKRNIKATFSYKW MIM_RS22010 MTRTFTYADFVQYGELHGITHYLPEAIVQDPSRHQETLAYGQVR EHTLCQGVTLLHTDMHFLVPYHAQSRVQEAYSFAMLLNGQLRIGRERSPLQTVGPACG INTSYHNERMQTHYLPGMRLAALDVLVDQTQIEQNAYTERLLPILDAAPPTFAVAEQD DAFLEHVRLQLQMAQDDSVGSLIYEALGLQLLARVRATPGAPAEWQNSDRLSRQDHDL LGALREYIRREPWQPHTIRSMSQFTGMGESALRKKFQLKFNTSIMACVKDYRLQLAQR YLEQGESVEKVALLAGYRHSSNFSTAFKKRFGVNPRRW MIM_RS05265 MAIQNDALTSAAPRIVSPEPMTPNEESIERALRPKALDEYVGQT RVREQLEIFISAARMRQEALDHVLLFGPPGLGKTTLAHIIAREMGSQLRQTSGPVLER PGDLAAMLTNLEKNDVLFIDEIHRLSPVVEEILYPALEDFQIDIMIGEGPAARSVKID LQPFTLVGATTRAGMLTNPLRDRFGIVSRLEFYNTEELARIVSRSAHLLNAGITPEGA HEIARRSRGTPRIVNRLLRRVRDYADVRSNGIIDNAAASAALAMLDVDPMGLDLMDRK ILETIVHKFDGGPVGIDNLSAAVGEERDTIEDVIEPYLIQQGYIQRTSKGRVATLTTW QHLGCTPPEGPNKPLF MIM_RS05270 MSQLMILSMLVCFALTIPVAVSIGLASLFGVYVAGINWLVIAQQ IFASLDKYPLVAIPFFILAGNLMEAGGISERLVNFAKSLVGSVQGGLAMACIITCMIF AAVAGSSVATTFAVGAILIPAMVRHGYPKPFSASLQATAAELGVIIPPSIPMILFAVS TDTSVGELFISGIFPGILIGVALMLYVWIYAKRHGYGRQDGDGRLPVFKAFRQSFLAL LMPVIILGGIYGGIFTPTEASVVAVVYALVVGTLIYRRISFGILTETLHRTVISTAII MFVIANAGLFGFLLNRAGVPNMLGEWLSHIFSDKVTFLLGVNAALFLIGMFIETSASI VVLAPLLLPVAQQFGIDPTHFGIIMVVNLALGMITPPFGVNLFAACAVAKLPIERLFR SLIPFVGVILLCLLLITYVPWISLVLRDLVYR MIM_RS05275 MNALTRLLDSTLFRLISFIAQLFLVSAVCASFYQVIARFILQEP SDWSEGWTRTAMIWLVLLGVVLACRQGAMLKVEMLHSLLKDPWQRRLEHLVMLIMAGF FGMMTWIGVLMTYRVRFQTIPSLGISISWIYAAIPVGMALALLAVIMQWANARKESQE EDLTLL MIM_RS05285 MIGRLTGLLIEKQPPGLCLDVNGVGYDIDVPMSTFYDLPEAGAK VTLYTHLAIREDAHVLYGFLRQDERATFRALIKVTGIGARTALAILSGMSSADLSAAI AQQDTALLTRIPGIGKKTAERLLLELRGKLDAIAPATPAATGQNDVLNALLALGYSDR EARATVKTLPADLGVSEGIRAALKVLSS MIM_RS05290 MRILGIDPGLRRTGFGVIDAAGMRISYVGSGTIVVPSDIPLHER LKVILDSIREVVDTYSPTVSALEKVFVNTNPASTLLLGQARGAAMCALADRHLDVTEY TALQIKKAVVGNGHAQKEQVQHMVQRLLTLNSLPAPDSADALACAICHAHAAPLATRL SGQDLLLGTRRGRSRLRAGRLID MIM_RS05295 MTIKTALLSVSDKTGIVDFARALHSRGVKLLSTGGTAALLKKEG LDVTEVAEHTQSPEILDGRVKTLHPRIHGGLLARRDSQAHLDTLQTHNIDPIDLLVVN LYPFRETIAKAGCTFADAVENIDIGGPAMLRAAAKNHGTREGGVTVVIDPSDYAAVLR ELDEQGSTSYGLRLSLAKKVYAHTAAYDGAIANYLSAITDAEPEQENEPARQSWPESL TIQLRQHQALRYGENPHQSAAFYLDASVPAGVLGNYVQLQGKELSYNNIADADAAWEC VRTFAAPACVIVKHANPCGVAVADDAAGSYRKAFKTDPTSAFGGIIAFNRIVDEATAQ AVSEQFVEVLLAPEYTPDALVLLAGKKNVRVLTVALGEGQNPFDVKRVGGGWLVQNPD HKPLAASDCKVVTRKQPTAQQMDDLLFAWNVAQFVKSNAIVFCREGMTYGVGAGQMSR IDSARIASIKAENAGLSLQNTAAASDAFFPFRDGLDVIVQAGADCVIQPGGSMRDDEV IAAADEHGIAMVLTGTRHFRH MIM_RS05300 MNNTQDLQECVRTNLELYFKELGDGEAGNLWNMVTSCVEKPLLE VVMLKAGHNQSRAADMLGITRNTLRKKLLSHHLL MIM_RS05305 MQIGNWSLPNPVFVAPMAGVTDRPFRQLCKQLGAGYAVSEMAAS NPALWESVKTSRRLNHDGEIDPIAVQIAGADPAMLAEAAVFNIGKGARIIDINMGCPV KKVCHVASGSALLRDEDLVARILETVVAACRPFDVPVTLKTRTGWDRENRNALRIARL AENAGIAALTLHGRTRCDLYTGHAEYDTIASVKAAINIPVIANGDITDPVKAKYVLDY TGADAIMVGRAAQGNPWIFAEINHYLQTGTFLPPPSWGHMRDVLLAHLDHHYAFYGEY TGVRTARKHIGWYIGSLPEAELFRQQMNRLESCQAQYNAVNQWFTKLINESAPRVPQA AVH MIM_RS05310 MEKVDFDIAIIGAGPVGLALACALDAFPGPRPTVALFQADNPAM HNPARDTRVLALNHGSRVFLEQLQGWPNEHAAIETVHVSQKGRLGRTLISHSDFNVAA LGYVVPYRAVHASLQHNVSGGHTLRHAGAPATVAGEPGSTAATITQNGHTYRARIVVQ CDGAKPDTQLRDYNQHAVITSARAALPRSGWAWERFTREGPLAVLPHPVFADAQSIVW CTSPTRARQLLAADDARFSAELTAHFGDRLGPFTVLEQRHIFPLALNVSKNTVNGRIV TIGNAAQTLHPVAGQGLNLGLRDVAGLVHALQPWLTDPEQDPAPALSAFAESRRADRG ITRRLTDLMPRIFTSGNPLIEHACGLSLMALDLTEPLRRPLARHLLQGYRH MIM_RS05315 MLNQLWRARPANHFRYKTVAGFLACFFGVLGLQGWYLKRPIAPV ITLFSLAMLAWSFTQPVWWDSMPFFFLFIPLWAGFIESAVYCLIADAKFDALYNVNQL RRKPSGVPPGLMALLNLLIAGMVCMFTLSMVVAHVTCLDMAC MIM_RS05320 MDLDLMQWLAPWEFSPTLIALFLLAGWLFVRGTRVHRVTLARQL LFWSGFVVLYLSLHTHVDYYAERMFFVHRIQHLVLHHLGPLLIMGAYPGQIMRAGLPL RARVWLRDYRRSFSGRFITGVLTNKILVPFLFVFLVLFWLLPTIQFYSMLDWRLYLFM NWSVVVSGFMYWNLILDRRPYIAPVLTARTRLGRFLQWTIASGTPAVLSPGARILSPI ITMLPQMIVGAVITFTSTDIYPLFDLCGRALPMSAVTDQSIGGLTMWIPAAMVETFGL LFALSTMMRLSAKGRQKTSRQMREMRLAQQQLKAV MIM_RS05325 MAHAPSAIGPCLTARYFICPVQPNRQTAVTARLNLDPCPSPHWQ WEGHIQTIAAMKWGRRPQQPFVRQRLDTPDGDFLDIDWAGPQAYTHAAFNGQALVIFH GLEGSSQSHYAQAIGAHFVERGWMVAVAHFRGCSGEPNRLARSYFSGDSQDIDFILNQ MRRQLPQAQWHATGISLGGNALLKYTGEQGQQLDWLAAVAGISVPVDLVATGMRLQTS LMGRYVYTPHFLASMRGKMQQKASAFPHDANWNKALQARTLLEFDDAYTGPVHGYRSA FDYWSRCSSQYHLHAIRVPTLLLIARNDPFIPQAGLPAPNQGSKHLLLHYPCSGGHAG FASGSGRGELTWLPQRLERFFTQHQ MIM_RS05330 MGTKSTAAPKRAVFASPEEVEQAFYEALRLGDLMRVMNTWADDE ETVCIHPGGERLTGTSAIQASWQEMLNSGPIHIHAHHPVVITYGMTVIHIVIEEVQAN TPRGNRELLFYATNVYHKGRTGWHLVHHHSAPAPSDVLLSEMHDIPNLLH MIM_RS05335 MSAQVQTAPIEVSASDLPVYCPGPNAPTWSLHPRVFLDVSKTGS AACPYCGTVYRLKEGEKVHGH MIM_RS05340 MFSPFILLARVLPAAGDAHRLARQQAIQLGMRAVAPHLLALSAW GFVSGVAMVNYGLSFWQSMIMSVWVYAGSAQLTALPLMAAGAPVWMVFLAGLIVNIRF VIFGATLYPFFERYSHFKRLVLGYLLTDINYVVFVSLFRHVRQKRRTVYVWTYLSMGT AIAAAWQLSTLAGVLIGDRVPREWSLEFAGVLTLMAVVIPLIKGRPMLVTVVVAGAVA WIGQPLPLRMGLFIAIVAGIVAGILSEKAMTKGVRA MIM_RS05345 MSDTYIAIIIVLMGIGSLLTRAGYLMLGQYFPLSKTVSRGLRYA PVAALTAIVVPSLLPWTMGEWPQLGAEFFAAVVAALAFLRVRNILFMIAVGMAVLWLL RWIF MIM_RS05350 MTDANTTASGKTTSFESFTEVGLHPDLLKAVMASGYTKPTPIQA QAMPLIMDGRDIMGAAQTGTGKTAAFTLPLLHRLMPTANSSMSPARHPVRALILAPTR ELADQVAESVKRYSHSSPLRVAVVFGGVDMNAQRDQLRKGCELLIATPGRLLDHIEQK NVSLSQVSVLVLDEADRMLDMGFMPDLERIVRLLPKPRQSLLFSATFSNEIRKLARSF LNNPVEINVAPRNATAETVTQIAYPVAPNEKKAAVLYTLKSRNLSQVIVFVNTKIGAS RVARELVSEGINAESIHGDRSQAERIKALEGFKSGEISVLVATDVAARGLDVAGLPCV INMDLPFNAEDYVHRIGRTGRAGAKGEAIAFYTQSDERLLEDIEKLIKTPVPRGVLSI PSVFLARGHHPVRNAGGKPERFKKTFPASRAAPIDDFFFRPYEPSKQKAETDTSSATR RHESHGPKPMLAVLLGGRPHREN MIM_RS05355 MFIQTPGQHIPQLLQALDNPDTWLIACYCAAWCDTCGDYHEAFQ GMSERYPQHLFLWIDVEDNPELLDDLDVENFPTLLIQTGGQTRFFGTMLPYISHLERM LQAVNDDPDTLADGPADLRTLLAASA MIM_RS05360 MQNSTPFGQQSESVPSPYEGVGRAFGRALVSQLQPRMLLALLLP FAAALIAMLFFARFLWGPVADWLNLFVTQSEMMTDMESWMAGVGLFSLTVYVVHILVF VIMVTLGSSIGLLIAAVLVMPMVVGHVARTDYPELDRRGRQVFVSSMVNSIKVVLVFI AGWVITLPLWLIPPVAVLLHVFWWAYAFTHMLRFDALVEHATEQERAQVIARRRGGGW LIGTICAILNLIPLTWIVLPVFTSLVYAHHGLAALAEQRRNSPPLLSAQAGL MIM_RS05365 MNDKAARKISLIIVGDEILSGRRQDKHLSRIIELLTARGMQLSR AEFLPDDLETLIAAYKRSFASGDIVFSSGGIGATPDDKTRTAVAQALGVELALHPEAE RLITERFAQLAQEGRGTHDPGSPENQRRLAMGVFPVGSEIVPNPYNRIPGFFIQDHTF VPGFPEMAWPMVEWTLDNRYADYQHLRQLVSHNILAYGVPESRITPALEHIETNWAGV STFSLPTMGQGAGAYIDLGVKGEEPAATAAFDYLKGEILRVGGRFHPDKPV MIM_RS05370 MTQAASDSDKASAVSGISIQVLERTMKVLDVLADQPHPVSLKDI AALTALHASTAHRILNDLAVGRYVERVNNGMYQLGIRLLELGALVKDRLNVRDVAHDH LQTLHHLTGQTVNLSIQQGDDIVYIDRAWSERSGMQVVRAIGGRAPLHLTSVGKLFLA SSDARYVRAYATRTGLSGNTRNSLTDLDRLERDLSFVRRHGYARDNEELELGVRCISA GIYDDSGKLVAGLSISAPAERLQEEWVEMLVSTAAKISAALGYE MIM_RS05380 MSAIPQHIIDRQKSALNAAVAVQGSLFGGFEKLVDLNLKVIKAT LGEVAEQTQQVAELKDPQEAAAYVSSLAQPNSEKAIAYTKHVYDIVSGVSNELIKLTE AQVAEGQQQVSEAIDQLAKNAPTGSESAVALMKSSLATVSTAYDSMNKAAKQAAEVAE SNISAATNATIKATETATKAAATASRSTARRQA MIM_RS05385 MSLAYRLYPLIRPVLFSMDPERAHERTLEGLNRLHQWGLLKKVL DARPSAPLRLLGLSLPNPVGLAAGLDKNGAYIDALSHMGFGFMEVGTVTPRAQPGNPK PRMFRLPQANALINRLGFNNQGLDAFLSNVANSEWKKQGGILGLNIGKNAATPIEQAA DDYLQGLAGVYSQADYVTVNISSPNTQNLRALQQADELTGLLSALRDKRKELADKHGR AVPVVVKIAPDLDANQVATIAALLPQYEIDGVIATNTTLSRKGVNGLPHAKEKGGLSG MPVHEASLKVIQGLRRELAADFPIIGVGGIMEGAQAAEKVQAGANAIQLYTGLIYRGP VLVDECVKAIAQISQPASATT MIM_RS05390 MSDIHDPSFNVLQFYLTAPYSCSYLDGKMARSQVVVPGHLIHPP VYAQLLRTGFRRSGLFTYRPRCDQCRACISVRINCAQFAPTRTQRRILKRHSNLRATI RALEWDQSHFDLYRKYQASRHAGGGMDDDSAEQYEEFLLTSRIDSQLVEFHDEQQVLR MISIIDVSDDGLSSVYTFYDPDYAGSLGTYGILWQIGHCNERGLPWLYLGYWIAQSRK MAYKINFRPIELYINHRWIDSADIDLSELSTF MIM_RS05395 MTLPCLDNNEPFPPACQALKEPDGLLAFGGNLSTSRLLQAYRLG IFPWYSADQPVLWWSPSARMVLQCDEFKVSPSLNKLLKRVARNEREPDAAISVTTDTC FETVMRACAAPRGTQGGTWITEEMIAAYTALHHQGYAHSIETWLDGQLAGGLYGINIG RMFYGESMFSNSSNTSKIALAWLVRFLRANQVPWIDCQQDTPHLASMGARPLPRAAFL RHVSEAVVQPGLCWPAGQMLGDGTIVPPM MIM_RS05400 MTLPSALHYFPAPTTQAFCSQCGNPLTRLVPPDDNRERDVCNHC GAVHYRNPLIVVGTVPVWNDQILLCRRAIEPRYDTWTLPAGFMELSETTSQGAMRETD EEAGADITLGRLFTVLDVPRVNQVHMYFLATVTSERLDPGPESLEAKFFKLDDIPWDE LSFRTVSHTLKLYLQDRTSGVFTTHYHSIE MIM_RS05405 MDEQVLGAMQKWPNVPAVYGWLSLSAQGDWLLHPHGDARQGHSG EKIANEQIRQFMNRNYAANEAGEWFFQNGPQRVYVDLAGAPYIVRLANDMQTLLTHNE LPVTRISQWLLDEHGRLYMQTEHGAAMLAGRDMGLLSPHLLVVPEANDTSVAATPLDQ AHLQALQEGNTLLVSLDIAVRLTAPMQWLGNMHIPARLGFVREPVAG MIM_RS05410 MTPLNEADIGIALASLDGWALDEDGKALRRQFVFDSFVQAFGFM TQVALLAQQADHHPEWSNVYNKVDIRLTTHDAKGLTQRDIKLAQAIDAL MIM_RS05415 METLNLNPVRKHGCADPQAVAPSADWTIDQNWEAYTQEEHGVWK TLYERQSKLLPGRVCDQYLDCMKQMPITADEIPDFRRVNDLLMKHTGWQIVAVPGLVP DDVFFTHIANRRFPAGQFIRSKEKLDYLQEPDVFHDVFGHAPMLMNPAIADFMQAYGQ GGLKALERGILPFISRLYWYTIEFGLVQQKDGIRIYGAGISSSFTESRYCLEDDSPNR IGFDLERVMRTDYRIDDLQKSYFVINELDDLFELAQIDFDPIYARITDQPTYTPDRIV PGDRVLHPGTLRYHRQKAARTED MIM_RS22015 MSVDFSQFFNGPIRESLIAQVSDYLDISRQQATTAYDKAVALVI GAMARQAGKPEGARELAAHIHNSQTQTSLTSSALSPTVLTNDQFAQIVTLGQSEQAVI LGAQQEAVTSHLEVATGLDTQAVGSLLSIVVPFVLSFLKNNITTESTPAKTLPVLLAT QAPHVAAQLDAPALAALGEESLEGLFGADALAAADANTAAASPNGRQGDAQGAAARDK PANGWFKWVLTLAVVLAGAAWIKSCTQSDTADTENAKSAAQQQSTADAPAAENVTVTP ATPVAPAADTSTGEGSKATESGGAAEPSANFPEPAATQSASPGASAATGEGAQAGQEQ SETTQPERADQSATPDSAATAAATDAAESASATPAESNTDGPTYGQASDSMEPDAQEG AASATAPASADTSAAGSSTEPAGQQATEATQETGTGGAPADTTETGHTSSVQEAATQD AAATTSASDASGAAAEQAATGSTATDNATTSGAAADSTAAGNAVTDNVAADNAASGKT TTFAEPAPLAEKPAAAAAEQTAAAEQTTEPQDTTGKTTSFGESEAFDSAVKPAQPQEG STDQPSDTPAESATGNGGAAQPSASDMSSGKTTTFGSAEPDAAAAANPAQTDGTAASA GAAGQSAVSTADSAQANGSAVARVQLERDGDALRISGTVPSESARNRILNAAKLIDGH SKIEDELKVDSQAAALAFDDYSGLLSLLRGYHDVNVSLERAKLTLTGQVSSDAEKETL TTRIQNLLGDSIQVDNQASVVASAQTAATQGAKTPETIPLVQFASGSAQVDSRFHDSL DKYAQQLKENGKSVRLVGYADESGSEPGNETLSQRRAESVKAYLILRGVQARQIQTEG QGTQAPVADNTTQEGRARNRRVEIHEQ MIM_RS05425 MNATNSLLVLCCLILPLTACTPFSGAGTRSQNGLSRIRQPKPDP MTTGNLTAGNISLPARAFTAFGTSPNAWSAVIDGPILQIERSATQVATLTAERFDYQG GLRFLAKDYTTRQSNRQSKNIVNLIINQKPCISPHGTYDLSAELFYKNRKYSGCAVVG APFADD MIM_RS05430 MASNPSTLVPPARSQINLVPAAISAALIILGLIYLNGAVSGRQA ALWIVGALLGATLYHSAFGFTSSWRVFISDRRGAGLRAQMLMLAFGCLLFFPVLGNGS LWGQNVSGFVSPVGTSVLVGAFIFGIGMQMGGGCASGTLYTVGGGSTRMIITLIGFII GSVIGAAHLPWWAAQPSFAPYSIVKEWGVSTALISNFVLFLAIALFTIWAEKRRHGTL ISSAVSAGKAGGWGRLLSGPWPLVIGSVVLVLLNFATLALAGRPWGITSAFALWGSKI LMAVGYDVSGWGFWQGPAAASLAAPLRNDITSVMDIGIVLGALLAASLAGKFAPVWSL PARSILAAILGGLLLGYGARLAFGCNIGAYFSGILSGSLHGWLWLVAAFAGNVIGTRI RPFFGLTVEKTSQTAC MIM_RS05435 MNRVRSVSILYEYGIPGVKFHYENGQTRTLSDEQALKFVGLVEN ERLRSDIDFLDTSHVRKYVANHYFC MIM_RS05440 MKSPGYDDLKSRRRPDEWLAELLRPTLCSVFCWYAYPMNNFKSI VALTLLLSVAHPVWAKSDGGDGPRLVRDNEGWVYVDRDNRPVLRPYIFDNGPDYFEEG LARFVENGKMGFHDKALNIIIPAKYDFVYPFENGIASAGTRCRTQKHGEHSSVFCEKW ENVHKPKESGRPG MIM_RS23475 MGRKPTKYTNLPKGMRVRVRKYGTYYYYDKGGSPRVEIALGSDY LLAVQKWAELEQTAPKSVITFKDAFDLFIQKELPSKSDRYQTDILKDRVPLIEFFEDA PLEEIAAVNIRQYLRWRAERAVQWMKERGRKVTKTTGHTRANREIATFSVVFNYAREM GLTNAPNPKQGVKMHSEKSRDVYVEDDLYQRLWDIADPPLRDALDLAYLTGQRPADVV GITDHDIRDGVLYIRQGKTSEKLRFQISPDLQQLIDRIRARRNQYKIVTTYLITNRWG KPVTTRAVSEWLRQARIKAKIGDVEFQVRDLRAKAGTDKADAEQDPRAAQKQLGHKRL ATTEIYLRNRRGQKVTPTR MIM_RS05455 MFLTDDELKELTGICRGKDGHTLHQLQIDWLRKQGIPFYENARN RPVVVREVLTNRKITEPARPKWTPKVLSMG MIM_RS22395 MKVIKDDDMLRPIKAWTDHIEETALTQAKNLARLPFIAGNGVAL MPDVHAGKGSTVGSVIATEKAVIPAAVGVDIGCFRGDMKVPLLDGTQATFIELETRTE PFWVYSINADGKVAPGKARCVKTRSNAELIRVVVSGGDEIICTPDHEFMMNDGSYKQA KDLSFNDSLMPLYRRWQTRDGYESVSNGKGSSKLTHIMVWEYFNGPVPEGHIVHHKSH IHFDNDPSNMKLMTASDHSRHHRTVGHSFNNADPVFQRMRLAGIQRRSANPLEREEMA RIGKENIERYMRENPEHFANAVKDNGKRGALYLTSFNTSPRACDDCGETLTNPAALRW HKQKEHGYNHKVLLVQPIEERADVYCLQVEEHHNFALAAGVFVHNCGMNAVRLSLKAS DLPDSLTAIRRQIERDVPLGAGGGHKRESDRDANLRRLPVMPNERVQDIIADKIVGSM PKLFDKISAQLGTLGSGNHFIEICIDENQDVWVMLHSGSRGIGNMIGTHFISKAKKTM EKYHIHLPDGDLAYIPEDTEDFQDYMDAVQWAQDYALENRKVMMEKVIAALRLTIPKD FTITQEAINCHHNYVEKENHFGKNLWVTRKGAIRARDGDLGIIPGSMGQKSYIVRGKG ELQSYCSCSHGAGRQMSRAKARQTFTLDDLRKQTEGVECRKDDAVLDEIPGAYKDIDT VMANQTDLVEVLHTLKQVMCVKGN MIM_RS05465 MTDWQPIESAPSGIVVMTKIDDANGERNVQKLRRRGKLWFCVDN WTGDDVMYVYYQPTHWRTLRGGE MIM_RS23115 MNKINWKTVFDSAADYIISSYALAARWLFVGFGFGVGLTMGVAV VIGWLK MIM_RS05470 MTDTDIRAAFERAWRGRVREPINESKKDIAWTWYCHGCQQGQRD LIEAMGEPVAYQVISQHGGNDRLYAAGHVSDQFLETERRVGNTVRALHFVLEVGE MIM_RS05475 MTEQYKLVPVEPTFDMCEAFEESFISNKGMNWRWRIDAGYKAML AAAPVQLSPAETLDREAIRNEVLEEAALKIESMKPGSTIDIVVQSFADTIRALKSQPA QPSDSQADVSDVEALLRIQSYLDRKAKDRQADPELIHTYDGIFELRTEDIRSLFKAAM RAQEQS MIM_RS05480 MSKYIESKLNPDGRTYDHSEVIEAHKKGARVEWYCWHQWKPCAP LWQTITKYRAVIEDKPTVNDSNAKDLLIVPSVNLAAHIRDKFQSGNDIPVERITLTRA EVNEVFPGLLK MIM_RS23120 MKQYIAYFVSHGAENQLKFDTDTGQDPAEVAVDCIAEEFDLPHG DIEIIAIRENKGYV MIM_RS05485 MTPEKLDALYALAKKATPGPWEAFNDTVLVEDETAENEIAYFCG YEYNEDTVQVDAAYVAAASPDVITALIDRVRELEAERTELRKALSLAACELDVLGREL EQVHDEVPHIVGCLPAPDVLPAVRAALNKEPT MIM_RS05490 MTTQTDQELLELAAYAAGVKNARWSNGFGGMAGNQDGRPVIFNP LTSDADAFRLMSRLAMRITYRSVDVDVSCFAACCSEYVPTYKDAAEATRRAITRAAAA IGQSMKEQGK MIM_RS05495 MIAHPPCTDLSVSGARHFATKRLDGRQQASASFFMMLAKAGIPR IAIENPVCVMSSLYRKPDQIIQPWQFGHGETKATCLWLKGLPLLTPTNIVEGRENRIH RMPPSADRWKLRSETFQGIADAMADQWGAEQFDLLRNIA MIM_RS05505 MAINVWTFTGNIGRDAEQRFTPAGDSIVSFPVATQAGFGEKAIT TWARCSIFGKRGDSVLPYLNKGQQVAVSGELAAREWENKDGIKQTSIEVRVNDLQLIG KRDDGQQQAPQQRQQAPAKPSGGADEMSDIPF MIM_RS05510 MASPTAAAAKAGEVLSVGAKSYIRELASQEIFGIDFEVSSKEME KGIEVEQDSIDLLNRVRGLNLTKNTERRTNEWITGECDLYDAERRRGHDIKSSWSAKT FPGWVMDCEDKVYEWQMRGYMMLWDADEWEVNYCLVDTPQRLIGFEPIQMHVVSHIPE MHRLTSWVVKRDFDKEREMIARIKAAREYLTQVIQEFDTEHNLIEV MIM_RS05515 MNEVIERPGIVPQVEGRSSVAEVTKHVIAVQEVMKSVMKQNVHY GSIPGAGDKPTLLKPGAEVLCVTFRIADDFIVEDLSAPGVIRYRVKCIGKHQSTGITL GSGLGEASTDEEKYKWRKAVCTQEWEDTPKDMRRLKYGRKANGHYTVEQIRTEPADLA NTVLKMACKRAKIAMVLNVTAASDMFSQDLEDLDAELVRHLAEDDRENHMQQVRQEWK EKATATETEEALRAVMKAGVKVFQETRDMDGYKEFAAVIQEHGAKLKKGATDA MIM_RS23480 MKRIVSHAKQQRQPPRQQEAKAQAERDAAIKREADAKAAMERRE LELKLQAEKAEREKQEAINRAAQEQAAAEERQKQAVEAERKRQADEIARQEAEAKARE ADKKHKASVNRAAMEAFIAGGMTEDCAKQAVTLIAKGQIPGVKISY MIM_RS05520 MSEVIEQESTELVALPPKETALSVYSTSGGLDPYLERIKAEIDA FVPDTSTAKGRSAIASMAFKVAKIKTAIEALGKTVSAELKEIPKKVDAERKRTREKLE LWQADVRKPLTEWEQAEEERQARHNQNVMRLNQYAANASQEIESLTLLEMLGAVEATV VDDSWEEFESEGHRAKEKAIASLRAAIDKRQQYEAEQAELAKLRADAEARRIQDEKDR IAREAAEAATKAAGSESAGRT MIM_RS05525 MTYFQGPNVVAPRELRRNKYTKLPHLAYLDGHRYNPLTGDWKSI IEPPMPRAAKLFILAFAIGCIALLLNSPGI MIM_RS23125 MAVPSFGIARPSVLVEDQRIYRLGTVAAATRLLVGLSEVALCKL DN MIM_RS05535 MNAPFTIWSLPEAGPITPPEYDDPEPITPHLSNDQIHHRIHDCL TGATREAFTKDKRYWYESVIEWEEEYMMANSAACAISIDLARERMHHVEQMMLIHARE LLDEMTEDQLMDEGIQP MIM_RS05540 MQITIFSLPNGTPREVEITNVNPIDAEFFEHHKVKISMEDIGGM FAVYADIGKVHDGEPDELIELSQGRSCEDTLNALRLQCEEALREMA MIM_RS05545 MRIRPVHPSEYEQLVCIWEASVKETHDFLTPSDIQELRAPLLEE WLPAVTLKACVSKDGLILGFSGVHSRKLEMLFLAPDVRGQGAGRLLLEDAIVNMSVRS VDVNEQNEQAVGFYKHMGFEVFDRSPVDSQGKPFPLLHMRLSNG MIM_RS05550 MSSTACDDQLTVHPDDQAKQASNPLSDFYVNAVAQEHGYAHPLS TLARLDQWIGLHGKEDSITLLIWESQKVIRELLKDKERLDSGCIITQERDDFGDEHSC ERRGLDLRAAIDAAIAESRK MIM_RS05555 MDAITEERLEELLRQRYGLEPKAGMLKVRDGYVTEGDKVWWRGS TGPEHVRVDYEHIRNIRDYPNVYQIAKPKIEVTYLD MIM_RS05560 MDTVGKSWLVGRQYGSEYKHFSDKEKAEWEADFLAKRNPEEAFV IYECIQVSKVELPVKTIKKN MIM_RS05565 MQTSPIQPIEIFFDEVSVQCNKNEGGAALPSPNDFFEWMGVQIR VKHNFELIVEEKRTLVLITFQFEIPRSESPQIAYNLKISVYGKFAQLNLPAFKPKTEE ESIDLIVVNGSSILYSVAREKVLEITSRMKPGPLTLPSVNFMDARPSLKNKKDPQPKE PEKKSTRKKTASPKKSASATK MIM_RS05570 MDKITDLFSEFEKLDSYWESRAQHEFSLKIYQIMKEDNLNQAQV SKLLGVSAPYISSLLSGDRNLSLKTMVKYARKLNRILSVELLKEKSEDLSSFDFLGES DPHYAKLQDDGFLASLKTNRMNAANDQEFSTQFVSTSNGKYSIAG MIM_RS05575 MGMDLVELWQSKDPRKLCWKVYGVLEDGCCQVYDKFLELAQIEA DRKRAGNLLQKIQHIAFNEDGPNLYTKDSKVCHEAIAGTGIYRLRKDQLRLYFFYDRD HVVICSLLLEKRKDKLSDAEEDRLIKIKQNYERTTHRFNIVKNKDYRRG MIM_RS05580 MKNLKYRHLYDENGLLKKEPVPTITPMELDQWLRENVTDPEIRK WYRQKLAESVVVPDEEQE MIM_RS23130 MTDQEANIKTEIAWMRANLTQQAFAEWYKTQQGHQKAWLDDFER LVLPDRIERPAP MIM_RS05585 MNRVFKCVLLATIIFASGCSMPTRTAVTETGDLFNSQNVEKIHQ GMSQAEALALVGVPPMSKMQVMDKETYSWGKSSMVSSGSGYRLFPVGYPVTETLVLSF KDGKVAEKIYNKHQMQSRTTRVQ MIM_RS05590 MNKLYTSLSCIASHSCIDTSCSCVFNMAMSTLSDRIKHYRTKSG LSLEKVADRLGVSYQTVQQWEKGDTTPRASRFQKIAAALNTTPENLVGTRSVIDTVER ALSDWPFKRVTQRDLERLPPEILEDVEDYIQMKLSKLPDDERKSAA MIM_RS05600 MKQYELPLIGPVNPPTFASEAEMSLCKSYRDAVRLSWQLKARKK MTKALAAEHAGLYPSHVSDYLHIDDNPRRRDLPMDKVRDWCLVVGNWVVLQYITRDAQ LNIMEEMIAQRAA MIM_RS05605 MSTDIVNSEEGLQRFIGKARELFLRHKFLRISIKTGKARSLPQN AITHVFYEQIARELREDDELGWKCYCKLHHGVPILRAEDDEFRGVYDSAIKGMSYENK LRVMRYLPVTSLMSKEQLSKYAVEVQDDFRRRGVILQFPEGA MIM_RS05610 MKIKKLNYLRSARHQRLVAALPCVNCGLEGMTQAAHSNYGKGMG IKACDSQLMALCVNCHREHDQGGIFGSKFERWQKEASLVQATRAELQMRGQWMKEVED AFALAFPRLWAAANSNVESATETAGMKKAAVAAA MIM_RS23400 MNHELVNKNESGELVTTSMAIALGTSNDHASVIKLVREYKLDLE EFGLLDFKSESSGGRPTEYAVLNEQQATLLITYMRNSEIVRTFKKRLVKAFYELRSSK TELSRIELLTMALAAEQEKIQLAYERDEAVATKAEIGSRREATAMNTASQAAKKAKAL ETQLDRSKQYATVKRMEMLYHGQKFNWRHLKSTGTEMGIPAIDIFDANYGTVKAYHAD VWMEAYALSIEAEAA MIM_RS05625 MDAKKIIALMDIAQKAIDHHAASRRTAAAKRAYYDSCSEWKDDQ GYDRFQKLDRNSEDWERMMTHAHSCLVEYRKAKKAEYNAKRRLTTAIRKAEVA MIM_RS22030 MNYYQHHIGDYRRDTSHLSLLEHGIYRQLIDLYYMEEKPIPKET QWVMRRLSAKTQEEQDAVKNVLNDFFELSEDGYSHRRCDSEIDQYHQKAEKNRENGKK GGRPAKNKPVDNQSDTEAQNPEKPSGFISESESKANETLTNNHKPLTNIYSAPESEAD IPDGPAKRKSKPTVTLKTFMENCKANGEPVIADYQPLLTYAGSVNLPEEMLVLCWEEF KVRYLPDGPSAAKRYKDWRIVFLTAVKENWLKIWWVEPDGTYSLTTRGKQAEMAHREA A MIM_RS05635 MNIALEAEQSVLGGLLLDNNAYDRLGMLSKEDFARADHQTIFAE TKRLIEAGKPVDVITLSEKLAGVCDIAYLASLETNTPSVSNIGHYAKIVRETAQRRKL LTVGIRIQEDAAKAGADTAAVIDMAQSELEKIAENRESNEPVLASDDLADYLNELERR EEGNGTAVFATGFADLDRKMSGGLRGGDLCVIAGRPKTGKTSLAMNIAVNISYDHPVL FLSQEMKRRQLHDRNVASIGKVDLSHLLNPKQITTKEMDGVGTAVATISALKLYVDDQ GGLRLMDVRVKARGVARKHGLKVLVIDYLQLMVGEGANRNAEIEGITRGLKALALEMD IAIILLSQLNRSLESRPNKRPLPSDLRDSGAIEQDCDMALFMYRDEVYHPETTDKGIC EVNVGLIRQGEPGTVGLVFEGQYSKFSNLERGRLFGQAAPKPKYSNGLRD MIM_RS05640 MDNFIKISDAVQNLLSGFTAETAYLDVSLDMPLAERFSRIKHNY RLFQKSVDAGKASWMETDQYRIADWSRVFTPIEAASWEDIRFSRIPLWPQLPVDRFFL DFGNPIVKVGLECDGKQWHDQRKDAERDAILKAQGWIIFRAEGWRCNRVMPYPDDFDD WSDYAQANFRFRRRHGTMEGLIDTIKHTFEHRA MIM_RS05645 MSPFSITFTVPGAAVPKARARVVKVKGRTMAFTPEKTVNFENLV SWTAKQAMQGRDMWMGPVKATIEVILPIPTSWSGKRKMEAAADHIAPTKKPDADNIQK ALFDAMNDIVFKDDSQVVDIHCWKRYGLTPETRIRIESTCQEAAK MIM_RS05650 MTYVHKFEMNTKGRDFVVGDIHGNFSKLMAVLKEVNFSLYDDRL FSVGDLVDRGPENEDMINFTESGIFFPVRGNHDDFAIRYHRIGKMDDDHYRRNGGGWF IDLVPEMREILVQKLERLPFAIEVETINGRVGIIHADVPCPDWNDLEQYLANKGYRQR AMWARDRFGLQDDTPVSGIDHVVVGHNCHPTVMTLGNVHHIDTGGWLPEDYFGRFTLL EISKPGVF MIM_RS23135 MTQLNFMSVMMVLWLNVYLSSSNTWGRAIAMVLTLGYATIGLVM FFTGDK MIM_RS05655 MEIEYKKMRRRIAALEFDLRALEGQVAQLVPHHGPGLSEAEDAM RDADTEPQKDPITGLVFNPDGWLRVLVQRGNGFLRVNLQIIDSGRFIGTATGSNVEET LGRIIKPCERHDVREVVWDYHTLRGEQ MIM_RS05660 MTQNESAQMEELLQVWWRHNRAYRPALDAPKACPFTREAKPDDT YIDGDEIDARIAANQAEQMEAEIDLLPFMHRAALSVTVGNKVLGNTIFKNPRISREEQ HRMYQEAKEQLLMPFVRRGLMAREVDLRPVLAK MIM_RS05665 MVVLDRVMQLANFVGEKLESSPKSASSRDDVLILDADGSMRLNY KNQKVQDNINKHLTSLSKIKLKNR MIM_RS22035 MALLLVLLILVLGYRHCTAIPAQKSILKRSSGWESYVLLGNHGL GGLWLGFVTFIVLVPAIYGAMIIFSLVIAIFGIEARPVTALTQFLWYKQIAGIDVWVI AVGIFSILMGPQKIKQDLSKDSNKNWTDELRKQDAISDIVIYASSEVKPVKISLKSRK VYVGLIDREQFERIDSDNIVIIPYLSGHREKDSLKVEFDSNYIDVYQKNKIEHFNTSG EPSNAKLADFRCVIRLNEVESISLFDLQYYQDFRPDE MIM_RS05675 MSIDAGAFLFLDADMYKHAKIVMTSINQGKVILDGKEVEGLTDF NLDCGVDKIPTLKLTVMVETVDVELSEVAVKVRQVDVTTLNNNNSRNWAVVDDGLPRY QCGEFTDNDAMDNQES MIM_RS23305 MAHVKLSIHVAWWVMPYLYGVRMMSTLTGQEPDMGKVAYWVERG LSVRVK MIM_RS23140 MTEQSNSSPEQQISQLIEALNRNTEAQTQALQEQRQTTAAILTL AQQIADQNEINGALLDVIADDGEDDEIPQTLTLNQRRQ MIM_RS05685 MPQRPQRPCRHKGCNTLHRNKNGHCDEHQTDTVGWRRTEPAKGT TTQRGYGYRWQQQRERILKRDGYLCQECYRHGKLTLAKEVDHIVNKAMGGTDDDGNLE SKCIPHHRAKTQREAEEARNRAKPIK MIM_RS05690 MKFSQIADESKEVWEDIPGYEGIYQVSNMGKVLSLGRYAYAEYK GTPYRQFRPARLMKTHSGNGYLYVSLEKGGVKVRKGVHQLVLMAFVGLCPEGMEVCHN DGVRANNNLTNLRYGSRQDNADDRIKHGNSRPGSECHLSKLTENDVRKILSCENWDQA RALAKVLRVTRDNVRAIWLRQTWKHI MIM_RS05695 MPGVAGRSGRRPKPVAKKQLAGNPGKRKLNTEEPDFGLVRSIDC PIWMGEFGREMWDTVCPLLCRERVLEATDVQNLEVYCNAYDQFRMAQADVQKHGVTVL GASGSPVKNPALTAIKEATGTMSTFGGMLGLDPASRQRLRGPTDPNNNDNPFAALLNG MIM_RS05700 MAKHPAVEQAHKFARDVLAGKIPACRYVALACQRHFDDLDKSKD PEYLYWFDEKEAQRRIALMELLPFVKGEWGFKRQLVTLEPWQKFGIACTFGWKRRSDN MRRFRESYWEVNRKNGKSVIAAAVGIVMFCADGEYGAEVYSGATTEKQAWEVFRPAKS MIKRTPLLMDAAGIEVNASNMNRPSDGSRFEPIIGNPGDGASPSCSIVDEYHEHDSDD LYTTMLTGMGARKQPLMFIITTAGANIEGPCYDKRREVVEMLEGTVPNDELFGWIWTI DESDDWRDPAVLAKANPNLGVSVYENYLISQQQRAIKQARFTNTFKTKHLGVWVTAKS GFFNVSDWESLKDTTLTLEQFDSQPCVLGFDLARKLDMNSMARLFWRDIDGKRHYYSV APKFWVPEDTIFDNENQRMAERFQKWVNTGHLTPTDGAEVDYRDILEEAKEANRLNPV QVSPIDPHGATNLSHQLDDEGLAPVTITQNYTNLSDPMKELEAAIASGRFHHDGNPIM TWCIGNVIGKFLPGNDDVVRPIKQGNDNKIDGAVALIMAVGQIMTAQEDDNESFMEAI RNPLHR MIM_RS23145 MQIALYTFMLTALAGLASLVAGVYVLAGTGWALCAAGASLLIVS TFIRKGLTSG MIM_RS05705 MAKGFLNVVAQAAQSESIWYKSPIRLTDGAFWSSFFGNLSSSGK SVTTDSAMRLSTVWACVRLISNSVAGLPYSLYRRQSDGGRVSARDFSLYDVIHTSPND DMTAFQFWQAIVASMLLWGNAYAKIHRRGDGTVIGLEFILPRQMTVECGEDGNIKYWY KPKTGARQRIQNKDMLHIPAFALDGRVGLSAIRYGVDVMGAAMSADEAANSTFKNGLM PAVAFKVDRILQKHQREEFRAYTKELQGSVNAGQPPILEQGVSTESIGINPVDAQLLE SRSYSVEEIARWFGVPPWMIGHTDKGSNWGTGLEQQMISFLTFVISPITSQIQQCVNK RLLTPVQRVTLYSEFNLEGLLRADSATRAELYSKMAQNGIWTRDDCREKENLPRMGGN AAVLTVQSNLVPIDKLGESTESQTVRAALTNWLEKGE MIM_RS05710 MNIQNLSSRAWHCELAPRALDKWNPAIRAAVESTENTITIYGVI GENWYGDGVTVQRIDAALRSIGDNPVTVYINSPGGDMFEGIAIYNRLREHTKTVTTKV VGLAASAASVIFMAGAERHIASSGFLMIHNCWTILAGNRHYLRRAADDMEEFDAAMTD LYSERSGVDGTDVAEMMDDETFIRGKRCVELGFATDTLASDEVTEKSDETTASANALK RMDACLAKAGMPRSERRKLYSDIKSSTQNAVGGDKQDAIPTDMPEAVHVTAKETAAIA ASLKGIFPIQ MIM_RS05720 MSIQLVVPQMTAPAERTGVVGGEAAGDPVSEETRSPRYGNEDTG SALLQAALTRENLQAAFKRVRANKGAAGVDGLDIDQTAHHLVSAWPAIREALLTGKYR PSPVRRVMIPKPDGSQRELGIPTVTDRLIQQALLQVLQPILDPTFSEHSYGFRPGRRA HDAVLAAQSYVQSGRRIVVDVDLEKFFDRVNHDILINRLQKRIADAGVIRLIRAYLNS GIMDSGVVIERHEGTPQGGPLSPLLANVMLDEVDKELERRGHCFVRYADDCNVYVRSR RAGERVMNLLRQLYARLRLKVNETKSAVASVFTGRKFLGYSFWMAPKGVVKRRVATKA VMAFKQRVRQLTRRLGGRSMQDVVDRLRAYMLGWKGYFRLAQSQKLWQTLEEWIRHRL RAIQLKQWKRGKTMFRELRTLGASVTVAHRVAANSRRWWRNSGKLLNSVLTIAWFDNL GLPRLS MIM_RS05725 MTDFKALEETQKQTQADLKQVGDSLKQYAEQTQKEIKASGEMAA ETRAKVDEALSKQGELQAQMLEVEQKLMNAGKREEREEILSAGRLVADSLTEQGVSAS FRGSRSVTLPRSAITSVDTSGGSLVGSDRRPGVVMPGLRRMTIRDLLAPGSTTSNNVE YVRETGFTNNARPVSEGQPKPYSDLTFELENAPVRTIAHLFKASRQILDDAAALASYI DARARYGLTMAEEAQFLFGNGTGANVFGIVPQASAYAAASGITVANSQRIDTIRLALL QAELAEFPASGIVLNPIDWAAIELTKDQEGRYIIGQPQSGTEKTLWNRPVVDTQAMTQ DDFLVGAFSLGAQIFDRMDAEVLVSTENDKDFENNMVTIRAEERLALAVYRPEAFVTG SFGE MIM_RS23150 MSYVSSRVLRSFLHEGQVVRRGQSVDLRQAQFTQLASRGLVSEF QDPSKAAGKPQSASPAAQASQNKTAKQSDSGGPKRAPKIEK MIM_RS05740 MSAINLEDAKAFLDVIHEADDGKLQILLDAAEDEALQFIDAPYP YIPDSEPVSEPALPASFVMGVLLLLQANYQATPDDARKLRDAAEIKLMPFRCGLGV MIM_RS05745 MLAQRLRHRIAIQEWMITGQDPVTGAEVGEWVAYELSGKPLDNV PAEVLTGPGKEFIAADAKQAQTTARINIRWFPIDRIDLYRLRILWDGRVYNIVSAETD VTARREWRLRCEDGVNEG MIM_RS05750 MSVYGWHFPPRYARTVRISYTPAELAKGSIITAMMGHFGVEPIF DLPDFGKSPIRSSEPVAIVRPVTVRREWMNPARSPKPEYVNQAARILRKKGFHVVSIA DVQDGQEWIVGDPPEADEYYHKGELSVTQLLAAVQSAAVVVGGVGWIVPACIAAGTPL YVILGGNGGHNAPEKITDKRYMNLKRVGWAKPERFCMCTNNNHQCEKTINGFDRKFTF WLDGIRATSPRGHTQVVARERDGVLPGQIRGYAV MIM_RS05755 MGFYPVKFEDMPYDRAYFDKYRKDRDTPIGRALNEVRVQMVARY WDGRVMDFGIGDGAFLEKRGGDTVGFDVNPAGVEWLQERGAYVDYWAGEHDAVTFWDS LEHEYRIEALVARVRRFAFVSIPIFTSETHCLNSKHFRKDQHVWYFTADGITAWFARQ GFRRREWNDYETVIGREDIASFVFERVEDGDIR MIM_RS05760 MATFVKVEGLQKLTKTLAALPPRMQKAPLRRSLSAGGTVFARAV RANARRLDDKSTPKNISKSVSNRLSAKRTRDNGGSPVQRVYIRPTKANPTYHWVFLEY GTVKMAAKPFMRPAFDAQQASATLRAAQVLNKELLKVALR MIM_RS05765 MNYPPVFVTAFASAEVKSRLGSSPCRVYMFGMAPQNVAKPYAVW QVVGGSPENRMDRVPDTDNFQIQIDVYGDSANDTRLSAESLRDAFEPVSHVVAWRGED RDAETQLYRSSFDVSWFVKR MIM_RS05775 MSIQLVVPQMTAPAERTGVVGGEAAGDPVSEETRSPRYGNEDTG SALLQAALTRENLQAAFKRVRANKGAAGVDGLDIDQTAHHLVSAWPAIREALLTGKYR PSPVRRVMIPKPDGSQRELGIPTVTDRLIQQALLQVLQPILDPTFSEHSYGFRPGRRA HDAVLAAQSYVQSGRRIVVDVDLEKFFDRVNHDILINRLQKRIADAGVIRLIRAYLNS GIMDSGVVIERHEGTPQGGPLSPLLANVMLDEVDKELERRGHCFVRYADDCNVYVRSR RAGERVMNLLRQLYARLRLKVNETKSAVASVFTGRKFLGYSFWMAPKGVVKRRVATKA VMAFKQRVRQLTRRLGGRSMQDVVDRLRAYMLGWKGYFRLAQSQKLWQTLEEWIRHRL RAIQLKQWKRGKTMFRELRTLGASVTVAHRVAANSRRWWRNSGKLLNSVLTIAWFDNL GLPRLS MIM_RS05780 MSVLAQGTDFYAIDPADGSLIDVGCITSLNGIDDAIDQIETTCL QNKERTYRAGLSTPGTATFGLQIDPANEPDHVRLHQLKKEGATIRWAVGWSDGTAAPT VGSDSSGNDIFVLPTTRTWLTFEGYMSSFPFAFETNAVVSSEVGIQISGPIDLLPKTT S MIM_RS05785 MNLQDLIDKGALVREGTERKTVVWNNGTEDVKFDVLVKREWTVA DYESVSGYMSKADKEDISLLAMRVHRMTRQSDGTKFPLEACLRFKVSLLQAICEAINQ VEVDVKEPPTKKRSAPRKKSSAN MIM_RS05790 MNGIGGRTIAECREKLSYQEFLLWCAYIDKHGSLNIGDRIEHSS AIVAAVNANIHSKKKHKITDFMPHFEAPDDKQVLSPEGLAGRLAAVSVPKNEMRLRKD K MIM_RS22040 MAARTVGVLSIDLVGNISGFDRSMKRATMSADTFVKKITVGFNG LSSTLSRMAGPLAAFLSVRQVAQYAQAWTELNNRLKLVTATNQDLAQASKDIYSISQQ TGQALSGTSEVYSRIAKNATTYGLSLQDVAKITDTVSKSVAISGTSAESAAAGLMQFG QALASQRLGGEELNSILEQTPGLALAIAKGLGVPVGALKEMGKQGKLTGDAVVAALQK AAGFIDTQFSNITLTASQNQQRLNNALERYVGILDTELGGSVAFGNLMNSITGYIEDQ TEKIDDLIVDIDWLVDQYDKVTTEIGDFWRNLTEGLPVVGEETNATVSVMERSFADFI VATLEEFQYFLNTVSGVFAALDGANQSVTYNLGVAFENAFLWIKKTAIDAINEIIVAL NQLGNIEIFGQKMGVNIKQLDQVKGEYKEFSNIVTDLQRGFENGSKTFTFADAARNSL TTRRNLEWISKNYGGDGVGDGTRRDIDTGTPGGATAAGGKKKKKGRTGKTDEEKAYAR EQKQFADWVKRQNERIAMLGTETELEKLNAELKLGNWSKLSDAQKEQMRQLADQVDKA EEYNDVLERMSEITGDKLMKEHLKDAKALAMAWQAGALSVDAYVNRMNKLNMEGAEER ISRGMGSSQDMLQSILGKYSDGFNSTQEAIADSLGASFADLSDGAGDTLGQMIFYGDE ANASFSDIAKTIGVDMVKALVKMGIQMLAQKALGTAINTTSTAEATATGAAVTSAMTP AAATASVATFGGAAAAGLAGLMAVMAILPALMGGFAEGGYTGPGGKYDPAGVVHAGEY VFNAQRVREIGLNNLRRLDQMGGFAEGGYVNSPNVSSSVASLTSGAGTGEIKVVNQTS AKVGSAVESRGPAGERIVTLRENEDYIASQLANPNSKISRAIGKNTHAKRRF MIM_RS05800 MKKAILLLAAVPLMSIAQPKEVKRNELEKIVHIESAKYVGYDVV ARRYGNAKVEVIYKNVSDKAINGVKIVIDTRDIFDDRLTGTYFKDDKPLAPGESRTRL EKFGADQDKFKDKPVDKITAEAYPIQIITGDGQNLKDDSVNTL MIM_RS05805 MADPLRLPSGFCPIISAYSGSGPGGVSRSEVEGGYPRYSLIWDG GVMQIALTLRLAQDELFVWAHFFRLIKKGAEQFICPIDTGLGMNDHLVNIVPDSYSTT RNGLFTDVSFTVDVEPEVYKSTEEQSMDIVQAWEVIQQYPMMLRALKRLEISANYDVQ ALNE MIM_RS05810 MSLREELRVWLASAPQVVRRIVTVEIAHSDLTKTRYCCNNGFPV TAWADGEMREHEPTNFLIELAKTDNSLDQDYTMTFDLTDRQDEFRNELKRIPFNSPNP VRVTLREFLSNDLSLPMSPDVVLDLEKIAYTRGAASFSISSPRLNKTSTGRTYSPRFI SSIRGFL MIM_RS05815 MNVRKYQGTSYKNPPCWRLVAHVLADRGVSLPDFSPEQASHEDI ATAFRIAIHNAPGWAERVAAPQDYDMVLMARCERMDFHHIGIWWQGKVLHALPSGVWY QPASLVRDEFRKPFEFWRVK MIM_RS05820 MRVYLFDDLTDRSKCRELEYSCPAEFLLRHYGERCPSGKSVQMF DKEPSMETAIPMDPADPLSEDALLCDDYEYITVLESPGDPWTIGAAVVALVAAAVAIS MAKKKVAAADNVDRGQSSDNNSLSDRSNKVRYNERIEDIVGKVLSVPSMVAPTYTKID SNKQVRYEIGLYCVGRGYYEIQDVKEGDTLLSDITGSSAKFYDPFGAPMENSAPSMTV GDASWSEPVLQARRCEQFESIVLKAPNQVQLPNAVRSGYRFNEPGNDESATYSSITQT NQDGMANFSEIFLVGDQVEVSGAAGPDRPAVSFTIVQSSNVEDSFTLALTPSGILVGD TINVTGYILADSGLNGTYTVASITGNKIYVVENFAISSTQTATLTRPYIPPVTSPKNG TYDVLEVGDGYIVIDNTTWSGDEQINARVQRVGATEWTDWATLPDANLMGVIANLTAN AGMYRNSAENGLSFLTTFVEMQYQSLDAATLVPTGAITTLTNQVDGASKSFRGKTLEI QGLTAGPRRIRFRRTNNFDYDTDDVIQDEVTLETVFACSPIIQANFGDVTLVYTKTEF TRQAVAIGERQLNMVASRKIPIYDQETRTWSGVINEDGWHSSGTLYPARDVLNIIPFV YQDRYMGNRPVSEIDMDSLAAAANALYAIHPDLPTCDYTFDDTEMSVENHLALIADAA SMTSYRQYGKVRFEPDVRKDAPTMLFTHRNKKPASDVVTRTMISDGYDGIEFKYVSPT SVGSPYRTETIILPLDGNYTKLKSFEIPGFTGFAKSWLRANREYRKLMNQRQITEFGA TNDARMLVPGSMMDSVDNTTFQSNDGEVMGVSGLTLTLSQPVVFTPGQTHYIVLMRRN GSLESIQCTPGATDKEVVLANLPSEPIVSDENRLEGIRTIYSFAADIDREGQYYTVDD IDPTDPEYIGVKGSIYLDMYYEMDYQPIPDETVTINQY MIM_RS05825 MAVIDIPGFIEKLNNGEIDLDTWAAIVNANTLTTMTRLNGAVNT WFGLQAALSAATGLVYTSKSAMDAAPGTIAGQAARVTEGSDKGLYLWSGSAWVKTNDP LFNGPLYTAQGADFPFLYSQRGGATYPKNGIADRSFLSVLVIGPQSLIKGKLFKISYL QNMAVLSGVAANGIRIEEFEASTFSSSGTGVSIHAPTDTPASYDRSNPQIQTFFIRPQ ERPGLLISVTLDPNQLPPAGTVLNMSQTVHNGYSAVIDPSCYIITSNGGHEINIGDAY PLKSMSRGGLVSAASNIANNAFLNISVSGPAEEMDGKLYRLSYLQNKAELSHGSVNGI RLEEHDAATYATDPNPDLDAVSIHIPSDAPAEYDKSLGGIQTFFITPARRPNLRFMVR LNVDFLPPEGTQLNMSSNDVFAGWSWVIDPSCYVPVGISASSGEEWNKPMYASYTAST KEMKTVSPVSESVLNQFIFRPNGYNNLPNPRGIGEAPYGNPESATFNNFNFADSEWFG VMHLRAVNNTDGNNSRWATGGNHGTNGSASGPQTARCLVHKVYSEGQELKSDFSGYVD NVQIQFTNELMGYNTVGLSRYIMRQTFWVNIIGNTCAVHAKYTALEDLYVQRDSGLQV NSQGYTDTILYAGGANTERVVFDSTTSDSGAKTIAPGAWAMVMKGQHGQLCMWMDRSF GLAADLSHVDDTQPLIRSSKGVSLKMYNSVVYDVGIPPEPAETALFMNAGDSYEWRGG YAWGIPEEVGGVDSIIRMLIDQTSKFATVFPNGSFDKG MIM_RS05830 MGGIFIEARMVEETLWEKAKRHGLLTLFSAAAYVVLGFLAGQAY QADQLRKKSDDDHQKALQQVKTDVSISVKSELKPIREGFQGDLKEAMLMCREASFRSI RAANTVKEASDTASGVFDAQKEALKRELDK MIM_RS05840 MSTATMVIRVKQFLIKERFGEMINLALYIGWAIALLADGRMLDM HAFRGFAAPAWTYGLLFVGLVILTSIGLFQICWCRKLASISMMAGSAVWLLVAVQYWY SYPPFQPEMQVCPILAIMDYFLALEFEKESERWGDDV MIM_RS05845 MMMYELFTIHVWFSIAGGAVGGVRSLYDSKGEKRPTGEIAVDFL ISIIAAVSFNSYVFDPDTVSPFVFLGIGVPCGACSRIGLQIIRSGALNYLRNKFDSLL NAAATRIINSQQEKFDERRNEDKPSGD MIM_RS05850 MNEEMKISQAGIDFIKSYEALRLQAYDDGVGVWTIGWGHTKGVK KGDKITVAQAEQYLRDDLVRFEKAVNEWVTVPLQQSQYDALVSFAFNVGTGNPDPKYG KTGFYWSTLRRLLNEGDYIGAANEFLRWDKAGGKVLAGLTRRRKDEYNMFLFREGFSH E MIM_RS05855 MNELFGRIWGYIAGAAALIVAAAGLYLRGRSTGRSDERQERDAA VAKQQAQAQKITRKNANETNMATDDAVDAELERDWMRKP MIM_RS05860 MDIGDAASAKAFLLEHNYYRLRGYWIIFEDGSEDHIFKQGTQLQ DVVDLYHFDRCLRFLVFEGIEKFEIAFRTRWAYMMALHHGPMSYSDRRFASSVDLFEK NYTKCKEEIDRSKEVFIEHFRRKYSDPSIPIWAVCEIMSLGLLSKMYNNHRELRVKKY IAQHFGKIDHLQFSSWLEHLTVVRNICAHHNRLWNREFIVTTKQYSKSYGVLAGQFEN SRRIYNTLVVLLFIDRALDGRRAWSYKVKNFFIQNEKYLPSMGFPENWQDRQIWQ MIM_RS22045 MANITLGGLFQPADKTVDQSSFPGDEALRVQSNSFNTSLTVNNS TGSDDVLDLSQHNLSPIGSTFTLNLGENANVKIAPLENFSALQTNNYNLGEGSTLYYS PPLIQGVALNNTNFDMGETGTSTLVYQPQGLQIDLSSAPSISGLSAGDQIKVIGATSG EVVGNEIVFKDGNGNTIIKYDASGEDLSKVTFENGTMVYACYLKGTHIATPEGEVQVE TLKAGDKVLTASGGVATVKWLGHRTLHKSRIPAQDAVRAFPILFKKDSIANNVPHRDL TLSPGHHVSFDGTLVPAMMLVNGQTIVQQFNTKVFEYFHVELEQFDIMLAEGVPAESY VDTGNRSMFQNAAEVAMNPDFGPAEGRPEVEGIKVVQQGPVVEAIRKKLLARAEAMTG TARTTDAALCIEVNGQIVHSTPDFSKEGVYHFALPANAGDVRILSRSTVVRDVTPLAR RDVRQIGVGLSGIAVSTANGRHEINLTDDALTGLNAPQVTHGITMRWTTGAAMIPAAL INATEASTLELTVLRTYTYWVEAETVKARRSA MIM_RS05870 MNQQPLHRIHLIKIRDTTKNEQVGLLLREISRLHKVIRTVQTMA QRAEFDVANGYFDTLEFDHIQALLEPEMVLYGEGLYDPKKARQQHKDENNMRIVENAL DVRKNKPKS MIM_RS05880 MALDSHVRKQLTTVSTATLCTALYKRGLRNQFIQDVRPLNPDLP TMVGEAYTLRYIPAREDLNPITVFQDRNHPQRVAVDQCPPGVVLMIDSRKDARAASAG SILVSRLMKRGAAGVVTDGGFRDSPDIARLAIPAYHQRPSAPTNLTLHQALDINVPIG CGDVAVFPGDVIVGDAEGVVVIPAHLAAEIAAEAVEMTAYEDFVTEEVLNGRSIIGLY PATDEQAKTDFSVWRQRNGR MIM_RS05885 MSKRTYDSLRSARWFAPDDLRSFGHRSRIMQMGYAPQDWEGRPI IAILNTWSDINPCHSHFKQRVEDVKRGIFQAGGFPIELPAISLSENFVKPTTMLYRNM LAMEAEELLRSHPVDGAVLMGGCDKTTPGLLMGAISAGLPCIYLPAGPMLRGNWQGKI LGSGSDAWKYWDERRAGKISKTEWIGVEGGIARSAGTCMTMGTASTMTAIAESIGMAL PGASSIPAVDANHIRMAAECGRRIVDMVWEDLTPARILSRDSFLNAIAVAMAMGCSTN AVIHLIAMSRRAGCEVTLDDFDAASRKVPVIANVRPSGDTYLMEDFYYAGGLPGLMSR LGEHLQGNTMTVTGHSLAENIKDAQVYNDDVIRPMDNPLYPEGALAVLRGNLAPAGCV IKPSACAPQFLQHTGPALVFDDYPSMKAAVDDENLDVTPGHIMILRNAGPLGGPGMPE WGMLPIPVKLIKQGVRDMLRLSDARMSGTSYGACILHVAPESYVGGNLALVRTGDMIT VDVPARRIHLEISDDELAARKANWTPPESRFERGYGWMFSQHILQADAGCDFDFLETS FGAPNKEPSIY MIM_RS05890 MNHSSLSTFLRPVLSLALVASGIGTAQAAVSVCVDTSSPTVEMD KAIAAAVAKQQNTTLTVHDFDGTGDDEEGFDLKEFNAMAAKDCQLVMGFPVDASSSGL PEGMKATSAYGRTGFVLVTPVAAAAHSLSTLPEGSEVAVAYQTTPNLYFADHPKLQAS VHPTNDAAISALEQKKVGAAMLWRPSVVGYLAKHKEAKQFDYAELNEPHARWNLVALY DPANAAAAQAFETSIKALQANGTLGKLLDPYAVAVDDQAKSVAAAPKAAATGADNKAA GGNGEAAGGAKKVVTGSGEAAKLYTSAQAERGKADYAENCALCHGDTLSGRSGPALKG KHFANPAANFHVGDIFTIVSQNMPATQPASLEPKVYADIMAFLLQENGYPAGDKELTF DDAKASKEPLIYHGASK MIM_RS05895 MDKHFSRFILKALAAATLLNCAGMAAAAEADYAPVTDARLKNAA TDDGWLMYRRDYTSRGYAPFKTIDTSNVANLKPAWDWKSDFDMGHEAPPIVNGDYMFV TTPKNNLIAFQASTGKQLWKYEHDLSEVGLKTICCDVVNRGVALYGDKVYMATLDNHV VALDAKTGKVIWNESLNAADVGYAMTLAPLVVKGKVIVGVSGGEYGARGFVEALDAET GKQVWKQHTVPLPGEPGGDTWPKGAAETGGGAAWLTGSYDAETDTLFWGVGNPGPWLA TLRPGDNLYTDSVIAMNPDSGKIKWHYQYTPNDTWDYDGTNETVLTDITYKGKEHKAL VSASRNGWFYAIDRNDGKLIYAEKFATATSITGFDANGKAITDPEKRPTVDKEVFTCP SFLGGKNWWPISVSPDTHMAYVPTLHTCMTMKGAAVSYKAGLPFLGETFLVKRDPAFP NHWGSVQAIDLNTGKQAWEFPSELPWNGGMLTTAGGLLFSGSADGYLYAFDAKTGKVL WQSPKMASGVLGVPSTWTVNGKQYVGVFAGWGGGVPIWGGEMAKDPKVRNIPLGGHLY VFSL MIM_RS05905 MSSTQAPVQFSSNEARWQAVQSRNRLADGHFVYAVKTTGVYCRP SSSARLPNRKNVVFFDTAQDAEAAGYRASRRAHADRTLAADERAELVARACRQIEAAP TPPSLDELAAQANMSPFHFHRVFKAETGVTPKAYASAWRARKLRDELGGSATSITDAI YTAGFNSNSRFYEASEQLLGMSARDYRAGGQGAVIRFAIAQCSLGAILVAQSQRGICS IMLGDDPDTLLRNLQDQFARARFVGGDAAFERVVAQVIGFVEAPSIGLNLPLDVRGTA FQERVWQALRDIPPGTTVSYGEIAERIGAPTAVRAVAQACGANRIAVAIPCHRVVRRD GDLSGYRWGVARKRQLLEKEAGTPQHDR MIM_RS05910 MTNEIQMGLMQPHSLLISSLIVHAERHHGDAQIVSRRVEGDVHR YQWHDVARRSRQVARTLDGMTLPLSSRVATLAWNGYRHLELYFGVSGSGRVLHTINPR LHPDQIVWIANHADDQVLCFDISFLPLVQAVHSKCPTIRQYVALCDRDALPVDNGIPG LISYEQWIEPQSDDYDWPQFDENAASSMCYTSGTTGNPKAVMYSHRSTLLHAYGAALP DSMSISARDVILPVVPMFHVNAWGIPYAAALTGASLVFPGPQLDGKSVYELIEQEGVT FAAGVPTVWQMLLDHVKSEGQSFSTLKRTVIGGSACPPSMIQAFREQYNVEVIHAWGM TETSPLGTLCTLKNKSLMLPAEEQTQLRRKQGRALYGIDFKIVGDAGNELPWDGKTFG DLYVKGPWVVRDYFPGQGNAALVDGWFPTGDVATIDADGYLQITDRSKDVIKSGGEWI SSIDIENIAMAHPAVQLAACIGVSHPKWEERPVVVVVKRENMTLDKEELLQFYEGKMA KWQIPDDVIFVDAIPLGATGKMLKSRIRQMPEITAYGATEGSRQ MIM_RS05915 MTHHSGGQARFAPYFDGSYHMAETKLLKDLIALSRTCSFTKAAE LRNVTHPAFSRRIRDLEHWAGATLVDRSKIPVRLTQEGRELLVTAEYIVARLNTVSKK IKSPGHDTNHILRVATGRYLASTLVADWACKMTDAIGRELSAPVSVQIRTGSTPDMVA LLQREEVDFLCCYEHPNLSVPVATAGFQYLQLGADRFVPVCSAAEYSLAAYDLLDEFT PIPHVTYLNTLSLHHILSDHLRASSYALSPVAYCDSVEVAWALIKKGRGIGWLPWSVV AQDCKAGTLRVLGGRQNEVPFEVRLYRSKEPLSVAAEMIWERTTR MIM_RS05920 MKKLSNKVTRTTFCALCAVAVLVVSGGTALAASTYPDRPITIVV GYPAGGSVDLNGRIVAESLAKKIGANVVVENLGGAGGTIGAQKVIRARPDGYTLLVGS LNEVVIASLVNPAVKYNGITDLQAIGLIGDQPLVLAASKAGNIKNTDDLVKVATSGKS DSYSYGSSGVGTSLHMAGEMVNAETGARFLHVPYRGVAPLVTDLTSGRLPLGVFALSS ALPQIQSGQITAIGVTSAKRSSFAADIPALAENPALKAMDISVWFGLFGPKGMPEDVT KKLQAGLQQVLADPEFQAQYQKTGSNIMRTQPDLAAFFQAEHNKFKKLAETAHIATER P MIM_RS05925 MSTRFEITVPDLSAERLGNTDTPGVWMFDSGNAGPTLLITALIH GNEICGAWALKELLAADVKPRQGRLVLAFCNLAAFDTLDITAHDAARFVDEDMNRVWS SDKLDQPVTQERRRALELRKWVEAADWLLDLHSMHEPSPPLMMTGMLKRNVDFAQRLG VPQHVIMDAGHKDGTRMRDFAQFGDLQSPALALLIECGYHGDPSSIDTARNVIAHFLL ESQIVVAADLPAHWALTAKGPQTVLRVTAPVVATSLSLTFSEPYQGMECIARAGTQIG QDNNQPIVTPYDNCVLVMPSLRQLKVGVTVVRFAQEQRPTARE MIM_RS05930 MNTVRTTSQKRDAFFQLHQSGCFTIPNPWNIGTARCLEQMGFAA LASTSSGHAYANGMPDGSQSLDMVLAHLSELAAAVTIPLNADFENGYADDVEQMQQNI IKCVATGVAGLSIEDAPQGGADGLYEFTVAVSRIRAARAAIDQTGERVLLTARTEGFI RGAPNLKQTTQRIAAFVEAGADCVYAPGIKTAEQISAVVKAAGGCPVNVLCGSAIGMT VAELEQLGVRRISVGGALARVAMDSFLRVAQQIALEGKFDGFGGIVSGEELDRRFAVQ PDSH MIM_RS05935 MDWLNAQVGQDTFEGIKNTSLGKLVRDYLLNQIMSGTLTPGQAL REPELVEQMKVSRVPVREALRELESMGLVVSRKHSGVYIRELSEEEVHDLYQFRALMD NHAGQMVAQLSPERRMELVALLDICVAQMDQANQDSNVQAYYNANLHFHWHFIEFAGN REIAKSYREVIQKLHLARVKNLSTPDHRRKSNAEHKDIVQALRDADNIGGALHCAELL SHHVVKAHKRLADM MIM_RS05940 MDRRSFLLSMGAGAIALPSISLGQDKYPAKPISYIVPVAAGGGS DYVARATTSEWGKEMGNTTFVVENLGGGGGVIACQRTARAPADGYTLMQGYVATLGTG PATRKVSYDPLKDYTPVGMIGGTPNVLVVDAKLPIKTLAEFIDYFKRNGTSNYGSAGA GSLTHLLMELLKQDTGLQTQHIAYKGIAPAFQDLVGGRTQAMFPGLAAAVPHLVSKTV RAIALTGNKRSERFPDIPTFEELGVKGFDDVLQWYGVSGPRGLKDDIVKTLNDSLNAV LITPELEKRLQVEAILPMPMSAQQFGAYVQKDLERWKKVAQEQNIRLDA MIM_RS05945 MAVNTKVEADQNAPAVTRILAEFVATHPSKGWSDAVEHEAHRTF LNWLGCAVGASQHEAVLAAMRAVQVLEPAAQAMIAGRQERVDIGSAALVNGISSHLFD FDDTHLKTIIHPAGPVCSAVLALAEHTGASGRQLIDAIVLGIDVACRIGNTIYPHHYD RGWHITGSTGSLGAAAACARLLGLNTDKTAMALGIAASQPVGLREQFGTMTKPFHPGG AARAGLMSALLAREGFTASPRAIEAPRGFAQVVSTKFDWNEITDELGQRFEISFNAYK PFACGIVIHPSIDACVQLREQGVTADNLERLDLRVHSLVLELTGKKEPADGLQGKFSV YHGCAAGLIFGRASEDEYDDAIVNREDVVATRRKVVAVVDDTIDEAAVEATATLKDGK RLQVRVDHAIGSLQRPMTDDDLVAKFAAMSVPVLGEEKTRQLIQQCWDLSAAGDVRAL VAQAS MIM_RS05950 MEHKPTVVVLDDWENVLRDRVDWRTIRSRANVIIHNQKLDPQAL PAALSAAQVLVLFRDRTPVDANLIAQLPDLQRIISTGARNRTLNAEAAERAGIHVGHT AWGPSKASTCEHTWALILAAVKRLPQSTLSVSNSRWRNPAVSAFMPPVMEGKRLGLIG LGQIGQRVAAFGKALGMQVVTWSPNMTAERAAEHDVLAVSLQELLETSLVVSMHLVPS ETTRHLLNRERLGWMRPDAILVNTSRAELIHTGALVDALQHKRLAFCALDVFDHEPLS PDHPLLSMSNVLLTPHVGFLCNEVVHNFAVGVQQQLEAHFLRPDIAASSA MIM_RS05955 MTMPAKPLVAALLFAMMVSPPLAAQTAATQSDSNPAAEKYTPSV GQQGKDVVWVPTSQALVDRMLEMAELTADDRLVDLGSGDGRLVITAAKRGAVARGIEF NPDMVAISKEAAKTEGVTERAVFEQADIFESDFSDASVVTLFLLPSLNLRLRPTLLDM KPGTRVVSNSFAMEAWEPDESVEVKENCTNYCHAYKWIVPAQVAGTWKIGERELELKQ TFQMLDGTLSDGTNERRISNARLNGASITFSVDGQTYSGQVDDKQMRGTIDGKTDWTA QLVSMQ MIM_RS05960 MTDQSQSKARPQPQLSVFDAVTIVVGLVVGIGIFRTPSIVAANV AYEWLFILVWIVGGLITLVGALCYAELSAAHPHAGGEYHFLSRAYGRSVAMMFGWARC TVIQTGAIAAVAFMLGDYVAQIVPLGPYGPAIYAAISVIVLTTVNFIGTAEGKNLQII VTFIEIAAVIAIILFGLTGSADVPANTEVSADPQTAALGMAMIFVLLTYGGWNEAAYL TGELKDAPRNIAKVLMLGTLILVTLYVLTNLALVSILGLDGLRASNAVAADMMHVVAG EPGRIVVTLAIIVAAISTLNATIFTGARVFYVMARDMTILQWVAVWDRRGKTPANGQI MQGMIALALIAMGAITRDGFKAMVDYTAPVFWAFMLLVGLSLFILRRRHPDRILPYKV PLYPITPIVFCLTCLYMFYASVVYTGVAALIGLAVLAAGAPILLFRIRDDQESDGPQT QAEVTALK MIM_RS05965 MLHIIMAVIGLYVSARGIWPLSLNLTSKIVLTVVVLCIAEHHLI TRTWFGSMASPEIPGLVLTLLGWAFCALLLLGFFILVKDLLGMVAYPLSAETGRVLLA SPRLLAALTVTTLVIAAVGVWNAVKIPMVKTIEIALPGLPAAFDGFRIVQLTDLHASR LLQRPWMAAVVDRANALDPDLTVITGDMVDGTTSARADDVKPLQSLKAKHGVMAIPGN HEYYSGYESWLTVYKQLGLHMLINQHTTITQENQQLVIAGVTDHAANGFGLPEPDIAA ALDQVPANQTVILLSHRPTGAAANAKAGASLQLSGHTHGGQILGAHVLAKMANEGFVD GLYDVAGMKLYVSMGTGLWNGFPVRLGRPSEITQIVLRTQR MIM_RS05970 MKFIHLTDTHLVADAGLLYGTNPKQRLQQAVTHLLAHQPDAQAV VITGDLTHYGHAEAYVHLRECLAPLTMPVYPILGNHDSRERFLQHFAHIDTDANGFVQ YARDFGPYLALFLDTNEPGVHWGVYCETRASWLRARLAESNKPVLLFMHHPFFPIGIR SMDALSLLDTSAFLGAINGFEHRIRHVFFGHIHRPICGTFRGMAYSTLHGTNHQVALD LHSDASCIYGSHERSQYGVVMLNEEQVLIHAEDFLNSDQRYRLHEMADYAIATA MIM_RS05975 MMQTKHLLRALACLSLTSSAAYAAKIELIVDYPYPDVFNQVHAD IAKRFTEKFPDYTVKFRAPTPEYEGAAQQALRHAVTRQLADVSYQGLNRQRVFVDRNI AVELTPFINAEKDWDQSGYSPALLSLGQVNGKQVGIGFSLSTPIVYYNMDLLSKVGVK EDALPRTWDEIIAVADKSRQANPGTNGLHYDWEITGNWLWQAMVFSKGGSMLNADETS VTFNDKIGQESIAQMGRMVENGTMQNLRYKDATQLFVTGKMAVLSSSTSRLSGIAEQI GDKFKMVTGYFPVYGEQGKVPAGGNVAMMFTKDPERQKAAWEYIKFATGPEGATIMTK GTGYFPANTLPIDDPAKLKGYYDINPNQYTAVKQMPWLTGWYAFPGENGLKITDVIDD RLQTVFDKSAQPQAALEAMAQDVQNLLK MIM_RS05980 MPQHWAAIENYTKALTQVPLLRYLFNGVLVCAGILILQIIVALP AAYCFAKLQFRGKRLAWGLVLMSLMIPFQATAIPLYILLYHAGLLDTMAALIIPFIAS GFGIFLMRQFFMGVPNDLIHAARLDGMNELELVWRVMMPAAMPALIAFSIFSVVWHWN DYFWPLLVINTADLATPPLGTMFFKNEEAGTDFGPLMAGTVIITLPLLLFFLSAQKRF IEGVTLSGVKG MIM_RS23585 MKNPAHASLSWQVFRHVVLMAGAAAFLLPFVWMLSTSPETGR MIM_RS05985 MRIKPLQLSLLLGPCMVLTVLLLIVPLLIVALMSLTDWQFGAPG WNWVGLGNYVDLWNDTTFRKSFANTVYYLVIVSVCSIAIGLSVALLIESHASLRGFYR TAFFMPVASTLIAMAVVWQFLMHPTVGFLNEMLSWVGIGPQNWLNDYDLALPSLAVIG IWQMSGLAMVMFLAGLKNIPVELRHASLLDGMRHPLDRLLRVTLPMLGPTMLFVVTVC CIRSLQVFDTVHALTQGGPNKSTEVLLHTIYSEGFAFFRMGYAAAIVLVFVACIFILT LIQQIGMEKRTHYQ MIM_RS05990 MTDIVLDHISKSYGSTTVLDKLSLTIRNGEFLTLLGASGCGKST LLKLLAGLEMPDTGTIKKGSTDLLTQSPGERDCAMVFQSYALYPHMTVGDNICTPLYM RSLHFTQRLPGARWISPAARRKTHDAQQQGKKIAEILGIAHLWDRKPVQLSGGQRQRV ALARAMVRRPSLFLFDEPLSNLDASLRQSLRSEIRQLHDELGVTFVYVTHDQHEAMSM SDRIAVMKSGHILQLGTPQEIYHSPSHTDVAAFVGAPRVNFLTITKNASGHACLQDQP VYDRFPPAAAQLAFRPQQASLIASEGTLSVKGQIALIEFTGPECMVTMQTAAGETVVV VLERNPHLKTGDVLQVYVPHTAWHVFDAQGHSLHRHGQCLSLAA MIM_RS05995 MKSHTTYKTIIFDLDGTLLDTWPSLLQAVSTAATGVTTIEPATL RLALSQGLSAMFAQAAKQMALDAEATQASIHAMERTYFEQALSAAVPYPKTSEMLAQL HGAGFTLALCTNRDRASTLTLLEQVSWLSLFSHIHCLDDGLPAKPDPAAILATLSHLQ CPLQDALFVGDSWVDARCASQAGVDFAAHLCGYHTDPSELAGAVLSYRHAHELSQWLA ARFTLKPELHYD MIM_RS06000 MLKAERQQRILARMQETNAVTVRDLARAFSTSPITIRRDLLELG EKGLLERTHGGAVVSQEVLAEGNARYEMYNYAERHRQQSAEKAAIAECAMQYISDGDS ILINAGTTAHALAQALSGHQDLHVITNGLTVAATAGQSHLAHVYVLAGRLDPRKQATI ERADNDVLSGIQIREAFLGVHAVSAAGIFMRDSEDASMNRAFIAAASCTTVMADHTKL NAFASFRICPWSQVDRLITDAAADQAVLQAIREQGVEVVVCG MIM_RS06005 MTMRFRATALALGVMGMISTGAVYADAPALKSVHNGAATISYRA QGNGPLVLLIASTGRGTQEFGPLAERLAQRGYRVLRPEPRGIGGSHGPMDGVSFHDFA NDFAAVIRNEGNNAILAGHAYGNWIARTMASDHPELARGVVLVAAGAKTWPKELSEAI TMINDPASSREQRLDGLKLAFFAEGSDPEPWLEGWNQAVTQSQRAARKLTNRDDWWAG GKAPMLDLQGGADPFRPQASRDELKNEFGDRVTVKVIDNASHALPAEKPVETADAIAD WGDTLKD MIM_RS06010 MSASEHIAFLVSNKLGDSLLLLPLAHNLLRKGHRVTVFGRQVHA LAAWLPGLEVRPIPSEGLAALTEFDSIFQMDVDQPLMLDPHNCPRPLQSLTIWLHQNP VRSTLFLDELRQFALAVYGIEDWSDACELMPLHPERGRQNSKRIVIHPTAGTAERYWS DARFIQLAQRLSRDGYDVHFVVEEREAAAWQAAAKGQPFTVNQFASLRALGEFIHESG YMIGNDSGIGHLASALGVPTVTISHRPRNMLRWRPQWAAGVIVPHLWLPLRAWRRKYW RFAVTVPAVMRSLRQLQKQEARSDRPNAAAAPKPGGAVATETPQA MIM_RS06015 MTTEDITKGELLIVEDDAAFARTLSRSLERRGYHVVTANSQESL LAVLEHSTPGYAVVDLKLKGDGSGLDCVKTLHAHHPDMLIVVLTGFASIATAVEAIKL GACHYLAKPANTDDIEAAFGRSDGVTDVKVTARQSSIKTLEWERIHETLAETGFNISE TARRLGMHRRTLARKLNKQQVK MIM_RS06020 MDPFQNQSTLSRTTFLQATDLGGLDDGNATGRKNMLQLIQLRWI AVLGQVMTILVAQFLLDLELPLKHMLALVLLLVLFNVGSMLFLRAGNPVSNTQLFVAL LADVGILTAQLHYSGGASNPFIFLFLLQLTLAAVLLTPRSTWIMVGITSACFAWLALS KQTLILQPAHEQGLNSLYVVGMLLCFTLNAALIMVFMTRISRNLRMRDTHLAAMRQAA AEEEHIVRMGLLASGAAHELGTPLSTMAVILGDWQHMLPFTKSPELLQEIDEMQTQVM RCKAIVTGILVSAGKTRGESPTETTVHKFLDQVLEQWRTLRQPRQMLYENHFGQDMRI VSDSALQQVICNILDNALEASPDWLRVEVIRKEDNLILTVMDDGPGFAPDILAHLGQP YNSNKGQPGGGLGLFLCVNVARTLGGSITAQNLLSGGASVTLSLSLSAIKLENGEDH MIM_RS06025 MLVIWLLIATLVFAGFCALGIWQVERRAWKLDLIERVAQRIHAP AVAPPARSDWNTVTASRDEYRRIRLHGTYLYEKSALVQASTVLGTGYWVMTPLLLDDQ STVLVNRGFVPQAQKSGAWQHSQPAAGKVTLTGLMRLTEPGGGFLRDNRPQEDRWFSR DVQQIGQSRGLSQLAPYFVDADGPGARIFPGTSSTSPSDSNEPAQATAASASGQLHIA DEYLPVGGLTVVSFNNNHLMYAITWFGLAILVIFAVCYVWREEFRIRKPEK MIM_RS06030 MTSPSDTTHHHATTHDDHHDGDGHAESTFRGYMTGFILSVILTI IPFWLVMGNVFEKSGVTALVILGLGAVQMCVHIVYFLHMNTRAEGGWSMLAMIFTLII VVIALAGSLWVMYHLNTNMMPDMIHDMKDML MIM_RS06035 MSDTTAISPREVAANAPLDFYLKEDHHPQNGTSLGFWIYLMSDC LIFACLFAVHGVVGRNYAAGPSGADLFELPLVAVNTAMLLLSSITYGFCMLEAQRNRL RPAMIWLGITGLFGLAFLGLELYEFAHLFHINAVPQRSAFLSSFFTLVGTHGLHVTFG IIWLVTLLFQLKKHGLIPENRRRLMCLSMFWHFLDVIWIAVFTFVYLMGVLP MIM_RS06040 MDLNSLIFGRLSWDAIPFHEPILIATFAVVLIGGVALLAAISYF KLWRYLWVEWFTSIDHKKIGIMYMILGLIMLLRGFSDAIMMRIQQAIAFGDSTGYLPP HHYDQIFTAHGVIMIFFVAMPLVTGLMNYIVPLQIGARDVAFPFLNNFSFWMTTGGAI LVMISLFIGEFSTTGWLAYPPLSGIQHSPSVGMDYYIWALQVAGVGTTLSGINLIVTI VKMRAPGMGMMKMPVFTWTSLCTNALIVASFPVLTAVLALLTLDRYVGTNFFTNDLGG NPMMYVNLIWIWGHPEVYILILPLFGVFSEIVSTYSGKRLFGYASMVYASVVITILSY LVWLHHFFTMGSGASVNSFFGIATMIISIPTGAKLFNWLFTMYRGRIRFDVPMMWTIG FMVTFVIGGMTGVMLAIPSADFVLHNSLFLIAHFHNVIIGGVLFGLFAAITYWFPKAF GYKLDPFWGKCSFWCWLIGFYMAFMPLYVLGFMGVTRRLSHFEDHSLQIWFQIAAVGA FIIALGIACFLIQLVVSYIKRDSLRDVTGDPWNGRTLEWSTSSPPPVYNFAFTPQVHD SDAWAQMKQHKVQRPQSGFLPIHMPANTGAGIIIAGLCTLLGFALVWHMWLVSAVTFV AMIGGTIYHTFNYKRDYYIRAEDVARTEAERTRLLANHV MIM_RS06045 MLQSKTFRLLALLAIVPVLAGCNAVLLSPSGDIALQQRNLIYIS VALMLIVILPVIFLTLFFAWRYRASNTKATYAPEWNHSTLIELVIWLVPLLIIIALGA VTWVSTHKLDPYRPLERIDAQRALPANVKPLTVEVVALDWKWLFFYPEYGIATVNELA APVDRPIAFRLTSSSVMNSFFIPALAGQIYTMAGMETQLHAIINKEGVYDGFSSNYSG AGFSGMRFKFHGLNTEAFDAWVKTVKQSPTELSRVAYLQLEAPSEREPVRHYSSVASG LYDAILNRCVEPNRMCMRDIMAIDAKGGMGVPGLYNMAELDQTTRSNLGLENVQHRVY VSAFCTTTDPAFASLKLMNAAP MIM_RS06050 MESDAREQTTGHGHGPVAAGEIAIGVVIGRASEYFDFFVYGLAS VLVFPALFFPFVDTLTGTLYSFTIFAAAFITRPFGTALFMGIQKRWGRGTKLTLALFL LGTATAGIAFLPTYESQGALSIILLIVFRSLQGVAFGGSWDGLPSLLALNAPKERRGW YAMLGQLGAPVGFLVASALFLFLHSTLAVEDFLGWGWRYPFFVAFAINVVALFARLRL VLTEEYTKLLEEGELEPIPVREMLAGQGYNLFIGAFAALASYALFHIVTIFPLSWITL TATQSINEVLVIQVIGAFVAMAGTIVSGVIADRIGRRTTLTALALLIAIFACFAPVLL HGSTTGQDAFILIGFALLGLSYGQSSGTVTANFSSRFRYTGAALTSDLAWLLGAAFAP LVALGLSMEFGLVAVSLYLLSGAAGTILALWINRKLKPLTLRRH MIM_RS22420 MTTLAGVADVLRSVRKEAGLSQEALARRAGVSRTTVASVFTGSE FLGLVCSI MIM_RS06055 MQTFTVNEAKTQFDELIDQVQREPILITRHNSVLGVMASGQDYQ AMRVFFADRLQRTLTQSAKEARSRGLTEERLKQLMADEH MIM_RS06060 MSEHSRHQIIKRLQAELPRGAPFDLATLEPFNVSPKLAARYAES GWLVRLGHGVYAYPNDNFDVSGALLLLQERVAGLHVGGKSALALQGVRHNLAFRETLV LWGEARFVLPVWFTTRFPARYVNARLFDWPDDDLASKTLHTPPGQPSGLRVAVPERAV LELLYDTGTRESLDESRNLFDGLRSPRQDMFGRLLASCTSVKTVRLFLTWARETGLID TDELLEHYPIRYGSDSRWITRLDDGTLLSLKPHG MIM_RS06065 MDKYYANTVRLLLNIAPDVFENDIFAMKGGTAINLFLRDMPRLS VDMDVVYCLWQTPRDEALQAINQELAAIARRVERLGLQTRLIRSKELGDTKLIVESET SQVKVEVNVVFRGTVLPIERRPLCVRTGDLFGVEFAAPILAPDELYAGKLVAALDRQH PRDLFDVWQLFQAGGLTDAMVECFVIYLAGHNRPTHEVLFGNDKDITAEYERAFVGMT EVVCPLEVLVGARTQLRQELSRRLSVAHQQFLSGLARAQPDWSLLGCSHADQLPALRW KLANLATFQKRRPRDFAAQADALDSRLFFAR MIM_RS06075 MRDDTSQDLTGLNTLGLVSHAAHYFRLDSERQLPAITQWQQRAG VPIYVLGGGSNLILREHLSRPVLHNVLKGIRLVEETSDAFIVEAAGGEVWHDFVGACI RHGWYGLENLALIPGTVGACPVQNIGAYGVEVMDRIESVQTWDLAQGQPRLFTAQECA FSYRDSIFKKPLGQGYLITAVRFRLPKQWVPVTSYPDLKNDPELAQGATPQKIFDAVC RIRQAKLPDPAKIGNAGSFFKNPIVSAAKLSQLKTDYPALVSYLQADGSYKLAAGWMI EQSGWKGRSLGPVGMHQRQALVLVNHGGATAADVLALADAVVASVRQKFDVTLEREPV LFD MIM_RS06080 MRIAISGASGRMGRMLIEAVLAHPELTLAVALDHASSSHLGEDA GAFLGKNTGVQLGSDLTALKDCDCLIDFTRPEGTLAHVQACVEHNVKMVIGTTGFDAA GQAAIASAAGHIAIVFAPNMSVGVNATLRLLELAAKMLNSGYDVEVFEAHHKHKVDAP SGTALIMGETVANAWGKKLEDIADWSRHGHTGARKDGQIGFSVARGGDVIGDHTVMFL GTGERIEITHKSASRSTYAQGSVRAALFLQDKSAGLFSMQDVIGG MIM_RS06085 MNQTRLFPRIRYGLVASITALAVLGGCASGEWGFPYRPSVQQGN WITAEDVALLQKGMTEDQVRYALGSPTLKDIFHPDRWDYPYYFKPGYGDPVQRKFSVW FVNGRVDRWEGDEQPSFQPFKQEARLYRPGDKAPETEVTDPNALQAAPAGTDSNGVTT YPLNDGSTQGTAASPSNDQINIEPAPGATRTQ MIM_RS06090 MNELHDPSELKSMGLKATFPRLKILDIFRKAEIRHLSAEDVYRL LISENVDIGLATVYRVLTQFEQAGILSRSQFDNGKAVYELDDGDHHDHLVCSVCGKVV EFSDPEIEKRQSKVAKDNNFLLESHAMVLYGICGDCETRHRR MIM_RS06095 MLRSLHIRDFVIVEQTELHFDAGFSVFTGETGAGKSILIDALAL TLGARGDSGAIREGADKADISAIFDVPDSLRTLLAEQELEPEDDQLVLRRVIDRAGKS RAFINGVPGTLAQLKTVGSYLLDIHGQHAHQKLLQRNEQRILLDAHGQHETLAAQVRS HWTAWQQAQQKLERARSSQRDAEAERERLEWQLNEISQINPGKDEWATVNADYNRLAN ASALLEGAAGALNLLDGEQTSALEILNAALHRIEPLLRNDEHLKGVYDAIESARIATT EAASDLASYLDKAELDPERLAETETRMSAMFATARKFRLEPEMLYDRLQELTQALEAL NNESDLAGLEQQVTRHEAAYRDTAEKLTRARQATASTLSKEVSKALQTLAMKGSTFEI TIQPAEPGASGMDNVQFNVAAHTGSEPRPLAKVASGGELARISLALSVMANRAHQVPT LIYDEVDTGIGGAVAEVVGKLLRDLGRHHQVLCVTHLPQVAACAHHHFEVQKKQGKKE TVSRIELLDEQARIEEVARMLGGVKITQTTRQHAREMLTHSD MIM_RS06100 MHFSTIALVGRYHDSGLDAPLRALAEVLHAAGRQVLIEKETSEN TGVSEYPTATTAEIGSQADLVIVMGGDGTMLGVGRQLAQYDVPLLGINHGRLGFITDI PVQNAFEAIESVLDGQYDIEHRTLLQASVVRDDKTLTCALALNDVVLNRASRGGMIEI CVEYNETLMYRQRADGLIVATPTGSTAYSLSASGPILHPAVNAFLLVPVAPQTLSNRP IAVPDTGTLTLTLSEVGRVETGASVHFDMQTWSDLQLGDKIVVTKADHQIRFLHPKGY NFFSTLRQKLHWNIMPDAS MIM_RS06105 MDDRANALLKALIERYIDDGQPVGSRTLSKLFELSPATIRNVMA DLEDLGLIHSPHTSAGRIPTPRGYRLFVDNLLVIKPYELEEPSELHEVFSNTAPSKAL SVAASLVSNLTQFAGVVLTPKRSQVFRQIEFIRLSQRRILLIIVTPDGDVQNRILSPV HDYTEAQLIEAANYFNHNFAGKSFEQVREQIGAELNQLKEEISSLMQAAVDAGNDDDQ ESSVVISGERRLLEIKDFAADMDRLRKMFSLFEQKTDLMQLLDVTDRSQGVKIYIGGD SRLVPMEDVSVITAPYGVDGQIVGTLGVIGPSRMSYNRVIPIVDLTARLLSNALSHQ MIM_RS06110 MNTGVVLINLGTPASPDAGAIRAYLSEFLSDPRVVEIPPALWKP ILNLAVLPLRPSKLVPKYRQIWMEEGSPLLVYGRRLAQGVQAQLDHEQVPATVVLAMR YGEPSMQQAFAQLRDAGCERILVVPLYPQFAASTTATIFERTLALHREARDVPELRFV KRFHQLPGYLDTLAQNVREYWREHGKPQQLLLSFHGLPKRSVELGDPYLKDCTATAEA LQLRLAAEEVSIDIAFQSRFGRAEWLGPATLSVLQAYPGDNIRHVDVLCPGFVADCLE TLEEISIECARAFSQAGGEQFRYIPCLNDHPDWIRAMAGLVRQHLAGWPQPDA MIM_RS06115 MAVVIRGLFVYPIKSCAGIALDQACISAAGVKWDRQFILVDAAG NMMTQRTVPRMVLVQPALQPELAQMVVHAPGVPALTVSLADASQQEAPVSVRVWSGFP LGAVVSEEADRWFSAVLGQPCRLLRLHARSQRRVTPEFPDSWQARHQDWRSLTAQDQT FGFADGFPFLITNIASLDALNHQLAAKDVAPVGMIRFRPNIVLEGLPEYEEDYIFGLR AGQLHFALVKPCPRCPIPNVDPATAAVADEPGITLMQTRSAELGVLFGVNAVLTDKVS DVLHIGQQVEAEYDF MIM_RS06120 MTQEFDPNKNQPQQAENEENQQADATGAEPDEAGAVMDDLEAKY TELETKVSQYHDQLLRAHAEMENVRRRAQEDVSKARKFGTESFAESLVPVRDSLEAAL AQENQSFESLREGLETTLRQLAAAFERNNLKEVAPAAGDKFDPHVHQAISTVPADVPS GTVANTLQKGYTIADRVLRPALVTVSAGQG MIM_RS06125 MGKIIGIDLGTTNSCVSVLDGDNVKILENAEGARTTPSIIAYME DGETLVGAPAKRQAVTNPTNTLYAVKRLIGRKFEEKAVQKDIDLMPYAIIKADNGDAW VEARGKKMAPPQVSAEILRKMKKTAEDYLGEEVTEAVITVPAYFNDSQRQATKDAGRI AGLEVKRIINEPTAAALAFGLDKTEKGDRKIAVYDLGGGTFDVSIIEIADVDGEKQFE VLSTNGDTFLGGEDFDQRIIDYIIAEFKKEQGVDLGKDVLALQRLKEAAEKAKIELSS TAQTEVNLPYITADASGPKHLTLKITRAKLEALVEDLIERTIAPCRTAVTDAGVKVSD IDDVILVGGMTRMPKVQEKVKEFFGKDPRKDVNPDEAVAAGAAIQGSVLSGDRKDVLL LDVTPLSLGIETLGGVMTKMIQKNTTIPTRFTQTFSTAEDNQPAVTIKVFQGEREVAA GNKALGEFNLEGIPPAARGLPQIEVTFDIDANGILHVSAKDKGTGKENKITIKANSGL SEDEIQRMVKDAEAHADEDHRVAELAQTRNQADALVHSTRKSLTEYGDKLEAADKEAI EAALKELEDVLKDGDKAAIDAKVEVLTTASQKLGEKMYADMQAQAQAQGEPGAEQAQP ADDNVVDADFKEVKRDDK MIM_RS06130 MAKRDYYEALGLAKNASDEDIKKAYRKLAMKYHPDRNPDSKEAE EKFKEAKEAYEMLSDPQKRDAYDRYGHAGVDPNAGMGGAGGAGFGDVFGDIFGDIFGG GGGRRGGGPQVFRGADLRYRLDITLEQAAKGMETEIRVPSWENCDVCHGSGAKPGTEP TTCHTCHGAGAVRMQQGFFSVQQTCPTCHGTGKEIKEPCTACDGVGRKRKNKTLQVKI PAGIDDGMRIRSGGNGEPGVNGGPAGDLYVEISIKEHSIFKRDGDDLHCEVPLPFVTA TLGGDLEVPTLGGKGEITVPEGTQTGKVFRLRGKGIKGVRASYPGDLYCHVVVETPIR LSDEQKDLLRQFETSLNDGGDRHSPKNKSWTDRVKDFFS MIM_RS06135 MSSTSSLRFALAANRLHHATPDAGLFTWLKASSETIRELDIELH TVGRTYDAIQREGFLSGYRKNIRYPYGREGGLMKLVSRVTIHEATPITLDGAIYLIDP VDPSSIFPEALALKRQCITHGKPFISTVAGAIEWMEVERVMAGRDPLSDCLSAEVIRG QTLALISHDALKAEMVAYASQYFDILSLFERRVATGTTGGLLNELAWSKGWPEDKPWV DRYLSGPLGGDAQIADLVLDRKCQRVIFFEDPHVARQHEADIQLLERAVRVATHDATC ATAREVARKWAEAVQRANAG MIM_RS06140 MKQKSLRSNALVRALGAGLVLSVVSFAAQAGTLTVSAAASLTNV FNDVAAAYKKAHPDTTIQYNFAASGALLQQIRQGAPVDVFASADQKTMNDAAKAGLLD EATRKNFVRNEVVLITPSSSNGEVKDLQSLKSPAVSKIAVGSVASVPVGRYTEEGLEK SGQWEKLTPKFIFAENVRQVLDYVSRGEVQAGFVYATDAAVRSDKVRVVQSLPIDTVV SYPVAVIKTSPNAAEARSFVEFLDSDQAQEIFSKAGFRKP MIM_RS06145 MEPVWIPLLISLKVAGFAILINVVLGIGMGWLLARRSFPGRDLL DTVLTLPMVLPPTILGYYLIVLLGRYGWLGQYLDQWFGIQLIFTWQGAVVAAAVVSFP LVFKPARAAFESIDRNYEDAARVLGLSEFALFLRVTLPLAWRGILAGLLLAFARALGE FGATLMIAGNIPGKTQTLSIAVYAAVQAGAEAQANFIVVVISIVCVIILFAARYLAPR RMELQ MIM_RS06150 MTSFQLDVSLRKKLQHRHHGFTLDVAFSASARRIVIQGSSGAGK SMTLRALAGLVAPDEGKIVLNDDILFDSAAGISMSSQERQVSYLFQDYALFPHLTVRQ NVAFSLTKGLFNPARNAWREETDYWLDKMHMSAYAGRYPEQLSGGQKQRVALARALAS RPRLLLLDEPFAALDTHLRQHLRQEISQLQSQLQFPLILVTHDPSDVEIFGDLVLHVH NGSIRERETV MIM_RS06155 MSKQGTHSMAGAAGARMAGAEKADITSPEDPPGAAAGPSTGSGP RLNVRTAIGFGLEQHELADERDLQLLGHVQRLGSITAAARAAGVTYKTAWDRLRNLQT RLGQAVIIAAKGGRGGGRTQLSDKGQALLHYYEQLRRQQDHAVEPDVALDAVADLAAR PLPLRKTSARNHLQGVVTAIARGGIRDVISVQLHESLQLSVNITHASTLALGLKKGVA VYLLIKAPAIRLAQQQGAQANVFCGQVRRSRRLRDQQELEVQIAPDVHVVTMAGQNQA QPLRKGDEIMVHIDPDTVILGVD MIM_RS06160 MFIDFFYHLKNHKIPVSVKEYLTLLEVLRERVMPPSLDDFYHLA RLTLVKDETLFDRFDQAFGLFVKNLPATLPAGPDIPLDWLISQMQKNLTPEEKAALEK HGWDKLMEMFKERLQEQKERHAGGSKWVGTGGTSPFGNSGYHPEGIRVGGPSAGNRTA VKVWEERRFREYDDQQELGTRNFKVALRRLRRFAREGAAEELDLDDTIRSTARNAGFL DVKMVPERHNAVNVLMLLDVGGSMDDHIARVEELFSASRSEFRNLEVWYFHNCPYERF WRYDAGGRRVYTDTWELLRTYNTNWRVIFVGDATMSPYEIVHPGGSVEHYNKEAGAVW LARILDAWPKAVWLNPEPQAYWQYRQSIDVIRNIMQNHMYPVTMTGIEAAMRQLSK MIM_RS06165 MKASQSTSNPSRFAGTERYVATEDLKLAVNAALTLQRPLLIKGE PGTGKTMLAEEVAEALGYPLLQWHVKSTTKAHQGLYEYDAVSRLRDSQLGDERVRDIA NYIRKGVLWRAFESDVPTVVLIDEIDKADIEFPNDLLRELDQMEFHVYETGQTIRAVH RPLVIITSNNEKELPDAFLRRCFFHYIQFPDRDTLREIVKVHYPEVKTDILRAAMDHF FMLRDAPGLKKKPSTSEFLDWLALLLAEDIDAARIDAHAATSVPVMAGALLKNEQDIS LLERLSGLARQTRR MIM_RS06170 MKLSAFKRSVAALAVVVPCALFSQAFAQQAAAPKGDIEAAKGKI SMCIGCHGIPEYRASFPEVYRVPKISGQNADYIVAALKEYKSGARTFPSMVAIAKSLS DQDMLDIAAYFSQVK MIM_RS06175 MKRVSILLSGAVCALVGMASAQAADLAAGKAAFEKNGCVACHGA AGDKTIAPMYPVLAGQHDDYLVHALTAYQRGISNAPNSANIRKNPIMGNEIKKLGAAD IVNIAAWLSAQPGPLSHNRK MIM_RS06180 MFNPSRDQVRQFFTETWAKHRQHKILTPMESMALTWILQHPEYH EALENPDAAQQEFSVEKGQVNPFLHLSMHLAINEQVSIDHPPGIRSIYEQLAARTDEH HAMHEIMECLGQVIWESQRLGKPLDTDHYLELLRQHSTRH MIM_RS06185 MFTPHLSILRGPCMPQFLQNETLADIFETSAATYPDHTCLIDGP LRLTYREVNDRADLMAHHLLSDGVAPGDIIGLWLRRGSQLLIAQLAITKAGAAWLPFD IDTPLERVAVCLEDAGARGIITEKQDTAAAVAGRAIAQWHPKELTVPASQHMLRRQGV LPSDPAYLIYTSGSTGKPKGIAIRHDNICPFLRSENHILGIAHFDLVYQGFSVAFDMS FEEIWIAWLSGASLWIAPKEVAADPVGLPAILQKSGVTVLHAVPTLLAMFAEVPESLR LINMGGEMCPDALVAKLDNGRIRLFNTYGPTEATVSATLEQLSIHKPVTIGTPLPNYG LAVLDAQQQLLPVNEVGELCIFGPGVAHGYLGRADLTAEKFLDNPFAEGEQEAHLYRT GDLARILPGGTIECLGRVDDQIKIRGFRVELGEIEAALAEQTGVATAAVLVCPIAGVD QLVAWIVPEPGQEKLLASSANLRNILKTRLPAYMIPAFFEYTDSVPRLVSGKIDRKSL AQMPVHTWPDAGQESDEPENDAQKALFDILRSLFPGQGIHLQADFFSDMGGHSLLAAQ LVSRVRAVPRYAALTVQDVYQKRSVQAIADCMQRADTQQTDAPSQTLFSPPPANTWRR RFVCGLAQFAAVPFLITLSIMQWLAPFFTYHNLTGEMADSTWYAIGMSFVVFIVVYLL SFVLAIVGRQLLTAGIRPGRYPLWGVTFFRWWLSDRLANVAPLYLIAGTRIYAAYLRC MGARIGREVMLSSMTVRVPSMLTIEDGASVGADVHIENARVQNGELVLGTIHLGKNAY VGSYCVLEGNTAIAQDGRLNGLSSLYDGQHIGAGQIWEGSPSRMIASHTRSSLPPRPV PGAGRIAFEWLFYSLGSLFIALLFFTPLFPTFIFIDLVDPNFDYDNFLLSGVNYFLIA IPASFVLILFTALLSALVRWLALPKMQPGRYSIYSSLYYRKWLINQIQAASLHTLHGV YATVFASSWYRLLGARIGKNAEISTIMGAAPNMLTLGDDAFIADAVMLGDDEVDGGWM TIRNTVIGHRSFIGNGAYVPDGTVVAPNVLIGVQTRAPESEQMKPGDTWFGSPPIILP AREMMTRFDEQSTFRPSMRRRLGRAFVESLRIVLPMSFTICAGYLIVYDVVRYESIVS VMQSVPALMRDGLWYGISSFVMVVLLKWLLLRRYRPTSAPMWSLYVWVSEAITNVYES MAVSHFLDYLRGTAFLPLLMRVLGARIGKGVYLDTTDMTEFDCVHIGDYAELNGLSGP QTHLFEDRVMKIGQVHIEDGVNLRARSTILYGSTVGQGALIGPLTTVMKGESIPAGSS WIGSPAQNWQQHQQQANNAATGGTREHKTERQAEALVG MIM_RS06190 MSYQHIKVPSSGEKITVNADFSLNVPDQPIVPFIEGDGTGADIT PVMLKVVDAAVEKAYGGKRKIHWMEIYAGEKSTKVYGSDVWLPEETLEAVKDYVVSIK GPLTTPVGGGIRSLNVALRQQLDLYVCLRPVRYFKGVPSPVREPEKTDMVIFRENSED IYAGIEFEAESDQAKKLIAFLQNELGVKKIRFPQTSGIGVKPVSREGTQRLVRKAIQY AIDNDKPSVTIVHKGNIMKFTEGGFRDWAYEVAQKEFGAELIDGGPWSKIKNPRTGKE IIIKDSIADAFLQQILLRPAEYSVIATLNLNGDYVSDALAAQVGGIGIAPGANLSDSV AMFEATHGTAPKYAGKDYVNPGSEILSAEMMLRHMGWTEAADLIISSMEKSILSKKVT YDFARLLEGATQVSCSGFGQVMIENM MIM_RS06195 MHNPATRIKFGFTLLAGLFTATASTTALAAYPEKSITIVVPFSA GGPSDKIARDVAEALREPMGQPIVIENRLGAGGTIGTARVARAKNDGYTLLVHHIGLA TAHALYKDPGYKIDDLEFLGLINEAPSTLIGKPGLAANDFAQLKQYIAEKDNAINLAN AGVGSASHLCSLMLQSELNSHMTFVPYKGTAPAMTDLMGGQIDIMCEQATNSIPQIES KKVRVYGVSSMKRMNLPTLASVPTLDEAGLSGFNFSVWHGLYAPKGTPKPVVDKINAA LRIALKNPELIKRQEALGISIVNDDRLSPEGHKAFFEKEAARWSAVIKKAGIQPE MIM_RS06200 MKIVEIREKTLPISSPIRNAYIDFSKMTLSLVAVITDVIRDGKP VVGYGFNSNGRYGQGTLMRERFIPRIMQADPATLIDDSGNNLDPHKIWATMFSNEKPG GHGERSVAIGTIDMAIWDAVAKIEGKPLFQLLADRYGNGQPDRRVFVYAAGGYYYPGQ DHEKLKDEMRSYIDRGYTVVKKKIGGASLDEDLRRIDSILSVLQDGQKLAVDANGRFD LDTAIAYAKALSQYDLFWYEEPGDPLDFELQAALRNYYPNPMATGEDLFSMQDARNLI RYGGMRADRDWLQFDCALSYGLVEYLRTLEMLHEHGWSRARCIPHGGHQMSLNIAAGL GLGGNESYPDLFQPFGGFPDTVKVEHGFITMPDLPGIGFEGKADLYQVMQQLSA MIM_RS06205 MISDLEFMVILARYGNLAAAARALNVTPPAATRRLALLEKRLGV RLVNRTTRSFSLTSEGETYRQHAARILGDIVDMEDIISSSRKPRGLLRINATLGFGRT TVAPLVSGFTRRYPQVEVQMEVTDRPVDLVENGFDLAIRFGALPDKRLNARRILSNRR FLCASPLYLERHGTPASLADLAQHRCIIHRQNDDAYGIWRFAHHDHSEVVKVHGMLSS NDGDIVLGWALDGHGILIRSEWDLAKYLESGRLRVVLPDFTLPDADLYVYYPSQRNLP ARTRAFIDFLVAHFDQSGRAEGHADKSAPAG MIM_RS06210 MTFFKPCMLAVLLAASPLTVHAAYPDQPIRLVVPHPAGGSSDIL ARTMAAAMSKDLGQSIVVENKGGGNGAIAAQTVANAKPDGYTLLLATASTHGINPTLY RKLNYDAIKDFAPVTLFATVPNVLVVGAAHKQMNSLKDLLQFMKDNPGKTNMGSAGSG TPGHLAGVMLKDAEHLDLVHVPFKGGAPVISALIGGQIDFMFTTIPGAISHIKAGSIK GLAVSSAERSDALPELPTVAEAGVPGFEAVSWHGLVAPAKTPQPVIDALYQAASKALN SEDVKSKLANEGARAAKMTPDEFGAFIQAQINAWGAAVKSSGARAD MIM_RS06215 MAKSKPSPATQRSDADSEQANDRGVTLSDQAYSYIVQSLENGTL PPGTRLRETELAADIGLSRTPIREALNRLMSEGLVSNDPKRGLIITELDQNMVGELYE MRRVLESTAAALAARHATDVEIAVLRQIIDRDETLNDPAALSYNNRLFHQTLYQCAHN RYLLKTLQVLQNAMLLLGKSTLAESGRPSTAREEHAQIINALEQRDPDKAQEVSSRHI SEAYKVRLSRFLLDRE MIM_RS06220 MKDTLACDVLVIGGGNAALCAALSAAEQKASVILVESAPRAWRG GNSSHTRNFRCMHNEPTDVLTDRYQEDEYFQDVFRVTGGETNEDMARYVIRETEACAP WMKANGVRFQPSLGGTLHLGRTNAFFLGGGKALVNAYYKAAEKAGVQILYETEATTLN FSDGNCESVELVRNGQALTITAKSVVIASGGFESNIEWLEEAWGPAARNFLIRGTRFN QGVMLRELMRNKACIIGDPTQGHAVAIDARAPKYDGGIVTRVDCVSLGIVLNKEGRRF YDEGEDFWPKRYAIWGRLVAQQPDQIAYCIIDSKAIGRFMPSVFKSISADSIEALAER LGLPVQTAVETVAAFNAAVKPGKFDHSVLDGCRTQGLQPEKTNWAQKIDTGPFYAYPL ATGITFTYMGVKVTKKAQVIMDDETLARNVFAAGEIMAGNILSKGYVAGFGMAIGTVF GRIAGTEAAKHAAA MIM_RS06225 MQQLEQLIEDARNAVGICNSCRYCEGFCAVFPALEQRLDFTRGD LHYLANLCHNCSECYYACQYAPPHEFNVNLPVQLAAVRQTTYQTYAWPGAIARGFDKS GLLASMGFLLALIVLLGLAALIGGPSVNDLNGQFYAIFPHGVLATVFGLAALWVVVAM GMGFRHFLRDVGERPALLLNWKHVRQGMADALSLKYLHGNVKTGCTYPDDNISPWRRR FHHFTFYGFMLCFAATASGTVFHYVFGWEAPYAFFSLPKLTGTLGGISLAVGTAGQFW LKRKTDPEIRNIRQMGMDYAFIAQLFLAAITGLALMVLRETAALAPLLVIHLAVILSL FITMPFGKFVHGLYRSGALIKYAKEQPASH MIM_RS06230 MSHTIAALYKFVDLPDFESLKEPLQAFCERHHVKGTLLLAREGI NGTIAGPREGIDAVLAYLRNDPRLADLEHKESWSDERDPFHRMRVRLKKEIVTMGQPN VNTNNAGTYVPPENWNDLISDPDVVLVDTRNDYEVDIGTFKGAVNPNTVTFREFPGWV QSQSAEGGLLDKKRTRKVAMFCTGGIRCEKSTAYMKSQGFDEVYHLQGGILKYLETVP PEQSLWEGECFVFDERVSVGHGLKPGPYIQCRACRHPLSEEERASPKYIRGESCPHCW DDKTEEQRERFRERQRQVDLAAARHEEHIGADMQEQIRQRRALKEARKEAARQRALQK STQKGAEN MIM_RS06240 MVTPIKGKLEDLRQLQKAKAREETRRAKAQQNAPRPGKTATGTA APAVFTAEDSVLFRQTVRSVTPLPPANRYPRQALDYGNNEYFRAKRRQAEGAALPEPH KPASPDRGTEKKRSSAAVPKGRRAAALPEGAYVQRTDSVDLIKKLLSGQWPVGATLDL HGANSAQAAERFDRFIHSCLEHRVRAICIVHGKGYGSADGNAVLKDQVLAWLKNMDAV LAFAPAPENMGGTGAQIVLLKTSESD MIM_RS06245 MADKTKHAKVLILGAGPAGYTAAVYAARANLSPVLVTGMEQGGQ LMTTTDVDNWPADAQGVQGPDLMQRFLEHATRFNTDIVQEHIKEADLSVRPFRLVSES GTTFTCDALIIATGSSAKYLGLPSETAFMGKGVSACATCDGFFYRNQDVIVVGGGNTA VEEALYLSNLCRHVTLVHRRDTFRAEPILVDKLMEKVNGGTMSLKLFNTLEEVLGDQS GVTGARLRHVDGHLEDLAVTGVFIAIGHHPNTTLFEGQLELENGYIVTKGGHGGFATM TSVPGVFAAGDVQDHVYRQAITSAGTGCMAALDVQRWLESQPE MIM_RS06250 MFTEAKWVLYAALAAALSVMLFTWHPNDPGWVSTSSSTLVHNRL GAVGAYVSDILLYLFGLSAWWFVALCLKRMWVGYKMLMSRIVANPDAALPRVHWEQGV GFALLFVGSMGFEASQLAHIGSQLPGGAGGVLGQYVGTLFSTAVGVPGAVLILFFMVV LGLGLFLDFSWLSLAERIGSTIQRLLNALINLKTARQDRKAGEIAQVAREEQVVVQKE QLVHETSVRIEPQITVFPTSERVIKEKQRTLFEPPKNASGELPALELLDPPGENIESV SPETIEYTSRLIEKKLRDFGVQVTVVTAQAGPVITRYEIEPATGVKGSQIVNLSKDLA RALSLVSIRVVETIVGKNLMGLELPNPRRQMVRLSEIIGSKTYHDNASSLTMALGKDI AGNPVVADLAKMPHLLVAGTTGSGKSVGINAMIISLLYKADASQVRLILIDPKMLEMS IYEGIPHLLAPVVTDMRQAANALNWCVGEMEKRYKLMSKMGVRNISGFNSKIRDAAKK GESIPNPFSLTPEEPEPLKTLPMIVVVIDELADLMMVVGKKIEELIARLAQKARAAGI HLILATQRPSVDVITGLIKANIPTRIAFQVSSKIDSRTILDQMGAEALLGQGDMLYQP PGTGLPNRVHGAFVDDDEVHRVVDYLKEQGEPDYVDGLLEGGVAGETGDGVGSVTGFT DNESDPLYDQAVAVVLKNRRASISSVQRHLRIGYNRAARLLEQMEQSGIVSTMQSNGN REILVPAGQEEPDA MIM_RS06255 MKKTLLAICLAMVAAVPVATMAQDIKVNPDMMNPDAGLSTTLEG VTFEKIPDVKNTQQTDARQQLKDFVAQVRSASGEFAQKTSGGKAKNRAAQTGTFSFER PGKFNWSVVKPYAQSVISDGKTVYQYDPDLKQVTERPVSKAVGASPAAILFGSGTLDD SFTLSALPDNNGMVWMRATPKVSDAGLAHVDIGFANNLPAELVILDSFGQTTSIKLRN FKSNAKIPASAYQFKAPPGVDTVKM MIM_RS06260 MTDFSDSDLFNGAGAGANAPLAERLRPRNIDEVIGQSHLLGPDK PLRVAFEARRPHSMIFWGPPGVGKTTLARLMADGFDAHFIAISAVLGGVKDIRDAVVK AQVAQAQGRKTILFVDEVHRFNKAQQDAFLPYVESGLFTFIGATTENPSFEVNSALLS RAQVYVLTALSDEELGQLLLRAKESGAIDLDFDDQSIAVLTGYADGDARRFLNLLEQT STAAMAAQVTQITPEFMQNALTLNLRRFDKGGDSFYDQISALHKSVRGSHPDAALYWF TRMLDGGADPKYLARRIVRMAWEDIGLADPRAMQMANEAATTYERLGSPEGELALAQA VIYLSIAAKSNAGYNAYNQARAFVKQDKSREVPVHLRNAPTKLMKELGYGHEYRYAHD EPNAYAAGETYLPDGMREPGWYRPVQQGLETKITEKLNYLRSLDREARQNKKTR MIM_RS06265 MKKTVIATLAGAFTLALGMHSAIAADMKMNADSKIDQKPMHQSG TTGTPTPGWEKLSKNKNWKPAASGAGQPHASPVKADSNVVPEHQGTSGMHTSHASKSK PTAAAPKHKTSMAVKSDQGHSGHMKSGAAKPAGQGGAMPMQHGTSGMKSDSNVVPVEH GQAHKPAM MIM_RS06270 MLDPVLLRKELPLVIAALKRRNVDFDEARFNQLEARRKDVQIQT ETLQAQRNALAKQIGQLKSRGEDASAVMAESQALPGKLKELEETLAGIRSELDGWLMT IPNLPHSSVPEGKDSDDNVEVRRWLGVQAGYVTDQSEPPAKTFETQDHVTVGERLGLE FDTAVKLTGARFSFMRGGMARLHRALAQFMLDLHTSEHGYTECYTPYIVNSSTLLGTG QLPKFKDDMFWVTKGGEDEPQTDQQGRPIEKEDLYLISTSEITLTATVKNEIVPGASL PLRLTAHTPCFRSEAGSGGRDTRGMIRQHQFDKVEMVQIVHPDTSYDALEQMVGHAEK VLQLLQLPYRVVQLCTGDMGFGAAKTYDLEVWIPAQNTWREISSVSNCEAFQARRLQA RFRNEQGKTEYLHTLNGSGLAVGRTLVALIENYQQADGSIEIPAALRPYMGGVARLTP AA MIM_RS06275 MLFLLSPAKKLDYDSPVSTRTHTQPLFVKRSAELIKVLKTKSAE DIAGLMKLSQALSELNVQRYAEWKPKFDQKNSRQAVLAFNGDVYEGLAAETLSESQLT WAQEHVAILSGLYGVLRPLDLMQPYRLEMGTRLQNSRGKNLYEFWGSEIAQYLNERLA DQPSRIVINLASEEYFKAVDLKALDARVVQCVFQDYKNGVYKIISFNAKRARGLMARF AIETKAKTPAALKKFNVEGYAFAAEESTEDKLVFRRKQ MIM_RS06280 MSRQAAIRIFALFALGYFLSYVYRGLNIGFAPFLSREMGLTASD LGLLTSFYFIGFALAQLPAGLALDRYGARRTDAVLLLVAAVGTVLYGMTHSMQGLIVG RILIGVGVSVCLGAGFLAIAQNFPSSRWPLLNGLMVALGGMGGVVVGTPLAVLLTQYS WREISIGMAVFTVAVAAVIWLLVPESKQRHASHESVSKQIHGIRIILKNSVFWRVVPF PCAVGGAFYAAQSLWVKPYLTDVSELTARQADSLVSMLGLTMVAGTVITGMVARKIER YGLGLRAFCGLGMALFVLVQLLILFRVPVSLTLIWGAYGFLAASCILAYALLAEVFPR KVVGRVTTAFNMIFFISIFSMQVGVGFVLDFWPATEGHYPAVAHLTAWGMMVAIQLLS AILYFWPGALKVDEQLFE MIM_RS06285 MDIRAENFIKFFYSSHFFHGTRQATGVLLPVFVMAGLFNQPLIG VALATGALCPAIIDQVGGTKRSRLNEMLGGIVLGQISGLLTGLASPYPALLWLVVSAQ VFFYSMLSVYGRRGGLIGFGCLLQMMLSMHTPMSVNEALTHTSYTAMGGIFYILYSTS ISHLFKLREERQTLSAALYATASYVSTRADFYDTHKNLDDSYRRLIPQMIAMTEQHQS ARDVVLRNLPRDNDASDQQRILLWNVFIDMIALLDTMVASQTDYATLRARFQDHDILL FMRDTLFKISRTLNRTAYSLARNKPVDYRNSVKAELRAMEYELLQLKQDGIQRTEPET YMLLVQIVRRLRNASRFVDRMADNLRGMRLEPVDSLKRDQSLRRFITPQSITLGPFKR NLNFNSSIFRYALRTTFVVTLVLLISTVVSLVYGHSELVQAFTSHSYWIILTVLIIMR PGFALTRQRTIGRLVGTLVGCLITIALFNLTSNPFILIAIMIPAMVLGNAFVLTNYPL SSLLMTIYILIAFHFLSPGNLLIIGERALDTLAGCALAFACGSILPWWEKQRIMPLAQ EAVAAAHEYILAVQAYINSVHADPEHKVPSAENERYVHAQLSRKNMHTAFGAFADSFY RMMNEPKSKQIHIKELNTILVQTDAVASQIAAIAPVMAGLDKIPPNMQQTLSNVSDLL DKDAQTITEAPTQIETEGQYGSLVFPLKQMQQAALRIRQQSTNIGLM MIM_RS06290 MELNGADIVVRCLAEEGVEHVFGYPGGAVLYIYDAIYKQKDFKH ILVRHEQAAVHAADAYSRSSNKVGVCLVTSGPGLTNAVTGIATAYMDSIPLVIISGQV PTKAIGEDAFQECDTVGITRPCVKHNFLVRDVRDLADTMRKAFYIARTGRPGPVLVDI PKDISMTMCKYAPPKSEIKMRSYAPVVKGHLGQIKKAAQLLASAERPMIYAGGGVILS DASEELRELVRLSGAPVTTTLMALGAQNPDSEQYLGMPGMHGTYEANMSMQNCDVLIA VGARFDDRVIGNTRHFAQAQRKIVHIDIDPSSISKRVKVDIPIVGNVREVLQEMNPLV ADALAEQKSGRSLQAWWKQINEWRGRDCLKYDRGSDLIKPQFVVETLCKVTEGNAFIT SDVGQHQMWAAQYYRFNHPRRWINSGGLGTMGVGLPYAMGVQMAHPDAQIACITGEAS IQMNIQELATCTQYHFTPKVLCLNNRYLGMVRQWQEIDYQSRYSESYMDSIPDFVKLV ESYGHIGLQIDKPADVEPAIKEAFGKHKDRLVFLDFITDRTENVWPMVKAGKGLTEML LGSEDL MIM_RS06295 MKHIISILLENEPGALSRVVGLFSARGYNIETLTVAPTEDATLS RLTIMTAGSEDVIEQITKHLNRLVDVVKVVDLTEGAHVERELMLVKVRAVGKEREEIK RLADIFRGHIVDVTDKSYTIELTGVQEKIQAFLSALDRSAILETVRTGVSGIGRGERI LRVM MIM_RS06300 MKVFYDKDCDLSLIKGKNVAIIGYGSQGHAHALNLHESGVNVTV GLRKNGASWNKAANAGLKVAEVADAVKTADLVMILLPDENIAQVYKEHVHGNIKAGAA LAFAHGFNVHYGQVVPRDDIDVIMIAPKAPGHTVRGTYSQGGGVPSLIAVHQDKSGAA RDVALSYASAIGSGRAGIIETNFREETETDLFGEQAVLCGGAVELIKAGFETLVEAGY APEMAYFECLHELKLIVDLIYEGGIANMNYSISNNAEYGEYVTGPRIVTDETKQVMRE VLKDIQTGEYAKSFIIENAAGAPTLTSRRRINAESDIEVVGSKLRAMMPWIAKNKLVD QTKN MIM_RS06305 MNIKMLIAAGILAAGTISAPVWAQEVLKVGVEALYPPFESKTPD GKLVGFDVELNDAVCARLQVKCEWVETSFDGLIPALNARKFNYINSAMNITEPRQKVI DFTVPIYDVPSQLIAKKGSGLQATPESLQSTVVGVLQGSAQEAFVKKHWAPKGVKIVS YASQDQIYQDLRSNRIQAAVQKTPSAVSAFLDKPEGKDYEVTGAPLDDTSVLGVGTGF GIRKGNDELKKRLDGAIDALKKDGTISKLTQKYFNADWVAK MIM_RS06310 MQIRSKLPAVGTTVFSVIGDLAERHQAINLSQGAPNFPCAPELI DHAIDAMSEGHNQYSPMIGIESLRNKIAEKIQLLYGHSYDELNEVTVMASASEALYCA IAALVHPGDEVIYFEPCFDSYAPIVQLQGAVPVGIKLLPPAYGIDWDAVRDAVNDRTR MIIINSPHNPSGAVLSDADIAALQDIVADTNIVILSDEVYEHVVFDQDIHRSMSRYAG LRERAVVVSSFGKTFHVTGWRVGYCVAPTAIMAEIRKIHQFLMYCADTPMQYALAAMM ETPNHYLNLSTIYQEKRDLLAHALKESKFELLPSKGSFFMLARFHHFSYLSDVQLVRK LIEEFGVATIPVSAFYSDGTDLGVIRLSFSKDDATLEEGARRLCKL MIM_RS06315 MTNFRKLTISSVLAASGVLGLSATAAAQDNWPAKPITFIVPYAA GGFADTRMRLLAEELSKELKANVVVENKAGAGGVIGTDYIARAKPDGYTIGSGNLAPL SVNPTLMPKNVKYDVSKDLAPVILIEESPLILSVNNKLAVKNVADLIAMAKKEPGKLT FGSSGVGGAHHLSGELFATDAQIELTHVPYKGGAPAGSDLMAGHIDMMFEMGYAAMPA IQSKKVHPLAVTSAKRLPLLPDVPTMQEAGLKGFESYNWQGVIAPAGTPEPIISKLNT AFNNILKKPEVQKAFESTGGQSAGGTPEDFAKFIQSETAKWAQVIKTSNVTVN MIM_RS06320 MAKNKHRLLSLPTTLALLFGIFPGSLLWAQDAESEALPTEKLEP IVAVASATPRSIEEIAATVWFIDSKRIAQSSAMGKTLGQILGDEIPGLDASSGGRTNN GQNLRGRGILVMIDGVSLNSSRQISRQLDSIYPNNIERVEVLSGASAVYGGGATGGII NIVTKKSDSGIQGSFSVNGVSGFKGGQDGDYSMSAEVSGGNERMSARGAISLGRNRAT YNADGELIVPDITQGSLQYNRTADIMGSTRFNFDNDKALDLSVQYYESKQRNRYGLNF GKNFKSFPNFEISDGYEADRQGATRRWAINAQYSDQDFLGHRWYSQLSWRREVLDFIP FIYTRPAPYFAASQQTTSVLSARTALEKQWNNLKFTYGFDGYIDRLDSNQIIFDRKMS AASGGLINERYKEIGRYPGTRVSSAAGFLQLDYAISPQWQVSGGYRYQYLKNRIDDFI GAAQQTRLALGLGRSADHIAGGTNSYRVGLWNLGTVYKFSPQTRLWANFSQGFELPDP AKFYGQGKYVFSQGHYKLVNGSNVSGSRLKGIKTDSFEVGGRYADSSAEAQISAFYSI SDGSIDYDRKTLLITQSDNKKRIFGVDGKVSYWLTKQLQLGGLGHYVNTRIKSGDRWA KASITDASPSKASVWALWRTGTFDAKIQANRIFSMEDGSGHRLNGYTTVDASYLQQLG RGEITIGIQNLFNKKYTTVWGQRAKVFYAVGGIPESMFDYQGRGRTFSLGYTYAF MIM_RS06325 MSRVAIIGAGITGITTAYTLAKLGYSVTVLDKNRDPAMETSFAN GGQLSASNAEVWNSTATVMKGLRWIGKRNAPLLLNPAFSWHKYSWLAAFIRNIPNHKT NTIETTRLAIEARRHLYAMAEQENIDFDLERRGILHIYYDKGSFDKAVKSNALLQAGG LERYAVTRDEALSIEPTLKADFFAGLYTPSDATGDIHKFTNGLAAACRRLGVRFIMDT SVTRIASDRQQHQIFHERYDNGESAFIEAEKIVVCAGTGSRSIARMLGDTMNVYPVKG YSISVHLDDAASLAATPRVSLLDEDAKIVTSFLGDRFRVAGTAEFNGFNKDIRADRVQ PLIDWTRRLFPEIATRRVVPWCGLRPMMPDMMPRVCRGRKPGVFYNTGHGHLGWTLSA ITAQMLGELVDASAQNPSLQPAY MIM_RS06330 MKIKGTSLLAYTALAALALPITAAAAWPDKPIKVIVPYTPGGAT DTVTRVVMQKLSEKLKQPIVIENKPGANSTIGTSQAARSKPDGYTFVTVLAAYSANPH LYKLNYSNDDFAPVSHIADLPLFLFVSKQVPAKNVKELVEYGKKNHLTYASSGTGSSA HLTGAHFADEAGIQMTHVPYKGSAPILADLLSGQVSMVFDPILVPMAYVKEGKLNALA ITSAKRWDGEDDIPTMEEAGFKGFVMNSWTSLLAPKGTPQDIVDRVSSEIAEIVKDPD VQNKFKTAGFVPVGGSAAELSELIKRDSALYDNIIKENNITVQ MIM_RS06335 MSANVLQHLKNRRTIYALGRDVKLGNEELVSLIQNSIKQAPSSF NSQTSRAVVLLGKHQDRLWEIAKETLKAIVPADAYPATEAKLSGFQAAYGTVLFYEDQ DVVGDLQKKFALYADNFPIWSEHSTGMAQLAVWTALAEHDIGASLQHYNPLIDDKVKA EWNIPSSWKLRAQMPFGSIVSPAGEKEYMNDEERFRVFA MIM_RS06340 MAGGMQSAQAMSEAAQNFPDKPITIVIGYTAGGSTDIPFRVLAE NLTGILKQPVIVENKPGAGGVLPAMQLHSKKPDGYTLAQTPTPVFRLPYISKIDWNPA TDLTYVIGLAGYSFGLVVPADSPIKSMQEYIEYAKQNPEKLTYGTPGIMTTLHITMEA IAQDAGIKLVHVPYKGNAESLKAIIGGFVMSVADTPAWAPYVENGKLRLLSTWGEKRS KKFPDAPTLKESGIDRVQLSPFGLAVPKDTDPDIVKKLHDALKLAMEKPNFREALEKY DMEPLYMSTQQYTEFAKKTTADEGKVLESLGFRKK MIM_RS06345 MIRDQETQSLLEEGVRRFVSEVLVPAENEVAETDEIPQQIRDQM KELGLFGLALPEQYGGLGLTMEEEARIAMELGRTSPAFRSYIGTNNGIGSSGIVIDGT PEQKEQYLPRLASGDLIGSFALTEPEAGSDAGSLRTTAVRDGDHYIINGTKRFITNAP HAGIFTVMARSNPDIKGASGISAFIVEAGTPGLTIGKRDKKMGQKGAHTSDVIFENCR IPASQLIGGKEGVGFKTAMKVLDKGRLHISAVAIGAAKRMLSDALSFAKDRKQFGHPL VDFQLIQGMLADSKTEIYAAECMLLDAASRRDNGESVSALASCVKYFATEMCCRVADR AVQIHGGSGYVSEYAIERFYRDVRLFRLYEGTSQIQQIIIAKDLIKEGNI MIM_RS06350 MNQSTNTLLINESERVLTLTINRPAQKNALDGATYDALTAALAQ ATARDDLHAIVLTGAGGYFTAGNDLKDFLNASGGASPALTFLKTISKVNIPIIAALEG GAIGIGVTMLLHCDFVVAGTGTQFRIPFVPLGLCPEGASSLLLARYVGIRKANEWLYR GTPFTAEEAQQAGLINRVVVAGQAESTAQELARDMAGQSRLALTSTKALLQRAAAQDV AATLDAERERFVQCLASPEAKAAFSRFLAK MIM_RS06355 MAHPVVQKLRAQMSLPVICSPMFIVSNPDMVIEQCKSGLLGSFP ALNARPASLLDEWLDRITRTLDDERKQHPEQKIAPFAVNQIIHTSNDRLDHDMQACEK YKVPVIITSLRAPTDVASAVHRWGGLVFHDVTTIRHAEKALEAGVDGLILVAAGAGGH AGTLSPFALVSEVRKFYDGPIILSGSITNGGSILAAQAMGADFAYIGTRFIASQEANA DPGYKQMIVDSAAKDILYTPFFTGIPGNYLTPSITASGLDPNNLQEQDKLSTNFGSTR VKAWKDVWGAGQGVGTIDSIDPVATIAQRMQQEYQTARQRIASENA MIM_RS06360 MTSDIRKTLNKPPLASEYEDDRHFITAIARGLDVLACFRSGNKV LGNQEIAQRCKLPKSTVSRITYTLTRLGYLTHNEDSSKYQLGMATLSLGVGLLAKLDI RQVARPLMQALAEETQGMVTLGTRDRLSMLYLENCRSRSALTLSMDVGARIPIVSTAM GRAYLAEIPQQEREEIFGRVRELDELASDALMSGITASLADYERLGCTCSFGDWQKDV NAIAVGLNLGRQFPIMAISCGGPSFNLSEQYLLEEARPKLLEVVSKLKETVGQPA MIM_RS06365 MTDQTIPEHYRLLNRFSTFSDLTGPFYEKVINERHAGLGVRVKA THLNKVGVAHGGLIMTVADNAFGDAILNAHDEPVAFVTMSLTCEFMSPVREGDWLEAT VDIQRKGKRVIFANCDLRVGDKKVAFATALFSMIDKK MIM_RS06370 MDFTFTEEQLALQDTLTRYIDKDYTFEQRSKNLAANQHINEKTW ADFAALGILALPFAEEDGGLAGTPLDTYLVMRSLAKGLILEPYISTVVLSGTFLQQHA TAQQRNVLIGAIADGSARFAFAHYDVQSRYQESRIHTKAENKAGNWILSGHKAVVIDA PVCDHWLITARTAGQNNDSNGLSVFLVKADHPGITAKSYRLHDGHLAADLVINNAQLP PESLIGDADTAYPAIMQALAATNAALAAEAAGLIQALNEATLEYLKTRKQFGVAIGTF QALQHRMADMAIAAEQATSMALLAAIGQAGDDPQDRIIKGAAARVLLAKLSRHVGEEA VQLHGGMGVTDELAVPHYFKRVTVLNSQYGDADFHLQRYSDSLLTSQKTQDAA MIM_RS06375 MDIRYTPEQLAFRDSVRAFLKEVVPAAVRDKTQQCLPLSKAERE QWQRDLFKQGWGAPSWAVEFGGTGWDAVQRHIFEEECAAFGAPEQLPFGLKMVAPVIQ KYGSREQQERFLPRIISGQDWWCQGYSEPGAGSDLASLKTTAVRDGDDYIVNGQKTWT TLAQHADWIFCLVRTNPEVRKQEGISFLLIDMKTPGITVRPIIMLDDGHEINEVWLEN VRVPAANLIGEENKGWTYAKFLLGHERTNIARVGRSKAALQLVKKAAAGQIGNDGLPL LQDARFRDRLAQVEMDLMALEVTNLKLISQEGSSHAPGPEASILKVKGSEIQQAITAL ASQVAGPYAAAHILPDDQVHELSAAFPQAQEHMTSQYFNYRKTTIYGGSNEVQKSIIC RMILGL MIM_RS06380 MDHAFYEEKDGIAVITFNNPPVNGLSHGLRKAIVEGVDRANNNT AIRAIVLGGTERAFSGGADVTEFGTPKAGQAPTLRTVIEVLEQSAKPVVAAINGVCLG GGLELALGAHYRVAAAAARVGLPEVKLGILPGAGGTQRLPRVLGLEKALNMIVSGQVV KAATLADSALFDKVVEGDPQAAAIDFAKGLASDVPLKRVRDLAVSDADADALLLNARN AVKAGSARFPAPAACVEAVAASTNMAFDDGLAFERQQFTQLLNSSESLALRHVFAAER AATKIPDVPADTPLRPINRVGVIGAGTMGGGISMNYLNAGIPVVLLEQKEEALQRGVA TIRKNYENTMKKGRITAEQVEERMNLLSTTLSYDALGEVDLVIEAVFEDMGVKEVVFR ELDRVIKPGAILASNTSTLDVDQIAAFTKRPQDVIGLHFFSPANVMKLLEIVRGAKTA KDVLATCIDMGKKIGKKPVVSGVCDGFIGNRMLYAYRDAADEVMLQGAAPSQIDRALE NFGFAMGPYRVGDLAGLDIGWAIRKRKKEADPQGYEEVVADRLCEAGRFGQKTGAGWY RYVAGDRKPQVDPEVENLIETFRKEKGVTSRKISDQEIVERCVYALANEGAKILEEGI ALRASDIDIVYLNGYGFPLHRGGPMHYAQQAGLFNVVRALRQFGATSARPQAWQPAAL LEKHAESGDALK MIM_RS06385 MREAVIVSTARTGLAKSWKGAFNMTYGATLGAHSVSHAVARAGI DPAQVEDIIIGSALPEGTTGGNIARAIALRAGLPVRVGGVTVNRFCSSGLQAIAMAAQ RIIVDQVPVMVAGGVESISCVQNDANTLMRQDPWLKQHKPEVYWSMLQTAETVARRYG ISREAQDEYGARSQQRAARAQAEGLFNDEIVPMTTVMSVFDKATGGIARREVTIAADE GIRPDTTLEGVAKIRTVFPKGVITAGNASQFSDGSSACVLMDAELARQSGLSPLGIFR GFAVAGCEPDEMGIGPVFAVPRLLDRAGLKVDDIGLWELNEAFACQVLYCRDKLGIPD DRLNVNGGAIAVGHPYGVSGARMVGHALIEGKRRGVKYVVVTMCIGGGQGAAGLFEVA MIM_RS06390 MNRPDYSAVWPRGRSRSLSVPGTALHANLDISALRYPQKPCLVY YGARYTYAQVQRQVQALAGFLQQDCGVGKGDRVLLYMQNSPQFVLAYYAILRADAVVV PVNPMNRADELQHYIRDTGATVIIYGQELHEQVAVLGAAAPASKLVAAYHDYLPSEGS DQNVPDVVAEPAQALTGNGVVLWRDALARGRTPLPSSVVSADMAVMPYTSGTTGHPKG CIHTHHSTMYNTVAAAVWYDGGNQDAVFLGVLPFFHVTGMEGVMNSAIYLGATIVIMT RWDRVVAAQCIERHRVGRLALIAAMVVDLLAMPDLANYDLSSIARVSGGGAAMPEAVA EKLQRDFGLTYIEGYGMSEAMAPTHINPERNPKKQCLGIPIFDVDCRLIDPVTLQEVP QGEVGEIVVHGPQVMQGYWNNAQASAEVFTEIDNKRFLRTGDLARMDEDGYYFMVDRL KRMINASGFKVWPAEVESMMYAHPAILEACVVGVTDEKRGETVKAWVVLREACRGSVT EQEIVEWCREKMAAYKAPRIVVFTDALPKTSSGKVLWRALG MIM_RS06395 MINKFIDDIQEAVADVFDGATVLIGGFGGAGHPTELIHALIDQG AKELTVVNNNAGNHDTGLAALIKAGRVRKMICSFPKASHSYVFNEMYKDGKIELECVP QGTIAERLHAAGAGIGGFYTRTSYGTELAKGKETRNIDGVNYVFEKPIHGDFALVLAE KGDRWGNLIYRKAARNFGPVMCMAAKTTIVQVKQKVELGQLDPETIITPGIFVNRIVE VANPAISS MIM_RS06400 MNKLNRNQMAERLAKDIAPGSYVNIGIGMPELVANYLKPEDEIL LHSENGILGMGPAATGDDIDGELINAGKKPVTLLTGGAFFHHVDSFAIMRGGHLDLCI MGGMQVSADGDLANWSLGRPGEPPAVGGAMDLAVGARKVFILMEHNAKDGSPKILEKC TLPVTGLGVVSRVYTDIAVLEVTPAGMTVVEKLVDITNEQLQSLTGAKLIFA MIM_RS06410 MIEFKNVSKWYGKFQVLTDCTTEINKGEVVVVCGPSGSGKSTLI KTANGLEAFQKGEIFFNGVSVGDPKTDLPKLRSKIGMVFQHFELFPHLSVMENLALAQ VKVLNRSKDEAMERGRKLLDRVGLIQHKDKFPGQLSGGQQQRVAIARALAMDPVAMLF DEPTSALDPEMVNEVLDVMVDLAKEGMTMMVVTHEMGFARKVADRVIFMDAGQIVEDC NKEEFFGNQEARSDRAKHFLSKILGH MIM_RS06415 MAAFDWQVIQHAAPALLKEGLVFTLKLTLYSTILALIWGTVLAM MRLSSISIFRWFAGSYVNIMRSLPLILILFWFFFLVPYILGWIDFMWATYIDGNASAR MVSRPLNGFTCALITFTLFEAAYFCEIMRAGIQSIPRGQVGAGYALGLRYFQVMRLIV LPQAFRNMLPVIFTQMIVLFQDTSLVTVLSLNDLLGMARINADITGRPVELYIFAAAI YFVISLVCSRLVKVIDRRLTIIR MIM_RS06420 MSISTLFCDPANLDNASLFCQMSPSGNSYLAAFVEAVGWTLQLS AVAWVLAFVTGIMVGIGLTSQNRLVSKLCSAYVELFRNIPLLVQLFLWYFVVPQVLPE SMGKAIINMQYPYSVFWPAVLCLGLFTSSRIAIQLSSGIRSLPRGQSMAATALGLTRF QMYKYVLLPMAMRIITPPLTSEMLNLLKNSSVAYTIGLLEITGAAASMQESTFQTFPA YLAATALYVIINLLILVIMSAVERFFSVPGFISGKG MIM_RS06425 MKLSSLVLAALISSIGLSAHAEGRLDKIKSTKTISLGYRDSSIP FSYLDGGKPVGYSKEICDGIVKALEKQLGTELTVKQVPVTSASRIPLIMNGTIDLACG SATNNAERQKQVSFAPTTFVTATRFVSAKADNIKDLSGFKGQTVTSTAGTSNIKWLAA TNAKDNLGMNIIPSKDHAGAFLTVQAGRAKAFFMDDVLLAGLVANSEDPSKWVISDEA LTTEPYAMIEPKDDPEFKKAVDDAVIAMIKSGEIETLYKKWFESPIPPKNINMNLPMS ESLKKALANPTDSPDPAKYQ MIM_RS06430 MYKPSYPHPVLAREGWPFIAGSIILALLVSWWSVAAGLIFWLLA IFVIQFFRDPQRQAPDGERVVLSPADGRIVSVDKTTDPYTQQQALKISVFMNVFNVHS NRFPVGGRVTQVQYYPGSFVNAALDKASVENERNAVHIALDSGEQVTVVQVAGLVARR ILCYVKPGDSRRAGERYGFIRFGSRVDVYLPPASRPRVAIGDKVSATSTALADLPEPA AVVNQSETL MIM_RS06440 MPNFRCFSRLPGFFSNTGLHRQRKSPFFLQHAAPGKLLRNLSLA GLCATLGACATYRDVPPNSPESVVLETMGTPNFTCPLPNGGTRAIWTLQPNGQYAYGT RFSSAGIADRMESILTDENFRKLDNGVWTPQDVTCEFGPPARVTRVGLGEKNEVVWEY RYKQSNVWNSLMYVYLGRDGQQVTRHHPGPDPAYEREFEFMGF MIM_RS06445 MSVADIKKSEIVAQYGRAQGDTGSPEVQVALLTARINELTGHFK EHMKDHHSRRGLLRMVSRRRKLLDYLKGRNPDAYRSLIEKLGLRK MIM_RS06450 MFNKVTKSFQYGDQTVVLETGEIARQASGAVVASMGDSVVLATV VAAKNAKPGQDFFPLTVDYVEKTYSAGRIPGGFFKREGKPTEKETLTSRLIDRPLRPL FPEGFYNEVQVIVHVLSVDPQIDPDIAALIASSAALAVSGIPFDGPIGAARVGYKNGQ YLLNPTQDQLADSEMNLVVAGTENAVLMVESEAQQLSEEVMLGGVVYGHEQMQAAINA IHDLVAEAGKPEWDWQPEAKNEALIAAVTAAAEAGLKSAYEIRQKQERTTKLREVTAA VKQTLAEQAEQAGQPAPDGVAVDNILFDLESKIVRGQILAGEPRIDGRDTRTVRPISI RLGVLPRTHGSVLFTRGETQALVIATLGTKQDEQIIDSVMGEHRDRFMLHYNMPPFAT GETGRFGAPKRREIGHGRLAKRALVSTLPAHEDFQYTIRIVSEITESNGSSSMASVCG GSLAMMDAGVPVKDHVAGVAMGLIKDGGKFAVLTDILGDEDHLGDMDFKVAGTKNGIT ALQMDIKIQGITKEIMQVALAQARDGRMHILGKMTEALTGSRQELSTFAPRMLSMKIN PEKIRDVIGKGGATIRALTEETGCHIDISDEGVVTISSADLEQAKEAERRIAELTAVV EVGQVYEGTVQRLLDFGGIVQILPGRDGLLHISEIANYRIANINDVLSVGQKVKVKVI EADDRGRLRLSAKALGGLEGAQENAPAEAGEQSDKPAE MIM_RS06455 MTYIDTTLARLAKSSPSQSEFYQAVQEVLESLSPLFEKEKHYLD QNIIERIVEPERQIMFRVTWVDDKGKINVNKGYRIEFNSAIGPYKGGLRFHPSVSASI IKFLGFEQTFKNALTGLAIGGGKGGSDFDPKGKSDNEIMSFCQAFMSELYRHIGPTID VPAGDIGVGAREIGYLFGQYKRLTGRYEGVLTGKRIPWGGSLVRTEATGYGAVYFAQN MLQNAGDHLDGKICAVSGAGNVAIYTIEKLYQLGATPVTCSDSRGMIYHKSGINLETL KQLKETQQAPLEKYLDVHPDAVYKAVADYAAGRNEVWSVPCDLAFPSATQNELNQADA LALLANGCKLVAEGANMPSTPEAVEEFLKARIHYAPGKAANAGGVATSQLEMAQNAAM IQWSFDEVDLRLKNIMKNIFTNAWETADEFNQPGNLVLGANIAGFRKVADAMIDQGAV MIM_RS06460 MKTKSVLEQEDVQKIIDAAAAHASKNNWAVTIAVTDDGGHLLAL KRLDGAAPVSAHIAPAKAHAAALGRRETKGYEEMINNGRYAFLSAPAISGMLEGGVPV IVDGQTIGAVGVSGVQASQDAETALAGIAAITG MIM_RS06465 MNAPLPKVAQDALKNTTIDDKYSLERGRVYMSGTQALVRLPMLQ KDRDRRVGLDTGGFISGYRGSPLGNLDLSLWKAKKYLAEHEISFQPGVNEELGATAVW GSQQVNLFPGATKQGVFAMWYGKGPGLDRSMDVFKHANSAGSSQFGGVLLLAGDDHAA KSSTVAYQSEHNLQSAGIPVLYPSNVQEYLDFGVHGWAMSRYSGLWVAMKCVTDVLES SSSVELDPDRVQTITPSDFEMPEGGLSIRWPDPPLDQEARLNNYKFYAALAYVRANKL DKIIFDSPRARFGIMTAGKAYLDVRQALTDLGLDEQTCADIGIRLYKVGCVWPLEAQG ARHFAQGLDEILVVEEKRQIMEYALKEELYNWRDDVRPDVYGKFDARDDGGGEWSVPR GKWLLPANYELSPAIIAKAIAKRLEKHALPDDVRARIQARIAIIQAKEQDAKRPVVVQ DRKPWFCSGCPHNTSTRVPEGSRAVAGIGCHYMTIWMDRSTDTFTQMGGEGVPWIGQK DFTSTKHIFANLGDGTYFHSGILAIRASIAAKVNITYKILYNDAVAMTGGQPVDGTLT VPQINAQLHAEGATRIVIVSDEPEKYKGVSLIGNPDVFHRDSLDDVQLQLRDTEGTTI LIYDQTCATEKRRRRKRNAYPDPDRRVFINEAVCEGCGDCGVKSNCLSVEPVDTPMGS KRRINQSSCNKDYSCLNGFCPSFVTAEGAKVRKPQTATLSFEKIEADIPVPAQPVIER SYNILVPGVGGTGVVTIGALLGMAAHLEQKGVNVLDITGLAQKGGAVVSHVQIGYQPA DLHSTRIGMGEADLIIGCDAIVAASREITSKTRKGITVAAINSAKVPTAEIFQDPKWQ FPSVATEQDMQDLLGRDKCDFLDANGYAVALLGDAIFANPLMLGYAWQKGWIPLHHES LERAIELNGVAIEKNLAAFEWGRYLAHHGLQSIPLGNSKRTARHENLISMPESIDTLI KRLEQHLTNYQNSRYAQRFTQAVARIRETEKAGGFGGHTLTEAVARNLAKLMSYKDEY EVARLYTEPAYFDQLRAQFEGEPGRDYALHVHLAPPAFSKKDKKGHLIKKKYGPWMMQ AFRLLARFKSLRATALDPFGRTEERRAERALIDEYFALLDEINQSLSDTNYDAAVALA RLPDDIRGYGHVKEANMQKAAARRVQLLEAYRRTALSKAA MIM_RS22810 MRKAAKVLRTLPDLFTLQPSPDYQKLTSHSAAELTRKMRERSER QMMKAFESANLMIKRAEKFLVTQLTTHHAADDSISTPIPLQQ MIM_RS06480 MSSLWEFLVEYQTHLIFAASVVLSVSAAVHIALTKRDVRAAIAW VGVVLFSPFLGPILYFIVGINRIRHTRIRSHRDRLLKEVADHTAVTVSDLARHAAPQC LSLKKASDTVTRFPLCGGNEIIPLNGGDQAYPAMLAAIDAATDSVVLQTYIFDNDIVG HRFADSLIAAFQRGVRVRILIDSIGVRYSHPTIVNRLRQQGVMVELFETNAVTWRMPY ANMRCHRKILVVDGEYGFAGGMNIREEFLTEITRDETARDIHFQVRGPIVAQLLVIFC HDWLFSTGEQLKLSEWVAAEAAFMPADMAARCVPSGPDREIESTHKVLLAACAVAQQR IRIQSPYFLPDQVLIGALTTAARRGVQVDIVIPGRNNLRLVQYAMMAQIDQMIETGCR VWKGSGNFDHSKLMTVDDSWSLIGSSNWDSRSLRLNFEIDIEVYDRRLAGWINGAIDN EIAHGERLTVEALRKQGLLAILRNKLIWLATPYL MIM_RS06485 MRQTQLEQCNATADGHATRSNISGTAMSRRGFLAAATATTATVL ASTVAARPAIARNFGPQAEPIRYPDPDIVVLDKRFKYKLGNAAIMRLHTGMQWAEGPA WNAVGRYLIWSDIPNNEQLRWSEEDGHVGRGFRSPSGNSNGNTFDFQGRQVACEHGER RVVRYEHNGQVTVLADSFDNKGLNAPNDIVVHPNDGSIWFTDPGYGALMEYEGHRHPS SADSVSPIQKEAIYRIDAQTGAITKVADQPFKPNGLCFSPDYRKLYVADTGNSHYPSE KNQIWVFDIDGAKLTNPRTFATMEFEGKSGSADGIRCDEDGNIWASAGWGGEGYDGVH IFAPDGVRIGQIRLPEICSNLCFGGAKRNRLFMTASQSLYAVYVETRGAHIT MIM_RS06495 MSILFDVHPVNPQPRLLKQAAQLLDNGRLLAIPTDSSYALVARL DDKTAADGLRRLRGLDERHHLTLLVRDLAEIAKFAKVDNAQYRLLKNATPGPWTFILE ATKDVPRRVSHPSRKTIGIRVPDHPVTLMLIEELGAPLLSTSLIPEGDDMPLNDPDEI TDRYGHALAGVIASGSCPTQPTTVIDLTTATPSVIRRGTGDPATIGLSE MIM_RS06500 MAVDSSSYPVFTGSFPALVTPMQPNGDIDYDAYKKLIDWHIQEG SDGLVVVGTSGESPTVDVTEHTELIRVAVEHTAGRIPVIAGVGANSTAEAVHLTQKAR EVGADAGLSVVPYYNKPTQEGLFRHFEAIAREGGLPIMLYNVPGRTVADLSNDTILRL AHVQGIIGIKDATADIARLGLLLRDKPEYFQVFSGDDPTAAALILLGGNGNISVTANI APALMHELCLAARTGDVARTRELNAKLARVNKVLFVEANPIPVKWALVQMGLIQAGYR LPMCDLGAQHHETVLTALKDLGLVK MIM_RS06505 MRMNARFLAVSGLLSLTLLSGCNVMDEVMGDTEQVDYKSTVRGD PLSLPPDLSSAQINPSYKPPGGYASANEYNKAVAQANRDAASGASVLPETAGLKIQSS GANRWLEVSQEAGRVYPKLIDFWVDSGFTINRDNPQAGLIETDWAENRAKIPGNLLNR ALGAIINMVEDSGERERFRTRLERSNGKTLVFISHERMVETPMDRDGTSFKWLPAKED PGLNAAMLSRLMVFLGATKQQAEAQVRQTAAAAAAPTHPVVMADVAALPLQMGQSQAY NQVGAAINSAGFTVDNRDPQAGTYTVRYLDTDTGEKRQSSNFISRLWGDKGNLTPLPY TIVVTSDSSQSIVTVRNEQGQADNSATARRILTVLAERM MIM_RS06510 MTTIDINAPLTLLGGRSPAEFMRTYWQKKPLLIRQAIPGFTSPV PPAGLKKLAKRDDAESRLIWQENDEWNMENGPFARFPKVAEPNWSLLVQSVDLHDDAT AALMQQFRFIPDARLDDIMISLASRNGGVGPHFDSYDVFLLQGRGQRRWRISQQKDLS LVPDIPCKILQHFAPEDEFVLEPGDMLYLPPHIAHDGISESDECITVSIGFRAPSLAV LARGLLEVAADQLSARHGLGFGPYSTPELPGPDLTGMFQDKKLAATTEPAALPDELIR SALAAVQKITFNERMAARFLGCWLTEPNALAVFPIAEDMVDLEDVIEGNGRLVLDRRS RMIYRGADLFINGEALVQKTNATLKKLADTRVLTSADLKKASASTLTLLQEWLDDGWL IAQ MIM_RS06515 MSAETSKSATSGHTPMMQQYLRLKLEAGSVLLFYRMGDFYEMFY DDAEQAARLLNLTLTKRGTSNGQPIPMAGVPVHAMETYLARLVAMGESVAICEQIGDP ATSKGPVERKIVRIVTPGTLTDETLLPSKLDRPLLSVFLPPKGKAPKYGLAWLNLASG QFRVTQCDKEALESEIHRIAPAEIVHADSFALETKFAGSISHTADWHYDLNDANTLLC QHFQIDSLGSFGMTDMPAAICAAGALLRYVERTQTQSLSHVQHIMVDHALDYLVLDPV TRKNLEITETISGESRPTLFSLLDHCETPMGSRKLRHWLHHPLRANSQIEARQTAVAA MLTGRPDGPVHPHIALDNLRTELNAFPDLERIATRIALRSVRPRELASLRDALARLPQ LQSVLRNQHANAERLDVLNDELTLDTHLHSSLQAAVAAEPAAMIRDGGVIAAGFDAEL DELRTLASDNGAFLVELEARERERSGIANLRVEFNRVHGFFIEVSKGQVDKVPDDYRR RQTLKNVERYITPELKGFEDKVLSARDRALSREKWLYEQLLDTMQDWVQPLQRCAQAI AELDVYLALASHARDNEWVAPQLAEHPVIDIEAGRHPVVEHTIEQFTPNSCLMNHDRR MLLITGPNMGGKSTYMRQTALIVLLARMGSFVPAAAATIGVIDRIFTRIGAADDLAGG QSTFMMEMTEAATILAASTPNSLVLMDEIGRGTSTYDGLSLAWAIACRLLDHNHALTL FATHYFEITRLPDLNPSAINVHLAATEAASGIVFLHEVQEGPASRSYGIQVAQRAGLP VAVIRQAKKELDRLESQAGDISQLNLFTATHEADDQQSDNALELTAFRDALQELDPDN MSPREALDALYKLHNRFGGES MIM_RS06520 MRKTQTLLALAVATAAAFGTVASAATLQEIKDKGVVRIAVANEI PYGFVDPTGEAKGAGPEVAKHIMEQLGIKEIKWVTTGFSSLIPGLKADQFDMVAAEMA ILPQRCQQVAFSEPNSSYGEGLLVAKGNPDNLHKYEDFSKSDKKIAIMAGADQLEMLQ KLGVDDGRMVTISNNADAISTVSTGRAAAYAATSLTVSELAGKSDKVEAAGKFEDPVI DGKPARSWGGFTFDQGSDDLRKAVNEELAKFKKTPEWKQILTNYGFTEHDLEESDKQT TEQLCAAK MIM_RS06525 MDWNIYLTPLLQGAWVTVQLTVYSTILGAITAFVFGIGKLSGNW LYRGFSICFVEIFRGTSLLVQLFWLFFALPLLGQALGLDFRMAPLVAGTLALGFNIGA YGAEVVRGALQAVPRSQFEAAKALDFTPRQTLRFISLPQAIPEMMPSFGNLAVQNLKD TALVSLISLGDMAFRAEQIRNYTQDSTTVYTILLFMYFGMALILTGIMKLLERSVGRW RAGRA MIM_RS06530 MLFGIEWDTSSNWAFAVSIFPILLKGLLVTLQATVLGFLVAMVL GLILAALKSARLAIISWPAKFITEFIRDTPLLVQLFFLYYVLPEHGIVLPAFLTGALA LGVQYSAYLSEVYRAGIESIPRGQTEASRALDLPPVRTFGFIILPQAIPRIIPAMGNY LVSIMKDVPVLSVVTVLEMLNVAKIIGDRTFNYLIPLSMVGLIYLILTIVASYGVRYL DTHLPKRGIPLR MIM_RS06535 MNNPINNDNSSTVTDKTQDVAVASAEGAAASAAAGEPIIRFEKV VKKFGEVTVLDELDFEVRKGEKVTIIGPSGSGKSTVLRILMTLENINDGVIYVTGKPL WHEEKNGELVPAGEAHLREMRKEMGMVFQQFNLFPHMTVRRNVTEAPVQVLKLSKEEA NERADKYLELVGLSDQSDKFPSQLSGGQQQRVAIARALAMHPNIMLFDEPTSSLDPEL VGEVLNVIQRLAEEHDLTMLLVTHEMQFAKQISDRVCFFDKGKIVEQGAPDDVLTHPQ EPRTQEFLKSFIEQH MIM_RS06540 MTNSILPHSALILVDIQPDFMAGGPLACEQADTIVAPVQAILAQ NRFAHYVATQDWHPGGHISFASSHSGSKPFDSIALYGHPQVLWPDHCVQGTNGAALHS GINWDRMHVIVRKGADSAVDSYSAFRENYNQAGERPATGLTGWLKDRQVQDVYIAGLA RDVCVLWSAQDARAAGFNTFVIWDATAPVTGQTDADTRKTLVEQGIRIVHSEELTRNR MIM_RS06545 MKPNIVILDDWEHGLERLIDWSDIQDRCQLTIYHDRLRDEQLLA AVAQAQCIVLMRDRTPFPKSLIAQLPKLKRIIFTGTRNNTLDQQAAEAAGIQVTATEF GPSKAGTCELAWSLILASLKKLPDLLVTPQQPLWRTPAICQHLPEVLEGKRLGLIGLG HIGKRMAAVGRAFGMQVQVWSPHMTPERASEEHASSVSLETLLATSDVVSLHIVVSDT TRKLLNSDTLALMQPDSLLVNTSRASLIDTPALVSALQQRRPGFAALDVFDDEPGIAP ELLALPNVLLTPHMGFVSEQVYRKFASNVQQQLTQWLDETAQ MIM_RS06550 MNSVINAAFPVFALILIGYIAARRRILSEQASQHLNSYVVWLAL PALLFHAMATVSWEELNQIPFILASTGGMLATFFIFMVWQRRRFSLPDNSVASLSASY SNAGYMGLPLCMLAYGQAGIIPVIITMILTACVLFALSIVCLETGLNKHGGVWTSLRH TGIALAKNPLIVSPVAGMALSGLGIALPAPLAELARLLGSSASPCALVTIGLFLAQTR SIRPHPALAAVLFMKMLFLPGATAFLAFVVFDMPPVQREAAVLLAALPIGTGPFMLAT MYQRDTHLVSQSIFISTVLSVFSVSALLAWFQG MIM_RS06555 MNTQQRTPSTFHSPQRIGFSSFRDPLLAFEELQRIYERNTLFIR EAFYHYVSGEGRADQRIRACYPAIRLHVPDHQEVDSRLSYGHTTEPGLYQTTITQPQQ FKSYLLEQIGLIMKNHQLPVEVGESDIPIPLHFAFTGGQYVEGVYADTIGSSLRNFFD VPDLAVMDDAIVNGTLREEPGQPGPLAPFTAPRIDYSLHRLQHYTATASEHFQNFVLF TNYQFYVDEFIERATQLIEDETSGYCALVEPGNHITRKGQPDPSRKQQRLPQMPAYHL KREDGNGITLVNIGVGPSNAKTITDHIATLRPSAWIMLGHCAGLRNTQRLGDYVLAHG YVREDHVLDADLPLWVPVPPLAEIQIALEHAVEEISGLADWELKRIMRTGTVATIDNR NWELRDQHEPVQRFSQSRAIALDMESATIAANGFRFRVPYGTLLCVSDKPLHGELKLP GMASDFYRKQVSQHLRIGMRALEKLREMPRERLHSRKLRSFIETAFK MIM_RS06560 MKMDIQQIESFWPFLQRFAVDLVVAFIILGIGWTVSRWLGNMVQ RLSARSEKIDPTIIPMFRTVVIWSVRIFTIIAVLSQFGVQTASLIAVLGAAGLAIGLA LQGTLQNIAAGIMLLGLRPLRVGESVTVAGSISGTVDEVGLFLTRIMQADGVQVTVPN STVWNGTIVNLSRNYNRRMDVPAYISYGDDVNRAIEVLLKLIDEQSGALKMPAPSVFV GDRKEGTITLTLRVWAGVDNFTTVQNDLNRQVQSRLKEQGFECPGPLRVAVQAVDTGR QAGDK MIM_RS06565 MSPLHTRVRFIMVSPTHPGNVGSAARAIKNMGFGDLVLVSPKDS GILAHEEALALASGATDILAAAQIAPDLDTALTGITLAFALTARSRDMGPPSLDIRQT AQLSRTHLNNHADTRVAIVLGTERTGLDNDDISRCHRICHIPANPSYSSLNVSQALLL AAWELNYAMQAPAPVRHGVAPAATALSTSTPAQAPIQPDHSVPTLPEVSASRPDLWPV APASHYPDVSGRDYAPAEKVEAFINHWESALIGIDFLNPNHPKKLMPRMRYMFTRIHM TQEEVDMMRGVCTNILKTVYRP MIM_RS06570 MHPMLTIAVRAARRAGAIINRGSLDLDKVQIARKGPNDYVTEID RNAEQAVIEVLRDAYPDHAFLGEESGHIPGPKDKENEVPEYQWVIDPLDGTTNFVHGF PNYAVSIALLHKGVVTQAVVYDPARNEMFTATRGGGAFLNDRRVRVGSRTRFSDALIA GRFPSVSGSTRTGAERFYGLVDDCSGFRRLGATVLELAYVATGRFDGYCGVHLKPWDV AAGSLLILEAGGLIADFDGEQTWQQTGNVLAASPKIFTQMLISLKQ MIM_RS06575 MDQVWYLFKAVVLGVVEGITEFLPISSTAHLLILGDWIGFDSGQ FKVYEIVIQLGSILAVMWLYRQRLREVIVGTFTGNRTEQRFTMNLIIAFLPAAVIGLL LINQINQLLDGKFMVYAFTLVLGGIIMLWVERRPSMAKHTTLQEHELAHQTRNLEDIT WKQALVVGFAQCLAMIPGTSRSGATIISGMMAGIHRKTATEFSFFLAMPTMIAATCLS LYKHGGELSQSNLLAVVLGFIAAYVSALVVVRALMRFLSNASYRPFGWYRIVFGIIVA LWAWNQVA MIM_RS06580 MPLDYQRIKNWHFADTRHTYTQHDSILYALGIGLGADPLDPSQL QFVYEKQLRAFPTMSSILCYPGFWMQDPATGINWVKLVHGEQRSTWHQPLAAEGTLTG KTHISHVIDKGADKGALVVAERNMYDADNTLVATIQQTTFCRADGGFGQGDAALPALP GAPQRAPDYQRSIPVAPNAAILYRLNADPNPLHIDPHTATAAGFERPILHGLCTYGHA ARAVIQACCDNDPALLYRFDARFSAPVYPGETLVCNIWYEGADQIHFQASVQERNVIV LSNGYAALRATA MIM_RS06585 MGSIAAGKVVVVTGAGGGIGRDIAIAYARAGAKVVVNDIGVSLS GQGGTDGPAHAVVDEIIAAGGTAVPSTASVAGYESANQIVQTAIDHFGRIDIVVNNAG NLRDRLFHKMNEEEWRQVIDVHLHGSFFVSRAAAPYFREQESGAYVHMTSTSGLIGNF GQSNYSAAKLGIAALSKSIALDMARYNVRSNCIAPFAWSRMTSSIPAETPEEKARVEK LQKMETHKIAPMAVYLGSDAAAEVTGQIFGVRANEILLFSQPRPVRSVHMSDGWTPEL IAQIAIPAMQGQFHKLERSPDVINWDPI MIM_RS06590 MRYDLTDLKLFINIGEVSNLTRAAERTFLSLPAASARIKNLEDS LKVRLLIRQVTGVSVTPAGEVFLKYAKEVFAQLECMHAELQPFSTGIKGRLRIMANTA ATHSFMSEALSTFLAENPDIDIELEEKLSKDIVSAIRGGSVDIGIVSSNVNLDGLDAI PLFRDQLVAVTHLSHPLKDLNEISFENLIDRYQFIGINPESAIQAFLDDKAYKLGKRL HQRVHVGSFEAVCRMVDAGIGLAIVPIECARAYSRAPRLHILKLTDEWADRERNVCRL AGRDLPAYAEKFIGHLLEVSQRMHER MIM_RS06595 MKTLMMQRRKLLTSALYGAVAAYGLRPAMAFAAQWPAKAVNFIV PFAPGGPVDTAARIVTNGMSQQWQQTAVIENRAGAGGILGARLSAQAKPDGYNYFFAA IHHAILPSLNQSLEYDIQKDFVPVGMVARFPIILVAHPSLKVKSVKELIALAKAQPGK IAYSSSGTGGGTHLAGALFASMARIELQHVPYKGSAPAVQDLVGGQVQLMFADATSAL PFIKSGKVIPLGVGNPQRSELAPDVPPIADEGLPGYEAYSWSGLFAPVGTPADVVKQF NQDLNVQLKDKDVIAKMHGSGSEAMPMTPDAFGTFLSDEIAKWHKTITEANITIQG MIM_RS06600 MSTPYLRFPALLLLLAPALVWANTHWPDKPVKLVVGYAAGGPVD TAARQFAKYFGDQIGQPVVIENKTGASGIIAAESVARAPADGSVLYFLASPTLTITPH IQKGIQIDKDRDFRYLGNLVEYTNVLVVNNKLPIKNISELIAYAKANPGTLSFGSAGV GSSNQLSAELLRQRTGTQMLHVPYRGNAPAMIDVIGGKISMMFDITGTAINYIHSGKV RALAVTSKERNRALPDVPSMSEAGIADYDVTGWYGVVGPAKLPDTTAVKIEEALRAVS ALPEYRKQMEQAGYTVSLRSGTQLKSRIDNEYRLWEEVTAKAGIQAAK MIM_RS06605 MLRATGLAVGLALSTSAYAFPDKPITLLIPYPPGGSADMLARPI AAQMQKTINQPVVLEYRPGAGGTIATAQLARAKPDGYTVLMVLAAHAINPSMYKSLPY DTEKDFAPVSVLATLPMLVAAARQTPVNTIQELIDYAKANPDKLTFASAGNGNTSHLA AELFKSATNTKMIHVPYKGSGPAVVAMLSGEVSLMFDSISTSLPHVKAGKLKGLAVTG TKRSAVLPDVPTVTESGVPGFAVNGWYGILAPAGTPEDVVMQLNKAFNDAAQTPAVKQ QLTQYGYEIEGSTPKQFTDLITTEIKKWKTAVDQSGARIE MIM_RS06610 MTEHIRLNREQIARLVANSLPDGAYVNLGIGMPTSVADYLPPDR EIILHSENGILGMGRSAINDEIDTDIINASRKPIVLLQGASITEHTNSFAMMRGGHLD YSILGGFQVAENGDLANWITDAPDAIAAIGGAMDLAVGAKQVLVMMEHTTKTGEAKLL HHCTYPLTGAGVVDYVYTDLAIIQVCAGRFIIRAMVQGLTQQQLQGLSEATLHMDGPC QTIRTDSAGQPYLD MIM_RS06615 MINKILDTHEAALSQIPEGASIMVGGFGDAGIPFEMLASLARMG TRNLTLISNNAGTRDVGIAGLIKNGQVRKIICSHPRPPQSDVFAQAFRAGQIELECTP QGTLAERMRAAGAGLGPFFTPTGYGTRVSENKEVRVVNGIGYVLEEPLHADFALVRAH LGDRWGNLTYRWAARNFNPVMCTAARHTIAQVDKVVELGSIHPEHVMTPGIFVKDIVL AGAQHD MIM_RS06620 MIDAKLRGATAIVGVGHAGLGLAEGYTEMEILVQAATRAVRDAG LTMQDIDGIATASVSSTMWVMPVIEHLGIKPTFIDNTMVGGSSFVAHLMPAMQALACG QCNAVLVCYGSTQRSSTVNRAAIGNMRKVMDPQPYENPYQPLSPLSSYALAAARHMHQ YGTTREHLAEVAVAARRWAQLNPEASMRDPLTIDQVLSARMVSDPLTVRDCCLVSDGA GAYVLVRADRAKTLRHKPVYVLGNATAVWNRQISSMDDLTVTAAKQSGTIAFEMAGVK PADIDVAEVYDAFTINTILFLEDLGFCRKGEGGDFVSKGGIAPGGHLPVNTNGGGLSC VHPGMYGIFILIEAVRQLRGQGEARQVANAQLAVVHGNGGTLSSQSTAILGTDATL MIM_RS06625 MTVSNQTLDTGADKYFHSQLQAGVFTIQRCGQCNNSIFYPRMIC PHCGGDDLQWYEPSGKGTVYSTTIVRNKPEKGGDYNVALIDLAEGPRMMSRVEQIAPE KVTIGLAVSARIKQTDDSTLLVFVPTGEQA MIM_RS06630 MEKKDYETIVFDYRDCVGYITLNRPDKLNSFTAQMHSELREVLD FLESRADLRGVILTGAGRGFCAGQDLGERQPLEPGQFRDLGEALEKNYKPLVLRMRAL PAPIICFVNGVAAGAGMSLALACDLVFAVKSAKFIQAFAKISLVPDAGSTHFLPRLIG TQRAMGAAMLAEPVSAEQAEQWGMIWKCIEDDQLQSHMQTVHTQLVSGATRALAATKH ALYASADNSLPEQLELEIELQREMGMSEDYREGSRAFREKRKPLFQGR MIM_RS06635 MSATDFTLPGTVWVASDIHLARQVPETCRVFYAFLAEAAAHANA LILAGDIFDAWIGDDAAVHNPEPWLQEAIGHLSDTARKIPLFIGHGNRDFLMGSDLAG LLGATLMPDSTLFHTDAGPIHISHGDELCTHDVPFQRFRKVSHHPHVKRLFLALPLRV RRAIARRARKTSMHAHGTKPMYLMDVAHEAISDVFRRHPALIHMVHGHTHKPKCHQFE DQGTQRCRWVLPDWEWDHPPFRGGYLSISAAGVSMHDFAAPVRTNDQTV MIM_RS06640 MSQPQVKLQTNQGDIVLELNAEKAPVTTENFLKYVNNGFFDNLI FHRVIKGFMIQGGGFDVDMKQKSTEAPIKNEADNGLKNDKYTIAMARTNDPQSATAQF FINAKDNDFLNFTAPTAQGWGYAVFGKVVEGQDVVDKIEGVKTGNRGFHQDVPVEPVI IEKASVVGN MIM_RS06645 MKLNVIHRSLLVYSLCLLPALQAVAQQATTPYQAGSTPSARQDN GIPSPMYSQDNSANAREPGWQGLANVLKKLEPSVDTSIPETASGAASRLSTMITQGQA AKALKEIEQRQNANDRIIAPATDVQLLFLKGRALAALDRRPQALEVYRSMTSSYPELA EPWNNMAALYLQAGLTDPAYEALKTALSINPRYGVALRNMGMVQLMLSQKAFADASRN GIPGAAAQAQAVGRIIEGK MIM_RS06650 MSAMQIFNTLSRAKAPFVTVEPGKVRMYVCGMTVYDFCHLGHAR MLVSFDVVQRWLRQSGYQVDYVRNITDIDDKIIHKAVSLNQPLHAVTSFYTDAMHADE RALSVQPPDREPRATMHIPGMLQIIKDLKDKDLAYQTPDGDVNYAVRSFPGYGKLSGK VLDDLRAGNRVAVSDGKRDPFDFVLWKQAKANEPEDSIWDSPFGRGRPGWHIECSAMS RELLGQPLDIHGGGPDLKFPHHENEIAQSEGAYGGTLANWWMHCGPLMVDDEKMSKSL GNFRTIRDTVSTDPDSASAEYQVNPREAEMLRFFVVRNHYRSPQNFTPDNLYNAQAAL DRIYQTFNNTGVTSAGAIDWSLDFEQAFKAAMDDDFNTAVAIAVLFDMVTEANRTNSA ALSGRIRALGGVLGLLQQEPQQYLQSPTRYIKRDGQSVAAAALSESDIEALIEQRRQA KQDRDFGKADQIRALLKEQGVELEDKPGGLTQWRRA MIM_RS06655 MSSAAPAPVKPEYWDEAVSFLIKKDRILRKIIPANPDLWLATNK TAFVTLARAIIGQQISTKTADLHWKNFKQLCGHRPTPATVLEYNGAQWREAGLSKRKT EYILDLANHFNERKVNPLKWSKMDDEDIITELCAIRGISRWTAEMFLIFNLHRPDILP IDDPNLLKAISAHYFSGEPVSRYEVREVAQSWQPWRTVATWYLWRSL MIM_RS06660 MKFTFLEFEGPIAELEQKIEQLRHVSSDSAVDISEEITKLQQKS ETLTNNIYSKLTPWQTALVARHPQRPYTMDYVREIFTDFHELHGDRMYEDDLSIVGGL ARFSGEACMVIGHQKGRDTKERARRNFGMPRPEGYRKALRLMRLAEKFQLPVFTFVDT PGAYPGIGAEERGQSEAIGHNLYAMAELRVPIISTIIGEGGSGGALAIAVGDVVQMLQ YSTYGVISPEGCASILWRSADKAPVAAEALGITAPRLLELGLIDKVVPEPIGGAQRDA VSMAKTLKRALSEALRQVSGMDVDELLDKRLERLMSYGRVQDK MIM_RS06665 MPQADGLQDTALLGPLQATLGAINAPDLAIGVSGGADSAMLLVA ASQIAAAQKKTIHAVHVHHGLVATADAWALHTAKLAQQLGVAFHFLPVKVPSDTGKGI EAAARLARYSAFEHWSVNHDCHHLLLAHHRDDQAETMLLRLLRGAGVQGMAGMASYAR RGSLHLYRPWLDVGRERILQAAACYEAQAGWSPVQDPTNRDEKYTRAAVRTMLTPVLN KRWPQWQGNLLRHARVMAESTLLLQDLGDMDLQQCQLTEDGLGFSLARWRALPQHRQA NVLRQWLRRLQIAMPTEARLNQWLLQLRQVHALGHDRNILLKHQGCHIVCRRGQVQVL LDDAR MIM_RS06675 MKKILTAGMISLLMAVVPATGALAYQGGYRADVSPAQAMRIAER AVGGEAFKAEPDHYRGRRAYTVDVRKARRVVQVDVDARNGKVLHIERDGRRGPVAQQH PRSNHHR MIM_RS06680 MQDFSHSHGARRRFLKSAGYAGIGLLSGLALPQAFGAGKDTITL PFGNGERVLEAFPGKRPLIVLTNRPPQLETPFSVFNDGLVTPNDAFFVRYHWSGIPNE VDLKDFRLKVHGSVSKPLDLTLEQLKKLPVKEITAVHQCSGNSRGFFEPRINGGQLGH GAMGNAKWKGVALKDVLELAGVGASAKQVAFNGLDHPPVPDGPDFIKALDIDHGMDGE VMLAWSMNDEDLPLLNGYPLRLVVPGHYGTYWVKHLNDIQVMDDVFDGFWMSKAYRIP DNDCHCTKPGEVPAKTIPIARFSVRSFITNVADDARITVGKPQPLRGIAFDGGSGIKT VQISTDGGNSWSDTTLSDEISKYSFREWTGEFTPRQPGEFRLMVRATSQKGETQPMQA NWNPSGYRMNRIEQIRVIAA MIM_RS06685 MKYVSTRKKMLRWVVYGCSVLPIAAFGAGVEFPQEAGGYKPSDL PGYKIASGLCLMCHSSQYVSSQPPQSSPKYWSATIHKMKDVYKAPLQDDMIPAVVEYL SVEYGGQDRAAAHADYEKAMAALKKPASDKTSTK MIM_RS06690 MSYPVLYSFRRCPYAMRARLAIAASAQTCQLREIVLRNKPAAML AASPKGTVPVLILPDGQVIEQSLDIMLWALRRNDPQAWLAPTGSSLASMLTLIEACET HFKCHLDRYKYPQRFDLEDGLQHRELAAEWLMTLEQILSCTPYLSGTHCALADMAIIP FVRQFAHTDFAWFEMQAWPHVLRWLENWKQSDLFARVMNKYAPWEEGQAAVMFPPPMQ VAHRQPGTAIP MIM_RS06695 MRNIPHFTLLRAFESAARLKSFTLAAEELHLTQSAISHQVRKLE DYFGCALFIRRNRRVELTLEGQRFLESLSRIFDVIEAACAEVSLAPKAQVLALYCAPS LAVKWLGPKLPEFMKAHPDITIRLTTGSGQVDLLRMRELDMSICYGTITDCSGIVNEP LGTERNVPLCSPSLLEPGVDAVALIKKLLLIDSQLNNVNWASWFTLNNLRLPARPRPS FDRAAMSLSAATDGMGVALESTRLAERELARGDLIELGKGVFKEMNVVTHTVSWRADE SQVPKIRLFREWLYQVADAQPA MIM_RS06700 MAAVLEKTGIVLDDGRVSIYQPEQKNLIFPELPQFTNVAAHRQH LKERLVAACRAFALQGYDYGFAGHLTIRDPEHPGLYWTNPMAIHFSQVKVSNLILADH TGKVVEGRHAINRAGFVLHAAVHEQHQDIVAMCHAHTVYGTAFAALGKPLAPISQDAA AFYEDHVVIGDEAGQVAVEVKAGHKVANAFAGVKAAIHQNHGLLTASRHSIEAAAFWF IALERCCQQQLMIDATGMAPRLVTPERARYSREHVGSEYIGWLHFQTIWDQLVATQPD MFD MIM_RS06705 MQIQNNVEQGAVGDEAGLYRKVMWRLLPFLFLCYLCAYLDRINV SFAKLQMLNDLSFSEEVYGLGAGIFFVGYLMFEVPSNLILLRVGARRWIARIMVTWGA LSACMMFVTTPTSFYILRFLLGVAEAGFIPAILLYLTYWFPSSRRSKVTALFLTGIPM SGVIGGPLSGWILNGMAGVHGLAGWQWLFVLEGIPTVLVGVIAFFYLDDRVSDAKWLN AAEKRLINSNLDADRQAHQLHSVKDGLLDPKIWLMSGIYFCFTMGLYGVSFWLPSLIK ATGVSDPFHIGMLTAVPYAAATLAMILVSRRSDEKGERRWHLAIPGIVGAMSLCASVI FAQSPAIAIVALTIGTMGVMTTISQFWTLPPAILGGAAAAAGIALANSVGSISGVVSP YLIGWFQTHTGTTGGGVYGLAISMIIGSVLVFAVPARMVNNKRSAARPVHNDAGGARQ PIITAEI MIM_RS06710 MNNKLMKLQSRPDYVDEVYKALLDAISDGSLAPGMRITQEEIAE QMAVSRSPVLQALRLLKKDGFVQDAPGRGILVSPLDPDWTGRLYEIRGALDMLAVRLA AERKVQIDPELIANGRQASQSGVVKALIDTDIAFHSAIYQASGNPLIAETALVHWNHL RRVMGAVLQSSAQRQSIWDEHEAIANAIADGDSKRAVELTDLHTSRARVNLVKRLDEV LKQQGNPETA MIM_RS06715 MNKPMDKVEQGRSTGAAGPVAKKLIPYGGYYTNKIPGHDPELTE VGPGTPMGEYMRGFWHPVCMAMELTDTPRFLKILGEELVAFRDGSGRVGLLHAHCVHR GASLEYGSIQERGIMCCYHGMVFDVDGTCLHAPFPKGEEKEAEKFACSIQQGAYKTVE RNGLIFAYMGAPDKEPPFPEWEGNFTVAEGDELVPFSNFQHCNWLQVQDNAADNYHTM ALHAAKNVVDGHYQGTTFDEVGAASMEVPPDMQFIPVHQGRSLACSGARRVDKDRLFI RVQHQILPNLSLHAYTSEDGSKKKLFSRFHIIRWTVPVDDENAKMIGWRVMGPGIDTR GVGDKGMVGYETIDFLEGQVAMRRPERFGQYKLEDMPPIPPNHRERANYKECQYAPGD YEAIISQRPIAIHALENPTKFDAGLYMFRKLLRDAIRGTNPAASADGFRQWLLETGGA PNSYCSGNVFDLPEADTVEGEVAQRRFVAKQIVAILTESDKQTGSARADFVREQMEQL EKAAKDTYGTSV MIM_RS06720 MSIDITTNPASATASTLTLLIRQIRYEATGINSYELVDPQGGDL PAFTAGAHLDIHIKPGLIRQYSLCNDPTDRKRYVIAVLKAESGSGGSKALHETLRVQD MVKVSVPRNHFPLKPDAEKIVLLAGGIGITPLKAMIHTLDKASLDYQLHYCARDASCA AFTDTFADHTKVHVHYDNGDPAQGLDIAALLAAPDDGTHVYFCGPPGFMQACLQATQG WPAGTVHCEYFKAPVAECTDKAQTSETDGSFKVKIASTGQQIGVPADKSLIEALSEAG IELETSCVSGLCGTCKIRYLEGEVDHQDYILSPDEQSEYLTACVSRAKSELLVLDL MIM_RS06725 MNAISRLIRPRSVAIIGASADSGKTAGRPLAYLRKHGFDGAIYP VNPRVTHIGDTPCYPDIDSLPEVPDVAIILLGAGRAHQAVRELAQKGTAAAIVLASGY SEAGADGKDRQQELLEAAGSMRILGPNTIGLVNLTDGIPLSASGALEMDRFPVGDVGV VSQSGGILGALLSRAAARGMGMSKLVSTSNEVDLEMADFIDYLVDDEATNVIALYVET IRDPQKFRAAALRAQAAGKPIVAFKIGRSEAGAQAAISHTGALAGQDTMYDALFRQTG VIRAQRFSDLLDMPSALATGRTLRGKRIAILTSTGGAGTLVSDSLGVAGFEAPAPGER TADALRVLQADMPAALDRNPVDVTLAGLQPDLLRGAIRALAASDNYDALVMIVGSSSL GNPQLMADAICDCLPETDKPIIAFVSPHAPNVARLLNQRQIPAFMEPECCAAALQAMW HTQTEPAAQAPAIAPSPPLTDLPTGSLNEAQAKDLFARFGISGAEQTVVSTPAEAQAA ALQYGSPVVLKILSSQIMHKSDVGGVCVGIAPELVADRITQMQADVYTNAGVRPEQFL VQQMVTSGIELILGFHRDALGSAILLGMGGVTAELLKDTTMRLLPEQGGLSLADAYGM MRELHTWPLLDGYRGRAKADQQALAQAIVNFSNMVASLGERLLEAEINPLFVLPDGQG VKAADGIAILTD MIM_RS06730 MTYTLINVQVQDGIATVFLNRPEKRNAMSDDMRAEFIEALENIA ADKSIRALVLTGNGKGFCAGGDIAGMQRRIEADPGEVAINGWRRQQRVHHAVSLLHTM PKPTIAAVNGAASGLGADTAMSCDFIVASEAATFSWSYINRGLIPDGGGMYFLPRRIG LPKAKELIFSGRTVAAQEALALGIADRLVAPDTLLNNAQQWAAELSAGSGTALALGKT ILNQTFELSATQAFAQGSQAQGICYTSTEHRESVKAFLARMADKKSAT MIM_RS06735 MKNKTLAGACLKVALMAITGLTAAVASQPTHAAYPERPVRLIVP FAPGGGTDMISRLLAEGMARELKQPVIVENKPGAGTTIGSDDVARARPDGYTLLMATF AHVVNPYLHPKLPYDTDKAFAAISMIGHSPSVLVVRPDSPLKSVKDLIEAAQAKPGTI TYASQGVGTSAHLAGELLESLAKVDMSHVPYRGAGPALNDLLGGHVDMMFGTAAAVGP SLQAGTLRALGVTTTERSPALPNIPTIAESGVPDYVLDSWYGLYAPAGTPPDVIATLN AAAHKAANTDMFRQKVQSEGLVISTGTPQELDDYIKGEQARWKKVISSSKITLD MIM_RS06740 MANNKVQQRLARPAQNRSLERGIDILRAFRPGVDLIGNSELAER TGLAPATVSRFTQTLVRVGMLDYDVRERAYRLAAPLLSFGHAMRSGSPVLKIAAPLMQ RVAEAQKINVGIAVIDQDEMVYLESVRFNKKVSLRNVVAGQRVPIELTSLGRAYLASM APPARNELMQRLHLRRHADWASLEKQIQQSLRQVKKSGFCVASWQPNVIALATPLRFR YQPVHVLNVSVNTQLPIGDIVSRLSPVLMELAGEVLDAEN MIM_RS06745 MLYFLLAGFVLSQAYRTVGGILSVPLKAEFMLDSDRLASVIGSF HIAFGCLQVVIGILIDNFGIRKTILAVSPFSVLGALLSAVAVSPTMLQWGQILLGFGC APAFLACIVLMARHFSGKQFSIMYAFALGSGSLGLIFTSTPTAWLVGQFGWRSCFYLL AFLSFLTWLLIYFGVRGVDSALAASSLSVKQKIVVALRSISGYKALLKIRETPGILSL VFVIYAAFLTLRGLWLGPLLVERHHASLVFAGNLALLLSIISVFSPMLFGRLDPGPGK RYVYLCIQPWVLVIAFIVLAFSQQLWLSIVAIMVIAVAAANTVWQLADAKEVYPQDMQ GRAIALFNTSLFLGASFMQWISGKAHDWLSLQGEDMFTSAFLMTAFVLSAGITAYIIL RRPARRV MIM_RS06750 MNESNSKPATGSTTGTGAPAQSDRNSLTVGPNGPILLHDVHFLE QMAHFNREKVPERQPHAKGAGAFGEFETTEDVSKYTKAALFQKGCKTEMLARFSTVAG EAGSPDTWRDVRGFSLKFYTDEGNYDLVGNNTPVFFVRDPMKFPHFIRSQKRLPDSGL RDNHMQWDFWTNNPETAHQVTYLMGERGLPRTWRHMNGYGSHTYMWVNAAGEKFWVKY HFHTNQGMAFFSNAEAAAMAGSDADFHRRDLFDAIARGEHPSWVMSVQVMPYADAAKY RFNPFDLTKTWSHKDYPLIKVGTMTLNRNPENFFAQIEQAAFSPGNVVPGIGLSPDKM LLGRAFAYNDAQRNRIGTNFHQLPVNRPKVPVNTYMFDGQMAYEHTGNAPVYAPNSAG RSWADQTGKTEDGWEADGDMVRQAYTLHAEDDDFGQAGTLVRDVFNDDQRSKLVEQVA GSLLGGVRSPVLERAFDYWKSIDADVGRRIEEKVRAGKAPEPAAGEGEA MIM_RS06755 MDKHKLTRPVPPVGLLLSEAVNQAHGKRFDDLAKRVGVPVQRYT INDVQGKTDRIHAAFFSRDLYEGSSLHEPGPLSNAFFQLVNNAAQLTWLHVCSAGLDL PQYQVAIKRGIRITSSSGSTAFPIAQTTLAAILALSRGFGHWLPAQARKEWVPLTGND LPADIETQRVVIAGAGAIGQALGRMLLAVGFQVTLVRRVAKPIPAFDHIIDYSQLDHA LSQCDWLVLALPLNEQTCGLINQRRLALMPAHARIANIGRGALIDESAMIHALSQGQL AGAYLDTFMTEPLPESSALWALPNVWITPHNSAASKGHARRVVSLFEREYERWLDEQQ TRFTK MIM_RS06760 MPIIESVSVCIARVPLAQPVTFSTRKVTAREYCLIRIRSTDGVE GLGYCYAVNTAGRLLSVAVTDLLAAKLVGQDSHRVEGLWAEMYQEALLVGRTGAVMRA LSALDTALWDLNARSARLPLYRYLGAKTLERVPGYASGGYYLPGKTTEHLAQEMANHV ADGFRAVKIKVGLETVANERKRLAAVREAIGDDIRLMLDANNAWQDLPTALAYMRCFE PYNPYWIEEPFSPDDIDNHMRLARATPVTVATGEVEAGRWRFKDLLAQQATTLLQTDA TVCGGITEWKRIAATAASYGILVEPHAWHDVHVHLVASTPNSTYVEWMPDDHIVNFRR LIDRQLTAENGDILLPQGDGLGFQFDDAAIAQFGVIYPGEKSPWISIS MIM_RS06765 MSHVTKLIQASLFAALSAGTANALAQDYPTQPVRITVGMAPGGS NDTIARLISAELSEKMGKTFVVENKPGANSTIATNELKRAKPDGYNLMLVISSHVTNT MLYRNLNYKLADFKPVTVIADTPFVLVANPKFQPDTLRQFIDLAKSSDQKIDFGTPGL GSTQHIAMELMDQMAGIKMNHVPYKGGAPAQTDLLGGVIPVIFATPTQSLPFIQKKQL KAIAVTSRKRLPQLPDVPTFDEAGLPGYEANVWFGIIAPKDTPDDIVETLNKEINAIV KSDKIGKRLQDLGLNPIGSTTEQFQTLLDQEQTKWQDVIKKANIQFQ MIM_RS06770 MNIAIGITDISPCHAKLDKISQTVPTLLPAMALHLSFSGFSDWN DIPNMNLSLRQMKAFAALATLRNFTRAAEHCNITQSAFSALIVNLESGLNARLFSRNT RNVELTAEGEVFLNIVNHLIPETEHALSEMQDHVSRRKGRVAIAALPTIFSALLPDII ATFINEYPGIALMVEDVPNTACVELVRNRKVDFALCASAEPGTDLIMETLASDTFFFV CQPTHPLADHKRLSVVDILPYSIIVFEKASSIRQHLDASVYPKQWSKVLQVNNLSTAA GLVAAGIGPTIVPVLGLGQFDLTCLLAIPVMLPINKRDICLLRRKDATESIAAQEFIH LLRNRLPQQVALLASRQPTLK MIM_RS06775 MVDKQRESAVIAPGWLDGKAMLAAIKPTLKKAVRQLEKAGRKAV FAALSAAAGRRQEVSVTALQEVSRIIVIRPNYRIGNAILSTAIIAPLQERFPGATIDF LVTDKTASLFANLPIGHITTVSRSVMRQPWRALPIVRQLRARRYDLAVQLAPSSLSGL VVSTLVGARYIMGKPKGSAAWYDITVTDPIVHAYDVGTAFSRTLGTICPANTCLAISD KERMRALGQFQAMGLETDGDGNPDAFVAVFVGGHADKVCPVSFWLALINDLNRTGKRF VVFVGPEEKAMIPRLQQALATLPLGSLCPPQPLRLFAAMLAQARVMVTPDSGPMHMAA ALGVPVVMMLQAQKSMGFVPPCAGSRVVPNLNVSQVLVAVDGHYNDNAADATTNVMEA DWPRVKASDRQISDSSMSVEMAL MIM_RS06780 MALTSNMPDSLPGTASRTDVFGERLRARRHSLSTRMTLVADFID QNRALVLSRSAVELAKEIGVSDATVIRTVQALGFEGLVDLKSLLSSSLGQLDITSAKL AKTLNELRQDADRAVNYVIDEYRQSIEHLTNKKNLDSLTDAISVLNSANRIGVFGIGA SGILAEYTTRLLARNGLSAYALNRTGISLAEQLLQLAPGDALIVLIRQNIHREVAATM EEANRLNIPVILITGQRRHALAHQSHSVIVMPRSGTGGTSLHGPTLACLEILTLGVVG SNPQRSLNSVERLIGLRRSIRARK MIM_RS06785 MNRWRLIGLRVHLCLGVSAGLLLAVMGLTGALMAFEDELIDWLN PPRHTTLASTQMPALPYAQLLPALERQLKGQRITLLQADESAAIIVGFTAAGKATRLL ADRTTSSIKGEVRGAAFFTFVRQIHRWLAIPGQANGPGRSIIGVAALCLVLLASSGLW LAWKRLPPKTEVKASWRDRRLYRGWHLRFGLWLAPVLLWSALTGLWWSFDWYRDGITS LLGGRAPTSATTSAAATSAASRATPRATAEQLGTAIDMTLSTLPGPSQRILLTLPRKP RQPLRLRRLPVNASHDRAYDEYRIDPATGLILTRHQYESQRLADKLGALMEPLHTGSW FGWPVRALLIVSSLALPGFAATGITMYILRRRRAKAGRCIRL MIM_RS06790 MLALTVLSTSPALADTRMFTDDLGRQVEVPRKPQRIVSLHDLDI TIPLLELGVYPVASHGRQALDGTRFMRSSARLTGVDFDNSDIAFLGTTDINIEAVAAA KPDLIITGPNRKLPIPQLARIAPTVLIDNLSGGAPHIYKRLAELTNTQSRLAILDRRY QSQLAELRHVVGDPSKVTVSVFQAQNGKIGVYHTYRSLGRVLRDAGFRFPDIINSIPE GDRIEVSAERLQELDADFVFDAYRSDKGGKPADEIEAMQKVMQGYCEFLAACREGRYI LVTREEAISNSYAALNLMVSLVQSHISGRPLPAQPAR MIM_RS06795 MNQTADNFMKRYGRRLVRLGSYSVLLRPRQVTACTLGIIGLLLF ALWMLPQGQFDTPLSSFWHAVGNADVQRMIWQDIRLPRILTALLAGAMLGAAGAALQT LSRNGLADPGLVGIKEGAALAVVGMAVFAPDVAPAWRSVAGLAGGLTIALVVALMARD MSKVRFILIGIGISWLLHGVIACFMTTADIRNVQTALIWLAGSLHAASWETVQSMLYW AVAGLALLLIGARNIDTLILGRTTATSLGTNVRVTSALCFLASCVLTSAAVAAVGSLG FVGLIAPHLARLTLGVRQASLLIGSILYGAGLVLLADSIGRLVFAPLQIPAGIVMALV GVPFLLVLLWQRRDQL MIM_RS06800 MTNVNQNDMPGRGNRPINTVMITVVLIALLIMAVLVHLGVGSRY IPMHSAIQTFLQPDPHNFDQHILRNLRMPRMLGALTAGAALGLAGALIQSVARNRLGE PQLLGLNAGAAFAVVASTTLSIPLLSTAAMRPFTAALGGALLFALVLLFAQVGQRGMT VIKLTFCGIALSAFASALTSAMLILDEDSLQDLRIWLAGDLAEAGMAVVRHSLPAALC GVLLVAAISRHIHTLTLGDDVASGLGTPVARTRWLALVAAALLCGSAVSIAGPLGFIG LVAPHMAHRLGARSGNRRLLFSALCGAILVLLADVVARTALAPRELATGVVTAFVGVP VFLALVLRGRQ MIM_RS06805 MKPDPSTEQTESLRSPLCARDLSSGYGAKNIVQSANVEFKENTL TVLLGPNGSGKSTLLSTLARLLRPSTGSVLLHGQDIHKLPTKEIASQLGILPQAPVLP ESMTVLDLVSFGRHPHLGILRRWSEADSDAVEHAMRLTGTLDFANRSVDSLSGGQRQR CWIAMALAQETGVILLDEPTTFLDLKYQVEVMELLQTLVREHNRTIIAVLHDLNLTLS YADRLVLMKDGQILANLADPGSCTSALVQEVFDLRVIDIPNPMPGGRPVFMPWRDHPG QSS MIM_RS06810 MAKNRFSQINCRTVFVLSTLWSACVSAQTTAAVKLEAITATSEA ETGYQAIAPSSALRTDAPLLDIPQSVNVVTHEVLQDQGARSLDDVLSNVSGISQTNTL GGTQDAFIRRGFGQNRDNAMLTNGMKTVLPRSFNATTERVEVLKGPASTLFGILDPGG AINLVTRRPEREFSGQATISPSSFGGAGASFDVTGPIKDTPLAYRLIGSYNNTKYWRN FGRNKDWLIAPSLSWFGEKTVVTASYMYQNYQQPFDRGTIWDIDAGGPIPLNRRTRLD EPFNITDGHSTLATLNIEHELSSDWRLAFNYSYSTDSYTDNQARVMAYDSATGDVTRR VDATQGSEMVGHSARLDLVGSAMLAGMKHELLLGAEYDYHKILRSDMIRCTAAVSFNI HNPEYGTVQPCTRVVASDSDQYERLTSPSIYIQDSVHLNDKWILVGGMRYQRYHQVSG RGRPFVTNTDTSGGKFVPRIGAVYKATPALSLYANIAKSFRPQSSFSSNIGDLPPEEG LTYELGAKWELARGLSVNLAAYTTDKKNVSYFETVNGSIVTRAAGKVRSRGIELDING QLTDNISVIASYGFTDAKIKDDPDYTGKRPVNVARHTATLFASYDFGTLSNGDQLKFG AGIRGASKRPGINDNSYFLPGYAVVDAFATYTIAAKNPVTLQLNLRNLFDRTYFTSSL GSSRYGNVYGEPFNASLSASVRF MIM_RS06815 MRKNLDGGLLHAMHAFIKVIDSGSFTAAAEQMDLTTAQISRLVS ELEKRVGASLLQRTTRKRILTDIGANFAERCREIVSLVDEAEAQAAGTAATPQGRLRV QCMANFGQHYVAPVMADFCGSHPQLTVEYSTSQYVPDLLSRGVDVSLYLAESLGDSGL VARRIGTTFSILCASPAYLKQHGTPTTPEDLHRYSCVRLINPSITAGWRLMNRDASIK HVDINGRLIADTPELLLDVVLRGAGITLLPLFSVIDSVKSGRLQRILPDWRSPDIGVY SLRPSRHYMDAKTRAWLDWVELHICPQIAADTQYFS MIM_RS06820 MPLHINTPLVHSRALSILSGKSVLLKLDALQPSGSFKLRGVGAV CERFAQQGKSTFVSSSGGNAGIAVAYAGRQLSIPVTVVVPESTTDHAKKLIAQESAKV IVHGSSWMEANALAQSMLEDPSVAFVHPFDDPFMWSGHASMIDEVVAAKAHFDCVVLS VGGGGLLSGVVEGLLRNGLAHIPVVAIETQGADSLARSVSAGHLIELEAITSIATSLG AKKVCANAFELTTKHDIRCHTVSDLEAVNACEQFLIDHRLLVEPACGASLAAVYEHSH DLLADFQAPLVIVCGGATANPMQIQNWKKQLSS MIM_RS06825 MFNSHDEAKRSDLLTGILNWGPLLVPPLLWAGNFVIGRYIRHDI PPMTLAFARHLIALLCLLPFCYRPMYQYFAQYRALRWHLIGTALTGLAGFNLCIYVGL QSTVASNGLLLNSTIPVLIVILATMLYGHKLRINQAAGLIISCVGVLTIILHGDFSRL ISLQFSHGDLIVFLGMICFTFYSLWLRYIPADVNRIGLFGFQLLIATLFLAPFFAWEY ASGLRVIWTVGSVSAMLYVAIAASLAATFLYMTGVARIGSARAGVYIHLIPLYGVVLS TLFLGETIHYYHAAGLAAILAGLTAYNWDQISASLTKRTNPV MIM_RS06830 MSSVPETKEKLRDARLVLRLSSDQKDFFQRAADLTGRTLSEFVI DSAQVAAEKVVQEYENIRLSRAEQVAFVNALLTPAEPGTRLQKAVRSYRKKAGF MIM_RS06835 MLTDEQIDLLMKFRLTARRLEKRKKDRRRPQQRLAPKHKQDNPK SGSPQLPKSQFGPILAAGRPEWLNKGEHHRYFPPATGEQQECNQWFKSKYQPTSADLS YVGRIHISGSMPTIKEAFPGMKDPKQNPDYIRKRSNHSKDTTPFFVKSIDNNVLYCFQ RGRIAWFKFPGSTKEQFIPCWLSAHDFYFPETGKKFFKYNFIDWHMEASMDPFVKDGL IEIWVRKPSLVRFDGQTPIKKAQKKKKINIVSSLSGLERKEGIEKGANAENATEAKVT FKRIKKPQKVRPHVVGQNSIDKALLEKEVRGTFRVIWCERCRGGELDHCPECDGTGFK KVYGAAEPKISNIKLSHRPAAVGSLNIPHGHFKNAEQSSSNGAGDHASIGRSDPLDKS RGMGYSARDNGLYGSIPSYDDFEE MIM_RS06845 MADCDADKTYLGRFWLPHMGENSAVEGVMTLDKNGATIRLRDQL DDDLLEDATIFARLQGRYNQATLLNCYDSWTRDGKGEILSSRVSSTLVAMGCIREDVG GYCCEFRLPGSEKWLNDPCFDLKIGDAQEMHLHFKARESFESVLTSDVTLERTYRASI AGDRSGTERYEIVRKMIYRLKPDRRLIFDDHWDMMNRLKRLFEFLMQHRLFYEDMKIY DSSPIISYEPDIKIHHVDSYSNNQKQLNRHEFLIYSHEVGSKVDELINKWMELMAEHP APLQHYFHAFDRQRKDRVLHFVWNVATLEELHKMRFGRKKLDLIDRLKAMRDRWAGAF HRMPSDDVLRHIKNSRHYHAHAAADLRTKAAKGWLLFRYGDFLMALSNLEILSHLGFQ KQEAISLTRHNHWMREALDLTTYPGPDD MIM_RS06850 MSPVNSILGLTGVVVERVQHQRDIHVWARPGQRPPCLHCRHKTV RIKATYQRTLKHTRQGNRLMIVHLSVPKYHCSTCNRYFRHRFTGIEPRRRATQAYRIE VFEAHDGGVSQRKLTRTHRIGSATVERCYQSFVKQRVSELSGRSCPQILGIDEHFFSR KHGYATTLVDLKNHKVFDVVLGRSEASLRSFLKRLPGKEQVRIIVMDLSETYRQIARQ YFPNAIIVADRFHVIRLVNQHFMQIWKQHDPVGRRNRGLISLMRRHHWKLSREQKERL EHYLAPYPVLRSLYAARQQLNGFLVQKNIRAKQIKRLLPQLLKLLEQLASSPARALAG TLQSWLEQL MIM_RS06860 MMETVYDALRESHETQRSLCMRLLRSKPGTNSRDNIFRELYIEL EAHAAAEERFLYAPILMDDNGLSPSRHALAEHHEIEEMLEELQSEPSNSPGWLAQAKA LSKKVHHHLREEEKKFFQVSGKILTDAQKTKLAKQYRRDFERMKKVLA MIM_RS22425 MKKLGLIAVLVSLLGLAACNTISGAGQDIQRGGAAITGAADRHK MIM_RS06870 MKEKSKATAKKSTANQLKLNKAAIERAKKSLDDGAVIAQDNPWR EDIVRLLNDALATEIVCILRYKRHHFTATGLESPAIADEFLVHANEESAHADALAKRI VQLGGEPDFSPNTLLARSHADYNDDAGLHEMIKSNLIAERVAIESYTQMIELIGDKDP TTRRLLEGILNDEEEHADELSDWMLSVVTK MIM_RS06880 MYHFYDQYCLEQAGKSRLRILPPVDSSVSLDFSSNDYLGLATHP DVVNAAIEAARQYGAGSTGSRLLSGNYKLIEAFERQIARDKHTEAALVFSSGYQANMT VLASLLSRRVLPAPPLVFFDRLNHSSLYNAMFLSGVEYVLFDHNDMDDLRRQLQKYRV SSRPAFIVTETVHGMEGDLLPLAQLVDIAAGYNCFLYLDEAHATGLYGPQGYGLSTTV DLSPVPHMVMGTFSKAIGGAGAYVACNAVMQQFMLNRTAGFIYSTAPSPMSVAAAAQA WRMIPALAGQRQQVFAMADLLRRMLRANCITVMGDGTNIVPVCLGDESDTLALKKALL EDGMMVSAIRPPTVPTGTSRLRIAINALHDRASVNQLAEGIVRHLAAPMAEG MIM_RS06885 MRIFVTGTDTNVGKTLASAWLCRHFACDYFKPVQAGLLPMTDSE WVQRYADCHTHPEVYRLHEPASPHYAARLEGRELVLKEIKLPAAQRLVVEGAGGVMVP LATGCLMIDLIDHLGLPVLLVASTKLGTLNHTLLTLQALRQREIPVLGVVLNGPQCDM TAQAITDFGEVPVLQHIPVLSGGVSASALMQVAPTAALKRALGTAG MIM_RS06890 MKRSKIKNSKSRNGKSNRTLAERDKALVWHPFTQEHTAAPRLPV SHGRGPWLFDTDNNAYLDLVSSWWVNLFGHACAPIAQSIAGQANRLEHVLFAGCTHEP AVQLCEALSAVLPTQLHKFFFSDNGSTAVEVALKMAHQYWRNAHGQQRKLFIGFEGGY HGDTFGAMSVGAHCGYHDQFRSLFFESVAVPFPDIWWDDADVQAKEQAALASLEAALS QHAGQVAAMIIEPLVQGAAGMRMCRPQFVARACALARANDTLVIFDEVMTGFGRTGTR FAFEQTGVVPDFLCVSKGITGGFLPLALTITGDPIYEAFLSEQAERAFSHGHSYTANP LACAAAVAAMALLESDETSHALQVLPQCHYEGLQQLQQEVPTIRHPRVTGTVAAFDLP ASYPQAAGQLHQRFLEKGLLIRPIGQTVYLLPPYVISQAQLQAAYETIGQVLTQGEG MIM_RS06900 MSLIVHKYGGTSMGSVERIKNVAKRVAKWHNAGHQVVVVPSAMS GETNRLLGLAKEISDLPDGRELDMLASTGEQASSALLALALQAEGIKARSFTGWQVPV KTDSAFTKARIKSIDDKRVKAELDSGRVVIVTGFQGIDPDGHITTLGRGGSDTSAVAI AAAIGADECLIYTDVDGVYTTDPRVVPEARRLSVISFEEMLEMASLGSKVLQIRSVEF AGKYKVPLRVLSSLTDPAIALQEEKNSGTLITFEEDSKMESAVISGIAFSRDEAKLTL LGVPDKPGVAYAILGPVAKANIDVDMIIQNQSVDGTTDFSFTVNRNEFKRATELLTDT ILPAVGAREVLTDDKVCKVSIVGIGMRSHAGVASQMFSTLAKEGVNIQMISTSEIKTS VLIEDKYMELAVRALHKAFGLDQDAAQA MIM_RS06905 MATTKIVQVASGTPLVNSHLQENYEVLALWEQADPQAYLAEHGK GVRGLVTTAGHGLKNEWLDSLPDLGVVSSFGVGYDTIDATELKKRGIQLGNTPDVLNA CVADLAVCLLLGSARQLVRGDRYVREGRWPTEGAFPLAQSVSGKNVGIVGLGGIGLEV ARRLSGFDCEIRYHNRKARADVSYGYEASLTALAEWADYLVLTCVGGPATYHLINREV LLALGGKGTVVNVSRGTVIDEQAMIALLQQDKLGFAALDVFEHEPEVPQLLREHERVT LMPHAASATVETRLKMSQRVIDNLDRFFDTGEVISRVV MIM_RS06910 MNIGFIGLGNMGAPMALNLLKAGHQLQVFDLVASAVAELVQAGA TAAASPQEVAAARPEVLITMLPASAHVRKVYLGDDGVLAAVDPGVLLIDSSTIDPQTS RDVAAAAQKQGNAMLDAPVSGGTGGAKAGTLTFMVGGEQASFDKARPILEQMGKNIVY CGGAGNGQVAKVANNMLLGISMIGAAEAMSLGVSLGMDPKVLAGIINTSSGRCWSTEV YNPWPQVVETAPASKGYAGGFGTDLMLKDLGLATEAARAAKVPVVMGATAQQLYQTFS VQGNGHLDFSAIIQSMGKVEK MIM_RS06915 MSTFDTALSEEQRMIRDMARDFSQQEIAPQSSAWEEAGWIGEAV LHRMGDLGLLGMVVPEEWGGSKTDYVSYALALEEISAADAATGTLVSVHCSVGCGTLL NSGNDAQKKQWLPELASGRAIACFCLTEPQAGSEAHNLKTRAQLQDGKWVLNGAKQFV TNGKRAKLAIVFAVTDPDLGKRGISAFLVPTDTPGFVVNRVESKLGIRGSDTCAITLE NCTIDQENLLGERGRGLSIALSNLENGRIGIGAQAVGIARAALEAAIAYAKERIQFNE PLINHQSIANMLADMHTQVNAARLMVLHAASLKDKGIPCLSETSQAKLFASEMAEKVC SQAIQIHGGYGYLEDYPVQRFYRDARITQIYEGTSEVQRMIITRGLADYNGFCP MIM_RS06920 MEDEKKADTSEKLSDEQGGAAPRDEANDLRGDAATGGENASAEA SGVSQKKATADATPAKTSSADSDSAVVASVEYLRGQRHAIVAPENISGYKRDADHLLW TGLKDPTDEELRMTCKNLSFNKQATKEILKRHYRPKVIDYGDYILIVAVTISRQNKKI VYGEMQMIFGQSFILTVRRGEAMTNTPLRKRLEGSPDLIARGSDFVVAEILDGLADSY LTLASSFEVDVEQLEQKMILHGFRESDVRKLYKMRRDLLRVHTSIAPVIEICTRMSVV NLAFVEQDSQGYYRIVADRVARIDEQMNALRESLAFAFEASQMIAQAHQTDITKKLAS WAAILAVPTAIAGIYGMNFDNMPELHWTYGYPLVMLLMFLICALLFRQFRKTGWL MIM_RS06925 MSDAAVFDYIVIGSGIAGASFAYQLSQRPGTILVLEREAHAGYH STGRSAAMFIETYGPPQLQALTRACRAFFENAQSSGFAEHPVLHPRGCIYVGTHAQKD IMDSTYRELLARAPNVEWLTAAQVLERAPCMKPDDLYGGIYERDAEDIDVNALHQGYL KGMKRNGAELIFSVDIRQGTRQDGVWTLQSADGRQFRARHIVNAAGAWADVVAQACGV PAVGIVPRRRSAFTFSPPEGLDLETLPMVCDIEENYYFKPDAGQLMGSPANADDVEPQ DVVAEELDIATGIYYIEQATTLQIRRPTHIWAGLRSFAPDGNLVLGWDPAADGFFWLA GQGGYGIQSSDAVSRLAAALALNEAVPDDLLVQGVDPGLLSPLRFRAG MIM_RS06930 MSALNILESLLSAGKSAMNQAESSVKNATSGDKPLLTDGDKTTL SAGVLGMLVGHKANSNLATYGGLAALGTVAYRAYQRWQANQSDRQPEMQASAPATATA QPQPPTPINQLPAAQAEEQSKAILSALIAAAKADGHIEANEQAMLDQFFSKMSTPEEQ AWLKAELAKPVDPVAVASLATDPHLASQMYAVSVSIIDSTNFMEKAYLDELAKQLDLT PELKNELETQVAKA MIM_RS06935 MPSFDVVSEAEMTEVRNAVDQANKEVSTRFDFKGSDARIEQKEL ELVIYADDDFKVAQVREVLLNKLAKRNVDLRFLEKGKEEKISGDKLKQFNTIRKGVTG DLAKKIVRIIKDSKLKVQASIQGDTVRVTGAKRDVLQEVIALLKKEVADAPLSFNNFR D MIM_RS06940 MKTAQELRVGNVVMVGTEPLVVQKAEYNKSGRNSAVVKLKFKNL LTGSGSEQVSKADEKFEVVLLEKKECTYSYFADPMYVFMDEEYNQYEVEAESMGDALN YLEEGMTVEVVFYEGRAISVELPTIIVREITYTEPAVKGDTSGKVLKPAKISTGFDLA VPLFCNIGDKIEIDTRTNEYRSRVM MIM_RS23485 MDASTKPMALENAPDIDIFCRVVDNYGDIGVCWRLARSLHREWP ARVRLWVDDLAVFNRLAPQIRPDLDEQQLDGIQIIHWTDSPAPHTPAALVIEAFACDP PEQYIDAMPGHTRLWLNLEYLSAESWTAGFHGQPSPQRNGITKYFFFPGFSEQTGGLI AEAGLSERRRSWMHSSAKKKQFLQRLGLPQAQTYLEADTLFVSLFCYPSAPYRSLLHA LRKSRKPVVLLVPQSVVPQLERDAITQLHGAVSIPDAGFDDEPVAATPDTTSASASGT KAVAATSERGANPDPAQEDAPQASPAPLPPVTLLRIPFLPQDEYDQLLWVCDLNFVRG EDSFVRAIWSRKPFLWQIYEQSEDIHLKKLQAWLALFPGHEETKAMMRSWNSPSRHAY FTNQLTALLNTPGQLDSWRRSCRTFAENLSKNKTLSESIRIFYEKTANSG MIM_RS06950 MNRKKPASLHASDQPLLDLCLALKQSGCIIEDRYWENLIQTSLL DIFRQNRKKTVERLLDHLSDSDSETHDILLEHAETLSESCEWVHDGVIYDCVFVAIPA IAWTRFDIPLPILDSSTLQTLGTLLTQHIAASDVRMAIHPQILSVDQMPRSFTGVFQW MMAITGKAFGAQTRSGVPVDDLSEDMRLMSDSRFVLAAFCTPKGQAVFRWQQAPGQFS EQRQICLDTWRTVATPLFQKLLPACGIELMLPDAFYIANRQADKRIRFAAITAATQWL QTVFNTTADAFRATVALYQDDTLREIRIGFTLLQSHEVIYGCLWPVFPDETEDQQLDT INDFAQLNTLLEHLQNNGIRHITCLKEVLDGSGDVSEEPLFPNPAGELMPVMMPDVSE DPIGRFH MIM_RS06960 MSLVSTLKEFSIGNNTANYYSLPELGKLLGVDIQSLPVSIRIVL ESVLRNCDGQKITEEHVRQLANWQPVAKREDEIPFVVARVVLQDFTGVPLLADLAAMR AVADKMGKDPKKIEPLVPVDLVVDHSVMIDYFGTKNALDLNMKVEFQRNQERYQFMKW GMQAFDTFGVVPPGFGIVHQVNLEYLARGVYQKNNVYYPDSLVGTDSHTTMINGIGVV AWGVGGIEAEAAMLGQPVYFLTPDVIGVELTGQLRGGVTATDLVLTLTEMLRREKVVG KFVEFCGEGTATLSVTNRATIGNMAPEYGATMGFFPVDDHTIEYFKGTGRTEQEVAAL ENYFKAQNMYGIPKGKDIRYTKLLHLDLSTVAPSLAGPKRPQDRIEIGHVKNTFADLF VKPVTENGFNQSPEKFEQTFETSAGTKLKNGDILIAAITSCTNTSNPSVMLAAGLLAK KAVEAGMKISSHIKTSLAPGSRVVTEYLTATGLLPYLDKLGFDVAAYGCTTCIGNAGD LAADINQSIIENDLVCAAVLSGNRNFEARIHPNIKANFLASPPLVVAYALAGTITKDL MTEPVGKGKNGDVWLGDIWPSEQEVQELLATAMQPEVFRKNYAQVKTNPGKLWEKIKG VTGDVYNWPDSTYIAKPPFFDAFEMTPSPMPPVKNARALGIFGDSVTTDHISPAGSIK ETSPAGRWLNDHGIMKADFNSYGSRRGNHEIMMRGTFANVRIKNLMIPPLPTGSRVEG GETLHQPSGEQMSIYDAAMKYIDDGVSTVVFGGEEYGTGSSRDWAAKGTQLLGVKAVI ARSFERIHRSNLVGMGVLPLQFKNSDSVDTLGITGTETFDISGLENGIKAQQDVTLTI RRDDGSTQDVTVLLRIDTPIEVDYYQNGGILPFVLRQLL MIM_RS06965 MNRAPFQFSKRATQLTSSAIREILKITERPEVTSFAGGLPSHLG FPSEQIRAAYDKVLSESPKVALQYGPTEGYRPLREWVVSDFQARGTKIDVEEVLIVSG SQQALDLIGKLLIDPGSKVLVESPSYLGALQSFSLYEPVYEPVATDDGGLIPEDITQE KADGARFIYCLPNFQNPTGRTMNLERRQALVERCARLNVPIVEDDPYGELRYAGEPLP GLLHLGREAGATVLRMGSFSKVLSPGMRLGYLVAPREIIAKLVQIKQATDLHTASVTQ MAVYETIKDGFLTTHLPKVRELYRNQCQYMLDAMDEHFPKSAKWTRPTGGMFIWVKVD EQFDTTQALAQAVEQYNVAYVPGEPFYPGANAPKNTLRLSFVTVTEEKIRSGIADLGK LFTA MIM_RS06970 MHLEHAIPILRIFSIPLAETFYLDFLGFTKRWEHRFAPDMPLYM EIARDNLVLHLSEHFGDGTPGSAVFLPMEGIHALQQDLINKNASFARPDITKESWGFN MNVTDPFGNHLRFCEQHHEHS MIM_RS06980 MAGKKFPLAVGVVAVIAAGAWFGANYYAKTQVEEKLNAYLVEND MQNNVTWGALDASILGSASLHDVKVVRKDDPAKFLTIKNVKVNDLQTGSDDKKVDFSF SGLADETGNSPFSAALKEKAGQLGYDSLPLLDGRVRGTLNEKQDTSDYDITLNQPEVG NFNVVLKADKIVGLINTVRTREKELQSNPLLLISALSPVTIRELNIKFDDAGLMPRVV KVQQGVAPVDGNPTAQQKEAFEARLAKSESDCRQQAPTLGIADAEGVCTAVSRFMKNE ARSLNVQASPNPPLQLMTFVMQTQMGNPQGILKAVQQLNLKITN MIM_RS06985 MTLQITHHPETGRIEANVDNNLCYIEYRLQAPVMHVLHTIVPDA VGGRGIAGKLTEFALDLARANNWKINPVCSYTAAYFKRHPQLEPLRT MIM_RS06990 MLRRAETRAAIAQHSEKTLPWQEISPQRASHTLLTGKFAYYCQI RPSLLLSHPAAMFHPYIATALPFILSLLVACFVIATVSILYLAFVSLKETNATLKHPY LTQQPFNRYPLGIRGAILLDYFLRLFLPNSTVWLAGHANILLAHVNPKTVPLRIRWPL LGLWGACLLGIVLMIIFWSMMLLGRQ MIM_RS06995 MSGPVSAVRPDPDQVLVDIVDYVLYKELSSDLAYETARNCLIDT LGCGLEALEYPACRKLLGPIVPGTMVPNGAKVPGTQFQLDPVQAAFNIGAMIRWLDFN DTWLAAEWGHPSDNLGGILATADWLSRNAVAAGKAALTMREVLTGMIKAHEIQGCIAL ENSFNKVGLDHVVLVKVASTAVVAQMLGLSRDEIINAVSLAWVDGQSLRTYRHAPNAG SRKSWAAGDATSRAVRLALMAKTGEMGYPSVLTARTWGFYDVLFKGQPFIFQRPYGSY VMENVLFKISYPAEFHAQTAVECAMQIHDQLKAAGKSADDIRQITIRTHEACIRIIDK KGPLNNPADRDHCIQYMVAVPLLLGRLTAGDYENTIAADPRIDALREKINCVEDPAFT RDYHDPEKRSIANALTVQLNDGSTLDEVICEYPIGHKRRRKEGIPLLEAKFRVNLARA FPGKQQENILAVSLDQKTLEAMPVHEYMDLYVI MIM_RS07005 MSKPAVRVAVTGAAGQIGYALLFRIASGEMLGKDQPVILQLLEI PDEKAQKALKGVIMELDDCAFPLLQEITAHSDPKTAFKDADIALLVGARPRGPGMERK DLLKVNAQIFTAQGKALNEVASRNVKVLVVGNPANTNAYIAMKSAPDLPAKNFTAMLR LDHNRALTQLAQKSGKAVKDIEKLVVWGNHSPTMYPDIRFATVGGEGLEQLINDDAWN KDTFIPTVGKRGAAIIDARGLSSAASAANAAIDHVRDWVLGSNGKWVTMGVPSDGSYG IPEGIIYGVPVTTENGEYTVVKDLEINEFSRERMDFTLNELLEERDGVADLLG MIM_RS07010 MSEIPTPSLKSPAAAFSPLYKQIKDLLVHSLHEGEWKPGENIPS ELDLAARFQVSQGTVRKAIDELAAENLLIRRQGKGTFVATHHEAQVRYRFLRLKSDSE ESAARAQSVYLQCDRQRAPQDVARVLMLRGSDSVIYIRRIMSFGTVPTVLDEIWLPGD AFKGLSIEKLQALNGPLYGFFETEFGVNMVRADEKLKAVSCDPTTAELLKVEPGTPLL QVNRVSFTYGDRPMEIRRGLYVTEHYHYRNGLN MIM_RS07015 MSDTPSKQRPQYRNIDVAQITKYHLPAAGKVSILHRISGALLFL SLPIILIPLFAASVASPESFASLGSGAGGFILKLILLVLLWGFMHHLCAGIRYLVLDL HIGIDKVSAQKSAAVVLGVSLALTLVFAVKMFGAI MIM_RS07020 MAKELVGTKRLVVGAGYGIKDFIVQRITAVILAVYSVIFLIAAL FTPINYESWKGFFTFSCWGLPVGQIAATLAFFSLAWHAWIGVRDIWMDYVKPVGVRLT LQVLTILWLIAAVLYFAKIVWSL MIM_RS07025 MVAINSSLPRRQFDVVVVGAGGSGMRCSLQLAQAGLSVAVLSKV FPTRSHTVAAQGGIGASLGNMSEDNWYWHMYDTVKGSDWLGDQDAIEFMCRQAPHAVY ELEHFGMPFDRNANGTIYQRPFGGHTANFGEKPVQRACAAADRTGHALLHTLYQRNVA ARTQFFVEWMALDLLRNDAGDVVGVTALEMETGEVYILEAKAVVLATGGAGRIWQAST NAFINTGDGLGMAARAGICLQDMEFWQFHPTGVAGAGVLITEGVRGEGGILLNKDGER FMERYAPTLKDLAPRDFVSRSMDQEIKEGRGCGPDGSYVVLKLDHLGADVINKRLPSI REIAIKFGNVDPIKEPIPVVPTIHYQMGGIPANYHGEVVTWENGEEKIVNGLYAIGEC AAVSVHGANRLGTNSLLDLVVFGRAAGNRIVESHLERQLAHQDLPKESLDFSLARINE LESRKSGEKVQDVANSIRVSMQRHCGVFRTLELLKEGVGQIDELAEQAKHVKFDDKSK VFNTARVEALELANMTEVARATIKSAANRNESRGAHALNDHPERDDVNWLKHTLWHSA DGRLEYKPVHMKPLSVESFPPKARTF MIM_RS07030 MSTKRIMKFEIYRYDPDKDERPYMQKLEVELQPTDKMLLDAIIR IKNDVDDSFAIRRSCREGVCGSDAMNINGKNGLACTTNLNELKEPVVLRPLPGLPVIR DLIVDMTHFFNQYHSVRPYLVNDTPPPEKERLQSPEAREELDGLYECILCACCSTSCP SFWWNPDKFVGPAGLLQAYRFIADSRDEATNSRLDNLNDPYRLFRCHTIMNCADVCPK GLNPTKAIGKIKELMVRRAV MIM_RS07035 MATLTELERARLRWRARRGLLENDLIITKFLDRYEQELTDEDVS SLTQLFELDDNSLLDILLGRANPEGIYDTSNIQRLIELMRQE MIM_RS07040 MNLSDKKAILSFSDDSTKIELPIYKGTVGPDVIDIRKLYSQTDM FTYDPGFMSTAACESAITYIDGDKGELLYRGYPIEQLAVNCSFLDICYLILNGELPNS AQKEEFDQTVTHHTMVNEQMHFFLRGFRRDAHPMAVLTGLVGALSAFYHDSTDITNPE HRHISAIRLIAKMPTLVAMAYKYSLGQPYIYPQNNLSYTGNFLRMMFGTPCEEYKVND VVERALDRIFILHADHEQNASTSTVRLCGSSGTDPFAAIAAGVACLWGPAHGGANEAC LKMLEDLQANGGIEKVGEFMEKVKDKNSGVRLMGFGHRVYKNYDPRAKLMQETCKEVL ESLGLQDDPLFKLAMEVERIALEDPYFVERKLYPNVDFYSGIVQRAIGIPTSLFTAIF ALARTVGWIAQWNEMLSDPDYKIGRPRQLYTGYSAREVGTIDTRK MIM_RS07045 MKLAQKYQALLQDAERRHIPNVDSMRVCFQTLSLATAIDRECAD QLAPHGLSEGRFVLLFLLDAAKNGLAPNVLAQQAGVKRATITGLLDGLEREGLIERQL DSHDRRGVLIVLTSKGKQLAKTVVNQHSHWIAGIFGTLSTTEQKQLSTLLDLVAQALP RSNESKP MIM_RS07050 MAKSDKMASAPQIDGERLRLLNNGAIETANLTECLAVDFATLMR ATLPEIGTKAINMLKQESQTGILKRMTVTGQLIYEHVGPATLPHLLNCPSDTVRGWGC FLIGSIETMSIEDRLDAIAPLADDHHFGVREWAWMAVRRHIAADLQPSIDYLAEWADN PSERIRRFSSESIRPRGVWCTHIAALKQEPNLALPILEPLHADPAHYVQDSVGNWLND AAKDQPNWVRSLCQRWLEEAPDNQSTTRIVRRALRSLK MIM_RS07055 MMRSDDLSKLVLRLTLGILLLMHGIFKVQNGIGGIMGMVASHGL PGFVAYGVYIGEVIAPILLIVGLYTRVAAVLVIINMLVAIALAHTGQLAMLTGNGGLR LEAQYFFLFTAVAVFLGGAGRFSMNSPYNN MIM_RS07060 MSHDLNKLALDYHAYPTPGKISVTPTKPLANQDDLSLAYSPGVA AACMAIFADGDMAASQYTSRSNLVGVITNGTAVLGLGNIGPLASKPVMEGKGCLFKKF AGVDVFDIELAENDPDKLVDIIAALEPTLGGVNLEDIKAPECFYIEKKLRERMKIPVF HDDQHGTAIISSAAILNGLKIVGKDIGKIKLVCSGAGAAAIACLDLLVNLGVSRENIY VVDSRGVIWEGRDENMEPNKARYAQKTEDRTLADVMRDTDVFLGCSAKDVLKQDMVAT MAPSPLILALANPDPEIRPEVAKAVRPDCIIATGRSDYPNQVNNVLCFPFIFRGALDV GATRITEEMKMACVRAIAELAQAEPSDEVALAYEGADLSFGPEYIIPKPFDPRLIVQI SPAIAQAAMDSGVATRPIEDMDAYRQQLLGFVYRSGPLMRPLFKQARITPPKRVVYAD GEDERVLRAAQTVIDEQLAYPILIGRPAVIEMRIKKLGLRLAAGTNMEVVNPEDDERF TETWTAYYKLKGRDGITPNVAKAMVRKHNTLIGVLLIDRGDADAMICGVGSRFDNQLK YVSEVIGLKEGVTTYAAMNVLILPDQTLFICDTHVNEDPTDEQIADITIQAANEMIRF GIQPKIALLSHSNFGSRPSDSSRKMASARRLIAQRRPDLEVDGEMHADAALSERIRLE AYPDSSLAGRANLLIMPNLDTGNITYNMLKMTGSHGIAMGPILLGAAKPVHILTTSTT TRRIVNMTALAVVDTLEESK MIM_RS07065 MTTETEKKSTSYLFGSNAPYVEELYEEYLANPAAVADHWRNYFD QLQHSPATDGSESTRDQNHHSVVTSFAQRAKANAFARAAKEGVPTLEVASKQLHVQSL IAAYRSLGVRWAQLDPLKRRERPEIPELDPAFYGLTDADLDQVYSATNTYFTKQGTMT LREILNSLRDTYCGAVGAEFMHMSDPKAKRWVQERLESTHANGSFDSDTKKRILQQLT EAEGLERFLHTKYVGQKRFSLEGGESFIASMDEVVNHAGDLGVQEIIVGMAHRGRLNM LVNIMGKMPGDLFAEFEGKHAEGLTDGDVKYHNGFSSDLSTRGGPVHLSLAFNPSHLE IVNPVVEGSVRARQERRADPLGQQVLPVLVHGDAAFAGQGVVMETLNMAQTRGYGTGG TLHIVINNQIGFTTSDPRDSRSTLYCTDVVKMIEAPVFHVNADDPEAVVFATRLALDY RMEFNHDVVVDIICYRKLGHNEQDTPSLTQPLMYKSIGAHPGTRKIYADKLTAQGVLT DGEGDEFVKNYRRLMEDGQKTVEPVLTDYKNKYSIDWTPFLGAKWTDSADTAVPLAEL KRIGERITTVPDTFTPHNLVKKLLADRRAMAMGEQNLDWGMGEHLAFATLLSSGYTIR ITGQDSGRGTFTHRHAVLHDQKRERWNDGTYVPLQNISESQAPFTVIDSVLSEEAVLG FEYGYSCSEPNTLVIWEAQFGDFVNGAQVVIDQFISSGEAKWGRQSGLTLMLPHGYEG QGPEHSSARIERFLQLCADHNMQCVQPTNAAQIFHLLRRQMIRPFRKPLVIFTPKSLL RNKDATSPLSDLSSGEFQPILGEIDTALAAKKVKRVLVCSGKVYYDLMNARKERKDDH VAILRVEQLYPFAHKSFATELAKYPNATEVVWVQDEPQNQGPWFYIQHHLYEDMSSGQ RLGYAGRTASSSPAVGYMAKHIEQQKALVEQAFAPKLKGFILTK MIM_RS07070 MAIIDVVVPQLSESISEASLLEWKKKVGEAVTQDETLIEVETDK VVLEVPAPANGVLTEIAEGDGSTVTSGQLLARIDTEAKAGAAAPAAAAAPAAEPVAAE PAKAEAAPAKAAEASKGSAGVASPAASKILSEKGIAAADVAGSGRDGRVTKADAAAAT AAPAAPAAAAAAPATLSLDGRPEQRVPMTRLRARIAERLIQSQQENAILTTFNEVNMK AVIDLRNAYKDRFEKEHGVKLGFMSFFVKAAVAALKKYPLLNASVDGKDIIYHGYFDI GIAVSSPRGLVVPIVRNADQLTFAEIEKTIADYGVKAREGKISLEDLTGGTFSISNGG VFGSMLSTPIINPPQSAILGVHATKDRPVVENGQVVIRPINFLAMSYDHRIIDGREAV LGLVAMKEALEDPQRLLLDL MIM_RS07075 MSSQFDVVVIGAGPGGYIAAIRAAQLGKKVACIDAWADEAGNPK PGGTCTNVGCIPSKALLQSSEHFEQANHHFAEHGIEVGSVKLNLDTLIGRKDTVVKQN NDGILYLFKKNKVTFFSGVGAFDGKAEDGSWKISVTGKKAESLTATHVIVATGSVARA LPGLPFDEKQVLSNDGALRIAGVPKKLGVIGAGVIGLEMGSVWRRLGAEVTILEAMPE FLAAADVQVAKEAQKAFAKQGLKIETGVKIGEIKTTARTVTVPYTDAKGAEQSLVVDK LIVSIGRVPFTGNLNADSVGLQLDERGFISVNEECKTNLPNVWAVGDVVRGPMLAHKA EEEGVAVAERIAGQHGHVNFDTIPWVIYTSPEIAWVGKTEQQLKAEKREIRTGSFPFM ANGRARALGDTTGFAKVIADAKTDEVLGVHIVGPMASELIAEAVTIMEFKGAAEDIAR ICHAHPTLSEAVKEAALAVDKRALNF MIM_RS07080 MNVLQYYEKTLADRGYRADAAQQKAIERLQVFADELVAYQQELA KPFRRFFRKPEPPRGVYMWGGVGRGKSFLMDSFFMTVQIEKKTRIHFHEFMRSVHHQL QALRGKSDPLDEVAHIISDKYKLICFDEFHVSDIADAMILYKLLLNLFDNGTSFIMTS NYEPSTLYPDGLHRDRILPAIALIEKRMDVVNVDTGVDYRQRTLEQVRMYLTPLNEDT QAQLQQAFDSLAETAPQDTDLRIENRVIRARAVAGSVVWFTFAELCGGPRSQNDYLEL ANRYQTIVLSDVPRMMPRHSSEARRFTWLIDVLYDHHVKLIMSAECPPEELYTEGVLA NEFHRTVSRITEMQSKEYLESERRLSVKL MIM_RS07085 MSVEQTSPAGDASRNVRVLTGITTSGTPHLGNYAGAIRPAVAAS RQPNVDAFFFLADYHALIKCDDPQRVAASRLEIAATWLAAGLDPEKVTFYRQSDVPEI PELSWILTCITPKGLMNRAHAYKASVDQNEARGDEPDDGVNMGLFSYPVLMAADILMF NANRVPVGRDQIQHLEMARDIAQRFNHQYGAGRDYFVLPDVQIDEDVATLPGLDGRKM SKSYNNTIPLFEGGAKALPNAIARIVTDSRAPGEAKDAEASHLFMIYKAFATPQETDH FRRELADGMGWGDAKKKLATHLETILAPMREQYVTLMDNPERIEDILQAGAAKARRLA TPFMQEIREAVGLRNGTRVKSAAPEKKKSGKAARFISFRDDDGTFRSRLVAADGDTLL LSGPYTEPKQAGQFATQLLSLDLRSLIRRNPDYSVTVLLDEQELGSSPAMQSEMEREA LIVRLLQTVDSLAPASDA MIM_RS07090 MDTRVTDQDLLVIDEAQYGVVIDLVYASSNNIAGRIVYQTARCA LHKDAAPLLHRAAELARSAGYTLKIFDGYRPPAAQRIFWSALPDAQYVADPSQGSHHS RGTAVDVTLLDENGGELDMGTGFDAMEDASHHDYADLPALVQKNRLLLLGIMLHAGFR GIKSEWWHYELPNSVSYPIIESTLVTV MIM_RS07095 MSVRRTFMKNAFVVACATALGLSVSAAVQAATPKNMLVISKSAD PQMLDIAVTMDNNDWSITYPSYQRLIKYKAGGSTEVEGELAKNWTTSEDKLTWTFTLN DGQKFSDGTPVNAEAVKYSFDRLMKMKQGPSEPFPAGLQVTVKDPLTVEFKLDKPFAP FLNILANNGAGIVNPAVEKQEGGAEKYLAGHTAGSGPYQLAKWNKGQSLILERNPHYG GQKPALEKVAFKIVPEASARRLQLQNGDLDIVGSIQPDQVKAMQNAKGVVFKKVPSLL VSYLYLNNKTGPLTNVALRKAITEAVDYNGMINGIMNGEAKPLNGPIPDGMWGHDAAA PAFKTDVAAAKASIGDAAPDKPLTLLYSTKEPYWEPIVLSVQASLQAVGVKVRLEKLA NATMRDRLGKGDFDISIGNWSPDFADPFMFMNYWFDSSKQGLPGNRSFYSNSEVDKLV RQAAEETDQAKRTSLYQEAQKQVIKDYAYVYLFQHSNQMGLRDNVKGYAYNPMLHDVY NVADITKD MIM_RS07100 MLGVSIITFSVSHLIPGDPARLIAGDRATDEIVAGIRHKLGLDL PLYEQYWLYLKGLLHADLGTSIRTGRPVVEDLLTFFPATLELAVVALLLSMLVGIPLG VLSAVYKNSWIDQLARTLAVTGISMPAFWLGLGLLIIFYGHLSWFPSGGRVDSLLGDP PTITGFFLIDSLIAGDWDVFFSAVEHLVLPAFTLSFVHLGVVARQIRSSMLEQLSEDY VRTARAYGLGRWKIILGHALPNALIPSVTVLGLAMGDLLYGAVLTETVFGWPGMGLYV VESIQALDFPAVMGFAVLVSFVYVLLNMLVDLLYSVLDPRIKDAG MIM_RS07105 MMAAASGTSQRSYSLSYFWYQLRRSPMMLLGLALIAVMCLSALL APLLAGYAPDAIDLGSRLLPPSAMHLFGTDEVGRDLFSRVLYGGRQSIGVGIFVAVVA SAIGAVIGCFSGIIGRTVDTLIMRAMDIVLSVPSLVLTMALAAALGPSLFNAMIAITI VRIPYYVRLARGQTLSIREMAYVQAARTFGAGRRHLVRWHVLRNALPPVIVQMTLDIG NAILMAAALGFIGLGAQQPTAEWGAMIASGRNFLLDQWWYCTFPGVAILITAIGFNLV GDGVRDMLDPKQKVK MIM_RS07110 MMSNSQLNTPGQRATQIAGQDTVPPVLDINNLHIEFPVFRGAVQ ALNGVTIRVQPGEIVGVVGESGSGKSVTAMMSMRLIPQRDYIVRKGSIHKSGTNILTA SEKQMRRIRGRDMAMIFQEPMTALNPTMRVGRQITDVIRKHTALSVKQARERAIALLR DMHIADPQYVFDAYPFELSGGMRQRIMIALAFSCDPSLLIADEPTTALDVTVQKQVLM LLRERAQKTGTAILLITHDMAVVSQFCERIYVMYAGSVVEQGTAADVIHRPTHPYTRG LLGALPENASPGAPLLAIAGQAPNLAELPQGCVFRHRCHLQTEACAQVPPLMPLSPAP GFADAVDVSGHAAACWVTVPEASNV MIM_RS07115 MSDPVLTLENIQVRFPVKRNWRGRARAWAHALNGVDLSVMRGET LGVVGESGCGKTTLAQVLMGLQQPAQGQLRWHNESGRPNVQIVFQDPQSSLDPRLPVW KIITEPSCVKGEAQESAMRSRAARLAEQVGLSPDYLNRYPHEFSGGQRQRIAIARALA SDPDVIVLDEPTSALDISVQAQIINLLFQLQRERQLTYILISHNVSVIRHMSNRIAVM YLGQIVELGDTAQVLAGQRHPYTRLLLDAVPVLGAPLPQSGVQAMPELPGNRVLPTGC FFLDRCAFRKQGCEQRQQLKPIDTETPVHHVRCHRFDHDEADGK MIM_RS07120 MENSKIQAEASRAARKKGFGVIVSLLPYIWMFKWRVIAALACLV IAKVASVMLPVYLKDIVDQLSLPGTALVLPVAALLAYGFARISSSVFSELRDALFSKV TQGSIQRIAATIFTHLFGLSIRYHLERQTGGLSRDMDRGTKGIGFLLNFTLFNILPTL LELTMVMVILLVRYDIWFAVVILATIAAYIVFTLVVTERRMVMRRRMNSLDSMANTQA IDALINYETVKYFNNESYEIERYDSNLGKWVDSAVQNQISLNLLNAGQGVIITLGMVV LLWMAAGRVTTGQMTVGDIVLISAYLTQLYAPLNFLGFIYREIKNSLSDMERMFGILD QHQEIEDLPQSVPLDTRSASIRFEHVGFAYEPERQILHDVSFTIAAGETVAVVGTSGA GKSTLSRLLFRFYDVTSGRITINGRDIREYTQLSLRQHIGIVPQDTVLFNNSIYYNIA YGRPDATRDDVLEAARAASIHEFVMSLPEGYDTEVGERGLKLSGGEKQRVAIARTILK NPPILVLDEATSALDTRTERAIQDELYKITEGRTTLIIAHRLSTIVEADRVLVMDRGR VVEQGSHIQLLQANGVYARMWAMQFAATDEGTDV MIM_RS07125 MLKKVITGVLTTASMVAGSAQAAYPDKPVRIIVPFVPGGSSDIT ARTIAPGMEKVLGQTVIVENKPGANGAIAAQELKKSKPDGYTLMVGSIGTFSINEGLY KNLSYNPSNDFDYVSQLVRNPNVLVVSSKIPVKTVAELIEYAGKHPGEVSYASSGTGS SDHLSAAMFRQRSNTTGIDVPYKGGGAAIADLLGSQVDVSFQNLGAVLTHVQSGKLRA LAITGEQRAPELPDTPTMAESGIKNMVVYSWQGVAAPAGTPREIIAKLHEAVSASLKE PRAQETMKKLGFTIVGNTPEEFTQFQKEEVAKWKDVIQSAGLSQ MIM_RS07130 MKTTPVTSQDLQRSVIAVPPLARDAEYRLNKAANQALLSHLYEG GVRTVMYGGNANFYHLGVNDYADTVAQLADLAAEDMWVLPSVGPDFGKMRDQTAILRG FDFPTAMLLPMTFPYTTQGLADGIRYFSDAFGDKIVVYIKSDQYLPAETMARLVEEGR IVSFKYAVVRPDPKDDPYLQSILSCVSPELIVSGIGETPAIDHMAHFGLKTFTSGSVC LAPAGSMGLLRAMQANDTAAAQALREHYMAFEALRDGINPIRVLHDGVSLSGIADMGP ILPMFSGLTDNEAEHVGPVARQLATWGRSLLAENR MIM_RS07135 MIHFSKAVSAMVLALGMVGSASAAYPEAQPVKVLVGYTPGGTTD LVARIVAKGLSDELKQSFVVENKPGASNNIAMTDVARAKPDGYTLIVTTIASSVNATL FKKLNYDLKKDFSPVALIAKVPNVLVVNPKLPVNNVKELVEYVKKNKDKATYASSGIG SSQFLCGEQLNQDADTQILHVPFKGSAPGLTAVMAGDVLMEFDNMPSAWPFISSGKLK ALAVTSKEKSAVAPQLPTMIESGFKDFDISSWFGVLAPAGMPKEDVELLNKAILKVVA KPEVQKQLADQGAIPQNMTPEQFGSFINDEVDRWGALITKLGVSAN MIM_RS07140 MNVSSPSVTASSPLVDPARIIALLAAELNIRASQIEATVTLLDD GATVPFIARYRKEATGGLDDTVLRNLEVRLAYVRELETRRAAVLESISGQGKLTPELS EAIAAADTKQRLEDLYAPFKPKRRTRAQIAREAGLQPLADLLLAERNADPQVAAQDFV NEELGIKDTRAALDGARDILAEQFSENADLVADMRDYLGQHGFLYSKVIEGKEEEGAN FRDWFDFRESIRSLPSHRVLALLRGRQQGALDLRIGLSDEQDTLVPHPCVERISRFLE LDADYSANANARGKWLADVARWTWRVKMLTAFESEFITKLREEAEAEAIRVFAANLKD LLLAAPAGPKAVLGLDPGIRTGVKVAAIDKTGKVLDTATVYPFEPRRDRNGSLATLAA LVNKHKIELIAIGNGTASRETEKLAAELIEALPNAGLRKIVVSEAGASVYSASELAAK EFPDMDVSLRGAVSIARRLQDPLAELVKIEPKAIGVGQYQHDINQRELARSLDTVIED CVNAVGVDVNTASAALLSRVSGLNATLARNIVDWRDQNGAFPTRKTLMDVSRFGAKAF EQAAGFLRIQNGENPLDASAVHPEAYPLVERILDKIRKDVKAVIGNKEALSGVSPSEF TDERFGLPTVKDIFSELEKPGRDPRPEFKTVTFQEGIETIKDLSEGMILDGVVTNVAN FGAFVDIGVHQDGLVHISALSDTFVKDPRDVVRVGQTVKVKVQEVDVARNRIGLTMRL NDDTGPVARRDSRPGAAAPRGNGGGRQQARNQAPAASSMNAMAAAFAKLKK MIM_RS07145 MRVLDFDISDWFGQEGPLAAAVPGYTLRDAQIQLAQAVDETIAS HSVLVAEAGTGTGKTWAYLIPAFLSGGKVLISTGTRTLQDQLFSKDVPRVRDALALPV QVALLKGRSNYVCHFHLDRLEQDERALKSRDEIAQLRQIRVFATRSRSGDKTDCGQVP EDADIWNRVTSTRENCLGQDCPNVKECFLLKARRQAQEADVVVINHALFFADLVLREE GITDLLPAADAVVFDEAHQLPDTATRFMGDVVYSGTITDFLKAAEVAALAYARDSAKW TDACQRVSYYVKDLRLAAAPIENMPGRKATFEQIPDADRFFEILNKLYDELVTLTGML TAVQERHPDLAAAAKSGTELCARLYRWSQPVILPEGVSRDSEQAAAAERAVPADPSKA VAGVAEQLVRWVEVGMHHFRLQMAPLSVADAFSRQRRKDQAWIFTSATLSLYKDFSHY TRQLGLYGARTEKWESPFDYQDHAMLYVPDHLPEPQSPDFQKQFVTTLIPLIQASPGG VLVLCTTLRAVDNLSALLLEAFDTHFIERPVLRQGESSRGTLLEQFRQLKNAVLVGSA SFWEGIDLPGEMLTLVAIDKLPFAPPDDPVLEARIKACREDGGNPFFEFQLPSAAIAL KQGAGRLIRTEKDWGLLVVGDRRLVEKPYGKLLWRGLPPFRRTRKLDEATDFLKSHAK DIAVPKDGDAAA MIM_RS07150 MHKRLLRTSLIALGCLAMAGCGSMMTREADRTAGMSAPQLYQEA RDNVRSNDYKTARTYLEAVEARYPYSSFAQQSMIDQAYVNWKDNEPEKAVAVIDRFLR LYPSHPGTDYMLYLKGLVTFTPPSSYLRSLTGQDPSERDPKGLRQSYNAFNELIQRFP ESRYSRDARQRLTWLVSTIADNEVNVAKYYYTRHAYVAAINRSQSVLKDFSGVAAAEP ALYIMMQSYQQLGMPDQANDAKRVLDQNYPNSKYYEQGLDGSGGWFSWLSPSRVFN MIM_RS07155 MSDPTLQDADEIPDNEQRQFRLPMTMIGERLDKVLATLIPEHSR SRLQGWIEEGHVLVNGVPGKIRQNVGADDLLVVTMQQAPEQTAFTPEPVDFMVVADSA DWIVVNKPAGLVTHPGAGNWSGTLLNGLLYRYPELAHVPRAGIVHRLDKDTDGLLVVA RNEAAQTHFVRQLQARTMGREYEALVMGHCPGSGTVTLPIGRDRHVPVRMSTVTPIAP KEAITHYERLAFGYIDEAPVSHVQCRLETGRTHQIRVHMHALGHPLLGDTLYNGKSLG QAQRQMLHARRLAFLDPATDQPRSFNAARPDDMEQVMAAIEWEAQ MIM_RS07160 MKPLNVTGQRWPGIRYFSTTRHGGVSLAPYDSNNLGLHVNDDPQ AVQQNRERLRALLPGEPVWLNQVHGTSVLDADSEPDPAGSGATAPFDASMTVTPGRVL AILTADCLPVVLASNTSDDGVKGIAAAHAGWRGLAAGVLENTVKKLHIACGKLPDYAW IGPAISYQVFEIGPQVREAFLDVDPTVAGCFMPHPVNAGKWLADLSGIAAHRLTALGV RQVEQSGLCTYRQDDLFYSYRRNPTTGRLATLIWIER MIM_RS07165 MTNETDQAWQGQADPAQDAAVPGQLQAEFLAKWQQIAAAGQQGT LPPLTDRRFSDPAWQESPQHLLMAHFYLLSANIMQKMVDQATVPDQVRERLRFAVEQW NDAMSPANYFLTNPDALKTFTDTNGESLQKGLLNLLGDLRRGQISQTDASTFEVGRNL ATTPGSVVFENPWFQLLQYAPQTPTVFRRPLLMVPPCINKYYILDLQAGNSLVEYAVS QGFTVFMVSWRNPTATDTDGIQKSSWDDYIEKGVLTAIDTVSQITGQPQINALGFCVG GTMLASALAVARARGDNPVASLTLLTTLLDFADTGILDVFVDEAHVAYREQTLGQGGM MTAKELATTFSFLRPNELVWNYVVSNYLKGKAPPAFDLLYWNSDGTNLPGPFFTWYFR NMYLENRLCRAGALKICTESVDLADLDMPAYVYGSKEDHIVPWNASFASARLLSGDVR YILGASGHIAGVINPPAKNKRNYWSGDTMQVQQADAGQWLEHAQSVPGSWWPDWANWL AGHSGRRLKARATQGNAAYPPLAPAPGHYVKVKA MIM_RS07170 MTQKIAYVTGGMGGIGTAICQRLHKDGFTVIAGCGPQRNAQQWI DEQAAQGYTFFASAGNVSDWESTVSAFDKVKAEHGPIDVLVNNAGITRDGVFRKMSID DWRAVMDTNLNSLFNVTKQVIEHMYEKRWGRIINISSVNGQKGQFGQTNYSTAKAGIH GFTMALAQEVASRGVTVNTVSPGYIGTDMVRAIRPEVLEQIVGTIPVRRLGTPEEIAS IVSWLASDESGFSTGADFSVNGGLHMG MIM_RS07175 MAKATETDTVRTIKKYPNRRLYDTQTSTYITLQDVRQLVLDNVR FQVLDAKSGEELTRSILLQIILEAESGGVPMFSSNMLAHIIRFYGHAMQGVMGSYLEK NMQAFVDIQDKLAEQSKGVYTPQFGAEAWSQFMNIQAPLLNNMMNNYVEQSKNMFVQM QEQMQNQTSNIFGAFPFNPAADNDKDKEDK MIM_RS07180 MQVELLHSLADLPDFDWRAWSDDNPFISPAFLRAMEETGCTSEE TGWQPCHLILYDHDRQPAGFMPMYLKTNSQGEFVFDMAWARAFSQYGLEYYPKLLCAA PFSPVTGPRLIARDIQARQVLARAAIEVARRLQVSSIHVLFPEKNDLALLRTAGYMVR ESVQFHWKNADYADFDAFLASLTYDKRKKIRQESRKVAQADITFEYKRGKQITADDLS FFFSCYCNTYYERGRHPYLSEAFFCQLLQHAPDSLLLVIAQRQGTPVACAMNMIGNNK MYGRYWGAHEYIPGLHFETCYMQGIRYCIAHGIALFEGGAQGEHKLSRGLLPQTTYSA HWIARPEFADAIHRFLDEETVHVQAYLDELHEHSPFRKQDEDGKQEATLPRPVPSRSE MIM_RS22820 MTTPVFDSDKRMPVTAVTGFLGSGKTRYINQLLQQPGMANTVVI VNEIGQLGIDQANWSFVQPATILLEGGCLCCQMQGSMSATLQRLFTDALARTIPRFDR IMVETSGLADPSGLRFTLHSDFFLKERFFYNGCIGIVDVVNANRQSAYVEWSRQLVQA DLVLLSRTDCVAQDQVPEVVQQISSLTDAPIMPIPSFFENDKALMMLSTLQGSLKPGA GFSNFLTRARDRATHTARQDVEQGTRQAAAHRAGRAPFHDQPQPQSQSQSMLRPIQTH STIRIITLAFDRPLRRSVFNRVLDAMLSDLGGQLIRAKALLRFVDDPDLYLFNIVHAQ RYPAQRLRASQAQDPTAMVLFLDDATGQASVPQADDFYRL MIM_RS07190 MNKDIAAGKWEQIKGSVKQTWGDLTDDDVAQINGSAQKLAGILQ EKYGRTKEEAERQVNDFWSRHNSDL MIM_RS07200 MTDAIDLDLHALVFDVFGTVVDWRSGVIRDVTEFARKHALKDID PAAFADTWRGHYSPSMEEVRSGRRPFTRLDVLHRESLEKTLTTFGVPIASLPEQALTE LNLTWHRLDPWADSVPGLSRLKSRYIIAPLSNGNIRLMLDMAKRAGLPWDAILGAETA QAYKPAPQAYLRTADILNLSPEQICMVAAHNSDLAAARLCGLRTAFIPRPTEHGDQQT KDLKPEQNWDVIATDMNDLAQQLGCTQN MIM_RS07205 MCRLKRTIPQVTFVTLACMLLFCRQAGAEQGEPLSTQLGHEAEA SGTGAVAIGWGAHALGKDSIAIGSKTQIIGPGTTFATNGGIAIGTGSQSRSAGDVNFG SRKLSGLRDATSDDEAVTMRQMKAAKAYTETVKSDLLRAIDSAGAPVLSEARAYSDLS KTQAIAAANTYSNTTKNEAIAAANAYSDAAMNDTLERGKHYAEQIIAASRAQYDTSLL SQARSYSDRESRKTLENAHLYSEEVGRSVLSSANAFTEMRSRQARDSAVDLSRQYTDR RVNQLQSQIKKFRRRANAGISGAMAMTTLTPPPANANTSFGMALATYRNQMALASGVS FRTGKNSNFRLNTSWDSAGGIGAAAGFNMAW MIM_RS07210 MKKMIAFLGLSIVFLAGCTARGPSVSVDPGGIYPYGYDGGGYHR GGGGRFCPPGQAKKGNC MIM_RS07215 MKHNKPQPTGRPRSIDREKLLGIAEKIVAQHGVAGLTMDALAKA ANITKGGVQYCFGNKEGLIKAMIMRWSDHFDAEVARAAGGKSEALAHVRAHIKVTKES DVQDESRFAAMLAGLIPNSDQLVETRAWYAKQLQGLDFSTEQGRNARLAFIANEGAFL LRSFNFFDLSPREWQAIFQDIERLVEPGDE MIM_RS07220 MTATHEQSRNRWLVLAIVSSALLLIVIDMTVLYTALPRLTHELQ ASASQKLWIINAYPLVVAGLLPGLGALGDRIGHKQLFLSGLLVFGLASVIAAFAPGAE VLIASRVLLAMGAAMMMPATLSIIRLTFEDDKERAFAIGIWAAVASGGAAFGPVVGGI LLEFFWWGSVFLINVPVVVLAFVVGARILKKHAGNKAQKWDLIGSAQIMVALIALTYA IKELGKPQADWMTCVITLLLGTGALVIFVRRQKRSAAPLIDFALFRNKQFLLGVVAAS VMSATLIGFELVFSQRLQLVSGYSPLQAGLLILPIPLAAFFAGPLTGSLQPRLGTARL LWLSLLVAGVGSLTYLFIFDGDVLWWSVALAVFGFGAGAAITGSSTAIINNAPASRAG MAASIEEVAYELGGAMGVTILGSIMSVIYTMKLVLPADTTLPGIVHDSLDQARLVAEQ LPPAQAERLLSLAFASFDDAFIGVIIGVTAMLFVTAGVVYGVSLRSAASAGHGLNPGH MIM_RS07225 MITVTRALHFDAGHRIPDHQSQCRNMHGHRYTLEITLSGPVSTV DGAPDRGMVLDFSDVKAIAKALIVDVWDHAFLVYSGDTVVRNFLDSLGDHKTVVLDRI PTAENLVRIAFDTLAAAYQAQYGDRLKLTHARLYETPNCWADCYAAT MIM_RS07230 MTYAVKEIFKTLQGEGAQAGRAAVFCRFAGCNLWSGREADRASA ACTFCDTDFVGTNGIGGGKFNTAAELAMTLGKTWGPDQASRYVVFTGGEPLLQLDTAL IEAVHAEGFEIAIETNGTLAAPAGIDWICVSPKGNNTLVQTRGDELKLVFRQSDALPE QFEALDFSHFFLQPMDGPDRLAATERAVQYCMDHPKWRLSVQTHKYIGIP MIM_RS07235 MQKRKLGNSNLEVSALGLGCMGLSHGYGPATDTSQAIALIRAAV DQGVTFFDTAEVYGPYLNEEVVGEALAPVRDKVVIATKFGFTFGDDNKQQILNSRPEH IRQAVEGSLRRLKTDVIDLLYQHRVDPNVPIEDVAGTVQTLIAEGKVKHFGLSEAGAQ TIRRAHAVQPVTALQSEYSLWWREPEQEILPTLKELCIGFVPFSPLGKGFLTGAIKAG TTFGSDDFRSIVPRFAPEAIQANQILVDVLDQIATDKGVTPAQIALAWLLAQHPWIVP IPGTTKLHRLQENLDAVQIDLSSADLKKIAQALAAIEIQGDRYPAALQARVGK MIM_RS07240 MTKNSYNDLLAFLAVAREQSFTRAAAQLGVSQSALSHLIRALEA RMGVRLLTRTTRSVSLTEAGERLMRNIAPRFQEITAELEAVRELRDQPAGTIRITATE NAAETVLWPRLAQVLPRHPLIKVEITAESRFVDLVEERYDMGVRLGDSLGRDMTSVRI GPDIRFTIVGSPAYLAQHPPPRRPQDLVDHDCINLRLMSHGELYAWELKKGKHAINVR VEGQLVFNRTAPILQAALAGFGLAYIPHEMAQSFLATGQLKAVLQDWGATFPGYYLYY PSRRQLSRAMSVLIDALRYPAQEH MIM_RS07245 MAMETFNDVLAFVHVAREGSFTKASAQLGVSPSALSHAVRGLET RLGVQLLTRTTRSIATTEAGERLFASVAPRFDDIDAEVAAVKDLRDKPVGSIRITSAE HAATTVLWPKLSKVMRDYPDIHVEIMVDYMLGDIVSQRFDAGVRMGDQIAKDMIAVRI GPDLRMAVVGTPRYFAKRTRPRSPQELTGHDCIRLRLATHGRILSWDFDKNGREIKCA VRGQWVFNNSSSICRATLAGYGLAYLPEDMVQEDIAAGRLVRVLEDWCSLYPGYHLYY PSRRQASGAMSVIVDALRYRV MIM_RS07250 MSHTYTEMDRKIRALTAVLAVSLGLMLTQSQTAANTEASPGTTQ QPNTDALSNKQQTIPLIAAFMASSDMPGLNAALNQGLDASLTISEAREILVQLYAYVG FPKSLNALGELLKVVEARKQRGIQDEPGREPERAIPVGEELLAAGTANQTKISGAPVK NAVTDFAPVINQYLQAHLFGAIFERDNLDWQSRELATVGALAATPGVEAQLLSHMRAS MRVGIGAAQLRQVTTILAERGDKQAAERARTALTQALAASGS MIM_RS07255 MMAVASLTACTAFHAGSNASSGPLVIQEQGSFAVGGKVASTPGA YDNDNPTSRGQTFHGDHLYAFYQVPRNPKPLPIVMLHGAYQSARSWETTSDGREGFQT IFLRRGFPVYLVDQPRRGRAGNSTVATAIEPTPYDQLFFDQFRLGKWPNYFENVQFDR KPETLDQFFRSVTPNTGPYDAGVISDAMAALFDKTGPGILFSHSQAGGPGWLTAIKNP NVKAIVALEPGSGFIFPEGEMPNDMPSAAGTLKPEVVSLTDFTKLTRIPIVIYYGDNF PVTPTTERGQDNWRVRLAMAKLWVDAINRHGGDARLVHLPDIGIKGNTHFLMSDLNNV QIADLVAKFLAEKHLD MIM_RS22060 MSDVLPPLLLINFREKMKSINNVFARPLRAATVAVSVLAGMLTV GSAFGQHLQDIARAESPLVLQSRGSFFVGGEQVERSATELGSFGPDDRISVNQMYVEY MVPDGKTKVPVVMVHGATLSGKTYDTTPDGRMGWYEYFVRKSHPVYVVDQIGRARSGF DQSVFNSVRAGKLSPDQQPSMLRLADRFGAWTNFRFGPEPGKPFPDTQFPIEAADKLS RQSVPDLRGASRAENPNWKGLADLALQLNGAVLLSHSQSGSYPLQSALINAKGIRGIV MIEPGTCNAQVHTGDEIAVLAKTPIFILFGDHLASPTGMPGPTWEDRFNDCKAFKKRV DAARGNIRLITTAETGVRGNSHMMMMDRNNLQIADLILEWIDEQAGR MIM_RS07265 MNRFTIHLLLTFLLAPSLLPETAIAQSPQRAAVQSADSKPAPES EPEKEDTMSSENPVVLFVGASGSVGRLAVAEAFRRGYKTKALVRDPAQARLFPEGVQI IVGELTRAETLREAVDGVTGIVFTHGVGGNDAKAAEAVNYGAVRNVLSVLKAPAHIAL MTAVGVTKPTVGHDWKRRGERLVRASGLPYTIVRPGWFDYNAPDQLRLVLRQGDTHWA GSPSDGVIARAQIAQVLVGSLTSPAANRKTFELVSEKGSAQSDLEPLFSALPADPANG IDGIRDRDNLSLANEPAVFTDDLEAIRGRFKD MIM_RS07270 MRKIVQIHPGEGKALAWSWLYVFSLFLAYYILRPIREELGVAGG VNNLPWLFTGTLIAMTVINPLFAWVVKRWPRERFIAIAYRFFMLNLVAFMLLLMNATP EQHVWIGRAFFIWVSVFNLFVISVFWSFMVDVFSGEQAKRVFGFLATGATVGGIAGSA LTSGLVERLGQTWLLLISIVLLEVAVFATRRLSGISDAFKRPIERREGHEPVGGGLLA GITHTFRSPYLLGIAIFILCYAVTSTILYFQQATIAEQYFADRAARTAFFADISLWVN CITLGVQLFLTGRIMQWLGVALTLCAMPMMSMLGFAGLATFPGIGLFVVFQVVRNVSN YALTRPAREVLFTAVSREDRYKTKNFIDTVVYRGGDQIAAWSYAGLLAIGLSLTGIAA IAVPLSAIWLILGVWLGRRQQRWESTGLVTVEGK MIM_RS07275 MQKRLYFSRIRMVLSPWCHTGYVKSRRFYSFYLVFLLLAGSVFS GHAIARQTAVAEKRAVGSADTKVLIVYLSRTRNTKAIAELIQQQTQGELVSIETQVPY PENYQATVDQVSRENKAGFLPKLKTQINNIAQYDVIFLGFPTWGMQMPPPMKSFIKQY GLSGKTVIPFNTNAGYGVGSGFDDVQRYCSGCTVLPGLQLKGGKERDGILFVMEGQRA IEAKAQLSQWLIKLGKDNALLNSVLSRQQLQAQ MIM_RS07280 MNTITLNNGVSMPILGFGVFQISDTAECERSVIDAIESGYRLID TAASYLNETQVGNAIKSAGIDRNELFITTKLWLQDTNYEGAKAQFQRSLNRLQMDYVD LYLIHQPFGDVHGAWRAMEELLAEGKVRAIGVSNFHPDRIADLIAFNKVNPAVNQIEV NPFNQQLHAVPWLQTKEVQPEAWAPFAEGRNNLFNHPTLIDIGKKYGKSVGQVVLRWL TQRGIIALAKSVRKERMQENIDIFDFELSDEDMNKITAMDTATSAFFSHRDPAMVEWL TGRKLDV MIM_RS07285 MTLSPKQSGQNKLQHSSWLFLAILGALMAFTSLSTDIYLPAMPQ MRRDLQGDVELTITGFLVGFAVAQLIWGPISDRIGRRIPLFIGMILFIVGSIGCALSQ NIEQIVFWRVFQALGACTGPMLARAIIRDLYARTKAAQMLSTLTIIMAIAPIVGPLLG GQIIRISSWHSIFWLLTVIGALMFFSLFLLPETHPAEKRARTSVFGAFGSYRKLLSNR QFMRYTLCIAFFYVSAYAFIAGSPFVYITYYGVGTQYYGALFAINLLGVIGLSFANRS LVKKIDLDILLRIATVIAMMAMIVLALLVWFKIGGIYSIVITVFIFFSMNAMIAASAT AAALDGVPELAGSASALIGSLQYGSGIISSILLARLSDGTPWTMAWIMAVFTIASAAM ALTSAGKGKR MIM_RS07290 MKIKRRALLLGATALPLSGHYPAVAQAVKRNGLRSEETGETRIK SGRNATVTGMMLDAIERRRFEQVPAAIIYESKRALVNWFGCVLGAAQSDPVNRAAQTV GSGTVPIYGTTQNAQAKDAAFLTCLSSAVYAFDDTHVPTITHPTGPIASVLLALAHSE HKLSGQQFLSALIAGIEFSCKLANALTRYAPEPNFGLYLTGVTGPIGAAAAGAIALGL DRASTGWAIGLAATQAAGLRATHGAMSGMVVPAFSASAGLQAVLLAQAGFTSTAEVIS GERGLIAAYAPGADWDAAFSGLGEDFEIRRVSYKPYPCGVVIHPLLNVLKSAALSDLT NTNVQGVEVRVSKRTKTLTDNAAPTDMFAAIVSAQHWVALAILGHPLGVNGLQQEQID DPEVSKMRKKIKLVSDDALEVTDCVITLQMNEHAPVTLHGNKVDQAEMTLNDVALKEK YMNQGRGTMDQFQLEKLLALLLNIEQSKDIGRDISAIFRPVS MIM_RS07295 MSSQKLKLNETQRALIGTVRDLARDKHWRTNSINYMDGTFPHEN LRELAKLGVMGMSVPEEYGGLALPVFDTALVLEEIAKYCYATAMGVMSSVGVQTRVIS KYAPESLKQKILPGVCTGEVNMAVGMTEPHAGTDVPNYKTNTVIKNDCAVVNGIKTLI SKVDEAEWFVVFTRINGTPGRDGIGCVLVNRNTPGFEVTARYHTMGGEFLGEIQFNDV QVPLENVILREGAFKKLLTAFNTQRCLNPSVSLGLAEAAFEEAINYVRDRTIRGQRVA DFQGIQWKLAEMYRDIEAGRALLYQAALSADPFPNPHQAAVAKMYNNEMAIRVSSEAL QVHGGYGFTDDYPISRIYRAVRYGTLGGGTVETLKDLVGKKLVSDFEPVDGFLSMGNF MIM_RS07300 MLIDLAQLRTFVGVAEEEHLTRAAERLHMSQSAASAHVRAIEEN LDIELFIRTNRSLKLTTAGELLLERARDLLNEANAFTSFARELRGKIEGALVVSSSSD PSISRVAQIVAAMHRMHPLVKIDLRARQSAGTRQELKTGELDVGVMLGPSLDPGLIHY ELTKITFRIVGPIDWKEQIENADWKALAAMPWIAPMDSSKAYSDMLAGLFETRGLKPN TAVRFDNSSLARSMLLSGMGLMLMREEHALEDEKSGILAIAPLGITQLPLFIAHQVCR KNDPLIVAFMEAARQVWPGIKPTVE MIM_RS07305 MSQEIRSVTSMQSPSQLLAQLRFADYIQPGDVIGWPQGPGEPLA LTQKLVEQRAALPPCALLFGLSVSNTLQPELSAHFQFHALNGAGTARKVTASAAIFPS HVSTIPRLFRSGKLRIDIALIQVVPVSAGKYSLGVIADFTQAMIDSARVVIAIINPAL PRLGADALVDAADIDVLVEGDDRILDMPDAQPSDVERQVARQVAALIPDRATVQLGVG TLPTAVAEALVDHRELGVHSGVVSDVLVSLVEKGVVSNAHKGLDAGLTVTGGLFGTQR LREFAAQHNLVSLRCADYTHSLATASALQCFHTVNSAIEIDLTGQVNAEVAGGRYLGA LGGHADFVRAGTLSPGGRSIIAIPSATADGKHSRLVASLGMRPVTTSRGDVDIVVTEY GVAHLQGCSLNERIRQLIAIAHPDHRETLEKQAQDIKKAQGFQ MIM_RS07310 MSNEIVVKNLGEHVFEIWMNRPDRMNALGRETVIEFLQAIRDVA ASPARVVLIRGSGRGFCAGADLKQRAQMTFHERLEHNAGINDVVNAIADARFVSIAVM NGVALGGGLELAMACDLRFAASGISLGLTESRVGAFPGAGGTQRLPRLVGVSRALHMM LSGEPVISDYALSIGLVNEVMPQDQLETRAHEFATLLAGRSAPAMAHIKRLVYRGVER PLEDALRMERAALPAILGSADYAEGLAAFAEKRPPRFTGVAE MIM_RS07315 MTNPKLQLTSEQRALVGTVRELARDKFQGRAMDYLDGTFPMQNM KDLADIGVLGMSIPEQYGGSDMSVFDTALVIEEVSKVCYVTAMALMGEVGVQVRVISN FAPESMKQDILPKVCTGEALLAVCMTEPHAGTDVPNYKTNTTIKGDRAVVNGVKTLIS RADEAEWFVVFSRIDNQPGRDGIGCVLVNRHTPGFEVTARYHTMGGENLAEIRFENCE VPVENVILREGGFKKLLSAFNTQRCLNPSVSLGLAEGAFEAAIKYVRERTIFGKTVGQ FQGIRWKLAEMYRDIEAGRSLLYRACLTANPFPNPHEAAIAKMFVNEMAIRVTSEALQ VHGGYGFTDEYPVSRFYRGVRYGTLGGGATETLKDLVGKKLMEDFDPVDGFLSQGTF MIM_RS07320 MRSLLFVPGHDERKLTKGLGCGADALILDLEDAVPPAQKTRARE VTAAFVAAHRDLPLFVRVNSLESGLLHDDLAAVIGAKPYGIMLPKCEGGQDLVKLEDC LADLEAKENIEIGTTRILPVVTESAAAVLNLSSYPRQENGRLWGMLWGGEDLATDMGV PANRSETGQYTALFQMVRSMTLLTAAAARVTAVDAVYTNFRDAQGLRAEAEQARRDGF MAKAAIHPDQIAIINEVFQVSSTELHHARQVVAAFEAEPQAGSVSIEGRMYDRPHLLA ACRLLKMATGEIE MIM_RS07325 MQKEQNKLPLDGIVVIDLSHVYNGPYATFLMAMAGAQVIKVEPL HGEHLRSRGDMGGADLPFAMLNSNKQPVTLNLKEEAGRNLLIELVQRADILVENFAPG VMERLGVGAEQLHKINPRLIYGSSSGYGKSGPYRDYPAMDLVMQAMCGVIDSTGYPDQ PPVKSGAAICDFMAGIHLYGAIMTALYERSRTGVGRVVEVSMQDATFASLASNLGMLH ARGDAAPARTGNRHGGLGISPYNVYPTQDGYVVLNAPGDRHFQAILDVIGRPELKDDP RFVNRSARVAHTSDVDHLLESWTQHLKKDDVAERMLKAKVPCAPVRKLQEVLHDKNMH ARGSLQTIDHPLLGRVVLPGSPLVFEGVERRAIEPSLPLGASNDQIFGEWLGHSSEEL ASLRAQGVI MIM_RS07330 MPLPAPYPREILHSRAIQMDGYLRADGLVDIDLHMTDRKPDEVV FADGRCLAAGDLLHDMALRLVVDQNLRIVDAIACIDASPYRVCPEATEVVRDVIGFTI GPGWSAMLKERFHGRKGCTHLTELLRPVATVAIQSLWRLRKGRAEPTDSGGRPQKIDS CYAYAASREVVKMRWPAHFREDDTD MIM_RS07335 MIKFFKVLLLTASCGLPAFAQAAGFPQRPVEVVITSTPGSTSDV LTRLIGKDFAETTGQPLVVVSKASASGLVGSSQVARARPDGYTLLLGGNTTMAANVHL FKSLPYDPLKDLAPVTLATVNPLLLVVRSDLPVKSVQDLIDYAKKHPGELNYGAGNSG GKVSVALLKSLAGIDATEVPYKGASQAALDLVAGRLQFMIVDPVVVDSFVKEGKLRPL AVTTKERLDSMPDLPTMEEAGVKGYEYASWLGYFAPRGTPDEVITSLHDALVKALNSK EATDYFERMGMIRKTTTPTDLTTFVQDQIATWGKLVNIADLQPQ MIM_RS07340 MDLMLEGRRCLVTGVSAGIGAAIAEGLAREGAIVVATARREDKL EQWADAMQAQGLRRPLIVAGDITDARQVGRIACQAAQLAGPIEVLVNCAGGSRPVTLE AGEDAWDEAMALNFTAGRRLAEQVLPGMREAGWGRIINITGLMEPHFLNAALAAKAAL HLWAKGLSRDLAKEGITINSIAPGRIESEQVRRLYPESERAAFIERYIPVGYFGVPGD LACLAVFLASPLARYITGTLIPVDGGMSHKGA MIM_RS07345 MGDTEQPEAAANMTPMIDASDDQTNAGCRLMYTEQTRGLAPIPP AEQGLPTAVAEPFFQVSKGLSALEGPAFDRNGNLMFVDVYDGNVMKLTPEGQLSTLYN DKALCPAGVAIHRDGRIFLGGIGNFAAGAIVSIEPDGSNPQVIVPPAAGYVVDDLVFD SKGGIYFTDFKGSSTEPCGGVYYVTPDSALTLPVIPKMAAANGVALSPDGSVLWATEF CNSRLLRVELATPVLPKPFGTSVPYRFTGRAPDSMRTDANGNVYVAMYLQARVLVFNP FGIPIGQIVLPGRENNHFLKLTSMAFRPGSREMVIVARDEIGGNGSMIFRAEGLAEGT RMYSHQ MIM_RS07350 MRMLAAVMYTQGLPTPYEHSQPFRIEEVDLEGPADDEVLVEIRA AGLCHSDLSQVAGLRKRKVPVVGGHEGAGIVREVGRNVNTLKEGDHVVMVGVSDCGHC QMCIENRPVLCNSVAWSRSQGLLPNGNRKLSRQGMPLNHYSGVSSFAQYAVTMPQTLI KVDPAVPLDIVAMFGCAVVTGAGSVFNAAQVRPGQNVVVFGLGGVGLNAVMAAKLSNA DRIIGVDIHESKFALARELGCTDCVLATDPDIVPRIKELTQGGVDFAFEVSGSKPAMA TAVAVTRKGGEIIGVGLGASGDLYSYDHAQLVAEEKVIRGSFLGSGVPARDLPLYVDY FQTGRLPVDRLKSGTMGFEQLNKNLDMLDKGDVVRQILLPHGV MIM_RS07355 MKHNNILRAAVMALTLCATPTWAAAGEPIKIGVIAATTGGGAPW GLAAVQAVKIKANEVNAEGGLQVNGERHKVEVIAYDDKYRAADAVSAYNRLVRQDGAK FVYIMGSAGTLAVKNQVEDDQVIGLTTSFSAKAIDANTKFMYRVFSVSANYIPSLIDW LKENYKERRVFLANPNDETGWDQDQLTSRLFAEKGYDLVGRDLYERNQKDFQPLFTKI MATNPEIIDLGSTSPATAGIMIRQARDIGFKGRFLKTGGSGPRDIIAGAGKEAAEGMI STLYADPNNAGYRHLADEYKKVYGQEPNEILISYYDATSILMRAIQKAGDINDTTKMA QSFASVLPMDSAQGGSITLGGKQMWGVDNQFITPMYIAAIRDGEAVVVGKAK MIM_RS07360 MIEQIIVNGVLASLIYILMALGFTLIFGIMRIVNFAHGEFYMMG AMAMLMLFGFMGLPYFLAVLLAGVLSGLLGVIAERLLFRPLVADELAGMIMSLAIGII LQSVILLTFGPAEQSVTRPFSGTWRLFSAAVPWDRTVVAVCALVILGVFYLFMKYARL GLAMQAVAQDPETASLVGIEPGTVYASAFGLACLMAGLAGALMAPIYTVGPYMGELPM LKAFVVVILGGLGSLPGAVLGGLLIGISESVVTTLFSSTLALIVSFILVLIIVVLRPA GLMGRSVR MIM_RS07365 MRNRYIYLILVVLAVVPWLLDNRYVFHIATMITIMIPMALSMNL MLKIGQLSIAQPAFMGIGAYSSALLVMRLGLPPALALLTGAAIATIIAALVGPLFLRI RGVYFVLLTYAFGQIVNLVFQEWTSLFGGNNGLYGIPKFSIFGLRLTQSPHYYALGLL FLVLFYALMRFIERSDMGAIYESLNENEMLSRALGSDALSWRIGTFALSAFIAGISGG IYAFYIGFLSPETFGFLMSVDLIVVNAIGGVASALGPVVGAILILPLPELLRDAREYQ LLIYGICLVVFLLFFRKGLVVFLQRKGRA MIM_RS07370 MMTDVLLSLREVSRRFGGLSAVSGVSADILSGEIFGIIGPNGAG KTTLFNVVAGYFAPSSGTVFFDGANISGKSSDKIARLGIGRTFQAVTVFQEHTAKENL RRAAMVSRRYNPLVYFGTRNAGVEPDVLEQMARFTGLQHALDSRAGSLSYGQQKMLGI GMALMGQPRLLLMDEPAAGLHTSEKKEAAQLIRRLRDELGITVVLVEHDMPLVMGVCD RILVINRGVPLALGAPDQIKSDRAVIDAYLGEEYDFA MIM_RS07375 MTLLEVRNLSVSYGGVAALSGVSVSVPEKGVVAVVGANGAGKST LLKSIAGVVHTRNGQIVLEGSDIVSLKPHERLDRGLALCPEGRRLFPEMTVFDNIKIG AYRNHNSGQFRQRLDMLYDIFPRIAERRHQVASSLSGGEQQMVAIARALISQPRILML DEPTLGLAPKMILEVARLVQTVSAEGIAVVMVEQNAKLALRISNYGYVLETGELRLEG ASAELMKSDHVVRAYLGG MIM_RS07380 MAIQRRTLLLAAAGMPLARSWAAPSSFPSRPIRLVISFPPGSTS DMLARHLGEKLSSALGQPVVVESKPGAQGVIAARAVIHAAADGHTLFLGTNSSHAANV YLIRNLGYDPIGDFSPIGQITTNPLLLVVNADRPVNSLGELVSYARAHPGQLNYGTGN SGSLVAAQLLKSQTGIQAQAVNYPGMPQATTDLVAGRLDFMMVDPLVIQPFVASGQVR ILGLTSPQRLDAMPKVAPLSELGVPGYRYESWSGLFGPAGLPADVTEKLSAVLAAAVN SNTTKAYFSRLGVIATASDPQHFGQFVREQIVLWRTLSRDAGLAVN MIM_RS07385 MIARRLGESVSRQLGQPIVVENRPGAQGVVASRALTKAANDGYT LLLGTNSTHAASIYLFSKPGYDPVKDFTPIMRFTTNPLVLCVRADSNIDSLKAFIEHG KTHSGQMNYGAGNTGSLVATHLLTTQAGFDAQAVNYAGNAVAVNDFLAGRLDFMVTDP MIIKQFVESGQVRMLGLTSRKKLPLFPELAPIADLGLPDYEYASWIGLFGPAQLPADI TQKLYAAFSDALASQEAQQYLNSIGMIAADLPPATFGDFVKDQIQVWGHLTSAAGLVA Q MIM_RS07390 MLKEQQNRVAGTIDTALFINNVAQAGEGESIRVVNPATEELVAD FAGASIDQVDAAVVSAVNAFEQERWKDPQFRKDVLLRLADLIEENRDMLMDTLIQEIG TPINLTANHIDTPVAFLRWFAQQAVIDRTRHLGFNSSRTAVSTVVYRPVGVVAAITAF NYPLLIGLTKIGAALAAGCTAVLLSSPQAPLAVLQLGKLIRLAGFPAGVINILAGGAQ VGERLTEHPGVAKVSFTGSVNVGRRVMQQAASGLRSVVLELGGKSAAILLPGVDFNKY AYSLHARYARNAGQGCGSPTRILVEASRYQEFAEISRQVYGRLKVGDPRKADTLLGPV INAAQRNRIEDGVKTAVQNGAEVLAGGGRPDIEKGWYVNPVLVGSLDNKAALAREEIF GPVSVVLTYKDVDEAIAIANDSPLGLKAYVFGATQECLQIVPKLHVGTVQINGGSPLR PDAPMTGYKHSGVGSEWGEDGLREFLRPQHIDCPLA MIM_RS07395 MTSVLTHENPKSSAIAENDQADFSSVLADFICNLDPQSLPLPVR QAAQANIQDTLTCAVAGSSAQGVAQVRELVSEWGGAPQASVLVFGDRIPAHHAAWING MMAHARDYDDTHDAAVLHAGVSVVPAALAAAQLRGGVSGAQLIAGVAVGLETICRLGT ATTVGIVESGFMYTPLFGHFAATAAAAKILGLNRNQIINALGISYSQVAGNHQVTRDA ALTKRMQPGFAAMAGIVSVQLAQKGIRGVQATFEGIDGFFRVYLQNRYDPDLLRNSLG ERYIFTDLSYKPYPCCRFNHCGIEAALELREQLGERVADIVRIRAGLNRQAYQAVCTP IDVRRSPQTVVQAQFSIPYTVATALVDGYVGLAHFGSDLHSRQDIIDLAQKVEPYVDD EIERSHGRNVSPVDLEIEFADGSTLRRRIDTPLGHPDRPMSAGAVATKANDCFKSSAC SLAVHAPQALSEMVGSLDSVADIEDLLRIVMQTS MIM_RS07400 MNKIAPAGARGNRRVFLALTAATIVLPLLFGAPAHAAWPDQPIK IVVPFAPGGSNDIMARLIASKLGPRMSTTVVVENKGGSGGTIGTEYVVRSKPDGYTLL FASTSITTNLATSKVLRYDLEKDLEPIGTIATSPFAVVVSNDLPAKTFKEFVDLAHDK PGSINYGSAGVGGINHLGTALLAHEAGISLTHIPYKGISLAFTDLAGGELQMLLPTVA SSVQQIRDGRMRGLAVTGAKRSPLLPDLPTVSEAGLPGFELEAWFGLLGPAGMPPAVV ERLNHELNAVLESDDVRKMLEHEGATPTPGGPQVLKKLVSSEIVRWKNLIKDANIKVQ MIM_RS07405 MTPGIDEQSPMSDSSNAPQIIPLIIGNERRETRSRHEVRDPYRG DIVAYAPISSESDCDAALGAAYRAKVAMSAMPGYERAALLRKAAQNVEAIAEEAARAM TRESGKALKDSLTEVQRSADTLRLFAEEAVRIQGEHVPMDATAISAGKLGMLLRFPVG VVAAITPFNAPVNLTAHKLGPALAAGNSIVLKPSPKAPLSFHLFVEAVAAAGFPAGTI NTVYGDEAAAQIVGDPRVDFVSFTGSVAVGKLIRNAVGMKRVTLELGGIGPTFVHRDA DMEAAARACARNAVAVTGQSCVSVQNVLVHQDLYSVFTEAVCRELDTIRFGDPMDMNT DVGTLIDEAAAIRVQAMIDDATGQGAEVLRGGARKGAQLPGTILVNTKETMDVIGKEI FGPAMSIRPYRDIEPHFAAISESPYGLQCGIFTNSLDLALSAVRRIRTGGVIVNGTSR WRSDQMPYGGVKDSGMGREGSRYSTQDMTEERFVVFN MIM_RS07410 MKMTAAVMYEQGLPTPYAESGPFRIEEVDLEGPGEGEVLVEVRG AGLCHSDLSQVAGIRKRTLPVVGGHEGAGIVREVGKGVTQVKEGDHVVMTVVAGCGCC RPCIESRPVLCNGITASRAQGLLANGMRRISRNGEPIYHYSGVSSFAQYAITLPGSLI KVDPAVPLDVAAMFGCAVVTGAGSVFNAAQVRPGQNVAVFGLGGVGLNAVMAARISGA SEIIGIDINESKFSLARELGCTHTLSATSADLVTQVKDLTNGGVDFAFEVSGSKAAMQ SAVALTRKGGQVVCVGLGAFGDMYQYDHASLVADEKVFRGSFMGSCVPERDLPRYLKF FQDGKMPVDKLKSGTMCFDQLNHNLDLLDRGEVVRQVLLPHG MIM_RS07415 MAIVDLNIEDNTRSMEIDQADYGGDILRINIDTFGSLGSIADNI PHRDLTVSPGHHLFFDGNLVPAMALVNNISIVQLVDMVSFEYFHIELEQFDILLAEGV PAESYVDTGNRKMFQNAHEVEMNPDFGPAKGRPDIPGMTVVRKGPVLEAIRARLLERA NWMQMPETVKRVG MIM_RS07420 MLKLKDVLENRQIVIYFLSVALAALCVYFVPGTHVLEQGINPAL AFMLFVTFLQVPLGQLQRAFMHGRFVAALLISNFLVIPLFVAVLAQFLPADPHVRLGV LLVLLTPCIDYVVTFSHLGRADARLLLASTPTLLLAQMLLLPLYLSLFLGDEGRQLVQ VGPFIEAFVWLVAVPLALAAIVQRWAGKSKAGQKAEEILGLLPVPATALVLAIVVLAI LPQLGPASGAAISVVPVYIAFAIVAPLLGWGVSRMFRVEPAAGRAVAFSAGTRNSLVV LPLALSVPGAIPVVPAIIVTQTLVELLSELVYIRYVPRLNHKCEDASQSVLK MIM_RS07425 MNNILSIDGYKAVISYDPETDMFRGEFIDLNGGADFYASDVITL KNEAQTSLRIFLEECKKRGIEPKKQFSGKFVLRVSPETHHAAALAAQASGISLNQWAA NVIAEAARL MIM_RS07430 MRMHNPAHPGMVLREYLGQTSVTEAAQRLGVTRAALSRILNGKA AISADMAVRLSILLGTSSNLWAGMQSDYDLWQAEQQRHDFVVPLTQALA MIM_RS22445 MDQNLSRKILETALLCAEEPMKIGDLRKLFSDLDEVENDVIKQQ LQVLQDEWAEKGLELSELASGWRFQSRPEMQKYLERLNPEKPPKYSRAVMETLAIIAW RQPVTRGDIEDIRGVTVSSQIIKTLEERGWIDVLGHRDAPGRPALLGTTKQFLDDLGL KALDDLPVLESGEAGMPDLSGLDMNITVADQPAQEQVESQIVSDESASDESVPDVTGA DQDNSVPPERASVESDMAEADTENADDQTAADSSEQSGVAGLTDDASERVDEQSAEVD EQSAEISDVSDEDRIDAVGQEPAAGAQAQNTVNAQEHVAHSDVTEEMAAADETASADQ DVPAGFSPDSTSQNEQPDDHDVDPTDDISSDREASDNQNDDLKNKT MIM_RS07445 MNSSDHVDAENNSNDTRSNRSNEYDNESVTRVITIQGADQTAAA PEADGRQKGKGRKLRTPFRRRRADAVMEKTEQPEVPTEVSAAPAKKAQTRPRRIPGAR GSGGKGRAPQARSERPEQVSSPFTPAQDERDADQALAYLDGTARLEQKLNKILNSDAV NPKLHKVLADAGVGSRRDMEELIVAGRVSVNGEPAHIGQRVGPQDQVRVNGQLVTRPN AKRPPRVILYHKPAGEIVSHDDPEGRASVFSRLPKMRTGKWISVGRLDLNTEGLLIFT TSGDLAHRFMHPRFGNEREYAVRVLGEMTDAQRESLVTGIVLDDGAASFTSMEFIGGE GSNRWYRVTLQEGRNREVRRMFEAVGVTVSRLIRTRFGDIVLPRNLKRGRWEELEPTL VSALMIQTGLVKEEDSSDHRRPRQPISHDNAMPPGFEAPVRVRTHAPGGRTGNGRSNN GNGRAGATTSGTGAPRHGRAGTGLYNNRRQSGPAEGRGEGRNGARHADKGEQPRHGKN GGRGTNAGGRSNARQASRNRDEWQPSGPSAHESQLGFIGRNRNGR MIM_RS07450 MTDLYTLTEQAIAGLGVELVDVERAALGLLRVTIDREGGVTIED CEQVSRQLSRVFEVENIEYKRLEVGSPGVDRPLRTRRDFDRFAAQQARVEVKLREPRD NRKVFTGILRHKESSDGTTTETFCLEFDIKKNETQTIEFAFDDIEKAKLDPVLDFKGK KR MIM_RS07455 MSREILLLVDALAREKNVSREVVFGALENALASAMKKRFKDDAD IRVVIDRSNGSHEGYRRWLVVPDDAGLQEPDRQELLSEAQEIKPGVQEGEYLEEPLEP IEFGRIGAQAAKQAIIQKIRDAEREQVLNDFLDRGETIISGTIKRLDKGDAIIETGKI EARLPRSEMIPKENLRVGDRVRAWVAKVDRTARGQQVLLSRTAPEFIRELFENEVPEI EQGLLEIKAAARDPGLRAKIAVVAYDKRIDPIGTCVGMRGSRVTAVRNELGGEQVDIV LWADEPAEFVIGALAPAAVESIVVDEDKHAMDVVVDAENLPKAIGSRGQNVRLASDLT GWQINIMTPEENQNRQEEERSGQRAMFMDRLDVDEQVADILIDEGFTGLEEVAYVPIQ ELLEIDGFDEETVNELRNRARTALLTEAIAQEERVETAQDLLDLEGMTPELVTALGDA GVATLDDLAELATDELAEITGMTDEQASEIIMRARAHWFDDEEK MIM_RS23155 MTKKSDCGVALSGVYRGMVGHHAGDAHGASGFVDPALNNEVHTL LSGHQPVIETL MIM_RS07460 MPSNTVNQFAVELKMPANVLLEQLRSAGVNLKSVEDVVTESDKA KLLDSLRRAHGAKDGKKITVTRRETSEIRQADGSGRSRTIQVEVRKKRVFVKRDVTEA RPETADAPEANETLAAAGTEPAAAVADARAGTAAPVDAQAATAPVAEPAAAAPEAAAQ SESAQPAAGEKVQESQEKQKQKAEPVAAQAPVERAPEPTPEPVVAKPVQAAEPTPAPG TPETAKPAAAQAPAAAAAAPAAVEKAPAATEARAAENKVQQAKPEQPVRNEQTRSRQP DASRTATPARKPVATRNEAADARRDEARRQAEAEAAALREMLNKPRKVLRATPAAEGA EATKKAGKKDVKTLATTGAHKAGETDKKWSDEQSRGKKAVAEKRAGPAGKEDGWRSAG GRGGSRGRNKHQHVQVHQPSTPEFIAREVHVPETITVADLAHKMSVKAAEVIKELMKL GQMVTINQVLDQETAMIVVEELGHHAIAAKLDDPEAFLDIGDTGADAELLPRAPVVTV MGHVDHGKTSLLDYIRRAKVASGEAGGITQHIGAYHVQTEGGMVTFLDTPGHEAFTAM RARGAKATDIVILVVAADDGVMPQTKEAIHHAKAAGVPMVVAVNKIDKPEANPERVKQ ELVTEEVVPEEYGGDVPFVPVSAKTGKGIDELLENVLLQAEILELKAPVDAPAKGIVI EARLDKGRGPVATILVQSGTLNRGDALLAGASFGRVRAMLDENGKPITKAGPAIPVEI QGLTEVPQAGDEVLVLADERKAREIALFRQGKFRDVKLARQQAAKLESMFDNIGEGMQ TLSLIVKTDVQGSQEALVQSLTKLSTDEVRVQVVHAAVGGISESDINLAIASNAVVIG FNVRADQSSKKLAETNDIDVRYYNIIYDAVDDVRNAMSGMLAPEEREEVIGMVEIREV YSISRIGKVAGCMVTEGLVRRDSQVRLLRNNVVHWTGSLDSLKRFKDDVKEVKSGFDC GITLRGNSDIEVGDQLEIFEIKEIARTL MIM_RS07465 MNRHKSSGANRNVRLAEQIQKDLAVLIQRELDISRSGLITLTGV DLSADYAHAKVWFTVLGAEPETAAALLNEKAGWLHSLLFKMLHIHTVPTLRFFHDDQL ARGIEMTQLIDRANRPEDYPESVDPLPGNKDDHK MIM_RS07470 MARKRGQDIDGVLLLDKQFGYSSNQSLQRVRRMLDAKKGGHTGT LDPFATGLLICCFGKATKICGTMLDADKSYIATLKFGTETDSGDLTGTVVQQAQSVAD LVTREKIDMVLPQFRGPILQIPPMHSALKRDGRPLYEYAREGIVLEREPRSVVIHDLQ VQALDETSMVLAVDCSKGTYIRTLAQDIGRALGCFAHLTALRRTRVGPFDLAGATTID QLEQMENRLTPLIPLNEIPDGLLPSKIRQKEDTL MIM_RS07475 MSRALRNVAIIAHVDHGKTTLVDQLLRQSGTFRDNQQVAERVMD SNDIEKERGITILSKNCAVEYEGTHINIIDTPGHADFGGEVERVLSMVDGVLLLVDAV EGPMPQTRFVTKKALALGLKPIVVVNKIDRPGARPDFAIDTTFDLFDKLGATEEQLDF PIVYASGLSGYAGLTDDVRDGDMRPLFDAILQHVPQREDDKEAPLQLQIISLDYNSYV GKIGVGRINRGRIRPGQEVAIRFGEDGEQSKGRVNQVLKFKGLEREQVDSAEAGDIVL INGIEEIGIGCTLCDPNNPEPLPMLKIDEPTLNMNFMVNTSPLAGREGKFVTSRQIRD RLNLELKSNVALRVRDTGDDTVFEVSGRGELHLTILIENMRREGFELAVSRPRVVYKE IDGVRHEPMELLTVDVEDDHQGGVMEELGRRKGELLDMQSDGRGRTRMEYRIPARGLI GFQSEFLSMTRGTGLMSHIFDDYAPVREGSIGERRNGVLISQDNGDAVAYALWKLQDR GRMFVSPGEALYEGMIIGIHSRDNDLVVNPIKGKQLTNVRASGTDEAVRLVPPIKLNL EYAVEFIDEDELVEVTPKNIRLRKRHLLEHERKRAAREGN MIM_RS07480 MATLIKLLLIVLILWWIGRFFSPALHRLWTGSIGACFVWIRQNG SLMMRWIVIASVILAALIIYQWQ MIM_RS07485 MAELPNAGFSDQFRVTNGKKFSLKKCSTDPQAEPDKKDAEKKLQ ELVASIADLQNVLYADNRWSLLLIFQAMDAAGKDSTIKNLLSGVNPQGCEVYAFKRPS EEELSHDFLWRTTKCLPQRGNIGVFNRSYYEETLVVRVHPGILDGQHLPKACRTENIW DERFEDIRHFEKHMDRSGTKVLKFFLHISLDEQKKRFLERLDNPAKNWKFESADLEER KFWKEYMVAYEETIKNTASDAAPWYVIPGNDKPYARLAVADAVLQTLQSLDLTYPKLE KDEQKNLAGYKTQLEQEQKKQSD MIM_RS07490 MWLQNYTAVGGSLAYTALLAVLPIVFFFLALTVLKLKGHIAGLL TLLIAILVAIFAYQMPATLAVASAIYGFAYGVWPISWIIITAVFLYKITVKTGQFEII RASVTSLTADQRLQMLLVGFCFGAFLEGAAGFGAPVAITAALLVGLGFNPLYAAGLCL IANTAPVAFGAMGIPVIVAGTVSGLDPFHVGQIAGRQLPILSVIVPIWLVAMMDGMRG VRQTLPAVLVGGISFAVTQFLTSNFIGPELPDITSALVSLVCLSLFLKVWHPKEIFTF DGMRPYEPNREASGYTAAQIIRAWMPFVFLTIFVSLWTFDGVKSILKAGTFSFPVPML HNAVGKVAPVVADTTPYEAMFKLDLLGATGSAILLAAILSVFFLKMKPGQAVKLFGET LYELRYPVLSIGAVLGFAFVANYSGLSTTLALVLASSGAAFPFFAPFLGWLGVFLTGS DTSSNALFASLQANTAHQIGVDPHLLVAVNTTGGVTGKMISPQSIAVASAAVGLTGRE SELFRFTVRHSIVFCTFVGVLTLLMAYVFPWTLHLFE MIM_RS23160 MTDSNKSQRIRTRIVNRSFDFERASLALFRQLKLSATQSPRMGL ASEWKDPPRVRW MIM_RS07495 MSAIQLQNLIKHYDSREVLHGIDLDVEDGEFVALVGPSGCGKST LLRTIAGLESLSGGTITLGRRVLNDVAPKDRDMAMVFQNYALYPHLTVRDNLGFALKI KGVDRQTRYAQVTQVAATLGLEQQLDRYPRHLSGGQRQRVAMGRAIVRRPAAFLFDEP LSNLDAKLRIQMRTEIKALHQKLRTTTIYVTHDQVEAMTMADRIVVLRDGRIEQIGTP LQLYDRPINAFVAGFIGSPSMNLIRGHLHIDDTVSYVRTDEGFELPIQNMSANARQAQ PVIYGIRPEHVRIVPEADLNAQVVVVEPTGSETHVAAKLGNNDILISQRERFGQLVGS EISIAPLTEQAHLFDADTGQRLN MIM_RS07500 MTQSKTLEPLEPYRPALAEQRFTASGPRYWAQGLTTCAQVLRRH ARAITAVILMIVIMLPVIWTIHLAFKPALDIFDTALGFVPTLENFQSLLADGSFLIAL GNSLLVSLLSTFFSMLLGVPAAYVLTRWRFKARKQIALWILVTRMAPPIAFTIPFFLA FRWLGLQDTLAGLALIYMTFNLAIVIWLMQSFFASVPASLEEAAWLDGCGVWSAFWRI TLPLSAPGLASTAILCFIFAWSDFFYALVLTRTQAVTATVAIVNFLQYEGWEWGKISA AGTLVMLPVLLFMVVIRRYLVHGLTAGGIKD MIM_RS07505 MKSPLPDNASGKSVSWEQARNRAFLSGLLPALAVLLVITLAPAL ALVVTSFTPLSLVDPEASFNFSDPLINFRQLMQDERFLGSVVTQCQLSVLTVAMQLVT GTGLALLLNGKSSILQYARSVFLIPMLIPPVVVALIWKIIYSPDISPMHRLFELSGWT IEALTTNPHTALLAIAVADTWQWFPFTLLMVLASLHMLPADPVEAAKMDGASRLQVLR YIVLPHIRPVLVVCGLFRLIDSFKAFPLIYILTDGGPGNVTEVSNYYGFTQAFNFSYW GYGSAIAVLILAGVFVLSLLVTRFPRSSHDTVKNT MIM_RS07510 MNNNPHTYRRNRRSALRKMAAAGLSLSLPHWAIASGKKPLDGVT LNVSTFSAAYPILLRQWIGEFEALTGAKINFDTPSFPVYNQRTDLELSTKGSAYDVVN VTFIYSSRWINSGWLTPLDDYIADPNHTPADWDINDFVKGARDAETGRDGKLYGVPWT SEALLHVSSRFDLVREAGLDFPDTTDDLVKVLRAVNKKDKVAGFVADNHYGWTFVPYL HAFGADVFRHVPDDLMPTLTTPEAVAAVEYYAGLIREFGPNGAVSYTPDQVTLALKQG RVNFSDLGQLNLAQLGDPASSRTVHTVKFGLAPKGPAGRFPGTSVHGLGIPAGSKNKE AAWAFIQWALSKETTAKAIAAGYGSPARLSDIKSETFRKRQLINGSDLAQLALDSIEM ASASGHMKYRTVSVYPQIDQQINKVIPLVVTGQLSARQALEQAQTQSVAQLRRSGIKL S MIM_RS07515 MSTHQTENLEPSYQSFTVRRAAGNIGAEIEGLRISGDLPQSVID EIEQVLLTHRVVFFRGQDHLDDRTHQAFGARFGTTEAHPTVPSREGTRLFELDASKGG GRADSWHTDVTFKSHYPKICILRAVTVPAYGGDTVWANTVRAYEKLPPELKSLAEQLW AVHSNDYDYAKDRQELDKARLEHHKKVFISDLYEAEHPVVHVHPVTGERALLLGHFIK SLHNFSTTESARLFELLQNRVTRLDNTVRWQWQQHDVAMWDNRATQHYAVNDYGNQPR VVRRVTVQGGTATSIQGQTSRTRTAPQFSEPGQEDTRVQAGAAAASGRSQEPALA MIM_RS07520 MQIQFRPFHPDDTEHIVALWQACGLTRPWNDPRKDIERKLQEEP ELFIVAESEGQLLGSVMAGYDGHRGWIYYLSVRPTHQSQGVGKSLVLQAEQKLLARGC PKIQLMIRSENSSVQAFYSALGYESADVVVLGKRLIEDQ MIM_RS07525 MQAIARLSQFVGKTFVIWVLLFAILAFFNPAGYTWLGKYIVPLL GIIMFGMGLTISKNDFAEVFKRPGTVAIGVLGQFIIMPGLAWLLATGLNLSPEVAVGV ILVGCCPGGTASNVMTFLARGDVALSVAVTSVTTLLAPIVTPGLIYLMASQWLDVSAA AMFWSIIQVVILPIGLGLIAQMLLKEKVQAGVAVLPLVSVVAIVAIVAAVVAGNQEKI ASSGLEIFAVVILHNGLGLLLGYWLAKLSGLSVAQRKTLSIEVGMQNSGLGVALATAH FSPAAAVPSAIFSVWHNISGPLIATLYQRFKNDDAVANRSDSTKH MIM_RS07530 MYSLEVNNHIERINQIVHHGVMVPDIDPAVASSWGRCVNDYGLD PESSRLPPVLTHAERIARSEQKRVLITEAKHEMNILYQQLADPELAVVLVDTDGCILH MVAADHLENDLSGLGLRLGAIWSEEEVGTNGMGTCLVVGEPIAIRQTDHFLFKHILLT CSAVPVMDHTGKTIAVLDVTSRSSLLQQHSLVLIGMTARMIENRLLTVNCKHAHPVHF HSRPESINTVHDGKLMVEEDGTIVAANQSALFQLGFPSMQELRRYRFDEIFQLTLESL LQRSMQSSFHPVPIYRAGASSRFFAVAQLPPAGSTTLTFHTAPSKPASLPAQEKAPES ANSPARTSRQGSTPCVELGDRFLREQFELAQRVVSKGVPILLYGETGSGKEVLSRAVH DRSPRRDGPFVAVNCASLPESLIESELFGYRAGAFTGAQRQGRSGKILQAHGGTLLLD EIGDMPLALQARLLRVLDERKVTPLGADASVDVDIQLISASHRNLTDLVARGEFREDL YYRLNGVEIRLPPLRDRQDKHQLIEAILQEEAGKPMELSEQVLKVLMQYVWPGNLRQM RHALRTMAVLADGTTIGLEHLPGPLADLHAQPAANSTAPAGLTDCMTMEDEHEPVNPL QESERITLIQLLETHRWNISDVARTLGVSRNTLYRKLHRHNITLSTQGSATSM MIM_RS07535 MDIPQAAEQQQKATIAPPLWLLAELTYRCPLHCVFCYNPVDYAS NLNELSTDQWISVMQQAREMGAAQLGFSGGEPLLRDDLEVLVAEARQLGFYTNLITSG VGLKHERLARLRDAGLDHIQLSFQDSTREMNDFLSHTKTFDLKSRVAAMIKEFEYPMV LNVVLHRYNLDHIERIIEMADQMGVEYLELANTQYYGWGLINRDQLLPDRAQLQRAEA AVARYREKIGNRMRILFVVPDYFEKRPKACMNGWGSVFLAVSADGSALPCHAAKTIPG LEFPQVTEHTLHDIWYHSDAFNTFRGNQWMQEPCRSCPEKEQDFGGCRCQALALTGNA ANADPVCDKSPYHHVITDMIGRKPAPAIQEKPLVFRNDANSRQLAADTMAGPLSNGHK LSSS MIM_RS07540 MPDLPEKPQLSRLFRLQYEKAQNAYVLLYPEGMVKLNDSAAEIL RRCDGDRTIAQIVADLEQSFATTGLQNDVESFLQAAQERGWIK MIM_RS07545 MSIVLSNLAGYNQKQTDPEAWDRAEFERQLRAKGAGYHIHHPFN VRMNSGQLSPDDIRCWVANRFYYQINIPLKDAAVMSNCPDRETRRRWILRMLDHDGYG DNEGGIEAWTRLGEAVGISREDLWSLKLVAPGVRFAVDAYVNFARQVPWQEAVCSSLT EMFAPKIHKDRLANWPGHYQWIEAGGLEYFRSRISLAERDVEHGLQVTLDYFTTRKQQ ARALEILQFKLDVLWSMLDAIEKACQQNSETSHA MIM_RS07550 MKILVLGSAAGGGFPQWNCNCPNCDGVRRKTIRAIPRTQSSIAI SADGIQWLLVNASPDILKQIQSNPALQPARSVRDTGITSVILMDAQIDHVTGLLMLRE SSSPLPLYCTEPVWKDLSTGLPLTQVLSHYCGLTHRHITVEENRFSPPLQLAELPGIS ITPFPLSSKAPPYSPNRNNPQVGDNIGLLITSEQSGKSLFYAPGLGQIEPLVLEAMSR ADCIMVDGTVWTEDEMIRLGLSRKTAAQMGHLPQSGPNGMISVLDSLGDHKRKILIHI NNSNPILNQDSEQAAILQRHHIEIAVDGMEITL MIM_RS22450 MKWTKPEFCDLRFGFEITMYIANR MIM_RS22065 MSIPISNGYLQTPDGHQLYYAEFGNFRAPAVVVLHGGPGSSSNA GMLDWFDLRHWRVVLFDQRGSGKSLPTGSLEHNTTGHLVADMEQLRLHLAIPAWTVVG GSWGACLALAYATRHAASIDHLVLRGTFLPSRLQLDWFFQQLQALVPQAWNSLTQTMN DLEKAAVLPTLADRLLGDDQEKISDAAQRWSHYENAIMTAMMAGRNTQQTRSEQTADT QSGRNPDAQAQTQDATGAPSQNDNRNRESESSRAQAAERTVHKYRIQAHYLRHAGFID LPALLEQLREVQVHTTLLHGTHDWICPAANVHLLQRFLPRAELHWIPGGTHTPADPLI RAALTDTMARLSDPVIGPGNLTCQ MIM_RS07560 MSYNIHPAVNNGVQASTDNNFTGGKLVCNCETDRVEVTVNSQSA HNHLCGCSKCWRPTGALFSQVAVVPKESVQVTANGDKLKVVDESAAIQRHACTGCGVH MYGRIENTGHPFYGLDFIHTERSPQTGWSAPTFAAFVSSIIETGTDPAEMSGIRARLN ELGLPPYDALSPALMDVIATHVAKSKNAS MIM_RS07565 METKAAVATKAGAPLTIETVQLDGPRAFEVLVEIKASGVCHTDM FTLSGDDPEGIFPAILGHEGAGVVVEVGAGVTTLKPGDHVIPLYTPECRNCVFCLSRK TNLCGAIRETQGKGLMPDGTSRFKLNGKDVFHYMGTSTFSNYTVVPEIALAKIREDAP FEKVCYIGCGVTTGVGAVIYTAKVQPGDKVIVFGLGGIGLNVVQGARLAGADMIIGVD INPAREELARKFGMTHFVNPKEVEGDIVPYLVSLTKGGADHTFECIGNVNLMRQALES CHKGWGKSTVIGVAGAGQEISTRPFQLVTGRVWQGSAFGGARGRTDVPKIVDWYMEGK INIDDLITHVMPVEKINEAFDLMHAGTSIRSVVTFD MIM_RS07570 MALVSLRQLLDHAAEHGYGIPAFNVNNLEQIQAIMQAAASTDSP VILQASAGARKYAGEAFLRRLVEAAIESYPDIPVCMHQDHGASPAVCQASIRSGFSSV MMDGSLMADMKTPSSYDYNVAVTRQVADMAHCVGVSVEGELGCLGSLESGQAGEEDGS GAEGVLSHEQLLTDPEQAADFVARTGVDALAIAIGTSHGAYKFTRKPTGDILAIDRIR AIHERIPNTHLVMHGSSSVPQEWLEIIRQNGGDIRETYGVPVEEICEGIKNGVRKVNI DTDIRLAMTGAIRRTMMQDTAEFDPRKFFKEATAAARQICQDRFEAFGCAGRAASIKP IALEKMAGIYAKQAAAA MIM_RS07575 MNVAQRITVEDTRHADALRFLAADAVEQAQSGHPGAPMGMADIA EVLWRRHLSHNPADPTWFNRDRFVLSNGHGSMLLYALLHLTGYDLPLDEIRQFRQLHS RTPGHPEVGLTPGVETTTGPLGQGLTNAVGMALAEKLLARRYNHPGHMIVDHYTYVFL GDGCLMEGISHEACSLAGTLGLGKLICFYDDNGISIDGDVEGWFGDDTAARFAAYNWN VIADVDGHNPAAIDAAIFQAKSQPAAQARPTLICCRTHIGHGAPTKAGSHEVHGAPLG TDEIAAMRAARNWPHAEFELPADVVDDWNAVEQGAARQMLWQQQYDRWEARFPEDAKE LTQRLAGDIPDGMLQALEELLDNSTALHGNIATRKASQIVLEAITPELPGLFGGSADL SGSNLTNVKSSVWVNHHGQGNYLSYGVREFGMAGIMNGLALHGGFIPYGGTFLTFSDY SRNAIRMAALMKQRVIHVFTHDSIGLGEDGPTHQPVEHLSALRVIPNNRVWRPCDGEE TAIAWQQALQRREGPSCLVLSRQGLMPFTREKAQREQIARGGYILQDDAQAQVVLIAT GSEVGIAVSAAASLREDGIAVRVVSMPCVEEFFAQPQSWRDQVLPPALPRVSIEAGST WFWRGLVNNGTAIGLDSFGESAPAAQLYDYFGINPQAACNAVHALLEQAS MIM_RS07580 MSERHPIISITGSSGAGTTSVTRTFQNIFRREGVTAATIEGDSF HRYNRAEMKERQAAAEKNGNANFSHFGPDTNLFAELETLFRTYSESGTGKRRKYLHDP QEAAPYKQEPGTFTDWEDVPPETDLLFYEGLHGAVVHDKVNIAQYPDLLVGVVPVINL EWIQKLWRDKSTRGYSAEAVTDTILRRMPDYVNHICPQFALTHVNFQRVPCVDTSNPF IARDIPAPDESFVVIRFANPKGIDFQYLLNMIHDSFMSRANTIVVPGGKMELAMQLIF TPFIWRMMERKKRATQA MIM_RS07585 MQTPQRPTLTQFLIDERRRFPEAGGDFNSVILNIALVCRRISHA IACGALAGVNGSADSTNVQGEDQKKLDILSNDLFIEGNQWGGQLAGMVSEELDEPYSI PKPYTRGRYLLLFDPLDGSSNIDVNVSVGSIFSVLRAPDSRRATKAEDYLQPGVEQVA AGYAIYGPATMLVLSVGTGVHAFTLCPLVGEFMLTHPNLKVPEDTSEFAINTSNSRFW EEPVRRYVDECIAGKTGERGKDFNMRWIASLVAEAHRILMRGGVFLYPRDNKQPARNG RLRLLYEANPIGFIMEQAGGRASTGHEPVMQVKPTDIHQRIGFIFGSKNEVERIEQYH KHPRRVDSPNPLFHERSLFTETPNMQ MIM_RS23410 MLKALIFDVDGTLADTETVHLRAFNTAFILAGLDWYWDEDQYKQ LLAISGGKERMAHYWLSIDPEGASTLQAARKIREVHAIKTEEYARLVDNGQVTLRPGI HRLIIDAYCAGMPLAIATTTTAANVEALLLHCLGADWRKFFVTICDASTPGKKKPEPD VYLHALSALNVEASNCIAFEDSENGLRAATKAGISTIITPTHFTEGQDFSSAMLVLPH LGDPDLPVPAGNGIHQTLVDLLTLKCLHADPLLKAG MIM_RS07595 MRITQGTFSFLPDLTNEQITKQLQYCLNNGWAVGIEYTDDPHPR NTYWEMFGNPMFDLRDAAGILQEIEQARKTFPNHYIRVTAFDSTHTVESVVMSFIVNR PENEPGFRLVRQEIDGRRMRYTIESYAVAASAEGSRY MIM_RS07600 MQGTQEIDNERYQQRPDQGPKKRYAAGVLKYAQMGYWDGDYEPT DTDILAVFRITPQDGVDPIEAAAAVAGESSTATWTVVWTDRLTACDKYRAKAYRVDPV PNNEGQYFCYIAYDLSLFEEGSITNVTASIIGNVFSFKPLKAARLEDMRFPAAYVKTF AGPPTGIIVERERLDKFGRPLLGATTKPKLGLSGRNYGRVVYEGLKGGLDFMKDDENI NSQPFMHWRDRFLFVMDAVNKASAATGEVKGSYLNITAGTMEEMYRRAEFAKELGSVI VMVDLVVGWTAIQSISHWCRQNDMILHMHRAGHGTYTRQKNHGVSFRVIAKWLRLAGV DHLHAGTAVGKLEGDPMTVQGYYNVCRDAYTQTDLPRGIFFDQDWVALRKVLPVASGG IHAGQMHQLLELFGDDAILQFGGGTIGHPDGIQAGAVANRVALESMVLARNEGRNIAE EGPQILKDAAKHCGPLRAALDTWGEVTFNYQSTDTSDYTPVPSVA MIM_RS07605 MRRYTLRQLDTFLEVARAESVSKAAEKLHVTQPAVSTQLRQLED ALGIALVEANGRQIRLTEAGREVEQYVIAATSQMSQLDDVVEGLKGLQRGRINLGIVG TAKYFVPMLLVHFRKRFPSIEISLQIQNRDQIFNMLERNELDLAITGRVPERLDCLSE AFATNPLGFVSAPEHPLSRRRQAPLSIFNDIDFVVRESGSGTRATMESIFQQHNITPR IVMEMPSNESIKQAVMAGMGVTFLSLRTVRHELAAGHLALLDIQDTPILRHWRVAHLR TKKLAPAALGLKEFLINDGGALINIWA MIM_RS07610 MWVVKLDGALAHDDTLQDWVEEISTVGGGRVIIVPGRWQGAEFV DKMKARWKLDRLVTHNMLLLTGAQYGLLISSMAPQISPVLDARHVRQTLQRGGVALWM PLSLMRNIPDHMTDSTISSDSIAAWLARHLNAERLILIKEQPVVSNVNVADHIRNGVL DEGFRKYADQLACPVTLLHKSELSRFHSMLLNGGSSDLLDRAGETIRG MIM_RS07615 MDAPRYTRVAMALHWLVALCLIGQFVLGWYLEGIPRGVPDRSYF VNIHKSTGMLIGLLILLRIGWRLAHRPPVLPASVPDWQQKAASVIHFLLYFFMLMLPL TGYIASNFSKWGVKFFNTIEMPPWGMEDKAIYAFFNQLHGLISWILLGLIILHVLAAV SHFVSGHRDVIYRMLPGSSSGR MIM_RS07620 MRQKILITTFAATLLSFATAAGAAPFAYVPNEGGASISVIDIAT DKVVDTIKDLGAKPRGLAASGDNLYVSQQTNNQLKIVDLKQRKVTGSVSLGESPEGVY VSADGRWVAAAIEENNTVAIIDTQTNKMVDEIKVKGDNPEHAVFSPDGKKLLVSAEDG HSVDVIDFPARKAVAEIEVGKRPRGIGYLPDSSKAYVAAENSNEVYVIDLPNNKVVKK LAGGTRSNGVTVSPDGSRVYISNGGDGSVSVIDTAKDEIVATVKVGQRPWNMAISPDG KKLYVANGRSNNVSVIDTEKNAKITDIAVGERPWGVYIH MIM_RS07625 MIDRPIFRAGVTALLLAFTCQAPLVLAATNDPPAATTPASSTAS PASDPITEAERKLFLDKHFANTSRQTIDYTFHQEGPSMATLNDKVKVDVRQRHEDGTA SVNVDFLSGENHTPIEPIEHAEGNPALLGFLERDIAEMKRFTGGSTVYFRKRIRLALA DSKVKVDKINVKFENQQVEADRITIQPYVNDPMKEKIGKYTAKQYVFVVSPKIPGGIY QVYTSEKFTDSQPARVDTSMTISGGEIPG MIM_RS07630 MLSDKLLPIPRAALLVSLMLSASLLGATAQAQEPKKQDKDGEKV LRVCQDPNNMPMSSQDESGYENKIAALFAKKLGWKLEHTWFPQRMGFTRATLTAKDPE TDRYKCDVVTGVSPGFERGIPTKRYMTSTYALVYVKGKGLDSIKKPEDIMSLDQATLS KIKIGTFSGTPPVNWLLTHSLLERMVSYQLQTGDPQQYPGQIIEHDLANGKIDIAIAW GPIAGYYAKHGDGGVPMTVVPFESKMDHQQFAFNVAMGVRHDSRKLRDKLNELIDSSG PEIAAILQEYGVPTATAPVDRIKDDDDD MIM_RS22460 MILRLRTIGAGLAIAGICTFSAQAATTTTFSNGGVEATQSTLTA ESPEKPDLPAGAVPPPPAAPATAAEKPAAAEPPPPAEKPAAAPAGSDSGEVKYVVKEG NKVDEHTLQGWKTWRALDCARCHGAAQQGLVGPSLIVAIQKLSEDEFKKTVLEGRLPQ GMPPFGSVPRLVKNIDNLYTYLKARSDGKLEAGHVYPLDK MIM_RS07640 MSFKINLKQMLCVAGALAITGTAQADPQLLEAMKNPDNWATQAG DFANQRYSKLDQINKDNVKNLQVAWTFSTGVLRGHEGGPLIIGDVMYVHSPFPNKVFA ISLKDQSLLWKYEPTQDPNVITIMCCDTVNRGLAFGDGKIILQQADTTMVALDAKTGK EVWKVKNGDFKLGESNTNAPHIFKDKVITGISGGEFGVRGRLIAYNLKDGKEAWKAYS TGPDDEMLFDPEKTMTWTDGKMAPVGKDSSLKSWKGDQWKIGGGTTWGWFSYDPALNL VYYGTGNPGTWNPSQRPGDNKWSMTIFARDLDTGKAKWVYQMTPHDEWDYDGVNESIL ADIKVGGKDRKALVHFDRNGFGYTLDRESGELLVAEKYDPTINWADKIDMKSGRPVVN AKYSPAKGGEDVNVKGICPSALGTKDQQPAAFSPKTGVFYVPTNHVCMDYEPFAVDYT AGQPYVGATVSMFPTPGSHGGMGNFIAWDADKGKILWSIPERFSVWSGALATAGDVVF YGTLEGYIKAVDTSGKELWKFKTPSGVIGNVTTWKYDGKQYIGVLSGIGGWAGIGLAA GLEKSTDGLGAVGGYKDLAKYTELGGALMVFALPDNVATAAAEKTTKTQ MIM_RS07645 MYDMKHLAHMKDLDDGAPQAMQAFRAFDQAVFADGALSAVHKQI IALAVAVTTQCPYCIAIHTKEARAAGATDAQLAEAALVAAAIRAGGAVTHATHMF MIM_RS07650 MKFSIKKMFPALLSLALLGAVSTSAVANEPAANTKINDFPTTTR VEYVLECMQKHNRDYEYFYKCSCVIDDIAKNVDYNEFNEISTATRYARLGGERGSVFR DPKEVKRMTKKYKTLEANANKACFVKTPTDDDD MIM_RS07655 MSEDIVQRVMANPKYKEMTRLRSQYGWVFSAIILVAYYVGFIGI IAFDKQLFAQSISGGAMTWGIPVGFGLMILIIALTGVYILIANSKFDEMERSLLNDVG VTHE MIM_RS07660 MNKGVSRFLIGMAFTGLFLSLAYGADAIGETTKQQVNFTAIIMF VVFVGLTLFITKWAATRTKSASDFYTAGGGITGFQNGLAIAGDYMSAASFLGIAAQVM ASGYDGLIYSIGFLVGWPIIMFLMAERLRNLGRFTFADVASYRFAQMPVRTFAACGTI VVVLFYLIAQMVGAGQLIKLLFGLDYWVAVLIVGVLMMVYVLFGGMTATTWVQIIKAV MLLAGASFMAFMVMKHNGFSFEALFAKAVEVKSAGALAAGKTAEEAAAAGQSIMGPGS FIKDPISAISFGMALMFGTAGLPHILMRFFTVPNAKEARKSVFWATTWIGYFYVLTFI IGFGAIIFVSTNPDFLDAKGGLIGGANMAAVHLADAVGGHIFLGFISAVAFATILAVV AGLTLSGASAVSHDLYASVIRKGNVDSATELRVSRVTTFVLGILAILLGILFEKQNVA FMVSLAFAIAASANFPVLFLSIMWKKMTTRGAVIGGFLGLISSVLLTIVSADVWEVTL GNPAGSALFPYKSPALFSMIIAFVGIWFFSITDKSQRAAVDIGGYDAQRVRAETGIGS SKASAH MIM_RS07670 MMKRMRWLLLGCLISAGLVACTVPSKADITGNADYFDPAFLNKN LIRNKTTVAEALQVFGEPDRTTRNSNNETVLKYARKSSGSMAGNMVGAVPIVGPMVDS AGKLLSPGKSDMGNQVLEIKFVNDVFQSWEM MIM_RS07675 MKGFVDDIEELTVNNDLYRKVLYTGKNLQLVLMTLQPGEEIGEE VHDEHDQFFRIEEGKGKVVIDGQTHAIEDDDAVIVPAGARHNVINSGDQPLRLYTIYG PPEHRDGVVHATKQDEQEEHFDGKTTE MIM_RS07680 MTAPDIDALQAFVQQHAGLLVITGAGCSTDSGIPAYRDTEGAWM RPPPMTFQQFMGTEAARQRYWARSMVGWSMFSKGRPNAAHQALQALELKGYTGLLVTQ NVDGLHRQAGQSRLLELHGSLANVVCMQCDTRVNRVHYQQQLLSANPAWADMTAVMAP DGDVDLETDFTSFRIPPCDRCGGILKPDVVFFGETVPRTRVDAVYQALAQASAVLVVG SSLMVYSGYRFVRDAALQGKPVAAITRGRTRADAVLALKLDTACAPVLTALDAILAPL PQAGDAYGDRLAAV MIM_RS07685 MTKYVFVTGGVVSSLGKGIAAASLAAILESRGLKVTMLKLDPYI NVDPGTMSPLQHGEVFVTEDGAETDLDLGHYERFISARMHKSNNFTTGQIYESVLRKE RRGDYLGKTVQVIPHITNEIQDFIIRGARQAWDGQTDVAIVEIGGTVGDIESLPFLEA VRQMSLRLGRNGAAFVHLTLVPFIASAGELKTKPTQHSVQKLREIGIYPNALLCRADR QVPEDERAKISLFSNVPLDAVISVWDADSIYKIPSMLHDQKLDELVCDALAISPPPAD LSMWDGLIDALEHPQHEVHVGMVGKYVDLTESYKSLIEALQHAGIHTRSKVIIDYIDS EDLEGGAVEQLASLDAILVPGGFGKRGTEGKIRAIRYARENKVPYLGICLGMQLAVIE FARHVAQLGGANSTEFDPAAPHPVVALITEWMDREGKVEKRTASSDLGGTMRKGAQRC PVKEGTRAYDIYGPEVNERHRHRYEVNNVYVPRLEEAGMVISARTPTENLPEMMEIPS HPWFMGVQFHPEFTSTPRNGHPLFSSYIRAALEYQKQRQNQQ MIM_RS07690 MNLCGFDVGLTRPFFLIAGPCVIESRQMAFDTAGTLMEITGRLG IPFIYKSSFDKANRSSGTSYRGPGMDEGLQILADIRTQLNVPVLTDVHDITQVERVAA VVDVLQTPAFLCRQTDFISACAATGKPVNIKKGQFLAPADMLQVVAKAKAAAVAAGGD GSNIMVCERGASFGYNNLVSDMRSLAIMRATECPVVFDATHSVQLPGGQGTSSGGQRE FVPVLARAAVAVGVAGLFMETHPDPAVALSDGPNAVPLAHMEALLRSLTDIDRIVKSQ GFIEDQFNA MIM_RS07695 MVTRTIEVNEEKSASGRLLPFRQSLMAVLGICFVGMLVGLDQTI VGTALPTIVAELNGFELYAWVGTSYLLASVITVPICGRLSDYYGRKPFVVTSVIIFTI ASMLCGMAGSMTQLVLARALQGIGGGMLVGSAFACVPDLFPDAKVRLRWQILLSSSFG IASAVGPSLGGFLTEYYGWRSVFYVNLPVGLISLYFIWQYLPLIRYSREQTIRLDWQG AVLIALFLGCLQLAVELFANHGTSWQVILFSVVSVLAFIVLIRWERHCAFPLLPLELF RNRSLATMFTLSLLSGFVMFGMLFYIPLLLQGGFGYSPNDAGMLVTPLVVCITVGSIL SGRIVIRLARPNWILYIGYVLTCITVVGVVFYDRAMSQWIFLLYMTLGGVGLGFILPN LTIFSQELSGRNNLGIVTALVQSIRMIGGMLGTAIIGTMVNFYFVAGMNADAKKIDSP QLVTALSDPELLVRPDSQAQLITQMARLGQDARPWLRVAREHMVGAIESGLLVVLVVN VIALLWLYRLPLIRFASLNKTAPEAPAGQHRRRAKL MIM_RS07700 MSAIVDIIGREILDSRGNPTVECDVLLESGVMGRAAVPSGASTG TREAVELRDGDKSRYLGKGVLKAVENVNTEISEALMGLDAQEQTFIDHTLIDLDGTEN KERLGANALLAVSMAVARAAADESGLSLYRYFGGSGPMSMPVPMMNVINGGAHANNTL DMQEFMILPVGATSFRESLRMGAEVFHALKKLIHGHGMSTAVGDEGGFAPNVANHESA IHLILNAITEAGYEPGTQIALGLDCASSEFFRDGKYHLAGEGNISLSSAEFANLLASW CDKYPIITIEDGMAENDWDGWKILTEQLGKKVQLVGDDLFVTNTKILKEGIQKGVANS ILIKINQIGTLTETFAAIEMAKRAGYTAVISHRSGETEDSTIADIAVATNAMQIKTGS LSRSDRMAKYNQLLRIEEELAEVARFPGLDAFYNIR MIM_RS07705 MRLLYLALMVACVAIQYPLWWGEEGWARVTVLKQQLEAQEEKNK ALLARNNAMDAEVHDLKTGTDALEDRARIEMRMIKKDETYVQILTPNEPQPEVPVSYE VPEASKPKPAAVAAKPQASKSQAKAVTSASARAKADSSKSARSAKPTQQ MIM_RS22075 MMEFLVVALPLLLAAVTSYEASRWYMVREAVNLALLQAGRAGSV NHTRPQAIEDAFLEALAPLYAPAGAYRSPQERMQHQLQRFEQDSGQLAWDITITHPNL AEFADFMQKDLPIAIKTGHPAINNNYQHRQHQQYPRGTASGSTIYDANTLQLQARYLY EPVVPGMKSLLRTIFGAIGAPESPQARLGALPMSAAITIEMQSHPVLWLTEETTHVRY QQRNTLARSSATRRTPPDPGRSQGSDNHSSTKGGMSTETAPQPATVSATSPGDRPVSP VDSETGTDSGQPTPSRPVANNDVPENALPTDAACLP MIM_RS07715 MTTDQLQKYLLDDRSTRIQTVDLTDTWHTGLAHQSYPPVVRDLL GELCAASVLLASNIKFDGSLVLQLQGDGALALIVVECQSDLSLRATVQLRQEFVVPDN ATFQSLLNGNGNGRFIVILDPADRQEGQQPYQGVVPMEGDSVAKVLEHYMKQSEQLDT HIWLAANEQRATGLLLQRLPDHGGTAEDAEAHRESWQRAGILAQTLTQDEMLSASPET LIHRLFWEEPLLTFEPQPVRWFCPCTRERVGNMLKMLGRAEIESILSEQEKVDIACNF CGKPYTFDAIDCARLFIDGQSPEEPSEPVAH MIM_RS07720 MAVYQIDELAPTIDPTAFVFDSATVIGNVTLEKDVSIWANVAIR GDNAPILIQEGSNVQEGSVLHVDEGVPLTIEKNVTVGHQAMLHGCTIGEGSLIGMQAI VLNNARIGKNCIIGAGAIVTEGKVIPDGSLVIGVSKIARTLSEDEIANIHKNTAHYVW QGQRYRSGLKRLG MIM_RS07725 MIESEVPGQQAGSFLHALPYFFSAPDSIRHLMTDHYSSLYKSFE WLVPSVFNIADACCHRWASSPHEARQVAIYFEDQVGQLTLLTYGQLSEKVNKLANGFI RMGVQPQDRVAVALQHSAESAITQLAVLTVGAIVVPLTASLSSAEYGERLHDSQARVA VVDKHSITALISAVDNHSPVKQIIGIHTDDDRIISWRTLLARQPSTFTPHVVSASTPA ILVYPAPTENEPLRGVLLDHSSLIGTLPGFVASQNWFPKSNDIFWTSYDWNSPNGLLN ALLPTLYFGKSIVGCPAGRTIPRLFTLLEHYQITNIYASGPDLRRIRDYPDALEEFDL AIRSISCLDTDYDPSLGQWARQRFNVDINVVAAPLGFGYIIGESQEKWAPRQGSMGRV YPGHRITVIDQAGKPLKTGQKGFIAVNRTDQNDYPDPAVALNYWSGAQIHPLQAEPQD WIPTAIRGHIDKDGYVWRDISTSTETDNLTS MIM_RS07730 MNSSATPDAALSSRRSPSRLRLFACMMYEAVLLFGVVFFTDYIF DTLTQSRSGLTLLGTRQAVLFVAIGLYFVLCWRKHGQTLPMKTWNIRLVSRQGTRAPL GKLVLRYILCWPIPLLGAYLVYTISTSLGWPSVTLFIVFTPFLNFVYSWFDRDGLMLH DRLAGTRLADLSPAAIQ MIM_RS07735 MTDDTNTPPERKKLSLSRAPRKADENDDADQKPRVRSGARARAV AQNQLRSGQDKPAPARPRRPLPTERSVSAGDTNESYQSRRIAAERTERPMRSDRPIRS DRPRTAARQPDVHPDAPVTNKRSRGEPADRRTRTPREAGQARPRPSSRLTETFRVFAP CPQGLEEILCEEMQALGFDQVEKGRAGCAFEADWAGILRANLYSRLATRILVQVAHGL VLTEDDIYELAYDAPWERWFGAEHTLRVDTSAIQSPMKSLMFCNLKAKDGICDRLRDR EGERPSIDTVRPDARVHLFLTRDSGTLYLDTSGESLFKRGWRLDKGEAPLRENLAAGI LALSGWDPSQPLLDPFCGSGTILIEAAWIAQGVPPGISRPFGFERLRNADARQWSALK EDAFSRIAPELETPLYGCDLNPHAIEAARDNMQRANLAPDSIQFARANALDLQPPTNS GWLVTNPPYGERLDQQDDSFWRDWSACLKQHFAGWQVHVISSDLELPGKLRLKPRRRT PLYNGALDCRLFSFEMVADSYRKP MIM_RS07740 MLWIKSLHIVFVIAWFAGLFYLPRIFVNLAQQPQDGPVYPVLLG MARRLLRFTTMLAVPAVAFGLVLMLYYRIGLSNGWLHAKLLFVLLIIGYHHACFRIYQ KFVQGRNQRDHVFYRWFNEVPVLLLLAVVILVVTKPF MIM_RS07745 MTTETSKPLDLETQMLTYGQQAKTAARQLMRADDKQKSAALLHI AHTLETRAAHLQAENALDLDKARDNGLEPAMLDRLTLSDKALGLMATGLRQIAALEDP VGSRSETRIRPNGMQVSKMRIPLGVIGIIYESRPNVTIDAAALCLKSGNAAILRGGSE AFRSNQALGTIIQEGLAHAGLPAHAVQTVNTTDRAAVGKLITLTDYVDVIIPRGGKGL IRRLEAEATVPMIRHLDGNCHVYIDRAADLDMAHNIAFQAKTYRYGVCGSMETLLVHQ DVAAQVLPRLAAAYIEKGVELRGCPRTLQLVPQASAATDEDWATEYLAPILAIRIVDS IDDAMTHIATWSSGHTEAIVTDSVAAANRFQREVDSSSVYVNLPTVFADGFEYGLGAE IGISTNRLHARGPVGLEGLTTYKWVLEGNGQLRG MIM_RS07750 MAGQTPNYERFVTDLGKPDAQLHPLYVISGDELLLRNEALDALR AACRLQGYTERVTLSLAATGPWNAIEENTQSISLFGDRKLMEIELPTGKPGKTGGDAL VALANRAASQELTDISIVLILPRADRAMSSAKWYTALTQQAVLVNTPVITREQLPAWI QSRLKRQKQKADAATLAWITDKVEGNLLAAHQEILKLGLQYPEGELTLEEVENAVLDV SRYNVFDMSNAILRGDGQRALKVLHGLEAEGEALPMVLGLLTYDIRNLYTLAQARQSG HNPRNLTRKLGLFPPKDQLILNTLDRLPFSQIMGLIQHAHDIDRLFKGFPADGRLTDA WQEMARLVLRMADAPASSH MIM_RS07755 MVYSSLFSRWHQALLAALLLSMVLAGCGFKLRGEKPLPFTTMYT NIESNSNFGAYLRRSLKASSPSLQFVDNQATAQVVLSQLERIQSQREVSLNAQGQVEE YELTLRLTFQLVSNNGQLLIPPSTLTSTRTLPYDEANAQAKAQEMVTLYQDMEVQMVG QLVRRISSDDVIQGYRQGAGTSGEEQFVR MIM_RS07760 MQDHYHPTDLEQSAQQNWQERDAYLVTEHAKDANGNEKPKFYAC SMLPYPSGKLHMGHVRNYTINDMMARQLRMRGFNVLMPMGWDAFGMPAENAAIKSKVP PAKWTYDNIAYMKKQMKAMGLAIDWSREMCACDPQYYKWNQWLFLKMLEKGIAYRKTQ VVNWDPVDQTVLANEQVIDGRGWRSGALVEKREIPGYYLRITDYTEELLDQVTHNLPG WPERVRLMQENWLGKSEGVRFAFTHDIRDEQNQPIQDGRMFVFTTRPDTIMGVTFCAV APEHPLATHAAAGNPELAAFIEKCKLGGTTEAEMATREKEGMPTGLHVTHPLTGDAVE VWVGNYVLMSYGDGAVMGVPAHDERDFAFALKYDLPIKQVVQVADKAFDTTQWQDWYG DKQQSRVVNSGSFDGLDYRQAVDAVATALTEKGLGEKQTTWRLRDWGISRQRYWGTPI PIIHCESCGPVPVPEADLPVRLPEHLIPDGSGNPLAKDEAFLSCTCPSCGKPARRETD TMDTFIDSSWYFMRYTSPGNDNAMVDQRNDYWMPMDQYIGGIEHAVLHLLYARFWTKV MRDLELLKFDEPFTRLLCQGMVLNHIYSRRTPQGAVEYFWPEEVANTYDDKGAITGAT LKSDGSAIQYGGVGTMSKSKNNGVDPQALIDTMGADTARLFVMFASPPEQTLEWSDSG VDGSHRFLRRVWSIAGSLKDRILAAPAQPVDFSRASKAVKDLRLQAYSLLRQANYDYE RIQYNTVVSANMKLLNAIDDAALPEGDLENAALREVTGILIRMLYPIVPHITWHLWNE LGYVQQYGDLLDAPWPEVDEAALIADEIELMLQINGKLRGSIQIPNGADREHIEAVAR SHPSLEKFLEGRPPKRVIVVPGKLVNIVG MIM_RS07765 MSAVDNNTFTLAQDEASQFALINRALAMLDFDCFDYIQRHPLPF TTPRYHHLGTIPDALIRLDDRHLIHDTIIPAVMQRQTGLIWELKDFYSIHPELATRDH RNFYGLFAPGTNLLGHTGAVLTLIRLNRPITQQELNDQPARYQLLIYALQHLCQQILS GRHRQQLAIELTPRQTDILKWIADGKSNDDIAHILGISSHTVNYHTKQILDKTHTQNR YSAAMTAFVAGMIPS MIM_RS23165 MPSWKAMSWKAMSWKASSWKATALPANPARGCVHALSLPAPPSP IGCACVSPAGTPEQTTLSVPAQIDH MIM_RS07770 MSNLLKPTFIVAGALLLGGCSAGFGVRVPLVSGLSLGVGSGGIS LGTGIGPVGAGVGVGHGGRVSAGAGVGVGVGTSIGSGASAGVGTGIGTSTVIYDPQAP AEAAPREKADLYGGN MIM_RS07775 MIRHCVMTVAATLVLSGCASKAIYDQNDVHFILPCKTDTAACMK KMEQSCAELKGQVMSRNIVQEELPVVQVICRPPVKKKPEAE MIM_RS07780 MSVTKATTIQGQINEITSELLTAADHLKDLTAAVSIFGSARIRS DSPYYTKTQEISGLLARAGFNVISGGGPGIMEAANKGCHEAGGTSIGLNIELPHEQKD NRYQTDSLYFKYFVSRKTTFFMNSAGYIIMPGGFGTLDEMFEALTLIQTGKASKAPVV FVGSEFWQGLMDWIRNQLVVNKLISEHDLDLFIVEDDPHKVVEHIQARHQQYALDASC VGLC MIM_RS07785 MKKTLLLVDGSSYLYRAFYAMPDLRNARGEPTGALYGVINMMRK CIDDYKADYAACIFDAKGKTFRDDLYPEYKGDRPSMPDDLAVQTGPIHEAIRAMGWPV IAAPGVEADDVIATLADMAARSDIHTIISTGDKDLAQLVNSHISLVNTMTGENLDEAG VLAKFGVRPERIVDYLMLIGDNVDNVPGVTKVGPKTAVKWLNEYDSIDNLVANADQIK GVAGQNLRDAIAQFELTRNLITVRRDCELTEFMQSVEDLLPRAPDVATLTNIYDRYGF RTWLRELTGDAERVPEQDARVAQNLPDAPAQINYQTVDTAEKFDACLAAIKQAERVAI DTETTSLNPMQASLVGISLAIEPGAAWYIPLGHRGTGAGTQLDKAHTLAALRDWLQDE RAAKILHNAKYDSHVFLNEGITLSGIKDDTMLMAYVLESHRSVGMQELAQRYLGRTGT TYEEICGKGAKQIGFDEVDIETATHYAAEDADFTLQLFQALAPLVQEQEGLERIYRLE VQVSRVLTLIERNGVRIDETMLRQQSAQIGAKLVELENKAYELAGQPFNLNSTKQLGE ILFGKMNLPVLRKTPKGAPSTDEDVLSRLAADYPLPRTLLDYRSLAKLKSTYTDKLPK MINASTGRVHTDYAQAAVITGRLASSDPNLQNIPVRTEEGRRIRMAFIPSDGGVIVSA DYSQIELRVMAHLSGDANLQKSFQEGEDIHRATAGEVFGVAPEAVNAEQRRAAKAINF GLIYGMSAFGLAANLGITRDAAQTYIDRYFARYPGVADYMSRIKKEAAAKGYVETVFG RRLWLPEINGKGPRRAGAERAAINAPMQGTSADLIKMAMVAVQAWLEKEKLSSRLVMQ VHDELVLDVPGSEQALVTQALPGLMCDVASLAVPLVAETGVGHNWEEAH MIM_RS07790 MFDIVHFPLFAASAFALSITPGPDLAYVVGQSLGNGRRAGVISA AGVAIGSCAHTVASAVGLTALLAASPVLFTIVKFLGAVYLIWLGAKMCLGSLQRRKAA QTDVALPVANTHSLLLRGFLTTITNPKVLLFFIAFFPQFVTVGGDNQAVSFLLLGLAY AVIGFVCDATFAWLAGSAAGAVSRNQTVKAWIDRVVGLAFIALGLRIWLTKR MIM_RS07795 MKRIILFLITNLAVMVVLGFTLNLLGVNRYMDANGINMGQLLAF SAVVGFVGAFISLLMSKPMAKWTMGLKMIDPAAPGSQRELWLVDTVHQLADRAGIGHP EVAIYEGEPNAFATGAFKNDSLVAVSTGLLNSMNEEEVTAVLGHEVAHIANGDMVTLT LIQGVVNTFVVFLARVVGYFIDRTVFRNERGVGPGYYITVVVCEIVFGILASIIVAWF SRQREYRADAGSAQMLGSASPMINALARLGGMHPGELPKQFESAGISGGAGVAALFST HPPIEHRINALRARVAHS MIM_RS07800 MQALWMLVASLMFAIMGASVKLASEHAASLALVILFRGLPSVVL LVVWAVTTHKSLKPKSIRLHLMRNVFGVGAMWMAFYGYTVLPLATSTSLNYTSPLFIA GFLLLSGKASNDIVRTLAVGIGFAGVLLILRPSITEDQWFACALGLLAGASGAVAMLQ VRQLGSIGEPIWLTVLYFSVFVTGTSLIGIKAHGIGEPDLVSWVALLMVGLSGLFGQL ALTRAFGSGSPLLSAALQYTTIIFSAGIGIVVWHNAPDVLAWLGMGMVILAGLLSAWR TLVLAERHKKSQLVKTTA MIM_RS07805 MSDIAKLAHVSPAATQLPVDVYFNDDIFKKEQARIFDASALYVG HEKLVPQSGDWRTLAHEQAGRVLVRNGDQINLMSNVCRHRQAIMLGGQAGDVTSATNN HGNLRSTGGNIVCPLHRWTYDNKGTLLGAPQFDSNPCKNLQQFPLQNCNGLLFEGPRN PAADMAPLFARPEFDFSDYVFDRAIVHECNYNWKTFIEVYLEDYHVAPFHPGLGSFVT CDDLTWDFAETFSLQRVGVHQALANPGSPVYKQWHDELLRFRQGETPDFGAMWVTYFP THMIELYPHVLVLSTLYPVSPQKTVNLVEFYYPEEIAMFERDFIEAQQAAYMETAIED DEIAERMDAGRLALYNRGTSEVGPYQSPMEDGMQHFHEWYNKLMA MIM_RS07810 MVLTENAKTDTVALPDQFEAALGELESIVTQMEDNSMSLEASIA AYERGVRLARVCQDKLDTAEQQISVLRNNMLVPLGETGSSES MIM_RS07815 MNAMVVPFQDWLPACIEKIEGALDRALPPADEIPAMLHQAMRYA ALGAGKRLRAALVYAAGHASAQQIVLPSALEHTLSRAAVAVELIHAYSLIHDDLPCMD DDVLRRGKPTVHVQFDEATAMLAGDALQPLAFAQLAQMSVAPALVVQAVNVLAQAAGS QGMVGGQAIDLASVNTQLDPEQLQFMHRLKTGALIEASVQLGAIVTAANSDIRMALEN YGSAIGLAFQVVDDILDVTADQQALGKTPGKDAADNKPTYVSVMGLEQSREFAQQLHE AALDAIKPLGEPACRLREVADLIIHRKS MIM_RS07820 MTKLLETLDSPADVRKLSQHQLKMAATELRAFILDSVSRTGGHL SSNLGTVELTLALHHVFNTPHDRLVWDVGHQSYPHKILTGRRGDMPGLRQQGGISGFP RRSESEYDAFGTAHSSTSISAVLGMAVASRNLNIDRQHIAVIGDGSMTAGMAFEALNN AGVTPGVNVLVILNDNDMSISPPVGALNRYLARLLSGGFYRDAKNVGRAVLEHMPAPV LDIARRVKGHAKGMISPATLFEELGFNYVGPIDGHDMDSLVPTLQNLRELGGLQFLHV ITKKGQGYKLAEADPILYHGPGKFDPELGIQKPSGPGKQTFTQVFGSWLCDMAQQDSR LIGITPAMREGSGLVEFEKRFPQRYFDVGIAEQHSVTFAAGVACEGLKPVLAIYSTFL QRGYDQFIHDVALQNLDVTFALDRAGLVGADGATHAGNYDIAFLRCIPNMVIATPSDE NETRLLLSTCYQHAGPASVRYPRGSGIGAQVAPELDVVELGKAVVRRKGEKLAILAFG TLLHAAAPVAEKLNATLVDMRFVKPLDEALLLELMQSHQQLVTVEEGAIMGGAGSAVA ELMHANGLCCDLLQLGLPDVFIDHGDQAGLLAGVGLDAAGIEQAIARRFGQPPAN MIM_RS07825 MNSQIEPSVLMPDVQSTLDERHITIQRVGIRQVKQPMLLQLADG SVQATIAEWTFTVMLPAHEKGTHMSRFMALLNTWRNRPMTAESFRAMALEMLPLLHAE KGDITATFPYFINKKAPVSGVESLMDYQVTWVARAEGQQAVVEQTMLVPVMSLCPCSK AISEYGAHNQRSHVTVSVVAEQHYELDDLIRRIEAQGSCELWGLLKRTDEKYVTERSY DNPKFVEDLVRDVAAVFQKLPGVVRYRVEAENFESIHNHSAYAVVEG MIM_RS07830 MRHYEVVFIVHPDQSEQVPAMIERYQATVTADGGTVHRLEDWGR RQLAYPIQKLVKAHYVCMNIECSQAALDELEHAFRYNDAVLRHLVIKASKPHTGASVM MKSVEREEARKATTEQTSEQENAG MIM_RS07835 MNQLSLQARILEMGALRHTPAGLPVLDLILTHESEVIEAGLPRL VQLTIAAKAIGQWGQKLASLPLGAEMRVRGFLAPTRKNSSRLVLHIQQAQFPGGTNTT DLSPSPGILA MIM_RS07840 MAFMKKGKDKRSKFPQQNPLFKRRKFCRFTAAGVEEIDYKDIDT LRDFITETGKIIPARLTGTKAHYQRQLDTAIKRARFLALLPYTDNHN MIM_RS07845 MQVILLEKIVNVGNLGEVVRVKDGFARNFLIPQKKARRATQAAI AEFEARRAELEKIQAEKLAAAQAQAEKLNGYTLQVSQKAGVDGRLFGSVTNMDIAAGL LAAGFEGVEKSQVRLTDGALKAVGEYPVQVYLHADVVSDITVNVVGEMA MIM_RS07850 MNAPDPQLDSLRLPPHSVEAEQSVLGGLLIDNAQLENISGLLNE DDFYRHDHRLLFQHICKLINLDRPADVITVHESLVLESKSDEVGGLAYLDALTRNTPS AANIIRYAEIVRERAILRKLVTFADEIASEALNPKGKEARQLLDEAESRILQVSEGSS AGSEFKEVGDLLVDVLKRIEELGEGDHGDVSGIPTGFVDLDRKTTGLHPGQLVIVAGR PAMGKTSFSMNIAEHVAIDEGLPVAVFSMEMEATQLAGRMIGSIGKLDQQRMRTGRLL DEDWPKLTHAMQLLQEAQIYIDESPALSPLVLRSRARRLKRKCGKLGLIVVDYLQLMS GNALSKNDNRTAEISEISRSLKTLAKEMECPVIALSQLNRGLEQRTNKRPIMSDLRES GAIEQDADLILFIYRDEVYHPDSQDKGSAEVIIGKQRAGPIGTVRLTFAGEYTKFMNY AGPGDSFIAE MIM_RS07855 MSQSLLRFLKIDRFTLLLIIAVIIASVLPAHGQGMPVAELVTNL AIALLFFLHGSRLSRQAIIAGATHWRLHLVIFSCTFILFPLLGVLLRPVLEPMLTPDL YKGVLYLCVLPATVQSAIAFTSIARGNIPAAVCSASSSSILGIFITPMLVNLLIADSN ASADPNQALEAIGKITLQLLVPFALGHLSRPWTSGFIGRHASLMKFVDQGSILLVVYV AFSEAVNEGLWHKTPIEALVAVVFVSVLLLGLVLLLTSLTGRLLGFSLEDRITLVFCG SKKSLASGLPMAQVLFAGQAVGAIILPLMIFHQIQLMVCAVIAARLGKRPQEIPVDQD EEETLLKKPT MIM_RS07860 MPLPKLPAKPADILDMTGMPVPKASREDAASAAPRGRKRQKQDQ PGTVASAEAANATDYTQQEAVDAAPAELAVAAEVTAPAPGQETAKIAAKAREQAEPKA RRSPASKADAGSSAAPAGGKSGSTRAAPVRAANTAGTNGVSKLFVLDTNVLLHDPTSI FRFEEHDIFLPMMTLEELDHHKKGMTEVARNARQVSRSLDALVAETEDILKGMPLDSL GNKDATGRLLFQTQNMDGRLPVDLPNGKADNMILGVVQTLHREQPQRQIVLVSKDINM RLKARALGLEAEDYLNDHSLEDSDLLYDGAMLLPGNFWAKHGKDVESWIQGGTTFYKI KGPLCADFVVNQFVYLEGDSPLYAQVREVNGKTAVLATLRDYTHKKNNVWGVTARNRE QNFALNLLMNPDIDFVSLLGQAGTGKTLLALACGLTQVLETKRYTEIIMTRVTVPVGE DIGFLPGTEEEKMQPWMGALEDNLEFLNTGTKNDGSAGEWDRSASKEVVKSHIKVKSL NFMRGRTFLNKYLIIDEAQNLTPKQMKTLITRAGPGTKIICLGNIAQIDTPYLTEGSS GLTYVVDRFKGWPHSGHITLQKGERSRLADYAGDAL MIM_RS07865 MTSPPTVGKKVPAFKAESQLGPVSLTALKGKNVVLYFYPKDNTP GCTTETQGFRDALSDFESENTVILGVSRDSLKSHENFATKQNVTFALLSDADEALCTQ FDVIKMKNMYGKQVRGIERSTFLIDEAGKLVQEWRKVKVPGHVDAVLDAVRNLNAAKQ D MIM_RS07870 MELKREQPTALNTVTRYGDHFIEVNEVQYTHAIAFRPEGTVRPW NVTAVSDITTEQLILAADIQKVEGSAIDFLDDGPVTRFTNTPEILLVGTGTRQHFLPA AVTAPLLAARVGIESMDSKAAARTYNVLMAEGRNVAVALMLSEGE MIM_RS07875 MRNFPRIERLPPYVFNITGELKMAARRRGEDIIDMSMGNPDGPT PKHIVDKMVEATIRPDTHGYSVSKGIPRLRKAISDWYSRRYNVILDPDKEAIVTIGSK EGLAHLMLATLDKGDTVLVPNPSYPIHIYGAVIAGANIRSIPMKKGLDFFEEIERAVR ESIPKPKMIILGFPSNPTAQCVDLPFFERVVKLAKEHDILVVHDLAYADITFDGYEAP SIMQVPGAKEVAVEFFTMSKSYNMAGWRVGFMVGNAELVNALARMKSYHDYGTFTPIQ VASIAALEGPQECVAEVVAHYKNRRDVLVKGLHEAGWMVEIPKAAMYIWAEIPEPYKA MGSLEFSKKLLADAKLAVSPGIGFGDLGDDHVRFAMIENEQRTRQAIRGIKEMFKKDG FLKK MIM_RS07880 MNPMKVGLLGFGVVGSGTYAVLTRNAEEISRRAGRQIVVSKVAT RTPAKAVAVFGDRVPVSNDLAGLVADPEVDIVVELIGGTTRARELVLAAIENGKHVVT ANKALLALHGNEIFALASKKGVMVAFEAAVAGGIPIIKAIREGLTANRIQWLAGIING TTNFILSQMREHGLPYETVLAQAQQLGYAEADPAGDVKGIDAAHKLTLMASLAFGIPI QFSKAHIEGIADLDQQDIVYAERLGYRIKLLGITRRREQGIELRVHPALVPNKRLIAN VEGAMNAVVVNGDAVGDTLYYGPGAGSEATASAVVADLVDVTRLHTSDPGNRVPHLAF QPGALSDTPILDMEDVRTSYYLRLSVEDRSGVLADLTRILADHQISINSMMQEQDGEN RAIIIFLTHEAREGDVNTAIKTIEAMPFVYSSITRIRLEHLD MIM_RS07885 MKYISTRGGMSPVSFSEILLEGLARDGGLAVPESVPSVSPAQLE QWRSLSYAELATEILSLYIDDIARPDLERICKAAYNESVFSGSEIVPLKPLNQSMTLV GLSEGPTLAFKDLAMQFLGQVFEFVLAREGRKLNILGATSGDTGSAAEYAMRGKLGVS VFMLSPQGRMSAFQRAQMYSLMDDNIHNISVKGVFDDCQDIVKALAGDVSFKTSYHLG AVNSINWARICAQIVYYFWAWLRSTDAAASAGTDVSGFKVSFTVPSGNFGNILSGHFA RRMGLPIHRLVLATNENNVLEEFFRTGVYRPRASAETLATSSPSMDISKASNFERFVF DLLGRDAKRLAQIWKTLGQNGAFDLSAEKARFTEEFGFVGGCSTHADRLNTIRQVQEQ SGVLIDPHTADGVKVAQAFVEEGIPMLVLETALPAKFGETIQEATGKEPPVPEHLKSL ADLPQKVVIMDNDVEAIRDYIKGRALR MIM_RS07890 MHATTPAQPRFSLQAFLSLGFRPLYMSGAVWALISIVIWIFAPQ WLTGQMGGVWWHAHEMLWGFVATIAVGFLTTASATWTGHNPIKGGALGALALCWLVAR LAYLMPGRIAFGVGAVADAAFYLAAALALGRVIFKARSKRNYVLPLMILLLGASHILF VLAIAQGNTLLLTGFMRTGILCMVFIALLIARRVIPFFASRAIAGLTIPMHQRSGMVQ LVACALALLGVFAGFDDLVALGAAVAGAIALYQLVQWKPGRVVGVPLLWVLYLSWFFL GAGLLLATCFFMGWHPGWLARQALYVHVIAMGGLSLMIMGMITRTALGHTGRPLQADR IMVFSYVLVVVAVLARLMALIMPSLTMPMLHLAATAWVAAFGLYLYRFVPLLVSPRPA ASPAAPGVIIRPSERQS MIM_RS07895 MPYDTLDQSDYTALAEFRYLIRCFLEFSEERAKSVGMTPRQHQA LLVIKGYGKGEPITIGTLAERLRIKHHSAVELANRLADSGLVERSADPEDQRKVLLQL TGQAQEQLASLSAAHQDELSRIEPMLRRVLARDKQPRS MIM_RS07900 MTIKHSRNRQLSDFSTDKRVLFVMAIAVPVAIVAMFAGMLLLQL IRLCTNLAYFGRFSFEEAQLGDVSLGLWSVGIPVVGSLLIGLIARYGSEKIRGHGIPE AIEAILLGRSRLDAKVAVLKPLSSAIAIGTGGPFGAEGPIIMTGGAIGSLIAQALPVS DSERKTLLVAGAAAGMTTVFGTPIAAIMLAVELLLFEWSPRSFIPVAVAATVAELGRS LMGMAGPLFPFDGVMLTSLPGFAGWIAIGIAAGLLSGVLTQLVYACEDGFQRLPIHWM WWPMIGGLVVGIGGLIDSRALGVGYENISAMLGGQMLGVAAIVLLLVKAIIWAVALGS GTSGGVLAPLLIMGGAMGAALGGWLPDASPGFWALLAMAAMMGGTMRAPLTATFFAVE LTGNIHALLPLIVACVTAHAVTVLLMKRSILTEKIARRGHHIVREYRVDPFELTHVKD VMTGQVISVPASMTLRAAVRFLTAPDTRHPSFPVVDEQGQVLGIIDPPSVLAWRRAGK HREQTLDQLLSGKKMTLAYPDEYLAGLVDKLVHANVAHLPVVSRADGTLVGYIGWKDL MRVRQRLNSETHDRTALMGRKRQSGG MIM_RS22830 MSPQADHTSSPRIAVVGAGWAGLAAAWKLKQAGWHTEVFEQAPV LGGRARKALIPRRNLILDNGQHLMLGAYRQILALMQDIGIDLDEALLRLPLQLTTPDR RFGLRVNRAYPGPLRLPLALLRLTGLTVRDKFSLARALFQLQLAAWQVKPELTVQTWL DTQRQAPALIQLFWAPLCIATLNTPVAEASMALFAGVLKDSLGAGADACDLILPRVDL SALWPEALARQLTVHTNSPVRSITRTPDGYTLQIGLRDNNDNQMQHANGGRTAPFDAV ILAAPPLICHRLLARLAQDNVHHSADAIGTRAAIHDAAKPATESPVPVPVPESNSNSN QNQNQNQNLNLNLNLNLNLNQQRTAPLLQQLQSFQYHAIATLTVFLAAPFPLPDCMYM LIENRDLDHDGQWLFNRSRFMQPSASRGACVAPADAANASASDEPTSARDDEHRHAIS IVISHADHLVGTDKNRIADAVLAQVRSQLPKGVLLPAVLGHELIIEKRATFAATPLLA RPKNTTPWPGLFLAGDWTDTGYPAVLEGAVISGIGAAQAVRTSFDNKAAANATARS MIM_RS07915 MTPDQYCQEKAARSGSSFYYAFLFLPAQQRRAITAVYAFCREVD DVVDECTDPSVARIKLAWWSKEIHNLFEGKAEHPVTQALQPHLAAFGLREEQFQAIID GMEMDLDQTRYMDWPGLRKYCWHVAGVVGQLSAQIFTYQNNDTLPYAEKLGLALQMTN IIRDVGDDARRGRIYLPIDDLQKHNVKAADILNSRETEDFRALMAFQTTRARQLYREA MQLLHEEDRRAQRPGLMMAAIYYTLLCEIEKDGWPVLSSRISLTPLRKLIIAWKIWVG GGKGFVRKIRKLDVPAS MIM_RS07920 MSVDHYENFPVASILLPRHLRSAVTAIYAFARRADDIADEGQAS ALERLTQLDAFDQAVVRMQDSDFSATHFDDVPQLLFLALQREMQLHQLPITPLRDLLV AFRQDVQHRPFQNDTDLLDYCRYSANPVGRLLLHLYRVTDASSLQLSDHICSALQLIN FWQDIAIDTERRRYYLPADRLAAHGMTHADLDTRQLNQHWPRLMQENTAFARKILLAG APLCSRLHGRPGLELRMIVQGGLRILEKIDAVAGDVFDHRPTLNKKDWALLAWRSVRN KYYDT MIM_RS07925 MPRPIRAVISQQAMSHNLSVIRQYLDNARQAPAAAAHGAQATSP GATHIWAVIKANAYGHGLETAIGGFAQADGLAMLDLQETLRARQAGWQGPLLMLEGFF EARDVPELVRNRVVSSIHHPEQIRMLQASTLAAPLDVFLKINTGMNRLGFAPQQFGEA YQALQALQQHGKVGRIGFMTHFADADGAHGAVDAPAQVFHDTVGQCQGSISLCNSAAV LRYPQLAVSAADNWVRPGICLYGSSPFANTTGEEFGLRQTMTLQARLLSIQHIKQGQS VGYGSTYTAARDMRIGVVACGYADGYPRSAPSGTPAWVNGVATQLAGRVSMDMLTIDL DPVPDAVVGDWVTLWGNEGPHIDEVASAAGTIAYELLCALAQRVPVHVEYSGEVVEK MIM_RS07930 MKNKCVLVTGATKGIGWAITRRLSDAGAHVVGIARQVSDIDFPG FLYQCDLNDAGHTEEMLRMIREKYPVDAVVNNAGIVLAENLGEINLSSLYQSYDVNLR AAVQVTQAFVESMKVRREGRIVNIASRAAGGKAGRTSYSASKAALIGCTKTWALELAE YGITVNAVSPGPIETEFFRKGQPAGGDEEKQLLTRVPMKRVGRPEEVAAAVAFLLSDE AAYITGQNLCVDGGASI MIM_RS07935 MAKAKTVYVCQECGGVSAKWQGQCPHCQAWNTMVETVERGSAAA APNRYAHLAEAAPVRNLADIEARDTPRQPTGLSEFDRVLGGGLVPGGVVLIGGDPGIG KSTLLLQALASLSQATPVLYITGEESAEQVALRAKRLDLATGAVQLVAEIQLESIQAV LQQHKPAVAVIDSIQTLYSAELSSAPGSVSQVRECAAQLTRIAKQTGVAMVMIGHVTK DGTLAGPRVLEHIVDTVLYFEGETDSSYRLIRAFKNRYGAVNELGVFAMTDKGLKGVS NPSALFLSQHTDNVPGSCVVATQEGSRPLLVEIQALVDTAHVPNPRRLTLGVDGSRLA MLLAVLHRHAGVATYDQDVFVNAVGGVRITETATDLPVLLAILSSLRNKPLPKGLIAF GEVGLAGEVRPATRGQERLREAAKLGFSLALIPKHNAPRQPIEGLEIKAVSRLEEVLE LIR MIM_RS07940 MDWYLNLLLFVSLGAAIGFVGAIVGIGGGLIAIPMLALVFSMPQ QLAQGTALLMIASNVILTLRNYHKRSPIDFRSVAIGVAANVVTTHLAALVAQDMSPVL LRNLFALFLGSVATFYVWQTLRAGKKRPVAEAGAARRMGFIQYVILGSISGVIGGLFG VGGSLILVPVMTVVYQFRQTSAQAMALSMILPGTLVALITYSWHGNTDWTVGIALSLG GMLMIRQGVRLAFYLPERTLKLIFAGVLYLTVALLLLK MIM_RS07945 MSSLAIWQMAWRLFRRDWLSGELRLLALALVVAVTAVCSVGFLA DRVSSGINDNAGQVLGADLLFESDTPIEAEVAEHAHAMALQTAKTWQFPSMVTFDKQS RLASIKVVSAGYPLKGTVTLQGAGEGDHSQNNSQNRQTVQQIPAAGTVWVDPALLAQL QASPDAPLRVGMKTLKVAGLIENEPDRNVAFVNIAPRLMMNEADLQGTGLLVPGSRVK ETLMMAGDSETIGQMRQWLTARPAKGRQIIGTDSARPEIRASLDRAEQFLSLVSVLSV MIASVAIALAARRFSARHQDGLAVMRSLGATRRTILRLVAIEFLLIATFASVAGVVLG LALHYVLMYFLAGLIPAALPAVSWVPGVAGLVTGWVLAFGFSVIPVMHLSRVPPLKAL RRELDVGTGRPLVAIGFGIVVWVGLLLLHTGNTTLALISAGGFIGAMLVCAAMAWIIL RLLAQLRHRLVARPVLRFALAGLVNRRGMTVVQIGALTLGIMIILLLGLLRTDLLAAW QNNLPPDAPNRFLINIQEDQKPALNEMFASAGLGTPVLDPMVRGRLVKIGDKDVDPAA YESERTQRLAEREFNLSWLSQLPSSNRIASGRWLNPEAAEVSFEDDIARQLGVALNDE VTFDVAGELVTARVTSLRTVKWDSMQVNFFAILSPAILQDKPATWITAFHLPPQQAAF TQDVVSQFPNITVFDVSGILRQLQTILDSVTAAVQFLFVFTLAAGIVVLSTAFLSTQD ERMYEAGLLRAMGATSRQLDQAQRSELIVIGVVSGTLAAAFAILIAQLLAVQVFDITL STGIMPWISGILLGVIASWVGGKMALRTVKNTPPLLILRSAD MIM_RS07950 MARLLNIVENLDTTTTFYDQIGGEAGLHALVARFYDLMDLEADF KALRAVHGDSLDHAREKLFLFLSGYLGGPDRYIEKYGHPMLRARHLPFAIGIQERDEW VACMGRAMMDCKVPAPLQEHLLHSFFGVADWMRNKDG MIM_RS07955 MAEPDFHSTHRPGRQMHQGIRIHPDFPVPSRIEIFTPRTWGDKA TKVSDGGRNTAWYLDTPWGEAVLRHYHRGGLIGRFVDERYLWVSEEITRSFAEFALLN EMHAQGLPVPRAMAASYQRRGVTYRAAIMTERLRNTISLAQAISQAGPASIKLIEPVS AAIRQLHDANVYHADLNAHNILVDDVQKIWLIDFDKSSRRNMTAALRQQSLERLGRSL QKLLGEQGVIFSGQLQQQYKQS MIM_RS07960 MSLACIASVAHAAEEKVLNVYNWAEYSAPDTIPGFEKETGIKVR YDTYDTNDILQAKLLTGKSGYDVVVPSTHYASRQIEGGLFQKLDKSKIPNWKNLDPAI MDLVAQVDPGNQYLVPWGYGTNGIGLNVTKARAALGEGAELGKWETLFDPENAKKLQA CGISILDEAAQVFPAVLHYLGKDPNSDKPEDYQAALDVLKKIRPYIRQFSSSGYIDEL ASGDLCMVYGFSGDVMIAASRAKEAKKDYVIDYYIPVGGAPAWFDTMAVPKDAPHPEN AMAFINYIEEPKVHAAITNNMFYPNANKAAREFVNKEIADNPMIYPGADVAKTLFVIK AQPIKIARLQTRLWAELKAGK MIM_RS07965 MTDRHLSVVTSDADEFVNLVDVVKIFGDTVAVKSVNLTVRRNEI FALLGSSGCGKSTLLRMLAGFEAPTSGKILLDGMDLTGVAPYKRPVNMMFQSYALFPH MTVESNVAYGLKQEGVDRQEIHDRVFAALDLVQMAGYARRKPSQLSGGQQQRVALARS LVKRPKLLLLDEPMSALDKQIRQKTQIELVRILDQVGVTCVMVTHDQEEAMTMASRIA VMTEGQIVQTGTPQEVYMFPNSRFVAGFIGSTNIFTGTIVVDEPDHIVIESDELMRPL FVNHGVSEPLGMEVFVSIRPEQIRVLIDQPDDETNIGHGMVTHVAWMGSYTLYQIRLD SGKIIEASVPGIELAQENAPGIDDEVFVTWGPDSATVLPS MIM_RS07970 MKPLRSLRNYRPDQRAWAILPPYIWLVLCLLVPFFIVLKISFSE STFGIPPYSPLVQIEDEVLTLSLHFEGYLLLLTDPLFIGAYLKSLKMASVTTIWCVLI GYPMAYYIAKAAPRQRNLLLLAVILPFWTSLLLRVYAWVGILRNEGLLNQFLMWTGIT SAPIEIYRTDLAVYIGLVYTYLPFFILPLYTNLVKMDRRLLHAAYDLGARPWKAFVTI TLPLSIPGVIAGAMLVFIPTVGEYVIPELLGGTNTYMMGRLMWDQYFTDTNWPVAAAV AVTMTLFLLIPLVIFQYSQARALKGRDA MIM_RS07975 MKQENIWLKWLLLGAGLLFLYIPIISLIVFSFNDSALAASWSGF SLRWYHSLMQDSALLSAAWLSLRIAFLTATAAVIIGTWAGYVLARKGPFRGFGLYIGM LNAPLVIPDVILGISLLLMIIEIRNITGFPESNGIFTIWLGHVTLCVAYVSVVIQSRV RELDRSLEEAALDLGAPPLRVFFTITLPLIAPALVSAWLLAFTLSLDDVVIASFLNGP GYTTLPIEVFSRVRLGIKPEVNALATLMILLVGTFVIVANYFQGRTKQ MIM_RS07980 MSVIRQYGLKRCSTCVKARKWLEGQGQQVEFTDYRDEPADSALL QAWAAAAGGAQLMINRNSQTWRGLPEDRKTPANEQQWLALAAEFPSLIKRPVTMFADG TTTFGFAESTFATHLS MIM_RS07985 MKSQEQLKREVAQAAVAYIRPFFGADAVIGVGTGSTADLFIDEL AKHKAEFAGAVASSERSAARLREHGVRVLDLDETDRPLPVYVDGADEINPLLQMVKGG GGAHTREKIVAAASERFVCIVDETKCVDLLGRFAIPLEVIPMAVPIVMRKVAALGAIA TLRAGFTTDNGNPILDVAGMQLADAREMETTMNAIAGVVTCGLFALEPATVVMVARQE GVDIRER MIM_RS07990 MAQHTNTRFDAELEDIRSRFLRMGGLVEAMIEDSMLILSEGNLS VLDRVLEREKEVNRLEMEIDYSITQVLALQQPTAVDLRLVISVSKMLTDMERSGDEAE KIAKMARRLYDSPTRYEPIVDMTHFGEAVQKMLNRSLDSFARKDAILAAEVVRSDKKV DKEWKGILRELSSYMIEDPRTITASMDLIFIARALERIGDHAKNMAERVIYLVQGEDA RHTSVKKVESMARGDDEGADAAAPAASAPVSDQSN MIM_RS07995 MARMIQCIKLKKEAEGLDYPPYPGELGAKIWRSVSKEAWQQWTD IQTRIVNENRLNLADARARKYLQQQMESFLFDDVDVEAQGYVPPSA MIM_RS08000 MAPDPETYSAQDAPEFVPAQFVRWFRDVAPYVHTLRNKTFVVGF GGDLIQAGALNALIQDLSLLTSLGVHIVLVHGCLPQVNEQLRLKGFSFQFGREPEPTS AEALECAKEAAGEIRLDIEAAFSQGLPNTPMSNAQIHIISGNFVTAQPVGVIDGIDFR HTGKVRKFDLDAMKKVLSHGGVVLLSPIGFSPTGEAFNLAMEDIATSTAVALRAEKLI FLTQNRMLRDSDGQIITEIAREDAEAMIREGTLDADTRSYLSHAAKAVKRGVARAHLI PYELDGSILLEYFTHDGVGTMVVEDTLDDLRGATIDDIGAIVQLIEPLEADGTLVPRG RHVIERDVERFTVLEHDGVIYGCVSLNPFPADQMAEMACLIVNPEWQGSGEGEMLLRH TEARARAMGMKKLFVLTTRTSHWFIKRGFVQGTISDLPQEKQMNYNRSRNSHIFIKKL MIM_RS08005 MNRLKLYEKKGDRAADTAKKPQKARDNTPARPVPAPREIPPITY PESLPVSERRHEIAQALQENQVIIVSGETGSGKTTQLPKICLEAGRGRTRLIGHTQPR RLAATSVARRIAEELNTPLGELVGYQIRFNERTGPNAAIKLMTDGILLSESQKDPLLR KYDTIIIDEAHERSLNIDFLLGFLRVLLTRRRDLKLIITSATIDADRFARHFADASGK PAPVIEVSGRLFPVEVRYRPVRFLDDDDEAKPTRSAGNEARRLDRDGEKELVDGIVAA VDECAAHGAGDILIFLPGEREIREAAEALRKHHPPSTQILPLFARMSQQEQERIFRPD TNQRRVILATNVAETSLTVPGIRFVVDSGLARVKRYSWRNKVEQLQIEPISQASANQR AGRCGRVGPGVCIRLYDEEGFAQRAKFTDPEILRSSLASVILRMKALRMSDIESFPFV DRPAGKAVADGYNQLQELGALDEQNALTAIGKTLSLLPLDPRIARMILAANDQHCLHE ILIIASALSIQDPRERPFNAREASEQAHVRFRDDKSEFMSYLKLWNWYEEAVRHKGSQ RKLITQLRGEFLSPLRLREWHDVHTQLLQLVREQGWRVNTTEATFEQVHRALLTGLLG NIGVKSEESAQYQGARDIRFVVHPGSALIKKSGKWIVAAELVETTRLFARCIANVDPR WIEATGAHLLKKHWSDPSFNASRGQVLANERATLYGLMVYSGRKVNYGRIAPAEAREI FIREALVDGQITATLPFIVHNAKVIREIEKMEHQARRPDILIDDELIFAFYDKQIPAD VCQTQTLVNWYGKLDQPAARQLLLNREDLMRHEASGITSDVFPKRTEWEGMSLALSYH FEPGSPKDGVTATIPVFLLNQVDPVQSEWLVPGMLKEKVLHLLKSLPQKLRRHCVPLP DYAQGFFERWFDKAANPDRSLLVALADDIRDELTIRVATSDFKPETLPPHLFMNFRVV DEHGRMLSAGRNLSQLKAEHAPAAQASFQSMVSRDKSVAQVVSQDDIVQWNFGRLPEI MEIRKKNDVFIGYPALVDLGESCTIDVFDDPESAAGKHRQGLLRLFRIAMKDQLKQLA KSIPELTRMGMLAVSLMNQENLREQIVAAALGQACLAEPLPTDAEQFEARRQDAKGRI GLLIQEESRLALTTLTEWAALQKKLVSVRSVKPLHDDIVAGAGAFMTAHFLTDHPHSR RTHYPRYLKAASARIDKYRSDPARDARLMQEMAPLVVNYQRMRSALKGQADARLDDFF WMLQELRVALFAQELRTPVPVSVRRLQKSWESLNR MIM_RS08010 MTSVDASPSFVHLRTHSEFSVVDGTARIGELVAAAAAYSQPAIA LTDLSNLFGLIKFYKAARSKGIKPIAGCDVWLTNEQDRDKPFRVLLLVQNREGYLALC ELLARAWTANQYKGRAELQREWLQNQPGLILLSGGMAGDVGQALLAGRTEQAEALARR WAQWFPRSYFIELQRTGPQADERYVQLAMQLAARLNLPVVATHPVQFTRPDDFRMHEA RVCIAEGELLGSKTRTRRFSEDQYVLSSEQMAEKFADVPSAIANTVEIARRCSLTLSL GKPHLPDFPTPDNSSLDDYLVKLSEEGLEVRLAQLYPDEQEREKARPAYQDRLKLECK TIIGMGFPGYFLIVADFINWGKNNGVPVGPGRGSGAGSLVAFALGITDLDPLRYDLLF ERFLNPERVSMPDFDIDFCQDNREKVIEYVKEKYGRNAVSQIATFGTLGAKAVVRDVG RVLELPYSLCDGLSKLIPFNPANPWSLAKTLEEEPEFRRRYDNDEEVKAIVDLARPLE GLTRNIGMHAGGVLIAPGKLTDFCPLYCQPGSETSVVSQYDKDDVEAAGLVKFDFLGL RNLTILDWAVRYVRRFNPAMADFDIMSLPLDDPGAYRTLCEGNTTAVFQLEGRGMKEL LKKLLPNTFEDIIAVLALYRPGPLESGMVDDFVNRKHGRAEVDYFHPSLESTLRSTYG VIVYQEQVMLISQIVGGYSLGGADLLRRAMGKKKPEEMAKHRELFEKGAVEKGYDGDL AVRLFDLMEKFAGYGFNKSHSAAYALISYQTAWLKAHHPAEFIAATMSSDMDDTDKVQ VFWRDALDNGVAVLPPDVNASVYRFEPVEDEAMRAGKPPRTIRFGLGAVKGTGQGAVE EILRAREAGGPFLDLYDFCKRVNRHTVNRRTMEALIRAGAFDELERNRAALLAALSHA IDAADQADRSADQVSLFGDDSGAIVQDQVARVQPWNLHTELIEEKNALGFYFSHHLFD VWRDEVRRFAPTRLAGINESRDAQWVAGVLIGQRFFASNRKTPGQPEADDRLYFLLLD DGSAQVEIMCPGSVYEQNRHILKSDSLVIARIKAKTNRFNGGLRISADSLYDLQKARE ERARCLQIEVADGMSVSMLKSLLNPYRAEPENGIPGVRVQLSYVSTRQGYKCDIELGE EWRVRMADSLFERLREHQQSGAVEVSYS MIM_RS08015 MPDAAVEQTTRPLRIVHSEAAVSFGGQEHRIFKEMRAMRKRGHH MELICRPEAQLVARMRDEGFVVHTVTMGGIINFVKGVAAIRRILKQGRFDVLNTHSRK DTLIAALAGRLAGTPLIVRTRHLAIPIGSLLSYTWLPHKVATVSNHVRQMVLDKGVAP EKVATIYSPVEPPAPVAQSTLRTELGLSSSAIVVICVAVMREKKGHIFLIDSMKALFE RYPDLHLVLVGAGSPTFEKVQKHIADSDLQQRVHLMGYRKDVPNLLAGSDIFALATEQ EASGTVYVEAQMSGLPVVGTDVGGVSEMLRNGETGILVPLHDAAALTQALDRLIQNPS LRTQMSQAAHKWLYDEAVFSPEMLAINTEAAYCKWLDEKS MIM_RS08020 MTDIAKNVPVLMYHHVHPLKSPLNVQPDVFERQLAALKGAGYRS LTIEQFADYMNGKPVPDKSVLITFDDGYLNNYTYAWPLLKKYGMTGVLFVVTGWVGEG EPRPTTASANPADLPAAYVHEESKHLVASGETDKVILRWSELQEMDASGVMQIHSHTH THTRWDKVAASREEKIEKISEELALCRGLIARRLNKQDDFICWPQGFFDDDYKQAARE QGYRYFFTTDAYGFNKPHGDPQNIYRIAVSNRSGKWLLNRLFYARDTLAGRLYGKFKK WKKMRREARKRAAEARA MIM_RS08025 MSATNGMLDPDDSVILLIDHQSGLFNTVRDVPIPDLRQYVTAIA KTATLLNIPVITTASVPDGPNGPLIPEIHTHAPHAVYVPRTGQINTWDNPLFVQEVEK TGRKTLIIAGTLTSVCMAFPAVSAIQEGYKVYCVVDASGNWSKLATDTTIARVAQAGA IPTDTFAIVAELMRTWNRPEGSRFAQILAEHVCPEYKCLMESYDKAQEIAKTGPETKL DKYQ MIM_RS08030 MTIKASKAAASCPVETTLKVIGGRWKVLIIQFLLEQPRRFGELS RCLGSVSARSLSKQLRELEEDGLIVRTDFEEKSPKVEYSVSELGKEAEPILLAMAVLG EALESRAEKSSRTSKKK MIM_RS08035 MFSSLINLVVPLNLCIALMVIGFVLCLIRYRRSGLTLIFAGILW VLVWSLPITSIVFGGILENSYPHRPAAQYPVAQAIVVLGGATANNRINWFEPLEPDSK IARVDTAADLYFQHKAPRILVSGGALSGDVSEARGMAARLKTLGVPAEDIILENESRT THENAALTVQQLQDHQIHSILLVTSALHMPRSMASFSKYNLNVTAAPNPAQITIPEDE QFSLFIPSERALAGSRSILKEYVGVLVYWMRGWL MIM_RS08040 MKNLERVYIRLPNWIGDVCMSRPAIEAALASGLTIVACGKPWAQ ALMGELPGLQFLALSGQWRQDRQRIKAHRSEHPCAGRSVGLLLPDSLTSALAFRLAGL PCAGYRDDGRSLLLRWGFTKPAQTLHAVQSWYWLTREAFARWDVTLPAEPANSLSLPS GTNAIAAAQAALAAAGVTNAPILIAPTATGEHKGKNKVWPYFDKLTRQLQAQGYTVVM SPPPNEVAQAQANAPTATLLAALDLTAFVALLRQCSLVICNDSGVAHLAALTETPQLT LIGVTNPTRTRPWTPRAHLLGTYGQWPSVADVLNTVNQLLRNE MIM_RS08045 MDNSFKSGSRKTVFRRIYLRVGDYWQALAAALVLVSVAAVTQPA LAYIMKPLLDEGFNGAKPHYIWSIPLTVVGLFLVRGVLNFLSDYLLAWIANNMLMGIR KDMFEKLLGMPDGEFQKGDTGRLMNRFTIDAGNITDYATEVCIILVRDGAVVIALLAM LLYLSWQLTLIIFIIMPISVITTRIFIKRLRRINMKTVDINAELTRVVKESIEGQRVV KLFNGYEFERSRFQSVNNGLRRFAMRSAIASAAMTPITQLSIAFAVGIVIATALYQGS TGALTVGSFAAFLTALAQIFDPVKRLTNVAARMQKMLVAADSVFTLIDSPQENDTGKK VLDSDKLGNISFANVNFRFPDAGSDTLNDISFTVKRGETIAFVGRSGSGKTTLVNMIP RFVDPISGQITIDGTNIADFTLESLRSQLSLVSQSVVLFEGTMAQNVAYGFFGDTSEE RIREALASANLLDYVNSLPQGLDTPIGENGAWLSGGQRQRLAIARALIKNAPILILDE ATSALDNESERQVQASLETLMKGRTTFVIAHRLSTVQNADRILVLDRGHIVEQGNHTE LLKNDGLYASLYNMQFREG MIM_RS08050 MTQSSPGQGAFWGYEHPEVKGPNALMFFTWDLSKTIEQAFKDAN DDNINDFLDTAQTSIDALLQKYIEIEADPATFDGQSIRLRFEQGEESNTPLIALETSP HLEDRIIKMQARVQPGHS MIM_RS08055 MSGHILINVTPFETRVALIEHGVVQEIHLERTRQRGKVGNIYLG RVVRVLPGMQSAFVDIGLERAAFIHIADLRENRAVRNTGLPYTQIEKLLFEGQTLLVQ VIKDPLGNKGARLSNQISIAGRMLVYLPYDNHVGISQKIESEEERNSLKERVIAHMPA NEKGGYIIRTQAEGATDDEIARDQEYLARRWSMIQAAVMTQAAPSLLYEDLTLAQRVL RDMVSPDTESIEIDSRITVQTLQTWADIYTPGISNKINHYHGERPLFDTANVDEEIKS ALSRRVDLKSGGYLIIDQTEALTSIDVNTGGFIGGRNFHDTIFKTNLEAAHAIARQLR IRNLGGIIIIDFIDMDNKDHQDAVLAELQKALSRDRTKTTTNGFSALGLVEMTRKRTR DSLSHLLCEPCPTCDARGQIQTSQTMCYNILREILREARQFNPREFRLIASQGVIDLF LEEESQYLATLGDFVGKPVTLEVDSRYSQEMYDIVLI MIM_RS08060 MKKGNEQLAEQATHPDDSGYISIRIRERIRQAGARFHANDNIAR FIEPGELDQLQQEVQDKMQAVLSSLVIDTDSDHNTNETAKRVAKMYLREVFAGRYTEA PQVTEFPNIEKLNELMIVGPIQVRSACSHHLCPIMGKVWIGIMPNEHSNLIGLSKYAR LVAWLMERPQIQEEAVKHVADLLMKKVNPDGLAVVMDADHFCMQWRGVRDMESRMINS VMRGSFLNDSDLRKEFLSLIRAR MIM_RS08065 MMFYRSILSLAVAGVFMPGAALAAAADSATTLEPIVVSASGSSQ NVSDAPASITVIDKEELAHMPVNNLTDAIRDIPGVSVIGSNPSKSDISIRGLSGDYTL LLVNGRRQNTRESRPNGSGGFEAGFMPPLAAIERIEVVRGPMSSLYGSDAMGGIVNVI TKDFTPEWTGSLSMGGILQESSDAGNTANSSFFLSGPLVDNKLGVQIYGGGNFRQEDS IVGGYNRHEDKNIVARFAWLPTDNQRVILEAGRSVQKRRSTPGESIAAYTARGTSVKA NTQDDTIGSRNHWSLTHTGEWDFMSSELSLYQEKAKREVKTDGVYDSRTPEITQTIFD ARFVVPFSVHKLTFGGQYQRGQLKDDSTTGLNKTVQGNIKMNQYALFVEDEIALRDNL ALTLGARMDDHELYGVHWSPRAYLVYHPTDRFTVRGGISKGFRTPGLRELSPTYGTAT EGGRGIIYGNPDLKPETSVSQEMGFEYRDPAGYSASVTLFNTDFRNKLTSYSTGETDS TSGLNQYVYANVGKANIKGVELSAGIPLATGLKLDLNYTYLDSKRKSDDETYSSGESL KGQPLEMTPKHSASAKLSWQANDKLDLYAKASYTGKQAWANQRNGYGGTGGTRYRSGF ITGDVGGNYQINKNLAVGFAVLNIGNQRMYGIDTAGNWAVEDGRRYWLNLNMTF MIM_RS08070 MPTLAFRCCATGSAWLQAGVVAIAALAVPGALAQPVQTIPKIRP MANEIYKVSHQDIDWHERSYRIFVAAPESRPDIHAPLSVLYILDGNAQFPLAVNAVYE QWAAQAGDASSKGALPLIVGLGYPDDKAYPLTLRERDYTYAAPGEAFAKGGGAADFYG FVQDAVRPYIKRQYAAATGKQMLAGHSFGGLFTLYVLLNHRDAFDQYVIGSPSLWWGH GALVTDANLPAAEPAQASGLKTGSAAQAQADGSGVKSRFVTILQGEYEENPEANPDMK PERLARIKQRRSSVTARELDAWLRQHGMDSHFILVEKAGHGGVIPAVIQTAVQAAMHH MIM_RS23170 MDKYVHALLAAGQDVFTARLLFLSSSSATFITGAELMVDGGWWM DGGLFDVQDI MIM_RS08100 MCRNVERQHRDLCKVLILHGLSPGIIALAKTLIGALILAIVMPF CKKRPLYAKWYAVAACAFFGIFIMFIFETKAYGFDTAANVVVALMASACVTTIVLGKL FLGEHIRAATVIGALLAILGLVVIVGTKFSFGFSPIGTILASCAGAGYGIFSIIMKKN GLEGGLLLTRQMLMYGSMFLLIPVGMQLPASFEINYAVIGCLIGLAVFPTIFGFYCTT KAIQYLAPSRVQIIELSEPIFAALIALIFLHEQPGLSTFVGGILILLGISIANDLFRL PADRESVVSKNNPDMQ MIM_RS08105 MNKLIIPLIACCTLYTTAFAASAPVTELNTIANSPQRYSVKDKH GNALPDYIMPDDQSILKETNAEQILYGKRLLNETNRLLPDYVQSQMACNSCHVAQGKV PLGAPFINTYNAFPQLNPRAGRVFTLADRINGCMQRSMNGRPLPEEGREMKAMIAYMK WLAQGLPDGAKVDIRNAGPIDTTLKPDPLHGAQVYRQYCASCHGDNGEGRRDFSGNMA FPPLWGDESFNIGAGMARTYKAAAFVKYNMPMGVNTNGLWGAGNVLTDQQAIDVAEYF THQPRPDFAGKANDWPKGKKPKDARY MIM_RS08110 MRNVIKWSDHKLEVNAMKVLKSLLLFLLLMLGIGYIGSQLLLNK RAPENKQTVDILDPERIQRGKYIARTADCIACHTTPGGQPFAGGLPMDTPLGAIYSTN ITPDRETGIGAYTFSQFDNAVRHGVSAAGVPLYPAMPYPSYTIMPQEDIKALYAYFMS EVAPVRQDNASPTFPWPLSLRWPIAWWQALFSPSRQFVAQEPGDARLTRGQYLAEGPG HCGACHTPRGLFYQEKALSLADGDRFLSGAVIDGWRAKSLRGEAQGLQSWSREELELF FKTGRNDVAAAFGAMANVVQHSTRYFTDDDISALSAYLKQLPAAQNKLKRFPDKTDTT TATLLTMNNPGSPGAMVYMQYCVTCHRADGKGIARIFPALAGNSAIYARNPQSVIQII LEGGRMPDTQYDRMAFAMPGFAQLSDDQLLAVINFIRNGWTNQAPDIQPRDIRHMRHF LASKTRTIAPVGEKHE MIM_RS08120 MQRNAGTDGLKIALAMMVVALHASLFTETAEYLNYLFVNGLLRI AVPTFFIINGFYFYPLIARNRPFAGWARRLAVLYGIWMLLYLPFYWPAHWGLARDQIG LLEKFVFGYHHLWYLVGTLGAGAVLYLLRRRSDSFVLTAGITLFIVGYVLQALGNYAP IEEGLAGYVLNSGWIFRNFLFFGFPLFAIGYLIAKHRLHKLPRRDPQLLCAIFAAALL LVLESTVHYLLHPAKAGVDMYLSLLILCPLLFIFFHSRSARLNTDFLSKLSTAIYLTH VYFINILLNTGWMEQGTALFVMVMFASTIVSAVLVWADRKWNMLF MIM_RS08125 MSTLLPLQACTLKHFPPELGTTTPEAFAAAQLNVYDNDFSFPLA VLNQPALEHNLQWMQRFAQDKGVSLAPHGKTTMSPELFDKQLTAGAWGLTFATLFQAQ TGIQAGAKRIIIANQVVGDADLRLLQSLLQTHPGLRIWFLVDSIEQVAYIEAWAQHYG SHNPQQKIRFDCLLEIGIAGQRTGCREFEQALALANRIRQSPVLALGGIECYEGNLAT DTEKDKPAVDALMGRCTAVAQACAEQQLFDTHEILISAGGSAIFDLVVPGLTISLDRP VRGVLRSGCYITHDHGVYKRHLDKVQEREHLQESLLPALEVWTMVQSVPEPGLALLTC GKRDISYDLTLPFVTGYAPVGQRTRKIPDASWQITALNDQHAYLHFDAAGSVPTVGDR VILGISHPCTTFDKWKWMPIIDNKGQALSCITTRF MIM_RS08130 MNLNDLTIATDQLDQTRLLNHWQWLLPDQVEILLVTKTADCFVL QPETGHILFLDTNDGELEPIASDFDEFRTVLADPEFITDYFSLELIAPLLATPLPENA IFALATPPVLGGSFETDELTLVDIYQYFDEMGTLWHQLSQIEISDDTADDPDSPQDTD RDPY MIM_RS08135 MLQKILLPIIVFIIVLIGLTFGEGVFTALAVWLHDITGLVIYNF SDLYYTVSEYVARHPVKIVVALVVTAIVCIWIYKNKDEELTRQASSRKIAIFLAIFLG WLGAHRFYNGQIGMGLLYLIISFIWLPLTVFLSLIDAVRYIFMNDEEYRLRIQSS MIM_RS08140 MDVLVLGAGIIGLTTAYYLNRDGFNVTVIEKNSDVALETSFANG AQLSYSYVAPLAGPGVMSHVPKWLLDRNSPLRFRPSLDPATVCWNLRFVKACNAAQSN QTTRELLSLSFLSRDLYHEMMQQEAIAFDHKRAGKLIVHRSRDSFNHAVEQLDFQRSL GCEQRALSVEECISLEPALLRMRDHLSGGIFTESEESADCYLLAVALKKILQQRGVTF RFNTSVSRLTADSNRKVSVHTTAGENLTPDHIVVALACDSTALLKPLGIAVPVSPLKG YSLTLPIEQAASAPMVSITDYERKVVYARLGERLRIAGMADMVGLNRRIDTSRIEALK QEARNLFPEAGNYDLATLWTGLRPATPKGKPIIDATRYDNLWLNIGQGALGFTLAPGS ASVISHLLRGQALPFGKNVFTLADA MIM_RS08145 MPSSVTSSSVAPSASPSLRQAALDALAESDPCKKITAVNQLAAT LPVYADHVLQPTQVLPGRPQRPQLVDPREVSIRSTQTPQGKAALMHSIAHIEFNAINL ALDIIWRFPGMPDDFYYDWLQVAREEAYHFSLVRGHLAASGYQYGDFSAHNGLWDMAE KTADDILARLALVPRTLEARGLDVSPAIQNKLRAANDQRGVEILDIILRDEIGHVKTG NRWYLYCCEQQNQDPVAAYAALIDTYRISKPRGPFNVQARMAAGFTQADIDWLNSL MIM_RS08150 MSSSARIVFLDRATLPDFITLKTFEFGHEVTTYDQTAPAEVAQR IRDANIVITNKVPVSAQDVAQADGLKLVAVAATGTNIVDIEACRDRGVIVTNIRNYAV NTVPEHTLALIFALRRSLLPYHLSVGQGRWAQSGQFCYFDYPVSDLAGSTIGIFGSGA LGSAVASRAQALGMNVLFAARKGQTDVKPTHTPFEQVIAQADILTLHLPLTPATRHMI GAAELAQMKSTAILINTARGGLVDEAALADALENNRIGGAGFDVVTQEPMPDTHPFMR LMNRPDFILTPHVAWASRQAIQALADQLVDNINAFMRNEIRNQV MIM_RS08155 MAETTTLYGDFDYIIAGAGSAGCLLANRLSADPSCKVLLLEAGG DDKWRWLHIPVGYLYCIGNPRTDWCFTTHPDAGLNGRSIAYPRGRVLGGSSAINGMIY MRGQKEDYDGWRDAGNPGWGWSDVLPLFKKFEHHYAGDTPFHGARGELRVEQQRLRWD ILDAFRQAAEQAGIPHIDDFNCGDNEGSSYFQVTQKKGVRFSAATAFLRPIKDRSNLT VITDAMIDRINVKERIARGLRFRHNNHFFHANARREVILSAGAIGSVQILQRSGVGSG DLMKSLGIPLVHHLPGVGRNLQDHLQLRMIYKVNKVRTLNSMMQSPLAKAFMGLQYAF LKKGPLTMAPSQLGIFARSSPRQPRANVQYHVQPLSLEKFGDGLHSFPAFTASVCNIR PGSRGTVNIVSPSLEDRPDILCNYLSTDEDVQVALESIQLTRQIAAQAALEKYTPQEF RPGPLAKTDDDLIRAASDIGTTIFHPVGTCKMGADNMAVVDANLCVHGVGRLRVVDAS IMPTITSGNTNAPVMLIAEKAAQLILDADKG MIM_RS08160 MAFHSAQTQASVFERPVLWAPPKRAIDPAALDEKCRQLRERLLD IARAHQHTRLASSLSAEDMVITDVILRAAEQGESHQDIAAHIDIFTLETGRLPAETLA LLDQIQAHYGVRLAIYTPDESAVQAYIEQYGLNGFYESLQARRQCCDIRKVVPLAAAL AGADAWITGQRREQSVTRSDLHLQEHDEARGISKYNPLFDWTEQEIWAYVERYSVPVN ALHYQGYPSIGCDPCTKAIRVGEDPRAGRWWWENQDSRECGLHVHN MIM_RS08165 MDTLSGSINHHPAHLHTGHLKRLEAESIYIIREVYAQSRSPALL FSGGKDSVVMLHLARKAFRLGKRPSTLPFSLVHIDTGHNYPEVIRFRDELVAEHGFKL VVGHVEDSIRKGTVKLRRETDSRNAAQAVTLLETIEEHGFDALMGGARRDEEKARAKE RIFSFRDEFGQWDPKAQRPELWELYNARVHPGEQIRVFPISNWTELDIWQYIEQENLA LPEIYYAHDREIVRRNDLLVPVTSLTPKQESEQSEIVSVRFRTVGDISCTCPVASTAA TPADIIRETAIAEITERGATRMDDQLSEASMEKRKKEGYF MIM_RS08170 MTTENTNGLLRFITAGSVDDGKSTLIGRLLFDSKAVLSDQLLAV RNAKHKRTVGEQIDLSLLTDGLEAEREQGITIDVAYRYFSTAKRKFIIADTPGHEQYT RNMVTGASTADAAVVLIDPVRALDEQGNVTLLAQTRRHSALLRLLGIRHIVVAVNKMD LIGFDQQRFQNICDAYAQLATQLGLADVRYIPVSALGGDNVVTGSEHMPWYQGQPLLS ILESLELAQPGENDPAALRFPVQLVVRQDGSQADDFRGYMGKIAGGQLSVGQEVRVLP GNQTGIVKEILGPDGELSTAVTGDVLTISLDRDIDVSRGDIFVAAEAQVEAKRDIQAD VCWFDADPLELKRKYLLRHTFASIPVRINRINKVLDVKTLLNETSSDQLRLNDIGEVQ LTLQKPLVSDSYEQNAVTGSFILIDEVSNNTVAAGIIKAAA MIM_RS08175 MSIEVRHVTKTFGDFTALADISLQIQSGELLALLGPSGCGKTTL LKIVAGLEQPDSGQLLFEGTDATNKHVTERGIGFVFQHYALFRHMTVFDNIAFGLNVK PRRVRPSKAQIHDKVHRLLKMVQLDWLADAYPSQLSGGQRQRIALARSLAVEPQVLLL DEPFGALDARVRKDLRRWLRQLHDEIHLTSIFVTHDQEEALEVSDRVVVMNHGQIEQV GTPDEIYEHPASAFVTQFLGDVNLFHGRIQDEQFTRGDYVQPLAPGNIHGSSDDEVTA YIRPHDIELTQDASNALDRGTIEHVHAIGPVVRLELARTGSPDPIEVVMTRERYRLLG LNPGDTVYVKPRKMAVFTRNNTSAAA MIM_RS08180 MNTPFRRTEKPWIRFLLTAIALVFILLFIALPMFLVFTEAFSRG WAFYVDTLSESDALSAISLTLLTAAIAVPLNVVFGVAAAWAIARFNFRGKSFLITLID LPFSVSPVVAGLMYLLLFGNQTALGVWLDAHDLKIIFAVPGIVLATTFVTFPFVAREL IPLMQAQGADEEQAALVLGAGTLQTFWHVTLPNIKWGLLYGVILTNARAMGEFGAVSV ISGHIRGITNTIPLHVEILYNEFNIAGAFVCASVLAMLAIVTLILKSIIEWRQHRAHQ QSQRRSGSLAQTVAQSKISTANAISAT MIM_RS08185 MTLLKKQYSVLPGFGVTLGITLFWLSLVVLIPFAVLFGWAAQAG IDGFLKTILDDRVIRSLYVTFGASLIAVLINVVFGLITAWVLVRYDFPGKKIVDAFID LPFALPTAVAGIALTTLYAPNGLLGSLFEPTGPIGALFAPLNMRIAYTPNGIVIALIF ISLPFIVRTIQPVLEDLEVELEDAATCLGANRWQIFAKVIFPAIAPALLTGAAMAFAR AAGEYGSVIFIAGNIPMVSEITPLLITAKLEQSDYIGATALAVLMLMISFLVLLLINL IQRWNNKRSGMN MIM_RS08190 MTSLSTLFRSISIAALSLTTVLSAAHAKDVTLLNVSYDPTRELY QEIDGIFAKQWKDKTGDTLTIKQSHGGSGSQARSVDNGLDADVVTLALAIDINNIARK SKRINADWETKFPHNSTPFTSTIVFLVRKGNPKGIHDWSDLIKPDVQVITPNPKTSGG ARWNYLAAYAWAKAQPGGSEESAKQYLKDLYGHVPVLDSGARGSTLTFVQRQIGDVLL AWENEAFLSQKELGKGQFDIVVPSLSVLAEPPVAVVDKVVDKRGTRDVATAYLNFLYT PEAQRIAIKNFYRPTDKSLADEAAKLFPPLKTVSIADLGGWDDVNKKHFADGAIFDQI YLKK MIM_RS08195 MELLLDPNIWVGLITLIVLELVLGIDNLVFIAILVDKLPPKQRD KARITGLALALIMRLMLLSVMSWLIKLTEPLFSIYALSFSGRDLILIAGGFFLLFKGT LELHERLEGKTKASTGPRVYASFGVIVTQIIVLDAIFSLDSIITAVGMVDHLPVMFAA VIIAMGVMLVASKPLTNFVNQHPTVVVLCLGFLLMIGFSLLAEGFGFKVPKGYLYAAI GFSVMIEIFNQIARHSLKRTEATRPMRERTAEGILRMLGKRPPDEAHLENPSQEAELP VVFEDEERYMVSGVLTLADRSIHSIMTPRADISWINIEDDIDKIRDEIINTPHTFFPI CRGALDEIIGVGRARDLVADILTDGRINVKKLRKPLVVPESINILTLIQTLKESRGQL VVISDEFGAIEGLVTPMDVFEAIAGEFPDEDETPDIVELGEGRWMIDGAADLRHLEQI LNIDGLYDDNEETATLAGYLLRQFDRLAEPGDVFELEQGHIKTVFKVVALDGRRIGQV SVEQHREKDPEELLDV MIM_RS08200 MDWGQELRNSAFWLIQAYVISFAAIVVAGWLLTRYTHWGRQFWK LAGDYFNPRRSVRPIVMFSVILLLSLSGVRVSVLFSNWYNSMYTALQKLDETNFWIQM GVFTILAGIHIVRSLVTFYLQQAFTINWRESLNDVFLGKWLSRTSYYRSHYLSTPADN PDQRIQQDISSFVTVSLDLCLGVVSSLVSAVAFTIILWTLSGDLTLAGVTIPRGMVFA LFIYILIATVFAFRIGRPLILLNFLDEKFNADYRYSLVRVREYAESIAFYRGEKIEMG KLMSRFRQIISNVWAIVHRSVKFQGFNFFVSQTAVIFPFIIQAPRFFSEQITLGAMTQ SAQAFGTLHDNLSFFRNAYDTFAGYRATLNRLHGFLTNIENADALPLPDVRQDGDKVL LENVSIRTPLGQELVNDVSLNVEKGQSWLLQGPSGSGKTTILRAVAGLWPYSSGTIVR PEDDILFLSQKPYVPEGSLLEALYYPHAVPADGLEKGRQALLDVHLAHLQPRIEETVS WSHTLSLGEQQRVAFARLLLANPSAAFIDEATSAMDEGLEDAMYRLIHQRLPDLKLIS VGHRSTLIPQHSHLLHLNGDGTWYSRPIDTPPAPVSA MIM_RS08205 MEPTQADTSPNANQAGATQLRVDSWEALREDATIVRYEVFVIEQ KVPPELEMDEMDGVCIHAVAYDAQHKPIATGRLLPDGHIGRMAVRKRARGTGIGGLVL QRLIQAARTRGDTEVVLSAQVHALGFYARYGFVAEGEVYMDAGIAHRTMSLSLV MIM_RS08210 MSGYLMVKHTHMTLAVLSLLFFILRAGWSISGSSLLARPFVRIA PHVIDTLLLACGLYLLSFIGMQTFIVAKLIGLVLYIVLGTMAIKRARTAGQKAIFATL AVLTFAYIMGAAIRHSPWSWFTPMLGA MIM_RS08215 MEVELGWNVFLILFFVAMLAGFVDALAGGGGLIVIPVMMLMGFP VLNVLATNKLQGSIGTLTSSLTMMRRGVIQFRELRVPALMSFVGACLGTVLIQLIDPS VLDFLIPLVLLAIGLYFLLTPSAGQTERAARISAKPFEFGVVPAIGFYDGFFGPGTGS FFSLANITLRGMHIVKASGAARLFNFASNVASVAVFVVGGQVLWLVGAVMICGQIIGS VSGSVMAVKHGSRLIRPLIVVVCFAMVIRFLLQRFWA MIM_RS08220 MATRKKSANTQQSSLPLHEPGQAYDPDVPSRENILSLLRGADKP QSLQQLINHFNLTRQGGVDGLEKRLAAMLRDGQLDNTPQGWQITTKPKDYVIGRVQGH RDGFGFLIPEDGGQDLFLSPREMLKVLHGDRVQVKPTGEYRGKPEGTIVEVLERSTNR LVGRLLQEQGIYVVAPEDQRIKHDILVPASDLGGAQHGQVVTVEIVQQPTRHTQPLGR IIEVLGEIDDPGMEIEIAVRKFDVPVGFSEATLAQADALPETVVQRDLSGRVDLRDVP FITIDGEDARDFDDAVYCEPVNIGTEQRRRKGWRLLVAIADVSHYVRPEDAIDTDALA RGTSVYFPRRVIPMLPEKLSNGLCSLNPQVDRLVLVCDMVIPATGAKAGTVTAYQFYN AVIHSHERTTYTQIWTALQQIDGPTARALKHVLPHIQDLYTLYQLLDGTRKKRGAMDF DTIETKIISNELGKIEQIVPHVRNDAHKLIEECMLAANTCAAEFVKANKRLSLYRVHE GPTPEKLKNLREYLRTLGLSLAGGDEPSGKDYAALLDSARARPDFQIIQTMALRSMQQ AIYSPDDSGHFGLAYPSYTHFTSPIRRYPDLLTHRVIKAILAKRAYVPETAGVAWVEG ESRQQHEHALWEKLGVVLSAYERRADDASRDVEAWLKCWFVREHVGEVFSGKVTGVTS FGLFITLDTLYVEGLVHVSELGTDYFQFNESLNELRGERTGKKYRLTDSVQVQVTRVD LEARRIEFALVKGITYDSLRKQAQRQDAPPRPPKRAAKPKPAGIKSRGQQALERRATA IKAGKKAAQSAGKKASRRR MIM_RS08225 MSSRQILAGFHAVTARMRHASDSIREIYIEASRSDKRMQSLVDL AKQHEIKVIPVAPDRLDGLARGVRHQGVVAVADPKQLAVTVDDVLDVITEPAHLLILD GVTDPHNLGACLRTADAAGVHAVIAPKDRAVGLNATVQRVACGAADTVPYIMVTNLAR TMRALKERDIWLIGTDDEATSDMHEVDAKAAVAWVMGAEGEGLRRLTRETCDQLVRIP MLGSVESLNVSVASAVCMFETVRQRK MIM_RS08230 MKEKQLTTTVLHADRRDRIEHGAIHKPIHVSTEYAYDDARELAA VFQGKPGYTYARQGTPTTAALENKISLLEQGKGTVTFATGMAALSAIFLTLLRKGDHL ISSSYIFGNTNSLLGTLTQFGIDITFVDPSDAAAVSAAIRPETRMVFVETIANPGTQI ADLEQIGLLCRERQLVYVVDNTLTSPCLLKGRDVHASLVMNSLSKYICGHGNALGGSV TNTGLYDWSTYANIYDDYKKGSPDSWGLTQIKKKGLRDMGGTLSAEAGHKIAVGAETL ALRMNQACSNAFALARFLESHPGIASVRYPGLAGHPQHELATRLFGGRYGALLGVELI DGIDCFDFLNTLDVVILATHLGDSRTLALPVAHTIYYEMGAQRRAQMGIGDNLLRISV GIEDEADLINDFAQALDRCMGK MIM_RS08235 MNKTELVEYIATKADISKAAAGRSLDAVIAAVKQQLKKGGTVTL VGFGTFAVSTRAARTGRNPRTGEAIKIKKAKVPKFRPGKALKDAVN MIM_RS08245 MSIQLVVPQMTAPAERTGVVGGEAAGDPVSEETRSPRYGNEDTG SALLQAALTRENLQAAFKRVRANKGAAGVDGLDIDQTAHHLVSAWPAIREALLTGKYR PSPVRRVMIPKPDGSQRELGIPTVTDRLIQQALLQVLQPILDPTFSEHSYGFRPGRRA HDAVLAAQSYVQSGRRIVVDVDLEKFFDRVNHDILINRLQKRIADAGVIRLIRAYLNS GIMDSGVVIERHEGTPQGGPLSPLLANVMLDEVDKELERRGHCFVRYADDCNVYVRSR RAGERVMNLLRQLYARLRLKVNETKSAVASVFTGRKFLGYSFWMAPKGVVKRRVATKA VMAFKQRVRQLTRRLGGRSMQDVVDRLRAYMLGWKGYFRLAQSQKLWQTLEEWIRHRL RAIQLKQWKRGKTMFRELRTLGASVTVAHRVAANSRRWWRNSGKLLNSVLTIAWFDNL GLPRLS MIM_RS08250 MAAGSLLALLDDIATVLDDVALMSKTAIKGSAATFDDVAVLGKT AMKKTSGVLGDDLALNAQQVTGVNPDRELPVVWAVAKGSLLNKVILVPAALLISYFIP WLILPLLMLGGAFLSFEGAEKVIHTFFHKGETKPAKQGVPIDERAKISGAIRTDFILS AEIIVIALGVVSSEPILTQVIILSVIALLITIFVYGLVAGIVKLDDLGLYLLRQQSNM AKTIGRGILWLAPYLMKLLSIVGTIAMFLVGGSIILHGIPGAEHWFESILPGHGAGLL DAVLTLIAHFVVGLVVGLVIVAVMSLFHKKEQEA MIM_RS08260 MYKLNEHGRESLNTFLDAVAKPGTARDAFMVRAEEIANQSFAVD QDAILDIQGPDTQSGDAESVQLLKSWFDQV MIM_RS08265 MSISAPSPSSSPSTVAATAGNAVKIIVDSTQASHIDPTGNCYVN PQDCAVQYSPGSAAYIFDIEFLQQLRRHLRKAERFELEVAPRSHDLKNVAASLLSILQ KNVTAG MIM_RS08270 MPWHHAYGKWIQQRPGASSRICGESDNGIADGDKPDGDKPDSDE PDSDEPDSLYLILSVRLYHPGISTLVILTMAAERVDSRIRLQ MIM_RS08275 MKFIHTSDWHLGRSLCNHPLLDDQAHILQQIADYAKQHQADALV IAGDVYDRAVPPAAAVRLLNDFLNRMHSLAIPVVIIPGNHDSADRLGFAATPLNASGV HIIADYEQMLQPVVVSTQAGPLYFHGIPYTDPVQVRVYAGEPIDSYEQAHRYLLERIA RNTEAPGVHVLISHCFLAGSQESESERPLAVGGADQVPAALFDGFAYVALGHLHGPQA VRQGRIRYSGSPLKYSFSEEKHNKGVLLVDFDQNGVAAATALPLHPLHDVRSIEGRLD ELLLAAQTDAHSEDYLLVRLTDDHAILDPMGKLRAVYPNVLQMEKPQLYRDEAQPLMS AARLRRDEFSLFSDFFEQVSGQPMTPAQRTAMQDVVSQVLKREA MIM_RS08280 MVPLYLHLQAFGPFAVEQKLDFTQLGSNPLFLINGPTGAGKSTL LDAICFALYGETTGDEKDPRSLRSDLADPATVARVVFGFRLGGKVYEIQRQPAQPVPK TRGSGLREIGTEGAMLDLTDGTPKVLVAKKAGQITDYVEQLTGLKAEQFRKVMVLPQG KFRELLLETSLKREALFAQLFQTDIFRQIELQLQERAKDIRARRDANELQIAGLLEQA DIEEEKQLAATLAELAEAETAAKIRRTDTAQLHMRAQRKVEEARRIRAQFEQRDALTA QLARLEQRQAAVAEQEAALRQARAAAQLRQWYDAAAQTGQRLTQTQARLDDSQLQLET FSQQLVREKADQATQAMAYEQTTALNVERSRLQALVPKAHELERQQQRLQTLNAAHTQ ARVALQARTQEQQARQARMAGIKSDHAALHAMVAALPDQSMAVARNKLRLDERLACDA LAGRLQALRAEQATAANQQVHMQDALHSAQREQDRLELAWHQNQASLLAARLQQGLPC PVCGSESHPAPASSDGQQISDQMLRQARQGVQAAAQRLATHDAQIMQLARRCDEQQIE LDQRRQALGEDAHADLTQLQTRFAEQEQQLQASQRARQQLDEGLRLLATLEQAQHTQE QALAELRTQLQTLSVEQGSQEAILQMLEQELPEHCRSDAQLRVQVDGLTRQIDDLTNA WRRAENTVRQRQDQILGLQSTIAALREQLEQDRQLHVAAMDKYEQTLQQSEFADTDSW QAAQKDEAQCTALQTAIADHYEALHQTRGRYAELEQVLHSLAQPDPEQCLQEQQEAAL LAQQAEQAWQHIRDRLLALTTLQARLVKIRASSAVLDEEYAVYGTLSDVASGRQGSKV SLQRFVLSVLLDDVLIGASQRLRKMSRGRYQLIRREQAGRGASGLDLDVMDEYTGQQR AVATLSGGESFMAALALALGLSDVVQAYAGGIRLDTLFIDEGFGSLDAESLEQAIRTL IDLQAGGRTIGIISHVSELREQMSLRVDVIPHVSGSQIRVHAPGIADGELLQSN MIM_RS08285 MRILVIEDDNILGSALQEFLREQGYAVDWVSNGSQVFGAVSGQV YQLLILDLNLPDMNGLEVLKQLRAQGHQEPTLILTARDDVEDRVAGLDAGADDYVTKP FELSELAARVRSFARRQSGQSSPVIEVGPLQFDTVGREVRVHGERLNLSVRELSVLEM LMARPGRVVTKRQIVNSLSAWDADFSENAVEVYVYRLRKRLEGTGTSIQTVRGFGYLL EIDDAAAPTA MIM_RS08290 MTNPQNGSARRGSSFLQKGSLFRHLIIRLIPALLVLIILDFSAT LLIMQDALDWVVKDIFIVIIVGQLLLVVLFAWLVFYGVRSGLHSVNMLAREISQRSEE DLQPIELHNVPTELLPLLDRLNDLLGKLDESLVAQRRFIGHAAHQFRTPLAGLRLESE LMLARSLPDDVRERAERIKTISNRLIHLGQQLLVLARADPGIRPQDVFTKINLCEWVQ NAGLEWVPRTRQHGVDLFLDAPDTPVWIDGDVLLLEELLGNLIDNALKYAQGASNITL TVGSNPPSLTVRDNGCGIDPDDAAQIFDAFYRSPKAGATGTGLGLTIVREITRAHGAW WSLLSRPQIQGTQITVIFPGPRIGTRLTRSKVDQ MIM_RS08295 MQQDSKKSPGAGMLLGALGVVYGDIGTSPLYTIQASLNGAGVGA GQDAVLGILSILFWLVMIVVSVKYVILVLRADNKGEGGVLALMELAIRNIKPRYRPIL LVLGIFGACLFYGDSVITPAISVLSALEGISVISDRFDQWILPLSVVIMIGLFLIQSH GTGLVGRLFGPIMFIWFLSLGLLGIWNIIDNPVVLLALEPIYALRFIMHDPIHSFLLL GYVVLALTGAEALYADMGHFGRPAISRAWFWFVLPALLLCYFGQGAMVIQNPAAAKNP FFMSVPVWGQIPMVILATMATVIASQAVISGAFSVTRQAVQIGLWPRMDIRHTSSEEE GQIYMPRVNGLLFVAVIVLVLVFQSSEKLAHAYGFAVTGTMLTTSILAFSVMPGMYKG FRRVLIYALLCLFLIIDVLLFSANAIKIEEGGWLPLIIALGLFTLMMTWRKGRERLER IDIDEAQQLKPFLNMLLHDTVPRVPGTAVFMHSNPQRVPSALLHNLKHNKVLHQQLVF LSVKSTDVPFVSQEDRFVISEVNTNAWQVTATYGFKQEPNVPELLEQVHAAHPQIDLS PMVVSYFMSRQTIMVSNRAPLIKRYRRRLFAFMSRNAARSTRFYKIPPNRVIEMGIQV EL MIM_RS08300 MSEVKKVVLAYSGGLDTSVILKWLQDTYQCEVITFTADIGQGEE LEPARRKAEKFGIKPENIFIDDLREEFVRDFVFPMFRANAVYEGEYLLGTSIARPLIA KRQIEIAQQVGADAVSHGATGKGNDQVRFELGYYALNPAIKVIAPWREWDLLSREKLL SYAEKAGIEIDMKHKNGGAPYSMDANLLHISFEGRHLEDPKAEAEESMWRWTVSPEQA PDTPEYVDLEYERGDIVSINGEKLTPAQVLTKLNELGGKHGIGRLDLVENRYVGMKSR GCYETPGGTIMLRGHRAIESITLDREVAHLKDDLMPRYAALVYNGYWWSPERKALQVL IDHSQQSVNGWVRLKLYKGNVYTVARDSKDTLFDKTIATFDDDGGAYNQADAGGFIKL NALRMRIETTAGRK MIM_RS08305 MTNLQSTGPMRHFLQIKDFTSDELLYVLNRALIIKSKFKRYEPH MPLHDRTLAMVFEKASTRTRVSFEAGMYQMGGSVIHLTTTDSQLGRSEPIEDTARVIS RMVDIVMIRTFEQTRIERFAAHSRVPVINGLTNEYHPCQILADILTYMEHRGAIKGKT VAWIGDANNMAYTWIQAAQLLGFKMHVSAPKGYRLEADRIAGVSGDVLEQFDDPTEAC RGASLVTTDVWTSMGYEEENEKRKRAFKNWQVNSQVMQAAESDALFMHCLPAHRGEEV TGEVIDGPQSVVWDEAENRLHAQKALMEFLLLGRLPDAQA MIM_RS08310 MKIIEVQQLIIHGVTEEGQRFRPSDWAERLAGVMSHFRPGGNVG PGNHITYSPYVVPNNIDGVKCVIVDFRLRELEPLAWKFVADFAKDNKLKTSERTL MIM_RS08315 MANTAQARKRARQSVARNKHNSSLRSLLRTSVKRVRQAIAAGDQ AAANEVFAKATSVIDRVADKKIIHKNKAARHKSRLSAAIRAISA MIM_RS08320 MSLLRSAATISGLTLLSRISGLARDILVARTFGASPLTDAFWVA FRIPNLLRRLFAEGAFSQAFVPILGEARNRHGQDKVKVLLDHVFIVLLYALMLITVLG IIAAPWVVTAMATGMSHSSSDTAFESAVWMTRVMFPYILCMSLVAFASAVLNTWSRFA IPAFTPILLNLSMIAACLLFTGLFGTPVYALAVGVMLGGVAQLLVQWAALAKLGLTPR LSFNIKNAWADPLVRRIIRQMGPATLGVSVAQISILINTNIATWLPAGSVTWLSFADR LMEFPTALLGVALGTVLLPSLSAAHSKQDTQAYSHLLDWGLKLVLLLGVPAALGLALA SDALVATLFNYGAFSAADVQQTRLSVMAYAVGLIGILAVKILAPGFYAKQDIRTPVKI AIGVLIFTQIMNVIFVPLIHHAGLALSIGLGATANALCLLVGLRRKNIYQPHAGWLAF FLRLTPAVIILALWILFLQHYMSWTALTPGTINAWINGLLAQLLPPHLNFTLSRLLTL ITLLVSCGLVYFAALFIVGFRPADFTRRR MIM_RS08325 MEIRDKVFIVTGGASGLGAGTARMLVEQGAKVIIADVQDEPGQQ LAAELKQQYVHCDVTREEDANTVVNAATAAGKLVGLVNCAGIAPASRTVSKTGAHPLD LFQKVIAVNLIGSFNMLRMAATAMNNNEPESTGERGVIINTASVAAYEGQIGQAAYSA SKAGVVGMTLPVARDLARSGIRCNTIAPGIFGTPMIFGMPQEVQDSLAANIPFPSRLG TPQDYAKLVYSIITNEMINGETIRLDGAIRLTPK MIM_RS08330 MRLILLGPPGAGKGTQATFITRKYGIPQISTGDMLRAAVKAQTP VGLEAKKVMDNGGLVSDDIIIRLVKDRLLEDDCKKGYLFDGFPRTIPQADALKNADIG LDYVIEIDVPEEDIIERMSGRRVHPASGRTYHLRFNPPKVDGKDDLTGETLVQRDDDQ EETVRKRLEVYRNQTRPLVKYYSDWAAENDSKAPQYVHISGVGKVEEITRRIEEALSQ S MIM_RS08335 MSGFIVIVPARAASTRLPGKMLADIGGLPMVVRTARQASRSAAS QVYIATDDSHIADVVSQHGYTPLLTRADHPSGTDRLAEVATRLALPDDQVIVNVQGDE PMIEPDIINRTAEDLQAHSAAAISTCAYPLADQQDFFNPNIVKVVCSSEQYALYFSRA PIPWARNHFASNAARSAGEPSAFPTGFPALHHIGLYAYRSGFLKIFPSLSQGALETFE SLEQLRALEHGFRIHVLVTASAPMPGVDTQEDLERVRDFYRNSA MIM_RS08340 MDTHFLKLLVCPLCNSPLRHDQNEQELVCQYDKLAYPIRNGIPI MLQEEARSLSQNPGAPTAARPVSGKTAESDAAGSATPGSIH MIM_RS08345 MVKKRHSTLTDTLLRQWQSGGRLSDLLLPLSWITRLAVTIRKLR YKRGLKQASRLPVPVIVVGNIFVGGTGKTPFVLSLLAALRQRGWQPGVISRGYGVKVG HEARHGYGEQIDAAYLGDEPAMLARAAPVAIHPDRTRAARCLLQQYPDTTVIIADDGL QHLALGRDIEIAVQDSRGIGNGRLLPAGPLREPATRLDSVDYLVINTPAEAPATTGPA TESPPARSGVDEHNKPRRVLMQLLPTRMVHLRSGQSMPPEQWATAHQGQRIAAIAGIG HPPRFFSTVSHAGITAQQTIAFPDHHAFSEDELRAIDADIVLMTAKDAAKCKAMQDER LWSMEVAPRFSDAAFFDRIAEQLKSLPVY MIM_RS08350 MEKQENDTALSSEKMLSVAQLNRRVGRLLEEGVPTLWVTGEVSN FVRASSGHWYFTLKDERASVRAVMFRGKTTQLDFVPASGDRIDVRARVTLYEPRGDYQ LQVESLRRAGQGNLYEQFLAIRARLQEEGLLDASRKRSLPAFARRIGVITSLGAAALQ DVLSALTRRAPHVSIVIYPTAVQGRDAATEIMSALAQAQMRNEVDVLLLVRGGGSMED LWSFNDEALARLIAASRIPLVSGVGHETDFTIADFVADLRAPTPTAAAELVCRPRQDI LNEIAGLTDRLSRHQQRMLEHYSLRLDRLTGRLVSPAQKIRADRVRVVHAIRQLDAGV RTGLTYKRNRVALLNQALEHSAPQVAHARNRVATLLTRLDRAADRIISQQQRRFERTH TQFTAINPRAVLGRGYAIVYDEAGRVQRDPAALQDGQTLKVELEKGTQQVRVIPNRS MIM_RS08355 MAHSLPPLPYEMDALAPTISKETLEYHYGKHHKAYVDKLNELIP GTEFENASLEDIVKKSDGVMFNQAAQIWNHTFYWNSLTPGGSEPAGALLEGINKKWGS VDKFKEEFNKSAAGNFGSGWTWLVKKADGSLDIVNTSNAKTPLTTDDVPLLTCDVWEH AYYIDYRNARPKYLESFWKLANWDFASKNLG MIM_RS22840 MKGIRIALLGSCISLLAGCSGITLPEYQKPAIERNSDVEPRQLA NCILNGWKGPYPAAHLRESDAFYFFGGIPGPEEPKAKISVTPRSLDANAGSRVTLRVA QGTEGQYCDHCRAMYALTNSTPQPKKKPPVSTCTGGFFHAGTNPCLALSAQVFAGKIP VSQFPE MIM_RS08365 MSAPANDIASSATISLQINNKMLTAEVADTEDLRAQGLMYRKTM SPDHGMIFAFRDDQSRCFWMKNTPIPLAIAFIDKNGTIVSMDEMQPLTEIPHCSGAAA RYALEMNRNWFRSHGVRVGDNIKAVIPDTLPAARDGLREILQ MIM_RS08370 MSETTETSVPEEGGNKQTGTVKWFNDAKGFGFITPDGGGEDLFA HFSSIQMNGFKTLKEGQRVTFEIAQGPKGQQALNIMAA MIM_RS08375 MAQQSGIVTEAQKAKLAPPPMYQVLLLNDDYTPMDFVVSVLQKI FSKSEEEATVIMLKVHHDGKGVCGVYTRDIAATKVATVAQYARSHQHPLQCVMEPVDL MIM_RS08380 MISQELEVTLHMAFVDARSARHEFITVEHLLLVLLDNTAAREVL TACDADIEQLRSKLRAFIDANTPIVGGSEDVDTQPTLGFQRVIQRAIMHVSSNGNTKS PATGANVLVAIFSEKDSHAVFYLQEQNVTRLDVVNYISHGITKSAAPSPDESAAPSEP QAGEAEENKSPLALYASDLNGLAKAGKIDPLIGRDSEIERVVQILCRRRKNNPLLVGE AGVGKTAIAEGLALRITTGNVPDILAKARVYALDMGALLAGTKYRGDFEQRLKAVLKQ LASQPDAVLFIDEIHTLIGAGSASGGTMDASNLLKPALSSGALRCMGATTYKEYRGIF EKDHALSRRFQKIDVSEPTVEQTILILRGLKSKFEEHHHVRYSAAAITAAAELSAKHI NDRHLPDKAIDVLDESGAAQRLLPKSRQKKVIGKAEIENVVSKMARIPPQSVSTDDRN KLATLDRDLKTVVFGQDAAITALASAIKMSRSGLGKPDKPIGSFLFSGPTGVGKTEVA KQLAFILGVELIRFDMSEYMERHAVSRLIGAPPGYVGFDQGGLLTEAVNKQPHSVLLL DEIEKAHPDIFNILLQVMDHGALTDNNGRKSDFRNVVIIMTTNAGAELLNRRSIGFAD SRQSGDEMAEIKRMFSPEFRNRLDAIIPFASLSEDIIMRVVDKFLIELEHQLQAKRVE ATFTDALRKHLAKKGFDPLMGARPMQRLIQDVIRRALADELLFGRLANGGSVYVDIDD QDEVTLTFNDSDPKEQKDSEEEALVS MIM_RS08385 MTGNYIACHHCGQLHERSALVSGQRASCVRCGTILWSQGVLNSS AWLALVLTSLIAFIIANVMPVGTISAVGLKSSSTFLDAVAATWRAGYPSVAIMCFATG FLMPLLDILILAWLLAFSRKGQRAPGLNQLSRWLHVVRPWAMVPVFMLGALVAIVKLA DMASLTPGAGLWAYAGLTFLLTGLSKLNSERIWLLAEQDGAVKNLPVTIDQAHVPLDC EICGQVTMSADSEGHCQRCHHHVHFRKPAHRTRTLAILLTAIILYFPANLYPVMVNTT VLGGTSSHTILGGILELWNLGSWDLALIVFIASFVVPLTKIVILGILIGRSAHGSRDT MMRYTRMYQTVELIGQWSMLDVFVVILLTSLVSFGPLMSVTPAIGAAAFGMVVVVTMI ATMNFDIRKGWDEVDDDIEQPGYQPAATAPTFS MIM_RS08390 MSEKDLPSSDAPENQSGSGATPADRQAPAGGRAADDAAKGQSAA AQNNAQAGNQGRSPNQPNPIIAKTPVITQKKNKNVSWIWLVPLIAAIIGLSLLVRGYM QQGPIATVTFKTAEGLEIDKTQVRYKDVVVGTVTDISLTDDHNSVLVKIAFKQNAEFL LREGARFWVVRPRLAASGVSGLGTLVSGAYIGVDIDDSSKAEIKSSSEFVGLEKPPEL VSGRPGKRFTITAPSLNSLDLGSPVLYRRLEVGQVINYTLSKDGNGVEVQIFVDAPYD RFVTKDSRFWNASGVDLSLNAGGLNLKTQSLVSVLAGGISFGQLPRQDGDAKPEPEPA PDGTRFALSPTEDKALAKPDGLAFPIRMKFDKSVRGLQTGSAIDFKGILLGEVRNISM EFDMATKKTTVIVDGVIYENRLGAAIPEAQQMDKHGEVQHDVALKEFVSSGIQAQLRF ANIFTGQLYVALDYFPEIAKKPAVPDVSKLPVEVTTVPGSFDELQQQLNVIVEKLKNL PIDSIGHSLDETLQSISKLARTLDTTMVPALTTTVQKAGRSLDGVNKTMGSVRGVVSD ESPVMLQLNGMIKELSRAAKSIRSLGDYLQAEPSSLIRGRSQDNIPFKESQ MIM_RS08395 MITRSLSALLRPLALVAVTATLSACASAPTQYYTLAASDPANVQ GTARYGVNLHDVTIPDVVYKPQLMVRSSTDSSAVAPLNGSRWAGSLQDEIQKTLSANL VSRLGAINVQGLAGSEQKLPVWLIQTTFHRFDMILNKAAVIDVTWRINPVRMGTQSAR VCQTRIQVQAGSGVDSLVQSQSQALALLSDVIAGAIDGRTQVRANDGAQIQSLGCHSG MIM_RS08400 MKLKTSVFAGILAATLTPLAFADVTVGITLSSTGPAAAIGIQSR NAIDLWPKTLGGEPAKYIVLDDGTDVSKAVRNARKLTSEDKIDVLVGPNTTAAALAIL DVLKETQTPMVALAASAAIVTPPDDPGRHWAFKMPQNDSLMAQVLVEDMVRKGFKKIA FIGYADSYGENWWKEFSNLAAGKIDVVARESYQRTDPSVTGQVLKLMAAKPDAVLIAG SGTPAVLPGKTLKQRGYKGVIYQTHGIGTLEFLQVGGKDVEGTLFPTGPGVVAKGLPD SNPVKKVASEFTEKYEAKYGANSATQFAGDAWGAYMVLDNAVKQALQQKAQPGTPQFR SALRDAIESTKELIVPNGVLNISAKDHQGFDDRARVMGKIEDGRFQYAAEK MIM_RS08405 MNQTKRTLLKSALAALMGVTLLQPVMAQEKSYPTKPITFVVPYS PGGPLDGVARLLAEHAGKTLKQTIIVENKPGAGGNIGAAMVARAKPDGYSIVMGAVAT HAINPWLYKGLNFDPVKDFEPVLLVSEVPNVLVVSTAFSDKHNIKDVASLLEYAKNNP GKLNYASGGNGSAGHLAGELLKQRTGIDAVHVPYQGASPAKLSLLSDQTQFMFDNLAS ALPMVNDGKVRALALTTKATSDIMKDVPTMEASGIKDFDISTWFGIFATGGTPAAVVN TLHDAFAKAMDDEAVKKQLLTMGSDTKPSSPEEFAAKVKTEMAKYKEIVRISGATAQ MIM_RS08410 MKLDVKILDERMRDQLPAYATSGSAGLDLRACVDQEQTLAPGAS LLVPTGISIYVQDPRYAAMILPRSGLGHKSGIVLGNLVGLIDADYQGPLMVSLWNRSQ TPFVLKPMERVAQLVVVPVMQVEFNVVEEFTQSERGTGGFGSTGSH MIM_RS08415 MNMDYKEPRNGDFASYVEELSRHFDMKEQAVNPDFSSGFPSQLV DATARNNRKAAQAGTQRNAARAAAVTDNQADTSFPVPASGKQHAGFDTRPAAPAKRQG KSFPSLVVLGLIIMAMFIVARSVNPNADGVGGPLIFILVILFIIFRRIRNAAKRFPTS VNTKKQT MIM_RS08420 MQPYIDQILAFISVHQQWAGPIIGLVSFGESLLIVGLFVPATII LPLTGVLIANDTLEFTGILLWGVAGAILGDAVSHWIGRAYGPALLKSGILRKRRRSVA RTRLLFYRYGFLAVFAGRFMGAFRSLIPGMAGIMKMPQGRFQLANVLSGVIWFPWLLL PGYLGVRGADALGVTGSAAILALLAVICIVVIVSRRRRAARPPQKKQ MIM_RS08425 MSPLSGKRILIGVTGGIACYKAAELVRRLQDHGAIVTVAMTHAA TQFVTPTTFQALSGNPVYTETLDDRMENSMAHINLSRQADLILIVPASADFMAKLAHG LADDLLATVCLARGLCPLVVVPAMNREMWGHPATQRNAEQLRNDGVRLWGPASGSQAC GEVGDGRMLEPEQIVYETQAFFQGKALAGKNILVTAGPTQEDIDPVRFISNRSSGKMG YAIARAAWEAGARVTLVSGPTALDAPYGVERINVKTARQMHAHVMQLAPEQDIFISVA AVADWRVSNTQTQKVKKTGTQGGLDLALTENPDILAEVAALANGPWCVGFAAETENLH EYAEAKRQRKKIPLLVGNLAQETMESDQSRMVLFDDQGSHPLPVLDKLDAARRLVAEI ANRTVRPGASGNL MIM_RS08430 MHKAEQPAVRVVPYMMWIALALVLIGVDQISKQYFEQHFEYLQR VNVLPVFDFILIYNQGAAFSMLAEGTGWQRWFFLLLGLAASAFILFLLRKHREQRLFC LALALILAGALGNVIDRTVYGHVIDFLLFYWNDAYFPAFNLADTFITIGAVLLVLDEL LRYLRNKREQQT MIM_RS08435 MDYKNTLNLPETPFPMRGNLPKREPGWVREWEEKNVYQAIRQAC AGRPTYILHDGPPYANGDIHIGHAVNKVLKDIILKSRTMAGFDAPYVPGWDCHGMPIE IQIEKKYGKNLPVAEVQAKARAYALEQIDRQRADFKRLGVLGDWENPYLTMNYGNEAN ELRALARIMEKGYVFRGLKPVNWCFDCGSALAEAEVEYADRKDPAIDVAFPFAQKEKL AAAFGVDSVEDGAVVIWTTTPWTIPSNQALNVHPEVVYALVRLNEPLPTGPLLLLAKD RVQPCLEHWQLQGTVIAECNGQALDHIAFRHPLAAVDTGYDRLSPVYLGDYVTVDAGT GIVHSAPAYGVEDFQSCKANGMPDTDILSPVMGDGKYVPSLPLFGGKTIWEANPDIVK AMQDAGTLLHVENHSHSYMHCWRHKTPIIYRATSQWFAGMDRQPNDGSATLREKALAG IDATGFYPAWGRARLHAMIANRPDWTLSRQRQWGVPMAFFVHKETGELHPRTIELLEQ VAQRVEKQGIEAWQALDPAELLGDDAVHYEKNRDTLDVWFDSGTTHFTVLGGKDNATT GSHSDTLRWPADLYLEGSDQHRGWFHSSLLTSSMLFGEPPYRNLLTHGFVVDGQGRKM SKSMGNVIAPQKVSDSLGAEIIRLWTASTDYSGELSISDQILKRVVEGYRRIRNTLTF LLGNLNDFDAATDMVEPGKLFEVDQYALALTQDMQKEVTAYYDDFNFHPAMARLQIFC SEDLGSFYLDTLKDRLYVTERNSLARRSAQTALLHITLSLTKLLAPVLSFTAEEAWQE LQKSTLKNTDSANTVTIFTEVFHTLPEVADHAALSKKWQRILQIRAELNKQLEDVRSA GRIGSALQAEVDIFADGLDLEYLQSLNDDLRFVFIVSRATVHAGVPGEGVNFTITPSE HRKCERCWHYREEVGTIAGHPDICSRCDDNLHHGGEDRHYA MIM_RS08440 MKNPLQISRQAFASAAQTGSALTIGNFDGVHLGHQQLLSHVVQT ASSKGLVPSVMTFVPHPREYFALREQRPELAPTRVSTLRDKVRALSCCGIRHIHIRRF DQAFAQQTPEQFIEDILVRQLAVKWLYVGQDFRFGSKRRGDIALLREAGARFGFEVET LRDVLDSSGIRYSSSELRHALAYADIERAGEFLGHPYQISGHVVHGRKLGRTIGFPTL NVRVMPRCALRSGIYVVRVNGLTDEPLAGIASLGVRPTVEEDGQVLLEVHILDKNVAA YGKLITISFLHAVRDEEKFPDLQTLTDAIRHDADVARNYFAVHGL MIM_RS08445 MKPTNPAVTRIVILISGRGSNMKALAHAASQMSNVNISAVISHR ANSEGLAWARAQGLQTEQLVYDAAGGQTRAQYDQALADCIDRYQPDLVLLAGFMRILS DVFVQHYTGRLINIHPSLLPLFPGLHTHQQALDAGVRVHGCSVHYVIPALDQGPIIAQ AVVPVMADDTAQILGDRVLSMEHKLYPQVMRWLVQKAVFLDEQGKVGFTQKLPLQQFY TDTEYVG MIM_RS08450 MTIESARKDTGRRSVRPDFTRRSPRASQSGARERGIYTIRLGQI RDVLNEVLKWKHPADAVLSAWFRANKSLGGRDRNEVAESVFDVLRHLRRYRQYAESGT GPALERLAILGLASVVGKDAIAGQLSEEEKTWLMRMDTIDPTSLAPEIRSSLPDWLYE HMRQLDQYESLAAALNTKAPLDLRVNPFKTDRDTVLTQLQDSPVAGFDPQPTPYSPWG IRLNGKPAISRWALFENGSLEVQDEGSQLLALLVGPKRTDMVIDFCAGAGGKTLLLGA LMRSAGRLYAFDVSAARLAKAKPRIARSGLSNVTPIAISNENDTRVKRLAGKADKVLV DAPCTGVGTLRRNPDLKWRQSPASVQELTELQARILKSAARCVAPGGRLVYSTCSLLP QENEQQVEAFLAAHPEFTLLNAQEVLADKCPDLAMTTPYLKLRPDVHGTDGFFAAVLE RSK MIM_RS08455 MDTILDFISGGLLQLGWWQIILVTLVLTHITIAAVTIYLHRSQA HRGLDLHPAVAHFFRFWLWLTTGMVTREWVAIHRKHHAKCEREGDPHSPMIYGIDRVL WKGAELYREEAANEETLKRFSHGTPNDWMERNVYSRHTTLGIFTMLTIDLLLFGAIGL TVWAVQMAWIPFWAAGVVNGLGHYIGYRNFSSPDTSTNLFPIGILIGGEELHNNHHAY GTSAKFSSKWYEFDIGWVYIRILQALRLAQVKKVAPKLKLVREKSESVTEQTLQGVIT HRYEIMARYASMLRQSVQSEIKRLTQAGANDQERELLQKVQSRLGQADLALNPQEKQE LDSMLSQNTMLAKLVHMREELYRVWTSSTASSEQLLGDLQQWCQHAQQSGIQSLEQFA LRLRRYAA MIM_RS08460 MIGQLNPEQLAAVTTTSKHTLVLAGAGSGKTKVLTTRMAWLIQN GKVSPYGVLAVTFTNKAAREMLTRLTAMLPVDTRGMWVGTFHGLCNRLLRAHYRDAGL PQNFQILDIADQLAAIKRLIKANDIDDEKFPPRDVQRFINNAKENGERPEHVEVWDAH RKHLAEIYQLYQEQCEREGVVDFAELLLRAYELLSRNALVREHYQRRFRYILVDEFQD TNTLQYKWLCLLAGGDASLFAVGDDDQSIYAFRGANVGNMSAFERDYAGDNIIRLEQN YRSFGHILDAANALIEHNTERLGKNLWTDRGEGELLRVVEQPTDLLEAQWVVDEIRAQ INEGRMRSEIAVLYRSNAQSRPIEHALFSAHIPYKVYGGLRFFERQEIKHVLAYLRLV ASGDDDTSFMRVVNFPARGIGARTLENLSDSARQIGCNLIHAVGAVSGRSASKLLAFV KLIETLREAAQTLTLPELIKHVNDLSGLTTHYEAEREGAERLENLGELINAATAFCAE ENLEGKPALALVERSVAPDDPDSPFAQEQTTPLALFLSHASLEAGDNQAQAGEDAVQL MTIHAAKGLEFDSVFITGVEEGLFPHENSMMAEDGLEEERRLMYVAITRAKERLTISL AHARMLHGQTRYSMRSRFLDELPEDHLKWLTPRAREQAEPSWGMRLHVDAHARPATGR IEPRAPRSLSSGVSVSGKQYKIGQGVRHARFGDGTIIRLSGQGADAQAEIVFRDAGAK TLALAVAKLDIIAA MIM_RS22845 MSTKSNKGMDMKAMMFMLALFLGAGLLSGCAGQSADSGRSKVQV YGSVDGGVGYQSRSISRD MIM_RS08470 MPQAVQITTADGYRLHANVWQPAQISAHSPVVVINSATSVRSRY YHRFAHALRNRGCTVVTYDYRGIGDSKHGSLRDLPAGWLDWGQTDFEAVLQYVHSHYC SSPLYVAGHSIGGFLIGLAPSAHLIQRIFTMGAQYAYWRDYASGSRTRMFLQWHIAMP LLTKIFGYVPASRLGWMEDTPRGVALDWSGMGPRFEQSLGRRYGAHDKTAAESELPAR LQQVTAPILALGVSDDPFGTPAALDRVLDYYSGCERRHLRISPADIGHKEIGHFAFFH SRFSDSLWPLAFDWLLGADTESAPGTIIRYRKPKE MIM_RS08475 MDNNMQERITASFNKQGLMDTLGARLTLVQAGEVHITLPFSAHL SQQHGYLHAGAVTSIVDVACGYAALTMAPEGYEVVTAEFKINLLRPALGPAFVAIGKV QNAGKLLTVCTGEVRRLDDDGTVGKAVALIQATIVNVAISG MIM_RS23175 MNAAYQQVHIGLIRKAVSKYKLARSSGNRNVAKGYMLQVRDLIR AFKAGK MIM_RS08480 MTTNDTDSADTKTTPQDHEWEVDGAAMYRAASFAVALPSFMIGT ALFMLGFSMSNLTVLHIACILYSLSFAGFTSRIIVGRHPWASTPPYILCIAAAGLGFY ALSRMALLLIH MIM_RS08485 MRFDLTDLRLFLSVHESGTITEAARRCHITTASASERIKGMEDV LGVLLLNRSHRGVQLTPAGRTLLHHASVVVQQMERMHGDLGQYSAGIKGHVRLLGNTS ACNEHLPALLGTFLKHNPDISIDLEERTSTDITDLIRQGMADIGLVADSADLHDLQTF PVAEDRLVVITGPGYAEAIPNPVSLAQISHLDFAGLVAGSALQEHIAAHSRQAGRQLH YRVRVRSIDAVCRMAGNAIGIGIVPLAAAKRHRRALKLNMIELTDAWACRNLVLCVRD SDQLPAYVTALMQHILAPQ MIM_RS08490 MEFLTNYLSLIHTDEPFSFGALALVIAVFILAGAVKGVVGLGLP TISMALLALLMSPAQAAALLVIPSLLTNVWQMRPFQVVPQLMRSIGSMQIGVLVGTIA GAWLLGAPSGHTAGVALGVALVAYACWGLSGRSIVVPPAMQTWMGPLVGFATGFVTAA TGVFVVPAVPYLQALQLSRDHLIQAMGVSFTVSTVALALGLWLNHSYSSGAAGISLLM LVPALLGMSLGQSLRQRLSVALFKKVFFCSLIVLGIYQVAAGA MIM_RS08495 MPLRYTRTLTDSVRTQKADKQIGTLLAFVAGAINAGGFLAVHQY TSHMTGIVSTMADQLVLGAYDLVLAGVAALICFLCGSVCTTMMVNYARVHRLRSEYAL PLLLEAVLLLCFGMMGAWIAQMHGLFVPITVMILSFLMGLQNALITKLSRSTIRTTHV TGIVTDIGIELGKLFYWNRGRLRDDSHFVMADRGRLRLHCALLLAFFSGGTIGAWGFN HIGYIATVPLACLLVLISSIPAFDDMRAWLTNKRRPAD MIM_RS08500 MSSQTLSAPTPDRPFAFVDVETTGGSASTDSLTEIAIVRYDGHT ISTWQSLINPECRIPGYIESLTGITNQMVANAPTFAQLAEQIQQLLAGHILVAHNARF DYGFIKNAFRRAGRDYKATMLCTVKLSRRLYPQHQRHGLDYLIQRHGLIMQDRHRAYD DAYALLQFWQCVCREHDHKIIDDVVQGLIARPSLPPHIDSAEIDALPNSFGVYIFYGE NELPIYIGKSNRLRQRVLSHFASDHTAPKEMKISMQIRRIEHIRCAGEVDALLTESHM IKERMPTLNRQLRRQKEVFTWQLAEQSPGLWVPVLLGGNDIAIGQGRHLYGLFSSARD AKEALLAVVKSEKLCKATLGLEKVHNGSPCFARQLKACTGACVGQESHMAHSVRLMTA LSALRLRDWPFEGAALLREGNLVHVIHHWCYLGTARDEAALQELLAEGKGDFSRDTYR ILVKHRDKLIDAGAASLALE MIM_RS08505 MEIWVDADACPVVIKNILFRAAVRWKVKTTLVANQMLNVPSSIY IQSRQVPRGFDVADAYISQHASPGDLVITADIPLAAEVLEKGVQALNPRGELYCTDTI RERLAVRDLMETLRSAGIETGGPKAFDQADTRAFAGQLDKLLARYAKA MIM_RS08510 MHSFSPLRIRNHSLLPIVQGGMGVGISASSLSAAVARENALGTI ASIDLRHLHPDLLEQSKALASQEHYDRLNRIALDREVKKALKLANGRGMIAVNVMKAV SDYAALVKQACESGAQAIVMGAGLPLELPDLTRDHPDVALIPILSESRGIQIVLKRWM KKNRLPDAIVIEHPNHAGGHLGATTIEDLGDSRFSFARVLEETTNVFRQLGLESEKIP LILAGGMANVRKISTALRQWGAAAVQVGTAFAVTREGDAHENFKQVLAGARDEDIVEF MSVAGLPARAVMTPYLQKYLRNEQKLQASAKADPRRCVQSMNCLQVCGLRDGISKIGQ FCIDQRLTDAFNGDVRKGLFFRGKDPLPFGQQIRSVYDTIQYLLTGNTTKATNHALA MIM_RS22850 MVSIKKLLAIVLASLSLGAATSALAHERSYERGYSSHPYVMQVD HRHYGPRHYHRHHPRYRHHGWRNKPHYYSEHRRYDRGHRWDRRYDSHRRYYRSRDVW MIM_RS22475 MLHYAVVFFIIAIIAAVLGFGGIAAGAASIAKILFVVFIVLAIL SLVFGGRFK MIM_RS08525 MTTPQSASENEVQQIAWKQNLYVCLFGSFTTILAMTLILPILPV YIQHLGVTDPDAVVSWSGWIFSITFLAAGLMAPVWGRFADRYGRKIILIRASLGMAIG IALIGCAQTVWQLFWLRLLVGLLGGYASGATILVATQTPRAHTGWAVGMLASGTLAGN LLGPLVGGIVPAWLGIRLTFFVAGGIILIAFFCTAFMIRETHKPREAAPINATPYSFW KSGKQRNLVLLMLLAGTLLMFANMSVEPIITLYLASLDTLTDQIPLLAGIAMSATAFG SMLFSSRAGRWGDTHGHLKLLMASFVATAVLLLLQGLSQADWQFIALRFLMGMTLCGI MPALTAMIRHNVPADAAGGVLGYATSTQYAGLVLGPLAGGLVANHAGFTAVFVMTGAV MLLAAALLFWQRNTTTRADNA MIM_RS08530 MNVPRSNLFQGSLLKWSLMAALLVASVLAPSAYAAPDPGVALSS PDTLAIAPVGTVVRSLLAANTLTAEPLRPSGVSARPVPPLKSSVAPASVPPLASRAAV APADRNKSQTQCHGRRDQIFVAHEDDDLLFMNPDIHDTIRAGGCIQVVYLTAGERGEG VGYMSQRENGVRAAYALMAGATNTWVQSPLLLNKVQIAQYSLWKNPRVSLVFMRIKDP WLDKGWGDLTPLSRLEIQPDQMAQALGEYFETYTRASLVKTLSALILRFEPGKIRLMD DSVETPYNKLCWRCTGHDHPDHIASARLVRDAMAVTAGNYEAVSYVDYPNQERPLTLT LRQNSDKSRVFNLYAQYDYRYCSVPLNCREPMGPAALWAERMYYSSQSNTPVVSLFTD ATGAGLFTRGEYSNAAVYWNDRKQTWTVLGGLVFTGIRPFLTPSGQLAVFARDGQGQL YFRRARTATPVNEAAWSSWYRLPVLLTTQPQVVTVGKQVRVVGMGADGYYYYLRSTDM QTWNKSRLPVLKTASQTFALLQHGTQLWMLAQTLKGEFWISAYHEKNGWGPWSVAAGP DSQGGLTALVTDRNTLLAYYRNRADGHLYLAQGRLEIDTHRPPLSWTSVTEQGPEFQA DPAVLKTRAGSMVVATLSRTGKSIWTRIDDVPVRIRGPFASAPTLVETGDHIMLYARK GSDNRWIQQYAALHLDNDQWVESESIIAPPFYGGHTFDGSTDIPEQEQAQPQPPPSAQ LPITRPMQPMLQPQQPQQPPLTEPAQSR MIM_RS08535 MTEAAQTWTDAIGAEKEKPYFISLRERVHQARATGQTIYPSEHD TFSAFRLTPLEQVKVVILGQDPYHGPDQAHGLAFSVKPSVRIPPSLLNIYKELKTDIP GFTMPNHGYLEKWAQQGVLLLNTVLTVQAGQAHSHASWGWETFTDQVIRALNDKREHL VFILWGNHAKKKGAFIDKKRHLVLTGVHPSPLSANRGFFGCQHFSKANHYLQNHNLSP IDWQV MIM_RS08540 MVRGRLSVCEFLARLPMFEGLSPAAVKTIALSVTHRSFHKGALI HDVIEKGATRQGFHIVVNGGVKLLFVAPNGGEKVVRTLGPGDSFGEESVFLEDMESLV SAQATSSLFLLHISKDSMLVLLEREPAFAMRMLSNMSRRVYALLKDIESYTLKSATQR VVDYFLEHAKLHEGGQFRFNTNKALVASLLNITPEHFSRIMRELCARNLISVEGRDVY IPDPARLQTYETADSE MIM_RS08550 MTRLSAPDPATMNDHQKQVYAAIAAGPRGRVRGPLAIWLNRPGL AEHAQALGQYCRYDSSLCPRLSELAILTMAVLWQSEFEWWAHKPIALKAGVSQDTIDA LMNDHHPIPFAQEDEQVVYEFVHTLVSTHQIPEWLYVKAINTLGQDNVVDLVGLAGYY TLISMTLNVFELGLPEGEQKELTK MIM_RS08555 MSTVIVIRIPGVRLSREQCDALLHNILGENYSHVHLCHAVDAPE TYIYLADFLEHPVAPAQASTTTETDSISTLAAAIAQHYPDANTNLLQQTMDLQGQAWG KTATFHYVVETDVDAAAEADFNDWYTQEHMPGLAAVPGTVRTMRLYNQDGAPRYHALY LLQTQETFGSPQWLAVRGTEWSSRVRPHFKNTKRTMFNIIR MIM_RS08560 MFDCAPAKKHTEKINFDVPVNACDSHCHIFGPASRFPFDVNRSY TPPDAGYDDLNAKQANLGLRRAVLVQPNCHGYDMSAVTDAISRSHGQYRGVALLPAQV SRAQLQQLDRDGIRGVRYNFVSHLAGATINDVSAMADMIADLGWHICIHADEISLLQL LPNLKNLPVPFIIDHMGRIDIDKGLHSEAFEALLQLRDCSQAWIKVSGVDRLAGGVAP YTAGHAFMKAIIDIMPDRTLWGTDWPHPNVTGEVPDDGQLLNIFGAVCEDAALRKKIL VDNPQQLYRFNDNQTTGATA MIM_RS08565 MQKRLQAQRGQGMTEYIIVVALVAISAIAVFQLFGQTLRSQTAA IARELAGEDGTAESDAARSAADRAAGQTAAKSLKSFTGNADAAGGGATGQ MIM_RS08570 MKPRHRSRLDTQHGQALVLGLFLVMLLAVALIYQFGVGQVVGRK ARLTHAADAAAYSGALVQARALNMQAYINLTQTAHQVAMAHLVTLGSWSQFAAAQGQQ FVTFNPPAHVIGMMFGAQHLAGYAASARALALRDMARTGGQLPRLFAEHDRIVRHVLL AASEAVHQSMQRARDQAITQVLEQNFPQSAVTQTALSSQAGSVAQPATVVPVNYPADR SAPLSWVARNHLEKPYTVRYQPTAGDRRFLEDVVALYDFLDARDHVRRNSWMVQYQCP HLRHELRRRGRTVLDSNGNWQSTDTQSYHALRSNQYIGCYYREYPMGWGWIPGRANMP GADMPYSENAPDHFGEIDFWRWVTQATGWNIFSGSDNPLANSRAVSGKPQWEGGGLVP YYDVRDVYRYRPLGFTITVKQHFTDQPTLVVTASAETFFEPASDKRRYRSEQPGMWHP FWQAHLISNPHKKED MIM_RS08575 MQYQRGQALTEGLIVLLCVLTFFAAITWLGRLQDIALHEQHASR FGAFELARAGNINNAQLSSRFFQGRHAGWRRQQGDALLTADGIQVTHNRQAQLDSLSQ PGGVDRNATLLRREWRLQDNGIVNVSLRIRPRAAAPSGQSSVRDEPQGSTVGFLNRLA VTLRRHTAILIDAGHTIDARAAHERAARSKVAWQQTAHASYAAGKKIAAAAMPVDAPW RRPAPVFDWFMPWAGKKP MIM_RS08580 MQVIFLKIVFLFTSILWYDDVAASIEAEQAPAALLRDVCRELTL CTNVAPVSFQLFGMPSAFVVLNLQESPEQFMRRLHGKPSPFSQFTRVGNQVFYSGSLG QTSLQLVVDVASAHTSHVLLSATTPVSAALGAGSRSDSEAVSLSLPGRRVLRLLPDGA RLLMDICYADSERSCHQVYTYAHLSTQQLASELKATLMAANWTAQQVAAGLATWHRHG RTLQYFLADVSGHTTLYLAAPAVLW MIM_RS08585 MNRNVLLLLAAIAAGLAAAWFARRYIQDHIRALDEQAKVRTVSR IVADFDLPEGLRLERLHLAVMPVPVNWVPSGSIAPEEVESIEGKLITTAVRKGDILLR ANLAPQRHQAFSQKIRTGRRAVTIPVDAINSVSGMLVPGDLIDLYVSFEYRRKRITAP LLQGVLVLATGSDSRQTDAAEESGQSTAFSTVTLDTAPEEAARLVAARQAGTITALLR HPDDARASNKGVQGDLATLLGIAKPVSVTRKRPTVIYGNQPQHRLPALSAESQPGVTD QSKGLFELPDTEDIVSAWINSLPAVRTSDGTAPAVSMGAKEEISVTENDIRDRGAAQV QSSALAVDVQETP MIM_RS08590 MKLIYTCSMIIALEMSAIAPACANESSVSQIALQVGDVKVVPFA DLARVAVGDGKIVNAVTDGENELVLFAREPGQTVLNIWDKTNRSQQFHIVVRAAGEQK LQGEIRRMLGTIGRIRTTQVGDKIIVEGDNLSDADRERLLVLVRHFPQIVDMTSQIGW DQMVLLDVQILELPRNVLQELGVKWGTHAGGLSMGAVWDTASSRLSGRPGDAVLDIPF RAISPAGYFGLNALLSASLQSLAQEGQAVVLAQPQLMARSGATAEFLAGGEVPYVTTD KNGQNQTIFKPYGVSLHITPRIQKNGNVRSKIEVEVSSVDASMAVNGGPALKTRRTST EFNVQSGQTLVLAGFVSRDQFRNVDKLPGVGDLPILGALFRSNRFQRNETELAIFVRP VVVSADNQDLQKRAARSRTIIDETFKSPPILNTPVTADKADESQAELTVAKRSYPIWI PSGQKWQYSRKVPAADSIASTASVADKRDRVAQRTRPVPIRVRHSRWKPVLRLKISDA EQIVSR MIM_RS22480 MIVIDMHFEDGMVERRQYALPLMVGRQKDCHICLRSWRVARQHA RLVQRQAGVFIEDMGTLAGTMVNGLRITEYGPLAVADEILIGPCRMVVSEVLVGNRHG NMSGNPADERHYGQGLAGSALAEGQGLAQGHCQGSHPPPYQRSHQRSRQPSRHIPLLL SDDTRHRVAYGSPDMPLNKLREHGSAIADDASAAIAQSVRTPDSIGSPGASASIARQQ PGAESGTESGAKSGTQQATDHNYAMRDQRWQLQLHASLLQALDLRRKDVSQMTDSALR LEAAVMLDRILAENLALPADVDRERLKQCVLDEAIGLGPLEPLLADPTITEIMVNRYD ELFIEKAGRLMRYDGRFSSEKSVLGVIERIVTPLGRRIDESSPMVDARLKDGSRVNAI IPPVALRGASLTIRKFPDFRPGMNDLLRLGSLDAAMQQFLTLCVQHRKNLIVSGGTGS GKTTLLNVLSNCIPEHERIITIEDAAELRLNHVHLVALEARPANLEGKGQVQIRDLVR NALRMRPDRIVVGECRGAEAFDMLGAMNTGHEGSLTTLHANTPRDALARLETMILMAG MDLPLAAVREHIAGSIHFIVQQSRLSCGRRLITSITEICGLESGVIKSQEIFRFDRKG VGAFMGLGIAPDCFDVLREQGVPINMEMFSQYTPAGSGAGALVSQDNQPLESQMSLQE CPL MIM_RS08600 MIWIALLFTAIFIAVVFVLLQQTLGRMFKNWEERVKTDTTRKLQ DFFLFIDPSALWSGNVVLSLLLAVITWVLSSLWWLGVVLGLLVFVAPNWLVARMRRRR LAQFDGQLPAMLLALAGALRAGAGVQAAIGQITMQAAAPLSQEFGLVQRQQRLGVSFE QSLDDLFARMPSESTGLLVSALKIANQSGGNLAEALERIAQTLQARQQIQGRIRALTS QGKMQAWVMAGLPLLLMVVLNMLDPAAMSPLWFHPAGWTVLVIIFMLEALGIWLILKI VNIDI MIM_RS08605 MAFWISSIFTGLSICLGLYLLLSPAGNKVVVPQAYQEKRVFVLL WPWIHVLGLAVIPFFSWRYRARLLSLLRAAGLERIAQIDHIAGIQCLCACCVFAGAVF IQMPQLNARPLAVLSIACVIALAGSLLPLLWLRERGLRRRQRILRELPLLLDMTTLCV EAGQNLQGALHHTASLCAPGILRDELNYCLSEIRTGRPRIEALRAMAVRTGTAEVSLW VAAIAQAESMGMSLGPLLRSQSDQRRQERFHRAEKLALEAPVKMLLPLIFCIFPCTFI VLAFPIVMKILQSGV MIM_RS23490 MTRNRRICLSRKITVSGNAMPKVWMLLHGTKWHTRNGSIVFLPI NPISRGKNRSTVIKVYADGVNDEVSADATVFADATVFADATVFADATVFADATVFAEA KSFVGAKAFVDVTVFADTNPSGDAGLFENAAMFVRDMVLTRRKSI MIM_RS08620 MDLDLRTLRYFVAVAETLNFSKAAARLNISQPPLSLAIRQLEEK MGAQLFERNSRHVSLTPAGAVLYKEALFLLSHASSLKNRLQHTTESGRLRIGFVGSMV YRGLPTLLQLLAPEGGQINIELAESNSGDIVDKVAMGHVDVGFIHSNKLPADLHDKEI VSEPFLLCVHKDNPVLQNRSHALADFSTQRFIFFSRHVSPVYYEILLSMCISSGFFPR AVDETRHWLSILSMVSRNMGVSLVPACMQHCGLPDLRFLEFEHTQRSITSVIWSGKKQ DPIVSRAIEIILGFYKAT MIM_RS08625 METNNIPDSRGSNFFTQDPYSGALLKTYLPAALYAHLLPVFNEL GAAVGSRLDELADTADKNPPVLSVRDRQGQDACVISKHPAYVELEKMAYETLGLAAMS HRPGVLGWDQPFPPAAKYALSHLFVQAEFGLCCPVSMTDSLARTLKKFGDPALVEQVL PQVTAPDFADLQQGAMFMTEQAAGSDVSATQVVATPHDDGHWRLTGDKWFCSNPDAGF AMVLARSEEAEGLKGVSLFLLPRTCADGTLNQYRILRLKDKLGTRSMASGEIRLEGAF AWLVGTRGKGFQQMADMINNSRLSNGMRAAGLMRRAVSEAIYFSSQRKAFGKRLIEMP LMQRQLVKMMTRAEQARSVMFQTARALEKADGGDAFAKDLLRILTPLIKFRACRDARV VTGDAMEVRGGCGYIEEWTEPRLMRDAHLGSIWEGTSNIVALDVLRAIRKSNGLSALQ TYVQTLLENGLPCDASLVELQADCINKTFALARSVADTEDAVMARQVGSALYHVAALA SMRWEAAHAGLETRAILADQILIHRLAPRDPLSQTKYEGEMQALLAYAL MIM_RS08630 MSTSRIYALAGLLACLVLPGAAVAADPFPSEIVKLVVPYPPGGP TDALARRLAQGAGKKLGTTIVVENKAGANGNIGAEYVARAKPDGYTIMFGTSGPLAIN ASMYKKLGYQPETSFTPIMKLGHLPNILVVNPRLPVKNVQELISYAKANPEKVTYASS GTGASSHLAGVLFNSMAGTHILHVPYKGTGPALNDLLGGQVSMAFTDVLTALPHIRDH KLNALGLAAGQRSKALPDLPTIAEQGLDGYDVSVFFGIVGPAGMPEQVVSSLNDAFKT AMAEPDMQATLASQGIVEADKKTPEELARFIHSEVKKWHEVITNASITLD MIM_RS08635 MTDTQTPTTPASLTGIRVVDLTRVLGGPYCTQILADHGAEVLKI EPPAGDETRGWGPPFIGDTAAYFMGVNRNKKGLVADLSTQSGQLFLRELLSQADVLIE NFKPGTLEKWGLGKDALQEAFPHLIHCCISGFGADGPLGGLPGYDACAQALCGLMSVN GEKSGEPTRVGLPVVDMVTGLNAAIAVLLALNERRSSGLGQYLDISLFDCAVSLLHPH APNYFANGKVPGLTGNAHPNIAPYESFPTASGELFLAVGNNRQFATLCSVLKRPDIAQ DPRFIDNAQRLGHRQLLHETLCDALAAHQAAELADVLMKAGVPASPVNNVAQLLAHPH TSHRNMVVEQGSYRAINTPIKLSRTPAQFRSPPPGFGEHNQEYGIN MIM_RS08640 MTYKTPLDDVFFNLKDMGLLEQVLSLPGYEEISDDIVDAVLHEN AKFVQEVVAPTNRDGDQQGAKWDNGKVTTPASFAQAFKAFAEGGWQGLQHSPDLGGQG FPKLISAVISENLNAANLAFALCPLLTDGCVEAITQAGSEQLQQTFIPPMLEGRWTGT MNLTEPQAGSDLALLTTKAVAQGDGTYRISGQKIFITYGDHDLAENIVHLVLARTPDA PKGVKGISLFVVPKFLVNEDGSLGKRNDVWCASIEEKLGIHGSPTAVLLYGAGKGEVG EGAVGYLVGQENYGLQYMFIMMNAARYNVGIQGISVSERALQQARAYAADRVQGNALE GSAGPVTIDHHPDVQRLLMTMRGLTEGGRAVALYAAMCNDIAHQHADKATADLHRAQY EYLVPIVKAFSTENSVEVASLGMQVHGGMGFIEETGAAQYYRDARILPIYEGTTAIQA NDFIGRKILRDGGAVSRGFIAAMCDTVNALNQHQEDTALAFIGTQLEVAVQAYQNALD VTLASAAKGQLRSTFAGSVPLLMLAGYVHAGWHLANAALKCRERQSDTHRQKLATAVF YAAHILPRSLSLSAAVKAGDNVQEAFSAVFS MIM_RS08645 MSTTLRLGDTAPDFEQNSSVGPIKFHEFLGNSWGVLFSHPADFT PVCTTELGYTAKLADEFAKRNVKVLAVSVDDADSHNKWIEDINDTQNTTVNFPILADD DRKVSTLYDMIHPNASATATVRSVFIIDPAKKVRLTITYPASTGRNFNEILRVIDSLQ LTDSHSVATPVNWEDGDDVIIVPSLKDPEVLKQKFPKGFTEVRPYLRTTPQPNK MIM_RS08655 MTNQIRKAGAPSASTISACRQFDQSFATLYRKKSSKVQRSSHLL ADVCMVAAWFALIPVMNLLGTAAGLA MIM_RS08660 MNLIEKLEQEEIQRLTGGNPVTEFAPGDTVVVSVNVVEGTRKRA QAYEGVVIAKRNRGLNSSFIVRKISSGEAVERTFQLYSPQIASIVVKRRGDVRRAKLY YLRNRSGKAARIKEKLVRKADKQVTA MIM_RS08665 MTEPLRKPRTPGFDVQAQKWTVANENLHAISPSVLTTSFIERAF DHGLVWSADALVHEWNEANIVQFQSESYIDSAVLMPLVRRDGEIFVLLTKRSEKLYHH PGQISFPGGRVEEGDINPQHTALRETFEEIGVPTQAVTVLGQLPEFYTGTGFLLRPYV GWLANTVEIKSDQVEVAEVFQVPLAFLMDPANHRLHHAITPDGNERYYYSMPWNGYFI WGATANVIRNFYHRLLAVA MIM_RS08670 MKAGRVIAAHGRHYQVELDDGQLRQCYTRGKKAGICVGDYVDVE LQGQDEGRIERVHERRNLLYRSDEMRSKQFAANVDQLLIVVAVEPVFSEDLTGRALAG AFSADITPVIVLNKCDLTESLPRARALLSDMNALGVRIIETSTLQPQALRDSLLPLLA DKTSLLLGQSGMGKSSILNILVPDARADTQAHSIALGTGKHTTTSSRLYHLPDMGGDL IDSPGFQAFGLKHLGQTEVEQGFPEFAPYSQNCRFYNCTHRHEPGCGVLAAQEQGLIS ARRHELYLRILAESTAPQKY MIM_RS08675 MSPTPFTLLFVLFLLLETITHLWLASRQARHVTKHRARVPDEFA EKIGLQSHQRAADYTVERMKLSVTRRVFDAMVLIAFTLLGGLEAINAFLISVIPNDFW RQLLLLGSVLLISGALQLPFSLWKQFKLEQKFGFNRMTLGLFISDTLKGLLVSVVLGL PLAAVTLWLMAASGPLWWLWAWMVWVVFNAFILFVFPTWIAPLFNKFTPLDNPELADR INSLAQRCHFALQGLFVMDGSKRSAHGNAYFTGFGKSRRIVFFDTLLGKLNPEEIEAV LAHELGHFSHKHVQKRMIFSFLLALVFFAVLGFLKNQIWFYQGLGVAPVINGSNDAMA LLLFFMAMPVFTFFFAPIFSFFSRKDEFQADHYAHTQASSEALISALVKLYNDNASTL TPDPVHSAFYDSHPPASLRIRRLQELQAHNG MIM_RS08680 MAVNDLRLVWLDMEMSGLDPEKERILEVAVVVTEPDLTLVAEGP VLVIHQSDEVLAGMDKWNTSTHGRSGLTEKVRTSVLTEEQAEDILLDFLAPLVPKGAS PLCGNTISQDRRFMYKYMPRFESYFHYRNLDVSTLKELSRRWKPEVYKGFDKKSRHEA LADIYESIDELRYYREHFIKL MIM_RS08685 MANEVTLPAAIAGIGTDLIHIHRIRQAYERFGERFVARILGPQE REKFLRRQARDPERGLRFLATRFAAKEAFSKAIGLGMRSPMAWSRMQTLNAPSGQPLV VLASPLKDWYESRFGPAHISITDESDLAMAFAMVERKPV MIM_RS08690 MPGPVMLDVVGHRLTSQERDRLAHPLTGAVILFARNYDSPEQLA ELTAHIHEARDEPLLIAVDHEGGRVQRFRDKGFTHLPSMQDLGRYWERDPLQAMRAAT EMGYVLAAELRACGVDFSFTPVLDLDYGVSKVVGTRAFHRDPRVVAMLARALIQGLSL AGMRSCGKHFPGHGFVDADSHVAIPVDERELEQIMADDAMPYGWLGAPVLSAVMPAHV IYPRVDASPAGFSKIWVQQILRQRLKYDGVVFSDDLTMEGASVAGDITARATAALSAG CDMVLVCNRPDLADTLLASLNVAASPASVARIRALKPVEPFMDWNALQSDSRYQYARD FRSQILSE MIM_RS08695 MPETFDLKSFLSDLPHLPGVYRHLDAEGTVLYVGKARDLKKRVS SYFQKTPDSPRIALMVARVWKVEVTVTRSEAEALILENNLIKSLRPRYNILFRDDKSY PYLMISAHDYPRIAYYRGITSRKGRFFGPYPNSWAVRETIQILQKVFRLRTCEDTVFA NRSRPCLQYQIGRCTAPCVNFISDEDYRRDVQQAVRFLDGEADDVVEDLQARMQQASD QLRFEQAAALRDQMHALATVLHQQSMEEVDKTDVDIIAVAQAADKACVNLAMVRGGRH LGDRSFFPTQADGESRADVMTAFIAQHYLENPLPPVLVCSEALVDENLLALLNEQQPS RIKTRLQTRPQGVRKTWLDQALRNAEFSLARTLSESASRAARTRALAELLQLDTDDES LDGLRIECFDISHTAGEATQASCVVYNHHDMQPSLYRRYNIAGITEGDDYAAMRQVLV RRFSKVADGEAPLPEVVLIDGGKGQVEIARQVFVEFGLDTRVIVGVAKGEGRRVGLET LVFTDEREPVALGLGSAALMLVAQVRDEAHRFAITGMRARRAKARNVSRLEEIEGVGA KRRQKLLARFGGFSGVAAASIDDLRSVEGISRELAERIYESLR MIM_RS08700 MPLNIPIILTWMRIAMIPLVIALYYLPLNWIDVQLRDTIGALAF IFAALTDWFDGWLARRWNQTSSFGAFLDPVADKLMVCAALLILLDLSRLDSFIALIII GREITISALREWMATIGARDSVAVHWLGKFKTAAQMTAIPCLLFYQEWHSIPFAPIGT GLIYIAAILTIWSMFYYMKKAWPIIKQQQN MIM_RS08705 MTSQVLNASIRVPNADGNSRDWKVIALIGLLHGGSHFYQLVLPS LYLSLNRDFGYSFAELGFLATVFFVVSGLGQASSGFVVDHLGARPVLRFGLACMVMSA LLIAVADAYWVFIIAALIGGAGNSVFHPVDFSIINQNVSQRRLGHAFSIHGVSGNLGW ALAPIFITFFIVQTGSWRFAAGAAAVVLFVLFLATFLGASLLATPTAQANKPENGREN GQDRLQDQTQAQKGARATSPGFVTKLSMLLKNPALWGAFLFFAFTSGSMSSVQNFTIP ILGSLYGIGKEWASSALSMYMVSAGVGLLIGGFLAGSTDRTERIVAASLATSGVVFML LAMGMLPSGLAVAGLCLAGFLSGMAGPSRDMLVRRVTPKGALGSVYGLVYSGMDVGSA LGPVIYGFMLDHQTYRGPWLVSGLALFGAVLAAFWVAYCARRQQDRTVVQGSAA MIM_RS23190 MMANVKPGIKRLLGYGLALAVLLIVFTLYTSPDLFVSVSNLFWS CFGAI MIM_RS08710 MSKRETAIRNLQKEWAENPRWQGIKRGYGAEEVIKLRGSRQNEY TLARTGSEKLWHLLNNEPFVNTLGALTGNQAMQQIKAGLKAIYLSGWQVAGDANIAGE MYPDQSLYPANSVPSVVRRINNSLTRCDQIQWMEGKEEGDEGYVDYFAPIIADAEAGF GGVLNAFELMKSMIDAGASGVHFEDQLASVKKCGHMGGKVLVPTREAVAKLVSARLAA DVMGVPTVLLARTDADAADLITSDVDENDQPFLTGERTPEGFFRTKPGMDQAISRGLA YAPYADLLWCETSTPNLDDAKKFAEAIHKQFPGKLLAYNCSPSFNWKKNLDDVTIAKF QRELGAMGYKFQFITLAGFHALNYGMFELAHGYARNQMSAFVELQQKEFAAAELGFTA VKHQREVGTGYFDAVTQTIEGGQSSTTALTGSTEEAQFSHG MIM_RS08715 MKRPFALLVPALLLSLAACSSTSQTGNNGSASSAGSSGGPAGWA AENRTLSANKNTFVAQVSERHAIPRSHIEQLLATATVDDRVIRLMTPKGSGGRVTRAW QSYRSRFVEPIRLRKGTAFWNANRQTLNRAEQTYGVPAAIVAAIIGVETVYGEQTGSF RVLDTLYTLGFNHPEPNRPEKSQMFRNQLAALLDLDYRDKVDANSTTGSFAGAIGLPQ FMPVSIEHYAVDGDNDGHIDLRNSTKDAIMSVANYLAKHGWRAGEPVFAPVTLPAGAA SLVDGGLEPSMSWSQLQSRGASLRAGSSGGHWQNGKEIGVIDLRDEVRGSNEYRTATR NFFAITKYNRSYFYAASVADLAYGLASRQRSSGYQVTMPY MIM_RS08720 MHSWHPESPARLDAINDRLVASGLADFLIHLPAREAQESDLLRV HTNDHVRFLREHSPQEGYFNVDTEETCMNPHTWQAALHAAGAGLEAIDQIMAGHARNA FCAVRPPGHHAEPARASGFCFLNNVAIGVRYAQQQYGLKRIAIVDFDVHHGNGTEAAF ANDESVMMCSFFQYPLFPNSGVDHPAANMHNSPVAAYTKADKIRDLVTTHWLPALDAF GPELIFISAGFDAHREDDMGQLDLVEKDYIWLTSQVKAIADKYSGGRIVSMLEGGYAL SALGRSVEAHIRTLAGL MIM_RS08725 MKVLVPVKRVVDYNVKVRVKSDQSGVDIANVKMSMNPFDEIAVE EATRLKEAGTATEIIAVSCGVAQCQETLRTAMAIGADRAALVQTDVELQPLAVAKLLK AVAEKEQVNLVILGKQAIDDDANQTGQMLAALLGWPQATFASKVVIEGENAKVTREVD GGLETISVKLPAIITTDLRLNEPRYVTLPNIMKAKKKQLDTLTPEELGVDVTPRIKTL KVSEPPSRKAGIKVADVAALVDKLKNEAKVI MIM_RS08730 MTTLVIAEHDNKQLKPATLNAVAAAQKMGGDIHILVAGSGAQDV AAQAAKAVGVASVLLADAAHLQDGLAENVAEQVLAVAGDYSHIVFPATASGKNVAPRV AAKLDVAQISDITAVDSADTFERPIYAGNAIAIVQSSDEKKVITVRVTSFDAVAAEGG NAEVKTVDAVADSGLSSFVGREVAKNDRPELTAATVVVSGGRGMGSAENFKLLEPLAD KLGAALGASRAAVDAGFAPNDWQVGQTGKIVAPQLYVAIGISGAIQHLAGMKDSKVIV AVNKDPEAPIFGVADYGLVADLFTAVPELEKAI MIM_RS08735 MFKHIAAATLAISSLTACQNMDVAGMVGAASSLFQAATVSDEQI QALAVSSQQQLDSQNKIAPAGSKYTTRLARVTRNLRSYQGTPLQFKAYLNPEVNAFAL PNGSIRVYSGLMDKMTDDELLFVLGHEVGHVIEGHSKAQARSSMLTLAAQQAGAASGV PILSTLSGSELGALANGLVTAQYSQSHEYAADAFGLKVLREQGRDKSAAVSALRKLES LSEGSASVFSSHPDSGKRADRIAAM MIM_RS08740 MIAATLPASSGWQWLKDAFRIFRKQPFALLALLFVINLLSQILM QIPVLGVGLFIITMPIASLIVVSACRDIQSKPAGFSHLDPKTWVASLQKPRVISRLAL SGFIYAALLLLLSVVLLYPLLDEQTIAQINSIIYGVQGGAQASTDTLPDGSSLVLLLG WAVLLFVVTLMFWHVPQLIGWEDQTIGKAIFYSFVASWRNKAAFLMYFLSWFLLFALL QFIVVPLLLLLGLPALLIAFAMQVVLMIMVATIYCGFYSSYAAIFGTEKSAPN MIM_RS08745 MAVFTPITNQEAAEFLGHYALGEFVSLRGITAGIENTNFFLNTT QGEYVLTVFEVLNHEQLNFYIELMHTLASRDVRVPMPQTLRDGKRIGTLKGKPAAIVD RLPGGYESDPGVQHCMIAARTQAQAHLAAKDFSLYQPNLRGLSWWEETYPAIQPFLTE TQNTLFVSSLKEQREVQSGDEWKRLPAGACHCDMFRDNVLFDGTYENPRMGGIIDFYF AGHDAWLFDVAVAVNDWCIERSTGALTPWLVEAWLDAYAQVRPFTPEEKALWPVALRA AALRFWASRLNDFFRPRPAQTLKPHDPTHFERILALRVQQPPPPLP MIM_RS08750 MALFSPFEARNKLTQFAWLTNRPAFFVWAILVGVAAAGMTVLFH MAIHWGQLLTGSVPGEIETVVSRWSTTERVLFPVVGGLIAGTLLWLSSKVRKDMNADY MEAVALSDGRLSLRQGALRVLSSLSTVVTGGSIGREGAMVHLGAMIASAIGRFLAFNA NDIRLLVACGAAAGVSAAYNAPLAAALFVAEIVLGTLSVTTLGPLIISAGVANITMQL TGYYRVTYDVKPISLAMDASLLLLLALAVVAGLLAPLFLRFLDAVRQLFRKTRLPLPF SLALGGALLGGILILQPAASGNGYGPIEDMLTLSWTIPAVLLMLAYKVLATGATVGSG ATGGVFTPMLMVGASVGMLFFQIISLVMPELAAQPSLYILIGMGAFLAAGTHAPLMTI LMIFEMTQQINLVLPLMFACVIANVVSSMYSTPAMYGVTLSREQTARLRRQISSMTLK GLVIEAETVLRQNQTLEQAVAMFQAYPVRYIYVIDENDHYQGVLSNQEVTRWLLSQSP LTTPISELMVQPHFIPVLHPDMTLAQGLELFLSFMGERLPVLLSKDEPVLLGVVRKSA ILEQLEEQRILQERHQPPQMDFRISGDK MIM_RS08755 MIHSDITAQLETVVPDSHAGVTAESAVATAQPTGRPALDYTDGT GLRPWQLSVAPMIDVTDRHCRYFHRLLAPNALLYTEMITTGALIFGDVPRHLRFSAQE HPVALQLGGSESAALAQCARLGQRWGYDEINLNCGCPSERVQKGAFGACLMAEPALVA DCVKAMQDAVSLPVTVKHRLGLDYDQSYDFVRDFVGQLYDTGCRVFIAHARNAVLKGL SPKDNRDIPPLRYNVVSQLKQDFPDALFVLNGGLNTPDLICFAAGEFDGAMVGRAAWH EPYLLREISLRLWPDTPVADDATIVQRMAEYARSEVMQGVPLRVIVKPMLGLFNGCKG ARQWRRYLSDPAHLATQNPDILLQAFAFVD MIM_RS08760 MNSTLLVTKRDGRKEPIDLDKIHRVITWAAEGLDHVSVSQVELK SHIQFYDGIRTDDIHETIIKAAADLISQTTPDYQYLAARLAIFHLRKKAFQQFDPPSL YDHVKRLTDMGKYDEHILQDYTREEFDELNSYIDHSRDMQFSYGAVKQLEGKYLVQNR VNHEIYESPQFLYILVAACLFSKYPRDTRLSYIKGFYDATSQFKISLPTPIMSGVRTP TRQFSSCVLIECGDSLDSINATTSAIVRYVSQRAGIGINAGRIRAVGSPIRGGEAQHT GCIPFYKHFQTAVKCCSQGGVRGGAATLFYPMWHLEIESLLVLKNNRGVEENRVRHMD YGVQINRLLYQRLIRNGDITLFSPSDVPGLYDAFFEDQNLFEELYAKYEQDPTIRKRA VKATELFSLMMQERAGTGRIYIQNVDHCNTHSPFDPAVAPVRQSNLCLEIALPTKPLE DINDENGEIALCTLSAFNLGAIDSLDELEGLADLAVRALDALLDYQDYPIKAAYIGSM KRRTLGIGVINYAYYLAKNNAKYSDGSGNALTHRTFEAIQYYLLKASVGLAKEFGPCT AFNETTYAKGILPIDTYKKDLDGICNEPLHLDWESLRKDITTHGLRNSTLTALMPSET SSQISNATNGIEPPRGLVTVKASKDGILKQVVPEYERLKDKYELLWSMPNNDGYLQIV GIMQKFVDQSISANTNYDPARFEGGRVPMNQMIKDLLTAYKFGVKTLYYQNTRDGAED KQEDQAQDDCESGACKI MIM_RS08765 MTYSTFCQVPNDQMKEPMFFGQSVNVARYDQQKYEIFEKLIEKQ LSFFWRPEEVDVSQDRIDYQTLPEHEKHIFISNLKYQTLLDSIQGRSPNVALLPLVSI PELETWIETWSFSETIHSRSYTHIIRNIVNNPSVVFDDIVANEYILKRARDIAFYYDD VISYTQLYNQFGEGTHVLAGKEVVISLRELKKKLYLCLMVVNVLEAIRFYVSFACSFA FAERELMEGNAKIIKLIARDEALHLTGTQHMLNILRSGDDDPEMAEIASETRTQCFEL FKQAALQEKEWAEYLFKGGSMIGLNKDILCQYVEYITNLRMQAVGLQAAFPGVRQNPI PWINAWLSSDNVQVAPQEVEISSYLIGQIDSQMNTDDLQHFEL MIM_RS08770 MTAVVTTDSRFELLEGETLLEGLERTSHEVEYQCRAGYCGSCRT HLLAGKVRYLTEPLAYIAKDEILPCCCVPDGPLQIEARLLHMPEQPSLGEEFNIQTSL DFGADEQR MIM_RS22860 MKLDPKWSFILTVLLFAGMMIGLSLSVLNDFMAQTTPLAGRDIF VMAMHVIWLALWSIATVFALTYALRPNKNDKRRRGSATSTAVRQPRNPATSEY MIM_RS08775 MKCYCITGFKAPLQMMEKADFTPQGTQVVLEVKAAGVCHSDLHL WEGGYDLGQGKRLELKDRGVSLPLTPGHETVGSVLAAGPDAGPLDTGKNYLIYPWIGC GTCVVCQRGEENLCGAPACLGIHRDGGYATQIVVPHPRYLVDIGDMDPVQAAPYACSG LTTYSALRKFDRDVLQNEPVIIFGAGGLGLMCLQWLHAMGGKGAIVVDLDPAKREAAL QAGALAAVDGNSPTLIQDIQAAAGTRTIWSVIDYVGAPSTANQAFSLLAKGGKLVIIG LFGGQSEWPLAMFPLKAATVQGSYVGNLAELQELMTLVKQGGIRPIPVSRHLLAQADQ TLMALHAGKVIGRAVLTP MIM_RS08780 MMTVSNSQLQPSAANDGIQADGAAAKAMSAEVSGRPFVFFTNAS SGNQDTEQFLEKIATVMEGHEHHIICVYPDDSHEQKQQEAVSLVKKHNAILVVAGGDG TISAMANHAIAEQFPMGIIPFGTFNMFARDHGLSLDTDTALRDLLTGHIQPVQTGEIG GVHFIVNATLGLYSQLLADREQWKNQFGRRRGIAIFAALSTIMKYGRSYILQFNKGEG RQLVKTVSFMACNNTLQAQQAGVQYDQTMGDGYLYGIVLKPSSRKRMLLLALKLIFRR LDQDRQIISFPFEALDIDKKKGGRSIEVAMDGEVHSLQAPFTVKANRDLLKIVVPASV AQHSEQE MIM_RS08785 MYRILQISDIHFGTVNANVLNALVHAVRQLSPALCVVSGDITQR ATRSEFLAANAFLKTLPAPVLCIPGNHDIPLYALWTRFLNPYGRYRRYLHKEVEPLFE SGSAMVIGVNTTTPFRHKRGIVTDAQIRRVVQLARENSAGKSVLVVAHHPFHVLDPAD QEQLVENHEAAIRAWSAAGVDLILGGHIHMPFVYPLTQRYPDLVHPMLVVQGGTTTSF RVRRHIPNSLNVLDLYPEKKIHITTWTYQSKDDSHPNRFMCVEKDMWKTN MIM_RS08790 MLKISEVLRVKGNILYTATPDQSVAQAIATMSERDIGSLVIMDQ GQLTGMLTFREIIRHMHDSPESFENTTIRKIMDDAPVSVSPNTEAEEVRRLMLEHHAR YVPVMDGPVLMGVISFYDMARAMLEASKFENKMLKAYIRDWPGETEEDKE MIM_RS08795 MTKHNDFDLELQKKQEQQEAMEAREELRLNMGDSELGLAFYKKA ILFGIQRLLRDKLTQVASSLTFTTVLAIVPMLAVILSLFTAFPLFTDFKVSLEEFLTH NLMPANVSETIMSYLNVFAQQASRLTAIGVGFLTVTSIMLMMTIDEVLNDIWNVTRRR PIGQRILVYWAILSLGPIFLGASLWTSSYIAQEKLGLVTQFSVIKSVLFTVVPVIVSG LIFALLYYLVPNRKVAWKDALIGGYTTAVLLEIMKAGFAYYITKFPSYTLIYGAFATI PIFLLWIYLSWLVVLFGATVAALAPQIRSGQMRDKMSPGVHFVTALFVLRLLHSARDR NPPGYGTSYIAGQIKMNYSETLDILEPLVSMGYIVNTAGKRSERWVLASSLNASLDPL ADCFLFDSKVIEISHDPALRQVIARLLTEDNSVKLRDILYLEPTDEAPPAASDADTDS AQGKGRSASPATSSDARNTTQHAS MIM_RS08800 MSSTDTGPALASVHQAQQVALNPRYRVIAFVSLLLLFLLCIAWE LFLDPLVPGGSVYVLKALPLLFPLYGVYKGNLYTLQWSSMLVLLYFTEGVVRWYSDIS STSSMLGAAETILSVVFFLAAILYVRPAKQAAKRAKKQGK MIM_RS08805 MLSNLQVSNRFASLSPAFYTRLRMQGLTDPTLLHVNPDVLASLG LTMEDARSPAFLSIMSGNADLPGGVTLSAVYSGHQFGVWAGQLGDGRAHLLGAISGTD DNGKPADWEIQLKGSGRTPYSRMGDGRAVLRSSVREYLASAAMTGLGIPTTQALCLVA SDDPVYRETVETAAIVARVAPSFVRFGSFEHWYAAKDAARLRELLDYVISNFFADQVP AVDSEHTLNDVIERFVDIVIERTATLMAGWQSVGFNHGVMNTDNMSVLGLTLDYGPYG FMDAFRINHVCNHTDTQGRYAWNAQPSVGLWNLYRFANCFVALGAEPDRLKARLERYE GLFISAYRDRMIAKLGLQAWQEGDDDLIDGWWRVLHDQSADFTLSFRYLAQIDVDESP LRSLFTDTTRLEQWLASYRKRLQDNENDSAQARAGRMDQVNPLYVLRNYLAEEAIQAA AKGDMSVTDSLLQVLRDPYTAKAGMEHFAEPPPDWGRELEVSCSS MIM_RS08810 MSGNTLGKLFSVTNFGESHGPAIGCVVDGCPAGMSLSAEDIQIE LDRRRPGTSRHVTQRQEPDTVEILSGVYEGKTTGTAIGLLIRNQDQRSKDYSAIADTF RPGHADRTYWEKYGIRDPRGGGRSSARLTAPTVAAGAIAKKWLSEKFGVVIRGYMSQL GPIRIPFKSWEEVENNPFYAADASVVPELEAFMDQLRKDGDSIGARIEVVAQGLPAGW GEPLYDRLDADIAFAMMGLNAVKGVSIGAGFECVTQRGSEHGDELTPNGYLSNHAGGV LGGISTGQDITVSLAIKPTSSIRIERKSVNRAGEPVMVQTLGRHDPCVGIRATPIAEA LLALILIDHALRQQAYR MIM_RS08820 MAQTLYDKLWDAHVVHQEDDGTCLLYIDRHLLHEVTSPQAFEGL ALAERKPWRLSANLAVADHNVPTQGRAQGISDPISKLQVDTLDKNCETYGVTEFRMND LRQGIVHVIGPEQGATLPGMTVVCGDSHTSTHGAMGALAFGIGTSEVEHVLATQTLLM KKNKSMLIRVSGDLPFGCTAKDLVLYIIGVIGTAGGTGYAIEFAGKAISDLSMEGRMT VCNMAIEAGARSGMVAVDDKTIQYFKNRPYSPTGVLWDQAVAYWKTLHSDADAKFDKI VDIDARQVRPQVTWGTSPEMVLSIEDRVPDPEREKDEVRRSGMERALQYMGLNPNTPI TDIRVDRVFIGSCTNSRIEDLRAAAKVARGRRVAGNVIQAMVVPGSGLVKKQAEQEGL DKIFLEAGFEWREPGCSMCLAMNADRLEPGERCASTSNRNFEGRQGQGGRTHLVSPAM AAAAAIAGHFVDVRKLG MIM_RS08825 MEAFTIHEGLVAPLDRENVDTDLIIPKQFLKSIKRSGFGPNLFD ELRYLDHGEPGMDNSKRPLNPEFVLNQSRYQGASILLARKNFGCGSSREHAPWALTQY GFKAIIAPSYADIFFNNSFKNGLLPIILSELEVARLFDEVRAFNGYKLRIDLERQVVI AADGRELHFEVDAFRKYCLLNGFDDIGLTLRRSDQIRQFEAERLARHPWLEGSAGLSR MIM_RS08830 MTHTIAVLPGDGIGHEIVEQAQRVLSALGLDLTMEQAPVGGTAF DLHGHPLPEATLALAKRSSAILFGAVGDWKYDSLPREHRPEQAILGLRKNLGLFANLR PAILYPQLANASSLKPEVVSGLDILIIRELTGDIYFGKPRGVRVVEDGPFKGEQEGYD TMRYAESEVRRIARVGFEAAQKRNKKLCSVDKANVLETSQFWRDIMIDVAKDYPDVEL SHMYIDNAAMQLVRAPKEFDVIVTGNIFGDILSDEAAMLTGSIGMLPSASLNASNQGL YEPSHGSAPDIAGKNVANPLATILSAAMLLRYSLNEAAAADRVENAVKAVLEQGLRTA DIFEAGTTKVSTSQMGDAVLKALN MIM_RS08835 MSQSVGFVGWRGMVGSVLMQRMRDEGDFAFINPVFFSTSNAGGK APAWAEGAGPLQDAHDIDALKKLPIIVTAQGGDYTTQVHGKLRDAGWDGIWIDAASTL RMKDNSIIVLDPVNRNVIDSAISKGVKDFVGGNCTVSCMLMGLAGLFKYDLVDWMTSM TYQAASGGGAQHMRELLTQFGQLNAAVKPLLDDPASAILEIDRQVLAKQQDPSLPRDM FGVPLGGSLIPWIDKDLGNGQSREEWKAEAETNKILGRGEGFGTAAIPIDGLCVRIGA MRCHSQALTIKLKKDVSIDEISDMLKEGTQWAKVIPNTRDETMQDLTPVATTGTLDIP VGRLRKMSMGPEYLSAFTVGDQLLWGAAEPLRRMLRISVGEL MIM_RS08840 MELPTWIANYISLWLLGVLGVIVVGLFIMLRCDQRRKARIPAGP GYEAFQQKLKSINLDLDAPDSDASTRS MIM_RS08845 MSRRIALGIAYHGKSYLGWQTQPGGRTVQDTLEKALAAFTCEAT PTICAGRTDTGVHARAQVVHINTAIVRRMESWVRGVNAHLPADITVRWAQEMPADFHA RFSATSRTYVYLLRNERILSPHWYGRAGWDFHPLDLDAMQRAAQYLLGEHDFSSFRSS QCQAASPIRTIEHLNIVRQGAFFVFTLRANAFLHHMVRNILGCLLYVGKGRYPADWMP QVMAAQDRKVAAPTFMADGLYFAQASYPAHFGLEQYDAFDLSRPLDALLFES MIM_RS08850 MKRRSFLKQATAGVAATGGAVLASPVFAQDAPSISWRLASSFPT SADAIYNGSVNFAKHLAEATDGKFKISVHHAGEVVPALQVLDAVQNNTVQCGHSAAYY YYGKDPALSFDAAVPFGLNTRQYNAWMRAGNGLALTREVYKKFNIVNFPCGHTGTQMG GWFRKEINSVEDLKGLKMRCSAFAGAVLSRLGVIPQQVAGGDIYPSLEKGTIDAAEWI GPYDDEKLGFNKVAKYYYYPGWWEGGFQVSLYVNDGEYEKLPKHYQSAIMQASALATE EMIGTYDAKNPAALRRLIAGGGVLKRFPKAVLDASFAEATKVYEELSAKDPLFKKIHD DYMAFRNDVYPWFGVAEFSYDAFMLDALRNKK MIM_RS08855 MKFLFTLSRAIDALMNAIGRVVIWLTLVVVLISAANAVVRKTFH YSSNGWLEIQWYLFGAIFLLAAGYTFLKNEHVRVDIISQRLSRRTQIIIETIGVLFFM LPACLLLIYLSWPFFMLAFETNEQSSNAGGLVRWPVKLLIPIGFTLLVLAGISHLIKC VAYLSGKGPDPLAPMGGKTSEELLAEEIAAEAELKRQQSLQAGN MIM_RS08860 MEFFIQNMPPIMFLTLICFLLLGFPVAFSLAANGILYALLGIAF GEFTFPFLQALPDRVFGIVQNDSLLAVPFFTLMGLILERSGMAEDLLETIGQLFGPIR GGLAIAVVFVGAMLAATTGVVSASVISMGLISLPIMLRYGYDRKLATGVIAASGTLSQ IIPPSLVLIILADQLGRSIGDMYRGAMIPGFILAGAYIVYVVIASLIKPSYAPALPPE ARVYRESNGSNGLPSLLVLILIASVGAWLLGKVFLSEKSAADETIVLNLLLWGVIAFV IAGLNKLLRLKLLSQIAERVVFVMVPPLFLIFLVLGTIFIGVATPTEGGAMGAVGAII MAMIRGRLTLSLLKQAMDTTTKLTSFVVFILVGSTIFTLTFTGFGGQHWVEGLFASLP GGEIGFLIVVSIATFFLAFFLDFFELAFIIVPLLGPVADKMGIDLIWFGVLLAVNMQT SFMHPPFGFALFYLRSVAPKEDYTDRVTGKPIKRVTTGEIYKGSIPFIIIQLLMVASV MAFPAMVMHYKGTGTGIDPSQVTFDTGNSYGTDPYGSDNGFDSGSGSDANKDKGSSGD AYDDPGNAFK MIM_RS08865 MRTRVKFCGLSSEKDIHDAVHAGADAIGLVLYEKSKRYVPLAQA AALRRAVPAFVHAVTLTVNADVSLIEQIMNTVRPDFIQFHGDETADFCEQFAYPYIRA LRVGAPGLATPQEIAAAVKAYPNARAFLFDAYSPAYGGAGISFEIGLLAQVTQDLPAH KIIIAGGLNASNIGALVSSYHPYAVDLSSGIEIAPGEKSAGKMRSFMLALSEADKLHW PAEL MIM_RS08870 MMTTASLASPGVNPVKKRRISRYATPLAGIAGLVILILLWGIGI HSLTDSMPLAEQLSPQNSFAALVHLLGQSELPGHALTSLRRVFVGLALALVIGIPIGL AVGSARWFENSTQPAFQFLRMISPLSWMPIAVMIFGIGDAPIYFLLTFAAVWPIILNT AAGVKSLNPQWLQLSRSMSATRFETLKTIVLPGILSHILTGLRLSIGISWILLVPCEM LGVSSGLGYFILDTRDRLAYSELMAGILFIGLLGFALDYLAQALYRHFTYGNNR MIM_RS08875 MNLRSRREFLRLSSLLTAAGALPLLNAQNRAFAAEPDAPVRIGY LPITDATPLLVAHANKLFEAEGLQVEKPRLFRSWAQLAEAFLSGQVNVIHLLSPMTVW TRYGSQAKAKVVAWNHMSGSGLTVAPDVNDVKDLGGKTVAIPFWYSIHNVVLQQLLDK SNLKPVSHDKPAPDEVKLVVMAPSDMVPALANKTIAGYIVAEPFNALAEDLKVGKVLR FTGDVWKDHACCVVLMHERDLAERKDWTQKTVNAIVKAQAWIRDHRQETADLLSKDGP NKYTPHSKPTLSRVLVPDADFESRYEATGAIEHANWKTARIDFQPYPFASYTEELVRQ LKNTHIEGNNSFLKMLDPAFVARDLVDDSFVKNAVAAVGGLKTFGLDESFTRTEQVVA MIM_RS08880 MKALYSDDTPLSQHIGALPASAAPVAVAQEARPVLLHAEHIRIS YPGDEGERIVLNDFSLALREQEFVAIIGPSGVGKSSLLRILSGLQTPQAGQVRLNEQA ISQPHPDISFVFQDPNLLPWLNVSQNIAYGMNFKRHKNRSRQEIDAAVAEAIDTVKLD GFGSHYPSSLSGGMAQRVALARSLARRPRILMLDEPLSALDEITRAQMQQLLLDTISH YRASAVMVTHDIDEALTLADRIILLDKNASAHRHWSLMQSHPRDVASPEFLSIRADIV HTLRNAFGTGA MIM_RS08885 MCGPCGFIYDESAGLPEEGIPAGTRFEDIPDDWVCPDCGLSKLD FVLIPD MIM_RS08890 MSAPEISLRSDADQAARFEAIDALLAAKLKPLVQQIDQEGLYPE AIVRELGALGAYDAAVSTEFSGRNAGLAEQIEITRRIAGYCASTAFIAWCQSTSAWYL QHAPNAAAREKFLAPVASGQLLSGTGMSNTVKHLADIERIHLSAVRDGDALIINGSLP WVSNLGQEHIVIVAARVGEEGYAMFAVECNQPGLALKPCPEFSGYEGTRTFNVRFSDV RIPAEHVLAQPEQFKEFIRRIKPGFILGQIGIGLGVIDASLKIIRDSNLTHEHVNIFL DDQFDDIKSDLDVYASATRNMAALADTDQSDLLAVLQGRAAASELSLKATQSAALHAG AKGYLMRHAAQRLLREALFVAIVTPALKHLRKEIHALEQEKKAA MIM_RS08895 MIRSSGLTLRRGTKVLLENADFVVHPKERVGVVGKNGAGKTTLF ALLQGRIDADAGDLFIPDSWRIATVEQEIHATTQPAREFVIDGDTHLRELQQARAATP DTDGTRIAELEAALVEADAWSAPSRAEQLLAGLGFRPEQWTQAVNSFSGGWQMRLALA RALMAPSELLLLDEPTNHLDLDAMLWLEKWLAAYEGTVILISHDTEFLNAVAKTILHV DQQKLVRYKGGYDDFLTQRAERVRQLQVAIDRQSRESARLQSFIDRFKAKATKAKQAQ SRVKALARMEKLAPLQAESGIYIHIPSPPSMPDPLLRMDKVATGYTDEQANQTVILSN IELMIRSGARIGVLGVNGAGKSTLIKTIARELEPLAGHYKPSKGLEIGYFAQHQLDML DVDASPLQHLQRIAPEAREQELRNYLGGFGFGGDKVNDKVAPFSGGEKARLALSLIVW QKPNLLLLDEPSNHLDVDTREALATALAEFDGSMLMVSHDRHLLRTTVDSFWIVADGQ VIEFDGDLDDYRDWLAQRALSIRQEERDATAATDGAGAAPDRKAVRRQQAEERQRIAQ LRKPLDKRLAQVEKNLEAAQARIAQINALIADEDFYSDARRDERVAVLAEHGELTATA ETLEEEWLQLHESIEALTTQAETE MIM_RS08900 MASKIHNELKTVRDVIRYAVSRFNDAELAFGHGSDNAWDEAVYL VLSTLHLPLDTLDPFLDARLLEDEKRQCISLIELRVKKRVPLAYLTGEAWLQGHRFLT DKRVIVPRSPIAELLAEQLDPWVADPENVQHVLDLCTGSGCLAILAALAFPNAQVDAV DLAGHAIRLAQRNVDMYQLGDRVNVVQGDLYAPLQAQRYDVIVCNPPYVNSTAMAQLP SEYQHEPVLALAGGDDGMDIIRTILAQGAAHLSDDGFMVLEIGNEYENFMNAFPTLNP VFLETAQSDDSILLLTRAQLLTL MIM_RS08905 MSEVSSSPVLALTQTLIARQSVTPDDQDCQQTLAAELADSGFVA THLPFEEVQNLWLRRNTAAPLLIFAGHTDVVPTGPLEQWDSNPFVPTIRDGKLYGRGA ADMKSSIAAFTIAAKTFVQAHPDHRGSIAMLITSDEEGPSINGTVKVCEYLKQQGVQP DYCIVGEPTSTTTLGDVIKNGRRGSLSGRVVIKGLQGHVAYPHLARNPIHLCAPALHE LASTEWDQGNEYFPPTTFQISNFHAGTGATNVVPGTAEFLFNIRFSTASTVESLKTRV QAILDAHHLESQIEWTLGGEPFLTPRGELSEALSAAIVAVTGTQTELSTTGGTSDGRF IAKICPQVIEFGPINATIHQINEHIEVDSLEPLAAIYRKTLENLLL MIM_RS08910 MTTDLQAVLEKAWDDRANLSSSTVSAEVRDAVATALAALDNGTL RVAEKKDGDWVVNQWLKKAVLLSFRLNDNVVMNNAPMQFFDKVPLKFQDFSEADFKAG GYRVVPNAVARRGAFIGKNVVLMPSYVNIGAYVDENTMVDTWATVGSCAQIGKNVHLS GGVGIGGVLEPLQANPTIIEDNCFIGARSEVVEGVIVEENSVLAMGVFISQSTKIYNR ETGEVTYGRVPSGSVVVPGSLPSADGSHSLACAVIVKRVDAQTRAKTSINDLLRA MIM_RS08915 MNPKLRLLQPYPFEKLRALLATAPAPATELTHVNLSIGEPKHAT PALVNEAITSHLSGLSAYPPTKGDALLRESIAAWIGRRYNMPAPDPQTQVLPCLGSRE ALFAFAQTVLDGASDSLVICPNPFYQIYEGAALLGGAQPYYVNAVPERNFSPDWSAVP AHVWEKTQLLFVCSPGNPAGNVMPEQEWAVLFELSDRYGFVIASDECYSEIYFDDAQP PVGVLQAAYKLGRTDFKNCVCFSSLSKRSNVPGMRSGFVAGDASLLESFLLYRTYHGS AMSPVFSAASTAAWNDEAHVEQNRALYKAKFAAVYPILAEVLDVKMPDASFYLWAGTP VADPDFVRDLFGAQHVTVLPGSYLAREAHGVNPGANRIRLALVAPLDQCVEGAQRIAR FVRERYAS MIM_RS08925 MPKHSCDCHMHVFDNTYPIAKNAILVHEEATLVAYKKLQTRLNI EKNVIVQPSTYGTDNRLLVNTISNIGESCRGIAVVDSSVTDETLYQLKSAGVVGVRFN LVQQGATTMDMIETIAKRIHPYGMHIQLHLKPSDLIAIEKMLMNLPVPVVLDHFANIG AQIELEKPAKESVYRLMSTGNTWIKLSGAYMVSRVKNYSDLQEYAEKLMESATDRLVW GSDWPHATEKRKPNDGDLISLLGLWAKDAVTIRKILVDNPVNLYGFKPVE MIM_RS08930 MSISKILSTVLLGIMASQPVLAQSFNKPIRLVVPFGAGGATDVL ARLIAPTISQQLKQPVIVENRPGANGQIGAQFVKSAPADGSILMVTTEHPVVILPFIN TKAPYTGDDFTILGKIANLQWALSTSKKFGAQNLKEFVEHIRQHPADGNYGVPSDGGI PQMIGSVIAKTEKIEMEVIPYGGAGPMIPHLMGGQIGSGVTGLPEAISMLDSGKVDVL GIAGSKRSTHLPDVPTFKELGYEGLAVDSWFGVFSPKGINQEMKVAFHKALQLALENP EVKNRIYEMSIDTLPMSLEQADSDYEEAIEYWKKAYKK MIM_RS08935 MKRSIFMAILLPLACTPALAAYPERPITWVIPSPPGDGSDSIGR LLADKVGRALGQTIVVQNKPGAGGVIGSESVANAKPDGYAMIVGNAGSHGINAAIYSK LRYDVVKSFKPVGLFCTTANVLAVRQDLPVSNVKEFVAYIKNSKTSLNYSSGGIGSSA HLSAELFKSLADVPLVHIPYRGATPAVQAVLSDEVVTMVGNLPPWLSQIKSGKAKALA VTTKERVPELPDVPTLAETYPGFETVAWFGLLAPADTPNEIIERVNKQINEALASPDI QERLKAVSCAPAGGTSEEFAERIRNDVSRWKKLAQEKNIKAD MIM_RS08940 MSTITSITAVPFNVSPKTNWFFILIQMSDGTHAWGEASLNGWET ALQTATQLHGQPLIGMTAEAAIAQVRPSPILPGGLVSNAVLSALAQALWTIRAEQEAM PLHAMLAPLQRKAISVYANINRATVDRTPAGFAATAKRAAAAGFSGFKAAPFDGVTPS LCANGEARQMIQHGIDCLYAIRDAIGPDARLMVDCHWRFDEQSALDVLDQLQAVGLHW FECPLAETYGNWDPLRRIKAHANEQNVLIAAAETQVGVHAFEMQIREKLLDVIMPDIK YCGGPAHMLAIAEMAAANDVLFAPHNPTGPICTVASLHVACVASKAHMLELQFEESPL YEELAGPQYPKLDKGKYQVPNRTGLGVKPDRQLLDAHPFRPVPFGIETLLAV MIM_RS08945 MKTMTYVVGALCALASLQAYAAKPVKPAYQHADSVCKRVQEKAQ ATLRARREGHNDRAGDKAGLGSDSKDPMFTYAIDVAYESDINKTDIGQEAYDYCMLHK ASS MIM_RS08950 MKKSLLSGLVLTAIAGLAHAETSVQLYGLVDAGVGYSQTKVSYG DSWVKTRDIGLVDGIGGTNNWGLKGIEDLGNGTSAIFQLESGFSLGTGHSLSEGTLFD RRALVGLTGADWGTVTLGRQFNVADDILSPLDPFGTNFGQAGVTAGAFGDSVSANMSD SIKYMSPTWSGFQFGLGYSGRNEKTEYSSGAGRIVDKDTSNWITAGLSYVNGPLAVAA TYDRFRSDVTVSDESTKSTTNMWNVGLTYDFTAVKAHLMYGQTHGALPATGLSSGLIH SLDTALVDDGDSGLLSGTSGYRQQAWLAGLTIPVGDAGRVLLSYQGNHVKNSDTVYDK ARGTLHIGSIGYSQDLSKRTTLYAVASYGSGSVRFDALDDKVKLKSTLVAAGIQHRF MIM_RS08955 MKFVNGVFNMKKALQSIVLLGAATGVAQAATSVTLYGLVDGGIG YTKTKITESDIVHDGGHRHSGSWSEKRNIGMTNGILNGNRWGLKGSEDLGNGTSAIFQ VESGFDLGTGESKQGKRLFGRKAIIGLTGESWGTLTLGRQYNVADDVVSGIDPFGTGV GQAGVADGAFGDSVSARMNNSIKYLSPEFGGFKFGLAYSGTHDKNSSEKRDEKSDKRD TSNWISAGLGFENGPVSVGVSYDRFRTDVRSSGYNYDLDQHKYVDFDTKTSSTTHMWN LFGAYDFEVVKLHLGYGQIRGSVAGKAITEAQAGGIGLNSALAGYTTIFKARSKFNGL NYAEAPGFRQQSWMVGLSAPVGDAGTVLFSYQGNTSKNTNNEYDGMKGKLSIFSVGYV QGLSKRTRVYAAASYGVGKMKFDEKYHRGNVKLKSTLIGVGLQHRF MIM_RS08960 MNNHIFRLKSQVLAIMALSLAASIAQAANTGEVACKTTAECEQQ AIKAGVTAKPVSSKATSKNDEKEDQFYWLGKINKASAVMLTEEKIVEPQMGAKLASGV AYSLEQSEKPDGKRPKDVLQIEKIITDEIGPDASLIHTGRSRQDMYATYRMAKLRNQV LDYSDALNTLRTALLAAAAKNVNTIVPAYTNGVQAMPISYAHYLLAYEASFARDAQRI HELYKRMNLSAMGTAVLANSSWPLNRERMAELLGFDGIIENSMDSSQIAPSDVSLEAT GIPSSSAIRLGVLLQDIHTQYHQTRPWLLLDEGSTYTSSAMPQKRNPGVIMRAREAAS NVVGLAQTVVFRNHNVTTGMTDYKSAWDDLGLFPQAIKMIDSVNTVMKALNVNAKRSL EELEDDWTTSMELAEALQKEHKIPFRVGHSFASSIVTEARNNGFKPKDFPYDKAVELY TKALQKYKLPEGKLPINEAHFREVLSPEFMVKTRVGTGGPQPAEVERMLAESNKRLDG DKAWMQSTRDKLAQADKKSGRGLYQTEGFCRSISR MIM_RS08965 MKAESVLDTIGQTPHIRFSRMFPGQQQVWIKAERFNPGGSLKDR AALAMIEAAEQSGALKPGATIIEPTSGNTGVGLAMVAAIKGYKLILVMPESMSIERRR LMSAYGASFDLTPKEKGMKGAIARAVELAEQTPGSWIPQQFDNPANPQVHAERTAKEI LDDFPAGLDYLITAVGTGGHITGIARTLKKQWPQLKVLAVEPAASPVIAGGEPGPHAL QGIGAGFIPVNLDTSLLDGTISVEVPESLDYARRAATQEGLLVGISSGATLAAIAKTL PGLPANATVLGINYDTGERYLSVKDFLPE MIM_RS08970 MASEMELVGRLVMSAVLGSVIGFERERLSWAAGLRTHMLVCVGS TLIMIVSIYGFSDALGAHVTLDPSRVAAQVVSGIGFLGAGAILARGQVIRGLTTAASV WSVAGIGLAVGGGLYIPSIAATIIILLILAGIKPLEKRFIAYQQRRHVTIKARHGQLT LGTFNHALGISANRVKQFIVQPAETPEFDKVYIVFSRMSPQEYAMIRKKFNDIPGVEA IAERASDEDIDPYSW MIM_RS08975 MNQQTLPISSPLPGILSLLVAMSVLSTLDATGKWLMSVGVPLLV LCWVRYSVHLILVTSLIIPARGWQILKTKKPVEQLLRGVFMLTSTMVFFTTLRYLPQA QATAINFIAPLILLAVAPWILKEKAMLSRWIAAIVGFLGILIVIRPSGGLDPTGVMFG LSNAVIFSLQFIANRRLAGDNPFTTLIWSGLFGTVVLTVYTLFTIDEVLQVLAVLDLK QWLLLLSTGITGGVGHLLQIQAYRHAPASLLSPFVYLQIISATALGWLIWNQFPDSLT WLGIGVICASGIGITLYELRARPIPATA MIM_RS08980 MTSDAQQKTSHLNNAGLSVLLAGMFLPQIDFSIINVALDSVARS LHATEYELELMVAVYGVAFAVMLAMGGRLGDMYGRRRLFNIGVLLFGISSLLCGIAPS ISALLLARTLQGVAAALLVPQILATIHVCLKGHAHSRAIGLYSSIGGLSFIIGQVLGG FLVHANIAGSEWRSIFLINLPVCAAVLFLSTRHIPETRRENPASIDGPGTLLLALLII SILLPVALGPSMRWNWLCFAALACAIPLALLLWKTETRQERRGILPLLPPSLLRLPTV RFGFFTAILFFSCWSGFMFVLALTLQAGAGLTPLQSGNAFIALGAFFFIGSLLSTRVV ARIGLLPTLILGCAMTIPGLLILLWILHQVWPTPNLLSLTLPSLFIGIGQAFIVGAFY RIGMSQIPPDQAGSGSATLSTIQQASMGFGPALLGAVFSQTLLQGHDYQRAINASLQL EMALLATLMVASIWYFLRKRSQTSLAVQGNT MIM_RS08985 MKVTDSMTAVITHAAAEHRKTPIDDRVDRAQELGLFLRARRESL DPRRMGLPRYGRTRTPGLRREEVAQLANIGITWYTKLEQGRPIRVSPKVLQAVASALQ CTETETRYLFSLAGVASPAAAVSGEICRMMPTAIQTILDQLHPLPAAVENVRYDILAF NEAFCRMIDRDLNQVAREDRNCIYLALTDPQVRKVTQDLPETIGHMAARLRAQMAPHL GDPLWERYLQRLLASSPEFADIWERNRVCATLDHTVRYKYKGLGTLEVLQTNWWSMPM AGERLLVYVPVDEASRKMLSARMAAHVA MIM_RS08990 MLPEPVNLSRFDPQTLRLFLLAARSLNLTRAAYDAHMTLSALSK RISELEKQIGCELFIRRARGLELTSAGHALVEHAQLVIAAVTRLTADMSAYSAGLRGQ VRIWANTSSVIQFLPGDLAAFAGSHPAIRLSLDEKLSHEIITALTQERIDIGIFADNI PSGQVEKYFYRHDQLVVLVPRGHPLGKHKRIRFAQVLDNDFVGLSDGSSLQVRMQDAA MAAGKTLRLRVQVSSFDAICRMIEAGLGVGLLPLQAVRPEIIGKGLHALALDDDWGQR TLYVGVRSAAALKPDAQRLLDFLKRAHQTG MIM_RS08995 MSKPLDGIRVLELGQLIAGPFATKMLAEFGAEVIKIEPPGTGDP LRKWRLLHEGTSVWWASQSRNKKSVTLNLHEPEGQEVIRRLVADTDVIVENFRPGTLE KWNLGFEALKEINPRLIMLRVSGYGQTGPYKDLPGFGVVGEAMGGLRHLSGEPDRPPV RVGISIGDSLSALHGVIGVLLALRHRDQFNGAGQMIDVALYESVFNMMESTIAEYSVF GQVRQPAGSSLPGITPSNAYRCADDKYALIAGNGDSIFKRLMSLIGRDDIGTDPAFEH NDGRAKQAEYIDGVIGQWTAQHTLDQVLEQLHANRIPGGRIYDAADIASDPHYHARQM LIEGELEDGTPVTLPGVLPKLSETPGDVRRRAPILGQDTQQILDRLGVDAATRDDWKV RGII MIM_RS09000 MKKLFIQEVAPRDGFQNEARFIETEDKIAFIDQLSQCGYAKIEV TSFTSPRAIPALKDAEAVMHQIHRNPNVIYTVLVPNLRGAERAMSCGVDEVNLVMSVS ETHNLSNLRMSRDRSFEQLAAVIGEVKNTSTAINVSLSTVFGCPMQGDVAQDDVLAWV DRFAQLGVDGVTLCDTTGMAYPTQVASVFAAVLARFPGLQVTAHFHNTRGMALANTVT ALQAGVVRFDSSLGGLGGCPYAPGASGNVTTEDMVHMLELMGYDTGVDLNALLRISRS LEPLIGHGVNSQTLAAGIRMALHPVPEYVKQLQQAQ MIM_RS09005 MTNVMAQEAGYPQRPISLIVSAAPGGTTDLAARMIADPLSKELG QPVVVENKPGASGGIAAQQVLRAKPDGYTLLLQYSGYQVITPSIEKVSWDPVKDFTPI ANVLSAPQLLVVKKDLPVNSLKELVQYAKENPGKLNYASSGTGALQHVATEQLNQMAG IQTTHVPYKGTGPALTDLLAGVVDLTITTPPPLLPHVKSGALKALVVTSKDPLPSLPD VPTASAAGYPDLLVSSWFAMYGPKGVPGEVVQRLNTAIEKIMATPEYKKKAEGLGATA EYMGPEQLGKYTGEELARWKKVIDTAGIKGGN MIM_RS09010 MKKSVLALVALLASGSALAAYPEKPITMIIPFPPGQATDTFARA LADELSKSMGQPVVTENKAGAGSNIGMQQAVRAKPDGYTMVVGASAAAVNQTLYSKPG YSLQGDLKAVSGVFSVPLIFLANPKSGIHSLQDVVSQSRAQPESLAYASAGIGGTQHL SAEMFQAGTHIALRHIPYKGSGPAQADFIGNQVPLMVDSVTSALPYIKDKKAVPLGVT TAVRLKALPDVPTVSEMIPGFEAIGWGAIFVPKNTPADVVEKLNSEIVKALNGPRLST FITDRGGIPMPQTTQQAEEFVRVEVAKWGKAVKDSGATVD MIM_RS09015 MSLFPPDALATPNASATDQQLGPGAWVLRGYALDDVDGLLAELG RIRRQSPFRHMVTPGGYTMSVGLTCCGTVGWTADHKGYRYAAIDPETGQPWPAMPHLF LALAQQAASHCGYAGFTPDSCLINRYVPGARLSLHQDKDETDFSAPIVSVSLGMPAMF QFGGLKRSDRPEKVPLFHGDIVVWGGPDRLRYHGVLALKDDPHPLLGANRINLTFRKT R MIM_RS09020 MSAQKPQPSYLTTPVLLLMAIACGLCAGGNYFNQPLLHSISVSL GITETRAALTVTVAQVSYALGLLFIVPLGDKFERRQLAVGLMVLAAIGQFISGLAINS SMLFAGVGMAGLFSVAAQVLVPMAAILSAPSRSGRAVGMVMSGLLTGILLARSVAGLL SGIGGWSTVYLVSGVIMLVIAAVLWRKLPSSRSPQNHSYLSILASLFTLLREQPRLRT RALMGGLGFASVSALFSTMALLLAGPAHGLNDVAIGLVGLVGVMGALMASMGGRLADR GLGDTVTAVSVVLLLLSWGLLWLGHDNLWWFIAGMLVIDLALQGIHINNQTTIFALLP QARSRLNAVYMTSYFIGGASGSTLGTVAWTYGGWGGTCLLGAILAVMTGMATWADRKV KSEIQRQNPTSAVVTE MIM_RS09025 MKIFYSALSPFVRKTMIVAEELGIADRIERLPAAANPVNRDRNI IPFNPLGQVPTLITDDEQVLFDSKVICEYLNTTFKGKLFGDDTTRFRLLTDHAAADGV IAASLLVRYELLARPEALRWNEWVDGQTDKITTGLQYFEDKAQALYDRIDIVTITLAC AFDYLDLRLPDYQWQKRFPGLKAWYDKFSVRESIARTKPQ MIM_RS09030 MHIKDRRAFLQLLTAAGAGMLAPAMLRAQQGMEQFYDLPTFGNV SFMHITDLQSAWKPLYYREPAEQAALESANANPPSVTGDALLQYYNLMIGSAQAYAFS SVDYEASAADYGPLGGIAHLASLIDIVKRSRKQAWLLDGGNGNLQSSAPWAAADKAIT LHDTLGINLALPTQATIRAAIRTAVPAAHASDTPPSDGGVSAAAADQVGHVSSIAHNV FGPAHDKPLFAPYKLQKQNGVVVAVIGQAAHNEGFAKDNCDTNAGPGAKTDVNRPAAI PLDEQGLQRIVNDVRKQGAQAVLLLSRAGVNADIQLAARVTGIDVILGGRSATPLPEP ILVPNKKGKTAVTNAGAQGRFLAVLDLDIRKNGLVDFRYNLLPVVAGFVQADSRMEKR LSAAYATADHNLSEKIAVTQGMLYRRGTFNGTWDELLLQAMLQQSGAQIALYPGYRWG PTLLAGVAITREDMINQLALGDTHMCSGILSGSQIHELLENAAAALFNTDAYERSEQD MMRTAGLRYRINPEQAPDKRITDVMVGSQPLVADEQYKVATWGVSLPSESAQVKAAHG VTEGEATTQSSDLESKEAAPVSGAATEGEAKAEVQEMPASTPPAMLDVVESYLRQKKI IPVMTPYLPEIIRK MIM_RS09035 MPENEVIRVISRPTGIPGTEHFQLEKQPLAELQPGQIRVCNEWL SVDPAMRGWLADANNYASVKVGEVMRSLCVGTVIESTVSTYNKGDRLMGWFGWQTCAT VKPEAVVQKITATDLPPSLYLGVLGLNGITAATALDKLGSPRQGDTVVVSTAAGGVGS CVGQLAKTMGCRTVGLTSSSEKVQACYEAFGYDHVINYKTDNIDQAIKAACPDGVNVY FDNTSGSISDSVMQHLAIGARVIVCGTASIPSWDPPPMGPRVNRILLTRRARMEGFIL FDHQDTYASYVEKLEQLVRDKKLTYREHITDGLHTATGAIAQLYRGENLGKRLIRL MIM_RS09040 MDRLRAMAIFVAVAQAESFSSAARHLGMSAPAVTRAISELEAYL GVRLLTRTTRIVRATEAGLRYLDDARRVIAEADEADTAVSGIRTEPRGRLAITAPVLF GRMFVTPITVQYLRRYPEVNITSLFVDRVVNLIEEGLDAGLRIGHLPDSSMKAIRVGQ VRTVVCAAPAYLATYGTPETPEQLHAHTIITTSGISPVPEWRFVQNDKTTAIRMTPRL TVTTNDAAIEAASEGFGITRLLSYQIAPFLARGELQVILQDYEPAPSPIHVVHHENRQ AAAKVRSFVDMAVTCLRANAALAYKP MIM_RS09045 MPRAFSAITFTPAVRAAQERYGSRERNLLFEEDSDERNLITERE RALIPDIDTFFMSSVGANGWPYVQHRGGPRGFVKILDDHTLGFADYAGNRQYISAGNL MNDDRVMLILMDFAHRRRLKIWGRARMIHESDEPALLAMLHMPAYRARVERGYIITVL ALDFNCPQHITARYTEEEFFAMFEPKESP MIM_RS09050 MITLYDVDASGNCHKVRLFLSLLDVEYASRPVNLMEGEHNLAPF LQMNPFAQVPVLVDGDVLVRDSQAILVYLAQQYGNQDWLPTHDPNGMAQIMAWLSTAA NEVARGPNDLRLHYKFGRQLDLAAAGQTCERLYGIVEQQLQASDWLVGDHITIADIAV YPYIALSPEGQIDLVPYPGLRQWLERIQALPGYVGMPGMYSAAEQ MIM_RS09055 MTSEIRQPVPPFTRESAIQKVRLAEDGWNSRDPQRVSLAYTVDS KWRNRAEFVNGREQIVSFLTRKWVRELDYRLIKELWAFDGARIAVRFAYEWHDDSGQW FRSYGNENWEFDDKGLMLHRHASINDLPIAESDRKYHWPLGRRPDDHPGLSQLGL MIM_RS09060 MLNIVVRSEQPGDLGAISLVTRTAFEPEAFSSHTEQFIVDALRR AGQLTVSLVADNAGAIVGHIAFSPINVSSGEQGWYGLGPVSVLPQWQGQGVGTRLIRS GLEQLQSMGARGCVVLGDPDFYCRFGFKNSESLVYTDAPARYFQAMAFVGEVATGQVQ FQKAFEATQ MIM_RS09065 MVAATVVAFFFAPSGKEREPLYVRQIVASVFAFCAAAVCASLFY FSPSDTEGTEAAFAILSAVVMVAIPCWYAFRRYKNKK MIM_RS09070 MAYVHTFDLNRVGRDFVVGDIHGHYTKLMHALDNVAFDAGQDRL FSVGDLVDRGTENEPVVNLIGTGWFFPVCGNHDDYAIRYHRIGRMDEDNYRRNGGSWF IETSTDKREKLVAQLERLPMAIEVATINGRIGIVHADVPCRHWNNLERYLTSKSGRQR VMWSRDRFERQDTTRVDGVDHVIVGHNCHPDIITLGNVHHIDTGGWLPDEHKGHFTLL EISKPGLL MIM_RS09075 MTSRSIAGSLALCRQIIGRYRDSIAFHLTLSYGLLAIVTTLVLL AFIYVQVIGVMRTQLSEQIDHAEQRLALEFATGNIAAVSVAVNRAIADELDGADDLFL LSDHNGNVLAGNIEEATHYPVASGMFETVVKVNGMQVVGYFKRTQFPDGHALLIGRES GKIEHVSAMILRGMGTAVALALLLIASGTYIFRRKLERSVRTLRTTARRIGPGQLSLR VPEMSAPDEFSQLSQDVNAMLDRVEKLMKGVRHVSDSIAHELRTPLMRIQGRLRSAQI AGASHDELAETVDRVALETDALTALLGQLLQISELEAGVRRKPLVPCRLDLIAADLTD LYYALAEDRNISVCLQAHEPCTVQGDAPLLGNVCANLIDNAVKYASHAVKISISSNDT SVILIIEDDGPGVPQDSLAQLGERFYRLDTDKDGLGLGLATVKAITAVHGGSVEITNC SYGATAGGLRVKVLFPKQPHSI MIM_RS09080 MWRCLVIEDDLENARYITKGLTELGHVCVICDNGVHALPLAMES TWDVIILDRMLPNRIDGLSILSSLRAVGRKTPVLVLSALTGIDERVTGLNAGGDDYLV KPFSFSELVARLNALVRRSDAHVHQQRLQVDDLIAYLDKRKAERAGRPLALQPREFRL LTYLMLNANNTVTRTMLLEHVWDYRFDPQSNVIDVQVSRLRKKVDSGSDKPLIHTVRG AGYTLSDHFAISETR MIM_RS09085 MRLKFSINRRDAWASGLLCALGLGTVLQGSSYSMGTMSQMGPGF FPVLVGALLMLVGLLCLIVSGLSKDEEEEEKIGSPEWRGWFCIVAGVLAFIALGQYGG LVPATFALVFISALGDRTHTLRSALLLSVFVTIIGIVIFSWGLELQFPMFSWG MIM_RS09090 MEILSNLMHGFGVAFQLDNLMWAIFGVFMGNVIGVLPGMGVLAA ISILLPLTYAMTPTAALVMLAGIYYGSQYGGGITSIMLNLPGTASHAVACLDGNPLAR NGKAGSALFMLMLSSFCGATVGILAMILFSPMLVEVAFRFGPAEYFSMMMLGLLAGAT LAKGSAVKGVAMVIVGLILGVVGTDVNSGVARFDFGFPELQDGLQIVALAMGLFGIAD FLKNINKVGTEHTKALGKVTTRSLRPQKGDIKASRGALLRGTGIGAAFGILPGTGPTI ASFIAYATEKKVAREPRRFGRGAIEGIAAPEAATSASTQTSFIPTLSLGIPGDPVMAL MLGALIIHGIQPGPQMVVEHADMFWGLIASFWVGNIVLLVLNLPLIGMWIRLLSVPFK HLYPAALFFVCVGVYSTNNNLFDVGMVLLFGVIGYLFMRLRFEAAPLLLGFVLGPMVE ENFRRALLLSRGDPMVFVERPISLAFITAAILLIAGLVYSTWRASSRPGQMATAT MIM_RS09095 MVTGPMTPEAVQDAFTLLKKAFIERALGAELGHHLGYSSGQTKP ESSQNQRNGSSAKTVLTDTGPVRLDIPRDRDGSFEPILIPKHERRFTGFDDKIVAMYA RGMSVREIQAFLAEQYGTNVSADFISSVTDQVIEQVQAWQVRALEPMYPVVFFDALRV KIRDEGVVRNKAVYMALAVLPDGTREILGLWIENTEGAKFWMRVFNELRTRGVQDILI AVTDGLKGMPDALEAVFPATTLQTCIVHLIRNSLSYVSWNDRKKLSSALHPIYRATNV QTAEQALQAFAQGPWGQKYPMIATAWERAWEQVIPFFAFPLEIRRIIYTTNAIESVNA QLRKIIKTRGQFPTDDAALKLLWLAIRNITIKWGSSTHYWKSAMQQFAILYEERFTDA YHYRESASQEK MIM_RS09100 MTYLNCPNTKNLTLPYYPQNCIQNMQYILDQFRQAGNPILHVRH QSAEPESIFHKQSPLSLPLPAFEAVTGEPVFIKSTSSAFSSTDLFSYLQIAGISALVV IGAVAGFCVNSTVRSGSDLGLTMTVVEDAVISFELDQCELEAAQLHRATLALLAADFA TVTACSQLQVY MIM_RS09105 MKPQSPSSPAKIPKGIWILGFVSMFMDISSEIIHSLLPIFMVST LGASMFVVGAIEGIAEATALIVKIFSGALSDYLGKRKGLAVLGYGLGALSKPFFAMAG TPGMVFTARFADRIGKGIRGAPRDALVADLVSPEIRGAAFGLRQSLDTIGAFLGPLLA VGLMFLLANDFRTIFWLAAIPAFISVALLYFGLKEPAHKEGAKRSNPIKLDNIKRLSQ SYWWVVFIGAVFTLARFSEAFLVLRAQQGGMALALVPLALVIMNLVYSLSAYPIGILS DRMSHRTLLALGLVVLIIADLVLASSNHWAFVIIGVAIWGLHMGMTQGLLATMVADTA PADLRGTAFGFFNLVSGIAMLFASVVAGLTWDSFGAEYTFIVGAAVSLLALILVLVNK HDSQRIRGQ MIM_RS09115 MAKEELLEMSGSVTEVLPDSRFRVTLDNGHPIIAYTGGKMRKHH IRILAGDKVTLEMSPYDLSKGRITFRHIAGRAPTGPSNPRRR MIM_RS09125 MNWYKQLNRTEKNTFIAAFGGWATDAFDFMVFTFVISAIIQLWG ISNAEAGILGTITLLFSAIGGWGAGILADRYGRVRILQITILWFSVCTVLIGFAQNFE QFFVLRALQGLGFGGEWAVGSVLMGEIIRAEHRGKAVGTVQSGWAIGWGAAALIYTLM FSILPAEWAWRSLFWIGVLPALLVLFIRRNVPEPEIFRKKVLEQKNVSNIWGIFAPDV IKTTMLTALLCTGVQGGYYAITTWLPTFLKTERHLSVVGTGSYLLVIIAGSFCGYIAG AYFADRFGRKANLILFSVLSGVCIYLYTELPLSNTQMLILGFPLGFAASGIFSGIGAY LTELYPSAIRANGQGFSYNFGRGVGALFPGLVGYLSQSMGLAGAIAAFATGAYILVLL TTFLLPETKGKELTD MIM_RS09130 MQLCLAPLQEIAPAGFELPANACDTHAHVVSDDTNTYPFVANRS YTPPAAPESNYLSMLERTGMQRGVLIQISVYGDDNRYMLKVLQRHPDKLRGIAVVRED VTQTQLQQMHEAGVRGLRLNVLFGGGTGFDAMETLAGKIAEFGWHMQFLMDARQLPEL LPRLKRLPVPGVIDHMGHMPVAESINHPGFRAMQELIEKHDWWVKLSGAYRISDDFPD FADVVPWAQALINTNENRMLWGSDWPHVSIPRMPNTGLLLAQLAKWAPESRQRNKILV DNPAALYGFKA MIM_RS09135 MLIHSDFLQRAAVLPQHYQWIASPQPGVERVMLDRIGEEKARAT SIVRYAPASRFPGHPHPGGEEILVLSGTFSDEHGDYPAGWYLRNPHGSSHQPFTHEGA VIFVKLRQMPEKESRSVRINTHDASNWTEHDVGASCPLFADEREQVSVEKRAAGRQLV SQFVDGAEVLVLEGSLNDAAQEYGEGSWLRLPPGTYSELVAGLRGATVYLKTGHLGEY LTGAMAP MIM_RS09140 MTSTTDFANDIRLNGAVLLAQLQQLGNIGCDTDSGGRTRIALTD DEKAGRDQLVAWMRELDLDIGIDQIGNIFGTLLPATPEEKSLAPLMIGSHIDTVKNAG ALDGCYGVLSGLAVVRAFREANKQPNRPITIAAFTNEEGVRYQPDMMGSLVCAGGLSI DTALQTIGTDGTRLGDELKRIGYAGDIAPTSVVPYEYLELHIEQGPILEAEAKQIGVV ENLQGISWQKITIQGNANHAGTTPMRLRNDAGWAAASVMTFLRELAASSTNTLATVGS LKLAPDLINVIARSATFTVDLRDPDEQQLRSAERRLQAYLAVLEQQEGVKIVSKQLAR FEPVIFNTRLVDEIETSAQRLGFSYRRMTSGAGHDAQMIARIAPAGMIFVPSRDGISH NPREHTDDEQLLNGAQVLLDVVLQRLEM MIM_RS09145 MTQAQQPAVSRFPVPELKDMPEDIQARILEVQEKSGFIPNVFLT LAHRPDEFRAFFAYHDALMEKPGNLTKAEREMIVVATSSLNQCQYCVIAHGAILRIRA KDPLIADQIATNYRKADITDRQKAMLDFAVLVSQKAQEVGEADFDTLKQHGFSDGDIW DIAGISAFFGMSNRLANVTSMRPNAEFYSLGR MIM_RS09150 MQLDSFDRKILNLLQINNKMSQRELADQVNLSPSAVNRRIAAME AAGVIQQNVSIIDPATVGRPITIIVEVKLESERLDLLEACKKRFVACPQVQQVYYVTG DFDFLIMMTVTDMHEYERLTQDLFLSGNIRQFKTHVAMQSNKRTFAIPLDTQ MIM_RS09155 MLFTNPRAARTAYPASLKDILSVERTRESRDWLSGWDQLSPGPT PLWRLPDLAQHLGIAQLFVKDESTRSPLGSFKALGASIALVRLIVSTMPEAGFDPKAL IAGRYKDRLGDFVAISATDGNHGRSLAAAAQSIGCQCVIVLHANVSHEREQAIAHYGA RIIRIKGGYDESVEHAAQLARENDWRVVSDTSYEGYETVPRDVMQGYGMMVEEIIEQT AEEGADKCVFTHVFLQGGVGGLAAGIVSYFWEVYGTNRPVFVIVEPEQANCLYMSAVH GRPSKATGSVDSVMAGLACGEASPLAWRFLEKSVDFFQTISDVAAIQAMKTLSRGSDA DIPVVAGESGVAGLAALQNLAAASQDAVKMGLNRQSRVLMINTEGATAPTVYRELVGE SAESVLGRQRAWRG MIM_RS09160 MDTSNHIALRVNFKLMHTFLLVAEHNSFRKAAEQAGRSQSAISM QIKMLEQQLGVSLIVRTTRSLRLTAEGELLLKQARRAMHELEWGLLHVAEAADLKRGR VTIACSPAFASTRLPAILSRFQQDYSGIQVILKEHKSAELLEAVRSGEADFGVGPQIH DNNLEFQLIQSEPLLALVPRVLTTTNRKTIELKELSRYPLISFHPNTVLYRIISHAAK DHGFELNMRYLCIQGQTLVALAEAGLGVSLLTESVADLSNLQNVQKLVITNPRLQRHF ALIRARGQLMSAAAQRLYDLILAYAN MIM_RS09165 MLNQTMLYETKVLESRQKIVRIELHNLRNIPITPPPYRDLPKTE GALLLEVETSDGIVGWSTSGYTHHVVVDLINKYIAPRIIEQGADPFRTERIPMLFDRH TYERPLGRALISALAMIDIACWDIKGKTLGKPVHHLLGGARDRVPVYVTHGAAYDGAP VYTAKELAAEAKHLADLGVTHLKNTVGRQAVPDPYDDYNRMAAMREAVGPNVRLSMDG NLRMSLPDAVKLCTLCEELDISFIEEPIHYNEPANLAHLRSRTSIRVAAAENEKFSAK DLLCAGAIDILQPNVNNDGGFTAGLRSANLARAFNVALGHGNGNGPHNIALHAGVANG GLVEYHFHKWMAYNAIFKEVPQPDEGYLNVSQEPGLGLEPKDGLIQEFKVS MIM_RS09170 MRKYTLLGKIVGATAIAILSAHAAVVSAASYPDKNVTLIVQSSA GGGSDIFARTVANIIQKKNLLASRLLIENRPGGGGAIAYNFIAKKKKNPYYLGTLATS FFTAPLLGRTTTGYDDFKLVAAIAADPFVLVANKDSSIKSIEDIKKMDSIRVGNTGAV TDPAMLGAQLAKQLGVELRAVPFNGDGEVTTALLGNHIDLQFGNASEVMSQVQAGRVI PLGVTSASRLAVLPNVPTLKEQGVDIELQLYRGFMMPADVADDVVSFWENVFRTVAES EEWKEEYLTRNNSFPVFLDSRQFEAELPKIVNQYKEFISQSKK MIM_RS09175 MKLKYLELIFAVMVFVLGLYVLSTSISYGLTAETGMGTGFFPFI SGLIFTISAAGIILRQLRNTCVPDGKIGSSEVQTVLLMVVATAIFLLIVEIVGFLILT PFYIFSMASIIKRPTQMKTLMSHFFVAGGFTLFAYGIFVVLLETPIPGGWFFPEN MIM_RS09180 MESFDLLINGLWAAFGADMLLATFLGVVLGLAVGVLPALGPAAA VAILLPVIVQFEPATAIAGLAGVYYGAMYGGAVTSILLGIPGESASLMTMLDGYPLAQ RGEAGRALGISIFASFIGGMIAIVLFTAVAAPFAEFAVRFGPPEMTALMIMALVFSTA LGGDDVWKGFVALGLGLWLGTMGLDIVSGLPRFDFGTVELLEGIEFAVVAIGLFGLGE IFVSISEKTEQVERPSYTFRSLLPRINDILVTWKDWLMGSVVGFIIGVLPGAGATAST IFSYSVSKKMSKNPEKFGKGSLSGVAAPESANNACSYSAMIPLFTLGIPGSGTTAIML GGLLMLGLQPGPLLFEQHADFIWPVIGTFYTGNLILFVLTILLVPVLASIVFIPIQVL FPIVTGIVLYGVYGLNNSIFDIGVALFFGVLGYVFKKLHYPSVPVLLGLVLGPILEQG VRRSLIMSDGNPSIFFESYISVMLLLATASLIFIPLVKKVMRVRAGTS MIM_RS09185 MELNLRQIEVFRAVMITGSIRGASELLHVSQPAVSRMLSHLESR IGFPLFERIKGRLHATVEAKKLFHQVETVYDGVQRVNEMARELRENQEGILNLIATPS LGQMLIPAVITKYRENHPNVKLTFRYLTHLPLTERLLKRQADLAITILPMSHPNLEQD EIGHAEMVCVLPYNHPLSRRATLDIADLLPHPLISYDRESSFGQMVQEMFQKEGHVVR PVVEVGSPQNACALVQAGAGLALVDQFSAQSWSAHRFVTRPLKNPPRLTAYLVRISDE PISQLSQSFIDTLKAFMKAEGYAN MIM_RS09190 MTDKTPAISPTEDSFDLTIRNGRISNADNTFHADIGIKNGVITA IAKNLPKGAKDVDATGLWVLPGGIDSHTHIEQLSGMGVMCADDFYSGTVSAAFGGTTS ILSFAAQHRKDRVKDVLEDYTRRAQEKAVIDYGFHLILTNPDEETLSRDLPDVIRDGV TSLKVYMTYDALKLDDCQLLDVLAVAGEHGAMVMLHAENHDMIRWIARRLLENGHIAP KYHAIAHDALAESEATHRAITLSRLVDVPVLIVHVAGSETVEIIRQARNLGASVYAES CPQYLFLQAEDIDRDGMEGAKFCCSPPPGDVRSQEAIWQGLKDGTLAVYSSDHAPYRF DGSGKLPKGEQTSFKEMANGVPGVELRLPLLFSEGVMKGRLTIEEFVALTSTNHAHMY GMASRKGSIQIGLDADLVLWDPEHKAQVAASKLHDNVGYTPYEGRTLQGWPVQVYSRG RCVVKDNTLQVERGSGQFIARDRPAPVVQRTGTSPGRNLFRRLTQLETFKK MIM_RS09195 MEIEMYKLDALFRRGVAVCALSFCVTGVSCANDAPLRTAVDATF APHAMPKLGGGVQGFNVDLGEEIAKRLGRKIVVEAAEFSGLVPGLNSKRYDFLIAPVT VTPERSKSLLFTEGYLDTDYTFLGSAKSAPIENLEQLKGKTIAVNKGSNYEGWAKENA GKYGFRYDVYGTNADAVQAIQAGRADYNLAGTTVVAWAAKRNPALKTSYTIKTGLVWA LAFRKDDKEGRTSVSNALKCMKKDGTVAKLAQKWFGFEPDATNAAVNITPGTGVPDMD GYDATPVTPTCS MIM_RS09200 MQTNSTILDVSGLCKKYGNTDVLRGVNLQVREGELACIIGPSGS GKSTMLRCCNLLESPTSGNIIAASHELMNPEIDINRMRQDVGMVFQQFNLYPHLSALN NVTLALRKVQNKSKDEAQDIAMQALDQVGLKNKAMSKPGELSGGQQQRVAIARAVALK PRIILFDEPTSALDPELVDDVLNVMCDLRKRGMTMVVVTHEMAFAHAAADKVIFMDEG VVVESGTAADIFERPREGRTQAFLARYANRMRQ MIM_RS09205 MDAATGFLFTFFNIDVARQYADVIGKGTLITLSAGLAVIVTGIV LGTLLAIVRSLGCRPLSLLIVAFADIMRSLPPLVLLIILYFGLSEVGVPLSAFAVTWI SLSLVLAAYAEESVWAGITSLPKGQMEAARSTGMKWWQTMRWVILPQGIRRAIPPLTN RVISITKNTALGSVVSLNEILNNAQGASSYSGNPTPLMMAAFAYVAIFLPLVIFSRWL ERRWIQN MIM_RS09210 MENFIQGFFNLQVYGEVFPFLLNGLWTTIWLSAIVIPIGAISGL ILAIGMTQKNSRLVYWLIIVYVDFFRAFPPLVLLILVYFGWPFLGIELNKLTAVVIAF GLNNASYYAEVFRAGLEGVSKGQMEAARSTGLNKRKALLYVVIPQAVRNVLPDLIGNS IEVVKLTTIASVVALPELLRAARDAQSIVYNPSPVMLAALMYLALLWPLTRWLGRLEH KRGQ MIM_RS09215 MKTNQPIMNEQSRGVFVIAATPFTDSGEVDLESIDSLTEFYLEK GVSGMTVLGMMGEAPKLSESETTLVMERFLKRVNGRVPVTVGVSSASNLRLERLSKEA MSLGAAGVMVAPISNLKLDEQVYGYFTTVANLLGKEIPICLQDFPQATGVHMSVNVIN QLTDDFSQVVMLKHEDFPGMRKLSQIREQSKASNRRRISILVGNGGLFLPQEMLRGAD GAMTGFAYPEMLVQVCDLFDAGKPKEAEDLFNIYLPLLRHEFQYGIGLALRKETLRRR GAIKSAFVRRPGPVLDAKDLDELSALISRLDFELA MIM_RS09220 MPKTLFVINPNCNRNVTQVMDAALTPLRHADLPRIQCITLSAGP AAIQSQSDVDMAAVHVRRFAEEHLDEAAGFITACFSDPGLHALREIKGTLSLGISECA MLTSLSIGQRVGVIAMVPESIPRHYRAWGAMGISQRVVGEVSIDRSVAALSEASETIK AMIESGRRLKEECGADVLVMGCAGMAAYRDSVEQAIGLPVVEPTQAAVSMALARLRMG W MIM_RS09225 MLDFGIQSKSNLIAQLLQVVGTEGVITGESDKRAYEIDWLNKWS GHSSLVVRPANTQQTAEVMRICHANRTPVVVQGGNTGMSGGATPDDSGAQVVLSMTRM NTIREVDSFNNTITVESGVLLAHIQEEAERNNRFFPLSLGSEGSCTIGGNLATNAGGV AVLRYGNTRELTLGIEVVLPDGRIWNGLRALRKDNTGYDLRDLFIGSEGTLGVITAAV LKLHPLPTARSTAWVGAKDIQSLVRLIDACRSRCGERLCAFEMMTHESVALVLQHVTD VSYPLTSDHPVHALIELADMNQEGLANMLESTLEEALDNGDISDAVISASLRQRQNFW KIREGISQAQVRAGKTIKHDIALPISAISDFVSAATAAIGKEQSNARIINFGHIGDGN LHFNVLMPAETDYATMTRNTASLNRVVHDLVHQANGSISAEHGVGQLRRDELRHYKSA VELDLMMCIKQSLDPHQIMNPGKLI MIM_RS09230 MDLGLSGKVALVFGAGGGLGSAIAQTLASEGADVVLADINFDAA ESVAASIRDNNKRARALQWDLNDLSVIDAHISQIEKELGPVDILVNNTGGPPPTPVAY QTQDVWNKHFQSMVLSVIAIADRVLPQMRARQFGRIITSSSSGVIAPIPNLGLSNALR VSLLGWSKTLSREVGKEGVTCNVVVPGRIATGRTKFLDEQKAKREGRPIEDVSDESAN TIALGRYGNPQEYADVVTFLASTRASYLTGSVLRVDGGMIANI MIM_RS09235 MSESMRSFSRSLPMALLKARESVMMRFRPMLRMHNLTEQQWRVL RAMSAAESSLRPMDLAQMTFISMPSLSRLLKTLEAKELITRGRLADDLRGSEFGLTDA GCDIVEEIAPHSEETYAQIEKMLGHAELEQLYRLLEQVEQRLGVPSETAPDE MIM_RS09240 MATPTNRFKTALAQKQAQIGLWVSLGSPYSAEICAGAGFDWLLI DGEHGPNDTLTLLAQLQAVAPYSASHPVARVPMGHGEIGEMLIKQYLDIGVQTLLLPM VDTAEQAARIVRATRYPQNDGKGGIRGMGGARASAWGRRANYYQEANAQICVLVQVES LEGLKNLDAIATTEGVDGVFIGPADLSAAMSHVGDPGHPEVQAAISDAIGRIHKAGKA SGILTPNENLARQYLEQGCSFVAVGLDTSLLVQATSQLASRFKNTTAVAQGSTY MIM_RS09245 MSLPLRDSTLLKTQCLVDGNWLSAADGKTIAVNNPATAALIAHV PMLGQSETETAISAAARAFTSWKARTAEQRAAILSKWFELVMHHQEDLALIMTAEQGK PLTEARGEIAYAASYIQWFAEEARRVHGSTVPSPWTDKRIVVTKEPVGVCAAITPWNF PAAMITRKVAPALAAGCTVIVKPAQQTPLSALALAELAQRAGVPAGVFSVLTGSSRAI GGVLTASPVVRKLTFTGSTEVGRVLAEQCAPTLKKMSLELGGNAPFIVFDDADLDAAI EGVMASKYRNTGQTCVCANRLLVQDGVYDTFIAKLTTAVAALKVGNGAEDGVTQGPLI DEAAVDKVEELVQDALGKGAQLITGGRRSSLGYSFYEPTILGNALPGMRIAKEEVFGP VAPLFRFKTEEEAIHMANDTEFGLAAYFYARDLGRVWRVGEALEYGMVGINSGIISTA VAPFGGVKQSGIGREGGAAGIEEYVDTKYLCMGI MIM_RS09250 MFSAELLSQLAQELDESEKSRQQIEHFSKRYPGMTIEDGYRVSR EWVRMQIAAGRKVIGHKIGLTSRAMQISSQIDEPDYGTLLDSMLFTCTPGEVLTLPTL QFIAPRVEVELAFVLKSPLAGPGLPGGKEVTVDDVLNATEYVTPAIEIIDSRIEQFDR HSKVMRKVFDTISDNAANAGIVVGGHRADPLTLDLPWCGAILRQNGIVEETGLAAGVQ GHPAIGVAWLANKLAPWGVSLAAGEIILAGSFTRPVAAKSGDLFEADYGPLGTLQFRF N MIM_RS09255 MPHVVILYTGNLETLTDMSVLCRNLADTMLTIRSEDGKPVFPTG GTRVFAYPAQHYAVSDGGSAGLAAGGDGDYGFIYINLRMGSGRSDAVKKAAGDALLSC AQSHLESLFSTPGKHLGLTVQIDEAPGQVYDGKHSTLHPLFTRN MIM_RS09260 MGKIALAAKITHVPSLYLSEQDGPRKGTRQDAIDGLREIGRRCR DLGVDTIVVFDTHWLVNADYHINCAPHFKGLYTSNELPHFISNMDYEFPGNPQLGRLL AQAANQLGVTTQAHDATSLGPEYGTLVPMRYMNDDGHFKVISVSALCMAHYLNDSARL GWAIRRAIDDEYDGTVAILASGSLSHRFAQNGQAPDFAFKIWSPFLEQLDRQVIRMWQ AAEWEAFCGMLPEYASKGHGEGFMHDTAMLLGALGWSAYDGQAEVLTPYFAASGTGQL NAVFPVTPQDGRAIPTAQASADHSGLALTSRL MIM_RS09265 MQRRQFLQACSAAAFLSASRSGLAQSGSWPEKPIRIVVPFTPGG TTDMVARLISTELARQFDQSVIVENKPGAGTVIGVDSVVKQPADGYRFVCVANSFTAN KTLIKTLPYDTTGDLQPVALMGLSEHVLAAHPDTGLKNLDDLGKAARAKPGSLTYASF GNGTSAHLSGALLCQMMGIDMVHVPYKGQGPALVDLLGGQVNVMFGNWSEFRSHIENG KLVALGMATRERSRFAPNVPTLAEQGVTLESNSWQGLLARTGTPDEIVQRLNAEVNKA LSAPNVVEVFAKSGVVSQAGSVAQFTEFVNSEIAKYSDIIRRANISMG MIM_RS09270 MKIDHLINGRTIAGTDYFETINPATQDVLAQVASGDQTQVNAAV QAAKDAFPAWARLPATERAKLMRKLGDLITKYVPEIAQTETNDTGQTINQTGKQLVPR SADNFYYFAEMCTRVDGHTYPTPTHLNYTLFHPVGVCALISPWNVPFMTSTWKIAPCL AFGNTAVLKMSELSPLTASRLGELALEAGIPAGVLNIVHGYGKEAGEPLCSHPDVRAV SFTGSTATGNRIVQASGLKKFSMELGGKSPFVIFDDADLDRALDAAVFMIFSNNGERC TAGSRILVQQNIYADFVEKFVARAKRITVGDPLDENIIVGPMISPGHLNKVRSYIELG QKEGATLLCGGLDTPEVSDRVKKGNFVLPTVFADVDNRMKIAQDEIFGPVASLIPFKD EADAIRIANDIAYGLSSYVWTENIGKAHRVAAAIEAGMCFVNSQNVRDLRQPFGGSKA SGVGREGGTWSYEVFLEPKNIAVSMGSHHIPHWGK MIM_RS09275 MSRPFHIASALLVLTTTFASFGAAAQTYPNQPLRWVVGYPAGGG TDFLARTTGAQLSKQLSQPIVVDNRPGAGAIIASEFVARARADGYTILSADNGVLVYN SALYKKLNYEPQKDFALLGMMGRSPLVITTSPASGLTDATALMNELRKSPDKYSIATA GIGSPHHLALELFQRETGISMLHIPYKGGAQALQDLMGGQVPLMMLDLPSGIGAVKAG KVIPLLAMSGERIPQLPNVPTAKELGFANVEAYTWQGLAVPAATPTTVQEKLSAELQE AMRDPEVRQKLYDSGWEARPTNAQDMVSYVNSERKKWHALIKSRNISLD MIM_RS09280 MKHGRILFEGKTVTVTEGDSGSVRLQDGRQLAATEVQWLPPVAF GTIIALGLNYADHVKELSKELTVTTKDAPLVFLKGPNSLVGHRGQTPRPSDATFMHYE CELAVVIGREAKRIKAADAMDYVLGYTVSNDYAIRDYLENFYRPNLRVKNRDACTVIG PWLVDAADVPDPHALGLRTRVNGQVTQSGSTSDMIHRVPELIEYLSGFMTLQPGDVIL TGTPDGVVNVNIGDVVETEIDSIGTLINTLVEA MIM_RS09285 MQAQYSFLSKDLRLGTVYGTLLNDRATIERLASQFDSPPYRAAP KAPVLYIKPRNTFAGDGSVVSIPSDPALVRVDATLGLVIGRNATRVSVSEAMTFVAGF IIVSDLTLPHENYYRPAIVQRNRDGFCPMSRMFALTEGFSPDQASLSVSVNDQPVYER SFATLIRPAAQLIVDVTEFMTLTAGDVLLLGPGEGSPNARPGDRITITVPNLGQLNHS VAEEVLA MIM_RS09290 MYKTGTTFWMRWAIAITTLNITPAHAEEPNLPETIKLIVGYPPG GSVDIVARLLAQPLSKTLGKNVIIENRPGAGGRIAAGTTKHASTDGSVVMIAPNALTT IQTLVYDGKLTYDITKDFTPVSRLASYPFALSVNAKSDIKNVADLNQWLQNHPEQSNY GSSSAGGMAHFSGLLYGKAANVNWTHVAFNGGSPLINGILGDHIVAGIDTLIDHHEQF KAGNLRILGLFSQQRYALAPEIPTLEEQGVKGLNVEGWYGAYVPAATDPAVVHKLDEA LGKTMTDAEFVKKLNQLVIQPAYLSSPDFKKLQDQELKSWAPTIQESGFKP MIM_RS09295 MEITLQLTPIHMMTLQQLNDLLAVVSNGGYRAAARALNVSQAGL TKSLSRLEEEYGVSLLQRTAKGIVLTPEGEIFVDHARAILMETNRAEEWLHSLKNPIP VQVKLGVSIDPSLRLAPVVLKDFRAAFPDATIHLTRRAASELVAAIRDNRLDIAVTRI PDNLDSRDLRVDVLYKSSAVIVARKGHPLQYATSIHELAHCEWVVVGDPARSAQLDES VQELFLGQRLGRPRIAAVSDSLFGAMSMLLESDCVARLPVALLNHPLAAHSLSAINVK EQLQFHYEMGIIYKAGRRLSKEAVQLVSMLKSFSRLLQLS MIM_RS09300 MKNPTDTITYPAHIAPLNPYIPGVPIADLARRKKIDPGKIAKLA SNENPLGPSPAALQALAAKNIDFSRYPDNNCTELVSALALFHDVPEEWIVIGAGSESV IANTVATVLAEGRKTAYSQFSFQAYINAAQKVGAQHIVVPSPEFVVDLDALYSVVDQD PSLIYIANPGNPTGTCLNPGDLMDFMSKIPKHIVVLLDEAYFEFLPDSFRPDSIVWVR LFPNLIITRTFSKAYGLAGLRIGYGIAQQGLADMLRRVRPPFTVSESAQIAAIAALQD TTFLENTLRINQESKSLLTDGLRARGYRYLESETNFLLVNVGDGVAWTRAMEKHGLIV RPVNSYGLPAWVRISLGMPDEMRRLFDAMDTFTPNSVEDVSL MIM_RS09305 MNETQTITGGQALVSNLINKQVEDLFMIPGIQLDWAVDALRQRS DAVRLYIPRHEQTTTYMADGYYRATGKVGAAMVVPGPGALNAGAGLATAYASNSKVLF ITGQIHSSGIGKGCGLLHEIKDQTGFVRGLTKWNHLVSSAQDIAPSVDQAFHQLQSGR PRPVGLEIPHDFLSERLSGQFESDTAPLPLQSTCLEESFVSELDQAAQLIDQARFPVI YVGGGIISSNATEELAVLANKIHAPVVMSDNGRGALSDRHPLAMNALAGRAVFQHADV VLVIGSRFVDALTPTLSWPAGRIRFIHINIDADDLGSPRTPEIAIAADAALVLPILCE RVTSRMVISAEKAQDVKAWAQRQIDQIEPQASYIRALRQAIPEDGIFVNELTQVGYLA RIAYPVYESRSYIGPGYQGTLGYGFPVALGAAVGAKGRRVVSITGDGGFGWNLQELAT AQRYKLPITLIVFNDGHYGNVRAIQKREFGAEVAVDLANPDFGLLARAFGVAFESVDT PEALSGAVRQANSHDGPVMIEVKIGVVPSPWHLLRLQPMKGMTGPAAPVNPLGEVV MIM_RS09310 MYLYSKFYSDGEFKSATGAIHLDVVNPSTGQKIADVATCSSIDV EQAVQSARRGFEVWSKSSVAERRRVLYELRDQITAAADRAVLLLAQEMGCPVWLGKNM QLPMALKGLELAAEGLDQIKWQEKIGNGIVEKVPCGVVVAITPWNFPFHQIVAKVAAA IAAGCAVVLKPSEVAPGAAQVFMEAVNAAGIPAGVVNLVWGGPAVGEQLVTHPLVDRI SFTGSTQVGRRIMASAAEGIKPVTLELGGKSAAILLDDADIEKSVASVTRLSLANSGQ ACVSQSRLIAPRHRVTDIIDHWRACASQWPLGNPTDETTRLGPVATSMQHARVLGMIE FAQNRGAALVAGGIDRPVSLNQGFYVQPTLLANVSQDMEIAQEEIFGPVLAIMPYDTE DQAIDIANSTRYGLSGAVWSRDAEHALAVARRMKTGQVVINGATQNLATPFGGCGWSG FGRENGRFGIEEMLNYRSLHSA MIM_RS09315 MFTSLFRAVLGASLAVVSHGATAQSYPEKPITLIVPFAPGASAD LIARAVGRELSSSLGQPVVVENKPGAGGSLGLMALSKMPADGYAIGLGATGAIAVSPH LPDAPPLKPERDLAPVAKLADIPLVLVSNVSNGYPNLQALLKAARSSPGTVSFGHAGQ YTSQHLAGQLLANMAQVQLLAVPYKGSGPAVTDLLGGYIPAAVVDLTSAYPHIRAGKL TALGVTSASRSKVAPDIPTIDEAGIKGYSASGWMGLFVPAKTPVAIRDKLSYAVSEAI ANPSLQSQFVKIAVEPAFADAKSFQSFITQESDKWAQVIKEMPPSEK MIM_RS09320 MFNRYSRTRRASLHAICAAIAGTALSVCSQSALAADTYPDHAVN IVVGFAPGGTNDILARLISAKLQEHLKQSFVVENKPGASSAIGTGYVAKAKPDGYTLL VSSSGGLTVNPIMMKSISYDPVKDLEPIALLGSFPLIVTVPSALPVKNLKELEQYGKN HKDGQLDHGVASSSFQLVAETLSEASGIKFNHISYRGSGPVVTALLGQEIQVGVLDSA AIAPQVKAGKLRALAVTTGKRSAAFPDIPTVAENGYPGYDVTIWTALMAPKGTPEPVL AKLRSAVTDILKDKELIQKLHSLGMDPGDADSAALGQRIVQDIARWDKVATSAHLKPE MIM_RS09325 MVKPVEKNENVRAVGRALEILLAFTSQDVELSPGELLKRVDLSR PTLYRLLYTLEEHGFLVSVGEPQRFRLGPSVARLAHIWSSSLDLSQIANPILQKIWRE TGETVAMFVPQGHLRLCVAELPSPQPLNFKRGVGYTERIVRGASGRAILAYLPTTEDE LQRYVQDSDIDLKILQDGLTQTRRRGYATSHNELISGAVAIAVPFFDRNAAVAGSIGI FGPEVRLNANKLKQLAQLLKSESAILSNMLGYSNTPS MIM_RS09330 MNNVIPVTLLFADGVSHRLDVACGQSLVNAATASGLNLLTDCSN GQCGTCTAQLLSGEVELADYDPAVLPDDEREAGVILPCVCHIKKSCVVEFPYDSSEAL SEEAPPIAGEVVSVIQIAAEIMKLEISVNEKLLFEPGQYVRIQPEGTQFSRSYSMANP PGSNLLEFYIRVVSDGAFSNWLLNTQVGDKVSLSAPHGTFFLRDETRPRLFVAGGSGM APFLSMLRAMKGQTQSVPTTVLIGARTPEHLFALDELQSLRSDIPQLQVKFALEEGEC PDSYAGYPTDLICRLGLDAATRVYLCGPPPMVEAGRAAAQEAGMPRGDVLCERFN MIM_RS09335 MIPITPESRYNALINLRKGEIGREIFSSREIYEEELERVFTRAW LFVGHESQIPNPGDYFTSRMGAESVILARDKKKKVHVFLNSCRHRGMKVCQYDHGNTQ LFTCPYHSWSYTTEGKLFGVPQFKNLYEGCMEKEDWSLIEVPKMEIYKGTVWATWDDN APDLMTYLGDAKTHLDLALDCRDGREGGSEVLFGVHKWIIPCNWKFAAENFLGDTYHN VSHRSVDLIGIGPSAEAGVKGRRDNELEKAQHVWANFPAGHGVHSAIMPETWEFLDTY KNNPVVAEYFREAHARRKERLGAEQHRLVPFVGTIYPNTSFHGNQPRNLCVWHPHGPE STEAWRFFLVDADAPQEVKDFLRSYYMRYSGPAGMTEQDDMENWNYATAGSRGVIAKR YPYNYTQSLGKVQTSGPVSGNVSLQVSEENPRQFYRRWRDYMNGADWDTLLGRKDQGP ASLAA MIM_RS09340 MSTVIVTGGTFGLGLSITVELARRGHKVVAFGLAQLQVSSTANG FESLLNALQEAGCTADVLEADVSNAADVQRVTDYAVERYGAIHGLVNNAAVGPLGTVL DTDEALFDRIISVNLKGTYLMSRAVVPHMQQAGGGAIVNIGSGAGWGKPNMAAYSASK GGIVALSTAMAYDHFHDHIRVNVAIPGGGGIISGMSVGRFGGDTDAFTRKPAAGTAAG RPLSGQDLANTVAFLLSDEAATISGSVIDVGCFANQGGPVPSRPEKIQRSKHV MIM_RS09345 MSEIIEETAAVSKPVAHVVRDARYYEIKREIEEFLYDEANMLDE RRFQEWLDTLADDLSYFMPMEYNVKAGEHATREFTTRENQMSWFNEGKWTLMKRAEQI MTGVHWAEEPLSRVCRLVSNIQLTRIVNNADGELEVGVSSRFLIYQNRCEYEQYFFVG DRVDSMRLTSDGWKLTSREVHIHQNVLLAKNLTVFF MIM_RS23325 MLNLMNVQTGQIIRLKAGTNAEVVENLGDGMWLNVRLESGEEEL VFCEDIESVVEA MIM_RS09355 MMSDPNSIETDVLIAGAGPVGLVLAMVLAKRGVRVAMLEPRRRL EPPSVKCNHVSARSMEIFRRLGVANLVRNAGLPEDYPHDISYRTTATGVELTRIPIPC RRDRYTDKSGPDANWPTSEPPHRINQIYLEPILFEYAEGQQNIRILNREALISFSQDE NSVSIISKNEDTGKESTYNARYLVGCDGGKSIVRKMIGSRLEGDAVVQRVQSTLIRAP GLLSLFKQAPAWATFSLNPRRCGNTYAIDGKEMWLVHNYLRDGEPDFDAVDRDACLRE ILGVDEKFQYEMLSKEDWFGRRLLANKFRDRRVFICGDAAHLWVPYAGYGMNAGIADA ENLGWTLAARIQGWGGEAILDAYEAERRPITEQVSYFAMNHAQAMSQQRTSVPPGIEE QSDEGAELRSKLGTAAYELNVQQYCCAGLNFGYFYDQSPLVVYDGEAFPPYTMGSYEA SSVPGCRTPYVELEGGTPLYDVLGIGYTMLRFDANVDVTDFEALARERGMPLMIVDIH SHDSRCNAYSHKLVLSRPDQHIAWRGDKLPENVAGLIDKICAA MIM_RS09360 MTPTIRQLQAFAYIYKLGSLTQASKAMHLTQSALSLLLQQLEEN LGVTLFERTSRSLRPTAIAHETFEMASNILDNVNTLVNNTRGIAEKRHGVLHFGVATA VAATILPEAISLFEKQYPDIKLVIHDTGPEQIISPIQERKVEFSIGTTSSGASDVVFE TLLTDHLSAIYLKNSAIPQKKITDWRSLSDLPIISVRSGNEIRTLIDTAMVKAGIDFK PKWEVSYLSTALALTLKGLGVAVLPAYLIESFHHSNLVIKSIQDPLVERNVYLIRPKG VTLTPAAQALIDVLKYVADSVSLKQHKSYR MIM_RS09365 MSKTINMQTQYDIRILSALRRITRAIAVHSRQLAAYNNVTAPQL ICLGAIVENGPITATAISRKIHVSPSTVVGILDRLEDKGWIRRERGREDRRIVFITAT QAGIELVRATPSPIQTKLTEALKDLPETEQAAITTSLEKIVMLMEAHSVVAHEVSNEP ASPILDVPVADTSHPESGILI MIM_RS09370 MIDTALNTSQTYSQHSQAEGAAQNNSHQLRAPARADGYDIWQLI SQCPPLDLNSVYSYLLLCEHFDKTCVVAQANGQIDGFVSAYQPPDKADVLFIWQVAVH ERGRGHGLGQQMLSSLLERPNLAHIRYLETTVGPDNAASRRMFAAVARNMGAGIEESP LFEPELFGPEAHDDERLLKIGPLRPTPG MIM_RS09375 MDLEIFDRMESEVRGYVRSFPVIFNQAKGSVLTDESGKEYIDFF SGAGTLNYGHNNPILKKKLIEYLNTDGVVHGLDMATSAKKYFMETFERVLLKPRKMNY TLQFTGPTGTNAVEAALKLARQVKGRSNVVSFTHGFHGVSGGSLAVTANEKFRDAAGV SLHNTAFMPFDGYIGPDVDTIAYFERMLEDPSSGLDLPAAVIVETVQGEGGVNVASWR WIRELEKLCRKFDMLLIVDDIQVGCGRTGSFFSFEAAGIKPDIITLSKSLSGFGLPMS LVLLKPELDVWKPGQHSGTFRGNNLAFVTAAQALDSYWSDDQFQNETRRKERIVRDWL ENIVHSFPEAGFSVRGRGLIQGLVCDATPELANKIAKQAFAHGLVIETSGAQDEVLKI LPALTIEDAQLTRGLELIERSVAEVLKQEGKKSKVLKFGA MIM_RS09380 MIVRNVKDVIGTDLEVKTDTWLSRRVLLAKDGMGFSFHETVIFP GTETHIHYQNHLEAVWCVEGDGEIETIADGKKYALGPGVVYALNEHDEHWLRGGKEPL RVICVFNPPVTGLEVHDEDGVYPAMTEETA MIM_RS09385 MVVTDIYESRTSGTAAIIARQEPVVYGNGDYADALSAEQVAAYE RDGFLVLDSLFSEQEVTALMNEVLAMANNSKIAASDEAITEPGSNAVRSIFKVHALNK VIDKLSRDPRLIHVARQILGSEVYLHQSRANLKPGFKGKEFYWHSDFETWHTEDGMPA MRALSCSVLLTDNNECNGPLMVVPGSHRQFISCRGITPDENYKKSLKAQEYGVPDPLS LELLAEQGGIKAITGKAGSVVFFDCNTMHGSNGNISPWPRANVFMVYNSVENTLNAPK YGLAPRPEYIATRAFEGALEPLEALQEVA MIM_RS09390 MEMKLENLEAMTDVEEKVFWRMFDVRIVRDDGAAARAHLQAGRP VYYREDSTPPGLIIKEFPDGRRQLVRFIDGVEQTVDDTAAR MIM_RS09395 MSAPKLWVVAGPNGAGKTTLTRLHLTGHLPVVNPDDIAEQLDIH NRNTALVQMRAGRLALLARDKLLAGGRSFAIETTLSGNSELDLMYRARRAGYQVILAF VGVDDVGLLIARVKSRVADGGHAVPLEAIQRRYRRSMDNLGFALQIADRAWVFENKRK RGRRTLLIREADRLKYVSQSLPMWARQAIPTALRKRDWHHKKKNA MIM_RS09400 MSLKTFTLNNGQTIASLGFGTWELDKEEICVPAVTQALQTGYRV IDTAARYMNEAFVGKALTESGLKREDYHLTTKVWVTDFGYEQTLRAFDKSLKKLGLDY VDLYLLHWPVEGFRESWKALEKLQDEGLTKAIGVCNFEIDHLQALAASSNNKPVLNQV ETHPLFQQRALLNYMNEQDILLEAWSPLGRGDATLLTNPVLQEIASAHGKDVGQVILR WHLQRGSLAIPRSSKPSRVASNFQIYDFELSDDQMAAINALDTNQRSAGDPKDPEWIA KLMSMPIPD MIM_RS09405 MSTEEKKYLRPIDRAGLEKFSEMGRNNPDRRGTNKVQTISVGQY RTLSYLTNRDPSHPAVVVDEPYHLLGENTAPAPGEVVLSALGGCLAVGITAVATWRNV KLSKLEVFLEGDIGNSAAWGAGGAEREPAQMGFQAIRVKVDIEGDATREELDDIVQHA NHYSPVANTMRNPIAFEISLKDK MIM_RS09410 MTQTPAPDELPKSFEPKELERTWYQEWERMGVFRAGRHTSTNAP ESGEPYTIQFPPPNVTGTLHMGHAFNHTIMDGLTRYHRMLGCDTVLVPGTDHAGIATQ IVVERQLDAQKTTRHDLGREAFIKRVWEWKEQSGNTITAQVRRLGASADWPREYFTMD SQMSLGVVETFVRLHEQGLIYRGKRLVNWDPILGTAVSDLEVESQEEDGFLWHIRYPL TEPVNGLEYLVVATTRPETMLGDVAVMVHPEDERYSHLIGKSVRLPLCDRDIPIIADD YVDREFGTGVVKVTPAHDFNDYAVGQRHQLDMISILTLDAKISEDAPARFQGLDRFEA RKQIVAELEEQSFMESIKPHKLMVPRGDRTKSVIEPMLTDQWFVAMSKPAPEGTYNPG KSITQVALDVVRDGEVRFFPENWSNTYNQWLENIQDWCISRQLWWGHQIPAWYAEDGQ IFVARTEEEAQIKAKKAGVTGPLRRDDDVLDTWFSAALVPFTTMGWPAETEDFKRYMP SNVLVTGFDIIFFWVARMIMMSMHLTGQVPFRHVYVHGLILDAYGQKMSKSKGNTLDP VDLIDGVDLDTLVAKRTSGLMNPKQAGKIEKDTRKAFPDGIASYGADALRFTMSAYAT LGRNMNFDLKRCEGYRNFCNKLWNASRFVLMNVPDAAAVTQEDNELSFADRWITSRLQ QTLQDIQKGFNEYRFDMIANAIYRFVWDEYCDWYVELAKVQIQRGHQAQQNGTRRTLI RVLEAILRMAHPIIPFITEALWQKVATVAGKKAAGATASISVQPYPLANSELIDEAAE TQVATLKAQVEAIRALRGEMNLSPAQKVPLFAEGDADMLNLHAPYLMALAKLGEVQVV ELLPDLGAPVQILDNTRLMLNVEIDKEAELARLAKEAQRLEGEIAKAQGKLSNEGFVA RAPGHVIEQEKERLAQFTERLEGIRGQQAKLQ MIM_RS09415 MAVERTLSIIKPDAVAKNVIGEIISRFEKAGLKVVEARMQQLSQ AEAEGFYAVHKERPFFNDLVKFMISGPVFIQVLEGENAIAKNRELMGATDPKKADAGT IRADFADSIDANAVHGSDAAETAANEIAYFFSK MIM_RS09420 MTTTDQRINLLGVESNSLVRQVGAWGNKPFRAKQLLRWVHQRGI ADFDQMTDLAKGFRSTLTENCTLQTPPVITQKVSTDGTRKWLFDVGNNNAVETVFIPE DDRGTLCISSQAGCTVACRFCSTGHQGFNRNLTTAEIVGQLWQARHDIMQDMPAARLA AAGEGATSAATHEQDLRVISNVVMMGMGEPLLNYDQLLPALQLMLDDNAYGLSRRRVT VSTSGVVPFMDRLAHDCPVALAVSLHAPNDALRDQLVPLNRKYPLAELIAACNRYLER APRDFITFEYVMLDGVNDSDEHARQLVEITRQVKCKLNLIPFNPFPESGLGRSSNARI RQFSSLLVDQGVITTVRKTRGEDIDAACGQLAGDVKDRTRISERMQAFKSIPITEISS MIM_RS09425 MTIETDIAADTPREEPASVQSLGQTLATLRAARGLTLAEISNRI KFSETQLRALESQNWASLPTGFMLRGMVRKYAQVVEADEQPLLQLLGQQPGIERGQSA VPASAAGTNINLKRSTDYEIRDSYSRSGRSSGTGLWLFVILLVLVVIAVYGFTRGWYT LQDLGLESLQHWFE MIM_RS09430 MSIVEQSPFPIGQLNRRSTRGARVVWGDKQVRIGGGAPVVVQSM TNTDTADPIATAIQVKELAQAGSELVRITVNTPEAAKEVPAIREQLDRMGVAVPLVGD FHYNGHKLLTQFPECAQALSKYRINPGNMGGGKKRDDNFAQMIEVACRYEKPVRIGVN WGSLDHELMARMMDDNNRRSVPWDASAVMREALVVSAITNAARAEELGLAGDAIILSC KVSHVQDLISVYRNLSARCDYPLHLGLTEAGMGSKGIVASTAALSVLLQEGIGDTIRI SLTPEPGGDRTREVIVAQEILQTMGLRAFTPMVVACPGCGRTSSTYFQELADSIQAYL RRQMPVWKAQYPGVETMNVAVMGCVVNGPGESRHADIGISLPGTGEVPSAPVFIDGER SVTLKGDTIAEEFQEIVRNYVHRRYGVQGSGVAGQNSNNLN MIM_RS09435 MNDILPGESAQWEALEAMLRQWLSLYGYQNVRTPVLEQTRLFAR GIGEVTDIVEKEMYTFSMSADRQELMTMRPEFTAGIVRAAIEHNMLYDRAQRLYTMGP VFRHERPQKGRYRQFHQISVEALGFPGPDVDAEQIIMLARLWKQLGINDIRLELNSLG QLEERRAHREALIAHLEKHKDILDADGQRRMYSNPLRVLDTKNPAMQQMANEAPKLFD FLGTESKAHFDAICQRLDDAGIGYTLNPRLVRGLDYYNLTVFEWVTDRLGAQGTVCGG GRYDGLFELLGGKTAPAIGFAIGLERLLALWQDVAKVQTAAECDVYFVHSDAQSARAA ATLAEAARNLGVRCIVHPGSASFKSQFKRADASGARLAVILGESEMAEGKASVKFLRA DAQGATAAQFSVAQSELATALTGKLQDQ MIM_RS09440 MAFDLEEQEKIDTLKGWWDRFGNTILTALIVVMLGILAWYGWNW YQSSQVKQALGYYEIVQTSAVSSDADSQARLEQAAAELKKSYGDTAYAARGALLAADF YIRGSHYDKAEQELAWLAEHGSDFPELLPVAKLRLASVYADQKKFDEALAQLNNPPEA FSALYEDRKGDVLIAQGKKQEAVAAWKAALSSTQLPPAFVNTIQLKISALGGE MIM_RS09445 MMFQHTPRRFMKLTVLLGALALGGCGLFDNPSQFEPVDLTDYTQ TTVPAAAWTTSIGSGSGVGFAPAVAGDSVFAATPDGSVARVALSNGALQWKTSVGDAL SAGVGTDGNIAVVAAPDGTITALDTAGKKLWTAKASTRVSTPPAVGQGVVVVRADDYR VQGFDAGSGELLWNYQRTNAALALRAVSRMIIDKGHVLVAVPSGRLVALDLKTGRLQW DILAGPIRGVTDLDSVTDVVGAPVLVGNGVCVATYEGNAGCYTPGQNNGQALWSQSFS SATGLTLNNNSLYATGIHGEVVRMALGNGQQQWLNQVLKNRGLTNAVSNGNIVAVGDY EGYIHYLSDADGHLLGRSEFGGDAMLSPLTATAQGILVQTGAGNLVMLGAR MIM_RS09450 MSTVSFKPVVSLVGRPNVGKSTLFNRLTRSRAALVADYAGLTRD RHYGEGRVGDIPFLVVDTGGFEPVAKSGILMEMARQTSLAISESDVVIFLVDARAGVN AHDHEIARLLRKTRAHVFLAVNKAEGMNHGVATADFHELGLGEPYAISSAHGDGVVDL IETALAEVLAKNQPVEQLAHRDDEQADEAELSDEQIAEVLAAPDTAEDKAEAEPEEFT DHRLKLAIVGRPNVGKSTLINTLLGEERVIAFDMPGTTRDAIEIEFERNGRLYTLIDT AGLRKRGKVFESIEKFSVIKTLQAIEASNVVVLMLDAQSEISEQDAHIAGFVLETGRA LVVAINKWDGLDRDKRDWIEREFERKLRFLSFAKMHTISALKGGGITQLMRSVHEAHA AAFAKLSTPKLTRELHAAVEQQQPPRKGIFRPKMRYAHQGGQNPPLIVIHGNALDAIP ESYRRYLESRMRAAFKLDGTPLRIEFKSSKNPYKKAE MIM_RS09455 MSAYWSDFVKTLDPYVPGEQPKQTDLIKLNTNESPFAPSPAVLE AIRQATADQLRLYPSPESDRLRTAVGRYYDLPADHVFPGNGSDEVLAHLFLSLFRHRG QQILFPDISYSFYPVYCRLYDIDYQNVPLADDFSVRLQDYLAVPPESVCAVIFPNPNA PTGIALKRAEIEQLLIQRPQWVIAVDEAYVDFGAESCVPLIKKYKNLVVLQTLSKSRS LAGLRVGFALGQPELLEGLVRVKNSFNSYPVDRLAEAGAIAAFEDQAYFEQTTGEVIA TRDALTEALQKLGFVVLPSKANFVFISHPRHDAAQLLAALRANAILVRHFRHPRISSF LRVTIGTKKQCETLIKSLSEIVS MIM_RS09460 MSTKGQSLQDPFLNALRKEHVPVSIYLVNGIKLQGQIESFDQYV VLLRNTVTQMVYKHAISTVVPARAVSFQIDAPAEQE MIM_RS09465 MRVLIISVDSGDLDHPAHAEEFQMLAEGAGADIAGTLTVKRDKP DAAHYIGKGKLEEAVAMADAEDVELILFDQPLSPAQQRNLERAFQRRVVDRVALILDI FALRAKSHEGKLQVELAQLQHLVTRLTRMWTHLERQRGGIGMRGPGESQLEMDRRMIG AKVKMLRERLARVERQRKTQRRSRARGNTLSVSLVGYTNAGKSTLFNALTKADAYAAD QLFATLDTTTRKIWIEGAGNVVISDTVGFIRDLPTTLIAAFKATLEETVHADLLLHVV DAASPQRDEQIAEVDKVLEEIGANEVPCILIYNKIDQAGYEPQVERNERGEPARVFVS ALQRTGLDGLRGAIAEFRPSIGNEVAIL MIM_RS09470 MRFFNLFNLNDPGWGRGSQNNNNSDDPSKRPGRPNDGPPDLDQV WNDFNKRVKSIFGKKQGGGNNGFDRPPQNNGFSPSPKATRLGIVVIVIAALALWLVSG FYIVREGYVGVVTQFGRYQTTVLPGMHWHLPTPIQSVEKVDVSSVRNFTFGYKNDLNN KVPAESLMLTEDENIVEVQFAVHYRLKTELTPDAATQRSPAADFLFSMVNPTESVRQA GETAMREIVGKESMNNILYQSRTAAANNVMKLMQQILNRYRSGIEVTSVAIQNVQPPE QVQAAFEDAIKAGQDNERQKNEGNAYASKVIPEARGRASRLIQNAEAYREAIVTQAKG LASRFESVQAEYAKAPEVTRERIYISTLREVFENTSKVLLDTKDSNNMMYLPIDKILE GQTGQRQLPRKPATDTSSSASSTDTSSTSNTTAPRSDNRLSRDNFDRSR MIM_RS09475 MNKTIFGAVIFVIAAFLFSSSVYTVSERNTALVFKLGQWKRTVS EPGLHFKWPAPLETVVKLDKRIQTIESGDAERIQTSEKKNLIIDSYVKWRIIDPLRYY ISFGDRLDAAQNRLGAQIRDALNASVNTRTVRDVISQERHVVMDEIVRNVEQRAKPLG IEVVDVRLKRIEFSPEVSESVYARMQAERKEEANSLRANGAADKERIQAEADRKVRIE LAEADAKAEVLRGQGDAEAADIYAVAYGKDPAFFSFYNSMEAYRSAFSSHNDLLVVDP KSEFFRYFNQSGMGGATPSGGAHSPLPVQ MIM_RS09480 MTTSNWLLPEHLSDILPAEARRIEELRRAMLDLYRNCGFELVAP PLVEYTDSLFVGNSQDLKLQTNKLVDQLSGRTMGVRADMTSQVARIDAHLLNREGVAR LCYCGSVLHARPSGLLADRELLQIGAEIYGYSGYEADLQIIQLALDSLALAGVQNTSL DLNHPGVSRALIEAAGLQEQAGEVLSLLKEKDVPGLRQLFEATTGVPDDIAAALIRLP SLYGDGNVLTEAASALPDLPGIRAALQALRTLVDALDATSVGIDLADVKGYDYHSGVS FAIFGRGWPDAIVRGGRYDNAGSSFGRARAATGFSLDLRKVSAGLAPAEPSRAVRAPW NSDPALGEKVRQLRQDGNVVVQFFPGTTQSIDEFVFDRELVSDNGQWVLKSTN MIM_RS09485 MSKNVVVIGTQWGDEGKGKIVDWLAESAQGVVRFQGGHNAGHTL WINGKRTVLRLIPSGIMHPHATCYIGNGVVLSPEALLKEIEELEAAGLDVRSRLRISE ACPLILPYHIAVDQAREARKGDNKIGTTGRGIGPAYEDKVARRALRVQDLYDLDVFDE KLKEVLEYHNFILTQYLGAEPVSFDEVKNQALALAPIIKPMVADVSALLYQAQKEGQQ LLFEGAQGALLDIDHGTYPFVTSSNCIAGAASAGAGVGPQRLNYVLGITKAYTTRVGS GPFPTELMDDVGARIAKVGNEFGAVTGRPRRCGWFDGAALKRSVIINGISGLCVTKLD VLDGLESVSLCTGYMLDGKKVDLLPYGYKAVEKAEPILETMPGWSDSTVGVKEYDKLP ENARRFLARIEEICEVPVDIISTGPDRMETILRRHPFLDQSA MIM_RS09490 MSAPENSDKHLWISWEEYNRLIERLALVVHQSGWKFDKILCLAR GGVRVGDVLSRIFDVPLGILATSSYREAAGTVQGQLDIAKFITITRGTLDGRVLLVDD MVDTGLTFARVQEHLVTQYPAITELRSAVLWWKGHAKVEPDYYIDKLPTNPWIHQPFE DYDSLRPHQLEAWVRKGTQS MIM_RS09495 MPNVRLKENEPFEAALRRFKRTIEKTGLLTELRSREFYEKPTAE RKRKHAAAVKRHYKRIRSQQLPPRMY MIM_RS09500 MIPDSFVQDLLARVDVVDVVGKYVQLRKGGANLLGLCPFHNEKS PSFTVSPTKQFYHCFGCGAHGTAITFLMEHTGASFPEAVRSLAANVGMVVPEEQRSPQ QQVRAREQKAEINRHQQVLEKAQARYLALLRDSQEAIAYLKQRGITGKTAKLFGLGWS GHDRSGLKQVFDHYDDPLLTEAGLVIESEDGRRYDRFRERIMFPIINAKGNLIGFGGR IIGKGEPKYLNSPETPVFNKGQELYGLWENRQGIRQEGCVLVVEGYMDVVGLAQQGIG FAAATLGTATTPNHIQKLLRTSDRIVFSFDGDGAGRRAAWKALNVCLPFLRDDISLRF LFLPNEHDPDSFVRELGPEAFRKELAQSRVLSTFLLEELASRHNLSEAEGRAACLHEA RPLISAIPASGIRTQIENDFAKLVSLTSAELLADLARFEEAAQKRQEWQKSLPESPAK GQGGTQESSPFGAPFGASFDAPFEPEPASFESRQGARFGRAGSRRGGRQVTPLARRLI KLLASNLELVHTITDQQLEVLGFSPQFAYVREFIAFAQTTQATHLAALIQRAEPGSEI ANMLTGLTIDSFDEDALPDPAAEWNDALRKIEFERLNRDKENLVAGGLQTAADRERYK AINARIRLLK MIM_RS23495 MASACAAVAVFLAGAFVPVLAEGADWVTFAAVSFTFISGLATLL TLPEAAVFFTEGLALVSAGTVLPFFRAELAGAVVFEEDFAVTFLTAVLEGVGLLLDLV IVSSGNLRSTQTKQKQQNHALLPPLVIFYLSSERRRIYRDEQTLHYTRVIDKTPILTI MIM_RS09505 MNVKDTAAKVTQSAPSAKTGTKAPARKTATAAQAEAKTVRTVAA QAGAKTASTRPAVKKAPVKKAAAAKTAQASVDLDDADFDLDDIDQDQEKAGKRKPKVG TGRKPGRPSKNKSNDDDDEFLEAEDGEVEEVPDFKPAKRGKRGAKDAKEVSSRGPVSP EEQEARRNRLKLLIKLGKERGYLTYGEINDHLPDDLVDAEAIDGIISTFSDMGISVYD QAPDAEALLLSDNAPVASSDDDVEDEAEAALTTVDSDFGRTTDPVRMYMREMGTVELL TREGEIEIAKRIEEGLKHMVMAIAACPTTIQEILNHIQRVRDGQAQIDEIVDGLVTED GEEYAGSGVQEDEEGDDGPAGGMSSKQLETLRQQALDKFDSVEKWFGKMRSVYEQGGY RTPDYIRAQEAILEELMGVRFTAKMVERLADTLRNQVEEVRQLERAILHTCVDRVGMP RSYFIKVFPGQETNLNWVQDEVNKNAAYAETLERSIPDIHEIQQKLIDLENSVVLPLK DLKDVNKRMVTGEAKAKKAKREMIEANLRLVISIAKKYTNRGLQFLDLIQEGNIGLMK AVDKFEYRRGYKFSTYATWWIRQAITRSIADQARTIRIPVHMIETINKMNRINRQILQ ETGTEPDPATLAQKMDMPEEKIRKILKIAKEPISMETPIGDDDDSHLGDFIEDNATLA PSDNALYGSMRDAFKEVLDSLTPREAKVLRMRFGIGISSDQTLEEVGKQFDVTRERIR QIEAKALRKLRHPSRADKLKSFLENQ MIM_RS09515 MPSVDGTTLRIVAINRGDASASLIKAWVDSEYLAAATKVQLRND SDAIIHPGSQLITFDIVPLLDEDDSYRSSLEMLNFVIQNKEPPRTEIRFHIFQSDGRF VVQGISLDAEQLFSLLRSNADRCSAVTVVNFENGCIGRGTPPEERFPTESDSIPQGLV DDLEKRINRQQEAAAINASQDG MIM_RS22870 MGSTAQKNMIEHGDRVYSVISSAYESKERIYKLVTLTLSLVYGG NEKYEQVLPCAAQHPAIEKNWIQWGASIRQGVGDAGLGFA MIM_RS23195 MNEYTLKIANGRTIRVMAKSGGEAMKKAIKSEKCTPDEITVVSV REVHVYRRSN MIM_RS22090 MSGIKIAFPGSISLVVKITDGERNDEVTIHFPLGQTPTPQEIAD RIAAFEKDGMPAGFRLMNKRETWDSFCKGEFGKIFLLPGGEQFDRPSLSAQEFFELIR EKFRSGDGLPVDRISLTREEISQVFPSLLK MIM_RS09525 MTKLFGSCLCGGVRFQINGALTGALNCHCTMCRKAHGAAFRSRA RVEASDFEFISGEDMIKFYQSSPGTHRGFCGTCGSPIFSRFDDDKSCYGLPLGVLDSD PGVRPELHVFVGDKAPWHEITDSLPQCLEGTK MIM_RS09530 MMEHAIEITDEITDEMNSVIGDGLNAYNDEITKYSDRKPLAVVI KDLKTGKVIGGMLGRSSLGLLFIELVYLPMALRNNGVGSALLEIFENEGRARGCMSAV LYTISFQAPEFYEKHGWSRFGEVPCNPPGTSRIFLSKPL MIM_RS09535 MMSSDLLKVCLAGCLFLPIISNAQPRSLENYKSLSQGIKENPIM GYVLPVFEDFRINELHSDPKSGSLRVRYNTKQKNRISSVSAKKTPRNIEKARVSGALA EAIDKALPTDNAGPRTTRSFTTAKGVDVNCIGVVLDDKKISNSICYAMFDNYYIQANM VWALDGENKEQAFSRSDGFVKAVIDRFDEYPEI MIM_RS22875 MVKLKPGTILPTDAEDAAITKAAMDDPDTFHSTEENLGEFYRED VRARPLGTKFPDIHQIDQ MIM_RS22500 MLIPATAIAVVVFVLLRDRKHQSKRKGRGSSDGGYFIAGADSAS VSHAGGSNCSISDSGGDCGGGDSGGGDSGGGDSGGGGSD MIM_RS09545 MANPASVYCTEKGGTIVIKDTDKGQIGICKLLDGSEIEEWELYR RDHKQQ MIM_RS09550 MFPEYRDLISRLKEEDANFKRLFKKHNDLDQELQTLETQDFNEK HIEIEKKKKEKLQLKDQLYSMLKERAAT MIM_RS09555 MAYLNRSSIYFNTFIIILIAVSLAFLKLLVPFYGAIFWAIVLAV LFRPLQLWILQRMPGRNTTVSIITLIICLLIAIIPLILISISLINESILLYQRIESGQ FNMAEYIRQVSEALPASVRDFLAQFEIYNLSSLYAKISASMMQGSKYLATQALDIGQN FFSFLVEFCIMLYLLFFLLKDGPALTHRLKNLIPLTDDHKQFLFQKFNTVVRATVKGN VVIAAVQGMLGGLIFWILGIQGALLWGVVMGFLSLLPAVGAAIVWLPVAVYFLITGQL WNGTILVLFGFLVIGLSDNILRPLLVGKDTKMPDYLVLISTLGGLSLFGLTGFVIGPL IAAMFIAIWDLFPAAVNLNDKNRTAPVAHRRPPPPAK MIM_RS09560 MLQLRSVFTIGMLAGAVTLAGCSTAPPKNAEDLCAIFQEKPEWH TAALDMQNKWGVPIPVPFAMMYQESSYRYDAKPPKNYLLWFIPWGRVSSAYGYAQAKD EVWSDYERDTGKSGSRDDFADALDFMGWYMSKAQVLNGTSKWDAYGQYLNYHEGWSGY RNKSYQAKAWLMRTADIVKERAARYTAQYNQCRAQLM MIM_RS09565 MDAWQHFKKDFSTSATIAGLITVLVSLTGPVAIIIQAAKVGGLT QMQLTSWIWAMSITSGVCGLWLSIRYRTPIVCAFSTGGAALLIDALPHYSYNDIIGAY IFAALLVVLLGVSGLFSRLMQHVPRSIVSGMLAGILFAFGAHLFVSLQQAPALVLPIV LAYLIVKRAIPRYAVLAALLTGIVVCIMLGQLNTQVLSFSLVIPEFTVPGLSIPAFVG VGIPLAFVALASQYAPGVAVLQSSGYHHTPISPLITTTGISTILFAPFGLHGTTLAAI TAAICTGPECHPDPQRRYVAGIVCGAFYIFFGLFATVVTTLFIAIPETFVASLAGLAL LGALSAGLAGAMAKETEREAGVITFLMTASGVSFFGVGSAFWGLIAGVAAYAILVWKR SPQKTA MIM_RS09570 MSLKLTARQQEILNLIKAAVERTGFPPTRAEIAAALGFKSPNAA EDHLRALARKGAITLTAGASRGIRLVEDADTAATGSPSGSSGSPTPGRSTSGKAAGNI GVSLADGLRQLLLPVVGKVAAGSPILATEHIHRELSIDPSLFEQQPDYLLTVKGQSMQ NIGIMDGDLLAVKRSPDARNGQIVVARIDDEVTVKRFNRRGRIVELLPENDEFSPIIV SDENDFAIEGIAVGLIRPHNLH MIM_RS09575 MTTLFNAVELAPRDPILGLNEQYNADTRDTKVNLGVGVYYDDNG KIPLLKAVQEAERQRVEAHAARGYLPIEGIGNYNKGAQELLFGKDSDVITQGRALTFQ ALGGTGALKIGADFLKQLQPDSTVYISDPSWENHRALFERAGFKVETYSYYDAATHGL NFDGFAASVKAMPEGSIIVLHACCHNPTGVDPSPEQWQQIATLVKERNLVPFLDIAYQ GFGAGLQEDAAVVRLFADLGMSMFISSSFSKSFSLYGERVGALTVVTSSTDEASRVLS QIKRVIRTNYSNPPTHGGMVVAQILNTPELFAQWESELAQMRDRIREMRKQLTDKLNA AGVKQDFNFVMAQRGMFSYSGLTKEQVERLRTEHGIYAVNSGRICVAALNSRNIDSVV KAIAAVL MIM_RS09580 MSEAGFIEFADSPFQLYQPYPPAGDQPAAIEALTQGVDDGLMYQ TLLGVTGSGKTYTMANVIARLGRPALVLAPNKTLAAQLYSEFREFFPRNAVEYFVSYY DYYQPEAYVPTRDLFIEKDSSINEHIEQMRLSATKSLLERRDTIIVGTVSCIYGIGNP GDYHAMVLVLRTGDHTPRQEILARLVSMQYERNDVDFSRGTFRVRGEVIDIFPAESAE LAVRLSLFDDELESIDLFDPLTGKIRQRVPRFTVYPSSHYVTPRDTVLRAIETIKEEL RDRTKFFVDNNQLVEAQRIEQRTRFDIEMLQELGFCKGIENYSRHLSGAAPGEPPPTL IDYLPQDALMFFDESHWMMGQLRAMYRGDRSRKETLVQFGFRLPSAMDNRPLKMEEFE QRVRQSIFVSATPSDYENEHSDNVVRQVVRPTGLVDPMVEVRPALTQVDDLLGEAKLR IAIGERVLVTTLTKRMAEDLTDYLTESGLKVRYLHSDIDTVERVEIIRDLRLGQFDIL VGINLLREGLDIPEVSLVAILDADKEGFLRSERSLIQTIGRAARNLNGKAILYADRIT DSMHRAMEETEKRRNLQMAFNAEHGIVPQGVRKSVREMIDGVIQDAPEASTAEEIRLE SLLVDEKALAKEIRRLEKQMQDHARNLEFEQAAAARDQLTRLKERVLLR MIM_RS09585 MRVNSFSKQFIALIALVTVLSVIIVGYIERQVVETVEQPVPAKE EAEQKHNEALINSLTNMTQSVRFPTNEHAMMYTGYILGAGYSSYQFRAFKDQKLKVNL KGDASIDLALFGQEAYSLQRDTEYVIPAEGLYELRVLFKTDMESRQEQAAIAHKATPV PYTITINLE MIM_RS09590 MWFKNLSVYRLAADWKPTQEELEDKLAKAAFVPGNKSDMNSMGW VPAREDGMLAHALSGQYLLSLRIEKKLLPATVINQFTRARAQEIEEQQGYKPGRKQMR EIKEEVTDTLLPKAFSIFRDTKVWIDTQNHWLVIDAASATKADEVIGALAKVIDPLPL KSLYTEQSPAAAMTEWLLADEAPAMFSIDQDTELQSSAENKATIRYVRQSPEKEDVQK HIQSGKQCTKLALTWSDRISFVLSDNLIIKRIAPLDILKENQDMSAMDEEERFDADMT LMTAELAGLLGALVEALGGEKQTAK MIM_RS09595 MDDKQSKAARADRAKALSAALSQIEKQFGKGSVMRYGDNEVSHD IQVVSTGSLGLDIALGVGGLPRGRVVEIYGPESSGKTTLTLQVIAEMQKIGGTCAFID AEHALDVQYASKLGVNLSDLLISQPDTGEQALEITDALVRSGSVDLIVVDSVAALVPR AEIEGDMGDSLPGLQARLMSQALRKLTASIKRTNCMVIFINQIRMKIGVMFGSPETTT GGNALKFYASVRLDIRRIGSIKKGDEVVGNETRVKVVKNKVAPPFKQAEFDIMYGAGI SREGEIIDLGVQAGVVDKAGAWYSYSGTRIGQGKDNVREYLKENKETAVEIENRVREN MGIIARAQEFTADPEDIAQATEADE MIM_RS09600 MSDFSQGQGRRGQGRSLLARGISYLSRREHSEYELRKKLAPHAE SPEELDEVMRRLKKENWQSDSRFLQATANVAAHKWGAMRIAAKLRQHHLSESDVNATL QNLQLSEYERAKAVWEKKFKGVAYASPQEYAKQMRFLLSRGFSPDVVRKIIRDPSDD MIM_RS09605 MAVGSQHSASLDHLSKLAATAGVTINGDAPWDLRFKDIKAADRI LAQGSLGLGESYMDGQWECDQIDEMIARLLRHRIDDEVKTASTLWHVLRARFVNNQSR AKAWEVGEKHYDLGNEFFRDMLDARMTYTCGYWDNASTLDEAQEAKLDLVCQKMGLKP GMTVLDIGCGWGSFMRFAAERYGVQCTGITISKEQAEYGQKLCEGLPVQFVLQDYRDL TGQYDRIVSLGMFEHVGRKNYRTYFEAARKNLKDDGLFLMHTIGGKVRDHDPDPWIHK YIFPNGEIPVIGQVADHTEGLFLIEDLHNFGADYDKTLMAWHANFEKHWDKHASQYSE RFYRMWRYYLLICAGSFRARDNQLWQFVLSPNGVPGTYRRPKL MIM_RS09610 MIEIKHLETLTAIREAGNLQDAAERLSVTQSALSHQIRELEGRL NLNLLNRRTRPARFTTAALRLLTLADDILPRIRSAEREMQKLASGSTGRLYLAIECHS CFQWLMPTLDAFRLQWQDISLDLSAAFSFAPIPALMRGDLDLVITSDPVPDESVEYVP LFKYELVLAVAADNPLARKRFIKPADLSNQVLITYPVEEERLDIFTAFLDPAGVEPAA IRKSELTPMIVQLVNSNRGVAALPNWALTEYQAQDWLKIVHLGEAPGIWCTLYAAIRS EDRETPYIQAFLELAREISFKHLAGIRMAT MIM_RS09615 MALTHNLGFPRIGVKRELKKALEAYWAGQSTEAQLLETGRQLRE QHWAIQQAAGNAFVTVGDFAWYDHILEWSTTLGIVPPRFRHPRNAPVDLDTLFRMARG RAPVGEPAAACEMTKWFDTNYHYIVPELAADQTFFLARASLFDQVAEAQALGHKVKPV IPGLLTYLRLSKGESFSSAEDERKLALVPQLVQVYRQVLARLAEQGVQWVQVDEPALV LDLPAAWQEAYRQVYAELATTPVSILLATYFGDVADNTDLLASLPVGGVHFDLVRGPQ QLARVLPAWPADRVLSAGVISGRDVWRTDLDQAAALLAPVQEQLGERLWLAPASSLLH VPVDLAEETQLDDEIKSWLSFAVQKLDELRLLAATLNGTVSAAQDEALARQRIALAER KVSQRIHNPAVAARVAGEASVARERSPFAERISKQREALGLPDFPTTTIGSFPQTPEI RKIRRDWKAGTLSDAKYEEAIRDEIREVVKFQEEAGLDVLVHGEPERNDMVEYFGELL AGFAFTQNGWVQSYGSRCVKPPIIYGDVARLIPMTVEWTAFAQSLTDKPMKGMLTGPV TVLQWSFVREDQPRQATCLQLALALRDEVQDLEKAGIRVIQIDEPAFREGLPLRKADW QAYLDWAVDCFRVSTSGVQDTTQIHTHMCYSEFNDIIESIAAMDADVITIETSRSNME LLEAFERFNYPNDIGPGVYDIHSPNVPDREWMIQLMNKAASRLDRKQLWVNPDCGLKT RQWPETRSALIEMVHAAQALRAAV MIM_RS09620 MSLGSSARKPIHTRSITMDSYLREDALWELEAHLVDVKSYDFPT KVGAMHKAGDPVHDMTIVLLVTQEGLITDVRAKYQAAPYNAACMAIEQAYRGLVGLHL LRQFRAAVRERFGRVDGCTHMSELVVLLPTVFVQSLSAQRREQAEKTGKRPFQLEGCH ALAVTSPVVREHYPEWYVNPQEGGKRRARQ MIM_RS09625 MKIHEYQGKELLKKFGVTVPRGIPAFSVDEAVAAAEKLGGPVWV VKAQIHAGGRGKGGGVKLAKSIDEVRQLSSEILGMQLVTHQTGPEGQKVNRLLIEEGA DIKKEYYVGIVTDRSSQRVCVMASSEGGMDVEEVAEKTPEKILKVFVDPAKGFTDDEA RELARGIGVPDASVEKAAVEFQKLYQAYWDTDSSLAEINPLILTGSGDIIALDAKFNF DSNALFRHPEIVEYRDLDEEDPAEIEASKFDLAYIQLDGNIGCLVNGAGLAMATMDTI KLFGGEPANFLDVGGGATAEKVTEAFKIMLKNKEVKAILVNIFGGIMRCDVIAEGVIA ACKAVNLSVPLVVRMKGTNEEMGKKMLAESGLPIISADTMAEAATKVVAAVK MIM_RS09630 MSILINKDSKVITQGITGKTGQFHTRMCRDYANGKEAFVAGVNP KRAGEDFEGVPIYASVKDAKEQTGANVSVIYVPPAGAADAIWEAVDADLDLVICITEG IPVRDMLAVRNRMRQENKKTLLLGPNCPGLITPDELKIGIMPAHICKKGRIGVVSRSG TLTYEAVAQLTELGLGQSSAVGIGGDPINGLKHIDILKMFNDDPETDAVVMIGEIGGP DEVNAAEWAKDNMSKPVVGFIAGVTAPPGKRMGHAGALISGGADTADAKLEIMEACGI RTTRNPSEMGKLLKSVL MIM_RS09635 MDVGSSAFWLALLEIVWVNILLSGDNAVVIALAARTLPENQQKK AIVIGSGAAIVMRIILTLVAAKLLMLPYLKIIGAVLLVYIGVSLVKSDDDEGGHEKSH ASLLSAIRTILVADLVMSLDNVVAVAAAAHGDTTLLIIGLALSIPLVIFGSTLLLSVI EKFPLIVWLGAALLGYIAGEMFVADPVIEPSSETWASLVHMTHHQLGITMGVVGALLV VVLGKIFVARAKS MIM_RS09640 MLEFFQTMSWAAIFQIILIDILLGGDNAVIIALACRNLEKAQRM KGILWGTFGAIIMRVILIAFALKLLEIPYLKIVGGALLIWIGIKLLIPEEDEHGNVAG GTSVWTAVKTILIADFVMSLDNVIAIAAAAQKTTPGHEVAYVAFGLIVSVPIIIWGST LVLKLIDKYPLVVTLGAGLLGWLAGGMLVSDVFTERTFFNGEHAPQTVKIAVEVIGII VVVGLGKWLAARKGKSQAADTH MIM_RS09645 MTELKKLTIATRASRLALWQAHHVRDLLQTRYPQLQVELLELTT KGDQILDTTLSKIGGKGLFIKELETALVDGRADLAVHSLKDVPVDLQSPFALAAVLQR ADPTDAFVSSNYQQLSQLPAGAVVGTSSLRRESQIRAVYPHLVVKPLRGNLDTRLSKL DKGDYDAIVLASAGLERLGLGDRIASRLEVAESLPAAGQGALGIEVLETRAELLEILA GLADPATTACVTAERAVSRTLGGSCQVPIAAYATLTDNAVTLNALVATPDGKRVLRST RTGGAEEADQLGVEAAQALREQGADDILAALQDPSQPPADKT MIM_RS22095 MLQPHATVILTRPEGKNQALQGRLEQAGLRVITLPALTLQRLAV TEPVLAPASFDLIFFVSGFAVDCYFALLAEQHVQWPQGQVAGCVGPGTAQALQRRGVA QQYILYPADHQSYDSHGFLTQLKASPAFADLRSVLIVCGTGGNPWLGRQLQELSIEVT RLPIYRREARQWDQLQQSQMGSLLANPTEQKYVLLTSPQGIEAFVGNLAMAQIDPASI ARSTIFVVTHPGQVDHLRLTWQQKLAADYTDTLHIVQTLPQDDAIFHTVTISD MIM_RS09655 MEKKQDDARNTETEKKPGAAGQHDQPGSAAPDKVTDVELTNERS TPEKTTAQADKTDKAAAGKPVASAAGGSVPPADADKDESSAGKGTKGPLIGALVVVAA IAAGGYYYAQNNHSQSENNSQTTSEPAASAPADTPASDAAQADQGSAPDTSSSASSTT DNAEPAQNGDTASATASGSDAQTPAGEGTAGADAASSATNEADGSNGNAPADSATANN EPAQTGSEATTDAASAAVTNEHNEDASAQASPDKSDTTDASETAPAATETSSAGSSAA STNTANATDTAASGTGGFGGSLPADIRDQLDRQSAQIIALRDQLESSQKQLQQLQTTR LQAARDETTLFVVNDVSRLVTMAQNELAIVGNLENAVTALETGIKAIDQADAPVLAGL RGALSADIVTLKSAPAIGVDAQFAQIAALSEKIDALPMLAPDQAGAKPMAGQSAQGAS TGSDTNETNSAAATEAWYERAWNEVKTWPGAAWDGLRSDLGGIVRVEKLADPNQLLLT VDQAAQLRGNLKQYLHFAQQALLNGQQGIWTASLETVVKGIKQSFNQDSVETQQVLAQ LQQLLKAGVRPELPSITQSVKAVEETRKQLKSTNPGQE MIM_RS09660 MRTSLKLLVLFAVAVAAALLLRDSSGYFMVVTGDERRTVSLAAG LVFIVIAFFIFYLVFRFIGVLMDAPTRWRNWNQRRHTRKDYDLLERGWVELLEGRSSP AEKDLTRLLNRSRDNGRAALASLAAAKAAHNQSRYAERDALLLTAQSKAQGNPRMLDA TTTIRAEMLLEQGESKQALALLEPLAQAGGANQDHLQRLLLRGYKQLGNQDKLLQVAR TLSKKGAIDTFEGQRLIEHAGAAVMKATTRDSWANTWKSFSSAEKAMPLVALAAAEKA QAAGHFDTAGQILEASLREHIDARLLNAYVQCPADQVNGRLTKAQQWLEKDENNPDLL NALGFLCLAAQLWGQAERYLTRSLKLREDPRTHTLLGALYDRLGKPAEAVKHWRFATA SISMLPTVEGEKYLPAADTRMDPDGPPDRKRAEQQVEPERADEASAKTIYAKRQEADD EYFDSAPIPGIRADELNEKS MIM_RS09665 MSLKNVPAGSKTPEEFNVVIEIPMNADPVKYEVDKDTGAVYVDR FMMTAMHYPCNYGYIPDTLADDGDPVDVLVITPFPVAVGSVIKCRALGVLQMDDEAGG DAKLLARPVDKLYPPYSSLNAHTDLPELDLQRIQHFFEHYKDLEKGKWVKVKGWEGID AAHAEITSSIERFQKGK MIM_RS09670 MTYVFPAPEVVSLAIAGSDARFPVRRVYCVGRNYAEHAKEMGFT GREDPFFFSKPADALLPIADGETGQMPYPSKTSNLHYEMELVVAIGKRGKDVSVEEAA QMVFGYALGLDMTRRDLQGEAKKQGRPWEVGKAFDLSGPIGPVHPAATVKDIDTAAIS LDVNGETRQSSTIASLIWSIPESIAYLSGLFELQPGDLIFSGTPEGVGAVVAGDELVG KVEGLGQLNVRIV MIM_RS22885 MKVNTTRSIVLTAVFAGLLGLAGCDKGADSSAPADTPAASSEAP ATSAPADSGTTPAPSGEAPASGEAPASGGSQPQ MIM_RS23200 MNLNKTRLAVLAAVMAGVVGLAGCDKGSDSAAPETPAATSEAPA TPAPAAEPAAPAAPAESSPAPADSGTASSSAAGETPAPAADSSAGADSNLPQTCQDYF KKAEDLVAKAGGSADQMKQMMDQQRSQMAAIKDQAQLESSCKQALDMLDQQMKQMPQ MIM_RS09680 MNIVSKSACAAAVAASLLCMTAMAQTAAPAKKENSQQSRMTTCN ADAKTKNLHGDQRKQFMKECLSNNSTTKDGKPLSSSQQRMADCNKDAKAKSLTGDARK TFMSTCLKKT MIM_RS09685 MHLNELKALHVSQLLDMAAGLEIENANRFRKQELMFAIMKRRAK MGEQIFGDGVLEVLPDGFGFLRSPETSYLASTDDIYISPSQIRRFNLHTGDSIEGEVR VPKDGERYFALVKVENVNGVSPELMKHRIMFENLTPLHPDEPLLLERDIKSEENNTGR ILDIFSPIGKGQRALIVASPKSGKTVMMQHIAHSITTNYPDATLIVLLVDERPEEVTE MTRTVRGEVVASTFDEPATRHVQVAEMVIEKAKRLVELKKDVVIVLDSITRLARAYNT VVPSSGKVLTGGVDANALQRPKRFFGAARNIEEGGSLTIIGTALIETGSRMDEVIYEE FKGTGNCEVHLERRLAEKRIYPAINLNKSGTRREELLMKPEKLQRVWVLRKFIHDMDE VESMEFILDKIKSTKNNDQFFDMMKK MIM_RS09690 MSDLIKHATEKTFEAEVLKSELPVLVDYWAPWCGPCKAIAPLLE EASRDYEGRIHVVKVDVQDHPEVAAKFGIRGIPTLMVFKNGEAVATKVGAVNKTQLTG FIDESI MIM_RS09695 MSVFLNSIEKHVAAKQSYDEASIRVLKGLEPVRERPGMYTRTEN PLHIIQEVIDNAADESLAGFGRQITVTVNTDNSVTVEDDGRGIPVGIHPEEKAPVVEI VFTRLHAGGKFDKKAGGAYAFSGGLHGVGVSVTNALSTRMEVTVWRDSSEYQLVFAKG GALESPLTRLGDAVPRKKTGTRIRIWPDPKYFDTAQIPMNELLRLLRSKAVLMPGVTV VLNNEKTGEQKKWLYERGLTGYLSESLAGEELLVPVFADSQYADKSHEYFADGEGAEW AVAWTTEGQVVRESYVNLIPTSAGGTHEAGLREGLYTALKSFIELHNLLPKGIKLLPE DVFSRASFVLSAKVLDPQFQGQIKERLNNRDAVRLVGGFAKTSFELRLNSNVEAGRKL AELAIRQAQVRTRSAQKVEKRKSSGVAVLPGKLTDCESSDSTRTEVFLVEGDSAGGSA KMGRNKEFQAVLPLRGKVLNAWEVEKDRLFANNEIHDIAVAIGVDPHGPNDNPDLSGL RYRRICILSDADVDGSHIQVLLLTLFYRHFPRLVENGFVFIAKPPLFRVDVPAQGKRP ARKLYCLDESELEAVQEKLVQKDGVKPGSLSISRFKGLGEMSAEQLWETTMNPDTRRL LPVGYGELTSDETKQMFNMLMGKGESAQRRSWLEDKGNLAEVDV MIM_RS09700 MSDQDQTDLFDAAPPEDETITLGLYAEQAYLDYAVSVVRGRALP DVGDGQKPVQRRILYAMSEMGLRAGAKPVKSARVVGDVLGKFHPHGDQAAYDALVRMA QDFTLRYPLIDGQGNFGSRDGDGAAAMRYTEARLTPIADVLLGEIDEGTVDFIPNYDG SQQEPQMLPARLPVMLLNGASGIAVGMATEIPSHNLQEVAAAAVGLLRHPRMSDEELY ALIPGPDFAGGAQIITPASDIAAIYSSGRGSLKARARWEFEEMARGQWQLVITELPPN TSGQKVLEEIEEITNPKVKAGKKALTPDQQANKSAMLGMLDAVRDESGREAAVRLVFE PKSSRIDRNEFVNLLLAQTSMEGTVSVNLVCIGIDGRPRQRNLRTILEEWLTFRTLTV TRRTQHRLDKVIDRIHVLEGRMVVYLNVDEVIQTIRESDEPRAALMARFSLTERQAED ILEMRLRQLARLEGFKIEQELNDKRDEEKKLQELLDNPSALKRMIGKEIEADAKKYGD ARRTIIQEAERAVLETRIVQEPVTVIVSEKGWLRSRQGHGHDHTQFGFKTGDRMYAAY ECQSTDTLIALGSNGRVYSVAVATLPSARGDGQPITSMVDLERGTQVTQFIAGAADSR WLLMQSNGLGFTAKLSDMISRQKAGKQFVTVEAEQHLLRPIPVFDSSTHLAMYTAKGK ILIIDLGEVKSLAGGGRGTQLMTLDASDSLAQIIPVGEGGIVVSGIYRNKQTEDTLSL QALAEYIGKRARKGRLFVVRMKNITLLPVLAANKE MIM_RS09705 MGYKVTVHPSNHQFETTEGQTILDAALAAGFVLPYSCRSGSCST CKGKVISGTFDAGPAPAQILSADEISAGYTLFCQAHATSDMDIEVREVRMASDIQIRK MPARVMALDKVADDVMVISLQLPATEPFRYYAGQYIEFILKDGKRRSFSMASAPNGTE PVQLHIRHLPGGVFTDHVFGAGATQMKVREILRVEGPLGSFFLRDDSDKPIIFLASGT GFAPIKAMMEHIIEKGIIRPVTLYWGGRRPQDLYMNELAQQWDRDLPFFTYVPVISDA TPEDAWTGRTGFVHKAVIQDFPDLSGHQVYACGAPIVVNSARTEYTGLCGLPEDEFFA DSFTSEADAIHN MIM_RS09710 MKVADIRQKFLHFFESKGHTIVPSSSLVPGNDPTLLFTNSGMVQ FKDVFTGKETRPYSRATTSQRCLRAGGKHNDLENVGYTARHHTFFEMLGNFSFGDYFK QDAIRYGWELLTTVYGLPAEKLWVTVYQEDDEAYDIWLKEIGVPAERIIRIGDNKGAR YASDNFWQMADTGPCGPCSEIFYDHGPDVWGGPPGSPEEDGDRYIEVWNLVFMQFERD QQGNMTPLPRPCVDTGMGLERIAAVLQHVHSNYEIDLFQNLIKAAARETGTSDLTNNS LKVIADHIRACSFMIVDGVIPGNVGRGYVLRRIIRRALRHGHQLGKTTPFFHQLVTDL VEQMGEAYPELAKSAEHVASVIRQEEVRFQETLVNGMRILNDELAALGKDGVLDGQTA FRLYDTFGFPFDLTADVCRERGFGVDQAGYDQAMAHQKAQAKASGKFKMAANLQYEGA QTRFEGYEHLQTSATIQALYVDGTLVDAVQEGQDAIVVLDTTPFYAESGGQVGDSGTL TGFGSAFDVVDTQKIQANVFGHHGAVSLGSLKVGDQVQALVDTDRRQATMRNHSATHL LHKALKQVLGEHVQQRGSLVDPDKTRFDFSHGAPVTATQIRDVEAIVNNEVLANNAVA ARVMAFDDAVADGAMALFGEKYGDTVRVLDIGFSRELCGGTHVARTGDIGLFKIVSEG GVGEGVRRVEAITGHNAFGWVQNLNASVQQAAAILKTSPADLVERTRLQQEQLRAAEK EMDRIKAKLAAASSADLSSQAVQVKGTQLLALVVPNADPKSLRGLIDNLKNTLKSGIV LLATESDGKVSVAAGVTQDLTGKVKAGDLVSHVSAQIGGKGGGRPDMAMGGGTDTAAL PGAVAGVQEWVESRL MIM_RS09715 MSEEVAFVQVVDASGLTCPLPILRAKKALATIQSGEVLKIITTD RNAIRDFQAFSKQTHNPLLSQEETETGAVHYLQRR MIM_RS09720 MVVIRLARGGSKKRPFYNLVAADSRNRRDGRFIERVGFYNPVAK EGTEALRIASDRVTYWVDNGAQLSPAVARLVKEYAAKVANA MIM_RS09725 MSTLPEDLVELGRIVSAYGVKGMVKIQPYSDDRSALLDIDQWWL ARVSPRNGALLSAHTPIRAQRVREQGADLVAALEGVTDRDQAEAMKGTSVFASRSQFP SAPEDEYYWVDLIGSRVYLEDSGELAGVVLEVMDNGAHGVLRVARHQADAQGEPAPVL DAKGRVLEWLVPFVSEHVPEVDVAARRIVTRFPLDF MIM_RS09730 MRFDVITLFPELFTAVSDSGVTGRAHRQGIWSVNTWNPRSYTTD VHHTVDDRPYGGGPGMVMLSQPLERALLAARAHQQEQGAPALPLILMSPVGRRFDQAV AQSLAAGPGAIVLCGRYEGIDQRFIDQYVDDELSIGDFVLSGGEIAAMAIMDATVRLL PEVLHTADSAIQDSFQPSLSGLLDSPHYTRPELFNGVPVPPVLSSGHHKNIARWRREA SLSLTAKRRPDLIDAARQKGWLTREDEAFLRTLPSGA MIM_RS09735 MIPFSVLDLSPVNEGETTREALQHSLRLAQTAEQLGYRRFWMAE HHNMPGIASAATAVALGFIAGGTQSIRIGSGGVMLPNHSPLVIAEQFGTLASLYPDRV DLGLGRAPGTDITTARALRRDIYAAAERFPEDVQELQAYFEDEQPGQAVRAVPGSGLR VPLWLLGSSLYSAQLAAHLGLPFAFASHFAPTDLMPALSVYRSMFQPSAYLDKPYAMA CVNVIAAGTDEEARFHYTSMQLASVQLFRGKPGKMPPPVEDIDALVTPMEKAGVEQKL AYSMIGSPATVQHGIERFIEATGINELMITSRIYDVQARLTSLALTAPIFNPGAGANL DSSAPANA MIM_RS09740 MVTKVLFVCMGNICRSPAAEGVFRRLVEEAGLQDSITTDSAGTQ DYHIGDPPDGRAQLVSRKRGYDISQCRARQVTPNDFKEFDLILAMDWENLSYLQQICP KPQQHKLMLLMRFANDYEEATVPDPYYGGLDSFTRMLDYLEDACQGVFEIISKRVKQY QAA MIM_RS09745 MRLTTKGRFAVTAMIDLALRQNGGPITLAAISERQNISLSYLEQ LFGKLRRHELVDSVRGPGGGYTLAKFAREITIADIIFAVDEPIDATSCGSKTDCSTGK NGSLSGKCMTHDLWTRLNRTVVDYLDSVSLQDLVDQQRMRQLEHQADSPVVQMKKTPD ALASVIA MIM_RS09750 MLKLPVYLDYSATTPVDPEVVEKMVPWLYEEFGNPASRSHSFGW TAEEAVENARKQVADLVNADPREIVWTSGATESDNLAIKGAAQFYKERGKHLITVKTE HKAVLDTMRELERQGFEVTYLDVQENGLIDMDVLKAAIRPDTILLSVMFVNNEIGVIQ DIPAIGELCREKGIIFHVDAAQATGKVDIDLSTLKVDLMSFSAHKTNGPKGIGALYIR RKPRIRIEAQMHGGGHERGFRSGTLATHQIVGMGEAFRIAREKGHAENERIRALRDRL WNGLSTIEEVYLNGDMEKRVPHNLNVSFNYVEGESLIMAIKELAVSSGSACTSASLEP SYVLRALGRNDELAHSSIRFTIGRFTTEEEIDYAVELIKSRVDKLRDMSPLWEMAKEG IDLNSVQWAAH MIM_RS09755 MAYSNKVLDHYENPRNVGSFEKGDESVGTGMVGAPACGDVMKLQ IKVNQEGVIEDARFKTYGCGSAIASSSLVTEWVKGKTLDQALDIRNKQIAEELALPPV KIHCSILAEDAIKAAVQDYKDKHTV MIM_RS09760 MAITLSPKAAQHIERYLQKRGKGIGLRLGVRTTGCSGMAYKLEY VDEPDTADQVFESHGVKVFVDAKSLPYIDGTELDYAREGLNEGFKFSNPNEKAACGCG ESFTV MIM_RS09765 MTEPAASHFSLFGLPEQFAVSLPDLEQAWKTVSARVHPDRYSTA SAAEKRVAMQWSSRINEAYQVLKNPLARARYLCEQAGADIGAENNTAMAPQFLMAQME WHEALDELRDAPDAERVRAFLDTLEQAETDLYAQLQTLLDVQKDIPAATAKVREGMFI SKIHQDAKALLRQPK MIM_RS09770 MALLQISEPGDSPVPHQRRFAVGIDLGTTHSLVAAVRNSIPEVL ADENGKELLPSVVRYLDGQGVEIGYPAVRKQNTDPLNTLVSVKRLMGRSYDEAVSMKL PYEFSPGEGTVRIRTRQGDKTPVDVSAQILAKLRQRAEDVLGDDLVGAVITVPAYFDD TQRQATRDAARVAGLNVLRLLNEPTAAAIAYGLDNASEGVFAVYDLGGGTFDISILRL SKGVFEVVATGGDTQLGGDDFDEAIVADIMKHHSQEQLTAADRRLLLSRARQMREKLS ATPKAAFEVSLSNGLNIDQMFPQNHFENISRHLITRTLTLVDDVLHSAGLTAEQINGV VLVGGATRMPIVRNRVREHFNQQPLTDLDPDKVVALGAARQAHLLAGNRAPGEDWLLL DVTPLSLGLETMGGLVEKVIPRNSTIPVARAQEFTTYKDGQSAMSIHVLQGERDLVTD CRSLARFELRDIPPMVAGAARIRVTFQVDADGLLSVTATEQSTGKEAQITVKPSYGLT DEQITTMLQESMQNAGEDSAQRMLREQQVDARQLLDSVKSAMDKDRDLLSEDEIREIT EHIESLSGLSDTDDIVDWRDATDRLAKATEDFAARRMDRSIRNALAGKSLNDIA MIM_RS09775 MPKITVLPHPDVCPDGAVINDAPEGTSICRILLDNHIEIEHACE LSCACTTCHVVIKEGFNSLEEATDDEEDLLDKAWGLTSVSRLSCQAIVADQDLVVEIP KYTINHAKENH MIM_RS09780 MTLNNPQRGRLGPHELKEAELMRLGQKEVAFFYADYPEEYLKEI RKNIENGSFESLQLEDNNGLIVWLPGAEQKALRLAELVRFGRQHGYVESAEREIGQIL GYSEVDIDYYIEHVRSHFQATSITL MIM_RS09785 MTSAPFSPSRRRSLKALASAPMLPLTTGVLAGFAGFATAQTADA VKKAFASAEFTGMAAPTLDNPASLAETTVGSSLKVNWADNTNTTYELAYKPFFLTGDK VPDGKGGEIIAGGYFDINNKPIMDASVAGKERQMFSDCPDGTSLLKLDNAKVDGVKGN PVFAVVQFEYTTRNQNGDDMYGKLPSPIAVLTLDQDPATGHLSLVKYHNVDTSGVHGL WITCGASLSPWNTHLSSEEYEPDAFKIAKNDEFKGFSKALFGDEKKANPYHYGHLPEV TVNPDGTGSIKKHYNLGRISHELIQVMPDKRTALMGDDANHGGLFMFVADKEEDLSAG NLYVAKWHQTSGEGPGSADLTWIHLGHATSDEIEALADKLKPEDIMEVKYEDPNDDSF KKIVFDKKVNWVKLKPGMEKAATFLETHRHAAVAGGSMGFSKMEGTTVNAKDKIAYSA MSYVRDAMLDGSSDVKVQGPYAGAVYALNLKAGQKDHTGKDINSEWVPVDMKPPAELV GEDLKTPDALGNLAHADKIANPDNIKFSETLRTLFIGEDSGTHVNNFLWAYNVDTKQL ARLLSCPAGAESTGLQSVDELNGWTYIMSNFQHPGDWEKGKGGKPGLHDVVKDKVAPL IDENYHDRFSACVGYLTGKEKAVKV MIM_RS09790 MKFHRQTRKRYYEDGFLGSCQASVIKIEADMIELDATVAFPEGG GQEADHGTITLDNGSVLRFIDARKMYGHSPGIDGFPDVQVGGVIWHKVHPDDVSLLNQ VRIHDSVTVHIDVDRRAWLTLSHTASHILYVAVAAVRPDAVQATLGCHIRSDGARFDF GVNERFTPDQVSQIEAYANDLVLCDAAITMSAHPQEPDLRSWHCEQHRIACGGTHLDR TGPVGRLVVKRKSLGAGKERLSCSFPDAVVDTARFG MIM_RS09800 MTAFDLVVTGHLVLAHGEIPNGYVAIHQGKVAHVGSAQAGLPAA KQTERFSDCYVFPAAIDSQVHSRSQKGQEDFLWSTRSAAAGGVGTIVDMPYDAGMLIC NAQRFEQKKQEAQQQARVDFALYGTVHPDEGAGNIDEMVAAGAIGFKFSTFGTDPQRF PRIPPFTMHDCFAAISQYGLIAGVHNEDDEVVKHLIDRVQSKGQTDYTGHSASRPVYA ENLAVLQVYELGADTGCRAHVVHCSNGRGYEICASYQRQGFPATMEACLHYLVLSEED DVSQLGGRGKVNPPIRGRGEREALWQHLVAGNLTVVSTDHVSWSLDRKSHTNMFENAS GATGLEVLLPLMVTEAARRNIPLSKIVQVLTYNVARLFSIHDTKGALEVGRDADLVIL KKDPYVYEGKASGQNFSDWSPYDGRTIDFKVQKTMVRGNWVFDGHTVTAEPGSGRFVR PLSTAGLSS MIM_RS09805 MMKANQQRYWDALMELGTVTEASLPYTRRSFSDTFLKGRQWIAD RMQQLDMRVHVDPAGNLVGRLDGADSTRGVIAIGSHSDTVPGGGRFDGVAGVVAGLEC VASLRERGIRLNHTLEVIDFLAEEPSEWGQSCIGSRGISGHLSDDILQCAHPQTGELL ADAIVRMGGKPTSLVRREDIKAFFELHIEQGCVLENEALPIGIVSAIVGIIRVRLTFK GQAAHAGTTPMHMRSDALLAAAQTACDIRHFAARMSGEQPEHYVVATCGQFNVKPNAS NVVPGFAEISVEMRSDHRPSMEALHRQLEKIAAEAAATYQVTLLSCETVTDTQPVVCA PQLMAHIRDASDSLGLPYKVMPSGAGHDAAFLSHISPSAMIFVPSKEGKSHCAQEWTS AEELAQGISVLIDAIERFDGAVNN MIM_RS09810 MEKIQPYGGAGATAVGMQAHTTAPIKGDSKTGRAVAAASIGNAL EWYDFSVFAFFASYIGHSFFEDGNEVSALISTFLVFAVGFIARPIGALYLGAYGDRVG RKAALTLTIGIMAAGTLIIAIAPPVWMIGVGAPILLLAGRLLQGFSAGGEIGGATAFL VESAPLEKRASYAAWLQASMGISNILAAFMGMMITTFFDDKTISLWAWRIPFVVGLLI VPLGYYIRRTLDETPEFEAQKRNHPADKKPLLDIVRLYPRHMILGILFSILWTVCVYV LVIYMPTYYASPTIGLGFSRNQAFTASLLGNIFMVIGCVFAGRLADRIGAFKVLRAGC VILMFISWPLLTWLHQSPTVTHLIVVQILHCFAVSLFAGVAPSALAQLFPIQVRSTGM AVSYNIAAIFFAGFTPALMTWATTIDVMAPSYYLFIASAIGLLATIGMFRLTR MIM_RS09815 MTLKPSHILQQLEFRNLIRFCHLCQTRSLAQTAAQLGIARSGMS DSISTLEQLCGLSLFRREARQFIPNDSALVLSHHFLRLCLLEDFACRYTQSACHELGW IKIRFPYTAYRGQTSAAFFDAVLRTQRQYQNTLFCIEFYDSYLQESDSREDWAPPWPR LAQFDIVISPILERSGENSFLKAGGWLLLHSQSVEILPGRAGTDNAYRGRVCIPRMPW ALLQQATQVCAQLQLDYEYDDRDYLQVMMRPPQDNRVFLVNQLSLDATFDANWQLSPV DSALMSAIELRSYEDHPGAQLLLNNWRRVLDRPASGSQPFSPQTTLKQWHYFGLVAGQ NSIRKAAAQLYMAQPALSTQLKRFESVLGSTLIARHQGARQLALTPSGTFIFQVQQGM KHLLASMQSFLHARRLQQHQRLSLGVVPSADVNSRLSELIVNQVAKWQVHYPDVRLEI VEDKQQALVGLLRSQHIHLAFVEDNVSWLVQEAVSAPEPIGLVMAPDLAGRLGIRQGQ SLDWRSLRGYPLVLPRRDSGLRKLIDDHCVSQNVTLMADVESDSLNINQRWIAEGKYG SLLPRSAVESLISMNKAWFIALTPVLGRTIRLSYLKNRQLNPVEKNLIDYLRLELDNG LE MIM_RS09820 MNDTQKDAPVTEVDENKLIAERRGKLSRLRSAGIAYPNSFRPEH KAQALHEQFDPFDKETLDPQANPARVAGRMMLKRVMGKASFATIQDASGRIQIYLDKK GVGEDVYEQFKTWDIGDIIGVSGRVFKTNKGELSIHAESINLISKSLRPLPDKFHGIA DQELRYRQRYVDLIMTEDSRNTFKVRSKAIAAMRSAMNDSGFLEVETPMLHPIPGGAA AKPFVTHHNALDMQMFLRIAPELYLKRLVVGGFERVFEINRNFRNEGVSPRHNPEFTM MEFYAAYTDYQWLMNFTEQLLRDVAVAATGSAVLTHQGKPLDLSQPFDRLTITQAIMK YADGYTETQLNDVAFLQAELRKLGADVDGPVLARAGIGALQLALFEETAESQLWNPTF IVDYPVEVSPLARASDSNPDITERFELFITGREIANGFSELNDPEDQAARFQAQVAAK DAGDEEAMYYDADYIRALEYGMPPAGGCGIGIDRYIMLLTDSPTIRDVLLFPHLRKED MIM_RS09825 MSGGTIAIVTGASRGLGAALARQLAGSVQQLITVSRNIDPQTQQ LADASGCQHVHYGHDLSDVQHIEAAALGIFAQLDQTASRYLLINNAGSLGPVGQFDTL KDAHAISDTFNLNVTSAMLLTTALLRATRKLDADVRVVNISSGAGRSPTAGWGVYCAT KAALDMYTRVARLEAPQAKLVSLAPGVIDTNMQATIRSANTDDFPDLARFNTLNETGA LRSPEAVAASILQYVNSKDFASKELDDIRQYS MIM_RS09830 MEAERQNQLAARLEDYAEREDALRRYLDVESKSERLQVVNLELE NPDVWNDPEKAQDLGREKKTLENVVLVLDELRQNIRDTQELFELAGADDDDATLLAIE EDADGFGKIIDDFEFRRMFANPADPLNCFVDIQAGAGGTEAQDWASMLLRQYLKYCER KDFKTEVLEESDGDVAGIKSATIKVEGEYAFGYLRTESGVHRLVRKSPFDSSNGRHTS FASVYVYPEIDDSIEIEINPADLRVDTYRASGAGGQHINKTDSAVRITHAPTGIVVQC QNDRSQHRNRAEAMSMLRSKLYELEMRNRLAEQQKLEDAKTEVGWGHQIRSYVLDNSR IKDLRTNVEISNTQKVLDGDLDPFIEASLKQGV MIM_RS23205 MKFNRTLLLALLALTACTTTGTPGQHIDGVVGTVGGAFGNVSGQ RPAH MIM_RS09835 MVVSVKITARSVNPDYEKQLQESGIHSLLARLWAARGVTSSEQT RLSWADLINPSELNQAGTAASILADAIAARKKLLIIADYDCDGATACAVALRGLRAFG ADVDFLVPNRFETGYGLSPAVVELASKHHAGKPDMLITVDNGIASIEGVQAANNLGMQ VLVTDHHLPGDALPQAIAIVNPNHPECQFPSKNLAGVGVIFYVLLALRAEFRRRGWVD AKAGPRLDALADLVALGTVADVVRLDANNRLLVSQGLKKIRSNQLQPGLKALFLVASC DHREATALDLGFRIGPRINAAGRLADMSIGIRCLTTDDEAEALALARELDTMNHRRRT IEQDMSEQAQASLEAPEKARNATVCVFHPDWHQGVVGIVASRLKEKFWKPTLAFAPGD PGELRGSGRSVPDVHLRDVLDLVSKTHSGMILKFGGHAMAAGLTLREEAFADFEEAFD LAVRRISGKDSFEPLIETDGSLDPLFTTAEVAGMLHQHVWGAGFPPPLFRDTFRVLNQ RLLKEKHLKLRLERMNQQYDAIWFNHAEALPEYTDLIYEIAPNAWNGVVNVQLMVRHA EPAVF MIM_RS09840 MQLIISGALPPQSLADETAIHLEKTATLLRRLFEYATPHNHPAD QDLTGCTPYEAWLVQRYQFAPVADQAPCAALAPILVPDAPAAAPVWLFQLAHFGLSNS GAHMLTASDIAVTEKESQALYESAAEIFTDTPFTLIGNRPEGWLVAVPAGFSLPSRSP ALIASADLAHVWPQEEDYKPLRRLLSELQIAWHHHPVNEARRDRQMPLINGGWLFGGA TPAQLSAPATPMPIVIRDLEAAHRQRQWGQWLALLPAVEQKIAGELATALNPQASGNP VTLPVNLILTGDNRWVSLTLEPTPALLKWLPGKRKQWKQWWSQ MIM_RS09845 MKIPALPFTVTDWENIPATEHPGEHGTALWRTLNIGDIRVRMVQ YSPGYLADHWCDRGHILYVLEGELESQLKDGRTFTLTAGMSYQVSDFGDAAHRSYTRT GVKLFIVD MIM_RS09850 MNHIESVSVLYEYGQPGVKFHYENGQSRTLRDDEAEQFIALVEK QRHRQDIDFLNTPRIRRYVANQYFH MIM_RS22100 MKFRTVVTSLSLLIAATLPLSSFSQTAAPQAKQNSLASGLVSDG GISAELTSVVKTGNRVTVKVRFMETDPDAKKISVLYSRLDKAAYENDFYLLAGDKKYL LLKDSAGKPLAPEKLVLSNKGKLRGIWYGTFPAPPEGESLMLFLPNIEPLGPFSMTGE MIM_RS09860 MRRIYLTRFGRRSVMVLALVMSGAASAQTDDDSNQYILDLVPQV LDLQVASSDLNAATSDLSENAQKLIEENGRISVRKADGGTVLSVASDILFAFDSANLS PKAQATLKDISTIINDSKVKVVKVIGHTDARGSDTYNRKLSKARAESVAVFLVKEGVA QSRIRAQGRGEAEPVAENDIDGKDNPSGRAKNRRVEFVLPR MIM_RS09865 MTRTRGRGRKGDRFVSFIAGTSMVGIALGVAALIIVLSVMNGFQ VDVRDRMLSVLPHIQLVVPNEDPVAVTDNWQKLADQAKENPEVQGASAFVAAGGMLAR GDVLKGVEIRGIDPKNEGNVSELPQQMIRGSLQTLEPGSFKLVIGINLAQYMGVNVGD TLLLMTPQGSINPSGFSPRMRQFTVSGIFSSGHYEYDSNMAFVSVKDAAVLFRDVGSA GVRLKIRDMISAPEVATQLTNSLPPGVVARDWTMDNRTWFAAVKTEKRMMFLILVLIV AVAAFNLLSSLVMAVKDKQSDIAILRTLGVSPFQIGKIFLVQGSLIGFIGTFLGVLFG CLVAYNIDVVIPFIERLFGIHFLDPSIYFVSTLPSHPEVNDIGLIGITSLVLSLLATI YPSWRASRLQPAEVLRHD MIM_RS09870 MIKPDNISIQQSTYALESRDVVKYYDDGNARLDILKGVSLQVSA GEMVAIIGASGSGKSTLLHMLGLLDKPTSGQILINGQQTHALSEKAISHIRNESLGFV YQFHHLLNEFNALDNVAMPLIVRRMDRKQARSQAEAVLERVGLKERIHHTPGQLSGGE RQRVALARALVTRPVCVLADEPTGNLDRETAASMFALLKQMNAEFKTAFVIVTHDAKL AALADRQLVMERGVLQAA MIM_RS09875 MFIDTHCHLDASEFNDDRQAVITRAEQAGVGQIVIPAIGRCNFS TVRQLAHSFAGGYYALGIHPLFVAQAADEDLEILAQEVARSLNDPRFVAIGEIGLDFF VKDLVQGPLRERQEYFYQQQLKLAREHHLPVLLHVRRSQDVILKYLRRYNGVGGIAHA FNGSDQQADHFVDLGFALGFGGAMTFTRANQIRRLASRLPLSNMVLETDSPDIPPAWL HDAHPRNTPEQIPAIAQVLAQLRELPVQDIAQTTGATARRVLPRLPAFPASSTA MIM_RS09880 MAAWLICLCCCWLLMVSLHASSNGFHAPCALILKHVFVRNRLLI RIVLPLCVLGLCLISGMLYSVWRAQSRLADSLDRHLENRPLTVQVQVAGLPRDLINGW AFEARIIGGPSAARVPERVLLRWYSGARGGPYSPPQRPQAGLPDLRPGQIWQMTVKLR RNHASRNFHGFDYDAYLFAAGLRASGTVIGPGRLLRDELCRDWGSCIERARFSLRRAL IQVLQDKRYAPVIIALVMGDQAGISKDDWNVFNLTGITHLVSISGSHITMLAALGSVG VFRLWRRMRLQGKQLAERRPAQIVAVSGGLVVAAIYSVLAGWGVPAQRTFMMLVIFWL SAIGRVRVKGPTLLALSALCILLLDPWAVLSVGFCLSFAAIACLMLWGARSERRVTVT SGLVQKGLQRLWDAAKMQLFMSVALAPLLIGLFQQYAFVSPLVNAFAIPVIGGMVTPL ALLLAVLCATGSWPSAAVWLADRVHWLLEQVLAVAHALAGMEWAAADFPAVPGYWVVL GMAGLVVFVLPRGLPGRASGLALMIPALFFRPARPLPGEWFMTALDVGQGAAVLVSTR EHHLLFDTGLRTGMESDSGNQVIVPYLRAAGIDTLDELIISHSDLDHAGGAGSVLQQV NVRHAYASFALNQYLEREQDALQRDLLVKNRQATFDLCRRGVRFAYDGVQFRFYHPSE TGHIPLKADNNHSCVLRIQGKHQSALLTGDIDASVEARLLQAPEQLPATDVIMAPHHG SASSSSVAFARAMQPALVFAQAGYLNRYGHPAGDVAARWRATAQLYLDTIEAGAIRIR SGADGLWVNSARSARRRYWDGF MIM_RS09885 MQSPRLNFVNCMSPAGIHRMAYKEWGDPANGRVLLCVHGLSRNG NDFDEVARAMSKEYRVVCPDIAGRGASDFLTNPASYVVPQYVSDINTLLARLQPQSLD WLGTSMGGLIALAFCAVVGAKDAAIAATGNSALPGTTGLALGKLILNDVGPAIELASI ERIASYVGVPLQFDSFEAAVNHMKTNAASFGPLGDEEWVDFTKAVIVQKGQIWTQHYD LAIAQAFAGLKDEKMLKAGEAMLWRAFEALQCPILLIRGERSDLLSKSTAEQMQARNP HLQMIEIPETGHAPSLLPPSQVQAVRRFLLS MIM_RS09890 MTESQAFKADLHCHSTASDGVLTPAEVAARAHANGVTLWSLTDH DEIRGIPQAREAAQSLGMQFVSGIEISVSWAERTLHVVGLNIDIENTRLNQGLEKIRH DRETRAHEMADKLAELGITGSYEGALGYAGNPNLLSRTHFARYMVEQGYCKTMQDVFD RYLADGKPAYVAGDWAGLDEALDWIHAAGGIAVIAHPGRYKYSRREFTTLFRTFKLMG GRGIEVVTGSHTPDQYHQYANVARQYGFLASCGSDFHSPKESRMDLGRLPPMPSDLVP VWKAFN MIM_RS09895 MNKAFVKEKEDDDEDDQSPEAVVLPAGTRNYMTRDGYNTLRDEL THLMNEERPDVVQIVSWAASNGDRSENGDYLYGKKRLREIDRRIRFLTKRLEMAEVVD PGKQPDRDRVFFGAQVLYCDELGEEFRITIVGVDEAEPLQGKISWISPVARALLKAQE GETVTLRTPSGVKQLEVLEIIYPDE MIM_RS09900 MFSYRHAFHAGNHADVLKHAVLLHILDYYNRKDAPYWVIDTHAG AGVYDLTDAWAEKTAEFRDGIERLWHQPALPELLANYIDHVRQLNDQGGLNVYPGSPW IALQLMRPADRLRLFELHPTEITHLEENIQMQSRDVARQVSIFEKDGFTGLLSQLPPA PRRGIILIDPSYEDKNDYRHTLTAVKDGLKKFATGCFAVWYPLVRRKEVHDMQKQLEK ISDSGWVHARLAVKKPPENGYGLYGSAMFVVNPPYTLVAALREAMPVLEQQLAQDDQA QFSLTHLDK MIM_RS09905 MSSKSPVSWPYPAVIAHRGGGRIAPENTLAGLRCGYDHGFLMSE FDVKLSGDNVLVVLHDDTVDRTSDGSGAAAGMTFTELAQLDMGGWHSAWYAGESLPTF SQFARFILENGLLCNIEIKPCPGREAQTGAAVAQAVKQLWAKGGVAPLVSSFSQEALA AFAQQAPDVPRAFLIDSLPEDFEAILVSLGCQAINLNQKYLDESSIARIHAAGYKVCA YTVNDYRRARQLLGWGCDAIFTDELIRIPADLGVY MIM_RS09910 MLESFRTHKRLLLIVLFVLVVPSFVFLGIADYQSFTNNDVKLAS VRKNDITQAQFDQSWRERLNQLREQNGSNFNINAVDTPANRQAWLDQLVDNQVLQQEM LDRHFNATDNMVRQAIASTPDFQDNGKYSFQKYSQFLAERNIRDVDYEQYVRQQLAFA QLLEPVAGTVSIPAQTAALLQTAMTQERTVRLKTFEAAAYEQSVQVSDQEMTDWYEKN KQSLQVPEYVNVDYIVLNQDAALKTVGDISDADIESYYKANIAKYTKKERRQINHIQI QIPAGADEAAQKAAQDKANEVAEKARQDPASFAELAKTYSDDAGSKNQGGSLGTISKG DIASLDAAAFDPQAPGITDPVKIDNAWHVLQIANIEPGEVQPLAQLKDTLKKEIALQQ ASEKFADLSTKLTQLSSTERDSLQPLADALELEIHHVNGVSQTALLPKDQVGDNAAAD SKDAAYFESGRVRETLFSDEVLKQNKNSGVIEISPSELMVVHVAKDVPAAVPALDDVR ETVLNRIRDEKGKKQASEDGIAELAMLKEKGPGELTGFGKETTISRLTQAQLPPSMLN AIMNAPADSLPAFVGFEVPNGYAIAQIEKVTEPTADARNMFDQYLRQAMVAGTGAQTA QSVTRMIRQTHDVKVYPDAAKVINDAAGNQ MIM_RS09915 MTTSASMIRVLSILISLWVFIWPQAVRAQEQPEPANAPVILVVG DSLSAEYGIRRGAGWVQWLAENKKEALGNAQVINASISGDTTAGGRSRLPALLKKHQP AVMILELGANDALRGLSLDASRDNLQSMIDSARGINAEVVLIGMQIPPNFGPDYARQF QEMFTTLAKEKDTHLVPFLFASFALQRDMYQDDGIHPAEQAQPLMAQTVWPVLQQALT DYRQRR MIM_RS09920 MDADVVIEVSHLKKHVAEATGTLDILDDISFALERSTTLAITGS SGSGKSTLLGILAGLDTPSSGQVRLMGKNIFEMDEDARAVLRAQNIGFVFQSFQLLPN LTALENVMLPLELQGQPAREAAQQMLERVGLASRMNHYPKTLSGGEKQRVALARAFVV SPAILFADEPTGSLDTETGQRIADLMFEMNREKAATLVLVTHDQKLAARCDNTITLIS GRLAATVPDPDWV MIM_RS09925 MGLKDASSSLLHALRAGSPFRRRNRNGHRPTAQADTASVGVSTP QADEQRIPPQAIPNSTTRHEPVIASLAHLTEMLSTYMDSKEIDKVREAYRFADQAHLG QFRSSGAPYITHPIAVTEICAGWKLDSNALMAALLHDVIEDQNVSKAELAEKFNPDVA NLVDGLTKLEKLNFATKAEQQAESFRKMLLAMAKDVRVILIKLADRLHNMRTLDAVGG EKRRRVANETLEIYAPIAHRLGLNALVRELQDLCFEASHPNRYNVLKKAVLAARGNRR EVLTRIAENITSAMPANGIEAEISGREKSLFSIYNKMREQKKSFSEVLDIYGFRIIVH TLQECYLTLGTIHQLYRPVPGKFKDYIAIPKINGYQSLHTTLVGPYGTPIEFQIRTRD MDHIAEKGVASHWMYKEDDISLNDLQKQTHRWLQSLLDIQSQTGDSGEFLEHVKVDLF PDAVYVLTPKGKIVSLPRGATPIDFAYGIHTDIGNQAVAAKINGEFVPLKTEIKSGDT IEIITSPASEPNVQWLNHVRTGKARSEIRHFLKTVKYEDSIHFGEKMLRQALQELNIP LPDADNAEWEKLARSSGASSREEILADIGLGRRLAAVVARRFAVENAMLATSAAVIDE VSIAHAGMIFIQGNEGQAVQLSGCCSPIPGDSLVALIRPGHGLVVHTDDCPTAARLRS REPDRWVQVYWDEETASHLPVRMEIVIRNEKGVLGRIAAQISAADSNILTMSMNEDAQ NLAVVHLTIQVHDRKHLARVFRMVRHVSQVQKVARLKVRPNQDPVL MIM_RS09930 MARITIDDCMTHIPNRFNLTLAATYRARELAQGHEPRVDPDKDK PTVIALREIAKGLTGAEMLRKVPT MIM_RS09935 MIIAPSGAGKSSLVNALLAQDNNISLSISCTTRDPRPGEQEGRE YYFTTKQDFLQLRDADQLLEWAEVHGNFYGTPRSPIEKAIAQGKDILLEIDWQGARQV RQHFPGVTGIFILPPSIDVLETRLTQRGQDSASVITRRILAAGNEIAHAPECEYVIIN EDFATALSELQKIVAAARLRYQSQAARHAQLFSQLGISDSAR MIM_RS09940 MNTPPLKVAVVTGAGSGVGRAVALELLGTGYAVVLAGRREQALE ETRTAAGADATRALVVPTDVTKEEDVRRLFDTAQREYGRVDALFNNAGRGGTPVPVDE FSVQEWRDIVDVNLTGMFLCAQAAFRVMRYQDPQGGRIINNGSISAHAPRPMSIAYTS TKHAVTGLTKSLSLDGRAYNIACGQVDIGNAGTEMTQRMQKGIIQANGETRVEPVMDV AHVAQTVRAMCEFPLETNVQFVTIMATKMPYVGRG MIM_RS09945 MTIQKLKPRIADVGGIPVARALPNRERRTIGSWCFLDHAGPARF EEGNPGMQVGEHPHINLQTFTWMLEGEVLHKDGLGNEQVIRRKQVNLMTAGHGIAHTE QTPPGIDVLHAVQLWIALPQSETPIAPAFEHYPQLPEWQQANTRFTLLTGEFAGQRAP TRQFTPLVGVDIEAQGPDSIELTLNPEFEYGLFLITGSPASIDGQLFELNEVAFLGTG RTSVHIETTAESRLLLLGGTPIDVPDFQIWWNFVGSRKDIIQAQKDWNAHDPRFAKVN TDMKRLTPPDLPWVDKAAPAS MIM_RS09950 MIHSMTAFGSARSEFLLGSVSVELKGVNSRYLDLAFRIPDELRH AETALRELLGSHLKRGKLEVRVSFARSGSLQQRAFEVDQLTLINERYLAARKIIPDLA APGMSDLLHWPAPNEYNEDDSPAMWLNQCMQAGRSALQELILARAREGTRLATAMLEA ADEMKKVIATIEERLPGILEEYRKKLAGKLTDTLNAACPNGFEQISGAELSARIASES SLFSLRIDVAEELTRLDSHLKELSVILSTGKSESKSAAKSLSLGKRLDFLFQEMNREV NTLGSKSSSLEITQSVIDLKLLIEQLREQAQNIE MIM_RS09955 MPSADLNLNFARPSGREHDMIRPLAVTRSYTNYAEGSVLIEAGN TRVLCNASVLETVPPFLRGKGQGWVTAEYGMLPRSTHTRSDREAARGKQSGRTQEIQR LIGRSLRAVMDMQKLGERTIQIDCDVIQADGGTRCASITGAWIAMADAVSLLLRDGRL SANPLRDRVAAISVGMYKGHAVLDLDYEEDSACDADMNVVMTGSGQFVEVQGTAEGQV FSRQDLDSLLALAESGIARLLREQQSAWPA MIM_RS09960 MDVVLASGNKGKLREFSALFAPLGMRLIPQQQLNVPEAPEPFHT FVENALAKARHASQHTGLPALADDSGLAVDALGGAPGVLSARYATLFGEEKSDAANNR RLLLQLQQHENRRAAYIAVLVFVRSENDPCPVIAQGTWHGEIARQAAGDNGFGYDPYF YIPALGQTAAQLDPQVKNSVSHRAMALKRLLADLGAA MIM_RS09965 MIENIVPLQRLKPAINFNISLPPLSLYIHVPWCVRKCPYCDFNS HEKKQEIPEARFLAALRRDLEETLPLVWGRSVYTVFIGGGTPSLLSGEAIEQMLAMVR SYLPLAPSAEITMEANPGTAEADRFAQYAAAGVNRFSLGVQSFDDSALQQLGRIHNAR QAEKAIELAMNAVSQVNIDLMYALPGQTVAKAREDVQRALAFGTQHLSLYHLTMEPNT VFAKFPPKDLPDEDSAADIQDMLFEQTGNAGFEHYEISAYARPGCRARHNLNYWEFGD YIGIGPGAHGKLSFQDRIIRRANVKSPQTWMDRALEGDGAGRIMQEQTLAVADVPFEF MLNALRLVEGVPATLFEERTSLSLLTVAPIIRKAVERGLLVSDPMSIRPTERGQAFLN DLQAMFLPENKAS MIM_RS09970 MTTPQDVLKLIGDREVTFVDFRFTDTVGKEHHMTIPSKLLDEDK FESGQAFDGSSIAGWKGIEASDMLLIPDAKSARLDPFREEPTLIMTCDVVEPSDLKGY DRDPRSLAKRAEAYLKSSGLGDTAYFGPEPEFFVFDGITWTDDMSGCSFKIKSDEAPW STGTESESGNLGHRPRVKGGYVPVSPVDSFADLRSEMSLILEEQGVPVEIHHHEVAGA GQLEIGTQFSTLVQRADWNQILKYTVHNVAHMYGKTATFMPKPLFGDNGSGMHVHQSI WKDGQNLFAGNGYAGLSEFALFYIGGIIKHARALNAITNPGTNSYKRLVPHYEAPVKL AYSARNRSASIRIPYVGNPKGRRIEARFPDPLANPYLAFSALMMAGLDGVQNKIHPGD PADKNLYDLPPEEDKKIPTVAASLEEALAALDADREFLTRGGVFSNDMLDAYLELKMQ EVTRLRMTPHPVEYDLYYSV MIM_RS09975 MATKLTDAYDLLATSVLLVDDDGRLLHINSAAQDLLERSLKSLE KTAAVDLFTDAEAFNKAFDYVSGRKLSSVRLTLTLRKAMENVPANVTLTRLEAMPWRI LIELREFEQHALAERNERLFHEIEIYKDTFRNLAHEVKNPLGGLRGAAQLLEMELQDP LLKEYTQVIIDEADRLQGLVDRLIRPSQSQLSLSVINIHEVCERVHALIRAEYQDRIT IVKDYDASVPDMKADMAKLVQAYLNLARNAAQSLLGDAQSRLSPDGGQDSAEGKKGNT EHADNSLERVGPKLILRTRILNKFYLLNKVHRLVVVVSVVDNGAGVPKHLKDRIFHPL VTGRAEGTGLGLSLAQELVHQHGGVIEFDSEPGHTEFRMLLPLEQV MIM_RS09980 MKTIWIVDDDQAIRWVLDKALQRAGMAPTCFERARDVLEALQTE QPDVLVTDIRMPDISGIDLLKAIKQRAADLPVIVMTAYTDLDSTVTAFHEGAFDYLVK PFDVNEAVALIERAYEHGRENTDKGTEPTILAPALTSDYDRMMTQSSSRQMQEIFRAI GRLAPSKVTVLITGESGTGKELIARAVHKHGTRAGKPFVALNAAAIPRDLLEAELFGH ERGSFTGASAQRRGRFEEANGGTLFLDEIGDMPLELQTRLLRVLAEGNFYRIGGSQPV SVDVRIIAATHQPLEVRVEQGTFREDLFHRLNVIRLRVPPLRERREDIGQLAAHFLKV AASSLGVAPKQLSTEALEILQQFDFPGNVRQLENFCHWLTVMSPAQRIDKNDLPPELM ASLSFSPGTERSADGVAASAGSVGSLAETAGHWSASPTATTVGQLSHAPLPGAEVSQQ NWLDKLEHEVQQRLQSNEKDIMAHLTQEFERIVLTTTLENCRGRRIDAAARLGIGRNT VTRKLKELGIEHL MIM_RS09990 MPTRPFNALTSTRRWPPSQWIAACLALCVLAPVLVLAALAASGE TGHWLNLLQNVLPVSLSNTVVLLLGVGVLSALIGGGSAWLTTAYLFPTRRLVTWGLLL PLAVPTYIMAFAYLDVLHPLGPIQSLIRDLLGYDSPRQFRLPDLRSMPGAIILLGLVL YPYVYLSVRVMFMTQAASLLEAARTLGCSRREAFWRVVVPLARPAMAVGLSLALLETL NDVGASEFLGIQTMTISIYTTWITRSNLQGAAQIAIVMLAVVTLLIYMERYARRRQRY AITQRMHPIQPVQLRGFAALVAMLLGWFPIITGFIIPFWFLVNESFKRLSSLEALSAE LSRATYNTVSVSLAATVVTIGCGLLIAWSARHARSGMARLLARCSSLGYAIPGTVLAT GLLIPYSWLDQLISGVLNALAGIPPQLYIMGSAAGIVCAYTLRFMAISVGSIESGLAR IPPSLEQASRSLGHSAFRSFLRVHFPLLRPALGAAALLIFVDAMKELSATLLLRPLNF ETLSTWLYAEAARGTYDEGAIAALIIVFAGLIPVILLARTQLKANY MIM_RS09995 MLTQVLTCAGVLCSAQAYAAGEVNIYTTREPKLIQPILDNFTKE TGIKTNTVFMKDGLAERVKSEGERSPADLLMMVDYGNLIDLVNAGITQPVQSDALNQA IPANLRDPNNQWFALSTRARVLYAAKDLNLDKFTYEELADPKWKSKVCIRSGQHPYNT SLIAAVIAHDGAEKAQEWLKGVKANLGRKAAGGDRDVAKDILGGICDIGIANSYYVGQ MRNAKPDAEQYKWGNAIKVILPTFAGSKDGGTHVNVSGAAIAKHSTNKENAVKLLEYL ASEKAQNLYASANYEYPVRQGVALDPTVASFGTLKPDTLPLAEIAKHRKQASDLVDQV GFDQ MIM_RS10000 MTKQVIQTDKAPNAIGPYSQAVVAPAGSTVYLSGQIGLDPATGD LISEQVEEQVRQAFSNMSAVIHAAGGSLHSIVKLTLFLTDLSHFGIANNVMSELIPEP FPARSTVEVSALPKGALFEVEATIVV MIM_RS10005 MPAASAKTASPSAISKKFASLGLVQDFDFVLHLPLRYEDETALH RIDSLYPGQMAQVEGIVRKAQVIIRGRRQLTATIDDGSGQLALRWLNFYPSQIKAVEE GRLIRVRGEVRGGSFWGFEMVHPKVSRAGAPLSDSLTPVYPATEGLNQPQIRKAVNAA LARVRLDDTLPVAVQHKYQLASFAESIQLLHNPPKQADTLALIEKTHPAWERIKFDEL LAQQLAMSLARATRESKKAYAIQGGASGLMQRLLDQVGFTLTAAQERVCQEILADMQR PFPMQRLLQGDVGSGKTVVAAIAAAQAIDQGFQVAIMAPTEILAEQHFKKMSAWFEPL GVRSGWLAGSLTPKKKQQAAALVADGTTQLVVGTQALIQQHVTFAKLGLMVVDEQHRF GVGQRLSLARKGEQETVAPHQLSMSATPIPRTLAMTFFADLDVSVIDTLPPGRTPVIT KLFADSRRDELLAHVDTVIRQGRQVYWVCPLVEESEALQLQTATDTFNRLQQELPQLR IGLLHGRLPTQEKTAIMSAFHEHALDLLVATTVIEVGVDVPNASLMIIEHAERFGLAQ LHQLRGRVGRGQNESLCVLLYQTPLSSVATARLKAMYETQDGFEIARRDLEQRGPGEF LGMRQSGMALLRFADIDLDAPIAEQARETAQWLQATHPEAAAAHLQRWARQRADLLRI MIM_RS10010 MTLTELKYIIAVARERHFGRAAEACFVSQPTLSVAIKKLEDELG VVIFERGGNEVGVTPTGLRIVTQAQKILEESANLKEIARQGNDPLTGALRVGVIHTIG PYLLPKLIPLQLRLTPQMPLILQESFTVRLLELLRQGEIDCAIVALPLPEAGLEVRPL YEEDFIAAVPRYHRWAGKAQIDTEMLKQETMLLLGAGHCFRDQVLEVCPEMSRFSAAA DGIQRSFEGSSLETIRHMVAAGLGITLLPMTALPEKPPAADDLVHYVPLKEPVPNREV VLIWRRSFPRLQAIDALADAIYRCELTGVRMLNGKVRSM MIM_RS10015 MNYLKASALSVAISAGLMLSTQALAADTIKIAAVGPVTGPVTQY GDMVREGIRTAIESVNAAGGYDGQQFEMVEVDDACEPKQGPIAANNVVNKKIGFVVGP VCSGATVGGASVYNQEGVVMITPSATAPNVTDGKNFDFVFRTIGRDDQQGPAAAKYII DSVKPSKVAILHDKQSYGQGIASSVQDNLKKANVNVVLFEGINAGETDYSSVITKMKS AGVDFVYYGGYHPEMGLLMRQAAEQGLKVKFMGPEGAGNPEINAIAGDAVEGMLLTLP ADYSALPENKAVVEAFKAKNRNASGAFQLTSYAATQAIVEGIKATKSTDPEKVAEWLH GNTVKSVIGDLSWNKQGDLNEFRFDVYTWHKDGSKTAVK MIM_RS10020 MATLQFNDIRKTYPGNVAVIHGVNLDVQDGEFIVIVGPSGCGKS TLMRMVAGLESVTDGRILIDGNIVNDLEPAERDIAMVFQNYALYPHMSVYENMAYGLK IRKLTKQQIDERVQQAAGILELGNLLDRRPKQLSGGQRQRVAMGRAIVREPKVFLFDE PLSNLDAKLRVQMRLEIQKLHRRLRTTSLYVTHDQVEAMTLADRMIVMNKGVAEQIGT PIEVFEKPATTFVAAFIGSPPMNLLPVSVAANGDIVSKAGRKMAVPATQVPELARGKE IILGFRPEHMVLDQPGIPMTIEMIEILGSEKLIHGRVGDTLAVIRCDVHETATAHYKI GDEINAGADARHPLHWFDPITTRRID MIM_RS10025 MIERRPMLDLLAHLILILGLAVVAFPLYIAIVASTQTAQEVANA PMSLWPGSHFIENYTTALMGGSHSAPSSVAHMLWVSFVTAMIIAVGKITISMLSAFAV VYFRFPFRMLCFWMIFITLMLPVEVRISPTFEVVAGLGLTNTYAGLTLPLIASATATF LFRQFFLTVPDELIEAARIDGAGPMRFFRDILLPLSKTSIAALFVIQFTYGWNQYLWP LVMKTTDDMTPIVVGIRTMIGGGDSVTDWNIVMATALLAMIPPGLVVVLMQKWFVKGL VDTEK MIM_RS10030 MEKRVVFNHKLLPYLLLTPQLLITVIFFFLPAGQAIWQSFFIES AFGGDQQFVGLDNYTRLFSNSTYYSSFWVTLLFSGLVAVIGLAVSLLLAVMANRVVRV AGVYKTLLIWPYAVAPAVVGVLWAFLFSPAVGTLAVYMADLGLGWNPRLNSTDAMTLV IIAAVWKQISYNFLFFLAGLQSIPTSLLEAAAIDGASPFRRFRTIVFPLLSPTTFFLL VINIVYAFFETFAIIDTTTQGGPGTSTNILVYNVYKTAFQSFDYGSSGAQSVILMMIV IVLTVIQFRYVDRKVQY MIM_RS10035 MRYTIRTLSLACAMSFASFGAVAATNVTFWHSMEGPLGERVNDI VKDFNASQQDYAVQATYKGNYGESMNAGIAAYRAGQSPDIIQVFEVGTATMMYSKGAI EPVQEMAEKAGNPLDPKAFVPGIAGYYSDTSGKLVSMPFNSSTPVMFYNKDLFKKAGL DPEQGPKTYADIEAFAKKLKAAGVECGYTTSWPAWVLIENFAALHNVPYASKDNGFGG LDARIDLHSDAFLKHFEFLSKMSKEGTFTYGGRGDAANALFISGKCGMFTGSSGTRAN ILKNGKFEFGVAKLPYYADVKGAPQNSVIGGASLWVFSKKSPEVYKGVTAFFHYLTKP EVAAKWHQDTGYVPVVKAAYEATKTSGYYDKNPGTDVPFEQLNVETTAQSRGVRLGFL PQIRDIEEGVMESMFSSKVSIKDGATDMEKRANELLVRFENGNK MIM_RS10040 MKNSLTIAFIGGGNMAGAMLGGLLGNLCQPQDILVVDPGEATRA AWDARGVVTAAVACEALSQCKVWIYAVKPQVMQQAIEATRPFLQDDTLVISIAAGLPT TLLSGWLDQAAPTRTTRLIRCMPNTPALIRQGITGLYATPGVPAADRQRAQDILSSVG EAVWVDQETQLDAVTALSGSGPAYVFLFIESLIAGGISLGLDQEQAQRLALATLKGAS LLAAGSDQSPARLRENVTSPGGTTAAALNRFAQGDFAGLVQAAMQAANDRAAQMAQES AAAAQPAQDSGKK MIM_RS10045 MKNTRFEKMTINQTLLAVAIMGLIIVSSNILVQPQFHINAWLTW GAITYPVCFLVTDLLNRRFGPSRARQVVSIGFIFAVLASYVVADSRIAIASGVAFLTA QLLDILVFDKLRDKSWWKAPFIAGWLAAIIDTFLFFGVAFAGTDVPWVTLATGDVGIK LVINAMLLAPFRVLMWNLGRPRSVQA MIM_RS10050 MQEFLPQFIQQLINGLSLGAIYALIAIGYTMVYGIIGMINFAHG EIYMIGAYVGLVTLSALGINHGIPIPVVIIAMLLISAVVTGFYGYAVEKIAYRPLRGS PRLVALISAIGMSIFLQNWVAIGQGSRDMAVPNLLAGSFQFSLGGDFAITLTYSRLMI MGVVFVLMVALTLFIRHSRMGRASRACSQDMHMASLLGIDTNRVISFTFILGAVLAAV GGVLIALTIGKLNPFIGFIAGIKAFTAAVLGGIGSVPGAILGGLLLGVAETLAAAYIG SEYKDIVAFGLLVLILLFRPTGLLGKPEVEKV MIM_RS10055 MTQNLKNAIIATLVAGILLTPIFGLGLVRKGINTTIVPEWTVVL IGMAIVFVVQLLKPLIFKGNKTAPAKKLRSDKHAVLGQKTMFGLFAVMLVIAVVWPFF GDRGQIDIATLVLIYVMLGLGLNIVVGFAGLLDLGFVGFYAVGAYTYALLYHWAGWGF WQALPLSGLFAALFGFVLGFPVLRLRGDYLAIVTLGFGEIIRLLLTNLTSLTGGPDGI SGIPKPSVFGYEMTRSAPEGQQTFHQLMGWKFETVDVVIYLYLMALVLAVITFVISAR LIRMPVGRAWEALREDEIACRSLGMNPTKIKLSAFTLGAMFAGFGGAFFAARQGLVNP ESFTFIESALILSIVVLGGMGSQIGVILAAVALTVLPELARQFAEYRMLIFGLVMVLM MIWRPQGLLPVKRPHVEIGK MIM_RS10060 MSDVVLRVESLKMRFGGLLAVDSVSLQVQKNEVFAIIGPNGAGK TTVFNCISGFYKPTEGAIDLHGQGLTGLPSHKVAQRGVVRTFQNVRLFKTLTALENLL VAQHTQHESSFLAGLLNLPSYRRAERKTLEAALFWLDFMGIREYANREAGTLAYGHQR RLEIARCMITKPTLLLLDEPAAGLNPQEKKDLAGLINRLRHEFDLSVLLIEHDMSLIM GISDRILVMEHGKPIVTGAPEVVRADPRVIKAYLGEE MIM_RS10065 MLKLDKVSTHYGAIQALNSVSIEVNEGEIVTLIGANGAGKTTLL MTVCGNPRASSGTIRFLGQDITTEPTSLIMRRGIAVSPEGRRVFPDLTVLDNLKMGGF FLQRDEIEQGIEHVFGLFPRLKERATQRSGTMSGGEQQMLAIGRALMSKPRLLLLDEP TLGLAPLIIAQIFDIIQTIRDQGVTVFLVEQNANKALNVADRGYVLETGNVVLADTGA NLLVNDEVRKAYLGH MIM_RS10070 MITLHHLEASRSFRIIWLLEELELSYQIERHERHPETMRAQSSL KAIHPLGKAPVLCDNGFTLIESGAIIEYLLNRYDNRGLRPPVCSEDYLRYSQWMHFAE GSLMPSGLLSLVLSKMANARVPFFARSIVKKTVNSTLDSFVIPDLNVKLDYLDTELGN TGWFCGSHFSAADIQMGFALQVLHGRGLVADNLLNIKAFLEAIRLRPAYQRAKEKAGL LTLSLPGKNESPEPTVAQPAEEAATSVPADETR MIM_RS10075 MHFRLSLMLALAAPLVLHAAVAQGIPDVPGATQSQRPTTIYPIA NHLPDLSFSLNASEGKTLTEESVKGKVVMLFFGYASCPDICPTTMAQLSEVMQQLGPR ASEAQILFISVDPHRDTPEVLQAYVNAFNNGAIGLTGSEQQIASVARRYRVAYQINKP KDASNPQAYEVMHGRGIYIFDKEGHARYLASDSETPEQVTEKIRTLL MIM_RS10080 MTQTQDVQTANAGSNPLLAPISDLIDYASIKPEHIAPAIEALLA QTRQGIEALISDNTQPDWDHFIEPMEALSSRLWRAWSVAGHLNAVINTTALREAYNGM LPVITEFSTWIGLNQDLFARYLAVSRSAEFASYTPTRKRIIELALRDFRLSGVELEGE QRQRYAQLNEEIALTSQKFSENALDAMDNWHYQVDDAAMLEGLPQDVIDAAAQAASEA AALADATDAADVATRADNADKPASAGWRFTLKMPSYLPVMQYAKNATLREALYRGYAT LASELGDPQYDNSSVIEKLLDLRLEDAQLLGFSNFAQMRLQTRMAQSAEQVIDFLRDL AAKARPFAQRDVAALRDFAATELGMTTLEPWDYTYVSEQLRQARYAYSDEEVRQYLSE EKVMQGLFGVIKTLFSIDLVPFDAPVWHDDVRVFQVQEQGRAIGYLYTDLYARKGKQS GAWVDSERSRHVTGSLSIMPVVYLNCNFSRPQGSKPALLTHDDVITLFHESGHALHAL LSKVDEPAASPFASVEWDAIELPSQFMENFVWEWPVVCSMAVHWESGRQMDRDLFDRL LSARNFQSGMQMVRQIEFSLFDMLIHTSAEPIKIDAVMQILNQVRQEVAVIMPPSWNR FAHNFSHLFAGGYGAGYYSYKWAEVLSADAYSLFEEHADSDHGTLNPKIGQLFKDQIL AVGGSRPAAESFEAFRGRGPSPEALLRHSGLVAEAA MIM_RS10085 MTARIIDGKQLAEKLKLQLVPQLARLKEQGIVPSLTVILVGDDP ASQVYVNHKANTFNKLGLTSSVETYPAQMTEAELLERIHTLNNDPAVNGILVQLPLPP HFNADTVIEAISPAKDVDGFHVSNAGALMIGKPTFIPCTPYGVMKMLEDEQVNIRGAE AVVVGASNIVGKPMALLLQKAGATVTICNSKTRDLGAQTRRADILVVATGKPEMITGD MIKPGAVVIDVGINRGQDGKLKGDVQFESAREVASAITPVPGGVGPMTIVMLLINTVH AAEQQNLKQKR MIM_RS10090 MNNPGSIVYIVDDDEAVRDSLRWLLEANGYQVVAFDSAEKFLEG YNPNVVSVLIADVRMPGMSGLELQETLVARKATIPVVFITGHGDVPMAVSTMKKGAVD FLEKPFNEADLREIIARMLEQAINNASEQQARKNHEELIGRLTAREQQVLERIVAGRL NKQIADDLNISIKTVEAHRANIMEKLEVTTVADLMKVALVK MIM_RS10095 MPELPSKSLLTSSFYDSAKFKKRGLYWITPVFVLVLYLLVMAGF FWLQRLHSESVMFITMDEETRQQRLLFFVIALSLIIIISLLALWRYTRFRSHAEAALQ AETSFRRAMENSMSTGMRVLDMQGRIAYVNPAFCRMMGWNEADLLGKSTPFPYWVPGR YDEHQRTLDILMSGKTPSTGLEVEAQRRDGSRFTSRMYVSALRDPNGEQIGWMTSMTD ITEPKRVREALAAAHERFMTVLEGLDDAISVVADTVNGRELLFANRTYRRLFGSQPQG HAELLAGRRGRFTEDSIEQYAPSVNSWFEVQHRMLVWTDGRRVRLQVARDITERRKHE EESRNQQEKIQLTSRLTTMGEMASSLAHELNQPLTAIANYNMAAVAMLKSGRATNESL LSALEKAANQAERAGKIVSRIREFVKRSEPRRQRVPVEEIVEHALDFAEIDARKRQIR IEAIIPDHIPHVLADPILIEQVLLNLVKNGLEAMNHSEHDLLTVEIKLQGQLVEFIVT DRGHGIQEPERLFEPFFSTKSEGLGMGLNICRTIIESHHGRLWAQANPEGGTLFHFTL PCAPQEPNDTPIKEELTV MIM_RS10100 MSTSDNPQTGKSTDVDSVETQEWLDSLEAVLDREGPERAHFLLE RLTDLARRSGSNIPYSPNTAYVNTIPPGLEPRHPGNMAMEERIRSYVRWNAMAMVVKA NKHNPADGGDLGGHIASFASLATMIGCGQNHFWHAATEDHGGDLVYFQGHSSPGVYGR AYLEGRLTDEQLDNFRQEVGGKGLPSYPHPKLMPEFWQFPTVSMGLGPLMAIYQARFL KYLHARGIADTSKRKVWVFCGDGEMDEPESLGAISLAAREKLDNLIFVINCNLQRLDG PVRGNGKIIQELEGEFRGSGWNVIKLIWGGYWDPLLARDKEGILRKVMQETVDGEYQA CKANDGAYVREHFFGKDPRLLEMVSRMSDEEIWRLNRGGHDPYKVYAAFDAAQNAVGQ PTVILAKTIKGYGLGAIAQGQNPAHQQKKLDIDSLRGFRDRFNIPVTDEQLENLPYYK PSEDSPEMKYLREQREKLGGYYPSRRPQAEEKLKVPALDVFKAVLEPTAEGREISTTQ AFVRFLNQLLRDKQLGERVVPILADESRTFGMEGLFRQIGIYAPEGQKYTPVDKNQVM YYKESADGQLLQEGINEAGAFASWIAAATSYSTNNRIMIPFFIYYSMFGFQRVGDLAW AAGDMQARGFLLGGTAGRTTLNGEGLQHEDGHSHILASTIPNCVSYDPTFAHEVAVIM QHGLKRMVENQENVYYYLTLMNENYGQPGLKEGDAEGIIKGMYKLQSTSGGKHHVQLM GSGTILREVMKAQELLKQDWDISSDVWSVTSFTELRREGLDVDRHNLLNPADKPRIPY ITQQLETTDGPIIASTDYMKLFADQVRDFMPKGRTYRVLGTDGFGRSDFRYKLREHFE VDRHFVVLAALKALADDGTIDKSKVSEAIQKYGIDTNKANPHHA MIM_RS10105 MSNVIEIKVPDIGDFSEVEVIELLVAPGDTIKEEQSLITVESDK ASMEIPSSAAGVVKAVNVKVGDKVAEGAVILTLEAGQAAAADSKPAASSAADEKANPA QEPAQAASAPAEPAAQSAAGGTVEVTVPDIGDFSEVEVIEVLVAAGDKVKQEQSLITV ESDKASMEIPSSDEGEVEAVLVKVGDKVAKGTAIVKLKSSAGSAQAPAAAEPAGKPAD STANKAQSEPAAATAAAPQAGSAAQQRVSPTAAFGSAVSARSLPHASPSVRKFARELG VDLTQITGSGEKQRITQDDVRKFVKAALAVGTSASSTTTRSQVGGGGLSVLDWPNIDF SKFGPIESKPLSRIKKISGANLHRNWVMIPHVTNNEQADITDLEAFRVSMNKEYEKAG VKFTMLAFLIKAVVSALQKFPEFNASLSGDNLVLKQYFHIGFAADTPNGLVVPVIRDA DKKAVSQIAKEMSELAKLAREGKLSPNQMQGGCFSISSLGGIGGTSFTPIINAPEVAI LGVSKSSMQPVWNGKEFAPRLMLPLSLSYDHRVIDGAAAARFNAYLASVLADFRRVLI MIM_RS10110 MMSQVEIKVPDIGDFDAVEVIEVLVAQGDTVKEEQSLITVESDK ASMEIPSSAAGKVVSLSVKVGDKVSEGTVILMLEAAAAGAQAAASDKAAAQPAAKSEA AAQKPAASSAAAEQASAAADQRPAQAAADSSEVRYSPPASAPADGCDVLVLGAGPGGY SAAFRAADLGLSVVLVERYATLGGVCLNVGCIPSKALLHSVAVLEEAKHLSENGITFG EPAIDLDKLRASKDKVVSTLTGGLTGMAKARKVKVIQGLGQFADEYHLTVQKDDGSSE TVAFKHAIIAAGSQSVKLPFLPEDERIIDSTGALKLKSIPKKMLIIGGGIIGLEMGTV YSALGARLDVVEMLDGLMQGADRDLVKVWQKKNEGRFDQIMVKTKTVSAEAKPDGIWV KFEGEAAPAEPQRYDLVLQAVGRSPNGAKIGADKAGVQVTERGFINVDEQMRTNVPHI FAIGDIVGQPMLAHKAVHEAHVAAEVIAGHKSYFDVRVIPSVAYTDPEVAWAGLTEEE AKKQGIKFEKGVFPWAASGRAIANGRSEGFTKLLFDAETHRIIGGSIVGTHAGDLISE VALAVEMGADSVDIGKTIHPHPTLGESVGMAAEVAHGTCTDLPPVKRK MIM_RS10115 MSAAPTSKHSLADWLAYLESLHPTAIELGLDRVRTVAQRLQACE GGGVKIIVGGTNGKGSTCAMLESIYLAAGYKTGLYTSPHLIDFNERIRVRGELATDEQ IVRQLALIETARGDISLTYFEYTTLAALLLFKEYQTDVAILEVGLGGRLDAVNIVDAD CSIVTSVDVDHVEWLGDTREKIGWEKAHIFRKGKPAICSDPQPPQSVLDYAREIEADL WLFGHDFNYSADKQQWAFAGRHQRRNALAYPALRGANQLLNASAALAAIESLRDRLAV PQQSVRQGLIQAVLPGRFQIIPGQPTVVLDVAHNPQAAAVLAHNLDSMAYFPYTHAVV GMVNDKDCDEVIKKFGRKVDHWYCASLGGERSRSGEDIARRIRQQFPADAEGLPSVTV FDSPAAAYESAHSKAADNDRIVVFGSFLTVAAVLQHLGRPAV MIM_RS10120 MGLFNSQDDNRPGQRRTQSEQNQANDLRVKARNRLIGAIILVLA AVIIVPMVLDTGGSDNTPTPAQKPPLVSGGGSDSNSLSVTTTPGVESNGPSSTSQQAT VDGSAPQTPPVSGSVASGTAEPPQTPETTPATQDPGLTGLTEAIDPNRSIASGTANQP VTPEDQAARQKQEAEAAAKAQADAKRAAERKKAAASKPAEPPKKPGVIRDDNRTDDGS RALAILEGREPAPAEKSKPKVTSGDYSLQIASYGSSDDAQSRRSKLAADGVSNAYVQN SVVNGKQIYRLRVGPFKSREAAQAAQTRLRSLGYDNGFISSN MIM_RS10125 MTEFDYTCLGIIAVSGLLGLMRGFLKEAFSLIAYAAAFIGAIWW GPAVYPWVMQYINNALISMGIGYAVVFIAILLVVGLVNVTLATLIEATGLGPADQGLG IVFGIVRGVLIILILVVLAGYTPFPKEPWWVNARFSPMAMMAVQQIKLRLPDSIGSYL PY MIM_RS10130 MCGIIGIVGRAPVNQLVYDSLLLLQHRGQDAAGIATAYGNHFNM YKAHGLVRDVFRTRNMRDLPGHSGIGQVRYPTAGSSDSLEEAQPFYVNAPFGITFAHN GNLTNWRELREQLFKVDQRHINTNSDSEVLLNVLAHELQSAAKGSTLDPAAMFSAVAA VHRRVKGAYSVVAQIAGYGLLAFRDPFGIRPLCLGKFDSEQGAEWMVASESVALEGSG FDFVRDIDAGEAVFIDLDGNVHEQQCADNPVLTPCIFEYVYFARPDSTISGVNVYNAR LKMGEYLADKVARQLRLREIDVVMPIPDSSRPAAMQLASKLKLEYREGFIKNRYVGRT FIMPGQAVRKKSVRQKLNAMSVEFKDKNVLLVDDSIVRGTTSREIVDMARAAGARKVF FASAAPPVCFPNVYGIDMPTQDELIANGRTPEEVAAEIGADGLVYQDLNDLKAAISDL NPAITQFEASCFDGQYVTGDVDSAYLERLRLTRHQVKEGQAVGGLQFNMGYAANA MIM_RS10135 MSISTNNATPDFGRLLLSLSGQLSRQWRKVLDRRLQPMGLTEAT WLPLLYIARATEPMRQKDLAAQMGLDSSSVVRLLDGLQTAGYIQRLEGTDRREKIIHL TDSGRQTVSSVERVVKEGRRRLFQDIDAAELETTRSVLQQLLVTLDSRDDAFWSDRDG SDSHAKK MIM_RS10140 MQKNKAIRAPLWLLVLVTLSGTLAMHMFVPALPDAATDLHASMG AMQMTISLYILGLAFGQLVYGPLSDGFGRRPLLLAGLSIYAVAGVAAAFAQNESTLVG ARLFQALGGCAGLALGRAIVRDTAAGDSAVKDQALLNLIMMAGPGLAPLLGSFLALHF GWRAVFYVLVLLGVITLLSTWKLLPETGRPTGKVRPAVLAADYTSLLRSPSFLGYALG GGCATTAIYAFIAAAPFVITMDLHRPANEVGLYLALLIVGMSVGNAATRQLIGRYSLE RLLIGGNAISVVAALLLLTLTLADQLHIISVTALMFVFSMGAGMASPAALTKGLNVDR HLVGSAAGLYGFTQMSVGAICTSLVGLGDNPALTAFTVLACTAILGQAGLWFGMKQDR RMAHNVLASDATTNHH MIM_RS10145 MTQTRLNLIALLCLLALGVALISQHVFDMPPCAWCVLQRLILLV IAAVCLLANLVPGTIKRLIALLTFALTIAGIVAAWYQYTVAAEMVSCDRTFADIFMSK TTGLDGMVPWLFGIYATCMDAKVSVLGVEYVLWALLLFVVLLGLSAYALFGNTRGRSR LA MIM_RS10150 MSINDYILIFSRSFLFVLATLLPIVNPPAVAPIFLTMTEGASAS TRKLLAKRISINVFVMLTLAALFGNMVLSFFGLSLPIVRVGGGLLVVASAWQLVNAKD PDTDAHEKLVENYSQEKVKSSAFYPLTFPIACGPGSISAAITVGASFDFISAGAGVMS VGAMVLAVISVSAILFFCLRFAARFLARLGASGTAVFMRLSAFILLCIGVQIMWDGAH ELIMRLFADIYSTYSRTSL MIM_RS10155 MNTVSPAIAIRNRLQEQKTQVLDTYRQDRSRPDSLLVALRKCTD QAMKELVASFPLPAGASLCAIGGYGRGELYPYSDVDLLILLQQPPKDSDKALLEQFVS ALWDLGLDIGHSVRTIDECLSESAADITIETALLELRFILGNRKLVTTLEARFREQLN PQDFFLAKQLELQQRYARYNETPYSLEPNCKESPGGLRDLQMIRWVSLAAGLSGSWRD LVAHDMMTPDEAAKCAKAEQAFKRLRIDLHLLAGKRDDRLMFHTQPQLADVYRIKAKD TRRPGEILMQRYYWAARIVYLMNHIMMQSFREHFFPVKDCSVLIDEDFCRVGQQLDLV ADDAFERKPQLLLKAFLVLQQHPEVNDMSAKLQRQIWRSRHRIDAQFRRNPVNKWLFL QILQQPTGIVHSFRRMTMLSILPQYIPVFRKIVGQMQHDLFHVYTVDQHTLMVIRNIR RFTMPEHAQEYPLASRLIADMDQHWLLYVAALFHDIAKGRGGNHSTLGALEVRKFARD HNLDPDDRALVEFLVEQHLTMSSFAQKRDLSEPSVIQEFADIVGTERRLTALYLLTVA DIRGTSPKVWNAWKGKLLEDLYHYTLTALGSNVFDRQSILTQRKNDAIALVRFSGISD EDRDQFWNTLDVAYFLRHEARDIAWHTRLLYRMLPQVGAEVRARPTENNEGIQVMVYT PDRPDLFEQICSYFYEQRIDILDARIHTTRQGYALDSFVVDSAAIDADIRSNVPLIEH GLRNRLNEPLPAQNEPLTLKSNRYVVGANVRRSRTFPLVPLIELSPDENSQYWRLSIT AMDSPGILYALANTFKRHQINLQMAKVMTLGDRVEDIFILGGNALDNPRTQMQFKRDL LTAINNLTTQK MIM_RS10160 MATLTNQQDIDAMRAACEDAARVLDHIAPHIKPGITTGDIDRIC HDFMKELGVESATIGYQPPGYPPFTGAVCTSVNHVICHGIPGDKKLKSGDALNIDVTI IKDGWFGDTSRMFYVGAPSILARRLTEITYDCMWLGIEQVRPGATLGDIGHAIQKYAE SNGFSVVREYCGHGIGQRFHEDPQVLHYGKPGEGLVLKQGMVFTIEPMINAGRRETRV LADQWTVVTRDHSLSAQWEHTLIVTENGYDVLTRSPGMPAPPAFVKGLS MIM_RS10165 MSLMREMLEAGVHFGHQTRYWNPKMSQYIFGHRNKIHIINLEKT VANFLEAQKFARQIAARGGNVLLVGTKRAAREIVAEEAARCGMPYVDSRWLGGMMTNF KTVKTSIKRLKDMEAAIADGSKERMSKKEGLMFDRELDKLNKSIGGIKDMNTLPDALF VIDVGYHKIAIQEARTLGIPVIAVVDTNHSPDGVEYVIPGNDDSAKAIALYAKGIADA ILEGREQNLNGVVETIVEGEEEFVEEVPESN MIM_RS10170 MAVITASMVKELREKTDAPMMECKKALSEAEGDMARAEEILRVK LGSKASKAASRVTAEGLIGLYIAPDAKSGAVVEVNCETDFVAKNEDFISFVNSVAQLI AEKNPADVVALADLPMGEGTVETTRAALVGKVGENMAIRRFSRFETEDQLASYVHGGR IGVLVDYKGDAEVGKDLAMHVAATKPKALDASGVAQADIDAERSVAEQKAAESGKPAE IVAKMVEGSVAKFLKEVTLMNQPFVKNDKQSVEQMLKERKGAISRFSLFVVGEGIEKK STDFAAEVAAAAAGN MIM_RS10175 MSSKYKRVLLKLSGEALMGEDAYGINRSTINRMTGEIAEVSRMG VELAIVIGGGNIFRGVAPGAQGMDRATADYMGMMATVMNALALQDALKGHGVVTRVQS ALNIDQVIEPYIRPKALRYLEENKVVIFAAGTGNPFFTTDTAAALRGAEIGAEIVLKA TKVDGVYSADPNKDPTATRYSRISFDEVITRRLEIMDATAFALCRDQKLPIKVFSINK PGALARAVCGEDEGTLVHV MIM_RS10180 MSISELQKSAESRMNKSLDTLKTNLSKIRTGRATAGILDHVSVD YYGSAVPVSQVAAVNVVDARTLSVQPWEKQMAGPIEKAIRESDLGLNPVSMGDSIRVP MPALTEDRRRDLVKVIKNEGEDAKVAVRNLRRDANDGFKKLLKDKDISEDDDRRAQDV IQKLTDRFVAEIDKIVAQKEAEIMTV MIM_RS10185 MKSSSTLCVPETGSIPRHIAIIMDGNGRWATRRFMPRTAGHAKG VQAVRRVVEYCGANGIDYLTLFAFSSENWRRPQEEVSLLMKLFVQALEKEVSRLNSNN VRLRVVGDLSPFEPYLQKLIRDAEAVTNGNSGLTLTIAANYGGRWDILQAFRKMLQAH PELAQETEQVSEQMLNEYLCMHWAPEPDLFIRTGGESRISNFLVWQLAYTELYFTDCY WPDFGAKDMDRAIEWYRGRERRFGRTSAQISDDSPSA MIM_RS10190 MLLQRIITAVVLLIVLLLVTVFLPPVSFRVFIAIASAIAMWEWL RLTATASAARMIALVYLIAAGWVAISGFDDIAVVGPGAAIPGAPWAGWMGQMATAVAA LGALFWTVVVPFILSRADTQRTAASTLISLAAIIIVGGSALALAMLHQQHGAWFIFSF LAVIWCADTFAYFGGKHFGGAKLAPAISPGKTRSGAICGLVAAVIWMLATMFIEGSFA AYVHQYFPAVVVLLVGAVLAIYSMIGDLFESLIKRRAGVKDSSNLLPGHGGFWDRFDS ILSVTPLVLALWMLIRHYY MIM_RS10195 MQNITIFGATGSIGDSTLDIVRRHPDRFSVYALSAQRRMQKLAQ LALEFGAKVVIVPDVAAVDVFRANWPAHQALPEIRMGERGLCETARDPETDCVVAAIV GIAGLASAFEAAQAGKRILLANKEALVAAGSLFMQTVQAHNAQLLPVDSEHNAIFQCL QNGREQQHIQRLILTASGGPFRNTAIEELEHVTPEQACRHPNWSMGQKISVDSATMLN KGLEVIEAHFLFGLPADRIDVVIHPESTVHSMVEFIDGSLLAQLGNTDMRIPISYVMG YPERIDSNTPAFDLRKLQQLNFSLPDFGRFPCLKLAFEALRAGQAECIALNAANEIAV AHFLQGGLRFTQIAQVIEQALNWQSGQDSAIGHIDDVFQLDLLVRERARAFLPASV MIM_RS10200 MLSSIVFFIITISIVVAFHELGHYLAARVCGVYVERFSLGFGKV LLSRRDRHGTEWVISALPLGGYVKPQAEPEAGAMGRPGRGAMSEKSPWQRMLIFAAGP AFSLLLGILIYSVMYMAGSNVPKAILGAPENGTPAQVAGVKKGDQVVGVNGTTIHSWT QLQQQLLEPMILGQSVTLQLRAQNNTDRDVTIALLPAPKDLEGVNLSRLNGLDIDAPP PVAAQVIAGGAGEAAGIKQGDVIMQAGSRVEPDARAFIEEIERNGGQRVPLVVLRDGQ RLTLDVTPRPTQGTDGVSQGKIGVALQSGYPMTFVRYGVFESVALATTRTLDTAWFSV KMLGKMLIGQVSWKNISGPVTIADYAGKSASLGLERFVEFIALITISIGVLNLIPIPG LDGGQMLFAFFEILRGRPLPAFVQEKGLAVGYMLLLALMVVAFLNDVMRITG MIM_RS10205 MSLRQLSSMKKKAIPALVAMLILPQASFAFAPFVVQDIQVRGLR STDPATLFNYVPARVGKQFTEAEATDSVKRLFATGLFNDVNISTRNRIVYVDVVERPV ISSVTFDGMKAFDSKTITETLSGVGFGAGRAYDEALLERAKNEIRSQYVSKGHYGTEV TSAITPLPNNRVGISFNVQESGISRIRDIHFVGNEAFSEGTLRDQMDMTTPGYMTWYT GTDKYSREKLDTDTENIRKYYLDRGYLDFSMDSPQVNITPDREDISINLTVNEGKPYK LRKVQLAGNLMGLNNELQALIVPKPGETYSEERAKETTDAIKNYLGGLGYAFANVNAN PVTDKETQEADLTFFVDPGRRVYVNRIDIGGNVRTRDEVIRREMRQQEAGWYDENRLS QSKDRINRLGYFNSVDVTQMPVAGTDDQVDVNVDVKEKPTGLINLGVGYGTTDKLSFT AGISQENIFGSGTDLGLQVNTSKRYRNISLTHTDPYWTTSGISRTTSLYYRTETPLNY NVEQDEDSYRTRTIGLGMNFGVPISENDRIILGATFENSKIKLPSEDSGYLIPKAYRD FVDDYGESTNVLMLNLGWRKDTRDNALAPTRGYLSSVQGTLGVGDLKYYMLSAQQQYY LPLGKDYTLAFNLSADYGRSLSKDKPFPVIKNIYAGGIGSVRGYEGASLGARDPVSGD YLGGSTRVVGNVQLYLPFPGTHNDRSLRWFVFADAGRIGTTGKSTCTTGNADEGGIVE DPCGWRYSAGVGLSWQSPLGPLEISYAHPIRPKPGDNKQKFQFQIGTSF MIM_RS10210 MSFSLAHTKFNKRTINQLLVATVLGVSASSVAFAQTAGKAADKK PANASSAPAAADSAPVSNIKIGFVNTEKILRDSAPAKDAQTKIEGEFKKRDAELQKLA STLRTKYENFDKNAPVMSDADRTKAQRELSDLDTDLQRKRREFQEDFNRRRNEAFSGI VEKANAAIKNIAEKENYDLIVQDAVTVSPRIDITDTVIKALDNGK MIM_RS10215 MSMAQDPETVQAVTLDRLLSAADTQGLEWEIKNPQGRAMPVITG IASLTHAGAQSISFLSNPKFFEQLATTKAAAVMLPARALEHLPSHTPFLVVCCENPYL LYSRLSQWFEKQRIARLARTIHPTAVIHESARIGNNVAIGPHAVIEENVQIADGVTIG PSCVIGRQCEIGADSLLHARVTLYADVRMGARCIIHSGAVLGADGFGFAPDATQEPGG WSKIVQFGGVTLGNDVEVGANTTIDRGALDDTVIGNDVKLDNLIMIGHNVQVGDHTAM AGCVGIAGSTTIGKRCTIAGAAMLAGHITLGDDVHISGASGVMSDIRKPGRYTGVYPL AEHSAWQKNAAVLLQLAQLRRRIRALEKA MIM_RS10220 MQVDIQGIMARLPHRYPMLLVDRVLKMVPGKSIIAIKNVTVNEP FFNGHFPGRPVMPGVLIIEAMAQAAAIFSFAEEDGSCKHDISRKAYYLVGVDGARFRK PVIPGDQLQLEVTADRISKVICKYSARATVDGAVVAEAAIMCAIRDLDEQ MIM_RS10225 MTKLIDPTAIIHDGAKLHPSVRVGAYSVIHADVTIGEGTVVGEH CVIDGLTTIGKNNVFYRFCSIGGNPQDKKYSGEKTSLEIGDGNTIREFVTINTGTTQD VGTTRLGDDNWIMAYVHIAHDCQIGSHTILANSVQLAGHIHIDDWAIVGGSSAAHQYI HIGAHSMTGGMSAIRQDIPPYILGAGQPYRPAGINSEGLKRRGFTPEQIHAIKDAYKI IYMRKLSTIEATEEIVRYQKDHPDTASVLEPFVHFFETASRGVSRG MIM_RS10230 MSLVLSMVAGEPSGDLLASRIIQGIRARDSGLQASGIGGPEMQQ QGMQLHYPMDDLSVFGYVDALKNLPRLIHTYLRFRSSVLKLRPDVFVGVDAPDFNLRL EHHIRQRGIPTVHFVGPSIWAWRYERIHKIREAVSHMLVLFPFEEEIYRKEGVPVTYV GHPLAAQIPVQPDKLQARLRLGLEPDGKVLAILPGSRASEVAELAPRFLQAASLLQKE DPALRFIVPLVNEARQAQFNVIAQRYPVKNLHCFRNRVADHPIAWDIMEACDAALVAS GTATLETALFQRPMVISYVLTPLMRRLMEWKAGQDGPSLPWVGLPNVLLREFAVPELL QEAATPANLASACVRALTDTTYIAQTEEKFRQLHRELNRDTARLAAEAILATAQR MIM_RS10235 MTAGIDEAGRGPLAGDVFAAAVVLNPERPISGLADSKTLSAARR ESLALCIREEALAWSIASASVAEIDQLNILAATMLAMKRALEGLTASGERLTAELALI DGNQVPKGLGVPCHAVVKGDTLHACISAASILAKTARDAQLLQLHEQYPQYAFDQHKG YGTALHLARLKAHGPCPVHRSTFAPIRKLITVQTREGILA MIM_RS10240 METKFTPIAPDAELPHRKIIRSWLIPISQQNTFRAFLLLFVDYT LLLALLAGTIFLSAWWLKLICALVAGFVIGRLFIIGHDACHQSLTPHRKLNKWIGRLA FLPAVSPYSLWDVGHNVVHHGFTNLKGMDFVWCPKTKEEYDALSPMRRFMERVYRGPL GAGIYYIIEIWWNRMMFPTKGYMGTQRKVFFWDGLLVSIFGLAWLGGVLYAAHATGQS ALLLVLCAWFIPLLFWFYMIGFVVYIQHTHTRVVWHDNRAVWAKAQPFVSTTVHMMFR FKFGALMHHIMEHTAHHVDMSIPLYKLKKAQKTLEEMLPSRIVIQMFSWSWYFRTIKA CKLYNYQERYWTDFDGNKSPDVV MIM_RS10245 MKNIQSKDNLVVRQAMKVAAGRDKTRILLEGVHLCEEWLKRYDP PESVLVQASAVDRPEIARLLAITDTARQYLLADALFNAIATVQTPQGIMFVATRPVPA AISRLSTTALWLDRLQDPGNLGTILRTAAAAGVKDILLSAGCVGAWSPKVLRSAQGAH FALDIYEHQHFPDIRDRLDMPILASSLVPGARSLYEDALPAACLWVVGNEGQGVHPDI LTVADQCIFIPQSDAVESLNAAVATAILLFEQRRQHMRG MIM_RS10250 MPESQKKRTVFIVSDGTGITAETFSHSVLSQFSADFDFTLLREP FITSEEKAAKVAEKINATALEENTPPLLFSTLVKPEILAVVQQSNCIFLDIFGACVSQ LETALDTKSRPTIGLSHLSASSKQYRNRIEAINFTLAHDDGQFVHGLKEADVILVGVS RCGKTPTSLYLAMQYAIKAANFPLIPEDFERGALPKTLLPYRNKLFGLSIQPDRLSEV RNERRPDSQYASIKQCLYEVGEAERLMRRESIEWLSTTTKSIEEISTTVIQILGLKSP STGSY MIM_RS10255 MSYVVPFEKLRMSDVDSVGGKNASLGEMISQLASAGVRVPGGFA TTADAFRDFLKSSGLDKRIADRLSSLNPEDVRELAQAGAEIRNWITEAPFSEEFEKQI RQSYAELDADGKGSFAVRSSATAEDLPDASFAGQQETFLNVAGIDDVLDKIRHVFASL YNDRAISYRVHKGYAHADVALSAGIQRMVRSDKGSAGVMFTLDTESGFNDVVFITSSY GLGETVVQGAVNPDEFYVFKTTLASGKYPIVGRRIGSKLIKMEFNGERKPGENAVSTV EVPVSERNRYSLTDDEVIELARYAVIIEKHYQRPMDIEWGRDGIDGKLYILQARPETV KSQQTGSDVQLRYKLKATGKVLITGRAIGQKIGSGKVRVVSDINDMDQVQAGDVLVTD MTDPNWEPVMKRASAIVTNRGGRTCHAAIIARELGIPAVVGCGTATEDLKEGAEVTVS CAEGDEGRIYDGFLETEVEEVRRGDMPEIPVKIMMNVGNPQLAFDFSQIPNGGVGLAR LEFIINNNINVHPKAVLDYPNIDAELKKAVESAARGYASPRAFFVEKLAEGVATIAAA FYPKPVIVRLSDFKSNEYRKLVGGSRYEPEEENPMLGFRGASRYIADDFAECFRMECE ALRFVRDDMGLTNVEIMVPFVRTLNQAEKVIGLLADNGLKRGENGLRVIMMCEVPSNA ILADQFLEYFDGFSIGSNDMTQLTLGLDRDSGMELLAADFDERDEAVQFMLQRAIQAC LKANKYVGICGQGPSDHPDLAVWLKEQGILTMSLNPDTVVDTWQKLAS MIM_RS10260 MSELIIEDVTEGSGPEAKSGQQVSVHYTGWLKDNGQKFDSSKDR GQPFSFPLGAGHVIKGWDQGVQGMKVGGTRKLTIPAELGYGTRGAGGVIPPNATLVFE VELLGIN MIM_RS10265 MWIWFVVAAIALILELLTGTFFLLLVAVAAAGAGVVTWLGGELA WQLLAFSVLGIAGFFILKSSGLMKRGSRHGASRNTDLNLDIGQMVQVTDWSPINTAEV HYRGAKWQVRLDPAVNGKPAPGGYRIVDIDGIVFIVTPVSERPLTSSSS MIM_RS10270 MMDTSIIFLAILVVLVLVFLVKSIAIVPQQNAWIIERLGRYDRT LSPGARFIIPFVDRVAYKHSLKEIPLDVPSQICITRDNTQLQVDGVIYFQVTDPMLAS YGSSNYISAITQLAQTTLRSVIGRMELDKTFEEREAINSTIVSSLDEAATNWGVKVLR YEIKDLTPPNEILRAMQAQITAEREKRALIAASEGRRQEQINIATGEREAAIARSEGE KQAQINQAQGEAAAVVAIAEATAQAIGQVAHAIEQPGGMAAVNLRVAENYVDAFANVA KEGNTLILPANLSDVGGLIASAMTVVKATGQGK MIM_RS10275 MSITDNRKATHDYFIEERFEAGIVLEGWEVKSIRAGRVQLGESY VIVRDGELQLLNMHVSPLATASTHIHPNATRTRKLLLHKNEINKLIGKVEIRGYTLVP LNLHFRNSKIKLEFGLGKGKKLHDKRDSARDKDWQREKERIMKHDTRRRDS MIM_RS10280 MSTTVHRSVLLPYSAPDMFALVADVEKYPEFMPWCGGSQVLEKD DNGMTASVTISFAGLKQTFTTRNTHHFPDKIHLHLVDGPFSALNGTWEFIALAPDACK VQFALDYAFNSRSLEMLVGPVFNRIANSFIDSFTKRAEQIYA MIM_RS10285 MPEEQMTITVCYAHSAAQVWLSTITLPCGSTIEQAINASDVYAA CNITAADIADIGVFGVRKSPDYVLRMGDRVELYRQLTFDPMESRRRRAAHRKAGILKR KHLKKSKVAWQEYMGSTPVNNKKGND MIM_RS10290 MTAPVLFQEKPLASQGRYGVATLNQPAKLNSLSLDMCQLLTDQL TRWEQDPSIAFVIFEGAGEKAFCAGGDLHQMYASMQQNAGKPAADNAYAAQFFAVEYR LDYQIHTYSKPIICWGHGVVMGGGIGLMAGTSHRVVSESSRLAMPEVTIGLFPDVGGS WDLNHLPGRIGRFLAATGAILNAADSLFTGMADYCVRHADWSAIIADLERVDMAQTAG RRQLDQHIHEVLTQHSAAATLDAGPLQTHYALLSALCNNRDISSLYRAFAALADHADP WLVRAAQTMLKGAPLSVALGLTLQERALHLSLAQVFQLEYNVALNCCAHGQLQEGIRA LLVDKDKNPQWQPTSIEQITPAEIDALLACPWPSAADSPLADLGQVRI MIM_RS10295 MSTEPSGFTPDTPLHITPLSEYRILTIRGADAQTFLQGQFTQDV QAATTDSARLSAYCTAKGRMLASFIFWQQAQPQAPQDIVYQLMIRSDIAESFARRLRM FVLRAKVTIEETALTPYAYWAGENTILDTPLARPEPWQIIATESMLQFGLPATGGLQR GILIAEKGIASVQAQTGLPAQWAVLDILAGLPWVEKASQEMFIPQDLNLDAIGAISFT KGCYPGQEVVARSHYRGKLKRRMLNGICHNPPAAAVAPGTDITDSTNTKEPSGQIVNA ATLADTLYLLFEVRLEAAEHNQLVLGDENGPAITQLTIPYPLDKPALA MIM_RS10300 MKLFKYIIFSFLICVLLLAAGLAGKVWHWTKTPAPMNADVIDYS VPRGSTIASVAQNMAAAGIQIEPYLFVMYARYTGQDRQLKAGAYEAKRGDTPVDLLTR MAAGQTSKSRFQIIEGWSYRQIRATLKNNPNITQTLDNMSDAQLLEKLGVPYDSPEGL FFPDTYVFVPGDTDMDILRRAYTEGQQRLAAVWDARDSNLPLKTPYDALILASIIEKE TGHEMDRRRVAGVFVNRLDKKMLLQTDPTVIYGMQEAYQGVITKNDLTTDTPWNTYTR GGLPPTPIASPGLLSLQAAVHPERHKYLYFVSRGDGTSEFSEDLRGHNRNVNTYILKK GTQ MIM_RS10305 MKGFFLTLEGVDGAGKSSHIGHLRELCESLGHEVVMTREPGGTP ISEALRAMLLKESMHMETETLLMFAARQEHILSVIAPALASGKIVISDRFTDATYAYQ GGGRHFPAERIQILENWVQQQLQPDLTILFDVPLEVARERLEKSREQDRFEREGSAFF ERVRAAYHARVSADPGRFYTVDSSRPKADITQQLQDLVKTRLAQHAAQG MIM_RS10310 MTAIASFFPWQIASAGNWLSDRERFAHAWLIYGPPGIGKLTFAR AAAASLLCERPSGGLACGTCESCSWIANDAHPDLRLVLPDRLAQQYGLQEAATASDTK DETTTKKASGEIRIDQVRQLDSLLSVTTHRSGMRVIILFPAETLNTASANYLLKSVEE PAPGTVFLFVSHAPDKVLPTLLSRCRRLCLPMPARDEGLAWLQTQGLSESDADTWLHA SGGAPVGALTLSSSSTEPVSYWLGSFAQTLADRTMPDMAEYLPKLEKLQPEEWLGSLQ RLFTDLMLQSNGLPLRYFPRLAPFIKAMAAELSATRISQTSVWLARQQRIADHPLNAR LFIQSVLQRVCVTCLSKNG MIM_RS10315 MYVDSHCHLDFPQLQENLPGILDNMKRNQVSHALCVSVNLPDWP RIVSLIDTHDNLWGSVGVHPDYEDTPEPDVETLCRHARHRKIVAIGETGLDYYRLSEP LDWQRNRFRVHIRAANEAGLPLIIHTRSSAEDTLQLMREENAAGCGGVMHCFTESWDV AQRAMEQNFYISLSGIVTFKNAVQLQEVARLMPLDRLLIETDSPYLAPVPYRGKLNDP SKVIHVAEKIAELKQIPVNEVAEATTNNFFNLFKKIQ MIM_RS10320 MMYYRSKSLVLKKAVLASMLAVAVSACAATAGGSSGLWNDIKNN RASEVTQALSQGADPNVVNENGEPALIQAIRDQSMGVFDALAANPKTDVNAVNRTGET PLMYLAIIGDLPRVQKLVARGAKVNRLGWTPLHYAASKGHADVVTFLLSKGAYPNAPA PDGSSPLLMAVASKNADTVRALVAAGADPRAINQKNVSALDMARKLGNSDVLNALGTK MIM_RS10325 MTWYLKSRVAAMSSLSVAVGVACALAAGVAHAEQSYCDSPWLAA NTRLSGSVDGATARFSVLVNQVNRVSANQCTAQLTVDADAPIAGQRIQGTGPFTLTVE QGRSTLNGSFDASGLMNTLMKTSVQSALTGFFLKPANIVNPGDTLAPMSGQSNVNLTV TLQNGIPLNQINVSNASISTGPRKVGNLQSHETSVGTLQCMPVSYTATTSGGNLSGAP AGNGNATHSGTAQVTDWYCPEKGLTMESVVTRGSKKTRVVINSIQ MIM_RS10330 MSQDTSSNRQHALPSYLNSEDLGAWGIYLQQVDRVTPYLGSLQR WVETLKRPKRSLIVDIPVELDNGTVAHFEGYRVQHNTSRGPGKGGVRFHQDVCLSEVM ALAAWMSIKNAAVNLPFGGAKGGVRIDPRNYSKAELEKVTRRYTSEIGVIIGPAKDIP APDVNTNPQTMAWMMDTYSMNVGATATGVVTGKPISLGGSLGRVEATGRGVFVVGCEA ARDLNLSVEGARVAVQGFGNVGGTAARLFFEAGAKVVAVQDHTAAIRNTNGIQVHELL AHVEKHAGIKGFAGAEEVAIDDFWSVQTDFLIPAALENQIDARVANLVNAKIVVEGAN GPTTPEADDILTEKGVYVVPDVLANAGGVTVSYFEWVQDFSSFFWTEDEINHRLERLM REAYRAVSEVAKYNSVTLRTAAFIVACSRILESREVRGLYP MIM_RS10335 MLQSLVMISVGASCGAIIRWALGLALNNTFHSIALGTLVANLGG GYLIGLALGVFAAVPSIPAEWRLLIVTGFLGGLTTFSTFSAEVTILLHQGKIQWALLE IGLHVLGSLALTGLGFYTVTAFRRMYE MIM_RS10340 MIDLYYWTTPNGHKITLFLEETGLEYTIHPINIGKGDQFAPAFL KIAPNNRIPAIVDNNPNDHGDAISVFESGAILQYLAEKTGKFMATDLRARTQTLEWLN WQMGGLGPMAGQNHHFGVYAPEKIEYAINRYVKETARLYGVLDRRLQDREFIVGDYSI ADMACYPWVVPYERQQQNLDDFPNLKRWFEAIAARPATKRAYALVDQVNPGQPPNDDE AKKILFGINSDAK MIM_RS10345 MNVTLQDGSQLELSSLRDKVVLVVNVASKCGFTPQYTGLQHLYE QYRDKGLVVLGFPCNQFGSQEPGTDKDIAQFCELHFGVTFALARKTDVNGPQAHPLYV WLKREKPGLLGIQSIKWNFTKFLVGRDGQVLQRYAPTVKPQDIEQDIKDALT MIM_RS10350 MAAGNSLRTYTQTMGIAALVGSMASLCIGTSFAKSLFEAVGAQG TTAYRLGFSALLLWCVWRPWRFPLSRKNALAIASYGMCLGLINFLFYMAIRTVPLGLC IAIEFLGPLSLAIFSSRRKIDFLWIGFVALGLYLLIPQSQQDVSAIDPVGVMFAIGAG IFWALYIVFGQRTRNIHPGQATSLGITVAAMFVLPFGIAHSGATLLTPAFMLSGLLVA ILSSAIPYSLEMISLRSLPRKTMSILLSLEPAMGALAGLVILHEQLTLAQWLAIAFIV AASIGCATTSPAPPVTDTERDKP MIM_RS10355 MQLWSRIALSAAISGLVASSAYAAGDYPTQPIRVIVPFAPGGST DIVARIVVQEMSKIIGVSMVIENKAGAGGAIGAADAARAKPDGYTLSIATVSTMAVNP ACRPDDLTYDPVKDFAPVSNFVNTPNVVEVHPSFPASNFKEFVAELKKHPKEYSYASP GVCSIAHLMGEAFKQQLGVEISHVPYKGAGPALTDVVGGQVKVMFDNLPSSMPNIQSG KLKPIVLAWPERIKELPDLPTYAQEGYPDLNNGVFYGLLAPAGTPREIIEKLNKTATE ALKAPNVVAALQKQGAIPDPGSPEHFAEEIKKQYDIAKDIVKKGNLQMKRN MIM_RS10360 MTSAHSVKPSLWWSWGAPIVFILLWSSGFSFGKIGLQYAEPLTL LSLRYACVVIALLPVQHILGLSWPATRREWSNICIVGLLIQFIYFSFSYVGMKQGVSA GTVALIVSLQPILVGICAPKLTGERQSLLQWLGLALGLIGAVIVITSGASLQAGSWFG LVLVVISLFALTAGVLYERISSSGVAGPHPVTTNIIQCAIGLVFCLPLALLMETNHIE FNWPFTGALAYLVLCNSIITISLLLAMVRRQQAARVSALFFLVPACASVVAMFMLGET MAPAGWIGMTVAIAGVLLASTSTATTKKSTANTLTANAQTPGRAPHGRSTR MIM_RS10365 MDTNYLETFIKTLDCASISDAARYLGITPGAVSARIRALEDELG QPLIRRSGHTVRPTEHGLLICDKARQMIQDSRDMTAMVKSGNVLGELRLGSFFSGLTT HLPRLLEKTYEHHPEVSIFVSYAPSVELCHQVHSGKLDIALAIEPQFTIYKNCSWLKI QDEPLTLIVPPEYASAAAHDLLRTRPFIRYARSSYSGQLVDRYLKDNRIVPNQRLEID SLLTIASLVARGVGVALVPDSFSLESLGKSIRKVPLPGRTQIRKIGMIWSNQSPRVTI SKSIAMYARSLFN MIM_RS10370 MVKIQIRERSRAVSAALVKSFADIPVANISDVMQRLTAGGSSLR PMHAGGVLAGPALTVKSRPGDNLFVHKAIAMAAPGDVIVVDAGGDTTNAIIGELMVRT ASRKGIAGMVINGAIRDLDSISQGAFPVYASGVTHRGPYKNGPGEINTSISLDGMVIQ PGDLIVGDADGLLCVPYEQADDILRWASAKNALEAGIIRDIDNDRLDTAWIDVELAKL GFVPATQAEQE MIM_RS10375 MTEKVCVVRTNLWIDPVFDELLTGHDHIELRIIDVKASAQEWYD TLKHAHYYHVSAAKDELPAGLFVTEALLNHCPRLKGVSSSGAGYDTIDVAACQARQIT VVNQAGGNANSVAEMALGLLLAVSRKIVESDRVLVAQHASSREALMGHEVAGKTLGLI GIGHIGRRFAQIATALGMNVLAYDPYLTSQDIDERQAQPVSLDTLLAQADIVSLHCPL NNDTRNMFNADRFRAMKPGAIFISTARGGIHNEADLHAALNSGHLAGAGLDVWRQEPP AADNPLLGHPGVVATFHTAGVSHEGRHNVARMAAGQILLMAEGKTPPHVVTS MIM_RS10380 MKLILSGILATTALTVGLSQAHAQYPEHAISMVVSYAPGGGTDL VARAIAPYIQKYLGDGANIVVLNRPGAGGAIGFSEIKRAKPDGYTIGFVNTPNMLTIP IERKANYHWQDFDLIGNLIDDPDGFAVSGTSDIKTLKDLEKYAKENPGQVTVGTTGVG SDDHLAMMKFEKLTGTTLNHVPFKGAGEVRNAIQSGQIMLAAMNVGEVLAYQKGGTDI RLLGSMSEQRSALAPAVPTFKEQGYDVIMASLRGIAAPRGLPEEVAAKLRVAVQKASE DPEFVAKANAMFVPLRYMDNKTYHTELDQAEKEFKDLWQASPWSEKTQ MIM_RS10385 MARTDQALCLDDFEAMAQRYLPRPIFEYIRGGVETNASVNDNRR AFQEYAFVPRALVNVSAIDTSVSLFGQQYRSPLGIAPMGLSALSSYRGDLVLARAAGH AGIPMIMSGSSLIRLEEVASTAPQTWFQAYLPGDSEEIAKLVDRVARAGFGTLVITVD TPVAANRENNIRAGFSTPLRPSLRLLLDGLTHPRWVCNTFLRTCIQHGMPHFENNYAT RGAPILSKNVLRDYSDRGHISWDHLRAIRKQWQGTLVIKGILSRPDAATAIEMGADGI IVSNHGGRQLDGSISPLRVLSEIVDIAGAVPVMLDSGVRRGTDVLKALALGARCVFVG RPFAYATAVGGQNGTDKAIHLITSEISRDLGLLGVTRIQDLSAGHLRRISGIPADMCS A MIM_RS10390 MKSQMKLSRISQLCLGIGAAFAINAAAAQPQDVKATLTALNGSK EVQTLMSALKSDHERTIADLRNITEVEAPPFKEKVRAAYVLKRFKEVGLSNAALDSVG NVVGIRKGSGNGPILVVSAHLDTVFPEGTDVKVKEQNGRLLAPGIGDDTRGLAVVISW IKALNEHKVATVGDIMFVANVGEEGLGNLRGMKALFNEHKNIDGMVGLEPGEGNKVLT QGTGSHRYEVSFKGPGGHSFGAFGKVPSAIHAMGRAIAHIGDVQVPTDPKTTFTVGTV SGGTSVNTIAGDAKMAVDIRSNATPSLLEAEKHIMAAIEKGVQQENARWKSEGKITFD AKLIGDRPAGATPNDSVIVQAALESIALAGDKGETRAGSTDANVPMSLNIPAIILGSG GKSGGAHSLKEWFDPTDAWKGSQISFTTVLSLVGVQGVSEPLLKRTAK MIM_RS10395 MPIFEYGDTEIDWLSKRDKRMAQAIERIGLIRRNTMPELFPALI RCIVDQQISTAAARTVNARILQLCGGQINAESLLAAGADNLQRCGTSMRKVQYMLGVA EAVQSGKLDLSAISAMDDAQVIRTLTDLKGIGIWTAEMLMIFSLGRPDVLSWGDLAIQ RGMMRLYNHKDLPRERFERYRRRYSPYGSTASLYLWAISAQQNSVS MIM_RS10400 MKKHLFDFETLKKKLYTAVLSDVLDSMGYRNQAMLPFIRPLSEE YVIFGSARTGYFMNTFSVAPDENPYEHEIALIDDLKPDDVVVLGCDGPTTRIAPWGEL LSTASQYRGATGCVTDGLVRDIRYIRKLNFPVFHGGIGPLDSQGRGKMMNYDMPIECA GVKVRRHDLVFGDADGVIVIPIEIAAEVIEKALEKVESENHTREELANGLLLQDVYEK YGVL MIM_RS10405 MATQLSRRKFLTRMGAGAIITTSGLVTGINSAQAEAADVLADIK KRGFMRVGAFSVPPESWVDVGSGEWKGVDADFTLSIAKQIGVEVDPIILTHSAFAPSL DSQRVDVIAMLYRTPERAKVVAYNTRPTWYGIDVLVARKNDPIKKTSDLKGKPIGTVR GSAQELEALAIQKKFGTGDIHKYDTADPMLMDLKAGRIDGAIWWGYTFDYAQRQNPDY DFAVLEPLAPSYLGSDTLPANYYVFSKNGTASLIKAFDDGIEKIIASGENKAILAKYG MTNASYLTGKFG MIM_RS10410 MTDYFALLDKYFLYILQGAIITVELTFLALLLSVVIGLTVTFTS MSRVIWLKRIATIYIELVRATPALLQLFIVYYGLTNIGIRFDAFTAAVLTLGFIGGAY SAEIFRAGIEAIDFGQIEASRSLGMTAVQAMRRIVLPQAFVITLPPLTNFVIAMIKDT SLALTISVPEIMYRSYDAATQSYRSLAVYMMAGVIYLAICIPLSRLAKRLERKGDAS MIM_RS10415 MSKEIVMLQDVHLAFKDNEVLKGISLSVQEGQSVTLIGPSGSGK STLLRCINQLAKISSGKVFFDGQEITKSTDINQLRTRIGMVFQHFNLFPHMTVLGNVT EAPVQVLKLPAVQAREQAMELLRRVGLDDKSNAFPAQLSGGQKQRVAIARCLAMKPRV LLLDEITSALDPELVGEVLAVIRELAHQGMTMILVTHEMQFAKEVSDKVVFMDGGLIV EQGTPDEIFQNPKNQRLRKFLRAVIDQVAE MIM_RS10420 MKPITLRTVAQQARVSIATVSKVVNGINKGISPETVARVQRIIL ELNYRPNRTGRQLRTQRNYIVGMAIVDDSPTFLTDPFITQLVAGLSNFLGKHNYGLLL HGIAPESFEQSFLLKESVVDALCLMLSGPNASRRHFLDVVHASGHPMVVFQEKPRRSL TDCCFVNQDDQGGAEALALKIVARNPQQAFILVPELQWHAIDARVKGLCTVLDKHKCN YQIISSDENQRQSTLKALDTAMATHGLPDVVIGTNDRLAYVAYRYFIDAGHRIPEDIG ITGFNAFDADATLNPALESVQSPAYALGEAGGKALLSRLDTGSFESASLTLPVSPVRG TTLS MIM_RS10425 MLIALAIFILTMILVIWQPRGLGVGWSAMLGAALALMTGTIHFS DIPVVWAIIWNATATFIAIIIISLLLDEAGFFEWAALHIARWGKERGRVLFCLVILLG AAVSALFANDGAALILTPIVMAMLTALGFGPAATLAFVMAAGFIADTASLPLIVSNLV NIVSADFFHISFARYAQVMVPVNLISVAATLLVLAFYFLRDIPVTYNAAALKAPASAI IDRRTFITGWLVLAMLLLGFFLLEDSGIPVSVIASAGALILWIVAGQGHVIRTRKVLR EAPWSIVFFSLGMYLVVYGLRNAGLTGYITQALNLLADTGIWTATVGTGMLTALLSSV MNNLPTVLIGALSIETSQATGVVREAMIYANVIGSDLGPKITPVGSLATLLWLHVLAR KGMRITWGYYFRVGIVLTLPVLLITLAALVLRLQLG MIM_RS10430 MTSKQPEFLKNGHNPEDPSPWLASYLDQSTPLPEEVKQAWLVDS SSGSRQYLLPFLRPLARLCIILIQVVKTFLPRRWAQSALLHRILVWGLKRFVSPQANW LILRHFHLGSQILAFIAANSPAPITTSPLTPETIDELKDHLFVKHDINLYNFVIRLNQ ALQDADKRLGPVENPDFSMLHEPTVQLQDMPETRSNVLDLQSAIELFTPLYQLLLTDN DFWRAANSLQLDETIGIYAARILQAPEHLMLVNNHHPLVPLSTLRAGHRLVLHGLSSE MLHCLLLQKKREYEAKQAAPYDPVAEQAG MIM_RS10435 MPIEFDQVYLQQASYFLPGEPVDNERMDAFIAPLNRISGRIKQR ILAENGIKQRYYAIDPDGNTTHTNAMLASAAVTDCLQRGQLTLSDISLLASGSSGGDT LMPGFANMIQGQLSAPPMETVSIHGICAAGVSAIQAVAQGIQSGAHAHGIAVASEMPS RLFKRSRFASRGYDADFDAHFLRWMLSDGAGAVLLSGNHHAGQSILPGLRIRLKWIHQ RSFSGDYPVCMQLGLSADRQRGHLDYPSWAEAEADGALALRQDIRLLPHLFDIGIHEY VKLVRDKWVDPARIRHFLCHYSSEKFIPVVADLLDKAGLAIAREHWFSNLAWRGNTGA ASIMIMLAEFLQTKTLAAGDQILCYVPESGRFMTAYMLLEVEDDDAPSQPGAQSQPGD RQAIPPAAGVSTRSRSGELSTTLDAEDIGPPHDPAHAPQGLAPLLTELATIWHDYRSR VWRTPLVSALRERRFDTQDYINWTAHWIPQVRQGTQWMRKAVGSLSAQYAPLAELIKL HAGEEQNDFQILFEDYRKAGGTIEDIDTLRRNPGGEALNAYLHGLAETVNPIGLLGAI YIIEGTGQRIVPALLPLLRATLPLPADAFRFLEYHGHNDEHHLQRWLVAVSLALDCDN RGRAERQIVDTARRTAALYLMQFHHAKEYES MIM_RS10440 MTPQSNQSGAASGSFDPQHKRVPRLKYARDWQSLLYMAAFPALV IWQWLYGFSVALYLILLFLTLGIGVIHHNHTHVRMWHGKRANRCTDMLITLLQGHPTC VFFPTHVANHHRYKHGELDVARTYRFAAGDTNTLPGYLLHPFQAISVLYPAIYNWLGR MRRYYPGVWRYCIRQYVLWLLLWTTLLTLNPGKALLFVIVPQLHGLHWLLATNYLQHA HADGAAQGRQAGSPIAFARNFEGWVNPLLFNIGLHTAHHEHGRTHWSRLTQLHEQQYR SRTHPAMLERGLASYMIRTFIMGTFSQRYRSQSLMHSDANRLPPPTT MIM_RS10445 MGQVFLSLSAWQVIFWGLLFFGGIYLLLGSLTWLLTRHLLPSLG IGHVLDPRPVTAAQLRHELTWSGLSVLIFGVGMIFPWGLLQLGWAALDPNPSGWQIVV EILALAIWNDIHFWLNHRMLHTRWLRRFHLPHHRSVVTTPFSTYSFHPIEALMLGNVI LLPMLLHDFSFWALFSVPLFSLFFNCIGHANYDFFPNVSYAHWFAASRRHHLHHACYN GNYGFQFTFMDRLFGTRLPADAARAVVERVTRRRQAESIDAGPV MIM_RS10450 MNTPIRICALTLLSISISFSCSAQTVNDYPSKALQIVVPFPPGG SIDMAARLVGQNLSEAFNQPVVVSNRPGASGNIGMEYVARSHPDGYTLVFAPFSVATA SQLFLKLHFDPIKDLKPIIRVADQPNVLVVNPDKVKANNVKDFVAYLKANPDKISFGT SGIGNPQDFSARTFMVATGTQMLNVAYKGGAPALSDLMGGHIDVMFETSPTAVPYVQS GKLKALGVTSDKRLPTLPDVPTLDEAGISGYKAIYWMGLLAPAKTPDSIIEKLNKEIQ HILAKKEVRKQLEDISLYPAGGSPEDFKKLIEDESVIYAKLIKELNIPQQ MIM_RS10455 MTTNNHVLVNGYPSLANMAGMLRNGACTSVELTRHALQRIHALN DRLHAFVAVYEQEALAAAEAADRLIRSGCSLGPLHGIPVALKDNININGRPSTAGSTL LAHNIASSDAWITHRLKECGAIIVGKTHMVEFALGAWGTNAYMGTPRNPWGNETHLSP GGSSSGSAVAVAAGMVPLAIGTDTGASVRVPAALCGITGFKPTIGQLPGDGVVPLSKT LDSVGVMTQTAEDAALSFTVLSDKPANDSPSLSSATPAIMLEGLRVAVLSTEQLDGVQ PEILHAYQNAIDTFKNQGAHIQPLVLPISFDEIADIQSTIMLSEAAARWGHLAANEDL KMDASVRPRIMAGTQISATQYVNAIEHRDKLKRVFANQLKYLDVFLTPSTQWTARPIT EVDHGKPPVRYARIGNLLELCGISVQLGEDDNGLPIGLQIAGPNNGDMRVLEVAQSFQ ACTDWHQRRWVG MIM_RS10460 MFILNPPPQQISTELIDLLVSAEPAVIGHFRHTGFMSPRIRAHF KDRRIAGTAVTVRVPGMDGSMVHYAIGKARPGDVLIFDRCGDETIATMGGAVAYAARK AGVAGIIVDGLVTDLGELREYGVPVWSKGTSAVTVKTLGLGGEFCIPITCGSVAVRPG DAVLADENGILILPATDIETSVQRALKMMNDEKTTLRRIDNGEKYPDIVGTSAVITKV MEAQA MIM_RS10465 MSVSTVNWGSELHYTNCLSHRKDTYFNWDTSIFFMLQITFRQLE AFYWAGTLGTVAAAAKHLCISQPAVTARIKELETNLGLVLLLRSQQGVQLTPSGHAFL LRAQYMLQLGEEFEAGGRNEQPPLDGVLRLGADESSAAVGIAEILWQLRMRYPTLRMD LSIDQSSVLNGKLNRRELDITIQTSVLNRPHISNRVLGQVAVAWIAGASMDLADLPFR PDDAASVPLVINPHHSVLHSLARDWLGEARADQYQLNTCNSLAMIMKMVQKGHAMAVL PVPIVLEQLKQGQMKLVPADPPLPVISYYACFLSEKEAAGVGTIVDMASEILTECQFF ISSE MIM_RS10470 MATINFKLIRQLWMFLAVADEQHFGRAAARLGMSQPPLTEQIKI LEQSLRVQLFERNRRGTRLSAAGAAILPAVRQFAGQVEQLERVVKEVAAGQSGVLHIG AITSAMLDAVPAILGKLREQYPGLTVFVREIDSAEAITQLESGELDLAFVRFDGDNQG DINVLPLTEDRLAVALPKNHDLAMLTVVPIRKLAAEQMVMSSRQVSPAYFDKLTSICR TYGFSPRVLHEVRSVTAQIAYVSCGQGVALVPSSMSKLSPENVIVRPLKENIRVITAA VAWNIARHHPMVDASVALLSALYPDNERKIRQ MIM_RS10475 MPWPDHLARLAEFDTNTVSDALDFLQIPGATYGLRPLWNCPKIV GRASTIELGPKPDEKPTVHLISPVIDAITTNDRILVIGGGPEVVSCWGDILANAAKFK NIRGSVIDGASRDIEGSESIGYPVFGKGVTMISARNRIVQVDSGKPLQIAGVTVREDD YVIADRCGTVFIATEHIQQVLDLAERIARRQQGMVQAVCGGRSVADVMHDKEFEAIRA MIM_RS10480 MSDEDKALVALFNGLDTPGVSDALDKLGLHGQALGIQPLANYSQ VITGPAFTVKYVPASSPPGTVGDFIDQVAEGDVIVIDNNSRPDCTVWGDIMTQYAGIR GIAATVIDGVCRDVNKALGDGYPLFTAGRFMRTGKDRVQVESVNTTVGIGTVRVASRD IVVADSNGVVIVPRSRAREVAETAKKIEDVEAKIRERISQGDTIGQARQALGYHTLQR KS MIM_RS10485 MMNHAQRLNRFLAGAKSSATYAIMDRVAQKRAVGDKVISLSAGE PDFGTPAHIRSAAISAIQSGYTRYSQVAGLRPLREAIAEKFQVENGIQTDWRNTIVCN GGKQVIFNALASTLNEADEVIVPAPYWVSYPEMVQVCGGTPILVQCDAGSSFKLTPQA LEKAITERTRWLILNSPSNPSGAVYSEDELRALATVLMRHPQVLILSDDIYEHLVFDD KAFFTLAQIAPQLHDRILTMNGVSKAYAMTGWRIGFGAGPAWLINAMEKLQGQQTSGA CTISQYAAIAALAGPKDFIHQSRDVFQQRRDNLVGYINQIPGLQCDIPSGAFYGFIAC RDWIGRTTRSGTVLKSDDHVVSALLDEANVATVHGSAFGLGPYIRISYALDDAPLVDA CEAISKFRQAFVE MIM_RS10490 MIIEIFILLLLRQESASGSGKQQSTALSATSAAGRGLFIPGLRR AENHLWHVNEKETITRQKEKHMDKHADGGYRLARRDFIKGGLAVTAVIGTGTASAQWT PSLRYPDPGVEILDPSFTKYRIFNSSIERLATGFRWLEGPVWIGDGNYLLVSDIANNQ IIRWDEATGKASVFRKPANFPNGNTRDRQGRLLTCEGAVTRRISRTEYSGKVNALTDN FEGKPYNSPNDIVCKTDGSVWFTDPPFQLSNDYEGRISKQELPDSVYRVDAQTNKVHR VIDDLAGPNGLCFSPDEKKLYVVEGRAKPSRILWVYTVGADNTLSEKTKFLEVEGAGA LDGIKCDQDGNIWAGWGNSGAPGGDSAQLDGVMVFNPQGKAIAHIHLPERCANLCFGG ENNNRLFMASSHSIYSVFVNTRGAVAFD MIM_RS10495 MRITLSQMEAFYWAAHLGSIHAAARHLHLSQPAVSARIKELEDA LDARLFERTRQRVTLTDIGTAALRHADQALNSSRQLEHFRKDRSPGGKLRLGADECSA TVGLTAVIAKIKEHFPSLDLEITVDVGSILNQKLNAKELDIAILTNPSTGAEATDSFI GWMPFAWVASSSAPALPAPFKPTDAHGLSIATHSAPSTLYAVVDGWLHSGGVKARSLN TSNSLALIARLVAAGHAIAILPLPLLQEMLASGAMRTLPCSPPIEPAGFYISYMTATH NPGIDAIVELTKDTLLRLKFLTVDHPAHQQADADHA MIM_RS10500 MYLIHPLPPPICTQDLALLKRAEPATVGHFRTVGFMDSAIRAHV RDLRIAGTAVTVRMPGTDGGILHYAMGCVRPGDVLVIDRCGEAITAAFGGAMAYAASQ AGVVALIVDGCVTDLGELREHGVPVWSRGPSVVTTRVLGQDGEFCAPISCGGVPVQPG DAILADENGVLVLPRDQIGAAAQQAIAFQEHEKQTLARLRAGEKFPDIVGSRAAIEKN TVTAL MIM_RS10505 MKKIISTLVMATASVYANAQDLPKTHFKVVGGGSHNYTFGSTEK PFWEETLPKASAGQVTADLSGLSESGLKGPEVVRLMRTGAIDIGMGVFAYVSSDDALF EGVDLPGMAPDIDKAHSIAKAYRPVLDKRMRERHGIKLLATASYTAQVFFCREPVKQL SDLKGKKVRTRGRNMADYVSALGATSVTLPFAEVVTALQTGVIDCAVTGIGSGNAAKW FEVANNLYNLPIDWSVGFYGIGLKRWQKLDPAVQKLLEEQAQVLEDRFWAETKRENNF ALACNTGQGECQIHQKAQMVAAAPSAQEQETLQGIARKVAQEWGARCGKDCVQQWNET AGKAAGITLP MIM_RS10510 MELQTFAPKRMLDAVARCGAWIGGACLLVSSMLIVIDLVMRKWI GWSMGGADEIAGYVLAIVSAWAFPITLLRRSHIRVDVVYTHLPRQVRIGLDLFALFCL GVFVAVLTYHAWQVLADSISFNAVSNTPLQVPQWIPQSLWFAGYVFFLITILALMFCA VYLIVKRRPREVSALIGIHSVEEEINEEVVLETDPCPTHQALAVAEK MIM_RS10515 MLSFTVLFLLGLLAMSVTAAATVGVLGLALSELFSPLPLSNAIG ELAWGSSAEFLLVAIPLYVLMGELLVCSGIAGRMYGAVSKWVSWLPGGLMNANIGASA LFSATSGSSVATAATISTLALPEQRKAGYSAPLFLGSIAAGGTLGILIPPSINMIVFA LIANVSVPKLYLAATVPALILTSMFVLVIVGIGVLRPGVTGKPVEVTWRERLESLPHL LPPLAIFVLVIGVIYSGIATASESAALGVIAALGLAWSKKALNLTTLRHAFESTMRTT GMIILITLSAFFLNFVLSSIGLTTELVDFVVGLQLSPMGTMLALIAFYILLGCFMDTL AMMVMTAPLTVPIVVAMGFDPIWFGVMLILLCEMGQLTPPFGINLFVVQSIRAEGKFI DVIIGALPFCLALLLMLGLLLVLPQIALWLPTQFSVS MIM_RS10520 MNTPVISTVELHCEIADEVSFAPFGMLVRRPEKVAANLASGAVE SWRLPFTSGSDPQIMFNRYHDKGREFSVMEKHLHVSQCFFPLGGVPYIMVVGKGSDGR QSVAPSDVRAFYIEGDCGVLLWQDVWHSLARFPVGAAYIDLAFITDHDTQHEIERHLA GGPLPQQTEFVDFAHTHQTRFLVQDPQGRQG MIM_RS10525 MQFIRKRNENVRAFVHLAEEPVQERLLRSQSVPMLSGVPLAVKD LIDVAGMPTRLGCRAVDLRPQQHALVIANLLGEGAVIAGKSHTTEFAMSGWGVSPLGR PLNPIDASDVYFSGGSSNGSASAVAAGLVPAALGTDTGGSVRIPSSWCGITGLKGSPG WVSTKGVAALSQRFDVVGPMARTASDVRLLYRAMLPAARRQVLEKGLEQATAQPLPTL VFLDDASLPEADADMLASYRDSQARCEALGFPVSIARIPVSFAQMSQVWAGLSGTEGY LNNRQWVDDPAAPLDDAVRESLTQSGRTDLASYFNLLNRAGQYREQIESLLPAGGMLV VPTTSTPATRLTQFDPQRPLGIYTRFVNLIQGCAIAVPNGFTHDQRPTSMQFVAGYGQ DAAVLHAAIQWQAQTDWHEQVRRLHQQQMQ MIM_RS10530 MTKDTQSSPRKKALSAQVADQLRARIERGEYAPGDKLPTEPVLI EQFGYSRTVIREAIAALRADRLLESRQGAGVFVLAPPEPSELTLLLAPASDRISDIIE ELELRIGIEVEAAGLAALRRSPAQEAEILYQIEWFAQLSQAGKPTDEADFCFHMAIAA ATNNARFKSFLGHIGRRMIPRVKLRSITGGMDLPSRDHTILAEHSAIAAAISAGDAQL AREAMRTHLQIGLQRYRSMARKAGVSLPEQITDAKA MIM_RS10535 MFPQEIKKRISTGLLSFPVTHFNEDLSLNLESYQSHVNWLSGFD AAGLFAAGGTGELFSLTPAEIAATTKAAKEAAGEMPIIAGCGYGTALAQDIARLAEEA GADGLLLLPHYLMNVPQEGIFQHVKAVCDSTGLGVIVYNRANSVATADTIARLADACP NLIGFKDGTGKTDLVRHITAKLGDRLCYIGGMPTHELFAQGFNGIGLTTYSSAVFNFV PGMALRFYNALRANDNATMNEILERFFFPFVQIRDRQPGYAVSAIKAGVELIGRTPGA VRWPLTNLEAAEKEQLRQLIDSVNDLQ MIM_RS10540 MILNGEMLIGASAVRGNAGSQLAFNPATNTDIPQPVFYLGGRQE VERAAQLADEALDAYRHLPLSSRAAFLESIADNIMGIGDVLLERAHAETGLPIARLQG ERGRTVGQLRLFAQVVRDGHFLSATIDTAQPEREPLPRADLRLAKIPLGPVAVFGASN FPLAFSVAGGDTAAALAAGAPVIVKAHSAHLGTSELVGRAIQKAVSEHGLPEGVFSLL FGRGREVGEQLVAHPLIKAVGFTGSRQGGLALMQIAANRPEPIPVYAEMSSINPVFVM PAALSRRGAEIARGLADSLTLGAGQFCTNPGLILAIDSPQLEPFLQSVADAVREKQVQ TMLTGPIHEAYVAGNARVQMADGVQTVGLGLDADDKSNAARAAVYATDVSRFLENTDL HAEMFGPATIIVRAKNMEELVQLAQALEGQLTATLHIEPDDYPAVARLMPLLERKAGR ILVNGFPTGVEVCHAMVHGGPFPASSNAMFTSVGASAIDRFLRPVCYQDMPDELLPEP LKRNNSIGIFRLIDGEFRKG MIM_RS23590 MTIPITSRVSVNDTDAYVAAGAAGFGLIRVASYMVRQHIAAGRL VRVLEDIEAPPEPISVLYPQSRHLSPAIRAFIDWCSEMIAKEAADW MIM_RS10545 MDRFQAMETFVRVIESGSFKKAADTMQVLPSTVTRTIKELESHI GVRLLNRTTRALSVTNAGLRYYDACKTLLRDVQLTEEAASQKSGVLRGSIRVGATSTL AKNFIIPALSAFTDRYPEIDIDFHLADATVDIVQQGIDCVIRTGTLQPSRLVGRRIGR FHWYVCGSPDYIRQYGTPTSTAALADHIAVGYVGNHLVVPIVGRFPKGENG MIM_RS10550 MNQIHLKQHQNMLVLGAGQLGMAVLRKLAPRARATNIAVTALIS PNTLDHPSERDAEVLAELRLLGVQIIGFDLASDEALLAGLFEKYDTVLNCSGFVAGPG TQLKLTRAVLKAGVRRYFPWQFGVDYDIVGQGSGQPVFDEQYAVRQLLRGQQNTEWVI ISTGMFTSFLFEPAFDVVNLKQKTIHGLGDWQTKVTVTTPEDIGRLTTEILLAEPRIV NEVVYVAGDTISYGRLAEVIERVTGQIFEKEIWTLDKLRTDLAKTPDDVMARYRAAFA LGDGMWWEKSGTFNARNGYETTDVEQYLKAELGL MIM_RS10555 MRRRAFLALIAFPLYAQPLFAGDTADSNSYPDKPVRVIVPTSAG GMTDQVARLYAEYLSVQLKQSFVVENMPGASTMLASRYVARAPANGYTLLVTANSIVT IPVVTKDTGYQLKDFTGIGEVGRAPSLLVVSGDSPYKTLPELIKAARDKPGNLTYAFT GYGTTSHITAQLFAQQAKITLTGVPYKGISLAVPDVTSKRVDFMMGPITSTEALIKSG KMRALAITADTRSAALPDVPTFKESGYADATYNLVFGMVAPAGLNTEITQRLSTALES AKNDPEFGQRLQNIGLHPSDIRTSAQYNAFLADEQKLSLALAREAGLDTH MIM_RS10560 MELRHLRYFIASAEEEHFGRASERLCVTRPAVSQIIANLEDELG VQLFERHAHKIKLTAAGAAFLPRLKALMIELEEAVVLTQRIGQGKSGALILAYGSLSL YHPILRAAVKEYREQYPDVTLSFIEMPTSEQTKALADGRIHIGFMHFGPQAPTSVKGQ DVNQSNVRNIHLDHYSIQSGGLGVVVYKDHPLAKRKSVSLAELANEQFVVVPNSSISP GYGHLFTLCKEAGFEPRITQEVRTIASQLNLISVGLGIGLSVIGPHFTYPHNLSVIKL SDLNYETSFQIGWRNDQVEPALAQFIDIVKKLSA MIM_RS10565 MKRRRFLIGMASVAGSAFGLPVRAATETGYPNKLIKIVVGSEPG ALLDIASRLYAEKLSALLKQQVVVENLPGASSMIATRKVAGAPHDGYLLLAAANTVVT MPHFVSNAGYSMKNDLMGIAEMARAPGILVVSAQSPYRSLAELVAAAKAKPGSITFGS GGQGTTSHLPVEMFMQEAKIKLTHVPYKGVAAALQDVIPGRVDSMLGTSTSLQSSLQS GQLRALAITSEHRNAAFGDIPTFTELGYPAVTYNIFVGLLAAAGTPAAVVNTLGDALR QVRHDPGVVARLKSMGQEISNLEEPTQFNRFLLDEEEKYATLIRKAGLQPG MIM_RS10570 MKHTLLNSVLVLALAGLPVSGAFAQGAEPLKLVVPYSPGGTTDH VARLLQKPLGDVLGRTVVVENKPGAAGTIGTEYVARDTSDGNTILFGNPGPNAIVPAL RKTSYDPINDLKPLTTVAVMPLVLLARSDQKFRTLKDFLQWAKDNKGHLNYGSSGIGS LAHLTGAEFSRLAGLDMMHVPYQGGSQVLRAIIQKDVYATFVTGLESAGAMDTGKFSY LAVASPKRVPSLPEVPAIAEEIPGFESSIWFAIFASRHTSQGTADQLRSAIIKAMQTP EFRQYLQERHSEVVSSTPEELTERVKKDMAHWKDVAKESNIPLE MIM_RS10575 MTVQVKPRGKYFEDFEVGQEFLSPARTITTTDIVNFACLSGDFN EVHTNWEFCKDGPFGEPIAHGPLVYAVMAGLQYASGINDGTLIALLQNDGWRMIAPVK NGDTIRMRSRVLEKKETSKKDRGVVTFLRECIKQDGMVAQEMTTKFLYKRRP MIM_RS10580 MKKPVSLKNKVAIVGVGESDIGKVPHMTGLGLNAQAAKYALEDA GMTISDIDGLLTAYSFTEPYFMLGSVLCEYLGIKPRYNASMVVGGASPAVMLHHAAQA IASGQAETILVCAGENRATGQSRDATLSTLLAVGHPYYEQPYGGSIPGYYAMIAQRHM HKYGTTRDQLSHVAVQTREHALLHPNAHMKKSITLDDVNNAKPIADPLGMLDCCLISD AGGAFIVTSAERAKDVPGRPIYLQGIGEYHTHEHLMCAPSLTEFGAAESGRIAYEMAG LNARDIDVAELYDCFTIVPLIEFEELGFCKPGEAGPMFADGYTRIGGTLPVNTHGGML SHAHAGAAGGLFGIVEAVRQLRGGLGNRQVEGAEVALVHNEGGILSSHCTLILAGEKG MIM_RS10585 MTNPLPKPVVNADSQAYWDAARERRLVIRKCRTCGEVHFMPRHL CPHCWSDQLEWIVAKGQGEVHSFTIIRRASDPAFASKVPYAVALIELDEGVRMMSNIV GDDALAVKIGDRVSVMFEDRGDGALLPQFKRTVS MIM_RS10590 MKEGLYFEEYAEDWTYQSAYCDVTEEGVANFVELHGFTSPTYTD MNYVKSSREYGGRMAPGLHVLSLAEGLLLHAGLTTRRGIFLMELTPRFLKPVFVGDAI ANRVRFKSKRLTSKPDRGVVMTDHEVINWKEEIVLSYTSTRMIRTRQYRDEAA MIM_RS10595 MDAKTQPQSTASAVTREEVLQATQAVTHRFDDEYFAKLDKEEGY PHDYIKALMDAKLHTVMIPEEYGGMGLGLQEACVIVEEMHRSGGVGSMIHGQMFMMGI LARHGTDEQKRKILEEVCAGRVRLQSFSLTEPNAGTDTAKLQTKAWKEGNEWVIKGQK LWTSRFDYTDAFMLFARTSPPKDPAKPHQGISAFLVDKRQVDPTQYSSRKIDVMFNHH TFEVFYDNMRVPETSMIGEEGKGFRYLLDGLNAERIIIASEAIGDGRWFVDKAVNYAK ERVLFGKPIGANQGVQFPIAKGYAHLEAADALRWKAAEKFDRNEPCGGDANIAKMLAA DASWELANVCMQTHGGFGLAREYHIERRFRDTRVFQIAPISPNMVLNYVSQHVLGLPR SY MIM_RS10600 MSESNFISSPLQGVKVIELSHLIAGPYCGQLLAEEGASVVKIEP PDGELTRHREPMRRVGDQVISGYFASLNRGKQSVSLDLKNESGIQTLHRLLETADVVL TNMRGGALKRLGIHPDELRKRYPRLIIACISGFGLHNAGKFTDRAGLAMVAEAMSGTT SLTRDHDGNPVWCGFALGDIVAGMAAHSAILLALRNQEKYGVGRVLDMSMVECSLPMV SVALAREQSASAELRAFAGSNNFHGVPYGAFPASDGFVNIGVNRDDFWKRLCKAMGRP ELGTDPRYETYIERAKNQRDVHQITEAFTRQFTRDDIVAKLNAVDVPVASILTMAELT NDEYLQTRGALRQVNDGIGGSMMLPVDPSGFTPAEGSHLVPLLNEHRDTVLARELNLS ANDISCLEQAGAFGTPMAMANIA MIM_RS10605 MDATIQKLARYIVDTAFDTIAPEAIHEARRRIIDSLGCAAAASA EPFCLSIKKLAARTHSTPSARIWGTGQETSIEMAAFANGTMLRYQDFSDTVLSRSNGH PSDMLGGLIAVAEAFHSDGKSLLAAVVVAYEIYCSLCASVQMAARGIDQGTAAAAGTA AGIASLLDYSEQQTANALCLVLAANLHLYNVRCGTLSDWKGCGGPNGARNGVFAAMLA REGVTGPTAPVEGKGGLWEILGRFEWDPGGGAVPLICQTHLKLHPVCYHGQSAVDAAL ILRNTVAASDVQRIEIETYEAAYLAMGQDPGRWAPDNRETADHSMPYTVAHAWITGSL SSTAYETDQLQSRAVLEMMKRISVSAVPELTAAFPANSSTRISVLNMAGSVYTHLQPN PKGNAGNPVSDTELEAKFSDLYRSWGAADSARQLLDFVWTIDKNTDVSTLVDALCIES TR MIM_RS10610 MTPPTDLSHEFAEFIINTRFEDLSPDAVDGAKKSILDTIGVILA ATGVEPAVKAVNALITEAGGTPESSLMGFGGRAPAVWAAFHNGAMAHCLDFDDHAPEG HHPSSSIVPAVFALAERRGGVSGKQLIAAVAAGQDMFLRMRRHVPSRLDWHLTTVLGV FSAAASAAHVLGLTKEQTISALGIAGMQSCGTLELAYGVGSDLRGMYAGFSTKGAVLA ALMAQKGIRGVQSMFEGKAGLFNVYFDNEYDRTGMIRDLGQHYHGGEILYKPWPSCGA SHGFIHATLELMREHQLKVADISEIRVNVGDFQKQLCEPIESRRRPATAADAKFSIPY CVAVAATNGSAKLTDFIGDALADPQVLATAEKITAVVDAQFNWAGKLPKGRLDIITHD GRSFSRVGDNVPGDIECPMDWTYLSAKFSESAALAAVKPASDGVMSAIEMIQHLDRID DATLVLRVLEH MIM_RS10615 MDFAYRLADNAVTRQFDSLSTATVDATNDGLIDSLACALAGVRA HGLDQARTALGRWGQDGCTVWGGFGKAPAPVAAFLNAVALHALDYDDTDDKVPLHAFG MVLPGLLADLEENLPDCDGQRFLTALAVGVDGAMRVGRAGGPKGSRGWNYSVISGSIG AVLAIANLRGWDAQMTVDALGHQLTQTSGSLQSIIDGSLAKRFQPAQLVKNVMFSVAL AQSGIDGPRNVFEGKAGFINLYQDGKFDLEAAGLNMHHCNLIEDLSLKPYPACRFTHA PIDLALELHRNEGLRLDDIKHIDIRVSGQAVNMVGRQYDPRTAGIVDAQFSIAYTVAV GLAKGAVLIGDFTDEAIRDEKIGRFAHSQITITADDALPFLGMTPVFFDVTLNDGRVM QVQTDVVSGSPQKRMSAAQLRDKVDDCLNYGESDTRTDALVNAVESLRTGMPVKTLLA LLS MIM_RS10620 MFNVTQPVLTLMFGIAFSIPCLAANPPYPSKVVKIIVPTGPGTA SDATARYLSDGLSKKFGKTFIVENRPGASATIATAAVHRAAPDGHTLLLTYSTHYINQ WSLKLDYDALDFMPLAQLNKSPIVLSVGVASPYHSVEELVESARSRPGKLSFASVGGV SQIAGAYFLKKAGIQINSVLYKDPTQSLLDTANGLADMSFTGLTAPLPFVHSGKLRVL GVSTAQRDSNLPGVPAIAESGVPGYEFASNVIMLAPPRTPKDIVKQISEAVGEIALST EFKNLCKVQGCTVDYLDSEQLATRFPQELEKWKTLVDQAGLTAK MIM_RS10625 MKNTIIEQLAHYTESTTYRQLPFEVVHESKRILLDSIGCALAGL HFQKGQAGRDFARLMGSGGMDASIIGDARRVSIPAAAFANAELINTMDMDVVTVPGHV APAVLSSIMAAGEAALSSGQQIIEAIAIGHEIGHRFGRAVDNLRDTKNGEPDPPKIFG YTSPIFGAAAGIAKLRGHSSQVIANGLGIAACISPVNSMMSWIHHAPATTIKYTLKGA LAFQAVTAAYMAEFGHRGDVQVLDDAEYGYPRFIGSSKWEPDAIVNKLGEQWLFPAHT SYKPYPHCRVFNALLDCVSKIVSENEIKPDEIDSIKIFVEGFAQKPVWINRRIDDVHD AQFSMYHGIAVAAHGLRPGRAWLEPDFIQSPSVLRLMEKVSSEPHPDYVALLSGNAAS RPARVELHARGETFLQERRFPKGSPSPEPDSIMTDAELIEKFRHNAQDVISNDAIGQL VESIMHLEQSDRWDRVVQLAVPTDLQK MIM_RS10630 MNKRILNVHGVSAVYDKVKALHGVSIHVNEGEIVAILGANGAGK TTLLNAISGIVPAAGGEISLGGTPIRGLKPWQLSAHGLIHVPEGREIFPAMSVEDNLR VVDASGNGPDFTVQSVLEMFPRLRERFDQTAGNLSGGEQQMLAIGRGLMARPRLILFD EPSLGLSPLISRHVLATIASLRSQGVSCLLVEQNMRAALKIADRAYVLRVGRVVREGD ATDIAQDPDIGEAYLGS MIM_RS10635 MSVAEIIQQQTVVKNVSADVVVQATGVTKKYGGIAALKGIDLTI HEGEIFGIIGPNGAGKSTLFDILCGIIQPTTGQVQLLGKTIQGMSAHVVARLGVARRF QRTAVFAEATVMQNLLFAAHQSFSHSMLGRFVHSKHWQEERDAFFSRAQEVLGITGLW DDRERIACTLAYGVQRRLAVGLALMPDPKLLFLDEPAAGMDDQDSDSFITLVREVAPG RTVIIVEHDMRVIRKLCHRCLAMADGRPLQRGQPADVLQHPDVIEAYLGAADE MIM_RS10640 MYQYRGRLHWDGFWSFLSCLLRRKACAARKAKRDTDMRLQEIKD TTFFKLMLAWALAIALYGLLIENTFLLTLAGYTSTLALFALSINVMLGGVGEVPLGQS LFFGLGAYAVGIGMQKLGFSYALSVLSGIAITIALSFVIGMITLRLTGAYFAIVSWGM ASVAVVAVLNLESVTGGGMGIFGLPDMQFFTIDLTSPIQYFYTAAATLLLIIVILNAI RQSRFGYALESVRQNPHLATSLGVNVFRQRLKAFMLSAVIATIAGALSVPYTQIITHE SLNIAITVDALLMVLLGGTRWLFGPVLGAMII MIM_RS10645 MELFLQTFLNGLLVGAGYALIAVGLTLVFGILGIVNFAHGAYFA LGAYSVLLAVNLGVPYLLAVLIALGIVVIAAYLTELFIVRRSLYGAGNYSSVIVTFAL SQAMISLMLLIAGPNPQPVASPFSDASMSIFALVFSGQRILILVVALVVLSGLGFWLR FARSGRQVTAVSQNARGALYTGINVPRIRSLSFMIGVGAAGLAGSLLAPISNVFPTMG NASLINSFIVVILGGMGSISGSLLGALLIGVANALFETYVSVPWTPALGWILVIFVLL VAPQGLRGKKSKERY MIM_RS23425 MDQAAETQRFVSAFRSRYHQDPGKVHLVVYEGVNLIAGSMDKAQ TANDYSALEKSLRSNTWITPRGTLRFDEKGRAHAPYFYIQQVQGTSLKPVVRTEN MIM_RS10655 MNDINRQIRFASAVITMCMAGHVYAAAPVKIGLVMPFSGAAAAY GIEARQAAELAVEEINAAGGILNGRSLQLIFEDDKGTPQGAVGATQKQIALNKVDAIL GGMGSQLALAQSSVAKNRILYINSAAQADAITEQGNKWLFQINNTTSMNASGFNKYIV NVLKPKTVAFVGENTEFAKPLLNIMKKDLAAANIELVGVSLYDTETNDFTSIITKIKS LNPDLVYVADGAPARLVQFWKQARQLGGFRAEAVTPGVVTPTVMNAAKGVMDGVITGD IFFDIGPGC MIM_RS10660 MNLITKQLIELAQNTRYERLGAETVHECKRRIIDSIACAMASFN HPLSISMRTLASSYRGAPDAALWGTGVRTTPEMAAFANGVMVRVGENSDTFIGKGGGG HPSDMIAGLIAVAEAAQASGQALIEAIVIAYDVYCGLMDAVDLAAKGWDQAFHVTLGT VLGASRLLGLDESQMEQAISLAICPNMALRQTRHGELSHWKGCAGANAARNAVFAATL AQRGVIGPSGIFDGKQGLWSVTGQFEWPVLYHGDSLRMVARTSIKPLPVCYHTQSAAL AALKLFPKLAKDTISQVHVHTYRTALEMAADGAHQWAPQTSESADHSLPFVVATALLH GAIAPSSFQADRLACPDVRKVMSTIQVSEDPALSSLWPKSAAARVTVETRNGVQLSEE ILYPKGHVNNPMSDDEVATKLYEGVSALAGQDKGKKIVTTLWGIDQNGVLASAIGQVL AQTFG MIM_RS10665 MTLNISDINTTGFNSGQLRALNWINSGTKKLFIDGKWVDAQSGK TFATENPATEQVLSEVSEAGETDIDKAVAAARQAFESAAWSGISPHQRARYLMQIADA IESHGDELASIESYDTGVPYTASRQRIPHIAETFRYYAGWVSKLYGTTNPSDDSRFIY MLREPMGVCALINAWNVPLGMAATKIAPALACGNTAVFKPAEQAPLSVLRLTELIHES GLPAGVLNVVPGLGPIAGAAMSAHTGIDKIAFTGSTVVGRHVLQSAANNMKKVSLELG GKSPNIIFPDADLDSAIAASVVSFCRNSGQICSSGTRLFVHNEIYDMVVEQVCSVAEQ YKVGQPFEADTQLGPLISRRQMDRVLSYVQEGTREGARLRLGGHRVGDVGYFVTPTIF SHVKNEMKIAQEEIFGPVLSIIPFSNESEVILKSNDSIYGLAAAVWTRDASRAQRVSR ALKAGRVWINTYGDADPGMSIGGYKQSGIGREYGAESIDAYTQTKSVLMKF MIM_RS10670 MENWDYIVVGAGSAGCVVARRLSDDSSVRVLLIEAGSSFRNFWS TVPAGMAMLIGDERFDWRYTTEPVAALNGRRIVWPRGKTLGGCSAINGMVYTRGNRRD YDHWAELGNAGWGWNDILPYYKRMENNASISNALSGQGGPLTISNAAPASLAVQSFIE SAHACGIAQVKDLSVSGEEGVGLLQATIRDGRRLSNYDAFIAPIRNRRNLSVLTNAHV TRILMDNGAAIGVELMQDNKLRSIIANQEVILSAGVANSPHILMLSGVGDGAQLQQHG IATRLHLPGVGKNLQDHVGAHIKVRTRPGWSHNRDLNGWRKYREGMRYLLAKNGYLTA SATLAAAFVRSNDQVPYADLEIGFRPITFSQSADGYVTVDDYEAISANVYRVRPASRG QVLLRSADPFAAPIFDANFMSDPEDQQATINGLRLIRKILSTEPIASGITSEVQPGID IQSDSQLLDFIRNNGKSSYHPVGTCKMGNDSLAVVDSQLRVRGIDRLRVIDASIMPTP SSGNTAACTTMIGEKGADMILSDLKTLHRAA MIM_RS10675 MKFHVLRYFVVLAEELHFGRAAQRLAITQPPLSSAIKALEEELE VLLFQRTKTSVQLTPAGTVFLNEARKLLEGAARAKSIVKSVDQGMSGRLDIGFGGTLL FRDVLTIVDTFTREWPGIEVVLREMQSAEQFEWLRLGRLDAGFCHGSTAPPKLRFMPL KDDQLVLCLSNEHPMARHQLIDLKELANESFVMFERDINPANHDTVISLFSRAGIYPR IVHYTRNWMTTMSMVSEGCGMAIVPSTLGRMRMAGVSLVPFAKFREPALGMLAWNPVL TNPALTKFLESAERTMKNIVTG MIM_RS10680 MKHLTLKGEIIARDLQFPEGPVAMPDGSVLIVEIVSGRLLRIYS DQRQEIVAHLGGGPNGLAIGPDGYCYVCNNGGFEWHTENGFTRPLAAAADYKGGCIQR VHLESGQVDVLYSHCDGNPLHGPNDIVFDQMGGFWFTDMGRAFHDRIVRGAVYYAKID GSEIRQAAFPVLTPNGIGLSPDLSVLYVAETETSRLWSYSILEPGILQREPWPSPNGG RLLHGLPGFQRFDSLAVEDDGNICVATLVNGGISVFSPDGELLEFHQAPENYCTNICF GGPQLRDAYITLSGYGQLMKVRWPRGGLALQA MIM_RS10685 MDRIITLVRRSGLKLIAAVVIASPNIATAAYPDKPISVALPFAL GGPVDILMRAVQEPLQKELGQPVVIVPKEGASGIVATRYVARAPADGYTLLIQTNGML ITPQINKQAGFDPLRDFEPVALLGVQPMVLVTHPSLPVKTVQELISYAKAHPGEIDFA SSGPASNGRLATETFMKLADIKMNHVPYKGVGQITLALMSGEVQLMLSSVTPQISQQI KEGKLNLLGTASAGESALMPGVEPISNTLKGFQAEVWFLIAAPAGTPPDVVDKLQKSI THVLNTPQMQERFKAASATVKTATPDVLKHMMADEYSRIGEIVSEIGDINK MIM_RS22110 MPNIRVNDANIFYHDDDYTDPWDSSDAVMLQHGLGRTGNMYYGW VPHLSRQFRVIRPNLRGVGPGADPGPDIEFSLDQILSDFVGVLDKLGIEKVHYVGESL GSILGVIFAATHPQRVKSLTLVSSIIRVRPEKTVAINSVGYPTWAEALDQLGMKQWWL RSREVTNQLTGNAAKDEWFADECGSWPLHVAQALLRFASQVSAESLLSSVKAPTLVLS AGNSPHSGQEEQQFILDNIAGSRQIVYPEAKHIDCYLQPDQFARDTCTFLREVSASAQ CEAF MIM_RS10695 MTMKVGYIGLGALGSELAGRLSCVYPVCAWDINDAAMLRLRDRG ASIMASPEEIARNSDIVLLCLPRSEDVRQVVFGPQGLAKGLGAGKLIIDQTSGHPEST RKIAEKLALAGVSMIDAAVSGNPQVVSKGEATLMVGGSDVLYERVLPVLTAISEKVFR CGANVGDGQAMKMVNNAMNAACRLGTLELAAMGVKAGLPLECIIEVLNSGTARNPTTE VMLPAIAQGRSSTDFALSLMLKDINQAVMLGITIGVSTPVINAVQNLLQMGANTLGGQ ARLEDMVGFMESAAGTKFRAGSAGADVVDSRFEDRGASGNAELASLLNNAVTALCETV TYEGTALGFRFGLGLEELSRVINISSGRSAASERILPTLGKQMLQADRQSQLTLAQLQ DVAKLAITTGVPTTIFNTVRTVSEATVNPSESFTLR MIM_RS10700 MTLKACSSEIDRMLYKSIHSVFASFALAGLVTPVASATTFPVKP VKIVVNTGPGGLVDLSTRLIAEKMSEKLGQPVIVENRPGGATLIGTRAIKNAPADGYT LLSTAGTVTILPAVKRDPGYQINDFTGIGPILRSPLLMVTSGSGPYKTLADFVKRAST NPGKMSYASGGVGTTTQIGAALFIKQAGLDLLHIPYNGNGPAIPDVIAGRVDTIFEAY SSGAPQVKSGTLRALAVTSTVRLPNLPDVPTLQERGIKDFSYYLWTGMLAPKGTPQDV VSRLSEALHYALDSKELGERFRSDGAEPMLMQPIEFNQFLQQEASYFEKVVTELGIEK Q MIM_RS10705 MKRMVSSAVAFSLFFLLTSPNAQAATDFPTKPIRIVVPAAPGGA LDLTTRLVAEKMSEDLGQTIIVDNRPGAGSLIGTRYVKTAKADGYTLLSQANGFTLLP QTKINPGYDPAKDFTGIGFMTRSPLVIETSTTQPEGTLQDFMARVKANPGQLSYGHGG VSTPIHLAAASFLHKTGLNMLSVPYKGNGPVLLDVIGNRLHLVFDGYISSAPFIGNGQ LRPLAVTSDKRMESLKDVPTLKEQGVDFSYTLWLGLLAPSGVPNEVVNRLSQSLKYAL ESKELNKRFIEEGSDPTFVTPAFFTDYYKNEAVDMVKVAADLQLPRD MIM_RS22115 MEGTEYMGSISASTRDWKQPARDVFFIEGCYVSDKECEVMQGAM FVEHLYCPDEKKPQVPKLYSSMAAVKLRSIGSTLLTDGLAGPNTL MIM_RS10710 MHGGSQTAVNWLNTPDGRPGWAEYFVNCGFDVYLVDQPQRGRSA WHPAHDNQLRNTSVQRVEKMFTAPEQFCLWPQAKHHSQWPGKGRKGDPVFDQFYASQV ESVASDAITERNLQQSVAKLLDKIGPAILVTHSQSGSAGWAIADIRSLKVQAIIAIEP ACPPIMEHEVFGGKMHLRWGVTHNAIEYSPPLKNATELKLIQEIESQGDDLSHCWLQV QPAHQLPNLANIPVLVLVSEASYHAAYDHCTVQWLRQAGVNVDFIRLKDLNIRGNGHM MMLEKNNIEIAGVVIKWLETHVI MIM_RS10715 MCKNWTRRRTILAAISGMVAMNMSSAQKFPDRPISIILPYSPGG ASDLLARIVAVSLGNELGQPVVVNNKPGAGGDIGTLAAASAHKDGYTLLSVTNAQIIN PLISDSPKYDLLREFSPLAHVFDIPQVLVVPGSESSKSIEELIEKLKSQKDGVLFGSG GPGTLGHMVGQLFARNAGMDAVHVPYKGDGPGLTDLIGGRLHYYFTTLPAAAAYIEAK RLRALGISGSKRDPAFPKVPTFSELDIFNDFDPTLFVGYMVPKGTSESLCETLATAIL QVSSQPELRRQLGSLGASVENMGGPRVLFNRIEREKTIWSELLKAGVQG MIM_RS10720 MQNLKLSRRALLISSAALFMRPLRAQTFPSRPIRIIVGFGPGGT TDVIARSYGQLMSEQLNVSVIVDNKPGGNQINAIRTLLNSPPDGYTLYAATGSSLVQN PAIKKDLIYDPLKDFSYIGLAAKNPGVIFCNQKLPVNTLNDLVTYAAANPGQLNYASA GVGTSGHFAGEAFLHATGVKITHIPYKADAEVIREVMGGAIDMSIMTTLNTTQAIKSG KIKALAVCTASRLPYLPDVPTLRESGFNNLDVLDPYTFISLVGPAGISAATQNQLNAA MNKAATSVAFAAKIRDTLYTEPMRSSPASFREFTEKQISVWQKLAKSMTLPV MIM_RS10725 MNNTSSNSVFAATRAPNPGWLCRAESEPVLEPELSIIDSHMHLW EHKTGYTYFVKEFAEDVATCGHKIDSTVFIECRSMYRSRGPEHIKSVGETEFASGMAA IAASGIYTSCRVADAIVGFADLTSGEKLRETIEAHMSVANGRFRGIRQGAKWDADRTI KGSLPNARPGLYLDPSFAIGLEMLTAMGLSFDASVFHPQIQDVATLARAHPNTRIVVN HLGSPLGYATYESRRSEVRAAWLSSMRDLAQCPNVSVKLGGLLMCLGNFDFTQEKKPP DSVQLAELWRPYIEPCIELFGANRCMASSNFPVEKAGVPYGTLWNTFKRVTAGCSEYE KKMIFSGTARRFYNMD MIM_RS10730 MNNQINTPIIGFIGLGQMGEPMAMNLVKAGHQVFVFDIDSKKAK RIVEAGGMLASSPLDVAEHARIVMLMVETTEQVESVIWGKNGLVHGAVSGDVIICMST VNPQKLQEMEKRLSEKGIALIDAPVTGLPKGAEDGTLKALVGGSSLALERARFALESI TSKIIHVGACGSGTAMKLINNMLFQANRVLIAEALALGAKAGLDPKRIYEIVSDSTGN SAAFQYCAPRVIARNFDGVRMEITYKDLELQTQFAKSLKMPMFMVPAAQQVFQMARAS GYGQEDGVAVVKIYESFTGVEVTPHS MIM_RS10735 MNLNAGAVNRRAIMPHINIKLLAGRSEQQKQELADSISEALAST LGADDSSISVAIEDVPSGDWTEKVYKPEILGKLTSIYKRPGYKPF MIM_RS10740 MTENIKDKVVIITGASSGLGEATARMLAKKGAKLMLAARRTDRL QALAKELELNESVIVPTDVTDRKQVENLVAQTVKEYGRVDVLINNAGLMPSSMLENLH IDEWDRMIDVNIKGVLYGIAAVLPVMKAQKSGHIINVSSVAGHKVGPGGTVYAATKHA VRALSEGLRQEIKPYNIRTTIISPGAVATELINTITDTTIAANMRKTYEQAIPAESFA RVVAFAISQPDEVDINEVLFRPTSQAY MIM_RS10745 MNSFFDGKVALVTGASAGIGFATAKAFAEAGASVVLAANDKEKL IAAVDQLNAAGFRALAVTCDVADEMQVKAMVELTIKTFGRLDAAYNNAGVQSPVADTA DADGDEFDRVQAVNLRGVWNCMKYELLQMRQQGSGAIVNCSSMGGLVGIAGRGAYHAS KHGVLGLTKSAALEYAARGIRINAVCPGIIETPMVRHMLDTEPDAMDLLMKDQPIARL GRPEEIASAVLWLCSPGASFVIGHALSVDGGYTIR MIM_RS22890 MEDYRNGELFRYVVMEKRDGWGDRYEESIRNGDWEFQSFRPDRS INRSVNVARCMGRHKNKATTSSR MIM_RS23210 MRTATLSQTQSLLSGSAELSLAHHDMFDPDIVALLTRNLGLNGS NDN MIM_RS10750 MPRDNYSGLLAFVTVVREGNFTRAAAKLGISQSALSHSIRTLET NVGIRLLTRTTRNVSPTEAGEHLFQNIVNQMTEIDTQVQALSEFRDKPIGTVRITATD YAIKTILWPKLMTFLAQYPDIKIELICDYGLNDIAADRYDAGVRFGESLAQDMIAVRI GPDVRFAVVATPEYFANNPPPKTPYDLVHHRCVNLRLPTHGGLYAWEFKKKGGSEFTV RVDGQLVVNGIYEVLDGALEGVGLAYVPEAMTESHIAKGNLVRILEDWCPLWPGYHLY YPSRRQPSQAMKLLIEELRY MIM_RS10755 MRPKIICHMIGSIDGRLLSNRWTPLPEGTDTGTVLKVYEEAAKR LGGQGWIVGRKTMADMVRGEKQPQNVTGTLTQRVPYIGKRQGRALAVAVDPSGKLHYG TDHLGSEHVVAILSEHVSDDYLAQLRKDGVSYLFAGADGRNLNLAMQTMASTFGVETI LLEGGGATNGAFLKADLIDEISLLVYPGIDGLAGVPSIFQYYGNQGDERPAAGRSLRL LSVETLSAGIVWLRYTIDHSGRSQ MIM_RS10760 MSMSNKNKADQETFDFIVVGSGSGGGVVASRLSENGKYQVLCIE AGTKGASYIFTRPPLALQYLVDNPAVDWRYESEPDPSYGNRSLAVPRGKMLGGSSSIN AIVYNRGQKLDYDTWSRLGCTGWSYSEVLPYFKKIENTKLGSDEFRGRNGPISVTQTR KLCPFYDLFFAAAKSADIPYNEDYSGAMQEGAAMAQLTARNGARQSTATSYLRPARNR KNLTIMKGTEATSLILEGKRCVGVRIMQKGQRREIRARREVIVCAGTANTPKLLELSG IGNPDILRKHGIEPVHELRGVGENLRDHYAALMKWKFNRPGISLAKKGRGWRLGLEVL KWVFLRKGMISQGHGSVRVFARSSDQVKEPDVMMVVSPYIIELLAGKGRRMSKTEGFF MYSHVQRTESTGSVHIRSAAPFDPPVVKYRFLDTDYDRKTAIAAVRRARDIAASSPMR EAIATELAPGAAIKSDEDILSYIRETGQSTQHMVGSCKMGKDPMAVVDERLKVHGIEG LRIADASVMPTIISGNTSVPCMMIGEKCADMILADLK MIM_RS10765 MTENSTTAQMQFLSSQQKQHFIDGKWRPALSGETIDTYNPATGK VLATLAKGSREDVDLAVQAARRAFQGPWSRFTPFERYTLMLRVCDVLDQHFDELATIE SMDMGAPISRTRAMKNSLFQTIKYFASQAMNWSGTTIPNSLKGDFTTLTLKAPVGVIG SIIPWNAPLISMWWTIGGTLATGCTTVIKTAEDASLTTLRTAELLVEAGVPPGVVNVV TGLGAEAGAALAEHMDVDRICFTGSTMTGREIIKASAGNMKRIQLELGGKSPDIVFAD ADLEKAVPGAAMGVYNNSGQICSAGTRIFVQRGIYDEFVEKLAAFTRGIKVGDPFDPA SQLGPLISGRQLERVMEYMTIGQEEGASLVTGGTRLGAELAEGYFVQPTVFSDVHNDM RIAREEIFGPVASVIPFDTVDDALRLANDTIYGLGGAVWTTNVGTMMAAMNGIQAGKI WINCYGLADPAVGFSGCKQSGYGMKGGAQHIDGFLYEKSVYINGN MIM_RS10770 MKHYTRREWLKTASAGCAGMAFLTTPITGLAQALGSGPVRVLVG MGAGGATDSIARLYGQKLLDQLGTAVVIENKPGAFQMQAINSVKDAAANGRILYMGTG SSLSLFPAIRKDVTYDPMKDFSFIALTGISSAVITVNAALPVKTLQELVEYSAKNPGM VNYGSAGIGSANHIKTEYLKLASGLKAEHIPYKSDTDILIQVASGTVHLCITTIQSAM PFIKDGRVRALAVTAPKALSYLPGIPGTEEVGIKGLEAIEPYSYFGLVGPKGMPDALI QELNSAINKVTAVPEVQTRMRDTFYTEPFTGTPDEFKTMNQREIERARELGARLKLDL MIM_RS10775 MNASSSLFSATRAPNLEWLGRAVPETAIDPHIPIVDAHMHLWDH KTGYRYFLPEFADDAAQSNHRIDATVYVECHSMYRADGPDHLKCIGETEFAVGMAAQA NSRKYTDCRVADVIVGYADLTLGSRAGEALEAHMEAANGRFRGIRQRAKWDPDPAVRG AVHAGRSDLFADKHFRQGLDVLTTMGLSFDASVFHPQLMDLAKLAQAHPDSRIVVIHC GSPVGHASYRGREEEVYADWMKGMKALAACPNVSIKLGGLLMCLGSYDFTAVPSPLNS EQLAQLWRPYLEPCVELFGAQRCMVSSNFPVDKAGVPYGTVWNMFKRVMAGCSVDEKN WIFNGTARQFYRMT MIM_RS22520 MNIGYIGLGAMGGALARHLLNTHQLTVWDINPEASAAFGKLGAT VATSAADLANRCEIILLCMPRSSDVDQVVFGSDGLGLGLREGHLIIDQTSGLPEETQR ISTQLAGKGIAMVDAPVSGGVAGAEAGTITIMVSGADADVQKARPVLDAISTNVICCG SKVGDAQAMKLINNMLSAGLRVATLEIVAMGKKMGLSLESMTDVINKGSGRNRTSKVM LNALVQGTKAKSNFAMSLMLKDLNQAIQLGVSCKVPTTITRIARGLLQIGVSTLGEKA QLDQLLGVIESMADTKIADAAPSAQKESVIAGSHAADEGLRVGYVGLGTMGGALARRL LLAQPVTVYDTQAQNVSAMEQDGAVAAADLPALARSSNVIFICVPTSAIVREVIFGEN GLAEGLTPGTIIVDQTTGDPSLTRSIALDLEKIGVTLVDAPVSGGPRGAVAGTIAIMC GGPAASYDTVKPILEHISPNLVYCGQTGNGHSAKLINNAVAACNRLLTYEAASLAVRY GLKLSDVADIVNSSTGWNGHSERVLPALSEGRKTADFQLQLMVKDLNLAGRMAIDCGA PMLIASVVTSLYETGANSFGGSANLDAMAGLFEKAGDFSFAGA MIM_RS22895 MATTPTSTSDSARVYKCVPELARLRENVLLGDVWKQPELAYRDR ILVTLGVLAAGGKIDELRVWMRRGVENGITLDELRGLVVQVTFYAGWPAGLCAGKAAL DLFENEE MIM_RS10790 MESKNKWMVENLDRNTTRRPGISRRNLLILAGAQLLIGPAFAKP DSGKVIRLMVSAPPGGSLDFGARIVSKALAEELGTTVIVMNKGGAAGTINAADVAKAT ADGTTLLYATATAAVVAPQTMSPPPFDPLHDLIAINTVGSSAVALALNPKLGVNNLKA LIELSRSRQITLGSSGNGSLMHLIIESVIKATGANFLHVPYRGAGPSIIDAVAGQIDG CFADLAPVSPFLQDKKLILAAVTTDQRLTGYPDVPTLGEDIPGFDVANWQGIFAPGKT PEPIIERLNAALLRVAARDEVRAQFRQGQMDVAPVKKPDTFETIVSKDYQRWGKLLEE MGMRKA MIM_RS10795 MSSATRNKTYVLVHGAYHGAWCWKEVTQTLRGKGHTVYTPTLTG LGERSHLLTAQTTLDTFIEDIAQVIKFEDLSEVILVGHSFAGSVLSAIADRMPDRLRH LVYLDALILNSGESSADRSPERVEAYRQKAIASGDGLSIPPAEPIHYGITDSEQAKWY ETKLTPHPLQTYYDKLHLKNTLGNGVPTTYIACSNPYFATTEASRTIAQSMPGWEYLE IPTGHNAMSLMPEQLTQMLLAIT MIM_RS10800 METKFITCMRAADKCASPDLTRTVLFGVSGAMALLWAGICPADA AEPFPAKPIHIIVPSGAGGALDIITRIVAEKMGEKLKQTVIVENRNGAETQIGTRYVK NARPDGYTILAQAEGFTATPLLRPGAGYNAITDFTGIGMMLRAPQLMYVGSGEPAKSV TQFIERAKASPGQLTYAHAGLGTPMHLSGAQFIQKTGIDVVAVPYNGSALSYPDVASG RVNTIFAGYSGGLSYLASGKMRPLGVTGERRMPALPNVPTLKEQGVDFTYYYWLGLVA PAGTPKEVISKLYEGLKYAVSSDEMHERYQVEGAENLDLSPEQFNTYLTEEAEANSKL IQTVREDRK MIM_RS10805 MKKQHSLKNKVAIVGVGESDIGRVPNMTGLGLNAQAAKRALDDA GLAVSDIDGVLTAYSFTEPYFMLGSVICEYLGLKPRYNASMVVGGASPAAMLKHAAEA IVAGQAETILVCAGENRATGVTRDAAVAALAVVGHPYFEQPYGTSIPGFYAMIANRHM HVYGTTREQLAQVAVHTREHALLHPNAHMKTPLTQEQVLNAKPIADPLGMYDCCLISD AGGAFIVTSAERARDLKSSPVYLQGIGEYHTHEHLLCAPSLSEFGVTESGRIAYEMAG LGPKDVDVAELYDCFTIVPIIELEELGFCERGEGGAFFAEGHARIGGRLPVNTHGGML SHAHAGAAGGLFGIVEAVRQLRGGLGERQVNGAEVAIVHNEGGVLSSHCTAILASDKG MIM_RS10810 MTLSLPKPVSNADSQVYWQGARDRKLLIRRCNSCGQMHFMPRFI CPDCWSEDLEWVESRGAGSVYSFSVIHRAPTPVFAGQTPYVTAMIELDEGPRIVANIL GQDALSVKIGDRVLVTFEDRGDGDLIPQFERVAN MIM_RS10815 MYWEDFSVGMIITTPARTITSTDIVNFACLSGDFNEVHTNWEYC KNTPFGEPIAHGPLVYAVMGGLQYASGVNRNTLLALLQVDKWRMLEPVKHGDTLHAEA TVLETKPSKKTDRGVVKLHRKFVRQDGVVVQEMEVSILYRRKPQ MIM_RS10820 MTDRYLRYERLIFDRPSPKVLRITMNSPLKMGAMDATMHREVSE IWRDVDADDSVNVVIFTGHEKTFSAGGDLKHERQVCDDYTLRMRAMKESRDLVTNMLD CRKPIVTAARGWAVGAGLATVLLADVSIVANDAKFSDGHLKIGVAAGDHAAIIWPILC GMAKAKYYLLTADNFTGEEAERMNLVSLAVPDEQVQTRALEVANRLAQGAPAAQRWTK MMLNHWIKQAQPIFDASLAFEFTGFAGPEGKEGIDAFLEKRSPAFNPDCPF MIM_RS10825 MNRKKILALAALSAVATSWTMASQAQDTQPLRIGAIVDMGGVYS AHGGPGGIKAVQMAIDDFGGEVLGRKIELLSADYQSRVDVTSSRAREWYDQDGVNMII ESTDSASALALQKLGVEKKKLTIFAGSASSSLTNENCSPYGIHYVYDTYALAKGTGAA VTKMGGDSWYFITADYAFGHSLERDTSKVVQANGGKVLGSVRAPLNTTDFSSYLLRAQ SSGAKVVGLANAGRDTQTSVRQANEFGITQAGQQLATLLVFLNDVKGMGLEAAQGLLF TTGFYWDRNDETRAFAKRFYEKQKAMPSMVQAGMYSATIQYLNAVKAAGNDDALAVAE QMKKTPINDFYTHNGRIRADGRMVYDMYLAEVKKPAESKGEWDLLKIRSTIPAAEAWR PLAESTCPLVKKS MIM_RS10830 MKAVVIHEFCEPEKLTIENVADPIPGNDEVLVAVQSAGVNYPDT LVISGKYQNLPELPFVPGKDAAGTVVATGADVTDLRVGDRVMTQVEFGAFAQRVVTTA DNCHVLPQSISFAEAAAMGLVYQTAYFALVVRGQFNAGDVVLVNGAAGGVGSAAIQLV KALGGIALAGVMNDDQAQTARESGADHIIDLAAPNLRESLREQVYRCTNNQGADIVLD PLGDDIFDAAIRAVAWSGRLVVIGFAAGRIPTVKVNYLLVKNISVLGLQWSDYRDRQP DLVREVQQKLYALHAEGKLRPPITDVVSVDQIALPLRILADGKGKGKYILQME MIM_RS10835 MAQPLEGIRVLDLSTLLPGPMCTLLLAEAGADVIKLERAAGDEM RSYSPKFGADSVNFVLLNRGKRSICMDLKDKEDRAQILELVKDVDILVEQFRPGVMQR LGLDYASLARVNPRLIYCSITTYGQSGPKALRASHDLNFQAETGMLALSTDAQGSPVV PPTLTGDLAGGTYPALFNILLALRQRDIDGEGQHLDIAMTDNLFTLMYWGLGNGWAEG QWPRPGNELVTGGSLRYAIYRTADNRFLSVAPLEEKFWRRFVELIDLPALAEEKDETY ARNEVTRMLLTQPASYWMARFENEDVCVSEIVNLEQAVQDPHFQQRGLFEQQVRSKEG RTLTALPVPVCPQFRSEHALVPSPGLGEHTSDILNEILSRGKQQ MIM_RS10840 MSYQIIERGESQSFPQSHPFLEAAQAQSQDRVLIGSDAGRAWVE HSEQFKNATFIAIELGIECLGEHIGEDDGPANVVGFARFRLGDAEPTNLVELVKKPYT DEAALAAARQAFEAAGLTVAVCNDFPGRILNRLVRPYYNAALRRLDEGLASAADMDKT LCLGLGYPEGPISLLNRTGLHHHYEVSKQLFDALGQEPYAPARRARVAWVRHQRGVSD QTADASNGSTHGATT MIM_RS10845 MSEIKTVGVVGSGTMGTGIAIVIARAGFKTIVLDTREEALENAR QQAAGFLQKSVARGKLKEGQDVEIMQQWTGTTRAEDLAECDLVIEAIFEDLKVKHELF SKLDKICPPHTLFASNTSTISITEIAGGSGRPDKVAGMHFCLPAQLMKLVEMSPGLTT SDETSNRLWAFTEALGQKPVKTRDTPGFILNYFLIPWHNDVINMVDQGVAEPADIDRA VKTALGYPLGPLELLDMVGMDTQKLLSEAMYGLTNEPRAACPTLVKRMIGAGKLGRKT GEGFHSYQNNKIFGA MIM_RS10850 MNILESIDAGLPWSDEEQMLLDSVQSVCDTLIQPHAAAYDKNSE FPWDNIRAINELGLNAMFIPEQYGGMLLSYRCYLACVRQISKACAATGIIWATNFHAI KPVIQFGSDALKSRVLPRVAEGALAALVITEPSAGSDATGMKTQFREEGDQIVVDGQK IFISNGDVADFYVVFGKWAGVDSARDAISAVVIEKGTPGLTVTGTEHKMGTRASGTAS LSFEGVRIPKENLLAPAGQGLSVLLTSLNKSRPSVAAHALGIARAAFEDAIAYINDRR QSNRRILEFQGVQFMVADLAAELVLCERWLWHVAELVDSGKDDMGIEASVLKLKATDL AMRITTDAVQLHGGYGYCQDFRAERLMRDAKITQIWEGTNQIHRQLIGRSFLKKEVK MIM_RS10855 MKKELIFVTGASRGIGAMIALALAERGFEVGCLSRSGDLPKVGE VAKEISARWHAAACDVMDDSGLAAAMSELCERLQVPVAGLVNNAGLHTEQPTIDLPMD EFRRLMDVNAVSVLRTSQLVHPLLVANGGGVIVNIGSFYDKLGVKRNVSYCASKAAVG AITRCLAVEWARDGIRVIDVAPGYITTDLNREAMESGPLRAYLEKRIPRKSPGQASDV ATLVASLFQEQLQFLSGETIYLDGAQSVSV MIM_RS10860 MTVSLTFVDQIAHINLSRPKALNALSFQILSEFRDVLVQVEESE ARALVITGEGDKAFCAGADIPELIGRPLMRELEGAQLGQEVFQALAQLKIPSVAVIHG YAFGGGLELALACTFRVATDHARMGLPEVKLGLIPGYGGTQRLPRLVGEGRALELVMS GRTVYAEEGERIGLVNKIDNEGSPLEIGMRFLQPMLKHSLCALYFAREAVQRGMQADI TNGLRIERDLSTLAYRSDDASEGLQAFIDKRTPNFKDC MIM_RS10865 MNTILTLHEPQTARKNYLNGVWHTDTLYSLVGEHARIRPNACAL RDPYRRLTWREVLTSVDSIAAQLHQGGLRQGDRVAIWLPNRIESVLIFLACSRNGYVC CPSLHQNYTVADVVKLLSRIRCKALFTQAAYGADSDRHSILEQAANISTLKQLYALKP QGEDKDDSSLPEGVLAFPDHLQSVGITSAAVPDPDKVVYLAFTSGTTGEPKGVMHSDN TLLANGRALVSDWKHDAQTVLLTLSPMSHHIGTVAMEQSLIAGMELVIHNPVVYPSSL DWILETGATYVMGVPTHAMDILSVLRERGLDRLGNVNVFYMAGAQIPTEVAQKFLDLG IKPQNVYGMTENGSHQYTLPTDDSNTIVTTCGRACNGYEIRIFKPDNPDEEVAPGETG EIGGKGAVLTLGYYDNQDATENSFNSTGWFLSGDLGRLDEHGCLHVMGRKKDLIIRGG HNIYPSQIEELAHQHPSVLKSAAFPIPDARLGEKVCLAIIADPGTVLEATEVLQHLHD AGLSKYDMPEYYLQMDAFPLTPSGKILKRELVEWQQQGRITPQPVRWKAAAAAKQQGV SA MIM_RS10870 MLSNHAHAPFNSLPKIEKSEGQSLLRGMRVVDLTTSIAGPYATQ LLADLGATVYKIEKRVVGDDARHWGPPFIHGESPWYLSVNRNKHSLALDLLHPDGQKV LDQLLEQCDVLVVNLVESVQKKLGIDYARLSERFPKLIHASLTGFGLEGARSHLPCYD LIAEGYSGVMDLTGERDNDPQKVGTPAADLLAGQDVALAVLAAYIERERSGKGARLDV SMQTSMTRFMAPRLASYLSSDELPRRSGGKDSVIAIYQVFNTADQQISLGLGNDAIWR RFWIALAQPETGEDERYGSNAKRREHRDEIVSKIAQVLATKTREEWLTIFEQHRIPAG PINSLEDVARDQALLDSEFLYQVDSSSGAVPQIGLGIAINGNQSVHRKAPPALGEDTE AILAEVLCMSQDDINNLKQSGAI MIM_RS10875 MYTENSNPVAANRNGVLILNADCAVVFASGTGHDAHIQEKLSAL WKNRATTPVSAMFTLKDVNEPITVVSYASAGAGCFVLYRADHGDPLFEFVSSVDFAAN ILRHFITDPYEAITVVDKQARILYMSPVHEKFFGLSRGGASGQEAGKIIENSGLAGVL RSGKTEVGQVHHMRGAVRVVSRRPIVDADGQIMGAMGQVMFKSPSALEALSEEIRRLR QEISFYERELPRLRGNGQGMEAIVGESDSIRKLKEQIKKIAPLDVSVLLVGESGVGKD LVAHAIHHLSPKGHKDMVLVNAAAIPGNLVEAELFGYEGGAFTGAEKRGRSGKFEQAD NSSLFLDEIGDMPLEIQVKVLRTLQDGTFQRVGSSTQRRSNFRLISASNRDFHQMLNT GEFRLDLFYRISAVTIKIPSLRDRLEDVPILAQTFLERFMRRHNVQGKYFGPGVIAYL QSLPWPGNVRQLQHTIERAAIFSEQNEIRCEDCEVPLELQSSNDLSALEHDYHKLVPA AAADKSGKHVDVHQAKARIELDMIKETLLRFDGNKKKTAEHLGISRSHLYKKLAELDL LDV MIM_RS10885 MKAAVATQQSEIKPMPYPRVGLHINGKWIYERESDFRVFNPSNE QLLGTVPCASVADLNDALAAASKGFERWRTTQPEVRARIIKDAMVLMLERKEEIAHTI TLEQGKPLADSRAEVMRASSFLEWDAEQALRVYGDIMPSGPQMQRHLLKLPIGPVAAF TPWNVPISSPARKLSGALAAGCSVILKPAEETPGAAYLLAQCFIDAGLPPGVLQLVHG DPATISSVLIASPAIRMITLTGSVPVGKQLARLAGAAMKPAIMELGGHAPVLIGEGVD ATAIAQVACASKMRMAGQICASPTRFLIHHTVYEEFVRSLANAAGSIRVGDGFEPGVQ MGPLMNRRRVAEIEKLVLDAKEHGANVAAGGYPLGEQGHFYAPTVLSDIPLKAMAMSH EPFGPLALCMPVNDLDQALKIANSLPLGLSGYAFTNSLADAARISEELECGVLSINHF GAPGADAPFGGVKDSGIGREGGASSLDAYMVTKTVLQRTAPI MIM_RS10890 MKEYGFRKLAGALISALATLGSSAFAADAKYSDNVIRIGVLNDR NGLYADMSGEGSAIAARMAAAEFGNKIHGIPVEIVTGDHQNKTDVGTAVARKWFDADR VDVIVDVVNSAVSLAINSLVVDRKKLVLHNSVSSDITGKACTNRSAQWQYTTRATAYN LVDKKMVQDGTDKFFIIAVDYAMGQSITDMFRQSVEAAGGKIVGVVRHPLNTTDFSSY LLQARASGANAIMLANAGSDMATVIRQAREFGITPDIKLFGDALTKDVVKSTGLDDMQ GLQFVSRYEMYRDDAALDWGNAFMEKNKNRAPTELQAATYSSVRTYLKAIDEIDTDDA DAVMAQMRKMKINDAFAANGHLRPDGIMAHDLYHVSVKSPDQSKGDGDYSNVIRVIPG DQANIPLEQSECPLVHK MIM_RS10895 MAKPILVASGLTKQFHGFVVVKNANLSVRTGSIHALIGPNGAGK TTMFNLLTGFITPTQGKIEFEGVNITNISAEAVARRGLVRSFQISSVFAKLSVHENVR VALQRQAGLAHQFWRSDSALRQLDERVEQLLEAVNLTRYRDTLATHLSYGRKRILELA TTLALEPKLLLLDEPMAGLAHEDIDRVAELIQKVSQGRTVLMVEHNLSVVRSLCDAIT VLQRGSVIAEGRYDEVSENPLVREAYIGTEEEN MIM_RS10900 MTHSSPALQIESLNAWYGDSHVLDNIALHVSEGETVTLLGRNGA GKTTTLRSIVGLVRRSGSIRLRGRETIKSPIHKLAPLGIGYVPEERGIFSTLSVEENL RLLPQYADSAFTVAEIYRLFPNLQERRHSQGTKLSGGEQQMLAIARVLRSGARILLLD EPTEGLAPVIVQEIGDLLKKLKSLGYTILLVEQNFRFAKKLADRFYVMENGKLAETLT LAELNRQPDVLDRYLGV MIM_RS10905 MLSLGIAVLFGMLHVINFAHGTLYMLGAFLAWLALQQLGMNYWA ALVIIPLVVACFGMLIERVMMSRLAGLDPLYGFLLTFGIALIVEGMFQYWFGSSAKPY PSPALLRGGVDLGIGFIPIYRLWVIVASIVMCIATWFFIERTRLGAYMRSSTENAPLV QVFGINVPMLVTLTYAGAAALAAFAGVLAAPIFQVAPHMGTNLVILVFAVVVIGGMGS ILGSIIAGYALGIVEGIAKVFYPEGSSLAIFLIMALVLLARPGGILGRKA MIM_RS10910 MTTSTIAATSGLPKSRYTVLGCIVALILLIAAPWFVYPVFVMKL MCYALFAAAFNLVMGYAGLLSLGHAAFLGIGAYLTGYAIKAWGVDPLLGIGFSVIVAA LIGSVMGALAIRRKGIEFAMITLALSQVISFIAHQAPFTGGEDGLQGIARGYLLGLVN LRDPIAIYAFVLVLFILGMFVVWRTINSPFGHILLAIREQEDRAVSLGYTVSRYKLTV FIISAMLAALAGAMKVLVFQNASLDDVSFHLSGLVVLMALLGGIGTFFGPLIGAGIVV ALESVLATSDLPTPVLTGTVFVLCVLLFRRGVVGEMAEYVRKRTSDTTGEAESGISGQ EKLFHEPILTL MIM_RS10915 MSKNQPRTWLITGADKGLGRSTALAALERGDQVVVTVLAADGKH DLAAQYPEQLRSFHLDARDHARFAEVVEKAHQAFGRIDILVNNAGYGLLSVAETTPAE KYRPMFEVNFFGLVEMTHAVLPYMRRQRSGHIINLSSIAGFCGATGFGFYSASKFAVE GYSETLAQEIAHLGIHVTIIEPGGFRSDFAGPSLVADKIQDPDYASTNARIAGYVQER HGHQPNDPDKFGPALCQLVDADTPPLRLPLGEDCLAKIREDIAQVSQSLDKWEALSVS TKVSD MIM_RS10920 MSDRSKEVIFPNIYAPDDAWLARAVSEPVREPDLKIIDTHFHLS EFDGHEYMLNEFCKDIVDCGHRIEASVFVECGTQYATTGPDHLKPVGETRYITELTAR RPHGITTDIAPAIVAFADLTQGELSREALLAHIDAAKGRLRGIRHRAKWDADPRVKGS YSADSPHLYLQPAFSEGMKHLSELGLSFDASVYHPQIPDVTALARAHPEVKIILIHSG SPVGHSSYAGREQENHANWLASMTELARCPNAYVKLGGVLMNLANFDFLKAEAPPTSE QLARLWRPYIEPCIELFGAARCMVSSNFPVDKAGFSYATVWNMFKRITEGCSAQEKEQ IFSGTARQVYRIGE MIM_RS10925 MSGSFLKSLPDNAAPPTIYTAFPEIYQPWAHMSEALMSGESPLS KAERELIFAYAAGLQKGEFVYIAHAEVAYALGVDGGLIERLLENPATVTVSAQLQPVL EYVRKLAQSPELIEQSDVDAIFDAGWSELVLHHIIGIVGRAAFMVKLTRGYGFVPLSR EKAARHAQKRVAAGYVNLYPAFKKDE MIM_RS10930 MTRAFLRTLFGAMLIGFALSATAAESAAYPNKPIRIVVPAGAGG ALDITTRVIAEKMSDYLRQPIAVENRPGGELLVGTRYVLGAKRDGYTLLAQADGFTAV PSLRNDAGYDPLKDFKGIGLMLRAAQVMYTTTGSSFNSAKEYVAKASAEPGRLSFAHG GRGSPMHLSGIQFVYKTGLNIIEVPYNGTAAAYPDIAAGRVDMMFGGYDSGISYIRSN KMKALAVTGDKRLDTLPGVPTLKEQGIDLSYYFWLGLFAPSGTPPEVINRLSEALQYA LKQQDVLDRYKTSGAEVVNLTPEQFTSFLKDEVSHVESVVTALKIEKK MIM_RS10935 MTKAIAKIILGGVFVCTSMGATAADPFPSKPVRIVVPAAAGGAL DLTTRLVAQKMQENLGQPVVVENKPGGDTLIATRLVKSAKPDGYTLLSSANGITLMPQ LKADPGYDPIKDFTGIGFMTRSPLLIEVGSGQPDQTLTAFMDRVRSNPDKLSYGHAGF GTPPHIAAAAFLHAAKLHMLSIPYKGNGAALPDVIGNRVEMIVDGFISSHSYIKSGML RPLAITSESRLPSLPDVPTLKESGVDYTYTLWLGLLAPSGTPPEVVRRLSDALRFATA DKELNERFRSEGSDPSFVTPAAFNDYYKEEVQDMVQLARDLNLPKD MIM_RS10940 MTYRTISKPLFLQSVIAGSLLGFTSAALANDFPERPLRIIVNTG PGGLVDLSTRLVAEKMSENLGQPVVVENRAGGDGGLGARTVKTAKPDGYTLLSSAGTV VIQPLVKLNPIYDMKDFTGVGPVLRSPVLMVTGASQPDKTLADFIARAKANPGKMSYA SAGVGTTTHIGAALFLKQAGLNLLHVPYKGNGTAMPDVMSGRVDMIFEAYGSGAPKVN SGSLKALAVTSTSRLADLPNVQTFAEQGVKDFSYYLWVGMLAPAGTPEPVVKRLNEAL RYALSSKEITERFRTDGSEALSMTPAEFDQFLVSEEEKNGGYGERSWY MIM_RS10945 MNAFDPTNHADETIRAAYQRASAWLANGSKTLFIDGKWVPALSG KDSQTIDPATENTLASVAEADASDVDLAVAAARKAFEYGTWRGLSPHQRSRLLLKIAD LIEEYGDELAVLETLDVGAPLAFAQRHVAHSAETFRYYAGWSSKIYGTTNPTSDDRFI YMLREPMGVCALINAWNVPLVMAATKIAPALACGNTVVLKPAEQTPLSTLRLAELIEM AGIPTGVLNVVTGLGATVGAALSAHPDIDKIAFTGSTAVGRHILQSSAGNMKKVTLEL GGKSPNIIFPDADVQRAIETAVLAFCRNSGQICSSGTRLFVHESLYDEVSEKVAGIAA TYKVGSPFDPETKLGPLISATQMARVLSYVDKGKAEGAGLKQGGKRVGETGFFVEPTV FEHVNNEMTIAREEIFGPVLSVIPFRDEDDAVFKGNDTIYGLAAAVWTRDASRAHRVA RALKAGRIWINTYAEGDQVMSMGGYKQSGYGREMGAESIDAYTQTKSVFMKL MIM_RS10950 MYAKETLKGRVALITGGGSGIGLEIATAYARLGASVMIVGRNEE RVQQAAGDLTNEGGIVAAMRCDVRNYDEVKGAVDATVSRYGSLDILVNNAAGNFVCPT ADLSVNGWKTVIDIDLNGTFYGCHAAYPHLKQSAFGGSIISIITMLGVTGWPGAAHAA AAKGGILSLSRTLAVEWGAEGIRVNTISPGPIGDTEGVQRMYIEKGNGDLEAKKTALG RFGRKQDIANAAVYLASDLGSYVTGDNIVVDGGRWLKYVAN MIM_RS10955 MKPLKQVACHPNFTLSGTEEGSMTIAMVSSKIRMLLQFCVFNPH ESVLADNSQAIIAAGFVAQDGCSAGNKRSNTGRLLIGTLFA MIM_RS10960 MQKEIVKTERVDSVLVVRLNSPENLNSLTSDLRTQLGAAVESAE NDPSVRSVFLTAEGRSFCSGGDFSMLQKANDPWSVHRRFRHLSRWLIPLISLDKPVVI GAKGHAIGGGMGLALTGDLLIAGESLKLSAGFFRLGTVPDIGVMYHLPRLIGMARAKN FIFGNGTLNAQEALELGLVAKVVPDDELDAAGLEQASRLAQGPAEIMGLAKTLMARSF ETTLTEMFAYEGFGQVLAMSNPEFKEGLTALINKQPPDFVGAATKHKVD MIM_RS10965 MDLPMFPEYSSEIDAVRDMVNRFMEAEIKPVMDGYEQRREFPRE LVRKAGEAGLYGAVFPESVGGSNMGYLAAAVIQEEMVRNDVRFSSCNNQQGSTCPSAI YFGGTPEQIEKYVPNLVAGKTIGMMSLTESGGGSDAAGNMKTFARRDGDVYRITGQKM WASMANETDVGVLLAKTDRDAGAKGVTAFIVHPKKFPGWQATPIECLGLSKSMRTNIV YLDDFVVPVEDRLGEEGEGFKIIMRTLQPGRVGVAAKALGVARRCFEEAVRYANERTL RGQPIGRFQMIQSDIAEMTCAIEASRSLVYKAAMMMDASMAHNRMAAIAKFHASQTAK FCADKAMQIFGGYGLAEEYPVSYYRAYADMFFTGEGSANVQKIMIAEDALGYKLADRH HGKTGLRDIRKDDPSKAR MIM_RS10970 MSELLNFQISEGVGVITLNRPDKLNAFTPEMLERWLEALETTRV SDDVRVVVITGTGRAFTTGGDIGGFDSSASQTPAEMSHYLTQGSQRLIRKITEIEKPV IAALNGFATGGGLDIALACDLRYSADTARFAETYARMGLIPGMGGAWLLPRIVGVARA YEMFWSCDWVDAQEAERIGLVSRVFPEAELLDNTLTFARKVAAGAPLSIRNIKKLIRQ GLQTDLSSALDIVAATMPVVRTSEDHQEAIAAFREKRSPVFKGR MIM_RS10975 MTDSFNFKNFLRPQSVAIVGASPQRGSPRNTLLRNLQKHGYAGR IYPVSPSHSEIEGLKAYKSVRDLPEPADIALIITPANTVPAIIAECGQSGIRNAVVFS AGFEEVEGGDVLARQLADAARENKVAVLGPNCQGIWSVQHKAILTFSPAALNRDEIHH APIAIVSQSGALAGAMANALLRIGLGCSYVVSVGNETCMDSLDALDNIVEQEDVRSVA LYVEGLDDAARILSIAHKARSRGVQIVVLKTGRSAIGQEATASHTGKIASSHAVYNDV LDQAGIISVNSLQEVVSAMEVLTFLPDPRISGDAQGGISIMSASGGAGALLADYSSER AVPLAQFSTAAAERLDQVLPKFARKANPIDLTGQINTDRDLFRNTCEVLADDTRTEAV AVQFSSSGRRYLNENAEVYKQLASQGLPVVISFIGEAIEPEVRKDFRDAGVLLSPDPA VTMQSLAWLYQRAHYATLPAPRPRTNDAANVARDDWEGMMQYLNDSGITPANWLVLSP SDRAEQACASLTYPLVVKVLPSESEHKTELGLVKLRVASPQDVDIIAADFRQRLGKPE AGILVQEMVSDGVEVVLSCLRNTDFGPVLSIGSGGVAIELYRDVANLALPVTHEQVIT ALKKLRLWTLLQGFRGKPPADVDALADAAVRFGDRFLATPDAQEYEINPVMVGAIGTG IRAVDALVNIRKAEVSQHDQAEPASA MIM_RS22530 MTISVGYIGLGAMGGALAEQLVSSTDLHVWDLNTAACERFGALG ASAAKTAVELAHQCRFIFLCLPRSSDVKSLIFDTEGFVDALIPGTVLIDQTSGIPEQT RSIAKVLQEKGVYMLDAPVAGGVPAAQAGRITMMVSGPVSAYEKTLPILQKISPTVIR CGEQVGNGQAIKIINNTMNASCRIALFETMALGQKLGLPLTKLADAINASHACSRLSQ VTLTAFLQGKSSSNFALSLMVKDVHQAILLANASQTPLPIASLSRAILEIAVNTAGPA STLEDVADIIASMAGTKLSAARETGLSPDEQGGTSVSVHSRTVGYVGSAESAQAVQFR TLGQLLRLYDPAKTIARSGLLNGIMVESDLASLAHACDLIIIGPSLGNTDVMTGPQGL ISSLSPGTIVIDQTTRQPEVSRKLALDLQSLDVTLLDAPICSETAQPLPGGTGLAVVG GSLQGYQAVKQVLENASIESIYMGPSGAGNTAKLLLDSLETSHQLITLEAVSLALNNE LDIQDLANVIMKGTGWSKAFEIMLVDHRHNKAEIRVDLATTLQRLSMVSALAFEAQAP MLIANTVRTVLMEMDNQSEGIANMEDLMLTFKQRAGIKLEEYYW MIM_RS10985 MTSGNASFQHISPELRVYSGVDCFDGLKRELARNGCRRAVVVCG RTIASSDTLNLLRSSLGPLFAGVSPTAREQTPLPAVMGTVSALKEFSADSIIAVGGGS AAVTARAAGILFAEPLPVQELCTRRTDDGRFFSPRLKEPKIPQFMVPTTPSTAFVKVG CGVHEEQTGQRYALFDPKTRTKGIFIHPEMLCSAPAQLVRNAALNTFCTAIEALESPR SDPFAQAFLMHAIRLLAENIERLDDPQQGVHENLVLAAILGGRGTEQSGAGLASVLAH AIGYHSRVSNGLVNAIVLPHTMRFNEPLTEYGRSRLAQALSGALPAVSGVFQASATIS MVQSLLNSISPVHRLRDIDINQAAFPMIARAAMADWFISRTPRPVEESETLLALLQAS W MIM_RS10990 MNRNKTTDVNIIAYQYSDCFCARSVRLNNHRLYISAEGDIKQMK YIFSTILACSISAMPLAGHAAESYPSKPIKLVVPFLPGGIGDVLGRIVGAELSERLGQ TVIIENRAGAGGMIGTEYAAKTAPDGYTILQVSSPQIINQVLREKPGYELLRDFVPVS SGVTAPLVLVVPASSKTQSVKDLISVANSRSGGVNFGSGGVGSVGHLAGEMLKRSADI AATHVAYKGNAGVVTDLVGGRLDFFFSSQPEAVHGDAAGTMRAIAVTAPERVKTFPDV PTMIESGYKDFTPTSVYGYMVPKNTPAAIVNRLHDAINSIVTSSAVQNRFETLGLNAD PGNIEHWKDTLESETARWRELVKTAGVHVE MIM_RS10995 MELRHLRYFIAAAEEEHFGRAADRMCITRPAISQIIADLENELS LTLFERAPGRVKLTAAGRGLLPRLQNIMDELNVSLDMAREMSDGKRGTLRIAYGTLTL LHPIFRAAIKQFRESFPDVTLSLLEMVTSQQSVALAEGKIDAGFMNFGERPVQLPRKW NHGLVAQNHKDLDWLLIQSSGLGVVMPNDHQLAHHSAITLDMLEHERFIVVPQSSGSH GFALLYALCEKAGFEPQVAQEVTSISSQLNLVSVGIGIGFTILGKNFYYPDNVTVVPL ANVDYHSNFVFAWIKDQRDPVLEHMINIIAALSKKMA MIM_RS11000 MATTKSTNSLPLCDIKVIELAHLISGPLCGQMLADEGAEVIKVE PPGGELTRHRDPLRHIGSEKIAAYYASLNRDKKSIVLDLKNQGGSSVVRQLLESADVL LTNMRPAALKRLELDPQTLHAQYPRLIVACITGFGMENAGEHADRAGLAMVAEAMSGA TGITRDHEGNPVWCGFPLGDVMASVATHSAILLALRDRERHGMGRIIDLGLVECMLPI VSVAMARSQWQDEAVSDFAGSNYHGVPCGTFPASDGYVTIGVNRDDFWRQLCIAMDRP ELGTDPRYATYVQRARRQREVHDITAAFTRNHTRAEITERLIAADVPVGNIQNMDEVI EDAYLTGRGMLQQVDDGFGGLFTLPANPAWPARPAHQPRIPRLNEHREQVLDSLGVTR DQIETLLHTGAFGPTAAEPV MIM_RS11005 MNIASSPEMESIRDMVIRFMENEVVPVIADYEAREEFPRALIKK AGAAGLYGAMFPESVGGSDMGYLAAAIIQEEMARIEVRFAHCNNQQGSSCPSCIYFGG SQEQIRRYVPALLAGETIGLMSLTEPGGGSDPSGNMKTFAKRDGDVYRITGQKMFASM AKETDVGVLFARTDKNAGAKGVSAFIVHPKKHPGWNAQPVDMMGLSKAFRTTVLFLDD FVVPVEDRLGEEGDGFKIAMQGLQPGRITVAGKALGIARACFEDAVRYANDRTLRGQP IGRFQMIQSDIAEMAVAIDASRALIYQAASALDQSLPANRLVAIAKYHASQTAKMCAD KAMQIFGGYGLATEYRISRLRSYADLFFTGEGSANVQKILIAEDALGYKLADRHHGRT GLRDIRKDDAGAQIAATEA MIM_RS11010 MQTFDFVIVGAGTAGCVLAYRLSARGYRVCVVEAGPVDRNPYIR IPGGIMKTSTDPSITWQFNHQGSANTNGRSIPFIQGRTLGGSSAINGMIYSRGQPADF NGWAAAGAQGWDYESVLPYFRKSERFMGEGEARYRGREGNMPITVLAKRSAVCDSFIR GAVEQGIPYNPDYNGCEQTGVGYTQANIYKGKRWSAAYCFLRPARRQFGVTVITDALV RRIVVADNRATGIEYSRSGETTLHTVRSNICTIISAGSVNSPKLLQLSGIGPARLLQD LGITVVRDLPGVGENLSDHYAARIVVRTPGNVETVNQHSRGIPLIREVTSWMLGRPSI LAMSSMSVYAFCKSNETSTENDYAVTFTPASLKAGKTRKLDDFPGVTSGAWRLRPESR GYIRIESRDANHPPIVQPNYLDAESDRRILITALRRSHAILHSDAMKHIFREQVFPTA DCQTDEQWLAFIREYGTTAFHLVGTCKMGALSDPMSVVDPTLKIKGIDGLRVVDASVM PTTPSANTNASTLMIAEKAADMILQELGRTS MIM_RS11015 MKVEQFDYVIVGGGSAGCVMAARLSEDNDVSVLLLEAGGRDWHP YIQIPLGVGQIRQAGMFDWGYLSTPQAGLDGRRIELKRGKVLGGSSSINFMAHNRGNR SDYERWVRLGAKQWSYDHLLPYFKRLESWRGEAAAHRGSDGPVGITYTCDSDPLGWAV LEAAKAAGYPIFDDLNSPEPNGFGLAQSAIDRGRRASASRAYLRPALGRRNLTVRTRA IAARILFEGKTAVGLQYLRSDKLGEIRARREVILTSGAFNSPQLLMLSGIGDADALRK LNITPVQHLPGVGANLQDHLSIPLTYQRIGAESALHRMLRIDRLVPALLNALVAGKGP ATILPSGINSILRTRPELDAPDIQIIFGAGALEARPWLPGFNQWQDLFYLRPVGAHPQ SRGHVTLASNDPCAKPVIDPRYLSCVEDVRVLRDGFRIAREVVSQLQLDAFRGEELSP GLACRTDADIDKHIRHTATTVQHASCTCRIGEDDMAVVDARLRVHGINRLRVVDASVM PEILSCNIHAAVLAIAEWASDVIRGKAPMRPDGNSRDATKETEKN MIM_RS11020 MNQFKTIPAATGRMLIEGQLSESLGGKWITCISPSTGADIGKVP DGTTGDVERAVSAAQSAQTNWAALSVAQRAHYLLKLADALAASTDELLAIEVASTGNS ITGMKGDISSCIERLRYFAGLGYELQGNTIPESSNKLNLTLREPYGVVGRIVAFNHPL AMAVHGIASPLVAGNTVILKPSEQCPLSATLLGEIASKVLPAGVLSIVTGGAEVGNAI VRHPQVKRLSFVGSVRTGLAIQRAAAEVAVKSISLELGGKNPFIVFPDAPIEQVAKAA VLGMNFIWQGQSCSSTSRLFVHDSIYDEVVQHVTEHVRAIRIGDPFEDDTQMGAIVSQ AHLASIENYVRIGQDEGARLVAGGKRPTGTGFEKGNWFEPTVFSEVRQDMRVAREEIF GPILSILRWSDTDEVIDMANSVEYGLTAAVWTSDITKAITTAKRLQSGYIWINGVNTH VRSVPFGGYKNSGTGRERGIEELYSYTEEKSIQITL MIM_RS11025 MKVEGQCHCGAIVYEADVTPGTVAICHCADCQMQSGSVFRMNIA APADTFRICKGTPKKYIKVGDSGARRVHAFCDQCGGPVYSSAMDNPQTYSLRVGALKQ RYELGKPVRQIWTKRRLPQIPHFDTEEEFDGQP MIM_RS11030 MKHRPLLILQTGSPPTEIRNKYNDISTWFGQVLNHTRRPVSVVR VFEGDNLPAPNPDYVTVITGSWAMVTDRHPWSEATAQWIRDAVKIEAPLFGVCYGHQL MAHALGGHVDYHPKGPELGCQTIQLQSSAAQDPLLAALPSQFQAHLSHEQSVLEPPAG ASVLAGSAHDPHQIIRYGAHAVSTQFHPEFTPGIAGSLLQRRRAASKQNETHIETLLA NLVETPEATSILNRFVESYESV MIM_RS11035 MNTINAHASALVLIDYQAGLMPVILDGETAVANAQRLAKAARIL DIPVLGTEQNPAKLGPNLAVIKAECESTLAKTHFDACEDGLLQALNSLKHECNEIVIA GCEAHVCMLQTAMGLLRAGKHVWVVASASGSRRNSDYRVAMDRLARAGATVVTHEMVM FEWLHDCRHPRFREVLALIKLADKSLA MIM_RS11040 MIKPVSISTLDTQRRLIPAPVLTLLILLFLAITLAGCSRDDSAN KPAPKTDGPPEVGVVTLKPQRITFATELPGRTVAPEIAQIRPQVNGIIQKQLFTEGSQ VKAGQVLYQLDPAVYEAEYAVAKANVKKAESTVANARTVARRNRELARIDAISAQINE TSQAEAQQAAADLAVAQAQEQRARINLDYTRIVSPIDGWIELSNVTPGALVTANQDTA LTIVQKLDPLYVHVSQSSAELLQLKEDIAAGRLQATAENDALIRLRLENGQLYSHSGR LTFSGVTVNPSTGSITLRASVPNPDRVLMPGMYVRAVLDNSTGEQSVLVPQQAITRRP DASTVALLVDAENKVQERTVVVGRAIGNSWQVLEGLAAGERVVVTGSLHVRPGTTVRP VETSSKTG MIM_RS11045 MAQFFISRPIFAWVLSIIIMLAGLASIHSLPLEQYPDIAPPRVA ITATYTGASAKTVEDSVTQVIEQQLKGLDNLLYMRSNSNAAGRARITLTFDAGTNIDV AQMQVQNRLQQAMSRLPQQVQSRGVTVTKGGEEFLMVVSLYSDDGSASPVDVGDYITS NLVDVISRIDGVGEVQTLGTGYAMRIWLDPRKLLSYNMMPSDVTAAIQKQNVQVSAGQ LGSLPAVSDQQLNATITARSMLQTEEDFRNVVLRVAPDGAVVRIRDVARVELGAENLT IRSALGGLPGAGMGIITADGANAVEVAQRVREKLAELEPFFPNNLKTFIGVDSTPFIS ASIDEVVKVLLEAMVLVVLVIYLFLQNLRATLIPAIAVPVVLLGVFGVLSIAGFSINT LTLFGMVLAIGLLVDDAIVVVENVERVMHEQGLSPREATRKSMREITPALVGITLVLS AVFIPMAFFGGSTGVIYRQFSITIVSSMVLSVIVALTLTPALCASLLKPVRKGEHTNP SHARKGPLGVLDRFFVRFNQGFDRTANRYQTAVAGIAKRPRRGLIVYVIIAVIMAFLF LRLPTSFLPPEDQAAVRLQITLPAGATDARLQPIMREIEQYFLKQPDVRSITSITGRS GDQSSARAYVMLTDWSLRPLPEQSAAAIARKATRDLAHIRDARIIATLPPIVRGLGSS SGFNFFLQDINGLGHEALVQASRDALRLLGERDELMNVRIDAPEDTAQFAVKIDDARA GAFSLTTDAIDSTLSTAMGGTYVNDFLDRGRVKRVYVQGDTPFRMLPGDIDQWHVRNT LGEMVAFPAFSSTNWTFGSPELNRYNGSPSLEFLGDAAPGVSSGAAMLAVEQVMQQMP AGIGFEWTGASLQERISGAQAPLLYAVSILFVFLCLAALYESWSVPFAIILVVPLGIV GALLFTALRELHNDVFFQVGLLTTVGLTSKNAILIVEFAKQLQERGHTVLEATLIAVR QRLRPILMTSLAFGFGVLPLAIGTGAGAGGRHAIGTAVLGGMIVGTALSLFFVPLFFV LVRSFRRKRHPHDDHSATPSPDTHSGSIS MIM_RS11050 MSRRPLALSRSIAFVIPLLGLSACVNLAPDYQQPAAPVASVWPQ PPATKASSGQASVNELDWLTFFTDARLRDVVRLGLEHNRDLQIATLNIERARAQYGIA SADALPSIGADAQASRSRTPASISNSGETTLSSRYTVDLGLTSYEIDFFGKIRNLKAA ALQRYLAAQEARRSAQISLVSEIAAAWLQLEATGQQLALARSTLLNQQKSHDLIRRSH ALGAESGLTLAQSQTTVDAARVKVAEYETQQAQDTNALTLLTGTQVPGSLLPPADFAL QGRAATRLVMPPDGLPSSVLQQRPDVLAAEHDLIAANADIGAARAAFFPSISLLGSAG TASSSLSGLFTGGTLAWSVAPSLRLPIFDGGANRANLNLSKAQKDILLATYEKAIQTA FREVADALAVRATIATRLQSQQSLVASTYRSFQLSSALFARGGGSGFLEVLDAQRAYY AAQQDMITLRLLEQLNRLALFKTLGGGWQPVAASSSRPTTSP MIM_RS11055 MAVDKVLYVAHATATGGRDGRAVSSDNVLDVKLTTPKELGGGGG TGTNPEQLFAAGYSACFLGALKLVASREKVKLPDDTRIDGSVGIGPIAQGFGIEVELK ISVPGVERSVAQALVSKAHEVCPYSNATRGNIDVTLTVV MIM_RS11060 MNIPSFGLGTFRLKDQTVIDSVTNALEVGYRAIDTAQIYGNEAE IGQALSASPVSRDQLFLTSKIWTDNYAADKLIPSLKDSLHKLRTDYLDLTLIHWPSPG NAVPVAEFMGALAQAQQQGLTRQIGVSNFTIALMREAIDAVGSDRIATNQIELHPYLQ NKKVVAFAREHDIAITSYMTLGYGKVLQDPVVQAIAQRLQATPAQVVLAWALHSGFAV IPSSTNRAHLQSNLAAQQLQLSDADMAAMAALDRNERITSPEGLAPEWDD MIM_RS11065 MMTNSDDLLTFVTVIDSGSISAAAEQRGLTPSAVSRTLTRLEQN LQTTLLNRTTRRMHLTEEGRFLLEHARAIVAQMQAMQETLATRRQSPAGRLRVNAASP FMLHAIVPHIGVFRRNYPHIELQLNTHELNIDLLEQSTDVAIRIGALQNSTLHARHLG NSALKLLASPDYLSRYGTPETVEALEAHTLLGFTQPESLNLWPVRRADTDNQLMTIPD VAASSGETLRQLALAGQGIVCLSDFMTYEDVRHERLIPVLPHLTEKQVQPIHAVYYRN SPLALRIQCFIDFIQKTLSIQPWNGR MIM_RS11070 MPFHVYANPFSFMRGVLSRTVLHTLMLLGCVVAALHAMPVRAQS DEDLDTAPEAPTLDSDVKTIRGLDDDLEPPQGCSSKGCGPALKLDLKIMGFLASPLDK SYVSSRFGLRMHPIYNQLRFHAGVDLVAPLGTQVKSTLGGKVSFAGVKGGYGNTVIVE HGSGYQTLYAHLQKFAPRLAVGRIVDKGEVIGHLGDTGKVTGPHLHYEIRYNNYAVNP LTGQGAAGIGRIQKTTIIRGGQSQRMRSGRIRTIIRH MIM_RS11075 MLRLSVILSLLVCLGACSDRQDDERLRLALTSDCTVTRASLLLS GKYVDKQALATVQQECQAAYVTLMNTVTAQQLRDQQTEVYDSFQRAYRMKYSLHDVFD NLPPAAKTTYEELATILFGLKKEDIDS MIM_RS22120 MRDFRDRMRLIKYLIAALFVLVALGYLWASMNRPDETAGEYSQF DKERAIITCMTAEYATRYQDDPAETDMFPECEARFEKLKATLPYAEYIRLQQTPVLAE SGPVHMQPYELFLQIMTGQDR MIM_RS11085 MQKSKRKTVTGLALAGLTAVSLMFAGTAAQAEEKKWPEHSLTLI VPFGPGSTPDQIARIIARSAEKKLGQAIVIENKAGAGGNIGTNQVAKAKPDGYTFGIS ITGPLVNNQFIYDKLPYNPEKDLAPLTLAVTQPNVIVVTKQSGIKTLKELIEKIQAEP DKLNFATSGNGTGSHLSIELMLQAVNGQATAVPYPSSPAALNSLIAGDTQFTALAPIA VLPLVKEGRLVALAQTSAQRNSSLPDIPTVSEAGAPGIEGAAWSGFITSSQVPIAIRN TLTDALLTALRDPEVGKQLKAIYMDPIPSTPEAFHDYMNQEKARWQPLIEKLNLKVN MIM_RS11090 MQQQSSYTDSFGLQDKVVFISGSTRGLGLEMASAMARSGALVYI NGRSAQSVEQACARLQKSGAHVQGLVGDMSVVADIDRCMTDLAEQHGRCDVLVNNMGI RIRQPLAAFDFAQMEQMLQANLLGAMYLSQKAAALMRQQQWGRLITITSVAGEVARPG DGVYPITKQGLTGMMRALAVELATYGITSNAIAPGTFATETNLEMVNAEAGQTLAGRN PTGRWGRPEEIAGLAVFLASDLSGYINGQVIAVDGGLSVLF MIM_RS11095 MQTLFKHEAPLMVALSLRVLGNYNLAEQAVVSAFVLIWNNAEAY DEEMGPARGWIFSILRYRISQIFKEHYDAIQAASKTQDESVLNEVSSNLHADVRGDLP VTPAFYLHLEELPEEPQKALINMYFSGMSQAQTATKVGMPLGRFKENLFLGLQHLAKH LPEFSPPHQATEKMGEYVLGGLSENEEKLVYKLMNDDAGVSRIALLWEAQFTHLLSQL PAQVASSRVWEKIKTAAFAKAPVAVAPIADAGDEDSTEQPYVSGVKSTLRRFWYMLPL WRALALILAVAAFTFWYTGTPPADQPRKVAVLDSSMSNAQTGWVVRFNAAGDAQFSPV VRQTVSDGLVLQAWKRSNGTDTALGLIRDSKAFVLNAERVGQIAAGDQLLISLEPEGG SRSDRPSGSILYKGTVADL MIM_RS11100 MSLILLLILPFLGSILVGLLPEHPRSPEAWMAGIIAVIGALITL SFLPEILQGNTVAQTLEWVPSYKLNLVFRMDGYAWLFSLLITVMGALVVLYARYYMSP KDPVTRFYSFFLAFMGSMLGVVLSGNIIQMVIFWELTSLSSFMLIAYWNHRQDAKRGA RMALTITAAGGFCLLAAMLMIGHVVGSYDLDTVLASGDTLSTHPWYLAILILFALGAL TKSAQFPFHFWLPNAMAAPTPVSAYLHSATMVKAGVFILARFWPVLAGTSAWFWIIGM AGLCSLFLGAYVATFQRDMKGVLAYSTISHLGLITLLLGLNSQLALIAALFHMINHAT FKASLFMATGIVDHETGTRDLVLLSGLRKAMPITATLAVVAAAAMAGVPLLNGFISKE MFFAETLNLPDYLEVSNWLPVFAVLASAFSVAYSLRLIMQVFFGPRATELPREPHEPP LWMLVPSGILVLICLLIGMMPETIIGPVLSSAAHSILGPTLPAYSLAIWHGFNLPLTM SLIAMGGGILLALVLRPLQKRAPGQTPLLYRVEGRAFFDGAMDLLDTIAYRAMNLFAT QRLQPQILWIVVITVVVTIMPLLLFEAWPQLVMRNIDLPFTLLWIIGACCAVGAAYQA KYNRFRSLVLLGGAGLCSSLTYLWLSAPDLALTQLVVEMVTTILLLLGLRWLPRRMST EPASDRGRATVRRLRDMTIAVIAGLGMSILTYLQLSRPRPEGVSSFYLEKALPEGGGT NVVNVLLVDFRGFDTYGEITVLSIVALTVYALLRRFRPPRESLKALEDRRFRQSGDTA SVDENAELPTGTMLVPTVISRFIMPISTLIALFFLLRGHNLPGGGFVAGLIFAAAVIL QYMMGGIRWVEDRSRIFPQYWIAGGLLMAGIAGMSAWLAKLPFLSALAADLDLGPLGH LHLSSVILFDLGVFAVVVGSTLFMLVALSHQSLRFYKKVSAETAEEHTGGDTSLSDQE SDSSASAESAALPPNTQGAPSWN MIM_RS11105 MELILSIAIGIMVGSGVWLLLRPRTYQVIIGLTLVSYAVNLFIF ATGKLTTGAPPILKEGVETTLTNYADPLPQALVLTAIVISFATTALFLVVILALRGLS NTDHVDGTESQ MIM_RS11110 MSQWMNHLPILPVAIPMLVGAFMLVLRDQYRNFGVVLGVVSILA QFCIAVALFGITTGRIPNDYENHIMVYLLGDWRAPFGIVLVADQLSAIMLILTAILGL CSLLYSTALWDRAGVFFHPLFQFIMMGLNGAFLTGDLFNLFVFFEIFLAASYGLALHG SGMARVASGLHYITVNLIASFLLLIAISVLYGITGTLNMADLGLRAATLSGDDRRLFE AACAILGIAFLIKAGAWPLNFWLTSAYSAAVAPVAALFAIMSKVGIYALLRIGSLLLP TGAPAAFSGDWMYVIGLATLLFGTLGILSEKNSGRLVGYCIIMSSGTLLSALGMPSVI LTGPSLFYMLSSVLVTSTFFYLIELIKRTESFGGNVLAVSLEAFSAEDQDSSDYSGTV VGKPIPFALAFLGLAFFICALVIAGMPPFSGFIAKFALLSKALDLSQTNAATTTSVWL LVVAMLISGMATVIALGRTGIRMFWSSEKLKPPVLSRREAFPITLLLMLCIALTVFAG PVMDQLTLTAKQLDEPGTYMKAVLSSRPAVMSSHGGTP MIM_RS11115 MKSWFSWLPMTMFLAVIWVLLADMPLGMGTLAFAGAASLIIVLM SRRLRPFLARPRRIWVILKLAGIVLADTFQSNVAAVKIILGYPKIEYTPGFVSVPLTL RDPHGLAILAAIINYAPGTLWAGFPESGEYVQLHILDLPQETDWAAFITERYEKPLKE IFE MIM_RS11120 METLLMWSCYFTLFCFALAGVILSHPLFVGPTPQDRVLGIDALY LIGMMIALTLGMLYRTSWYFDIALLVSLFGFLSTAAMARFLLRGEVIEP MIM_RS22125 MIDSLSPWIVIPASVLIVISGLLVLTASIGMVRVNNFLPRTHIQ AIIYSTALWALLLASLLLTFNLQDRTFLHEILIGLFIYITSPVSTILLVRSFVLREER ASLPDTTVAAPGATDADVKEQENDRTAAKDVASIEAELEMDSEAESESESGAESGAES GAEPGSGSAQAVEHKPDNENAPDMQQTPRTEPPRN MIM_RS11130 MTQPIDFLLSRSSMKLVTAPAPNDDDLARILQAAMAAPDHGSLQ PWRFKIIRGEAIGKFADFSIGLRQQSDTPFTPEKEAATRAWLSEVPMIIAVACHIDYG NTAISETERMLATGAAVTNILNAAYMLGYGAFWSTGIATYIDEFQSGLGFDSLDYRFL GFIAIGTPRMAIPQKKRPDYTQFISEWTEPVDV MIM_RS11135 MIDLGVNIDHVATLRQQRGCGYPDPVRAALLAEQAGADAITLHL REDRRHIQDSDVHALRPLLKTRMNLECAVTDEMLDIACQVRPHDVCLVPEKRQELTTE GGLDVMTHFTSVSQAVTRLHAADARVSLFIDPDFEQIRAAAQAGAGVIELHTGAYAQV SGEAQQHELERLRLAIAEGLRQGLKVNAGHGLHYQNVQAIVALGGISELNIGHAIVAQ AVFDGWEKAVRDMKALLRS MIM_RS11140 MQGSQRTLPRFSAVFMAVLLAGCSLSPDYTRPDAPIPSTYPGQS GANQASVQELGWEQFFREPRLKALIGLALENNRDMRIAVKRVDEARAQYGITRSDQFP QIGATAQESAQRLPPNMRMAGPDSQSVSRSFTAGIGITDFELDFFGKQRNLSEAAFQS YLSTVEGRKTAQINLIGQLATAYYNLRAAQQQKDLVARTLKSRQSTYDLVQARFRGGV ASALDVNQAKNLLDAARASSAELDRSEKQANNALQLIIGAPIPAGLPAPSPFASDVLM AKIPAGLPSDLLTRRPDIIAAEHDLLAANANIGAARAAFFPSISLTGLIGSTSLGLGS LFSGGQGTWSFNPVISLPIFTAGRLRNNLELTEVRKDIAVAQYEKTIQTAFKEVADSL AGEATYGAQLQALRDQQKSAYESLNLSDLRYRNGIDSFLQVQTAQINLFTVQQSFVQV GLESLLNKVELYKALGGGWNRDTTMRANPDAPIANPVPASGNATQVAPSTPQ MIM_RS11145 MSNFFINRPIFAWVIAIIIFFAGVVSIPNMAISQYPEVAPPTIT VRATYPGAGAEEVARSVTSLIENELNGAQGMLYYASTSDSYGAAKIDVTFEPGTNPDL AQVDVQNRISGVTSKLPQAVTQQGITYSKSTAGFLMIVTLTSTDGSLDDAGLGDYITR NIQNSVSRIKGVGEFQLFASPRAMRIWVDSAKMTSFGLTTSDIKTALQTQNAQITGGT LGSPPTPDDQTTSATVLVNGQLSTVKEFGDIVLRATTGGSTVRLRDVARIEVGADSYQ FGSRLNGQTSAAFAVSLAPNANALETSNLVQAEMENLAKYFPGNIEYKVPYNTAPYVD ASITQVVHTLVEAMLLVFVVMFLFLQNIRYTLIPAIVVPVALMGTMATLLYMGYSINT LTMFAMVLAIGILVDDAIVVVENVERIMAEEGLQPKEATVKAMPQIFGAIVGITLVLM VVFAPLFFMSGSAGVIYRQFAAAMIISIGFSAFLALTFTPAMCATLLKPVPKGHHEKK GFFGWFNRTFARITNNYTGFVGSLLKRGGRMMFIYLGLVILLGFLYLRLPSSFLPTED QGYAVTNIELPAGVSAKRTEDVIKQVEDYYKKQPEVENIITVQGFSFNGSGLNSAIAF TPFKKFSERKGAEHNAMSVAGRATGQLLFGIPDAMVLSIVPPSIPSLGTATGFDLRLQ DRGGMGQTQLREAANQLIQLAGQSKILSQVRISGLGPGPQLKVTVDRIKAYSLGVNMT EVGNVLSGTIGNSYLGQFPNQGWMQNVWIQSEAAQRMSPDDILKLRVHNTDGELVPMS SFVSLDWVHGETQVQRYNSYDSITIQGQANAGYANGEAMDEIVKLIGQLPSGIGYEWT GLSYQEVQAGNQAPILLGLAFAVVFLVLAALYESWWIPLSAVLIVPLGMLGTVGLVTL VGMSNDIYFQVGMITVIGLSAKNAILIVEFAKDAYASGQGLVESTLEAARLRFRPILM TSFAFIMGVIPLMLASAEGAASQQAVGYGVFGGMLAATPFAVLFVPTFFVVVMTFFKV KPRLLGRQADEHEKATEAEKESQHIYTDVAEADDMTASGESKRQDGLPPKGND MIM_RS11150 MSNRLFLHKRYVATVLLSCLVALSGCGKEDQQAGAQGGPPPTPV STIKLTETPTTIFTTLPGRVSAVRDAQVRARVNGIVQKIEFEQGREVKKGQVLFRIDP ATYQAQAAQAEAALNQAKATASSARQLANRYSTLVKSNAVSRQEYDDARAQANQADAT IASAQAALDAARINLSYTDVESPIDGIIGRALVTEGALVSGTEATELAHVQQMAPVYV DFTQSTSELYRLRKAMADGQLTKVDENTAVVQLELEDGTQYPENGQLLFTGVSVEPST GQVTLRATFPNEEKLLLPGMYVTVKLEQGKDEKALLIPTQAIQRSTDGLNNVMVVRDG KVAAITIQTGGEVKNETIVTQGLKAGDEVIVEGFQKIRPGAPVKPQPWKKDKAAGNNG QPPQSGAQEQAKEGGEKSPAQESKPEQQGDKPAQ MIM_RS11155 MLNAQNLHITFNAGTPIETRALRGLSLTIPKGQFVTVIGSNGAG KSTFLNAVSGELMVDSGTIHINDQNVTRKSVWQRALNVARVFQDPMAGTCEDLTIEEN MSLAYCRGGERGLRPALRSDLRQLFRERLTILGLGLESRLTDRIGLLSGGQRQAVSLL MAALQPSKILLLDEHTAALDPRTAQFVLDLTEKIVTEQKLTTMMVTHSMKQALEIGDR TVMLHQGQVVLDVAGEQRKNMTVSDLLHMFEKVRGEQITDDALLLD MIM_RS11160 MSLFSSLGALELGFIYSLVALGVFISFRVLRFPDLTVDGTFATG GAVSALLVSSGYDPFLASVAAMIACGFAGMITGWLNVKLGIMDLLASILMMTALYSIN LRIMGGPNIPLITSDTVFSLTQPSSLDDFIWRPALLFIVVVVAKILLDVYFSTRSGLA MRATGSNLRMARAQGISTDRMVLIGMALSNALVGLGGALFAQSQGGSDISMGIGTIVI GLAAVIVGESILPARRFLFITLAVIVGAILYRFFIALALNSDFIGLKAQDLNLITALL VAIALILPKLRRNLRLKGRA MIM_RS11165 MIKGTFTFCLSAALVSSALLTGAAQAADKFVAVTAIVEHPALDA VRDGVKEGLAKEGFEEGKNLKWKYQSAQGNTGTAAQIARQFIGERPDAIVAIATPSAQ AVVAATKTIPVVYSAVTDPIAAQLVKSMDASGANVTGVSDELVLEKQIELIRQVVPQA KRVGMVYNPGEANSSVVVKRLKELLPKSGMTLVEASAPRSVDVGTAARSLAGKVDVIY TNTDNNVVSAYEALVKVGNESKIPLVASDTDSVKRGAIAALGINYKDLGVQTGTIVAR ILKGEKPGSIASETSKTLELFVNPGAAEKQGVTLSEDFVKSAKVVVK MIM_RS11170 MQHQKKAPHPDYDEPIISESQGVRYLHFNSEWIQGAMRVARPEK LEFEYTQQMMAWLLFLAPPADRSVGILGLGAGSLTRFCQHHFDSDIVTVEWNPQVTAI CESAFELRQTASCRVVHEDANDWVLDPLNENGYGALMVDLYDYTAQGPVCSSERFYQG CRRVCAELGVVTINLFGHHGSFRKNIRNIRRAFDDRVILFPETVDGNRIVIAFTGPPL EVTLEQLRQRAKRVQSLYRLPATGWLRTLLQENGKTGSLVRF MIM_RS11175 MPDVFVVSGVRTAIGSFGGSLKNTSPGELGATVIRAAMERAAVT GDDIGHVVLGHVINTEPRDMYVSRYAAVTAGVSQGTPAFNVNRLCGSGLQAIVSAAQT LLLGDAQIAIAGGVENMSRAPYIAPAHRFGARMGDSVMQDMMTGALSDPFEKMHMGVT AENVAREYGVSRQQQDELAIASHQRAANAIDQGYFKDQIVPIVMKSRKGDIAFDTDEH VRRDITLEGLSALKPVFVKEAGTVTAGNASGINDGAAALVLMNGDMVKERGVKPLGRL VAYGHAGVDPRLMGIGPVPATRNALAKAGLKIEDMDVIEANEAFAAQACAVTQQLGMD PAKVNPNGSGIGLGHPVGATGAIITVKALYELQRIGGRYALVTMCIGGGQGIAAIFER V MIM_RS11180 MKIATWNVNSLTVRLPQVLAWLDQNSIDILCIQELKQVNEKFPK DAFQELGYDAVWTGQKTYNGVAILSRSSLEDVIVNNPLYPDTQQRLITATCQTTEGPL RVICAYCPNGSALDSEKYEYKLEWYAALNQFVEAQMKLFPNLAICGDYNIAPEDRDVH AKYAGDILISPKERAAMQALSGLGLSDSFRLFEQEEKLFSWWDYRMLGFRRNAGLRID HILLTAPLAQHCTACVIDKAPRGNEQPSDHAPVVATLSLSFA MIM_RS11185 MHIKRGALFVALAASLTLAGCDTMDHLLKNRSGGGTRVSDVPTT PPPSGQGRTPATSTPPAPSNAVASSSLIMYIAARTPTQGFTAVRQNGVLVYVDPTQTL TRNDLQNAVATRNSAGKAFVKLEFSPSGSQRLASLTGNNIGKSFAVTQKNRLVSIINI GKPITSGVLYVPMSSEQAATSFEDQILDGE MIM_RS11190 MEKTRISKLLAERGLCSRREADAYIERGWVRVDGKVATLGEKAF PHQSITLDKSAQARQTARVTIILNKPVGYVSGQAEDGYRPAATLITPDRQFDRDKHTF NPSHLRGLAPAGRLDIDSQGLLVLTQDGRIARQLIGEDSEVEKEYLVRVEGKIAGNGL QLLNHGLSLDGDVLRPAQVSWQNDDQLKFILQEGKKRQIRRMCQMVGLTVVGLKRVRM GNVTLADLPPGKWRYLKSHERFL MIM_RS11195 MKHEPHSPGWLKRPPPKLDPVQKYWLSRPGPLTEGLRKQGEVRI RVIGEFAEVVSADEARTISVAANTVVWVREICMSINGTPAVIARSITPLDAARSVWQA VRRLRTRPLADILYHDPAIVRSVFESCIAKSPMPIFKAVVRSGQLPSPSSSRLPTRRS VFWKNDQPLQVTECFLPAFWSPLLVYPKV MIM_RS11200 MKLITAIIKPFKLDEVRESLADIGVSGLTVAEVKGFGRQKGHTE LYRGAEYVVDFLPKVRVEVVIADSLVDSAIEAIIKAARTGKIGDGKIFVTPVERAIRI RTGEQDDNAL MIM_RS11205 MEPTVSVAFAQINQKVGDLAGNAARIVQAAALAHEQGHDVLLLP ELALSGYAPEDLLLRCQFLADQQAALQSLQEALSGFQDLHVVLGHVVSNDKGTFNAAS VFLNGDNLGTYLKQELPNYSVFDEKRYFSAGRKPLVFTVREQVFGVTICEDVWFASAA AAARQAGAETLLVLNASPYMMRKHETRLAVVRRNVCDAGMSAIYCNLVGGQDELVFDG HSFVVDRHGEVVIELNSFCEAMGSVQIASGALAALAPVSFGTDHAVSLQPQENEAAEA EVWRALVMGTRDYLGKNFFKKAVIGLSGGIDSAVVLAIAVDAIGADNLHAVMMPSRFT ADISVNDAQDMAQRLAVRYDEIAISPMFNSYLDALSPVFGDLPQDTTEENLQARIRGA LLMALSNKFNAIVLTTGNKSELATGYCTLYGDMVGGYAPLKDIPKTLVYRLASWRNTQ SAIIPERIITRPPSAELREDQTDQDSLPEYDVLDTILEHLMEHNDSVEAIVSTGLARE DVEKVARLLRINEYKRRQGSPGPKITTRAFGRDWRFPITNGYRF MIM_RS11210 MTDSPNPTNQFDKKAQAWSARFSEPVSDLVKRYTASVDFDKRLA RFDIQGSLAHATMLATTGVISDDDLTAINKGMQQILQEIDAGTFTWLLDLEDVHLNIE KRLVELIGDAGKRLHTGRSRNDQVATDIRLWLRSEIDGSLELITALRRALAQVALEHH DTIMPGFTHLQVAQPVTFGHHLLAYAEMFARDAERLADCRKRCNRLPLGAAALAGTSY PIDREQVASLLGFDSVCRNSLDAVSDRDFAIEFCAAVSLVMTHISRLSEELILWMSPR IGFIDLADRFCTGSSIMPQKKNPDVPELARGKTGRVNGNLIGLLTLMKGQPLAYNKDN QEDKEGLFDSADTLRDTLTIFADMIGGIRVRKQAMRDAALMGFSTATDLADYLVKKGL PFRDAHETVALAVRHCEEQQCDLADLTLEEMRKFNASIDSDVFSVLTLEGSVSSRAHT GGTAPTRVKAEAERVLAELG MIM_RS11215 MQEIFTIESLDLEARGIARREGKAIFVEGALPAEKVTADIVRRK PSYEIARMTSIIRESSQRVTPRCPSFGTCGGCLMQHLDVTTQVAIKQRALEDGFRHIA KITIPRVLPPLQGPAWGYRYRARLSVRKVIKKGKVLVGFHERKSRYVTDMTECHVLPP YVSDMLVPLRELIGNLSIDEQIPQIEVAVGEDTTALLLRHLAPLTAADIEQLDAFSAH HNVVWWLQSKGPDTVKPLHKEQADSLYYLLPQFGLKMPYKPTDFTQVNYFINRSLIAK ALNLLDVQANDRVADLFCGLGNFTLPLATVAREVVGIEGSSILTDRALQAASQHDLQA KTRFATLNLFEVDVAWLRDLGHFDRMLIDPPREGAQAVAQALAQLEPRERPTRIVYVS CNPATLARDAGILVHEGGYTLSAAGVVNMFPHTGHVESIAVFESGAGKVPAATVSSTE S MIM_RS11220 MAVQFSGSFLVKSLLASALSATIATTAMAQAVKPDTESNPISPS VQSEGTRMEHHRIGEAKPHHGARKHHKGEGRKHGHHRRGMSNAAIMIPGLGPGSQKLV DDLKLTDEQKTTLKTIQDEHKAAREPNREAFRKYQETRRQQLESGNVDPKALLEAQKE MHDGFEKKMADDQAKWLGLWDTFSPEQKTTVVKYFKERSAKWEEHRKQFQKEHADMNK APMPEPATPAADKPAPPAPAPAQ MIM_RS11225 MTPTLVFDLETIPDAKGLRALNDWDDSLSDAEVVERAKALRIEQ TGNDFFPLHLQKIAVIGCVFRDDNGFRVRTLGEPGDEEDVLIAGFFKTIERYTPRLVS WNGSGFDLPVLHYRCLIHGLSAPRYWDMGEDDREFKYNNYISRYHTRHIDLMDLLAKF NGRANAPLDQLAKLCGFPGKLGMDGSQVWDAWRDGKADEVRAYCETDVVNTWLVYCRF RLMKGELDKTAYEEEVALVHSSLTALNKPQWQEYLAAWDYR MIM_RS11230 MKRNFPVLIGSLVLAATLAGCGTSSRAPVSELGSGRSGSSAPAG PGEYVVQRGDTLYKISRANNVSVSQLMSMNNLSSPSLEVGQRLRVSASASTSSGTAGT DSTVAGSATPAAGSSSTAAPASDATAVSWGWPVATSKIITQFSSSTRGIDIEGKLGDP VSAAASGSVSYVGNGLRGLGNLILISHSNGFISAYAHNSKLLVKNGQKVSKGQKIAEV GQSDTASPRLHFEIRRRGQPVNPLSYLPRR MIM_RS11235 MPATEARSSQNIGLNSDRLRALMVERLRQKGITDERVLDAMRAV PRHVFIDQGLASRAYDDAALPIGFSQTISQPWVVSRMLAAVAENRKPQKMLEIGTGCG YQAAVMAAMCHEVYTIERIKPLYEMARGTLRELKLVSRIRLVHGDGMLGMPTVAPFDA IVIAAAGLQIPTALLHQLDIGARLIAPEGSDQQKLVLIERTGVNSWDRKELEPVRFVP LRAGIQL MIM_RS11240 MKRILVSNDDGYNAEGLQALAAALRDIAQVTVVAPEVNHSGASN SLTLNRPLSVRRTSSGDYYLNGTPSDCVHVALTGLLDYRPDLVVSGINNGANLGEDTL YSGTVAAATEGFLFGIPSIAFSLTERNWPELEAATKMAVQIVQHQLANPFPAPMLLSV NIPPLPEHHWDSLRVTRLGRRHPSQPVIKSANPAGEPIYWIGMVGEVADFADDTDFGA ISQGLISMTPLQLDLTHYKQLNQARSWVELSNA MIM_RS11245 MNNLPWIILTLLAAYLIGSVSFAMVASRLFGLQDPRTFGSGNPG ATNMLRTGNRKAALLTLLGDAFKGWLAVALAIYLAPRAGFTESTIALVAIAVFLGHIY SFFLKFKGGKGVATAIGVLFALQPWLAIATIATWVIIAIFFRYSSLAALVAAVFAPFY YYLGGRVAWPFSMPWFLAICFLSIVLIIKHRKNIQNLLAGTESRIGQKKKT MIM_RS11250 MIILGIESSCDETGVALVSTESGLLAHALHSQIAMHQAYGGVVP ELASRDHIRRVLPLTREVLHHADLTLKDIDAVAYTAGPGLAGALLVGASVARSLAWSL NIPTIPIHHLEGHLLSPMLAEAVPDFPYVALLVSGGHTQLMHVKAIGQYALLGETLDD AAGEAFDKSAKLMGLPYPGGPALAALADKGDPTAYDLPRPMMHSGDLDFSFSGLKTAV LTTLRKLEQQGPLTEQQRQDLAASVQAAIVEVLGYKAIKAVKASGSNRLVVAGGVGAN RQLRDYLTRQMQKRNGEVFFPPLALCTDNGAMIAHAAAERVKAGLVEKMRRPDNAAVH PRWDLADISV MIM_RS11255 MLESLFKLREHGTNARTEILAGLTTFLTMSYIIFVNPDILGTTG MDKGAVFVATCLAAALGCLIMAFLANWPIGMAPGMGLNAFFAFGVVGAMGYSWQQALG AVFVSGVIFLILSATGVRKWLIEGIPKSLRSAIVAGIGLFLALIALKSAGIVVGNQAT LVAQGDLKSPTVLLAILGFFIIVCLDSLRVKGAILIGILAVTILSALLGYSAPITGIV SAPPSIAPTFMQLDIAGVLNEGFLHIILVFVLVEIFDATGTLIGVARRANLLPEDKPN RLGRALFADSTAILAGSALGTSSTTAFVESAAGVQAGGRTGMTALVVGVLFLLCLFFA PLASVVPSYATAPALLYVAGLMVRELVEVEWNDITNAIPAALTAIIMPFTYSIAEGIA FGFISYVVIKVFTGRFREIHIATFIIALLFVLRFALE MIM_RS11260 MPITELTKDTFQQAIKEDNTLIIDFWAPWCGPCRQFAPTFEKAA EAHPDIEFAKVNTEEQQELAGALGIRSIPTLMVFRERVMLFSQAGALSPGQLDELLEK VQAVDMEKVHQEIATAEAKAAEENA MIM_RS11265 MADLLSTLTAACSFEEEIKKSRFIAHAAPAASAAAALAFFSEVG DPTATHNCWAYKTAEGYRFNDDGEPGGTAGRPILQAIEGKGLTDVAVLVIRYFGGTKL GTGGLVRAYGGCAAKCLDVAPKQVIVPSATVNCTCNYSDAERVKARLTQAGAVISDES FGAEGVSWTLLIPVSDLQAVQALYTDQTKGKGQWTVP MIM_RS11270 MISTANLTIQFGPKPLFENVSVKFGGGNRYGLIGANGSGKSTFM KIIGGDLEATSGNVALDPGVRLGKLRQDQFAFEDMRVLDVVMMGHVEMWQAMHERDAI YANLEATDDDYMRAAELEAKFAEYDGYTAEARAGELLLGLEIPVEQHNQAMSEIAPGW KLRVLLAQALFSNPDVLLLDEPTNNLDINTIRWLEQVLNGYQSTMIIISHDRHFLNQV CTHMADLDYGEIRLYPGNYDDYMLASTQARQRLVADNAKAKERVAELQDFVRRFAANK SKSRQATSRLKQIDRIKADAVEVKPSSRQNPYIRFEQTKPLHRLAVTLDKVSKAYDKP VITSFSAMVEAGQKIAIIGANGVGKTTLLRLFAQDLEPDSGSVKWSENADIGYMPQDV SEDFKKHVNVFDWMGEHRKPGDEDQAIRSVLGRLLFSADDIVKDVPVLSGGEKNRMTF GRLMLGRHNVLLMDEPTNHLDMESIESLQFALEKYAGTLVFVSHDREFVSGLATRIIE IMPDGTLNDYQGSYDDYLSSRGIEQ MIM_RS11275 MNNAFSSGKKESIKEQLNDAQILFDEADDVAYQPASYANKLQVY TISPSGYVQDPELLKRAVRNLKKSKVKVIVDKNALSRDMRFGGDDDGRIAAIARAAKH ASDIVMPTRGGYGLSRILHRIDWKLLERNPKRYVGFSDFTAFNLALLAKTGMSSYTGP NMLDFGRETVDELTYDIFLEVMHGELEILSFESEGSDAVDTRGTLWGGNLAMVASLVG TPYFPKIKNGILFLEDVGEYPFRVERMLTQLLHAGVFARQRAIVLGHFTEYKLSAQDK GFDMPEVVRWLRANTGLPVVGGLPYGHGDVRVTLPIGKKVGLATEADMAYLVIDDHHH DHH MIM_RS11280 MQTTLLNHARFMALALEQAQLAYALGEVPVGAVAVDSSGNVLGK GYNRTIIDHDPTAHAEIMALRQSARSLDNYRLPGITLYVTLEPCIMCMGAITHARVSR VVYGAADPKTGACGSVMAVQDNKQINHHTVIVSGVLKTECGQLLRRFFQERRKSSP MIM_RS11285 MAELFSDSKLPDASRGLHSRISTAVLGALPALSLGAGLAVTPAW AVESGSVQAHTTYNIAAGELGPALLRFAGQAGVNLSIDMNATRGLKTQGLSGQHSVNS GFEQLLAGTGLRIRKVGEGNYTLDTNVAPVKATTATPVMTADTAPVTELSAINVIART QSDLMSPTRQIAVIEREQLDTLRAGSDSVATVLSKVLPGMADSSHTITDYGQTLRGRN MLILVDGIPLNTNRDSSRNLANINPADIEEIEVLRGSSAIYGSGAAGGIVSIRTRQFD GEPRAETTVSATTPLSRLRAAGLGGGVQHYFSGGNDKIDYSLSLGASHTGGSYDARGA RLAPEPSQGDLFDSNVYNISGKVGLKIDEKQRLQLSLSHYDAKQDTDYASDPSVARQA AGSTAARSIRGLELDEQNRIRNTLVSLDYQNRDIAGSTLAAQIYYRDFFTRFAPFDAR AVSVRGANVDQVTQKTSVFGGRLTVRTPLTADKNTQLTWGADFNEERSEMPLDVFDPN EYDRSGGLHFRKIGSLTYMPPITTRSIGAFAQLEHRFNEHWAVQGGARYDRASVYFDD FTPLSQSRVANPGQVSGGTVHYGAWSYNAGVVYTPVKGQEIYASFSQGFELPDVGVQV RNATPAFNFGASNLEPVKTDTFELGWRGTFSNVAANLTVFHSKSDLGALQSFNNGLTL LRTKERIYGIEGGLDYFSDDNQWALGGTFTWMKGEEKPEGQDSYQNMTGYRIPPLKLT AYVEYTPSEKWSHRIQATYFASKDYRLDEKTSFGRWETSGYTTVDLISRWTIDDKNKV TFGVQNLLNRHYYPLYSQLMRNSNNTSRLPAAGAVLNVSYTHRW MIM_RS11290 MSHADAGASGVNTSGAAQSQQAQLVDEAVKLLVLMHSGHFNEAD AQRCARWRGQSPEHQRIWESVERLNQQFDSVPAPLAMPVLNRPRVSHNRRMLMKSVAV AITAPSAMWLGYRAAPWDMLTAQYRTGTGERRDLMLADGSQLTLDTSSAVDVAFDATE RVVLQRSGQIHIQTAHGAGAADRPFVVKTQQGSLQALGTQFVVRCLPDRTRLTVLEGA VRVQPLRSSDTRIVSAGQQVDFSTDIISASAPAAPGAGQWVQGVLYAEQMKLADFVAE LGRYRPGILRCDPSVANISVSGAFQLNNTDRILQALAQTLPVQIRSRTRYWVTVAAK MIM_RS11295 MSAGQSALQQEIHILYQDHHGWLYQWLHRKLGNRFDAADLAHDT FTRVLAGYRQDAIPVMNEPRAYLTTVARRLLINFYERQSLERAYEASLLLLPPQHAPS LEERAMLLETLQELDALLDALPARVRTAFLLSQLEGLSYEQIAAQLDVSVRTVTRYMA QGFRQCLMLMLAQAA MIM_RS11300 MQTNSPNNQHSTQEPFQARSRFGFLLGSVYRQWRREIDLNFKDL GLSDATRMPLLVLYVQDTALRQKDLAQALFLDSSSLVRVLAQLHKAQLVQWDNDPADR RTKCIALTPAGREVAALILKKSMEIEQSILAELSANDIQVTRLALEKISARLASRQK MIM_RS11305 MKLKVSPFAWVSFAMIVGVMGTALISPLYALYKETWQLQTSDIS LIYVMYMGGALCGLLFLGRLPDRVGFRPMMQLGLTLVLLGTAISMLAWDIASLNIGRF LVGVASSMVTTSATMGLSKLSSHGSVQRVAMMTGFLMAFGFGLGPLVGGIIGQWVPSP LTFAYVPTLILGTLGLTVLCCLRLPETSKPKATPRLTLRDILPKLVWPDASASGAFVL TCCLPFLAFGVFGLYASMAPLFLGKLVPWDGPVVSGTAIALILFASAAVQVIAGRMPI HWCGASGTIALVLSNAILILNLRASSATLFALGVLLTAVGHGMTMLAGMSMITRLAGP GNRSGLLATYLVAGYVGSMIPMMGIGWIADHWGIEIAIYSFCTMVIAIGLPVAVLFQR HPRIRPAITQPSLQEAKNK MIM_RS11315 MTTLPPCSQCQSSLTYEDGSGLYICPECGHEWPVQGEVVAESDE RVHRDSVGNVLQDGDSVTVIKDLKLKGSGGVVKVGTKVKNIRLVDGDHDIDCKIDGFG AMSLKSEFVKKI MIM_RS11320 MSDATFTFRVDVALKSDFSTAAKARDRSAAQLLRDFMRDFVRQQ EEAAAHDAWFRREVQTGLDSANAGDVIPAAEVEAEAEAWRAETRRKMSRVTRS MIM_RS11325 MKLVWTRPAHTDRKKIREYIAQHAPMAALALDELFSEKAALLLH HPGLGRTGRVPGTRELVAHRNYILIYDTASDTVRILRVLHAARQWPPHHTAKVLLEGV DAFGAYSLLPEEREWIDAPPAGREWLHDEN MIM_RS11330 MKIENAKNTEYQQLIEIWESSVRATHDFLDESDLIELKSLILDQ YFDAVELSVARADEGELLGFCGVRDGNIEMLFVAPKARGNGVGPLLIRHAISSGGATR VDVNEQNEQALGFYKHLGFEVIGRSPFDGQGKPYPLLHMRLVA MIM_RS11335 MRAVTIRNVPEEVHRAILVRAAQNGRTLQAEMCEILATAVKPEG RVKLGDLLADIGRKVKLTDEEMAVFDRDHFKS MIM_RS11340 MKFILAILPLVALIALAVTFPKWRRRNKGAEGSRELRKISQTTK TFRPGKDWQLLPTNYYFDDENFYEIQVEVNTPVPLSAITKVERDSIKVNNRSFWIVTY TVDGRTKQVRFRHNYTLFNTAFADFLDAVKRANPEASVRELSAFSF MIM_RS11345 MHQRILILDYGSQVTQLIARRVREAGVFCEIHPGDVDAAFLAEQ QSLGLKGVILSGSHSSAYDEASLKVPQQVFELGVPVLGICYGMQAMASQLGGKVSWSD HREFGYAEVRAHGHTALLKDIADFVTPEGHGMLKVWMSHGDKVTELPQGFVLMASTPS CPIAGMADETRHFYGVQFHPEVTHTTQGRALLNRFVNDICGCTNDWNMPDYVEEAVAR IREQVGSDDVILGLSGGVDSSVAAALIHKAIGDQLTCVFVDHGLLRLNEAEQVMKIFG EHLGVNVIHVDATEAFMSKLAGETDPEKKRKIIGKEFVEVFQDEASKRKSARWLAQGT IYPDVIESAGAKTGKAVAIKSHHNVGGLPETLNLQLLEPLRELFKDEVRELGIALGLP HHMVYRHPFPGPGLGVRILGEVKKEYADLLRKADAIFIEELRNTVDEASGKSWYDLTS QAFAVFLPVKSVGVMGDGRTYDYVVALRAVQTSDFMTADWAQLPYPLLGRVSSRIINE VRGINRVVYDVSSKPPATIEWE MIM_RS11350 MTNDRNQPLANPASPAKTFMLVHGAWHGAWVWHEVAQCLRNQGH IVYTPTLTGLGDRAAELSADISLETFIKDIETAILHPQSAPALVATPDADAAEALSNV ILVGHSFAGLVISGVADHIADHLNRLIYLDAFVLPSGQSTFATLPEKVVNALTASAQA HRGYGIPVPDPIHLGIPADTDQYTFARGKLTPHPINTYASALSLNAPLGAGVKKIYLA CTAPPYKPVAATHDWVRSQPDWIWDELSSSHSAPLLAPKMVAEKLLALTAL MIM_RS11355 MRLIQKALTFDDVLLVPAYSNVLPRDTSLKTKLTRNITLNIPLV SAAMDTVTESRLAIAMAQEGGIGIIHKNMTADEQAAEVARVKRHEFGIVIDPVSVTSD MKVRDAIALQKKHGISGLPVVDNGKVVGIVTNRDLRFEDRLDVPLTSVMTPKERLITM HEGGTLSEAQALMHKYRLERVLIVNDNFELRGLATVKDIVKNTEHPNACKDEFGQLRV GAAVGVGEGTEERVRKLAAADVDVIIVDTAHGHSQGVLDRVRWVKENFPEIDVIGGNI ATAEAARALVEAGADGVKVGIGPGSICTTRIVAGVGVPQITAISDVAKELEGTGVPLI ADGGIRYSGDVSKALVAGAFACMMGGMFAGTEESPGEVVLFQGRSYKSYRGMGSLGAM EKGSADRYFQDPANNADKLVPEGIEGRVPYKGSVLAIIYQLVGGIRASMGYCGCATID DMRTVPQFVEITSAGVRESHVHDVQITKEAPNYRAE MIM_RS11360 MSDTQHQHQIAYGCAALTSFQKERLLAALSQAGLPVQDVTARYE HHVFTQGVMPQEDQQRLSQLLDYGTTVASEAAQDALVLRVLPRLGTLSPWASKATDIA HNCGLSAVRRIERGIEYRFVPKKGLLGAKKLSPEQLSQIAALVHDRMTETVVDAAFDA SILMQTLPGKPLAHIPLQARGRAALEEANDSLGLALSPMEIDYLLTSFSDLGRDPTDV ELMMFAQANSEHCRHKIFNASWEIDGEKKEDTLFGMIRKTHAAQPKGTVVAYSDNAAV MEGGPASRFFASFSANGQVAAAPRYGSETRVTHTLMKVETHNHPTAIAPFPGAATGAG GEIRDEGATGRGSKPKAGLTGFTVSNLNLPDIAESREATPYGLPDRIASPLDIMIEGP IGGAAFNNEFGRPNLLGYFRTFEQTVDGVRWGYHKPIMIAGGLGSIDAALTHKDVIPG QALLIQLGGPGMRIGMGGSAASSMSAGANTAQLDFDSVQRGNPELERRAQEVIDRCWQ LKENNPIIAIHDVGAGGLSNAFPELVNDAGRGAIFDLQRVHLEESGLSAAEIWSNEAQ ERYVLAILPDDLARFEAIAVRERCPFAVVGVTTVERQLTVSDGQGLPGFADTKADSQA AGPAPVDMPMDVILGKLPRMQRQAEHKARKLVPMDVTGLDLADISLQVMRHPTVASKS FLITIGDRTVGGLCSRDQMVGPWQVPVADCAVTLADYESVRGEAMAMGERTPLAIGNA PASGRMAVAEALTNLAAADVAALEDIKLSANWMAACGFEGQDAALFDTVNAVSTLCQT IGLSIPVGKDSLSMRTRWDEQDQAKEVVAPVSLVITAFAPVADTRKTLTPQLVTDQGD TALILLDLGQGRQRLAGSVLSNVIDQYGSEVPDLDDPELLKTFFLTIRSLVERGMILA YHDRSDGGLLATVAEMAFAGHVGVSINLDMLTFDETSADWGDYKIRPEQVAVQRDELT VKALFNEEAGAVIQVRAEQRDLVLQALREAGLSRISNVIGAPNTSDQIEFYRDGRRIF GLDRVELGKAWSQVTYEIMKRRDNPASALAEFNSWDEREDPGLNTVIGFDPQEPLAAP FISSGIRPKIAILREQGCNSQVEMAWAFDKAGFDAYDVHMTDLLSGRTSLNDYQAMVA VGGFSYGDVLGAGEGWARTIRFNSALSAQFAAFFARPDVFALGVCNGCQMLATLADMI PGAQDWPRFTYNQSAKYEARLSLVQIEASPSIFFNGMQGSRLPIAVAHGEGFADFGLQ GNINTVQTALRYVSNAGFVTEAYPANPNGSPQGIASVTTADGRFTIMMPHPERVTRNV MMSWHPDSWGEQDSGGDYTPWMRMFMNARVAIG MIM_RS11365 MQKFLKKTLTACLAVALATGSIVPVSSFAQEDDGSQGYDVLPSA ATVVGQADNGGIVRSQVAYVVDLTDNRILYSKNADVIRPIASISKLMTALIITTANLN MNEQIIVTADDIDRVKKSSSRLSVGTILTRTELLHLALMSSENRAAHALARTYPGGMD AFIREMNAKARQLGMTRTRFVEPTGLSPQNVSSPRDLVRLMQAVHQQPLIREYTTSDK YEIVTSNGREQRYRNTNRLIRNSNWNIHISKTGYIREAGDCLVMMTEMDRRPVAVVLL NADGGLTRFADAVRVRHMVQQDYPTLL MIM_RS22130 MTEIKTSQILLPEGGAHGQLFYILHEESEQLTNMPFLLGTIRAE FPDAVIQLAVVALEEDLQWPAAESSEADVSATVQLAPRLAESIKSLEHDIQMGQNKQG VLSEATAVVGVGLAGSLVLGLTLLEQPIAGRIITFGAAFPAYPLDLSLDTTIHLLHAD RDMAVPSTQAREAHERMALLQADATIDIAMNSADSFSEVLIGKMFERLKTCVPLRYWR YAAESGDDKEQDEVPPASLH MIM_RS11375 MSSSLKIAISDAVKDAMRAKQTARLGTLRFLQAAIKQKEIDERI ELNDDQVLAIVEKQVKQRKESITAFENAGRTETAEAEKAELEVLREFLPEEASEQEVT EAIDAALTQVTADGVTGPAIMGKAMAVLKQKLAGRTDMAELSKRLKDRLKP MIM_RS11380 MTRKIVHIDMDAFYASVELRENPQLKGLPVVVAWDSIRSVIVAA SYEARKYGLRSAMSVRRARELCPHAIYISPNFTLYRDVSRQVRAIFQQYTDRIEPLSL DEAYLDVTQNHKGIASATEVANRIREEIFLQTSLTASAGVAPNKFLAKIASDWNKPNG ICVVPPSKVMAFLQDLPLEKIPGVGRVTLAKFHRLQMKTVADLRLRSEWELTHHFGRY GHRLYELARGIDLREVDTNQESQQISTETTFDRDLPYLQLQDPLDAIARKLWDQVLRK RKFGRTVTLKLKSASFRIVTRSQTYSSPIYSLDEVRQAAASLLERVELDKRQSLYRLI GLGISAFADIDEVEAQLLLL MIM_RS22910 MTGEPGIQTSLTRPIHNGIEVLAQVNGLALDIYLIVEEVDFDRI PDILPKARFEQDGQIHVSSLGLEDEPIEDEMESILANMDSDDTVLFFCADTEAFNIAL DFINYAGSRSFLPVS MIM_RS11390 MQPLNTTSNEVTTSNDNPDECLARYADLFDSIERTPLTQEQRRA CVSDAPATLVLAGAGTGKTSTLTGRVAFLIAHGLARPADILCLAFAREAALEIDDRLQ RRLAARWPIQGFTASTFHSLGLRIVREVEGNQPVLTELCSNPQALTGFIHEQMLQLAT NSADYAGLLFEYFAIVEPDLVLACEFTKRTHYLSACSAGLLQTLRGEVVRNPFDCLVA NALCLMGVEYDYRKHYPHSVFLARRRPYRSTFYLRNTAVYIDVFDCARPADEQCAAAV LSHRLAGIHQTYGTRHIILWEEPGYVFDIWQLIERLNRLLWECDEPAQISAVDKTSCR TGNGQSQSNSYQNTQWQQSRYRACLQGLFDSARWSSLVEMLATVLPLYRQFRSLAVAN TEPAELKTPCQRRRLSVITKLMQPLASAYQARLDTHGDIDFDEMIARATQYVATGRFM VPWRDILIDEFQDISSPRFALIAAMLRQRPDLRLFCVGDDWQAIYRFAGSEIRYSTQF AEQVHPLARIVPLSRTFRFNQALCQVSSGFLMKNPSQNRKQLFATNNDKPHVITVARQ QDGLAVILSRLQQAQSTQKSAVLILARFAHLLPDSHKLNAYARHYPGLRISASTVHAS KGLEADYVLILNMAAGTYGFPPGRQADPVIESFLPQPERFEHADERRLFYVALTRARK HVWLLVPQDRKNMSVFVQELLRDNKVAVRRPGAVAASIVGWWNAMGQSAVAGVSRCIA VKRACRSRNAWCPWAGSAVLADGQKRPRAGVIDKIKRYIKGLGIRAEKKNRVV MIM_RS22135 MKKFLVPAMIVLATAASVNVHAAKPSDNLSRHALGEAKDTRSAW AKSQDMYVEPTLRMTETTVPVTPGQVPQQNQPVEAVPAGTVPPGGTSTTTVYGPNGQP VNQSATPGQPVPMQQGGNAQPAQDLSRPKP MIM_RS11400 MKKLLLLLVPIVLAGCASSGLDVTDRGNYGVKCSPDAKTPPNWE MCMQTAQKTCGYQKPVNISQHNPTGTGSPEDTYFINFQCQ MIM_RS11405 MNTEQTTGGAPAWCEQLPEGEPAAVWHSEAGFAAPAAIEYADDT IRADQALKKMRNAIGLLWRGDFQNGRQLLQALGRRLDRKTKTARLSADEPLAARFHRY RMQQAQRARLLGLVLIRIESDNSIALRRAPDWEQALLQVYGPAHPPMLVSLRELLGVV GAYEWRRKGVPIANCDFRIHPHYGVFSPSRAEYLTLVMQAALPEKCHSAFDIGTGTGV LSILLAKRGIAQIVATDSSPLAVACAQENVDRLQLGAQISVQQRPFFPEGRADLLVCN PPWLPGKVTSSLDAAVYDPNEQMLQGYLQNARQHLSPGGQIWLIMSDLAQLLGLRAPN AMARHFSNNGLRVMAVYETKPTHARASDSSDPLHEARARETTSLWCLAPIDTEN MIM_RS11410 MTSVLRNVAISAALVCGIAGTAQAETANYEVEPTHTFVTVEVVH FNTSTLRIRFDDIQGTIAADAKQNKGNADIKIVTGSINSGTKAFDDHLKSADFFNAEK YPDITFAGKSFEYKNDKLATVGGDLTLLGQTHPVTLNNTNYNCYFQPVLKKNICGGDF ETTIKRSEWGMNWGIDMGVPDEVKILVQIEAIVK MIM_RS11415 MHSIIKKTTVITSLILLAACSFGRTDPYAEFTAQEQESDKAETA TAADFANKYPPASVSRCEAMTQESYEKGTRCYRVINRNWERDYKQAYDNADKRTRPVL KRYYSSFSSYYLAPTTPAKQKAAERAYVQLQRRIGS MIM_RS11420 MSYQGSCHCGAVTFTVNAELPTQALSCNCSICRRNGSLLTFVSA DQFQLHSGSDQLTTYAFNKHKVQHQFCKICGVQSFSSGQKQDGTVAYAVNLRSVPEAD LDALVLKKHDGAAA MIM_RS11430 MAREALAPGDPDENTDIVLAQRIAAGDMAALELMMRRHNRRLYR LARAILRNDAEAEEVLQDTYFAAYRGINHFRGDASLFTWLSRMLLNECFARQRKTQRR GRLFSVADNIENETHAMLINDSNPPYHEATRGQLRALLEARLDQLPESFRIVFVLRSV EEMSVEETALCLGIPEATVRSRHHRANAMLRKLLARDLDLSARDMFEFDGDNCDRTVA TVLQRVKLVQ MIM_RS23505 MNGQPALSEAGLIGGVGFRRSIGWIIALLAVWLLQMPLAHGASK VVASTVHIVSIQNMQFVPAVLTIQLGDKVTWVNNDLVRHTASASDSQFDSGNIPVGGS WTYTAKEEGALGYACRYHPGMQATLKVQ MIM_RS11440 MKSLYMGWILVTALSGCASAATDSGPTDAQIAAIVVTANQVDVD AGKLAASTSASKDVRSFANLMVADHSAVNRSATELVQKLNVKPQPSQTSSELQAAGDG NLAKLKLLKGAAFDKAYIDNEVTYHEAVLDALDKTLIPDADNAELKALLIKVRPAFVA HLAHAKHLQGQLK MIM_RS11445 MNTQFSIRHIQTFLATSALALGLTIGCANAVFAQVQPQSFESIQ YITGGIGNAEQNEMKSAEKDYNLLMTFSAVKDQAFLSDVAVQIVDKDNKKVFDVTNTG PYLNVKLPDGKYELTATYKGETRKHAFTLTKGKTETAVLRWPAE MIM_RS11450 MADLTKITCLDDLQRIARRKVPKMFYDYADSGAWTESTYRANQE DFQKIKFRQRVAVDIENRNLRSKMLGHDVTMPVALAPTGSTGMQHPDGEILAARAAEK FGVPFCLSTMSICSIEDIAAHTSAPFWFQQYIMRDRDFNERLIDRAKAANCSALVVTL DLQVLGQRHKDIKNGLSAPPKPTIPNLLNLALKPEWVWKMSRTQRRTFGNIVGHAKGV DDLSSLSSWTAQQFDPSLNWNDIEWIKNRWGGKLVLKGILDPEDARLAKETGADAIVV SNHGGRQLDGASSSIAMLPSIIDAIGTEGPEVWLDSGIRSGQDVLRAIALGAKGTMIG RAFLYALGAYGEQGVTKILEIIRNELDITLGFCGQTDINKVTADILLPAQR MIM_RS11455 MNQTEIPSITALPPIPAKRYFTIGEVSELCCVKPHVLRYWEQEF TQLNPVKRRGNRRYYQHHEVLLIRKIRDLLYEQGFTISGARNRLSDGRDAQHDQDAAV RLSAQDLQYIRSELNSVMKIFDQMVAP MIM_RS11460 MLEENNTLTKAELAELLFERVGLNKREAKDIVDTFFEEIRESLA KGDSVKLSGFGNFQVRDKPARPGRNPKTGEVIPISARRVVTFHASQKLKGIVEQGDEY NPDLTLID MIM_RS11465 MLFPESWLRSFVNPSISTDELSHTLTMAGLEIEGTAPVAPPFSG IVVAHIMAVRPHPNADKLRICTVDDGSGEPLQIVCGAPNAAEGLKVPLARIGAVLPGD FKISKARMRGEDSFGMLCSARELGLSQDHGGLLELDANAKIGQDIRSALDLNDTIFEI KLTPNRADCLSILGVAREVRALTGASLTEPVCAPVAVTHQDVLPVTVDAPDLCGRFAG RIIRGVNARAATPDWMKSRLERAGQRSVSALVDISNYVMLELGRPSHVFDLTRINGGL TVRWAKEGESLTLLNDQTVQLAPDVGVIAAGETIESLAGIMGGAATAVSLDTTDIYLE AAFWFPDAIAGRARRYKFSSEASHRFERGVDFQNVVEHIEYISSLILEICGGQAGPVS DQTIALPPRPAVSMRLARCRKVLGVNVTEEQVRDVFTKLNFQFTEQDGVFSVIPPSFR FDLEIEEDLIEEVARIYGFENIPSIPPKTSATMLSTNETRRSQHQLRHLMAALDYQEV VNFSFVQKDWESNYMGNSDPIVLLNPIASQLEVMRSGLIGGLIANIQYNQKRQQSRVR VFELGRIFVRDSAVTDGDLSVAGVRQPLHLAGAAWGPAEPEQWGLPVRQTDFFDVKND IERLCSQQLQHLRFEPVSHPALHPGRSASILLNGELIGFVGELHPQWVQDNELVHAPV VFEMDVAALQKMRFAHYTEVAKQPAVFRDLAIWAPVTVKLQDLLDTLENNQQDGQFLD IIKDIALFDVWKDPQSAQQERSLALRFTLQDPVATLEDTRVDQCMNAVLELLVQKHGV RKR MIM_RS11470 MTQSLDDLILQAKDKFEQAADSASLENEKAKFLGKNGVITGFMK GLAQLPPDQKKAEGARINRVKQQIELMLTARREQFARDQLNQRLAAETIDVTLPGRGR AMGGVHPVIQSWQRIEEIFHSIGFDVADGPEIENDWTNFTALNNPENHPARSMQDTFY VDMNDAGGLPFLLRTHTSPMQVRYARMNKPPIKVIAPGRTYRVDSDATHSPMFHQVEG LWIAEDISFADLKGVYTNFLKAFFETDDLSVRFRPSFFPFTEPSAEIDMMFTSGPNQG RWLEISGSGQVHPEVVRNFGLDPEKYIGFAFGSGIERLTMLRYGVNDLRQFYEGDLRF LRQFNR MIM_RS11475 MPRVKRGVTARARHKKVIAAAKGYRGRRGNVFRIAKQAVMRAGQ YAYRDRRNKKRTFRALWITRINAAVRENGMTYSVFIAGLKKAAIELDRKVLADMAVHD KAGFAAVVKQAKSALGA MIM_RS11480 MPKMKTKKSASKRFIVRGSGSIKRGQAFKRHILTKKTTKNKRHL RGSTAVHDSDVASVRAMMPFA MIM_RS11485 MSLAVLASRALCGMHAPEVLVEVHLAQGLPSFTIVGLPDAGVRE SRERVRSAILSSGYTFPAGRLTANLAPADIPKESGRFDLPIALGVLLASGQLVLSEKM SRQTLPGIIFAGELSLTGALVPVAAPLVIALAAARTGDSGGAGSGPILMLPIESACMA AAVPGLKVIGARTLREAAGHLDETAELAPVIASTQSTPEYQDGAAGTEAPALCLSDVR GQQVACRALEVAASGSHGLLMSGSPGIGKSMLAQRLPGLLPPLDENAAIEVAAIHSLR QRTLRFSRSVPIQAPHHSSTAAALIGGGSNPRPGQISLAHHGVLFLDELPEFQRHVLE ALREPMETGEIHIARANRSVSYPCRFQLVAAMNPCPCGYLGSTHQTCRCTPEQIERYR ARLSGPFLDRLDMLLQLSPPPQGWQQLPPAESSGIVRQRVIQCRERQLKRQGRLNAQL TPDRMDTFCVTDAEAAQLLARAGVHWGWSARAMHRVLRVARTIADMAGAEQIRAADLT EAMSFRQQLAR MIM_RS11490 MKSNQLFEDFQKNIQELIKNSPAADIEKNVKAFMAQGFSRLDLV TRDEFDVQVALSAKLRERLFELESRVRALEEKAGITPSSADTTPTGPVVD MIM_RS22140 MKNVSKISLVAMLSAAAFIAGCDNSSDTAETTSAPETSNSAAEQ TQQAVDKAVDETKVAGEKAAEAASEAANKAGDATQEAADKAGESAGNVMESVKESAGN ALESAKEAGSNAAETVREHAHSAMESVKEATESGKQAVSDVVESVKEKTAEVTQPAGD TSASAPAESAPAETAPAANAPTESAPAETAPTETAPAESAPAATEPESESKPQ MIM_RS11505 MKLITAIIKPFKLDEVRAGLADIGIQGLTITEVKGFGRQKGHTE LYRGAEYVVDFLPKIKLETAVSDEQVEQAIETICQSGSTGKIGDGKIFVSDLEQVIRI RTGETGNAAL MIM_RS11510 MQSADLVWVSVSTILVLFMVVPGLAMFYGGLVRSKNILSLMSQV LVTFALIAILWFVYGYSLAFSGGNALFGDLSKAFLSGVLDLPTDTYALSGTIPELTFV AFQATFAGITCALIVGGFAERIKFSAVLIFMAIWFTFSYIPIAHMIWAEKGLLFGDAL DFAGGTVVHINAGVAALVAAYVVGPRIGYGKEAMQPHNLPMTMIGAAMLWVGWFGFNG GSSLGANNQAALAVFNTLLATAAAIVVWVLVEWKFKGQPSLLGGASGAVAGLVGITPA AGLVDPMGALIIGAITSLFCVWGVNGLKRLLKADDSLDVFGVHGVGGIVGGILTGFFN AKAMGGPGLDSAGMIPGQLFNQIEGIVIAIIWSAVVSFIALKIAGLVTGGLRVDKDEE RQGLDISSHGEQGYHN MIM_RS11515 MTNTEHTPIKPSTVFTLQGKGVVRGFAIGRAVVMGAATLEVSHY RIASEDVPAECARLDHALKIVYDMLQHTIDTLPADAPKELVPLLTVHSLLVSDPELAA QTKELIATRQYNAEWALSTQGQIVAEQFAVMDDDYIRERVADVHQVIERVIRILAGSG EFMLPDMSSEMDINSLIVVAHDISPGDMLKLRGGRFAAFVTDLGGPTSHTAIVARSMN VPAVVGLGNVRSLVHDRDMLIVDGENGLVYVNPTPEILDFYQRKQEAFIQERAELILS KDEPAITLDGKRVRLEANIELPHEVSQALDMGADGIGLFRSEFLFMGRQILPSEEEQF EAYAHVLRAMPDKPVTIRTLDLGSDKTLDGEATVAVNPALGLRAVRYCLSRPDLFATQ LRALLRASVYGRLRILIPMLSHMHELLAVRDALESAKKELDAAGMPYSDNIELGAMVE IPAIAIAIEPFLKALDFVSIGTNDLIQYTLAIDRVDNEVSDLYDPLHPAVLRLISQTI QAGERVGKCVAICGEMAGDARYTKLLLGLGLNEFSMHPQQLLDVKKLVRTSHTNALRT KIASALNRAESIDLNSLGI MIM_RS11520 MPSVDIVISNKLGLHARAAAKLTQLASKFKSEIFITRASQRVNA KSIMGVMMLAAGKGITVNIEAEGDDADQSLEQIQALFENKFGEPE MIM_RS11525 MKVQKMTTLALIAHTPLASALSECAQHVLGEIDNYVFFDIEPDV DPESKADELIDKLKAMLGPDQQVLILSDLGGATPANIGARVVRQLQDAGVRAHILSGT NACMLLNAVRYRDQPMEQLCQSIFEGGKKGMKCVDLAPND MIM_RS11530 MHVLFIIDPLPLLKAYKDSSVAMMRALHKRGHVISVALQSDLYV ELGRVKVRAQPIQLDMEADLHAHSWWTQEENAVETEVNHFDAVLMRKDPPFDMEYLYS THLLETAQRQGARVFNSGSAIRNHPEKLAITEFAEFTAPTLITSTMSRLKAFHALHGD IIVKPLDGMGGTGIFRITENDPNVNAILETLTVDQTQTIMAQKYIPEIVQGDKRILLI DGTPVPYALARIPLAGETRGNLAAGGRGVARKLSEHDFAIANRIGPILRERGLLLVGL DVIGDYVTEINVTSPTCFVEITEQTDFDVADHFAAALEKAAAV MIM_RS11535 MSRRSLFISGDLNIATEKTNRINAEIRIPEIRLIGVDGEQLGIV KTVEALRLAEQEGVDLVEIAPNAEPPVCRIMDYGKFKYQEQKRQQEAKAKQKIIQVKE VKFRPATDEGDYQVKLRNLKRFIEDGDKAKVTLRFRGREMAHQELGMRVLERVRDDMA ELVQVEAMPKLEGRQMVMVLAPKRKAVTTGKADDK MIM_RS11540 MFQITLPDGSKREFSQPIQVGEIATTIAPSLGKAALAGKVTING NEPQLVDTSFVIDQDADLAIVTAKDADGLDIIRHSTAHLLAYAVKSLFPDAQVTIGPV IDNGFYYDFSYKRPFTPEDLEKIEKKMAELARKDEKVVREEWARDDAVAFFKAQGEAY KAEIIASIPQDQKISLYREGDFVDLCRGPHVPSTGKLRVFKLMKVAGAYWRGDSKNEM LQRVYGTAWATKDEQAAYLTMLEEAEKRDHRKLGRELDLFHFQDEAPGLIFWHPKGWQ IWQQVEQYMRDVYRDNGYQEVKAPQILDLSLWKKTGHWDNYKENMFTTESENRIYGLK PMNCPGHVQIYNAGLHSYRELPIRYGEFGQCHRNEPSGSLHGMMRVRGFTQDDGHIFC TEDQLLAECAQFTTLLQKVYKDFGFTEVLYKVATRPEKRIGEDAVWDKAEHALMESLR SSGCEFEISPGEGAFYGPKVEYTLKDAIGRHWQCGTIQVDFSMPVRLGAEYVDAHDQR KTPVMLHRAILGSLERFIGMLIENHAGAMPPWLAPEQVVVCTISESFSDYATEVVDTL KKHGFRASSDLRGEKITRKIRENSMQKVPYILVVGEKERDSGAVAVRARGNLDLGTMS VDAFIERLRHDVATRQDVSA MIM_RS11545 MPQLRWLRNAFALLAFMLLTACASLGGNDRSGLEISQDPRGVAI ASKDSNLFLPGSATLQPESAPFFDRVSQLLRDRPERKALVDSVSDNTGSAEYNQELQE VRALSIMKALTTRGIDRSRLAYVTGSSAAPTPGTMQPVGGAAQQSKIIILGASTADMN VSTIERFFDDISNFGKSLFN MIM_RS11550 MSKSFIYNLILAVVAVIVFVFIGASVYDAFTRSPVNRSADDGGT SSVSGQIETATPNATQPAQPTAAGDTPPAAQTQQQAEAAYNQTNDPASSSATQNSSGT ASTTNGDSTGTGPSSGSGTGTAQQTAPAATSPESDTSPRTTRNSTSQPGAPANTAGAA NSSGATPSTDFSNSAKPAIRSNPDLRAVIPPVPTAPPRAEGTAPPNTTDQDMYNATDS GADDGSISDSFPAPVSNFPAPVAPGASDNAPSVNSDEQQRLQQLRNQKEQLRRDLGF MIM_RS11555 MSIQLVVPQMTAPAERTGVVGGEAAGDPVSEETRSPRYGNEDTG SALLQAALTRENLQAAFKRVRANKGAAGVDGLDIDQTAHHLVSAWPAIREALLTGKYR PSPVRRVMIPKPDGSQRELGIPTVTDRLIQQALLQVLQPILDPTFSEHSYGFRPGRRA HDAVLAAQSYVQSGRRIVVDVDLEKFFDRVNHDILINRLQKRIADAGVIRLIRAYLNS GIMDSGVVIERHEGTPQGGPLSPLLANVMLDEVDKELERRGHCFVRYADDCNVYVRSR RAGERVMNLLRQLYARLRLKVNETKSAVASVFTGRKFLGYSFWMAPKGVVKRRVATKA VMAFKQRVRQLTRRLGGRSMQDVVDRLRAYMLGWKGYFRLAQSQKLWQTLEEWIRHRL RAIQLKQWKRGKTMFRELRTLGASVTVAHRVAANSRRWWRNSGKLLNSVLTIAWFDNL GLPRLS MIM_RS11565 MSASQILPAESTQLPLLPLRDVVVFPHMVIPLFVGRHRSIRALE LAMESDKQIMLVAQKSASKDEPGPDDMYEIGCVASILQMLKLPDGTVKVLVEGQQRAR VESIIETESHFSAQILPIEQSDTRNSEVEALRRTIIAQFDQYVKLNKKIPPEILSSLN GIEEVSRLADTISSHLPLKLEQKQKLLEELDASGRLEALLAQIETEIDILQVEKRIRG RVKKQMEKSQRDYYLNEQVKAIQKELGEGEEGADIEELEKKIEAAQLPKEARKKVDSE LKKLKLMSPMSAEATVVRNYIDTVIGLPWKKKSRINNSLINAQKVLDNDHYGLEKVKE RILEYLAVQQRVDKVKAPILCLVGPPGVGKTSLGQSIARATNRKFTRMALGGVRDEAE IRGHRRTYIGALPGKILQNMNRVAVRNPLFLLDEIDKLGMDFRGDPASALLEVLDPEQ NHTFQDHYVEVDYDLSDVMFVATSNTLNIPPALLDRMEVIRLSGYTEDEKVHIAMDHL LPKLMKNNGVRDGELVVEESALRDIVRYYTREAGVRALEREVSKICRKVIKELLSNTT AAAKPAGKGKAAAAEAIHVTADNLSHYLGVRKYSFGMAEKDNQVGQVTGLAWTEVGGD LLTIEVAVVSGKGNIQHTGLLGDVMKESMQAARTVVRSRAHALGFADSIFEKKDIHIH VPEGATPKDGPSAGIAITTALVSALSGIPVRADVAMTGEITLRGEVLAIGGLKEKLLA AHRGGIKTVLIPEENVKDLTEIPDNVKNHLEIIPVKWIDRVLEVALERPLTPLSEAEI AKLAEEAAVAAAKPAVDTVKSVKH MIM_RS11570 MADKPSSTDGKNLHCSFCNKHQNEVKKLISGPGNIFICDECIEL CNEIIHDSAQDEAREAIKTELPSPAEIKSFLDQYVIGQDQPKRNLAVAVYNHYKRIRH SDLNKKDDIELAKSNILLIGPTGSGKTLLAQTLARMLDVPFVMADATTLTEAGYVGED VENIVQKLLQNCNYDVDKAQRAIVYIDEIDKISRKSDNPSITRDVSGEGVQQALLKLI EGTVASVPPQGGRKHPNQDFVQVDTTNILFIVGGAFDGLDKVIRNRTEKSGIGFGASV QGKSARGVGEVFSEVEPEDIIKFGLIPELVGRLPVVATLEELDEAALVTILTEPKNAL VKQYQKLFAMEDVELEVRPAALSAIAHRALKRKTGARGLRSIIEASLMDTMFDLPSQS NVVKVVLDESAIEGSGSPMLVFAEDKESTATETKEKKLKDAAA MIM_RS11575 MNRFTDFYASVHGDDSVRPSALGYIPMVIEQSGRGERSYDIYSR LLKERVVFLVGQVNDQTANLIVAQMLFLESENPDKDISLYINSPGGGVYAGLGIYDTM QFIKPDVSTMCTGFAASMGAFLLSSGAKGKRFSLPNSRIMIHQPLGGAQGQATDIEIQ AKEILSLRERLNQILAKNTGQPIERIREHTERDNYMAPEDAQTYGLIDKVLATRADVA MIM_RS11580 MQPTVETLEGLERKVNLVISIDDVEKEVKTQLARVARTAKVQGF RPGKAPISVIERSHGPGVRYDVINQKVGQLFDEAIREAKLRVAGAPSIEPAEDNSAEG QLSFAAKFEVYPEVSVPDLSALEVTRFNAEVGEQEVNNTIDILRKQRATFTADAERAA AKDDRVTVDFVGKIDGVEFEGGKAEDFPFQLGQGRMLPEFEEAASGLKAGEEKTFSLT FPEDYPGKDVAGKTAEFTITVKEVAVPVLPEVDSEFAKSLGQPEGDTEKLLSEVRSNI EREVKNRTQARTKNSVMDALAKSASFDVPTSLVDNDVQARIAAAREDLKQRGMPNADT MDIPADAFKSDSERRVRLGLLVAELVDSAKLQVTPEQVRARIEEFAQNYEKPEQVVTY YLTDRERRAEIESVVLEDNVVDHILSKAKVTEEKVPFEELMGTV MIM_RS11585 MSDPIQAPDSDRQAPPVSEPAQATHSDTPAGKPPGKSLFSNGGF VIGLIIFGLFTALITWSVSFSAMFFGAIGSMLSVATCFLDHEHLRFSRADRNCKLDDE NWAYLVIRAVFGMIFGTAAYVFTFQSVLADTHWAAVATIAAFSGFVFDYLLFKLGKSR K MIM_RS11590 MKRRNVLKTMVCSLALAFGSGALNSSWAQGQIDPSKPPIKVGIL HSLSGTMAISETVLKNVALMEIDAINKEGGILGRKVEAVVVDPASNWPLFAEKARELL SQEKVAAVFGCWTSVSRKSVLPVFEELNGLLFYPVQYEGEEMSRNIFYTGAAPNQQAI PAVEYLMSEEGGGYTRFFLLGTDYVYPRTTNKILRGFLHSKGVADSDIQEVYTPFGHS DYQTIVNDIKRFSAGGKAAVISTINGDSNVPFYKELGNAGIKAIDTPVVAFSVGEEEL RGIDTKPLVGHLAAWNYFMSLDNPVNAAFKKQWADYAAANKLPGADKPLTNDPMEATV VGLKMWKAAVEKAGKTDVDAVRAAMIGQKVAAPDGFEMEMGSNHHLYKPVMVGEIRPD GQFDIVWHTEKPVRAQPWSPFIAGNEKKPDEVK MIM_RS11595 MRITPSLVKDWIAQLALIAGVLLLSVAPAHAGPLTPADLSPLIS GAYGERKEAIEKLVNADPAIAQPLLQSLSQGTLLGLPDGRVVIKSDQGYTDPLTGDAV AAPTGPTRQPVLNNALRRVIQNALASMSLFAEDKATRRMAIDNMLSRPDSLSAQQLEQ AIKTETDTALKQKLDTLHAFAVAADSKATPEQRLPAVQLLASVNLSYARTVLNNIISE DNDPANALSVAATESLSQIDARARNSALANNAFTGLSLGSVLVLAALGLAVIYGLIGV INMAHGEFLMLGAYATYLTQRAFQTWMPQWFDYYLLAALPVAFLTAAAVGIVIEWLII RHLYGRPLESLLATFGVSLLMMQTVRLIFGAQNVDVTNPGWMSGSFAPLAAWLPAFVV PYNRLIILAFSIAVVICLYLVLNRTRLGLFIRACTQNRTMAACVGIRTRKVDASAFAL GTGIAGLGGVALSQIGNVGPDLGQAYVIDSFMAVVLGGVGQLIGTVLGAFGLGVLSKL GEPLLGPVLVKIVILLLIVAFIQKRPQGLFAQKGRSAVEA MIM_RS11600 MTQSFQLTIPERAPLFSRVGWLTLCAVVIGVFLFTLLGTQWAAP DSALHISDYTLTLVGKMLCYAIVAMSLNLVWGYCGILSLGHGLYFALGGYAMGMYLVQ APVPGQETAGMPAFTFLLNWTELPWYWTGTQNLFWVIALAALVPGIVAFIFGYFAFRS RIKGVYLSIMTQAVTYAAMLLFFRNETGFGGNNGFTDFKSIAGYSITSTDTRTVLFLI SFVMLILVFVLVQWIMKSRFGKVITAIRDSESRVMFIGFNPLQYKLAIWTLSAALCGI AGALYAPLVGIINPGEMSPVNSIEMVIWVAVGGRGSLVGSILGVFTVNGAKTFFTAFL PEYWLYILGGLFVVVTLFMPKGLIGLFARRPRSPKAESTPATASDAI MIM_RS11605 MTDIHADTAGAGGPITHLAHFAEPGKIDTTHGVVLYVENLTVRF DAFRAINDLSLYIREGELRCIIGPNGAGKTTLMDVITGKTGHRNATIEGEVYLGQTLN LLTMQEPQIAQLGIGRKFQKPSVFESQPVWENLYLADAGDRRWHAALNARITADVRER IENTLSTMKLESVAYRAAGELSHGQKQRLEIGMLLMQKPALLLLDEPVAGMTDRETAE LAEILQGIRGTCSIVVVEHDMGFVSSLAGDQGTVTVLAAGTVLAQGTMQQVQQDPQVI ESYLGR MIM_RS11610 MQLSVQNVNQYYGGSHILRDISFEIKANALTALLGRNGAGKTTL LRSLLGVVPIRDGQIRYAGKDISQMGTASRIAQGIGYVPQGREIFPRLSVKENLLVGA ATLKQPKGIPAYIYQLFPVLKEMEHRNGGDLSGGQQQQLAIGRALMGQPKLLILDEPT EGIQPNIIQHIGRTLKSLVTDHGITVLLVEQYLDFVKSIADDYLVMNRGVIIASGKGA NIDADGIERHISV MIM_RS11615 MSVVMETEQWTADLALAFSRQSTGRSALTRNIHSGPLLVQKPLY PEGPAVCHATLLHPPSGIAGGDELNIAVTVHEAAHAVLTTPGATRWYKANGKPANQTV TLSVEATARLDWLPLENLIFEQAQASNDTHIHLSAGAQAIGWDSYQLGSVAAQGHWLA GRISIHSSLHYDGKLVWTEAGQVDAQHPIRHRGPGLAGFPIMATVWSVGPAIGTDHME RLTGSLPWTDSLRAGASQMSLDASTSLIVVRLLGTHAEAVRHLMIACWQQLRPLHLGV AARPLRLWST MIM_RS11620 MDLTPREKDKLLVFTAALLAERRRSRGLKLNYPESVALISATLL EGARDGKTVAQLMYEGTQVLTRDEVMEGVPEMIPDIQIEATFEDGTKLVTVHHPIV MIM_RS11625 MFHLPTLPQHKKWAALALLSLAQPVLAHPGHPEHTDTLSMLADG LLHPLTGPDHLLAMLAVGVWSALVYPSIKRATALPLAFSTILLLGALLGMAGLSIPLV EPLIMASLLVLGLMLAGMAKLPLPLGAALVAVFAFFHGAAHGMELPEGGAAFLFVLGF MVSTLLIHCIGMVAGAILTQRHAWPARLAGAGIASYGAILMLAGH MIM_RS11630 MIPGEIIAADGDIEINTGRATLVLEVTNTGDRPVQVGSHYHFAE TNAALLFDRQRARGYRLNIVAGTAVRFEPGQVRTVQLVALAGDRRVFGFTGSVMGALE MIM_RS11635 MTSISRQAYAEIFGPTVANDVADRVRLADTGLIVEVEQDFTIFG EEVKFGGGKVIRDGMGQSQRCTADCADTVITNALIIDAVTGIVKADIGIKNGHITGIG KAGNPDIQPGVTIVIGPGTEIIAAEGFIVTAGGIDTHIHFICPQQIEEAISSGITTMI GGGTGPATGTFATTCTPGPWHIHAMLGATDAFAMNIGLLGKGNASAAEPLLEQIQAGA LGLKLHEDWGTTPQAIDTCLSVADQTDTQVAIHSDTLNESGFVEATLAAFKGRTIHTF HTEGAGGGHAPDIIRAAGIPNVLPASTNPTMPFTHNTIDEHLDMLMVCHHLDASIAED LAFAESRIRRETIAAEDILHDLGAFSIMSSDSQAMGRVGEVILRTWQTADKMKQQRGS LEQDSSRSDNNRVKRFIAKYTINPAIAHGIAHKVGSLQSGKLADLVLWRPAFFGVKPS LIVKGGMIAHAVMGDPNASIPTPQPVHYRKMFGSFGRALNTSLAFVSQAGLDNPAVHA LGLQKTLCAVSGCRNIGKRDMVRNDWLPDIRVDPQTYQVFADGQLLRCEPAKTLPMSQ RYFLF MIM_RS11640 MTRTVQKILRHSGLSGTLLARAPVAHMTFEQRRRSRQLVTLENG EPIRLIITRGEVLQPGDALVADDGGIIAVQAQPEQLLRVQADTPIDLARAAYHLGNRH VMLEVGADYLQLAYDPVLAQMLDRLGVHTSIVEQPFNPETGAYGGGHKHGHDETFEED YSLAQAAYHAHDLDAASDHDHRHHNPHDHPHDHPDAHGHAHQLATGDRPDHTHSPHSK QGHHKHVHGHIDSTQKSQHNDHNCEEGSALHPSLNPTPAHPGR MIM_RS11645 MTPAQYAQLMRLSSPALPVGGFSYSQGLESAVELGIVKNEADAG QWIEETLHTVMTGCDAVIWIVLSRAWRLQDFNVIRQWNQWYYASRETAEARAETTQMG ISLINLIGALQWASGNEYTLLTKLDAPCFPTVHACAVAALKLPEEAGVTALLFAWVEN QVMAAIRTIPLGQTAGQRLLSALIPQLDLAVRAAQNMASQTPPAIRTLAPQYAVVAAR HESQFSRLFRS MIM_RS11650 MNTPNRTKTLPALRVGIGGPVGSGKTTLLEVLCKKMGASYDLVA ITNDIYTKEDQRLLTVSGALPPERIMGVETGGCPHTAIREDASINLRAIDQMLQRFPQ ADIVFIESGGDNLAATFSPELSDLTIYVIDVAGGEKIPRKGGPGITKSDLLIINKTDL APYVGASLDIMRQDTERMRQNRPFVMCNMKTGDGIDAIIQFIEKAGMLV MIM_RS11655 MFSTTAATPDPLSRIGAPDWLSRLALSPFVLPLLLLSTTAWFVL GNWFWPLLNPDEGRYVGVALEMLHAHEWGTPLLNGMPYFHKPPLFYWLTAASMAVFGE NEFAVRLAPALAGLLMVGGLFVFVRRFVLPSRALVAAVILAATPLMFGAAHYANLDLL VAAMITSTILCGATAVLLLQQGESPTKWVLAMYLFAGLGFLAKGLIGFVLPGGVLLIW LLAERRFSAIPVLLRLSGILLFLLVVLPWLFLMQSRYPGFLHYYLVYQQFERFSQGGF NNVMPVWFYVAVLLASMLPWYRSGLSAIFTRHYWRPERDAGVRRLMWVWLLLILAFFS IPQSKLVGYILPVLPPLAFLFAEFYRGIFTGDRTTAAAQNTNLAEPDVAGRAQQRYFL GRVLACVALGIAGLVYLSVAHLPGSSRLVTAMDRAPQTMVGAHDRYYSLDNYPFDFRF YTGHNPDLYVVSDWNDPALSTKDNWQKELWDANNFGAPGSASHLLLPADFLRSVCVPV DYRRWLLADAKQMDNYPELKKLTPYFEEDRRRVYLVPAGQVLPFCASRPVPSAQ MIM_RS11660 MALSVFDIFKVGIGPSSSHTVGPMIAALRFVNRLDEGGLLEKAR RLHIEMFGSLGATGKGHKSDMAVVIGLHGYQPEPLDPDIVPGLIGQVRASGTVGLLRR HDIAFDVSTDIKFNRRETLPQHSNGMRFKAFDATGQLLLKKEYYSIGGGFVMNCDRVR VNLTPDIAIPYPFNCGQQLLDLCQRHQLTIAQLMMENEKHYRPEAEVRQKILDLWSVM QACVTRGCSATGNLPGFLNVRRRARQLHEQLCSRPYEALKDPLAMLDWVSLYALAVNE ENAASGKVVTAPTNGAAGVIPAVLHYYVNFVPGANEQGVIDFLLTAAAIGILYKENAS ISGAEVGCQGEVGVACSMAAGALAAVLGGTVPQAENAAEIGMEHNLGMTCDPVGGMVQ IPCIERNAMGAVKAINAARMALRGDGTHYVSLDKVIRTMMQTGADMQSKYKETSRGGL AVNIIEC MIM_RS11665 MNLPYRLLKYTGTILIALIVLAIAAALAVRFLLAPNIDHFREQV EQRLSAETGQHVSIEKLAIDWTGIVPRLRLRALQIADQADTPMLSIGEAVASLDWARA AQLQLEVSAVEIRQIHLNMTRDRESRIWLLGRRLDSDKVHSTPEAVDAGNVTLAPVSD LLQALPEVTVLNGSFSWIDQTRPQSGPFTLSDFTLQLTKNGGKRVLSASARLPAQVGH SIQLLSNLQQDSGTGKPVPAWSGNMRVSILNLDALAAAPWFDIPPKVKSGVVSHVVVD LQVAGNMPRNMTVAYGLEKLRIEDHIRNHEFVIAADSLNSRLSSSFKSVINEFNRWRL SLHELGVGDGISYPPVQFESTVKGFYYKDPVVFENPLSINEASVRGDFSRNTVQEPQV KFTKATVHNSDLQMEASGTWRFDANSDNGIVDLSGSLLAVSLPQLHNYLPNVMDPDAR EWLKHAFQKGELSAAEFKLKGIVDDFPFGVSADSGSFLLRGTYANLLLNYHQKDIRGK RWPVVNSDRGTIHFQNDQIIIDSMQAFYAMPEGQRLDLNTFHGVVSSLEKGTTVDLQA RASGRAEDFLTFTKISPLGNLINNVLDEAQGSGQWTIPIELHIPVLDADNSTISGSIH LDDGRFRLTPDFPWATQLKGDMAFTETQLQANSVKGTMLGGPVVLDGPIGTVGKPLSI KGTLTAAGLRELISVPGMRRIGGSTSYASQVHFSPGGRVKVEFKSDLAGLTTQFPDGL SKPAAARWPTTIVWRADEGARDNGKRYLDIRMDGNRTQAIFEHDVNSRKGPYFSRGTI GVNRTVALGRPGLTVLAQNPALDAQAWDDIVSEFSDSAKGESERQILPDVSQVDIETP SFLIKNQTLTNATLAATKSGNQWNLQLKSDQAQGSGTWLPGSRSSNPGNLTVKLDTLN IIEPAQTSADTRTLSQKERDTAKARKSAKQSLPRLDLAVKNLTVYGKQMGQLILKGYQ QPASDDWKVDYFSLVNEGGSLAAEGSVHEQGTAKDLQLKGKIVVSDLGRFLETYQLGG VIKNGSGQMDAAIDWKNIQNLDLATLNGSLDGSLQQGRLESVQSSAVKALELLSLQSF RRLPKFGSTLGNSVQSGLTFDTIRSRMRMDAGRLFVEDFRLNGPSSAIVASGMTDLKS ESLDFQAVVVPKLDVSGASVLAGTIVNPAVGVGAFLTQWLLQAPLQRALTVNYHVTGS WGKPLLNDVALPSEEELKSRESERKVDELYRTH MIM_RS11670 MNCIHSLQSAFSWSGYLRRRLNARPEIRQQILADAAEPVTSARI MQWSQELAVSLGAPATQVWDIAQCRVILRKLRSRLFDTLVVRDTGGDATLEEVTHAIS FLADFAVGQAYRSVMHDMVDVHGTPIDPATGKPMEMIILGMGKLGGYELNVSSDIDLI MLYPEEGETTGRRPLSHHEFYGRLTRRMMPILSDQDADGQVFRTDLRLRPDGDAGPLA WSLDALENYLIAQGREWERYAWLKARIIHVQALEGSAPAPYEQQLESLRLPFIYRKYF DFDTLASLRQLRERIRQDWQRRALAKNGVDTVHNIKLGEGGIREIEFVVQLSQLIKGG RLPTIQEQCLIKALHAEVNAGLISPETGNRLEEAYRFLRRVEHFLQYREDEQTHLLPN DPDRMAALATVLGFTAADFTARLTAHRQFVADTFRDAFRIAGLNDDQSNGQQPQACDT EHRDERNLEDIIKQQFADHDPDDVEHRLEALLNNSRIRGLSNSSRARLEKLLPAVIQA AARTPLPNTACARLFDLIETIAQRSAYIALLAEFPDTLARVARIMAASPWAASYLTAN PILLDSLIEWNSLMEPVNIAMTAELLNKELDACVLHDGTPDVEQQMNVMRDVQKQVSF QLLAQDLEGVLTVEKLADQLSGLADALLQESLQRVWPLVRPKQIPADDALYHMPKFAV IAYGKLGGKELGYASDLDLVLLFDDPGDYALEVYAKLGRRLSTWLSSMTSSGRLYEID LRLRPDGDAGLLAVSVDTFEKYQREHAWVWEHQALTRARFSAGDPEIGKTFERIRRDI LLQQRDPDKLKQEVIEMRARIRAGHPNKTELFDVKHDHGGMVDIEFITQYCVLAHAHQ HKQLLENLGNIALLNIAGAAGILNAEHAGKTADSYRILRRMQHAVRLKGDEKARIDPS LLTEERAAVCTLWEEVFGENA MIM_RS11675 MVTVTDIERPILDLPEGHGKVLLHSCCAPCSGEVMEAMLASGIN YSIFFYNPNIHPVREYEIRKEENIRFAQQHGIEFIDADYDVDNWFDRVKGMENEPERG IRCTACFDMRFERTALYAHEHGFDTITSSLGISRWKDMKQINGCGERAAARYPELVYW TYNWRKGGGSARMIEISKREEFYQQEYCGCVYSLRDTNRHRRSQGRERITIGVKFYGR QEETL MIM_RS11680 MAFGSFDQKNGTSHAMTEINMVPLIDVMLVLLVIFIITAPLMAH SIKIDLPQVSSKPVEQEPVVIDLAMDKSGTIYWNDEPVEQSDLREMFVNEGKQDPQPE LRIRADADTRYEELAQILSMAKGSGLKKLGFITQPGSENAAAPGNAGAPGNAGAPGNA GAPGNAGAAGTPPANAPAAAPAASGATPAPAQ MIM_RS11685 MTTPWLSSTWVALAQATTDAVPHNPGIMDFLMQSDIVGKALFGI LVLMALVTWYLIFVKVLLNISGTRRGNKFLQRFWSSQSLEQVDQELHKYGARDPFSRL ADQALHARDHHSRYGASNLSETGSNAEFVARRMKKVIDEETAQAENGLSVLGSIGSTA PFVGLFGTVWGVYHALIGIGMAEAGVTINKIAGPVGEALIMTGLGLAVAIPAVLAYNA FVRRNRVVLARLDAFAHDLYAFVTTGQQFENQNPKVRSLRGGGGR MIM_RS11690 MFNGSNSPAAVPLGFRLTGLAATLALVSGVGWLSTLDLTPPIVK ATDAPVMVTIIDEPVRPPPKGEELAPPPEPEVQPEPEPEPQPEPEPQPEPVPEEIIPP PEPVPDPVYELKPEPKPVVEPKPEPKPEPKPEPKPKPKPKPKPKPKPEPKPKVKPAPN LSDIKIDRNISLKPVGVSNGASTKPSGGQTNLAPKVVSSVSYLVKPKPTYPRAAKMRG EAGTVIVRVHISTAGTVKSVSLRQALPYDSLNESALSAVRRARFKPYTENGVPRDSIA DIPIVFQ MIM_RS11695 MSTESGIVKWFNNEKGFGFIKPASGGKDLFVHHTDIIGTGYKSL QENQEVTFVVAEGPKGPQAKEVSAK MIM_RS11700 MRFDKLTTKFQQALADAQSLAGRNDNQYIEPVHVLSALLSDADS GAGSLLARAGVAVNRVGPALDNAIKSLPQVQGAEGNVQISRELNALLTATDKEAARRG DAYIASELFLLAVADDKGEAGRILRDSGLQKKALEAAIEAVRGGAAVEDQEGESNRQA LKKYTTDLTERARLGKLDPVIGRDDEIRRAIQILQRRTKNNPVLIGEPGVGKTAIVEG LAQRIINDEVPETLRGKRVLSLDLAALLAGAKYRGEFEERLKAVLKELAQDDGSNIVF IDEIHTMVGAGKAEGAMDAGNMLKPALSRGELHCIGATTLDEYRKYIEKDAALERRFQ KVLVNEPDVESTIAILRGLQERYELHHGVDITDPAIVAAAELSNRYITDRFLPDKAID LIDEAAARIRMEIDSKPEVMDRLDRRIIQLKIEREAVRKETDDASARRLKAIEDELEK LQREYNDYEEIWKTEKAAVQGAQSIKEEIEKVRAQMAEFQRKGQYEQLAELQYSRLPE LEGRLKVAESEETSKAKPRLLRTEVGAEEIAEVVSRATGIPVSKMMQGEREKLLGMEN FLHKRVVGQDEAVTLVSDAIRRSRAGLSDPSRPYGSFLFLGPTGVGKTELTKALAGFL FDSEEHLVRIDMSEFMEKHSVARLIGAPPGYVGYEEGGYLTEAVRRKPYSVILLDEVE KAHPDVFNILLQVLDDGRLTDGQGRTVDFRNTVIVMTSNLGSHHIQSMAGESYEAIKE VVWEDVKQAFRPEFLNRIDEVVVFHSLDSAQISSIARIQLDRLGARLAGQDMHLHISD AALALLAKGGFDPVFGARPLKRAIQQHVENGMARKILEGEFGPGDTVLVDVKDDAFVF SRENSDIAG MIM_RS11705 MNSGYSDTAAAHDPDSASSILPQRVVLDTCVLMSTILKNLLLRL AQSGMFEPVWADYIGQEWRRNASRVWEVSEEDITTQWQDMQLAFPRADMGIVTEFEKG LNKSDPKDWHVIAAGRAALARYPGQSVCILTRNLRDFNRSELRQLGLSLSDPDAFLAK CYELNADLLMQLLAMIPDDAITIGRPREPLETVLRRERLFRLNNLIAQDENAAA MIM_RS11710 MCIIYLSIAKDPDWPLYIAANRDEFHARPALPAAPWDANPDIFS GLDLSGGGTWLAINRNGRFAMLTNYRDPAGFIPQAPTRGLLVSDFVDGTMTAGDYITQ AWETGNHYNGFNLIVGDAREVYYTGNRQAAAPQKLGQGSYILSNHLLDTPWPKAERLR RGLDALTPDSGPDALQQVYTLLKDTTPAPDDALPDTGIPLDRERLLSSPFIISENYGT RCSTIVAVNRDGNATLSELSYAPDGSETGRRDWTFTMHSPYRY MIM_RS11715 MDRFTQLESFVAVATLGTLSAAAKQHGIAPAMMGRRIDALEERL GVKLLIRSTRKLTLTPEGHAFVEEAQRILKDLAETESQITQGKVKIAGPLRLTAPAGF GRQHVAPHIPDFCRRHPDIRVTLDLTDRIIDMFEEQYDCAIRIGDLPDSQLVALKLAE NRRVVVASPAYIKRHGTPKVPADLVKHECLSFGPQGSQSKGWLFQEKGGTRAFKPTGR LACSDGSVLHEWALHGFGLSWRSLWEVQADLNAGRLVTVLDDYATQPNGIYAVLPNRK HLPQRTRSFIDMLKRHYATDGYWDAVHMPPVAVQ MIM_RS11720 MKYSLKPVLAAVTFATALLGVASPACANTLEQIMQQKVLRVAID LSAPPYGMKNEAMKEVGSDVETATLLAKDLGVKLEIVPTTQANRIPFLLTNKADIVIS SLSITPERAKVIDFSIPYAVIQSVIGAPKDAAIKEMKDLAGKSVVTARGTTNDLNVTK LAPAGTNIVRFENDATAITAITSGQANIFSTAPSIIAALNKKDPAKALDIKVVMSTSK MGIGVAKNNSELKEKINELVRQNLKNGELNTIFKKYHATDLPADVLAEGK MIM_RS11725 MNSVPLQQPVAGGAVNAAVPPGGAQDSTPASSRVQTANAGDIVR LDNVHKWFGDNHVLKGVDLSIKPGEIIAIIGQSGSGKSTALRCMDHLEQIDKGSVTVC GHTFGADIPKSDLKMLRQDVGIVFQSYNLFPHLTVERNITLALTSVKKMSRSQAKDIA QTVLTQVGLQEKSQMYPEQLSGGQQQRVAIARSLAMGPKLMLFDEVTSALDPQLTGEV LRVIEKLAREGMTMVLVTHEMAFAMRVAHRIVYMYQGKIHEQGGPEMLRNPQTPELKQ FLDNGL MIM_RS11730 MNHEQLIFLLQGAWGTFVLSILTFVFASAVGLIIALMRVSANPV ARGAAFTYIQAIQGTPLLVLMGVCFYGPTILGFTSVPALTAAVLALTIQSSAFLGEIW RGCIESVPKNQWEAAECLGLNLIQRMFQVILPQALRIAVPPTVGFMVQIVKNTSIASL VIGFAELSYNAKILNNATFQPFLYFGLAAVLYFIICYPLSRLSLKLERKLNISRG MIM_RS11735 MTYEFDFNSVFAYWQFFLEGARTTAVMSFWSTLAGFILGVMCAI ARSSSIPWLSKTVGAYVEVIRNTPLIIQSYFLIFGMSSVGLTMPILVGAILALVINIT AYTCEIVRAGIESVPRSQREAGECLGLSPLQVYWHIILVPALERVYPALTSQFILLML VTSVLSAVGTEDLFGVSGQVQSMTFRNFEVFIILWGIYLAMSLLVRAIFWMLGKLFFV RRRRLGTLL MIM_RS22145 MTSDIPSSSRKTAARAYLQTAAQLRTVIASLQIDAGGRLPSERE LAQQLGVSRPTLREALIVLELQDEVEIRIGSGIYVKNSASQSELSAQMNQADTSQAFH PASRHEDPAATDESVRSLQRLAGVAPADDPDDNPLILPARMLPGIAEDSPKEVNQMRY FLESAVAAEAARFMSPDLRKQLRKSLTDMQAAMAQNDSTTNARMADADRLFHTTLAQS TDNQLVMQTITSLFDQRYRPIARSMHRHFDDHQAWLAAMQEHEHICQAIEDRDPLQAL AAMQRHLTRAHQRLMTIIG MIM_RS11750 MSKTIRLHANDNVIIATEQLMQGNTEENIAILGLVPAGHKIATV DIAKDSPVRRYNQIIGKATRDIRAGQHVHTHNMAMMDFERDYDFGSHTKEVTINDKTD QFMGYVRPDGRVATRNFIGILTSVNCSATAAKAIADHFRRDINPAALADFPNVDGVVA LPHATGCGHGAEGLFVDTLRRTLVGYAKHPNFHSVLMVGLGCESNQISAILASGNLKE SVNLQTFTIQDTGGTAKTVAKGIEVVKSMLPAANQVQREPVSASHLTVGLQCGGSDGY SGITANPALGAAVDRLVRAGGTAILSETPEIYGAEHLLTQRAVSREVGEKLIARIKWW EDYCERNFAEMNNNPSAGNKAGGLTTILEKSLGAVAKAGTTNLNDVYEYAQPVTTKGM VFMDSPGFDPVSATGQVASGANLICFTTGRGSAYGCTPSPSLKLSTNNALWQRQEEDI DINCGTIISGEESVDSLGEKIYRMMLDAASGKKTKSELHGYGQNEFAPWPLGVTM MIM_RS11755 MESSIRHCRVGRVVNARFFCNDDLVTGMEDICREHQIAQGVIKG GLGSLFKSSLEIMTDEGVPRSINVEGFAVEILSMSGHVRRSREGQTEVELHGIVANNA GEVFAGRFIKGGSPVCITIEVMLQEWLD MIM_RS11760 MMQRLSSRVLSLAQHGQRNWVLDVPEGASIWEWVATAFMQHDIQ SAHLDIMGGRLLSAIFYTGYPDPAGKRIAQYGAPNHVGNATLISAMGIYGKDKGAQPM LHCHGCLVTGSGLTQGGHLDTAQCIVGRDGLRIYVTATSDIEFVARLDATSGMYVFHP QLAAHMDGNADRAAVAAGQER MIM_RS11765 MLKPVKNIGNFLTDVSLRFADLPGLIHGDRIYRWGELNARVDAL AHALRALGVKKGDRILIHSSNNVQLFESCWATFKLGAIWVPTNVRITESEVAYLASAS KASVVIYDDGYAGHANSCCQAADTVRHVIAIGEPQEHEHSYAALLAQHIEKGCFEAVD VDYEDPLWFFFTSGTTGLPKAGVLSHGQMAFVITNHLADLLPGIDQHSRSLVVAPLSH GAGIHAIANTARGAASVLPRSARLDCVEAWQLVQQHGVDNMFTVPTIVKRLTEDPAVD QFDHSSLKYVIYAGAPMYREDQKYALRKLGKVLVQYYGLGEVTGNITVLPPHMHQLDD GAEDARIGTCGYARTGMEIAILDEDGGRCGAGITGEICVRGPAVCMGYDSNPEANEKA FRYGWFHTGDLGHLDEQGFLYITGRQSDMYISGGSNVYPREIEEALLTHTAVSEVAVF GVPDEKWGESGVAVVVCKNGSRTDAAALNRHLNGKVARYKYPARYYFWDSMPKSGYGK IVKKDLRRMVQDDATA MIM_RS11770 MRVSMTGWAHSRFGKMDGIDPEQMIGDVALQAIEHAGLEQSDID SLHVGHYNGGFLYQDFPSSLLFNTMPALRFIPSVRLENACATGSAALHSALNAVSSGR SRYALVLGFEKMSELSTPEIGEVLLKCSYAREEAAVAGGFAGLFGNVIAKTYFDRYGD QSDALAMIAAKNHHNGLSNPYAHMRKDFGFDFCRTESEKNPFVAGPLKRTDCSLVSDG AAAVIICRDDDVRNSDNRVRFLATSQVNDYLPMSRRDPIAFEGATRAWQQALADSSLS LNELSFVETHDCFTIAELIEYEAMGLARPGEGARVILDGISARDGRLPVNPSGGLKSK GHPIGATGVSMHVMAAMQLSGQAGDMQLPVADRAGVFNMGGSAVANYVSILERA MIM_RS11775 MSAVSASEMRVAVVTGGGSGIGRAIVEAFAHAGYQTMIADVNET DSQALAESLNTQSLQARYLRLDVADADNIAHFFATVEREYGRCDVLVNNAGIAKTVAY LDYPQDHWEKVMQVNVTGPFLMSQHAGRLMQKRQSGRIINIASVSGERASWGRAAYGT SKAAIFGLTRQMALELAEFGITSNGIAPGPIDTPLTRQLHTQAARDAFTLSVPMGRYG TPQEIAQVCLFLASDAASYVNGHVIPVDGGFLAAGITGH MIM_RS11780 MSVSIASQSAGVNTHVLSRTVIAVKNAVMRLVGLLAVVMLVFES ALLFTGVVFRYFLHKPIIWSDELAQSVFIWLCMFGAALALDRHEHMRLTAVVTRFSKR AQHWFETLGLLIILLFAAYLIGPGFHHAGGQMVVTSPALNIPDGYRAMAIPVGMCLFA FIAVSHLLTHSRWQDILSAAAVIAVVGYALWFFADFLYDIGNLNLIVFFVLVLGACVM SGVPIAFCFGIATLAYIVNIAEVPPSIVVTRIDEGASHLVLLAVPLFVVLGVLLQISG MARKLIDFMSSVLGHIRGGLNYVLLGAMFLVSGISGSKVADMAAVAPALFPEMKRKGA DENDLAALLSATGAMTETIPPSLVLITIGAVCGVSISALFIGGLVPALVCTLAIALVC YLKSRKAAQDTRERARAAEIGRTFLWALPVLVLPIIIRVCVVEGVATATEVASIGIVY VIIYAVIMQLAIGGLEKSRIYPMLVEATSLSGAILLIIGVATAMAWALTQSGFSTTLV DFMTHIPGGVFGFMTVSIVLFVILGSVLEGIPAIVLFGPLIFPAARAMHIHEVHYAIV IILAMGIGLFAPPLGVGFYSASAISKVNPDKVIPKMWLYLAVLLITTFVIAFVPWFSI GFL MIM_RS11785 MAAVKRRSFLKSISAVTAASAFGLSYSDVILARRADFRLKFANN LPVSHPLNIRAKEMVEAIKKETDGAVDIRVYPSSQLGNDTDTLSQIRAGAVDFFTLSP IIMGTLISRTQISGIGFAFKDYDQVWKAMDGDLGAAVREEIEKGSNLTAFDKIWDNGF RIITTSSKPVKTPDDLNSVKLRVPPSPLWTSMFKSLGASPTTINFAETYSALQTHIAD GQENPITLIETAKLYEVQKYASQSNHMWDGFWFLANRDNLAKVPKEIQDVIRKHVMDA SLKERDDLAKLSLTVKQTLEGKGMAFNEVDTNAFRGKLKEAGFYEEWKKTFGDPLWSK LEQFTGALS MIM_RS11790 MNLLHTYQPVVPLPSGPLDIVGDIHGEYEALRQLLQHLGYDNLG HHAEGRKLVFIGDLCDRGPDSPAVVRQVQDMVNAGNAICVLGNHELNILRGLRKDGNS WFWNETAADDHKFGSMRALSAAERQPMLDFFASLPIIACNSQLRVVHAAWHTPSFNEL GTHTLPSIVEYFNAREQQTSDLIRSDSRFQDYLAEQKQWREHISDAAQAVPFLGATAY MRELRQMANPIRVLTSGVEMADSETFFSGGEWRFVQRARWWDTYTEAVPVLIGHYWRN PQDRPAGALSRGRDLFDGVAPCDWHGEGGNVFCLDYCVGARFLERSGKVPPGQTRLAA MRWPERALMFDSGEQVATRHFGRRAGT MIM_RS11795 MKISARNQFPGKIKFIRTGAVNDEIVISISPDQDIVAIITESST QNLRLTEGAEVFALIKASSIVMATDVDGLVFSARNQLTGTITEVSKGAVNSEVVMDAG NNVKISAVVTNTSFDNLGLATGVKATAIFKASSVIVAAKA MIM_RS11800 MTSVNTIKSKAEELAGKGQSALGDVFDDPEMEAEGKIRQASGHA AYTVNSFLDCLVDGTRSNPLGALLAAAAVGLVLGRHLYKK MIM_RS11805 MMMKYSTSKSVVVATVLAASLFLGGCSSLSNVAADGTTDNPVFP EPGRVTFNDGQGTFPNRSSLNEVKAGMTKDQLYYLLGRPHFREGFFGVREWDYLFNFH TSKNGKNSVTTCQFKVLFDKDHRAQSFFMRPVGEPNALCRLGHAQSKRAVQQFDLAAD GVFAFDKSDLKNLTASGKEKLQNLARNIAQLGAIESIEITGYTDPLGSESYNRSLSQR RASTVRQYLGTLGIPLSIMSAQGAGETTAFAQCDKSMSRTALISCLSPNRRVTFKVVS AANLPSAR MIM_RS11810 MNKIFRVIWNAATRNWVVVSEIAKTAVSKPGSIHVTGKQLSNVQ AAVVATGRWKLTELATAFYLAGLWMFASPVMAAPLKTSSNPGTEVASNRCGTGATATN VAGIAVGCGAQAASGNIAILERKNPYEKRTDLIKSTITSGGLLEAQSIAIGESASAGK SAIAVGGRSNAVDELATAIGVWARAQGKSSVAIGPRTLATGNTSLAIGRQSVATADFA QAIGNVSAATGVSALAIGHSATALGPRSIAIGGADTGIEAVAGQKGVTYQAESLTKAD GIGAIALGGGARAMADRAMALGEWSRATRARSVALGTGSTTDAAATAVSSATVGGTVY SGFAGVVSDSDRVVSVGLSGGERQIKNVGSGEISATSTDAINGSQLYAAMAKQTGGGS INFSGDANGSGSTAADDKKINVPSGDNLNITGGVTTPNSLLTNQIGVVADPSGKNLSV RLRKDLDLSADGSLQLGTTGPKLSAAGLNMNNKKVTGLAAGTADADAVNVSQLNGVKT IANNAATQAGNAATQATNAMTEASKGWNLQANGDTASKVAPGDKVQFLNGTNINVTRN GKDLTIATTPALTADSLTINNGGPILNGNGIAMGNKKITGLANGTAPNDAVNFSQLGA VKTTADNAATQAGNALTQAGNAATQAANAMTEASKGWNLQANGDTASKVAPGDKVQFL NGTNINVTRNGKDLTIATTPALTADSLTINNGGPALNGNGIAMGNKKIAGLADGTAPN DAVNFSQLSAVKTTADNAATQAGNALTQAGNAATQAANAMTEASKGWNLQANGDTASK VAPGDKVQFLDGTNINVTRSGNDLTIATTSALTADSLTINNGGPALNGNGIAMGNKKI AGLADGTAPNDAVNFSQLGAVKTTADNAATQAGNALTQAGNAATQAANAMTEASKGWN LQANGDTASKVAPGDKVQFLDGTNINVTRSGNDLTIATTSALTADSLTINNGGPALNG NGIAMGNKKITGLADGTEAGDAVNFSQLDGVKSVADNAATQAGNAATQAANAMTEASK GWNLQANGDTASKVAPGDKVQFLDGTNINVTRNGNDLTIGTAANLTADSLTINNGGPA LNGNGIAMGNKKITGLADGTEAGDAVNFSQLDGVKTVADNAATQAGNAATQAANAMTE ASKGWNLQANGDTASKVAPGDKVQFLDGTNINVTRNGNDLTIGTAANLTADSLTINNG GPALNGNGIAMGNKKITGLADGTEAGDAVNFSQLDGVKTTADNAATQAGNAMAEASKG WNLQANGDTASKVAPGDKVQFLDGTNINVTRSGNDLTIATTSALTADSLTINNGGPVL NGNGIAMGNKKITGLADGTEAGDAVNFSQLDGVKTTADNAATQAGNAMAEASKGWNLQ ANGDTASKVAPGDKVQFLDGTNINVTRSGNDLTIATTPVLTADSLTINNGGPALNGNG IAMGNKKITGLADGTEAGDAVNFSQLDGVKTTADNAATQAANAMAEASKGWNLQANGD TASKVAPGDKVQFLDGTNITVTRSGNDLTIGTAANLTADSLTINNGGPALNGNGIAMG NKKIAGLADGTEAGDAVNFSQLDSVKTTADNALAEAGKGWNLQANGDTATKVAPGDKV QFLDGTNINVTRNGNDLTIATTSALTADSLTINNGGPVLGSTGIDLKDKKLTNVGAGT LAADSKDGVNASQLFAVGNSTASALGGDSAFDPATGVVTPSLKVGEKSFTNVNDALGD LNTTLENTTAEASKGWNLQANGDTASKVAPGDKVQFLDGTNINVTRNGNDLTIATTSA LTADSLTINNGGPVLGSTGIDLKDKKLTNVGAGTLAADSKDGVNASQLYAVGNSTASA FGGDSAFDPATGVVTPSLKVGEKNFTNVNDALGDLNTTLAATTEVASKGFNLQANDDT ASKVAPGDTVKFIDGTNINVTRNGNDLTIATAPGLTADSLTINNGGPVLSSTGLDMKD KKLTNVGAGTLAADSKDGVNASQLFAVGNSTASALGGDSAFDPATGVVTPSLKVGEKN FSNVNEALGELNTTLANTTAEASKGWNLQANGDTASKVAPGDKVQFLDGTNITVTRSG NDLTIGTAANLTADSLTINNGGPALNGNGIAMGNKKIAGLADGTEAGDAVNFSQLDSV KATADNALAEAGKGWNLQANGDTATKVAPGDKVQFLDGTNINVTRNGNDLTIATTSAL TADSLTINNGGPVLGSTGIDLKDKKLTNVGAGTLAADSKDGVNASQLFAVGNSTASAL GGDSAFDPATGVVTPSLKVGEKSFTNVNDALGDLNTTLENTTAEASKGWNLQANGDAA SKVAPGDKVQFLDGTNINVTRNGNDLTIGTAANLTADTLTINNGGPVLGSTGIDLKDK KLTNVAGGTLAADSKDAVNGSQLFAVGNSTASAFGGDSAFDPATGVVTPSLKVGEKNF TNVNDALGDLNTTLANTTAEANKGWNLQANGDTATKVAPGDKVQFLDGTNINVTRNGN DLTIATAPALTADSLTINNGGPVLSSTGLDLKDKKLTNLTAGTLAADSKDGVNASQLF AVGNSTASAFGGDSAFDPATGVVTPSLKVGEKNFTNVNEALGDLNTTLENTTAEASKG WNLQANGDTASKVAPGDKVQFLDGTNINVTRNGNDLTIATTSALTADSLKINNGGPVL SSTGLDLKDKKLTNVAAGTLAADSKDGVNASQLFAVGNSTASAFGGDSAFDPATGVVT PSLKVGEKNFTNVNDALGDLNTTLAATTEVASKGFNLQANGDTATKVAPGDKVQFLDG TNINVTRNGNDLTFATAANLTADSLTINNGGPVLSSTGLDLKDKKLTNVAAGTLAADS KDAVNGSQLFAVGNSTASAFGGDSAFDPATGVVTPSLKVGEKNFTNVNDALGDLNTTL TATTEVASKGFNLQANGDTATKVAPGDKVQFLDGTNINVTRNGNDLTIATTSALTADS LTINNGGPVLGSTGIDLKDKKLTNVAAGTLAADSKDAVNGSQLFAVGNSTASAFGGDS AFDPATGVVTPSLKVGEKNFTNVNDALGDLNTTLTATTEVASKGFNLQANGDTATKVA PGDKVQFLDGTNINVTRNGNDLTIATTSALTADSLTINNGGPVLGSTGIDLKDKKLTN VAAGTLAADSKDAVNGSQLFAVGNSTASAFGGDSAFDPATGVVTPSLKVGEKNFTNVN DALGDLNTTLTATTEVASKGFNLQANGDTATKVAPGDKVQFLDGTSINVTRNGNDLTI ATTSALTADSLTINNGGPVLGSTGIDLKDKKLTNVGAGTLAADSKDGVNAGQLFAVGN STASAFGGDSAFDPATGVVTPSLKVGEKNFTNVNDALGDLSTTLAATTEVASKGFNLQ ANGDTATKVAPGDKVQFLDGTNINVTRNGNDLTIGTAANLTADSLTINNGGPVLGSTG IDLKDKKLTNVAAGTLAADSKDAVNGSQLFAVGNSTASAFGGDSAFDPATGVVTPSLK VGEKNFTNVNDALGDLNSTLAATTEVASKGFNLQANGDTASKVAPGDTVKFIDGTNIN VTRNGNELTFATTANLTADSLTINNGGPVLSSTGLDLKDKKLTNVAAGTLAADSKDAV NGSQLFAVGNSTASAFGGDSAFDPATGVVTPSLKVGERNFTNVNDALGDLNSTLAATT EVASKGFNLQANGDTASKVAPGDTVKFIDGTNINVTRNGNELTFATTANLTADSLTIN NGGPVLSSTGLDLKDKKLTNVAAGTLAADSKDAVNGSQLFAVGNSTASAFGGDSAFDP ATGVVTPSLKVGEKNFTNVNDALGDLNSTLAATTEVASKGFNLQANGDTASKVAPGDT VKFIDGTNINVTRNGNELTFATAANLTADSLTINNGGPVLSSTGLDLKDKKLTNLAAG TLSKDSKDAVNASQLFKVGSSTAAAFGGDSTFDPETGEITAALKVGDQRFTSVNGALD AINSNVGAIARRGWDIAGSDGIVANVATGEQVRFVAGNAHTRVGVTEQNGVSTVSVSA ASSPLQYTQTNQANGNNAPVADPFGKTNSVTLVGQDASAPVSLNNVAKAKLSADSLQA VNGQQLYGLGESIASTLGGDTRFNAETGKLDSTFHVGNNTYHNVADALSGIGEVADRG WQLQLNNDTPQKVAAGSTVGFNQGRNIELTRDGNQITVATAPNVTFESIRADRMEGDA ISAKSYLGVIDGPAMTQSGIDAAGKPITNLKPGEIAEGSTDAVTGGQLHSLAGGTATA LNRLQGNLDRVAKDANAGSATAGAMANLPQAYLPGKSMFALATARYVGQQGFAAGLSK VSENGNWIIKGSVSGNTRGKTMVGAGVGYQW MIM_RS11815 MIKQCSAEAFGTFWLVFGGCGSAVLAAGFPELGIGFAGVALAFG LTVLTMAYAVGHISGGHFNPAVTLGLFAGGRIPVSTVVPYIISQVIGGILAGGVLYLI ASGKAGFDVSASGFASNGYGEHSPGGYSLTAAIIAEFVLTAFFLIVIHGATDKRAPAG FAPLAIGLALTLIHLISIPVTNTSVNPARSTGVALFQGDWALSQLWVFWIVPILGAIA GGLIYRNLLSGDER MIM_RS23510 MPINRCFRLSLILCLAALVSGCSGGGRSGSSIVGECAWYRGGCS YEGPYEAGEKDYAEAEAARLNRAQSSRLRRGWFW MIM_RS11820 MYRKIQMQRNKICILLYKCYIYDMKTRKNAILRPDALPLKTNKE ARMDDSSQQHIHYLKTLESEFQREEAELRSWLDTNVTDLASRKWYLERQREDHIARGR RYI MIM_RS11825 MKKLVIFAPLLMLAACQTSTPGQTQNDDACGANRHQSLIGTPET DLNKSALGRNTRIIHPDSVMTMDYSAQRLNVYVDKEGKVSRVTCG MIM_RS11830 MKEYYGARANEYDRIYAKPERQADLRQLQIWLPTLFAGRSVLEI ACGTGYWTQFYAPMAERVVALDAARETLRIAAERVDTNTVHLRHGDAYGLPAFDALFD AAFAGFWWSHIPQERIAEFLVGLHLALEPGARIVFLDNRFVPGSSTPIADQDSAGNTY QMRTLDDGSMHRILKNFPTRDQLLATVDPYAESSTYFEWEYFWALEYTLKSD MIM_RS11835 MLTQVVNKPLQMLSSRRIAFIQAGWHGDIVEQARLSFLEEVSRL GQESSCVDIIDVPGAFEIPLHARLLAESGRYAAVVAAGLVVNGGIYRHEFVAQAVISG LMQVQLETRTPVFSCVLTPRDLFDGEDQHRFFFEHFRIKGQEAAQACIATIASLEGLG LLAPTNVSKVA MIM_RS11840 MTDVIARQSASKKSPPPEWNATTEAFYDEYEEHIYRTGAHRQVS LTFDAPQFCQDWINLARKHMRTRGLRIMYRGQLTDRHGTPRINKKTKEPVLGWMPYDE SSEEESSEIRFETGTFL MIM_RS11845 MFHFLQRHFGIVIFAICVVLAVVYLSDGATNRLAVLSSVIVVVC GWAYNAKIADERETRKELRADIAEINKSIYEIIDLAQQYNPKNETQNVEFFKSRIYLI AKSSALLGSIEQLIGESVFHNHELERQQCKQLATKFWETVCGDNIEDGDTILTNAYDS KQDQYYYGSELSKMLNILFRACFK MIM_RS11850 MTKSLISISKQYSRFPAGRYRKDGPYSGERFRDEILIPALRDNQ EVTVDLDNVMGFGSSFLEEAFGGLMRHGVSYETIVDKLHIKSSVETYKNSIKQYMLDQ SKRNM MIM_RS11865 MNTLSNVSRFLQRSIALAISTAIIPLATAGEFPENAIRLSVGYP AGGLSDVVARRLAEKVADTLSVPVVVENRPGAGGTINIADVSRAKPDGYSLAFSATSP LTLTPYFSKVSYVPEKAVTPVIGIMYSPVVLLGTQTLGATDFNAFIAKAKQEPGKLRW ATSGMGTIGHIMFGQIAHDAGLDMTLIPYKGGSQQMNDALGGQFEIFSTNVSATLIEN IKAGKLHALAIGAEHRIDGLPDTPTFTELRQPKANLKSNFGIVAPAGTPATVIETLNK AFNDALHDPAIADSLRQSGNIPSGGSAQAFQQVIDEESRNNQAIIQQAGLAAK MIM_RS11870 MSWQLWSAFFLACWIISISPGAGAIASMSAGVNHGVRLGFWNIL GLQLALATQITIVAAGVGVLLTTTSWAFALIKWFGVVYLLYLAWQQWRAPVREIKLDA QVSDVPVHKLVLRGFLVNMSNPKAMVFLLAVLPQFIDPRAPLAQQYFVMGVTMTVVDV IVMTGYTWLASRILRLMHSAKQQKILNRGFASLFTLAAGLLSLVHQAGK MIM_RS11880 MTCVAMTPAVHAQSANEIRIGEINSYKIFPAFLEPYKNGMELAA EEANQDGGINGRKLKIIIRDDAGNQGNAIKEAQALVSRDKVHALTGGFLSNIGLALAD FAAHRKVFYLASEPLTDKLVWADGNDYTYRLRASTYMQVAMLVPEAAKLNKKRWAIVY PNYEYGQSAAETFKTLLKQAQPDVQFVQEQATPLNKVDAGSVIQALGEARPDAIFNVL FGPDVTKLVRAGTTRKFFDGMPVVSLLTGEPEYLDTLGADTPKGWIVTGYPWQFIDTP EHKAFLEAYQKRFNDYPRLGSIVGYMAIKSLAEGFRKAGATDTESLRKAFSGLEVTSP FGPISYRPQDHQSTLGAYVGKLDTKDGRGIMTDIRYIDGATAQPDDAQVAKMRPAK MIM_RS11885 MDLSGFAVLFLNGLAGTSSLFMVACGLSLIFGVSRIVNFAHGSL YMFGLYFAYSLATHYLPAGSGGFWPAILLAAILVGLLGAVIELLVLRRIYQAPELFQL LATFALVLILSDLALWLWGPEDLLGPQAPGLASSIPIFGRQFPTYNQLLILIGPIVLL LLWLLLNRTRWGTLIRAATQDRQMLEALGVNQSWLFTGIFALGAFLAGLGGALQLPIE PASLNLDLLTIGDAFAVVVIGGMGSMPGAFVAAFIVAQVKALCIGLGTVHLAGIDIAL PQLTLVVEFLIMAVVLIFKPWGLFGARTGTPRNTSTPEAPYRPASVLLKIFGVGILAL LVCVPLTMESFPYLPVLIQDMLIAVLFAASLHFIMGAGGLHSFGHAAYYGVGAYAAAL LLQRYALPMSFSIALAPFIAAVAALIYGWFCVRLSGIYLAMLTLAFAQITWSVAYQWN DVTGGSNGLIGIWPAAWLEDPVHFYYFVLVIVLLSVLALRWLLFSPLGFALRASRDSS ERSGAIGIDSMRVQWIAFVIAGFFAGLAGALFVFSKGSISPEELYVSKSIDGLVMVLL GGIQSLTGPLSGAAFYVLLHDYITGITEYWKGLFGLIILLLVLLFPQGLVGLGSRLGQ WWQRDAQARMAGKSQ MIM_RS11890 MNLLEVKHLSRRYGDFIAVDDISFSLQAGELLALIGPNGAGKTT TFNMIGGQLPVTRGQILLAGESIANLPARKIWKRGVGRTFQIAATFHSFTVLQNIQNA LLSYRRNTYHIWQAADRLYREQASALLEQVGMLAQADRPCSELAYGDIKRVELAMALA SEPRLLLMDEPTAGMAPRERQALMTLTRDIARARNTAVLFTEHSMDVVFGFADRILVL ARGQLIAQGTPNEISKDPKVREVYFGTSLNPQEETTRPPLISTSAATTHGGQP MIM_RS11895 MTHPQHTAPAATQPQVLLQVQDLNAWYGAAHILFDLNLTVGKGE VVALMGRNGAGKSTTIESIMGLVKHQGLIRFMGQDIVAWKPHQIARAGIGFVPEERRI FADLSVMENLDTGRQPPRVWPDGQAVAHWSTEAIFSLFPNLADMRTRRGGQMSGGEQQ MLAVARTLMGNPFLLLLDEPSEGVAPIVVEQMIKMVLALKAQGVSILLSEQNMDFARQ VCDRVYILEKGQIKYENTMDALTHDMTAKAEYPAV MIM_RS11900 MIKHQLLNKDSVYCNTCVLGHICVPQGLNAQDAARLNELVKERI RIPKGTLFFKAGDRLTALYGIRSGSMKLQLENESGHMQITGFVFAGEIIGLDALTENV HVSNAIAMEDTEVCVIRTSDLTYLSKEIPALAHRVTRLMSQEISRSHSMVLSLGAMRS EQRLAAFLINLSQRFTCLGYSGSEYVLRMSREEIGNYLGLTLETVSRLLSRFAKEGLI RIHQREVRILDFNGLQTLIQNENMHRTDLRRALA MIM_RS11905 MRTIMWILWPSFLAAGFASGVVFALVDPRDIPLFGYIRISSELA YAAGFFLFWLTAAFSSTLSFFMSSSGNNLSLFSRNTEQED MIM_RS11910 MPLQERDNGPWYREPWPWLLMAGPFAAIIGCIITIVLAFQDNPD HEVRLDARKHGLMVLREGDGPVVTAPPTASHNAPRE MIM_RS11915 MSDKQPEQSAAEEVPAWQPPRLVQARVASADDSSMQIEEIRAKI YPRSVTGVFAKWRIILVLATQAIFYGLPWLQWNGRQAVLFDLAERKFYIFGIVLWPQD VFYLAIILIISAYGLFLFTALAGRLFCGYACPQTVYTEIFMWIERKVEGDRVARIRLD ESPMSLRKFRIKATKHFLWLVVAWWTGSTFIGYFSPIRELGVELIHFELSFWQWFWMI FYSFATWGNAGFLRESVCKYMCPYARFQSVMVDKDTFVVTYDRIRGEPRGRRSKKVVP SEAGLGDCVDCTICVQVCPTGIDIRDGLQYMCIGCGACIDACNQVMDKMNYPTGLIRY TSESGMLDGLDKKQARQRLFKPRIYVYITLLSVFIIGLLVSLSLRSPLRVDIVRDRGV LGREIPGGLIENVYRIQVINMSEQDQKFVLKATSKDIGQVAVLVGEGNEQEIDVPAFS NQWVPMVIRIAAEGAAKGLHPLTLYIENTDRTKGTLSAQHDTTFFVPNE MIM_RS11920 MSDFFSNSWGYYIAIVSLAGVAWCVWLLFTQRRWLKSATPQVED TGHVWDEDLRELNNPVPRWWTVMYLGLCAIALSIMLLYPALGSYPGLLGYTSAKQVVT EREAQAAQIKPLFEKFSALSVQELAGNPEANAIGKRLFLNNCAQCHGSDARGAPNFPN LTDSDWLYGGTPEVIIKTITDGRHGVMAPLAAAITPSEANEIAHYVRSLSGLASDPTL LAAGQAGFKKVCFACHGMDGKGNQALGAPNLTDEIWLGGSSRETIVNTILHGRQGVMP AQKHVLSEDQIRMLAAYVWGLSNK MIM_RS11925 MDIAIGIMTIVSIMTFFGIIAWAWSRHRVSANDEAARLPFAVPD EATTTRGEG MIM_RS11930 MTNQKKRLFTHETLEKNVGLLIIFSIIVVAFAGLVQIVPLFFQH YTTKAAPGVEPLQALQIIGRDVYIKEGCVGCHSQQIRTLQSEVSRYGPYSVAGEFVYD HPFLWGSKRTGPDLARVGGRYSDEWHRIHLRNPRDVVKESNMPGYRWLQNKDVSNENI QARMNALRTLGVPYTDKQIADAPAALKGKTEEDAIIAYLQSLGVGYLSALRQQDAAAS N MIM_RS11935 MRVQNARSADAEIFNYTVVRQFTIMTVVWGIVGMFVGVFIAAQL VWPELNFVDWLSYGRLRPLHTNAVIFAFGGSALFATSYYVVQRTCQTRLFCGPLASFT FWGWQLVIVAAAITLPAGYTTSKEYAELEWPIDILITLVWVAYAIVFFGTIVKRKMKH IYVANWFYGSFILTIAILHIFNNIELPYSWFNSYSAYAGAQDAMVQWWYGHNAVGFFL TTSFLGMMYYFVPKQANRPIYSYRLSIVHFWALAFTYMWAGPHHLLYTSLPDWTQSLG MAFSLILLAPSWGGMINGIMTLSGAWHKLRTDPILKFLVVALSFYGMSTFEGSMMSIR TVNALSHYTEWTVAHVHSGALGWVAMITFGSLYYMIPRLYGRESMYSKSLIEAHFWMA TIGVVLYIASMWIAGVMEGLMWRSTEADGTLTYAFVQALASKYVLYIIRILGGICFLS GVLVMFYNMVMTIKGLKGVNPQVPAFVGHDARTAQPATAV MIM_RS11940 MDVFFLLVFLAFLFVMSIGGLLYWAVMSGQFDDSDGNASVILQD DDAAFRGPEKKADREAGAGPSAGSQRPK MIM_RS11945 MKPASIFSIPNDLGAQERLQRRHMLARLGLAWLVMMQVMMFAFP GYLRASYADPETVDTLDTAIIVMNWCSLLLTIPVLLYCAWPIWRGFVGQDPADAHQRS MNWPIGLGIVVAFIPSAVATFRQSGEVYFESIAMFVAFVLTARYLALAARQSAGAALD QLFDQTFRSLAAAADRVGLYFVTIQIVLSIVSALVWYLYIDPGHALPVLVALFVMSCP CAMAMAVPSAQAAARAIVLGSPPLSQQALVALRAETVSVARRSLYGSLLWHLLMIPFA MAGLVQPWLAAITMLVSSLAVAFYAWRFYKNYAVGKPAESGSIAINSSSA MIM_RS11950 MMTKTYPTIEDTIGNTPLVRLQRIPSHLGEARGNVILAKLEGNN PAGSVKDRPALSMIRHAQERGDIRPGDTLIEATSGNTGIALAMAAAISGYKMILIMPD NLSVERRASMNAYGAQLILTPADKGGMEYARDLAQSMQAEGKGKVLDQFANPDNPRAH IEGTGPEIWNQTDGKVTHFVSAMGTTGTIMGVGSYLKSRNADIQIIGAQPAPGASIPG IRKWSEEYQPAIYNKAGVDQFELIGQQEAEDMARHLAASEGIFGGISSAGALVAALRT AERVNNATIVFIVCDRGDRYLSQGVFNPV MIM_RS22150 MCFFLASGVSSAHALDLNQATRAQLRTIKGVGDKLADRILAARQ KGRFVSMEDVVARVAGMGPKTIQSLLAQGVRTDSPSDDPAALAASMQSSGAKTADTDL NRGRSVRRPKAIRKGTAIDRQHSGHNQNLARRAPADDRQHADHDQGADYSIPAMPLLI QPRPRPITEPVKTQAGTAGTGAAEKTH MIM_RS11960 MIIVTGAAGFIGSNLVRGLNNRGITNILAVDDLTDGDKFVNLRS GIIADYMDKDEFRSRVNSGQFGPVTAIFHQGACSDTTERNGRYMMDNNYRVTLELFNF CQARSIPFIYASSAAVYGAGPDYIEALENEKPLNVYGYSKFLFDQVVRERFEHRTAQV VGLRYFNVYGPNEQHKGRMASVAFHNMNQFLAEGHVRLFGGWDGYEDGGQRRDFISVD DVVAVNLFFLDNPDQSGIFNCGTGRAQPFNDIACAVVNTLREERNEARLSLDALVREG LIRYIPFPDDLKGRYQSFTQADTTRLRAAGFKADMSDVEQGVSSYIRRLRAQNA MIM_RS11965 MRAFPIEKIRQARVLVVGDVMLDRYWFGEVERISPEAPVPVVRV AKREDRLGGGANVARNIVALGGGVTLLGIVGDDEAGVQITRLAQEAGIGTQLLIDAAM HTTLKMRVLGRQQQLLRIDFDYLPDEQTLQQLEQQYRSLLENHDIVVLSDYAKGVLTH CSVLIKLARKRGIPVLVDPKGDEYDRYAGASLISPNRGEMQQAVGKWKSEEALTLSAQ SLRQRLGLEALLITRSEQGMTLYTEAGRFHVDAAAHEVFDVSGAGDTVLATMAVTRAA GIDWHEAVEWANKAGGIVVGKLGTSIVSAEELT MIM_RS11970 MDFEAWWLILIPILFGLGWIAAKVDVRQIVSESRNLPDSYFRGL NFLLNEDHDKAIDAFIEVAKLDSETTELHFALGNLFRRRGEIERAIRVHQSLLSRSDL PRPDREHALHEIAQDYFKAGMFDRAENAFQEVQNTSYAISATRALIRIYEAEHDWEKA IAAAAHLRELTDEPLPQRVHYHCERAQAALSAKEPDFDRAATELDAAQREASHNMEQG GSQASYARIAILRAYLARRQGDGNTERQWLLSALERSPEFAGLMAKQIMDNFAASGQE EQAVSLLRDHYFAHPSLDVFEVVFAALRKQGFAPAWQFAQDSLRQHPSLLGFDKVLQS ELTQEQNSDGPDQLQQHMPDFDLSLLRSMIEKHTRRMDKYACRVCGFQAHTYYWQCPG CNSWETYSPRRPEE MIM_RS11975 MRYIVWALRIILFLLVLLFALKNTDPVTVRFFGDYTFAGVPLIV VLLLAFIVGALFAWLVSIPTRLRKTREVGRLKGEVERLNRDVNDTRHSLEALRAEELR LRSNTSPTGTALQTPARETAVGL MIM_RS11980 MTKSELIEALAASYPQLAARDTDFAVKTMLDAMTVALSRGQRIE IRGFGSFSLSTRAPRVGRNPKSGEQVMVPGKRVPHFKAGKELRERVDSVFTSASETRQ DAPEDGHVEAQPAKVANL MIM_RS11985 MSSISLQDATGGESFADLFAQSVKNQDMKSGEVISAEVVRVDHN FVVVNAGLKSESLIPLEEFLNDQGELEVAEGDFVSVAIDSLENGYGDTILSRDRAKRL SAWLSLEKALESGEMVTGTITGKVKGGLTVMTNGIRAFLPGSLVDLRPVKDTTPYEGK TMEFKVIKLDRKRNNVVLSRRSVLEVNMGEERQKLLENLKEGAVVTGVVKNITDYGAF VDLGGIDGLLHITDMAWRRVRHPSEVLSVGQEVQAKVLKFDQDKSRVSLGVKQLGEDP WVGLARRYPQGTRLFGKVTNLTDYGAFVEVETGIEGLVHVSEMDWTNKNVDPRKVVSL GEEVEVMVLEIDEDRRRISLGMKQCRANPWEDFATNFKRGDKVHGAIKSITDFGVFIG LPGGIDGLVHLSDLSWADSGEEAVRNFKKGDEIDAVVLAIDTDKERISLGIKQLEGDP FNNYVATNDKGAVVPGVIKSVEAKGAVVTLSLEVEGYLRASEISAGRVEDATTVLKEG QNIEAMILNVDRKARSIQLSIKARDNAETADALQRMSDTSASSGTTNLGALLKAKLDQ AKDD MIM_RS11990 MIAEGSAENHIPVIAIDGPTASGKGTVAARVAEALGWSVLDSGA LYRLSALAATRRGVADTDEQALADIARQLDVRFLSDKVLLDNDDVTEQLRQEHIGDMA SRIAPLQPLRDALLERQRAFRQAPGLVCDGRDMGTVVFPDAPLKIFLIADVDARAQRR YNQLIEKGFSANLGDLSKDLQARDDRDQNRLVAPLKPADDAVVVDSSNLDVDQTVERI LAHWRNRA MIM_RS11995 MSEVSQYLAPAVRVSGQMALPGSKSISNRVLLLAALSEGSTRID GLLDSDDTRVMLAALRTLGLQIDQTGDNQVVVHGKGAFPQTEADLFLGNAGTAFRPLT AALAVAQGHYRLHGVPRMHERPVGDLVAALQAVGAQIRYEGQEGYPPLQISPAQLHVD GPIPIQGNVSSQFLTAFLMMAPMLAARTGRDVTLEVQGELISQPYIAITLQLMARFGV TVQQDGWQRFTVAADSRYVSPNAVAIEGDASSASYFLALGLLGQGPLQINGIGKDSIQ GDIAFAQFIERLGGKITYQEQSLIAEREQSIAHQPLPAFDEDFNLIPDAAMTAAVLAL YADGPCTLRNIGSWRVKETDRIHAMHTELSKLGAVVESGADWIRITPPEPGKWQTAQI ATYDDHRMAMCFSLAVFGPVGVTILDPGCVSKTFPDYFAVYNTLVTSTQREAS MIM_RS12000 MVSIASEHAPEAVPALSVPVLAVIGVGLIGGSLALSLKRNGVVG KVLGISHDPASINKALELGIIDTVASFEQISQADVIVIATPVSAFETVCDTIKPFLAP HALITDVCSTKKQVIAMARRSLGERVAQFVPGHPIAGAETSGPQAANAFLFEQKQVIL APLQENTPEQIHLLTRLWEACGSTVSPMDAAEHDRIFAAVSHMPHFLSALYMYSLLGA DQTDRKFKYAGSGFRDFTRIAAGPPIMWRDIFSSNRDCMLEEITRFQTCLERARHMLE SGDNDAFEAWLAAAAQARRDWENPPL MIM_RS12005 MSDIANGFGISSNVNAMMPYQAGKPIEDLAREFGLDPDSIVKLA SNENPLGMSDSVRQAISAYLENVPGQALGRYPDPNAFLLKQALAEHYQVPVDWLTVGN GSNDLLEIISLAILDHQASCVYAEHAFIVYKLATQARGARHIKVPAANYGHDLESMFD AIDDDTRLLFIANPNNPTGTFHSGEVIRRFVEKVHAAYGNRVTVVLDEAYNEYLDPQL RFDSAQLVRDFSNVIVVRTFSKAYGLAGIRMGFAIARPELTDYLNRVRQPFNVNLLAQ VAAIAALKDAAFLEKTYELNRQGKQWLSAQFAEMGLEFVPSFGNFILLHVGDAAAVNT ALLQRGIIVRPVIGDGLPEHLRISIGLPEENARFISALKEVLGK MIM_RS12010 MDNSLQEALKPLRDKIDQIDRDILELLNQRAQTAIDVGAVKHKF QADSAVLKPEREAQVIRRLQELNRYGTFTETGVRAVWAEIISVCRGLEKGLTVAYLGP EGSYSEQAAMEFYGHSVQPLPCPSFDEVFRAVEAGQADVGMVPVENSTEGAVNRTLDL FLNSTVKVHGVRSIPIRHCLMSLHGTMDGVKSISAHPQALAQCQKWLSQHYPTMEIVP AASNSEAARHAAQDETVAAIAGETAAVFWNLGLVASGIQDDANNKTRFLAIGNIETAP SGRDQTSLIFAVPNRVGAVYEMISPFARNGVSMTRFESRPARTGQWEYYFYVDVLGHR SDENVARALDELKQQSAFFKILGSYPMQ MIM_RS12015 MGTQFWNFSAGPAVLPKPVLEQAAAEMLDWRGCGMSVMEMSHRG AEFTQICDEAEDDLRTLLGISDDYAVMFMQGGATAENAIVPMNLIARNASNSADYVLT GSWSVKSHKEASRYGTARVAAAADTERQIDGVSYNPWCWVPPLDSWRVQADASYLHYC SNETIGGVEIAQMPDMQALGAPDVPLVLDASSHFLSRPLDVSKTGMVYAGAQKNAGPA GVTMVIVRRDMLGHALPYTPTAFDYVNVAKERSRFNTPPSYGIYICGLVFKWLLQLGG LAEIEKHNLAKSQALYAQIDSSDFYTNPIQPAFRSRMNVPFTLASDTLTSQFLKESAQ HGLLALKGHKSVGGVRASIYNAMPMEGVTTLIDFMKEFERKHG MIM_RS12020 MDSFARETLPISLEEEMRRSYLDYAMSVIVGRALPDVRDGLKPV HRRVLFAMHELSNDWNRAYKKSARIVGDVIGKYHPHGDQAVYDTIVRMAQDFSLRYML VDGQGNFGSVDGDSAAAMRYTEIRLAKIAHELLADIDQETVDFGPNYDGSEQEPLLLP SRVPNLLINGSSGIAVGMATNIPPHNLSEVIDGCLYCLRNPGCTLDELIELIPAPDFP TGGIIYGITGVREGYRTGRGRVVMRAKTHFEDMEKGNRQSIVVDELPYQVNKKTLQER IAELVNEKKVEGISDIRDESDKDGMRLVIELKRGEVPEVILNNLFKHTQLQDTFGINM VALVEGQPRLLNLKQMVEYFLSHRREVVTRRTVFQLRKARERGHLLEGLAVALANIDD FIAIIKGAPTPPVARQELMARNWDSSLVRELLQRADDGSVLGGSAAYRPETLADIFGL QSDGLYRLSEVQAQEILNMRLQRLTGLEQDKIVNEYRSIMETIADLLDILAKPERITA IISDELVAIKAEFSTNAKDVRRSEVVMNATELDTEDLITPADMVVTLSNSGYIKSQPL SEYRAQKRGGRGKQATAMKDDDWIDQLFIANTHDYLLCFSDRGRVYWLKVWEVPQGTR GSRGRPIVNMFPLIDGEKITVVLPVREFSDTNFVFMATSRGTVKKTPLSDFSNPRKAG IIAVALDEGDYLIGADLTDGEHDVMLFSDAGKAVRFDENDVRPMGRTARGVRGMNLDG DQQVISLLVAGDESQSVLTATENGYGKRTSISEYTRHGRGTKGMIAIQTSARNGKVVG AVLVNPADEIMLITTGGVLVRTRVSEVREMGRATQGVTLISVDDGSTLSGVRRVVESD ADVDEAEADADTAALPEAGQSDSQVDGGTDPSGDGSDSAAPDTDTEA MIM_RS12025 MNKPSKFALAFAVVAAATSGVASAQTVDNWVNPYGLVWMNGTNE HCWRDNFWTPATAAQGCGAPQAEVVANKVTFNADTFFDFDKATLKPEGRNILDQVAQQ VTQLNLESLIATGHTDSVGTNAYNQKLSERRAASVKNYLISRGVPADQIIASGRGETQ PVASNQTREGRAKNRRVEIEIVGTRR MIM_RS12030 MSQPSTPSSLPANASQAELDKFGDLAAKWWDPTSEFKPLHAINP LRLEWISSLAGGLDGKTIIDVGCGGGILAESMAARGATVTGIDLAQKSLNVARLHGLE SGVQVEYRNISAEQIASERSGQYDVVTCMEMLEHVPDPGSIVQACSTLVKPGGLVFFS TLNRNIKSFLFAIIGAEYVLNLLPRNTHTHSSFIRPSELAASARKAGLEPMSMKGMQY NPITDVYSLNNDTSVNYLMATYK MIM_RS12035 MITTVFFDFDGTLADSAPDLAHAANLQRQYRGMPPLPYDMLRCV ASQGARGLLRVALALTPDDEDYEPTRLRFLEDYRACMTQNTALFPGIEQVFDKLEDAG LRWGIVTNKAEALSFPMFDYLQLTDRSAANVCGDTTAHPKPHPAPLLHAAKLAGVAPE TCIYVGDDERDIIAGKAAGMPTVAAAYGYCVDADEVKSWDADQIALLPEDVWDAVVKI KEQAGIRKS MIM_RS12040 MTITGVLLSACTSFGNNGMDNPGGGIAVDTSGSPVAQRSVTTPS NWNELIGEIRASDTQNLGIQVNRVRDGSLRVILPGGSAFRGGSARLNNKMKPVLNTVA GAFAQSPYLRIKVVGHSDSQGDPVANQTISITRATAVVNYLIQRDVKSVLIELEGRGS IDPLMSNSTAQGRAINRRVELYLYEIR MIM_RS12045 MNDTSLIENRVRRWLEKAVIGLNLCPFAKSVYVKDQVRIAICQA QDSQALTAQLYEELQWLANTPAQQTDTTLLVVPCMFEAFSDFNDYLHIAEAVLDDLEL IGEIQLASFHPLYQFADTEPGDLSNYTNRAPYPILHLLREDSLDKAAEQYPDPSVIFE RNIAVVQELGVEGWQRLLQDDQE MIM_RS12050 MTDTSTNALFIITSATNAPYAGAFAGDAHQQQTGKSTGNDHAHG NNQQPAATGQSVPGYDSLLATISSINTHAPGSRIALVEYSAYPLSQPQHDALIEQVDY LMSYAGNEQIQRFDRELGSHQAIAAFSELTSLLWFLQVGQHHDLYKPFKRVFKMSPGV QLVSAPADSAHFADSAQGRYVFPNAILAPNAAGALALQFSNGYWSMDTSLVPSFIALL QEILEAMHKQDQQGQAVSVESMLYKYIDAGKIFYDLKPVVADASSK MIM_RS12055 MKILLFGKTGQVGRALQLTLAPLGQVIAPARPQSAAGSTPVLTH AAYPQGVAPVDFQDPAQLLEYTLVLAPDVIVNAAAYTAVDDAETREPMVMQINADAPA VLARAAAQCGALLIHYSTDYVFDGSGTRPWLETDMARPVNVYGRSKLAAEQAIMHSGC RHLIFRTSWVYSRQGQGFLQQMMKLAMTRECLRIVNDQIGAPTSACLVADTTAAVLGK YRSQAAAIDDGLYHLAATGETSWFDYACHIFARMRSNGVKLAIDQVVPVSTLEYGSPA RRPLNSRLATGKLSDAFGLSLPCWQQGVDTTVDALCQDLKDRDFLL MIM_RS12060 MNVSAWSIKNPLPACMLFVLLTLMGLYSFHAMRIQNFPDIDLPT VVVTTVLEGATPSQLENDVARKIEDNLATVQGIDHVFSTLQDGVATTTAQFELEKPIQ EAVDDVRSSVASVRNELPANTEEPIVTKLDLAQQPILAFTVSSTRRDETDVSWLVDNT IARKLLTVSGVGKVSRVGGRDRQVRVDMDPVLMQGLGATVADVSRQLKSVQQEQSGGK AELGSQKQPIRTLGTVKSAAELEDLRIPLSNGKVITLGEVATVRDSFADPVSAAFLDG KPVVAFEVARSRGAGEVDVGRGVMQALEQIKAQYPDLTFKTAFDMVQPVQSEYDGAMH MLYEGALLAVLAVWLFLRDVRATLVSAVALPLSIIPAFVGMYWFGFTINGVTLLALSL VVGILVDDAIVEVENIVRHLQSGKSPYRAAMEAADEIGLAVVATTFTLIAVFLPTAFM SGIAGKFFKQFGWTAAMAVFASLVVARMLTPMMCAYILKGRQAAPRDPGWMQFYMKWV DRSLRHRWVTVVIAGLFFIGSIVIIPLLPTGFMPADDMSQTQVYVEMQPGTPLAQTEK TAERVRELVMQNPSVLSVYTSIGGGAAGGDPFAGGQTQEERKATLTVLLAPRNERPVK QEIEADIRERLAVVPGVRLKVGLGGSGEKYILVLSSEQPDVLMQTALQVGRQLRSIAG LGNISSSASLVRPEVEVHPRFDDAAQLGVTSAAMADTLRVATAGDFEVALSKLNLDQR QIPIVVALEKWAIQDLGFIENLRVPGAGGLVPLSSVADVRLGGGPAVINRYDRARNIT FEIELSGVALGDVVSQVKQLPAMKNLPASVSVIDIGDAEVMEELFQSFGLAIVIGIVC IYIVLVLLFKQFLQPLTILAALPLSLGGAFVGLLLADKSFSMPSLIGLIMLMGIATKN SILLVEYAILAIKEKGMTRLEALRDACHKRARPILMTTLAMGAGMVPLAAGWGAADPA FRSPMAIAVLGGLITSTFLSLLVIPAVFLIVDDVSGFFRRHTGKLFNDPE MIM_RS12065 MLALSAIYPGLIHAQEPAATPALTVTVQTVTAEPITPGFGATGN IRAWHDASVSAQTNGLRLKALHADVGDRVTAGQMLAEFEDTSSRGDLTQAEARLRQAQ ASLDTAKRNADRIRKIRGSGAISQSEVDQALSGEKSALADVTAARAALQTQTQRSSYT KLLAPSDGTISVRNAVIGAVVNPGQEIFHLVVDNRLEWQAQLGMRNLMLVNEGMPVQV MLPNAREVSGRVRQIGPTLDEQTRQGIVYVDLTPDPQLRAGMFLRGRFVLPAKQGLTV PREALVLRDGFNFVFVLGDDNKVAQTKVQTGDAVKNAIEVTAGLHEGDRVVTQGAAFL NDQDTVRVVDAEVSQPGQDETGKAASGGQSNDSRAASEARSGGN MIM_RS12070 MKLKVLATALALLATSACVYADSEALNRIERLEQSQSTQHQLVV QNSNSSADASKAPASLKKPEKKALEPTVDQAKAAIIVANILTRFEYDKKPLDENMSQA VFKEYLKMMDPAKMYLLQSDINEFEPVRQNIHTMLMTGRLAPAFAMFEKYRDRVEQRF DHALALLDKPFNFDIEESFNLDRKKAEWASSDEQINDLWRKRIKNDYLRLKLAGSKDS VIVEKLKKRYINNRNQIMRMNGEDVAEMFLNAYGNSTDPHTSYYSPSSAKNFDVQISL SVDGIGAVLQKRDEYGQIREVVPGGPAAKSGQLNPGDRIVAVGQGESGPMEDVVDWRL DDIVKLIRGKRGTTVRIEIIPAERGMEGKHTTVSIVRQKVTMEDQAARYRIYEAGTDA NKRKVGIITIPSFYEDFDAKARGESNYKSVTRDVRVILEKLDKEGVDGVLLDLRNNGG GSLSEAANLSGLFLGGPNPIVQVSTAEGTTSNVRSATGKIVWNKPVGVMVNRISASAS EIFAAAIQDYGRGVVIGDPTWGKGSVQTIRGLDEFLRRHEGEELGSLKWTIQKFFRVN GSSTQEKGVVPDIVFPSAFDPTELGESSYENAMPWSKIAPTRYSGYDTLADKIGPLKT MHEQRAQQSDSWKLLLDELAYARKTSDKKVISLRYATRLDEREKMSAEQSQFEERRKK LGESDVNSFRLDDGLAAGEGNLTQELADEKKRKESLDIAIKEAANIVADLVDQK MIM_RS12075 MTIGIPAAAFAQSGGDFSACMQKLQPQAARAGISAQSFAQFTQG VTPDMSILEKLNYQPEFRQPIWDYLAGLVDDQRVSEGKSNLARYADVLRRVSAQYGVD PATVVAVWGVESNFGQTQGKYPLVQALGTLSCYGRRQAYFQKEFFATLRILQSGDIQP QRLVGSWAGAFGHTQFMPTTFERLAVDFDGDGRRDLMDNAADALASTANFLAKGGWQT GQPWGFEVRLPAGMNTAGEGRRNKKSVSAWAAQGVTAVDGQPLDARVSGSQKAGLLTP AGAAGPAFLVFRNFDVIYGYNAAESYALAIAHLSDRLRGAGPFVTAWPTDDPGLSRAE RITLQKALLSRGYDIGEPDGLIGSKTRDAIKTEQSKLGLTPDGRAGQKILRALQ MIM_RS12085 MIRQFVRFLDRTWNSISFRLTFNYGMLAIFSILVLMAFIYLQVV GALHSQLQRQIASTTHRLVTDFEAGGSDRLIRSLAFTLSDEVDSDQELYLLINQAGEK VIGNLDAAPVSRLSEIAEANVARNGLALTGRFKVSILDDGSTLIVGVDLTETNRTIAL IGQACLVTLFVALMLVIVGTFIFRRELDFRVSSIHKTATQISSGQLSSRIPLSEIHDE FYHLSHEINSMLDRIEALMKGVRHVSDTIAHNLRTPLTRIISRLRTVQRPGHSAQEVL QATHFAVQEIENLNQLFEKLLQISEIEAGVHRQRFRVCDFSAIAEDVIDLYSAFAEDR HRRLSLTIHGDCHIDGDADLLASMLANLVDNALKYTREHVWVSVHAEQGQLSIQIEDD GPGIPVSEYGNVGKHFYQLDPNATGFGLGLKSVMAIVAMHDGTLCFEDRQPGLKITIV FPIEQV MIM_RS12090 MWHCLVIEDDYENARYIANGLNELRHDAVVCGNGADGMRRASEQ QWDIIILDRMLPGNIDGLSILSTLRALGNKTPVLVLSALSGVDERVRGLKSGGDDYLT KPFAFSELVARIEALIRRAGTDETVKQMRVGDLKLDLINRKVERGGKLINLQPREYRL LVHMMQNAGNVVTRTMLLESVWDYRFDPQSNVIDVQISRLRRKIDSGFQTQLIHTVWG EGYVLSEQGRVTEPDSAPS MIM_RS12100 MELLEHLALGFSVAFTPENLLYALLGCILGTLIGVLPGIGPVPT IAMLLPLTYILPPTAGLIMLAGIYYGAQYGGSTTAILVALPGETSAVVTVLDGHQMAK NGRAGAALAIAAIGSFFAGCFATVLLAAFAPPLAEVAFKFGPAEYFSLMVLGLVGAVV LASGSLPKAICMILLGLMLGMVGTDVNSGVARFDFGIPELQDGIDFAVVAMGVFGLAE IMNNLAQKENRVDITDKIGSLYPNKQEFKEAAPACIRGTLLGSALGILPGGGAVLSSF ASYTLEKKLSRNPERFGKGHPAGLAGPESANNAAAQTSFIPLLTLGIPGNAVMALMVG AMTIHNIQPGPQVMSSHPELFWGLIASMWIGNVMLVILNLPLIGLWVKLLKVPYRVLF PAIVLFCTVGVYSLNYNVFDIYIMAAFGIIGYVWSKLKCEGAPLLLGLVLGPMMEENF RRALLLSRGEFSTFVTRPLSLSLLVLAAALVVLVALPSIRKKREETFVEED MIM_RS12105 MAMLNEVFQMQQTFSEAFLRSTAIYWGIYLLFRFAGRRNIGSLG FADIVVVMLVSEAVGNGLSGTSDTVTDGLAVAAGIVLWSFLIDRLGYFFPPVSRLLSP DQLLLIKDGVLQLKNMRREYVTRGELLEQLRINGVADLAQVRRAYLETNGEISVITRD RRDGSGSTGTKPDTIARTSDTATRNSNDNDR MIM_RS12110 MKLQHLFAGIARGNLVLQIIIGLILGILFGVFFPEAAQASAIIG TFFTGALKAVAPVLVFVLVMAAIAQHRSGTQVYIKPILALYVLGTFAAALVAVVASFA FPTTLTLVTSQVKQSAPTGIIEVLRSLVLNLIDNPVNAILTANYIGILSWAILLGVSL RHAGATTKSVLSDAAEAVTNVVRWVIRLAPLGIFSLIAVTVATTGLGELKAYGRLLLV LVSCMLFVALVVNPLIVYFKIRRNPYPLVFRCLAESGFTAFFTRSSAANIPVNLLLCK KLGLHEDTYSVSIPLGATINMAGAAVTITVLTLAAVHTVNIPVDIPTALLLSVLATVG ACGASGVPGGSLLLIPMACSLFGINNDLAAQVVTVGLIISVIQDSCETALNSSTDVLF TAAADKAMQNPTAAECEIAI MIM_RS12115 MKVVRPTPAPLKTCELTHIHSIDDVYDQLQVALHLPQYFGRNLD ALYDSLSTDVKGPYRIVWLGHARSALDLGESYYEGLLDIFRAVARERGDVQLELE MIM_RS12120 MQRLLSTFILAMCAPAYAMGWAAPTPAPSKSSTALAGGIDRCEV VLDTFNKTALDGQVDPGQLSDIVRSLNARQQLPAYFVTKKQARQAGWSPGQYFADIPA LRGKSIGGDHFGNYERRLPQGQWKEADLDYRGKKRNAKRLIFAQAGQQYVTVDHYETF HKVPACR MIM_RS12125 MTNPSPNDSAKDSTASDGGASHIMLQRRFAPYFWTQISGAANDN LFKFAVTIMLTYHVSVSWLPPEMAGVVINGMFIVPFLLLSAVSGQMSDKFEKARFMRW IKTVEIGIMALAAYGLVYQHVYILLLCVLLMGIHSTVFGPVKFAYLPQVFHGTALVSS NGWVEMGTFVAILLGNMAGGFMAGIEGSGYHYVAASCVVIAMIGRLCSGLIPPTSVAS PELKLDWNPIAGTIENLKYAFHDLHVFKSILLISWMWFFGAVYLNIFPVFAKEILRGD EQVATLLLGVFSIGVGFGSVLCGWIGKKGLNVLKLVPVGAAGMTVFSVLLYFSTHNLN ATHLLALHEFVSLPANWLLIAGLFLLSMSAGLYSVPLYVLIQQKTPKTHSARVIAANN IMNAIFLVASSLLTGLLIAFSTVPLIVLFLAIANAIFVFIVMRSDHSYITEMREPME MIM_RS12130 MSVRFRCGNAAAIIITASLLVACHRSVVPQPAAAVPTRQVPHGD ILWHFVHDQCVPNQQAGNQPPAPCKKVDTDQGYVIFKDKNGPLQYLHMPTQHVSGLED PALLQASRTPYFAQAWHARDYMDRLLGKAIPVQEYALTVNSKSGRSQNHLHIHISCVR PALRDRLLGMHAQFDSRWRAVPGGINGHAYQVRTLSLNELEQRGPIALTITSLPQARQ DMANVSLALYPLSEQQFLLFADHRYGASAEGDFQDHRCPQLLANH MIM_RS12135 MKSFIKLLILLLVAAAIAFGAWKIIETTIFNDDDVEPAQQSELH QPPPAPAPPQKQEDPYQNAQGDDASSDSAPATLTCTDASKIIAELYNMRVNGKTQDEA NEAVSNNESIPDERVSSFIEFVELLWSTPKDKIAEKNRFIKDFTANCEKLERAAPATS TAPAKPAAQ MIM_RS12140 MLSLFPVRFLAFFLVILGAVVSLFLGKFVAPAWYVVALVCLLLT AVGIHDLIQPRHSVKRNYPVIGNLRFLLEFIRPELRQYFIESDTDTLPFSRAQRSIVY QRAKQQIDKRPFGTIKNVYGDDYEWVNHSMMPSHIPDRNFRITVGQGQCAQPYDISVF NISAMSFGALSANAIRALNRGAKDGDFAHDTGEGGISRYHLEFGGDLIWNIGSGYFGC RDENGHFSDEAFAQKATLPNVKMIEIKLSQGAKPGHGGILPGAKVTAEIAEARGVTAG VECDSPATHSAFSTPVELLQFVQRLRTLSGGKPVGFKLCVGHPWEWFGIVKAMLETNI TPDFIVVDGSEGGTGAAPVEFVDHMGVPLKEALRLVHNALVGAGLRERIKIGASGKII SAFDMLRTLALGADWCNSARGFMFAVGCIQAQACHTGKCPSGVATQDPSRQRAIVVPD KADRVKHFHRNTVHALAELLQAAGLTHPQQLRAHHVARRISSSEVKVLSAIYPELEKG DLLKGNYRLKIFEICWPLAQAHSFQPARAVDLMASEMNTGYQVSEKPAEKDVPVTNLL QPL MIM_RS12145 MKLSRKVALIVLPLAAVLAGCNTMKTGTESTGTTAQTPAAQGTA VDVFLASDKVIKSYRPVKLSEKQTIYVSKTPIITRANLTSVDRVRDSQGRSFVKLSLN PAGVSALNAAPKDQGYATTVGGQLASLTGIRQNNDFLFMVRDDQVAGSVVEAIAPKTA AK MIM_RS22155 MKLTTLVTVAAVTTALAGCSFFEKNATSGTVAPMGPGTSATAVQ TTGAGNLEVYVGTTAAPKATKGKSAKTIPLKVGDQTYNAVGPILTRTDVKNVFVTKAG DRPALGLRVTPEGARKLNAEISGKAAKGKMILASLNDSIFSTTIASPGAVDDGVLLMP MATLNNAKTAADIIRGKK MIM_RS12155 MSRSTHVLFAIIAAAILAGCSSTKTGRDHSISHSPREQVTRVSY DESSYALPIGQPTMLVGRAFIYDAINGGERSGGNVDVVLNPVSAMSTQWFDVVCRRGK VLAGKADPRYAAKAYASKTNSFGQFAFTNVPSGEYYLTTRLYWMDTKPFSGAVQYGGL LAKRIRLAPGTNTINLSDSDKCRGYFH MIM_RS12160 MNNIRVWDLPLRLFHWLLVICVAGAYFCVKSAGITEGWLSETGT DWMTWHSRFGYAVLTLILFRLVWGIIGPYYSRFTQFVVGPRTIAAYLRTAKWTAGHNP LGAWSVIAMLLAFGVQAGSGLFASDDILFEGPLSDLNPALSRFFNSVHHASEWVLIAL VVLHLCAIAYYSLLRRQPLIRAMVTGDIPAEQHLPAEQPAQSQDNAATRLRAAIVLLL CAAIVFWLSQF MIM_RS12165 MKSSVVVAATCMALLSLTANAEFLKPKEAQDYRHHGMEFIGDNF KRMGHMVKGEQPYDKEAFAKYANLLKTLAPLPFEAFYKGTEGGDAKDEVFSDPDGFNK AKDKMLVAVERLATEAESGDMSKIKVAFGGVGQSCKACHDNYRKDD MIM_RS12170 MNISDSITPLNALSPLDGRYASRAAALRPFLSEAGFMAHRVEVE VSWLIALSQAGLSELPAFSAAAESALRSWVREFSEADAQRIKDIEKKTNHDVKAVEYW LKEKAAGNDELSAAAEFIHFACTSEDINNTSHALMLTRSRDQVIIPALQNLLSMLKDN ARQFAQQPMLARTHGQPASPTTMGKEFANVAARLEAAIAAIVAVKPLAKLNGATGNYN AHYSAYPELDWPALSKKVLSDLSLTQNPYTIQIEPHDWMAALFDAIARANTILLDLNR DIWGYIALGYFKQRLREGEVGSSTMPHKVNPIDFENSEGNIGLANAVLKHLSEKLPVS RWQRDLTDSTVLRNLGVALGYCMVAWDSCSKGLGKLELNPQAIDRDIDQCWEVLAEPV QTVMRRHGLPEPYEQLKALTRGRGITEEALRTFVQELQLPEEAKQRLLQMTPRSYIGL AADLAAKI MIM_RS12175 MTEQSSVKPRVVVGMSGGVDSSVSAWLLKEQGYEVIGLFMKNWE DDDDSEYCSTRQDWLDAASVADLVGVDIEAVNFSADYKDRVFSEFLREYSAGRTPNPD VLCNAEIKFKAFLDHALTLGADYIATGHYARVRAVQRQGATEYELLKGLDASKDQSYF LHRLNQAQLSKALFPLGEINKTEVRQIAHKLGLPNAAKKDSTGICFIGERPFREFLNR YLPTNPGPILTDDGQEIGQHHGLAFYTLGQRKGLGIGGIKGRQNDDGIADAWYVARKD LQNNTLYVVSGHDHPWLLQHDVLADEVSWVAGHAPVAGTYGAKTRYRQADAPCELVPG SDPARLHLKFPQAQWAVTPGQSSVLYDGDVCLGGGIIA MIM_RS12180 MQTNLADWAKNSSYGEEVDAILRKCVHCGFCSATCPSYQILGDE RDSPRGRIYLIKEIVEGKEPTSITQKHLDQCLTCHNCETTCPSGVQYGHLVDIGRELV AERVVRTPADRFRRTLLAAGLNSQVFAPAVKLGRMLRSFMPATLAAKLPEARPAGALP AGRHQRQVLVPLGCVQPALMPSIDAATIRVLDAAGISSVDVSRNTCCGAVNFHLDKVK NSIAQMKSNIDAWVPLLESGQVEAVIMNASGCGAFVKEYPFYLRNEPDYLEKARYLVQ HVKDIAEVIAPEAASLKARFKRPLPASAAFHPPCTLQHWQGLRPLTESLLRELGFDLK AFGEANLCCGSAGTYSVLQPEIATGLRDRKLESIGKTSPSVIITSNMGCMSHLQTGTQ TPVRHWVEVVDAALSA MIM_RS12185 MKFIKEDLAEQVAAAVAGRKQIVIRGGGTKAFYGNAIAETEQLV ELDVTPYTGISSYEPSELVMTARCGTLLSEIEAALDEQNQRLAWEPPHFGERATIGGA IATGLAGPGRMAAGGASDFVLGTVLLNAEGQQLRFGGTVMKNVAGYDMSRLLTGSMGV FGPILEVSFKVLPKPICEVTLQRHCSLKEAFECFGQWQAKALGISASAWIPEGDTQQG VLYLRVSGAEPAVRQACGVVGGEQVDEQIAKDLWRSLREHTHPFFGDTVWRVAVPPLA DTVNESVPLLEWGGAQRWLRQVSDVTNIRHAAAQRGGHATLFRAGTGVSQPVDGVFQP VSAGVAAITRRLKSNLDPLGTFYSGRLFRNI MIM_RS12190 MDVSAQLRQAPQVAESGPDFSELIAELHRALPAHCVLSRVEEKK PFECDGLTLFKEMPGVVVLPETEEQIIHVLKTCKKLGVPVVPRGAGTGLSGGATPHSQ GVLLGVSKLNKIKHIDPRAATAIVEPGVRNLAVSEAAAAYGLYYAPDPSSQIACSIGG NIAENAGGVHCLKYGLTVHNVIRLRIVTIDGDIIELGADAPDAPGLNLLPAFIGSEGM LGIVTEVKVKLIPKPQLARVVMASFASVEAAGEAVKNIIGSGIIPAGLEMMDKRATHM VEPFVKAGYDLDAEAILLCESDGTEAEVEDEILRMEKVFSDCGATRLQISNSEEERLR FWAGRKNAFPAAGRISPDYYCMDGTIPKNQLAHVLNEMVRMEEKYQLGCANVFHAGDG NLHPLILFDANDPDSVQRAEDFGAEILELCIKVGGTVTGEHGVGLEKINQMCSQFTRE ELDAFTALKKAFDPDMLLNPTKQIPTLNRCAEYGRMHVHGGQIAFPDIERF MIM_RS12195 MSYNTDDLRIREIKELSPPSHLMREFTCSAQVSGLVHDTREAIH RILHAADDRLVVIIGPCSIHDPVAAREYVKRLKEQRDRFRDDLEIVMRVYFEKPRTTV GWKGLINDPDLDGSFNINKGVRLARELLLEVNESGVPAGCEFLDMITPQYIADLVSWG AIGARTTESQVHRELASGLSCPVGFKNGTDGNIKIAIDAINAASQPHHFLSVTKGGHS AIVSTVGNDDCHVILRGGKAPNYDAEHINLMSEQLSKSGLASRIMIDASHANSNKKYE NQPRVIDDVAQQIEAGDHRIFGVMVESHLVAGRQDLKEGVELTYGQSITDGCIGWDAS VQVLERLAQAVRTRREQKTDINS MIM_RS12200 MKPIESDLQAVATAKSLLLDPWDLNENHMAAALAEIFTHKVDYA DLYFQYTRSESWSLEEGIVKTGSFSISQGVGVRALAGEKTAFAYSDKLSPEALMESAT TVKAIARQGEGRMKVVGNGKNKAHDLYGQDDPIAAMPATEKVALLGRVETIARAKDPH VIQVMAGLGAEYDVVMVVGSDGRLAADIRPLVRLSVTVIVERNGRREMGHGGGGGRLG LNYFSDALLHEYVDKAVHEALTNLDAKDAPAGEMTVVLGSGWPGILLHEAVGHGLEGD FNRKGSSIFSDRIGERVASKGVTVIDDGTIKDRRGSLNVDDEGNPTQRNVLIEDGILR GYMQDSLNARLMKTKVTGNGRRESYAHLPMPRMTNTFMLGGEHDPQEIVSSVKKGLYA VNFGGGQVDITSGKFVFSASEAYMIENGRITYPVKGATLIGNGPDAMNRVAMIGNDLS LDSGVGTCGKEGQSVPVGVGMPTIRMDGLTVGGTA MIM_RS12205 MRHLQVSRIGNLVLAGLFLSGLAACSTTSEFTTQVTSFQQWPAG VTGQHYRFIDSQPQNLEQAAYTGYIRNHMFRTGLTEAMGRQPARFEVGYTTRTDVRQQ MVQRETGDYDVYPTLGLGMGFPYGYYGNPFYSSIGFAMAPRYQLVPVPYHRYSLTVYI RDRQQAGNEVFRASAVADSRERELPEVMPYLAASVFDQFPGQNGQVRTVEFERNKTGV AGTAPAITVKKP MIM_RS12210 MPTELTEENSPTVYRSDYQPYPFTLDAVALNVELDENRTVVTST LSFTAKNAAPADLVLNAEDISLDALHLDGQPLGADAYELTPDLLVIAQLSGSFELRVQ TTLDPAANSLFMGLYKSGSGFFTQCEAEGFRRITYFPDRPDVMSIYTVTLSADKEKYP YLLSNGNLVSSTDLPDGRHQAVWHDPFHKPSYLFALVAGDFDVREKTVKTHNGRDVLL QIYSDKGSRDKTEWALASLERSLVWDEKRFGLELDLDRFMIVAVRDFNMGAMENKGLN IFNSGYVLADPDTATDANFSAVEAVIGHEYFHNWTGNRVTCRDWFQLSLKEGLTVFRD QEFTADMMAAGLDDQAAASARAVKRIDDVSVLRAGQFPEDAGPMAHPIRPDSYESIGN FYTATVYEKGAEVIRMQHTLLGAHGFRDGMDEYFRRHDGQAVTCDDFVNAMEYVYTKS HPQRDLSIFRRWYSQAGTPRVQVTMNHDAAQQTVTLTLSQQTPLVGVEKIRKDFVKKP FHIPFAVGLLSADGQPLALNEINDSASQLNTPDPKGEHTRLLELTEQSQSWTFTGIAT KPVPSLLRDFSAPVIVQYEWTEKELAVLAQSDPNPFARWEAGQALATREILGLTNALQ KGEQAALSETFVQVWKNNLQDAGLDAGYRARLLTLPSEKFLAEQMDPIEPVWLSRARQ AVRENLGKVAATEWTATLQDNDTPGTYSPDPISAGRRSLKNLALSYLMAAGDEAACQQ ALVQYQAAQNMTDCMGALGAIVNYHHGDKRQEAIVDFYEKWQHNPLVIDKWFALQATA ADTRTETIRGLMAHPAFSLRNPNRARSLIFQFCINNAEGFHNQDGSGYALWTEAVLAL DSINPEVASRLARVMDNWARYTPALQSQMKSSLEQVRDHEGLSSNVSEIVSKALRI MIM_RS12215 MSRKTLTQYLVEQQRNNNAIEAEVRLLVEVVSRACKAISHAVSK GALGGVLGSLESENVQGEVQKKLDVMSNEILLEANEWGGHLAGMASEEMETIHHIPNR YPKGEYLLLFDPLDGSSNIDVNVSIGTIFSVLKAPADISGREVTEEDFLQPGSQQVVA GYAVYGPQTMLVLTIGNGVMGFTLDRELGSWVVTHENMRVPEDTKEFSINMSNMRHWA PPMRQYIEDCLAGTTGPMGKDYNMRWIASMVADVHRIMTRGGIFMYPWDSRDPKKPGK LRLMYEANPMSFIIEQAGGMATDSVNRIMDIQPTELHQRVGVVLGSKNEVARVKDYCA GSK MIM_RS12220 MQTVKLGKSDLEVTDICLGTMTFGEQVSESISFEILDQAVGQGI NFLDTAEMYSVPARAETFGATETIIGNWFSRNPQARQSVVLASKVAGPSRGMPWVREG AGMTADDIIRSCEGSLKRLQTDYIDLYQIHWPARPVPAFGNRYFDPEKTNSDQSTIEE QLRALDRLVREGKIRYVGLSNETPYGVSEFIRIAEAENLPRVASIQNPYCLLNRSYEN ALDETCHQLDVSLLAYSPLAFGLLTGKYDESGITGPQAPAEARIARYESVQKQRWGRA AALDAARVYNALARRHDLTPVQLAIGFCNTKWQVASTIIGVRTPEQLKEILAVNGLTL DSQIMDEIDAIRLNHFDPAQ MIM_RS12225 MNTPPTREIHQVLTPEIIQMLVLIAEKGSFAAAARELNLVPSAL TYRVRQVEDALDVLLFDRKSRHAALTPAGKELIDEGRQLLNSVEQIAHRVKRIATGWE PLLTISADTIVSRKVLFELCEKFFALSVPTQLRIREEVLDGTWETLIAGHADLAIGVS STLQSPDIVSLPLNKISFVFVVSPGHPLANVPEPLPEQLIAQYQSVAVADSARYARRI SYGIQAMQKVFTVPNLQAKVLAHRMGLGCGFLPYSHAKPYLESGELMMKNVAACPREE HSNYAWRKPAHGRAIGKGLQWWLTELESEETRQALMGND MIM_RS12230 MSSRKVEISEHGDVGVMKVVTEEVGAPADNEVQIVQKAIGLNFI DIYYRTGLYAQQLPHGLGFEAAGIVEAVGSRVTHLKKGDRVAYGQSPIGAYAEVRNVP AVNVLKIPDFLSFDEAAAVMLKGLTVQYLFRQTYRLQGHETILFHAAAGGVGLIACQW ARALGVKLIGTVSSPEKSDIALAHGAWATIDYSKEDVVERVLSLTNGKKVPVVYDGVG KDTWERSLDCLQPRGLMVSFGNASGAVTGVNLGILASKGALYVTRPTLGPHVDTPEKL KAASDELFDMIRSKKIKVQIDQRYSLEDIAEAHQALASRKTTGATVITLD MIM_RS22555 MNTGTPPLSPEATQQDPAVESSAINEACGPLYTSAANNKGLKNI GYLIRLNFHSISQMIEAEMEPLGLTSSQWHPIAIIGLNKANTPASVARTAEVDTGAMT RTLDRLEKKGFLKRRRSTNDRRVVELELTEKGHTVTEKLMPAVSRALNAHLKGFTDEE ATLLISFLQRMQQNGNTETYERLLKELENDEGCVLSCNKNPSAT MIM_RS12240 MADPVLIAKSGQTDVVILPQMANRHGCITGATGTGKTVTLQVLA QSFSRLGTPVFMADVKGDLTGISQAGTDSPKMQERLQKYGLPTPQWGAAPTVLWDVFG EQGHPVRATMSDMGPLLLARMLDLNDTQTGVLNLVFKVADDEGQLLLDLKDLRAMLQN VADRASELRQNYGNVSAASVGAIQRALLQLESQGADLFFGEPMLDIHDLIRTDANGQG VVNILAADKLMQSPKLYAVFLLWLLAEIYESLPEIGDPEKPRLVFFFDEAHLLFTDAP AALLQKIEQIVRLVRSKGVGVFFVTQNPLDIPETVLGQLGNRVQHALRAFTPRDQRAV KTAAETMRPNPELDITQAIGELGVGEALLSFLDAKGSPGITQRGWVMPPASRIGPATD AERQALRNQSQFAGKYDQVVDRESAFEVLAKRTEQKNAEQVSAKSAGAADTGNDILGM VKDLMVGSTGPRGGRRDGVVQQVAKSYVRGAARQLIRGVLGSLIGGKKR MIM_RS12245 MAGHSKWANIQHRKGRQDAKRGKIWTKIIREITVAARAGGPDPD SNPALRLAWDKATAANMPKDNIQRAITRGAGGADGDNYESIRYEGYGISGAAVIIDCM TDNRTRTVSDVRHALTKHGGNLGQDGSVAFMFKHCGQFIFSPDYSEDQIIEVALEAGA EDVVTDEEGLIEVLCEPPLFSDVRAAFEKAGLKPEMEGVIMKPLNETELTGDDAKKMQ KILDQLESLDDVQEVYTTAVFNETEEG MIM_RS12250 MKALVIGNGGREHAIAWRLAQSPRISTVFVAPGNGGTATDPALT SLPISDLQQLAQFAQDEQIALTVVGPEAPLAAGAVDLFRSKGLAVFGPTQAAAQLESS KDFAKAFMLRHHIPTAAYATFTDPQAAHDYVREQGAPIVVKADGLAAGKGVVVALTED EAHAAIDSMLGDGSLGSAGARVVIEACLEGEEASFIVMCDGKHVLPMASSQDHKRQLD GDKGPNTGGMGAYSPAPIVSEVLHQRIMDEVIWPTIKGMASDGIEYTGFLYAGVMIGD GPDATRPIKVLEFNCRMGDPETQPIMMRIRNDFSEVVEHAIAGTLDQARIDWDPRTAL GVVMASHNYPGTPRTGDAITSIPDATDECVTFHAGTRVQEGVLQTSGGRVLCVTALGS TPAAAREIAYAALEKIRFDGSQYRKDIGWRALKPA MIM_RS12255 MKKIGLLGGSFNPVHLAHLAMARTALRALNLDEVQLIPAGNPWQ KQPLQISGAQRLEMLALAIADEPGIVVNDIEINREGATYTIDTLRSLPRDAKYYWLLG TDQLNNFCTWQNWQEITDYVTLTVAWRTGHPLHIPPELDALLRRDGKGLITLPFKAMD VSSTEIRSRISQGQDASEFVPAPVLDYINTHGLYQ MIM_RS12260 MNIQKLQRLVIDALEDVKAQDIRVFNTSHLTSLFDRVVIASGTS NRQTRALASSVVNAARAHKIPIIALEGEESGEWVLVDIGDVVIHCMQPAIRQYYNLEA VWGDKPVRVRLLDQSKGPAMQGFAGADDDNMEE MIM_RS12265 MKLIVIAVGNKMPDWVSTAWKDYVKRMPADCTIELREIKPEPRT SGKTAAQMMEAEGRRIQTALPADSLVIALDEHGRDLTTMDLSQQLAGWRDHSQDVAFL IGGPDGLDADLKKRSASLIRLSSLTLPHPMVRIVLAEQLYRAWAILTNHPYHRA MIM_RS12270 MTQVQTQAIFLASASPRRHQLLTQMGIVHDVLQVPAPPGEDEPL LPGEHPASYVKRTAREKAERAVAHLHACDLPPRPILTADTTVMMGSQLLGKAHTDNDV RQALSALSGQTHEVHTAIVLAWRDRLYEDVSITNVIMKPLTTDDIEWYVRSGEGIGKA GAYGIQGLASAFVASISGSYSGVMGLPLFETCRLLGRAYSASD MIM_RS12275 MKLYGYFRSSAAYRVRIALNVKQLGYESVPVHLIRDGGQQLAQT YRELNPTALVPTFIDQNVSIGQSIAIMEYLEEAYPQIPILPATQAGRARVRSLAQFIA CDIHPLNNLRVLKYLKRELGVSDEVKNEWYLHWIREGFDSLEKMLASSTDTGIYCHGD TPTMADFCLVPQVANAHRFNLDMNPYPTIVRIDAACNKDEAFINAAPANQSDAE MIM_RS12280 MTQDSEVILAEPRGFCAGVDRAIDIVERALELHGAPIYVRHEIV HNKFVVENLRDKGAIFIDELDQAPAGAIVVFSAHGVSRAVRAEAQLRDLRIFDATCPL VTKVHIEVAKMHAAGLEIVMIGHKGHPEVEGTLGQAVGRMHLVETPEDVAALEVDNPE NTAFVTQTTLSIDDAKRVADALRAKFPGIVEPKKSDICYATQNRQDAVKVLAPECDVF FVVGSVNSSNSNRLREVAERLNCAAYLIDNAQAIQPEWLQGAGKIGITAGASAPEVLV QEVVERLKELGAISVRRMDGTKENVTFPLPKELSRKQQAGR MIM_RS12285 MNPIVHAESYLTLHYRITLMSGPGAGSVFIDTFTGKPGTLQLST GQWSPSMETPLIGHAEGESFSYDLPAQQAYGDRNPELLQRVTRQMLDRDAGPDAQFER GDMVEFTAPNGGRYSGVFREWENDTALFDFNHPLAGVDLRIDVKLLGVL MIM_RS12290 MNTCTAIYPDSAKDTALSAASTPDRTLRPREKLLAQGPAALSNA ELLAILLRTGSAQLSIMQFSQYVLEQAGGPRNLLLSNYQSLRKIKGLGDAKICSFLAA VEFARRHMQDTMQYHTVLDRPTVVRDFCISLLSHEVVEKCLLLLVNTRHQLIHYEEAS RGTLNQTAVYPREIAATALRHHAWGVILAHNHPSGLAEPSLADIQLTQQLRRGLALLD IRLIDHIIVAGGGAISLAERGKL MIM_RS12295 MSKQQIWDISAPVDAQSPVFPGDTPYTQKWSWTLSADCPVNVSE ISLSPHVGTHADAPLHYDSQGSAVGELSLTPFIGPCRVLHILRQDGLIYPDDMANTMA GCPPRLLVRSCQQARTREWSPAFASFAPETLDVLHQQGIILIGIDTPSIDPAASKSLD SHQRIRRYDMRVLENLVLDQVPAGDYELIALPLKWTRADASPVRAILRSL MIM_RS12300 MTNRAFYENLDAIDPLNSLRNEFYLPPDTIYLDGNSLGVMPLAA HIRIKNAVLQEWADDLIASWNKADWINLPMRVGNKIAPLIGAGQDETVVCDSTSVNLY KVLYSAIQIARKRHPKRRKIVSEKTNFPTDLYIAQSLCAQFDMTLELVESEAIESAID DELAVLLLTHVNYRSGAIHDMAQITSLAQARDALVIWDLCHSAGSIPVDLTAAQADFA VGCTYKFLNGGPGSPAFVWVHPHHVDAITQPLTGWMGHATPFTFDTQYQPATGIRSYL GGTPPVLALSALDGSLEVFQKAESFGGMQAIYKKAQTLTSLFIQLAEKECADYGLTLV SPRDPERRASQVSLSHPEGAYAIIQALIARGVVGDYREPGILRFGFTPLYVRYIDVWD TVMHLKSVLQDQTWRSDAFSARRTVT MIM_RS12305 MHEPTTPTSADAMASENAKLDFSRDMSYGDYLHLDELLSAQHPL SPEHNEMLFIIQHQTSELWIKLMLHELNAAIGNLRNDQLNPAFKMLARVGRIMEQLVH AWDVLATMTPPEYTALRPWLAASSGFQSFQYRQIEFLLGNKNAAMLRPHEHHPDRYAQ VLQAYEQPSLYDEALQLLARRGIAVPQDHLERDWTQPYSASDGVMQAWLQVYREPELH WDLYQLGEKLTDIEDAFRLWRFRHVTTVERIIGFKRGTGGTSGVNYLRKMLDVVLFPE IWTLRTHL MIM_RS12310 MRCDVHFIFNPTQTLQVQLNMELTTALAATSQQWLVEKWEELEC EPLRPSGKVLVLDRILGVTDAMGYEFLRTHPEQRELLARHCVNALSSPNITVDLPGLV VNA MIM_RS12315 MKDSIHPEYREVVFLDQQTGSKIISRSTLTSRETIELDGKTYPL FKCEVTAESHPFYTGAQTRIVETGRVEKFRARFASTAGTRKKAS MIM_RS12320 MQRTTPSRLTEIATRKLSRTALLVVGVLYIFAGLFYRDPWKTDD LTGLATMLTAFRGQEHAWLLPQIGNYAYAQEGPLLTWIGTLFIELFSPVFMLFQAPLE AQITAARLPIFIYFFVIIWATWYATYLLARRKECQPLALPFGGEPNPQDYGRMIADAA VLFIIATIGIVIRMHETSNFPLIMMCQAVAFYGMVRMLDHPIQGSTLAGLGLGAAFLT RGAIGGVPVLLALLGLLFFRNFGRRQKIWLLWSVALAAACCLIWLNAANNTNSYWTNS YLFWNNSLFSLPSPREIFSTLRDLSWFLWPTWPFMIIALWNWRKWMDAPHIFIPALLL GAAVVTVVFQQDAFESEYAMLALPCAILAALSLPTLRRSFVNTLDWFSIMIMSLAMIA TWLGWIALNFGWPSKINHNITRLLTGFEPQISAIALVLAVGVCTAWFWTVSWRLRSNP AVIWRGIVLSATGLTLTWMLLALLWLPSINYNRSYKAVSDELATVIQEKNVPVDCIRQ HGIGLGQRAAFYVFEGLQFSFDARCQYVLVQTTFEKIESEADPYPDNATLVWQGKRRP DRHEVFRLIQLPAK MIM_RS12325 MSPRPSQTAHHGSVRKIVRLAWPMLISQWAGIAFAVLDSTMLGH TNPTSLQAMALSVSIFMTIHIGLMGIIHALVPICAQLFGARRPTEIGRFIGQGIWLSL FLSALGGSLLLFPDVWLSFSGDLPLQVRQEVTLYLRICCLALPATLMFRCVYALATSV QRPRLLMYVSLASVLLKLVFNWLLIFGHAGLPALGSTGAATSTAMVSWIALVVGVIAV LRDPFYRQFQLRLGKPAWSAQKEILQLGLPMGGSYLAEVSSFTFMALLAAREGTIVSG GHQILANLVSLLYMFPLAIGIATSSLVAQSLGAQQPGQARHLARMGIRLGLTGVALSL VVVMAARPQILQIYTSDPAIAAMAYALLTILPLLHTSDALQCMLSYILRAHKIATMPF VIQTGSLFGIGLLGGWYFGFGPGYRQLDGLAAVLTPGAPPGVASLWIMCSFSMLLCAI TLAIWYWKSVNVQKR MIM_RS12330 MSKNDSVHSTKSKSIDKKVPLWLWLLGLLTAIGPLTIDMYLPSF PSISADLQVPQSRVELTVSTYLLGLALSQLFYGPIADRYGRKKPLLGGLAIYMLATIG CALASSVEYLLFFRCIQACGAAAAMVIPRAVIRDQLNTRDSAMAMSMMMLIMGVAPIL APLMGGYLSPITGWRGLFAVMLFYSAIMFVLAIFRLRETMPAEKAVPLHLGTIGRNYA GLLRDRNYMGFSLAGGIGMSGLFAYISVSPTVFINMYGVPQQHFGLFFGLNAFGLIVG SQISARLLRIHSPLGILRTALTCGCLSILIGLGLSLAGMLNLTLLTLTLLGFTTSLGF ILPNATALALKDQGHRLGVASALMGCLQFLFGTLSSSIVSSSLDRTAAPLFVGLAICS VLALLCGNVLARPADRSFGG MIM_RS12335 MAKVCQVTGKRPMSGNNVSHANNRTKRRFLPNLQSRRFWVESEN RWVRLRVSTNALRTIDKKGIDVVLAEMRANGQSV MIM_RS12340 MAKGIREKIKLESTAGTGHFYTTTKNKRNTPEKMLIKKFDPVAR KHVDYKETKLK MIM_RS12345 MRIVECPTLSLVGISTRTKNADEMDAATAKIMPLWQHFYQEIYP GRLSGDVVYGVYSNYESDASGRFDVTVAVRDYEDDNVRSEHGFDRVKLLAGRYIVFSG LAGEGNPVLQLWQQVWQYFESPDCLYQRSWKTDYEVYHQDGKIALFIGIA MIM_RS23430 MLVIKKPKHFYKRLSSSGLARSQTNPVNGTGSTAGVNNASLMGR RLLMVILVAHLAELKKRSGRLPQIPSARMNNFITDYLSDNENRGSGKNDALKAWYELK ASSLPKTYQAYKNAKVESTK MIM_RS23435 MSKLYQGMTQEEFDGGYFYATELKAFAKILGISANNLKKERTRT AY MIM_RS12355 MASFSPKQLDVFISIARLGSVKAAADSLHLTQPAASMALAELEK QLSGPLFDRDKGRLYLNEKGRRLLPMAQEIIERMIEFGQRAEDQADVLTGEFRIGASN TVGNYRVGDLLSSFVLNNDRVTVYLNVANTDEIVRQIVDHSIDVACVEGAVHHEAIES VRWMDDSLCVCARPDHPLAGIANLQPSDFMDARWILRERGSATRAVSDVELDKLPQAK VVMELGQIEAIKQAVIAGLGIAFLPQVAVTHAVSSGRLALLPTPFLNLTRQLSIIYHR SRYQGRLMRSFLDSVKSSERQVF MIM_RS12360 MVIKYIMTLTATSLHALSATVTPDAGLVRVAKGSSAAQPRKNSL WPGLILSVAIALVAEFAGRAVPIIGGPVFGILMGIMYRSAFGLHATLQPGITFSSKKI LQVSIILLGFGLSFTQIMATGGESLAVTLVTVTVAFGSAWLLGRLLRTPSDLTTLIGV GTAICGGSAIAAVTPILKPSEHDTAFAISTIFLFNIVAVLTFPALGHMLGLSDYGFGM WAGTAINDTSSVVAAGYAYSHAAGDFATIVKLTRATLIIPICLFLVVLVGMRNRRQGN QSVSLTKIFPWFIVWFLLASLARSTGIMPEIMLDWINVAAKFLIVVALVAVGLSANMR KIFATGPRPILLGFLVWMAVSISSLIVQYAMGQM MIM_RS12365 MSSVAIAQTGGLAIGTKGSALPYQVIEKIGDVEIRHGGYGSSAF RDPGHPDRFYAMTDRGPNADGPTKDSKVFPVPAFTPSIGHFAIESNGSIKLLENIPMR RPDGTLLTGLPNPKGLGSTGETALDLAGKVLSPDPYGIDSEGLAVAPDGSFWVSDEYG PHIVHFSAKGIELERISPVGVDTKGRKLPAVLARRTPNRGMEGLTITPDGKTLVGTMQ STLSNPDKKSVVNKTLVRIVSFDLESGKTKQYVYRQNRNHFSNSEILALDNHRFLIDE RDSEFPGVKDNVQKHVYLIDLRNATDVSGDVTAPSGMLVNGKTLEQNSWQELEQAGIK PVAKSLVIDMVKEHNYPHEKFEGMWLLDDKHLAVINDDDFGIINQDGKVTKKILPATG KVDANTVYVYPLSAPAAN MIM_RS12370 MSTVTERLQQLRIAMAAQHIDAYLILSADPHQSEYLPEYWQGRR WLSGFTGSVGTVVITRDFAGLWVDSRYWEQAEKQLANSGLTLMKLGQTDVPSVNAWLE TNLESRQVVGVDGHVLSLKAAQDLRQALGRRDSVLKTDTDLLAAIWPDRPGLPAAAVV EHSAPFATRSREQNLHALRQSMAQAGAQWHLISALDDIAWVLNLRGSDVSYNPVFLSH LLIGADSAVLFVDKKKMGPELIARLAADGIAVQGYEDVQGALAALPHEALLVDPARTT VGLLAHAHSVSLIEKLNPSQRFKSVKNQAEIANVRQAMEQDGAALCAFFAWFEAALEN GEPVTELTIDEQITAARARQPHFVSPSFSTIAGFNANGAMPHYMATPESYSVIEGNGL LLIDSGGQYLNGTTDITRVVPVGTINADHRRDFTLVLKGMIGLAAAVFPEDYPAPLLD TLARAPLWAQLLDFGHGTGHGVGYFLNVHEGPQMISHRAHRFPDTEMKAGMITSDEPG LYRPGQWGIRIENLICAVPAGQSEFGTYLKFEMLTLCPIDTRCIERQLLSEQELSWLN AYHEEVYRRLAPRVSGPALAWLKTRTAAI MIM_RS12375 MTTFSSIVRGAAISGFIGVTAGAFGAHGLKNHVDPALLPVWHTA VLYQLIHTLALLLLVGLAAHVNRQALRWSSRLFGAGIVIFSGSLYILVLSNVKWLGAI TPIGGVCFLAGWLCLVLGAGKTESR MIM_RS12380 MAWNRDQMAARAAKELQDGFYVNLGIGLPTLVANHVPEGMEVWL QSENGLLGIGPFPTEEEIDPDMINAGKQTVTTLPGSSIFSSADSFAMIRGGKINLAIL GAMQVSEKGDLANWMIPGKMVKGMGGAMDLVAGVGRVIVLMEHVARKKDGTTDIKLLP ECTLPLTGVGVVNVIITDLCVMEVTENGLKVLELAPEVTIEEVQEKTKAKLDLSALA MIM_RS12385 MDKVFANANQALEGIVADGQMIGVGGFGLCGIPEALIAALRDSG VKDLTCVSNNAGVDGFGLGQLLNTRQVKKMIASYVGENKEFERQYLAGELELEFTPQG TLAEKLRAGGAGIPAFFTRTGVGTIVADGKEIREFDGHQYVMERSLTPDVCLVKAYIA DRSGNLIFRKTARNFNPNVAMAGKITVAEVEKIVEVGELDPDQIHLPGIYVQRIVLNE HPEKRIEQRTTRPSKGE MIM_RS12390 MPDSADSADRISPASSQDRSVQGEHRHIFALRWADMDALQHVNN TVYFRAIEEARMQILGPLRHLFAPGTGVVLARAECDFLRPMSWPGNMEIVHQLARLGR SSLDCNILIRKEGEPDILYARSRAVVVLSDLASGKSSPWSAALLEQLQKQFALAPSHA GA MIM_RS12395 MSERESMEYDVVIVGGGPAGLAAAIKFKQLCQEKGQDYSVCVLE KGGEIGAHILSGAVMDPQALTELIPDWKEKGAPLGVEVTEDQFLFLNETGQKSTPKWA LPPCFHNEGNYIVRLGYVTRWLGEQAEALGVDIFPGFAATELLYNEAGGIRGVATGDM GLDRDGNQTAHYQPGMELHAKYTLFAEGSRGQLGRQLIAKYKLDQGRDPQSYGIGIKE MWEIDPAKFKKGLVVHTAGWPLDSDTYGGSFLYHLEDNLVMVGMVVGLDYANPWLSPF EEFQRYKTHPSIRPYFENGKRIAYGARAITAGGLLSLPKLVFPGGALIGCEAGFLNAS RIKGSHAAIKTGSLAAEAAFEALAAERSQDILSAYPIAFEQSWLYEELNKARNFKQWF KKGRLIATLMTGIEQLVFKGKMPWTIHRKKADHECLKPAAECAKIDYPKPDGKLTFDR LTSVFISNTNHEENEPVHLTLKDASVPVDTNLRVYAGPEQRYCPAGVYEFIKDDQNQD RLQINAQNCVHCKTCDIKDPTQNIVWVAPQGGEGPVYNGM MIM_RS12400 MSTSIESVLVENRVFPPNDDFVRNATVAGMDAYKALYKEAEDDF EGFWKRQANEHLRWIKPFTQVLDDSAAPFYKWFADGELNVSYNCLDKHVVEGRGDQTA IIFEGDDGAVTPVTFKQLLARVSRFANGLKQAGYKKGDTAIIYLPMSIEAVVAMQACA RLGIIHSVVFGGFSAKSLHERIVDVGASLVITSDGQYRGGKALPLKPAVDDALAQENT EKVRSVIVYRRTGGDISWTEGRDIWWSDFEDGQSDVCEPVAMNSEDPLFILYTSGSTG KPKGVQHSSAGYLLWAQLTVKWTFDQKPGDVFWCTADVGWITGHTYVTYGPLAAGLTQ VVFEGVPTYPNAGRFWEMIGRHKVTTFYTAPTAIRSLIKASEADAKVHPDSFDLSSLR IIGSVGEPINPEAWVWYYKNVGKERCPIVDTWWQTETGGQMITPLPGATPLKPGSCTL PLPGIFASIVDETGEDVPNGKGGFLVVKKPWPAMIRNVWGDNERYKNSYFPSEFRGYY LAGDGAQRDADGYFWIMGRIDDVLNVSGHRLGTMEVESALVSHELVAEAAVVGRPDAT TGEAVVAFVVLKRERPHGDEAKEIAKQLRDWVAKEIGPIAKPKDIRFGDNLPKTRSGK IMRRLLRVVAKGEEVTQDVSTLENPAILDQLTESV MIM_RS12410 MPPHSLVSFSHFRTRLRRSLFSLLCISLSSVTFSQVAIADDLDQ EQSQKLIITQNGVVDAATEKPVSEWDPRISDPIGDLIAQAKLRRNNKDAAIDSPVSAS SELAEAALNYLGVRYRFGGTTPKGGFDCSGLIYYTASKYMGVNLPRVASSMAQIGESV SRDELKPGDLVFFNTRGKRYSHVGIYVGENKFVHSPRTGSVVRVDKMDNVYWNKRYNG ARRLEGQRVASR MIM_RS12415 MIGNMRAVVVGADRLGNIPVLLKDHNIEIKQHISGRDPSHQKKT YQLPTGTQMVILLTDFLGHNVMKSFRSAAQKAGVPVVACRRSLCSMQQALTQSGFSCA DCPQRHKAGKGN MIM_RS12420 MATNRSEVTRNSILNAAETLFVKTGYDATSLRQITSAASVNLAS INYHFGSKEELVRAVLKRRLEWINRERIRLLDELEKAAAPAPVKPSLLVDAFFGTLLR HASDPEHDANIFMRLLERTMTDPSNFIKTLFAQEYMEVVARYKTAFVRAMPDVPEADI IWRFHFMLGATSYAIAGINILQGVLPNVTSSLVDENAYDELLLPRLMSFLLGGLRAPL PTA MIM_RS12425 MPLSQQLKAFRKRHISRPLLSKFRKVLPSMSDTERDAIEAGTVW WDAQLFSGKPDWAMWQQFPKPTLTAAEQSFMDNEVVHACSLVDDWKITTEDFDLSAQA WEYIKSNRFLGMIIPEQYGGLGFSAFAHSEVMTRLSTRNSALAVSVMVPNSLGPGELL VHYGTEEQKNHYLPRLARGEEIPAFALTSPWAGSDAAAIPDSGVVCMGEWNGEQVLGM SVSWNKRYITLAPVCTLLGLAFRLFDPDGLLGDKKDIGITCALVPHDHPGVKIGRRHF PLNAMFMNGPTEGDQVFMPLEFIIGGPKMAGQGWRMLMECLAAGRSISLPSSNVGMSQ MAVRAVGAYSRIRKQFNLPIGKFEGIEEVLARMGAYTYMTNAVRVMTAGAVDLGEKPS VISSIAKYHVTERARVIVNDGMDIIGGKGICLGPSNFLGRAYQQIPVGITVEGANILT RSLIIFGQGAIRCHPYVLKEMSAVHESDPQTALDQFDDALFGHIGFACKNGLRSLFSG LFGSGVLGVKAGTSQAMRPYYGQITRLSSALALSADVAMLVLGGSLKKREKISARLGD VLSQLYLASATLKHFEDHGAQADEAAFVHWSVQDALEKAQTALLNVYRNFPNRWVGSA LRLIVFPWGGVFRRPHDRLDQAICEQLMQPGPARDRLTANCYIPDNDQEPIGAIEQAL LATLRAEPVDAKIRDAEKRGVFDGQPAGNVRDITELAHQLNQISEEEYALVRERDRLR DTVIHVDDFPHDLGLTKMQTATGSSTFNTSKES MIM_RS12430 MTFKPVYVVDGARTPFLKVRGGPGPFSAADLAVQAARALLLRQP IEPTVLSEVIVGCAAPTPDETNIGRVVGLRVGTGHRVPAWTVMRNCASGMQALDSACA NIQTGRSDLVLACGTDALSRAPLLFSDAMVNWLAKWNGAKSTGQKLGLLRQFKLSNLA PVIGLLKGLTDPVIGISMGQTAENLAWEFGITRREMDAFSVRSHERAITGRNRHAFAE IEPIIDAKGNAYTEDDGIRNDSTIEKLGKLKPVFDKPSGSITAGNSSQVTDGGTALLL ASEEAVSKWNLQPLGRIVDAQWAALDPAQMGLGPVHASVPILQRHGLGLNDLDLWEIN EAFAAQVLGCIRAFESEKYCQTHFGIPALGTLDQDKLNVDGGAIALGHPVGASGARIV LHLLQALRARSLKRGIASICIGGGQGGAMLVETMQ MIM_RS12435 MTSVKTYQNWTLHSDAQNIAWLTINCPDRSMNALASDVMEELSD IIGSLESAPPKALIIRSGKRNGFIAGADVREFQNIDNVDTGRKLIERGWHLFNRIEKL SFPTLALIHGPCLGGGLELALACRYRIAVDSPKPVIGLPEVKLGIFPAWGGLMRLPRL IGPQTALNMMLTGRTLDGRKARSAGLVDLQVAPRVAEKSAVDLVTSGKPARQARGLAG LLNRAPFKSLVAGQARKSVKQKDPYGHYPATLTMLDLWEKHEGDPLADPQALTRLLQS DVTRNLIRVFHLQERLKAFGKKENAREINHVHVIGAGVMGGGIAAWCALQGIKTTLQD TDAQRIAGAFKSAASIYSRKDRYTAQAARDRLIPDLEGHAIATADLVIEAISENPQAK QSLYQQIEPRMKDGAILATNTSSLSVGALRSVLSHPERFVGIHFFNPVSRMPLVEVVH ADGIAQDTLDTATAFVGKIGKLPLPVQDTPGFLVNAVLAPYMLEAMRCIDEGMDPEVI DTAMLEFGMPMGPIALADTVGLDIAMAAGKQLSEGQEPPRCLQNKIAEGKLGVKSGEG FYLWKNRKHDQRSSKSIPQGLAQRLIKPLIEQTEKQLANHIVQDADLADAGVIFGTGF APFTGGPIHYKRSKGEQ MIM_RS12440 MKRHTHKFQRTLLSAALLGLGISGVTAVHAAGFNLLEQNASGLG VAYAGSGAVAENASTIYYNPAGMTNLPGLNISLGGNYIIPSFKFSNDRSSLPAAFGTS NARFSGAKLGEEGDNAGGGAFVPNAYVSWQVTDRLFAGLGIGAPFGLSTDYGDGFMGR YHSKKFEIETINFNPSLAYKLNNNWSIGAGINIQRIKAEYEKSTVVDLRSQVAGAVTQ RYIAAGVPAQQAAAMGKAAGDQYGPILEGDAKVKMNDTALGWNIGVMFHPSEDTRIGL SYRSRIKYKATGDTDVDIHRPSAAMIGAMPAQLQEIYSLVPASLTSSSSASVTLPDTA LLSLYQRVSPRWELLGDIQWTGWSSLPELTIKSDTLPSASLDLRFKNAWRIAIGAMYQ VAPQWKLKAGVAWDQSPVRSAEHRPASLPDNDRYWFSVGAQYKPSENTAIDVGYSYMY LKKSHIDNTNNDNLAQYGRLNGSYKSSGHIFGLQVSHRF MIM_RS12445 MKFNASFLAAALVALSALTLDASARTVDGHTVPDTLQVGNTPLI LNGAGIRKKVVFDVYLAALYTDKKTDKAQALIDSTAPRALRLVLLRDIDSADLADALN EGLRDNTSKSQWQAIQPHARQFAGLLLKQKSLKRGSVIDLIFSGSRVSVSALGTQQGA VDDADFAHALLAVWLGNDPAQSSLKRALLGAG MIM_RS12450 MEKIWLKNYPKGVPAEIDLNDYASLAELFNESCERFAESTAYIS MGQHMSYAQLHESARRFAAWLQAQGATRGTRVALMMPNILQYPVALFGTLLAGCTVVN CNPLYTARELEHQLRDAQAEFVVVVENFAHTLQDARASLPDLKHVVVATLGDMLGTLK GPILNLIVRHVKKMVPAWSLPGHIRFADIMKRTNANSFRPVSLNHSDMAFLQYTGGTT GVAKGAVLSHGNLVANLCQAYAWVKPHAKGNGKDFIVTALPLYHIFALTANCLTFVRL GATNLLILNPRDIPGFIKELRKYPFTAFTGVNTLFNALMNNAAFKSISFDNLMLTLGG GMAVQRPVAERWKQMTGKPLTQAYGLTETSPAVTINPLDLREFNGSIGLPVPSTDISI RDDQGKELGVNETGELCVRGPQVMQKYWNKEAETQKAFEPDGFLRTGDMGYINEDGYI FLVDRKKELIIVSGFNVYPSEIEEVVASHPSVSEVAAIGVDNGASGEVVKIVVVRKDP SLTEKTLIDFCRSELTGYKVPKVVEFRDELPKTPVGKILKRELKQAPDRH MIM_RS12455 MNLQQFRFIRETIRRNYNLTEASRILFTSQPGVSKAIIEFEDEL GVKVFERHGKRIKGLTKPGQAVASIIERIMIEVDNLKKVSDEFARRDEGSLVIACTHT QARYFLPRFIPEFRRRFPKVHVSLAEGSPLQLAQMVLQEQADIALATESLSEVSGLAT LPCYSWEHTLVVKPDHPLTELTSSQARNLSLEQIVQYPIITYDRAFSGRSSIDQAFAD QGLKPDIVLEAIDADVIKTYVDVEMGIGIIAGVAFDPRRDAGFVGIPVGHLFGTHVTR IGLKSGVFLRDYVYDFLAMLTPELSRDAVEAAVNSNGVADADNDFAPPLRRVS MIM_RS12460 MSLFKRSVVAEITSHGGVVFSTLIVVWLSVLLVRLLGQAAEGQI GADIVLGIAALSSITALPTILSVALFIATITTISRNYRESEMVVWFASGVSLKDWVAP VLRVALPVCVLIAILTLEITPWAYRQIEEYRQRYEQRSDLSKITAGQFIESAGGARVF FTEAPTNPQDEIGAVFARVLDNDWYTIVTSKNARIRREDNGDRYVVLGPGNRYDMKTD SAEFRMVSFDSYTLRLENTSGTSADEIARQNALNQMKSRPTTNLIQDRKAESQAQIMW RISLPLAALNLALLAIPLGAVNPRLGRSGDLLLAGLVGLLYMNMINLMRGWIGNGKID FITGTLSLHVIVLALCLYAFHSRMRLKTPKKSAA MIM_RS12465 MEFNTQTSRSIQQIKTAVLFVGVYTEGVLGETAKLINHASDGAI DAVLKREFKAAAGTLFDLRTLPGVRAERVVLVGLGTKEKFNSNVMAKAHVAIAGYCSD TSLTEGVSTLLEEPCPATTLRQSAQLAATAAGNAVYKYTATFSKEKRPATSTLKKLIF TIDKPDQKQVDEGIEHGAAIAKGLRLTRELGNLPANVCTPTYLGEQAKELAKEHKSIS VEVLERKQLEALKMNAFLSVAAGSEQPPRFIVLKYSPEHGNSKKKQKTDGPVVLVGKG VTFDTGGISLKPGLGMDEMKFDMCGAASVLGVFKALAHMKLDTEVVGLIPATENMPNG RATKPGDVVTSMSGQTIEILNTDAEGRLILCDALTYAERFEPAVVVDIATLTGACIVA LGNFHSGLYASDDDLADNLLSAGRRALDTAWRMPLDEEYQDLLKSNFADMANIGGPAA GSVTAACFLARYTKAYRWAHLDIAGTAWLKGGKDKGASGRPVPMLCQFLIERQAQANA R MIM_RS12470 MRVDFAFGAPDRLGMACDVVRKQFQAGQPVLIYCTDPKRLAVFS RRLWALQDTLFIPHDEAGPDTPADSPVRVTSSSPALALQDGAPVPWLLNLDLACPPDT EGFTRLLEIVSNHEQDKAAARLRYKQYTAAGHDVRAHNISSH MIM_RS12475 MSITFRKRPDHTLSVPEAKEPHLDLHSIDARTDPAPMDDDIPVL LPDPPVSAHSTTSMTTRVQSGSTQTTRQQASTPGRANSPMPVQQGVHTGAVHIPPPPM RERREAPVLDRPAWQDEFDDTPVLTPAPAATEPSFGSAASPRTTQVASPAYPQAAGDR SAMPQQVSAAAWSAPPQAPRSTTQGTAQDRVAPPASSASTPAFLPASGAIDASVSSAA FPGHPTADDYAPFLQTARSVQGHHSKPELYVWSDDENDAFSQAAQADFTLNRIQPTAG RPSFDASLFRAPSRPDALAGTVMHAMPPSADQAAAMQTEIDALVTELLDESHDYLKRR LLEEIPDIIAKYSRDTE MIM_RS12480 MTDLRQTLPQEGYAPGQVVRNRVLRNTYWLLAASLIPTVLGAAL ALQLNLNTMLAPGISTIVFLVGAFGLMFAIEKNKNSSLGVGLLLLFTFFMGMMLSRLI GHVLDFRNGTQLIMLAFGGTAAIFGAMATIATTSKRDFTGMQKTLFIGAIVLLLAAVA NIFLQIPALVLTISILAIIIFSAFMLVDVQRVVNGGETNYISATLAIYLDIYNVFANL LALLGIFGGSRE MIM_RS12485 MSAGTAMAADYPDHPVSMIIPFTAGGPTDNVARSLGEAMQKSLG QTVVIENKAGAGGTIGTTQVANAKPDGYDVLLMHIGFSTAPSLYKRLGYDPEKSFRPV GLVVDVPMTLVARADFPANNMKELVEYLKANQDKVTLANAGVGAASHLCGTMLMDTLG VKLLTVPYKGAGPAMNDLLGKQVDLLCDQTTNTTQHIKAKTVKAYAITSAERNAQLPD LPTMQEEGFKDFNVGIWHGMWVPAKTPDNVVAKLQSALKDGLASPKFKERMDALGATI LTDQATPEALDAKVKEQIPVWADLFKKAGVQPQ MIM_RS12490 MSKQSFQPGGARKYSAGRAGHHHDALRKRIFAGTLLFAMHLPLA FADTLNAQSHIDKVTVYPDRALVHRVANQDIPAGEHELVFANLPTNLDEHSLQFNANA SDAGVQILHVSSTPDTRQTTASAGLNEVAGQIDALQQQIARLQDQIKIDDNQISFIRN YQNGHSVQIRDVPPLSQDAFVGLMSFTGEQLVKAMQTRRDHLQEKEQLEARLNVLTQR REQLTQSDANETRKVVVTLRATEPATINSVLSYVVAGAAWSPVYDARYDSNSGKLSLN YFGQISQNTAEDWTNVAITLSTAQPVTGVSLPQLQPWRVDVAPPPLPAPVARSRARQA AMAAGAERVMEMADAASYEPANAQTSTTNTTFEVPGRQTVKTGGQQQRVALTTLTETA ELSYELVPSEATAVFATVKMNNSKDFPLLAGNVNAFFDDEFIAASDMKTVFPKEELEL AMGVDQAISVKREPLQRFSESTGLTGSGARLTYEYKTIIRNNRKQPVHLILHDRFPVS GDEKIDIKRLEPTGADIALKGDGRYEQKLELAAGEERTVLLRFSVQYPKSLDVSGLP MIM_RS12495 MSKRGSRVQDASAYLLQATAWSESSLIARLFARDHGIMTVVAKG AKRPYSVLRPVLSAFEPLLVSWSGQGEVKTLTRAEQAGLHGLTGKSLMSAWYMNELLM RMLPGEDAHPQLFDAYREALQQLATGERASGSLRRFEWTLLQETGYGVPGARPDFDEP AAEPGLRRMLRERIDEHLDQRPLMTRNVLLSLKQY MIM_RS12500 MSQEPSQEPIVPASGDAAAAGPFKTGFVAVVGRPNVGKSTLTNA LIGSKISIVSRKAQTTRHRIHGVLTRDNTQFVFVDTPGFQTRHGGTMNRMMNRVVTQA LADVDVIVHVVEAGKWSAGDEQIVPLLPVSKKCILAVSKVDTLKNKNDLFDFVGRIMS KFAYDAVVPVSSVKGVQLDTLLAEIEQRLPQGEFMFEEDALTDRPIRFIAAELIREKI FRLVGDELPYACTVVIEQWDEDENAARIAACVVVERDSHKPILLGAKGTHMKRIATEA RQDISALLDKPVFLEIYIKVRKGWSDKESALRDLGYE MIM_RS12505 MNLSRLERLLGYQFRDQRLLVQALTHRSHSATHNERFEFLGDSI LNFTVAAILFHRLQREDEGDLSRIRASLVKQATLADIAHRLELPQFLRLGEGELKSGG FRRPSILADALEAIFAAIYLDSDVPSVQAVIEQLYAPLLDSVDFNTLGKDAKTLLQEV LQGRKFALPVYNVVATSGAAHDQQFEVECFIAELDMRSQASGSSRRAAEQAAARKMLD ALEKVLPKGNAKKRVRKVAQLTLPVAVEQEKK MIM_RS12510 MNFALILFVLLVITGLVKLLDVLVLRKRRVAEYGEDEQAHRPWW VEYSLSFFPVILFVFVLRSFLFEPFRIPSGSMLPTLQNGDMILVNKFTYGIRLPVIDQ KIIPINTPQRGDVMVFRYPVNPDMDFIKRVVGVPGDEVVYQNKRLTINGQAVPVTRIG PYVNPSQQSGTPEALEEKLGEQEHAILNMPGMGALLGMTTFPGKENCEYSGTDFRCKV PEGQYFVMGDNRDNSEDSRYWGFVPDRNIVGKAFFIWMNFGDLSRIGSFK MIM_RS12515 MQHIRNFSIIAHIDHGKSTLADRLIHRCGGLADREMSKQVLDSM DIERERGITIKAQTAALNYKARDGKIYNLNLIDTPGHVDFSYEVSRSLSACEGALLVV DATQGVEAQTVANCYTAIELGVEVMPVLNKMDLPSAEPEEARQEIEDVIGIDASDAIK ASAKTGEGIEEILEMIVAKVPPPVGNVDEPLQALIIDSWFDNYVGVVMLVRIKNGVLR PKDKISFMATGATHLCEQLGVFAPKSEARALLSAGEVGFVIAGIKELADAKVGDTITL AGKPASAPLPGFKEVKPQVFAGLYPVESSEYDQLRDSLEKLRLNDAALMFEPEVSQAL GFGFRCGFLGLLHMEIVQERLEREFDMDIITTAPTVVYEVERNDGEVLSIESPSRMPD VGQIADIREPIVTVTLFMPQEYVGAVITLCIAKRGTQINMSYHGRQVHLIYEIPLAEI VLDFFDRLKSVSRGYASMDYEFLEYRSADVVRVDLLINSEKVDALSMIVHRSNARYRG REVVTKMRGLIPRQMFDVVIQAAIGAEIIARENVKALRKNVLAKCYGGDISRKKKLLE KQKAGKKRMKQVGSVEIPQEAFLAILQVEDK MIM_RS12520 MNNKVKKPLQTAIVAAMIGLGSATVLLPYTGMAQNAPTATVPTS NAVAALPDFTPIVAATENAVVNIRTMEKVSARPAQGFGPGDSPDDLFRFFFGPDFVPP SRDGDPRSQRAPRGGGEERSVPRGVGSGFIISKDGYIMTNNHVVDGASKITVTMNDGR EYQAKVIGTDKRTDIALIKIQAENLPVLKIGDSNTLKKGQWVLAIGSPFGLDSTVTSG IVSAINRDTGEYLPFIQTDVAVNPGNSGGPLINLAGEVVGINSQIISQSGGFMGISLS IPIDEAMRVVDQLKSTGKVTRGRIGVQIGEVSEEVAKAIGLPKAAGALVSNVEQGGPA DKAGVQAGDVITKFNGADVKKWSDLPRLVGQTKPDSNSPLEVWRRGKYETLDVKIAEI PNAASDTANSDEPQEQAGSADRLGLTVEAVPSSLQSRMRIKGGVLVKDVKGAALEASI QPGDVILALNNQDVKDVQHFREIVGKLAKGKAAALLVRRDNLTQWVPVTPAK MIM_RS12525 MRLTGRRSHRVMLAVCWAITPCLPAYADTPAQLLMQIQNAAEST DYSGTFLYQQGNVMITSRVTHVVDGEGVKERLEVLDGDAQEYLRNNNVVESLIPARKI VIVDKPRKDRFPALLLGPIESLEKYYDIRTQDGSTRVAGRPCDMIEVHPRVQDRYGYR FCADEKSRLLLKSQTVNEQGTVIEQVTFTGLSVGSKVDTANLKSAFDYTQWKRIEHQP VAVDLTQEGWRIRYPAGFVPIMSIVRPKGTKENVKQLILTDGLSSISVFIQKVKDSEK TFNNQGDAKVGSMNVFRQRIDDYWLTAIGAIPLTTLKDLAVSTKFIQPVSKQ MIM_RS12530 MENTRTPPAIDWNESISEMVDGECDAFDASRIDTPYGRQTWDTY HLIGDVMRNEHLAIKPSDLFNARISKLIAAEPTHHVAPARGYLRWGASGVAAAAAVAA LVWFNDPFPDNGAPPAPPTLAASQSTAPADIPRNDFQDYVAAHSQMVGAYPVRQVSYE IGDPQ MIM_RS12535 MNERDVDWELVQRVQKGDKKAFDLLVLKYQRKIMGLLARMVGSH SEVEDIAQETFIKAYRAIAQFRGESAFYTWLYRIAINTARNWMSSSDRKMNYPDTLEN KDGETFSHSDNLIDIATPESSMVTQEIVQTVNEAIETLPEDLRMAIVLRELEGLSYEE IAQAMACPVGTVRSRIFRAREAIAAKLKPVLGQGMDRRW MIM_RS12540 MAILAMLCIAGPQAAFLLAVLALAGRRYLAGSRVRQAAYLHIEP DGRYRLCRSVTSPDTTTNDHRVLLQYWQGPWWFTLMLRDPYFPHARPTLVTVWSFGQS EQAWRRFCVLVQASQWVPAPGAVARLAI MIM_RS12545 MKRRVVITGLGIVSPVGNTVPQAWDNIVNGRSGIDRITRFDASS FNAQIAGEVKDFDVTQYLSAKEAKQMDTFIHYGIAASVDAWKDAGLTVTEENAERIGA IVSSGIGGLQRIEETQTEYLAKGPRRISPFFVPASLINLVSGQVSIMLGLKGPTYAVV SACTTGLHSIGDAARLIEYGDADVMLAGGTESTVSPLGIGGFAAMRALSTRNDDPQAA SRPWDVDRDGFVLGEGAGVLVLEEYEHAKARGARIYGEFAGYGMSSDAHHITAPDRDG PRRGILNALKNGKLNPDQVDYVNAHGTSTPLGDKNETEALKLALGDHAYKTVVNSTKS MTGHLLGAAGGIEAVFTTLAVHHQVSPPTINLVNQDPECDLDYCANAAREMKIDVALS NSFGFGGTNGSMIVKRV MIM_RS12550 MDSIEQRVKKIVAEQLGVNEAEIKNESSFLDDLGADSLDMVELV MALEDEFETEIPDEEAEKITTVQQAVDYINSHKG MIM_RS12555 MSEQKELTGQIALVTGASRGLGKAIALELAKRGATVVGTATSES GAQAISDMLASSQGRGVVLNVTDADACDALLAELGKEGGPHILVNNAGITRDGLAMRM KDDDWSAVIGTNLDSVFRLSRGVLRVMMKARAGRIINVTSVVGSAGNPGQANYAAAKA GVAGMTRALARELGSRNITVNCVAPGFIDTDMTRALGEDQTAALLGQIPLGRLGQPAD VANAVAFLAGPGAGYITGTTVHVNGGMFMQ MIM_RS12560 MKLAFVFPGQGSQTVGMLAGWAGNPAIDAAMQRASTALDQDLVA LVEKGPAEELNLTVNTQPVMLACSVAMYDAWCQAGGPKPEIMAGHSLGEYSALTAAGT FSLEDAVRLVRIRASAMQDAVPVGTGGMAAILGLDDETVLAVCSEASSDTVVEAVNFN APAQVVIAGHKDAVQRACDLAKAKGAKRALLLPVSAPFHSRLLEPAAAVLQQALGELR LNMPAAEVINNVDVAVSASTQAIADALVRQAWHPVRWVETVRAMKARGVTHVVECGPG KVLAGLIKRIEPELVTVSVYDQASLDAALAQINA MIM_RS12565 MTYSTIVGTGSYLPPKCVTNTMLAQDMAQRGLETSDEWIVTRTG IHQRHLADAGVTSSELGFLAAEKALADAGIAAADLDLIIVATSTPDFIFPSTACLIQA RLGNKGGAAFDVQAVCSGFVYALTTADSFIRAGNARNALVIGTEVFSRILDWNDRGTC VLFGDGAGAMVLQASDEPGILASQLNADGSLHTILNTAGRIENGQVTGDPFLRMDGQS VFKQAVTVLDKSARQVCEKAGVQLSDLDWMVPHQANIRIIDFLGRKLGISSEKVVATV GEHANTSAASVPLAFDVARRDGRIKKGQLVLMQGVGGGFTWGSVLARI MIM_RS12570 MIRIAIDCMGGDHGLPVTVPAAVQVAAKFPDTCFLLVGLPDQVE AALKQARPANPAQFEIVAASEVVTMEDPVDIALRKKKDSSMRVAVTCVKDDRADACVS AGNTGAWMAISRYVLKTMEGIDRPAIAAAIPNQRGGTTTVLDLGANVDCSAEHLLEFA IMGAALSQSVEHIENPSIGLLNIGQEAIKGNDVVKEAAELLRASNLNFYGNVEGDDIF KGTTNVVVCDGFVGNVVLKSVEGLSKMIGSMIRDEFMRNPFTMAAGLFARPVLNRFRK RVDSRNHNGAALLGLRGVVIKSHGSADIYAYTVALERAREAVASKLLEKTSSSVLQIS QLIRSKNTESSA MIM_RS12575 MAVQQNKKTPSKRGMHRSHDFLTTPATAIEPTSGELHLRHHISP NGIYRGRKVLKTKNDE MIM_RS22160 MKPGHPVIDVLDFISRGAEQSGETPLSVFSRTSDLLPAQVLPSD EAGAAEVDGLVHWSVRGERAQYGKRYLHLEVSARPILICQRCMRPFVYAVESAAQLEV VTNQAALDEDNEVDDPDMEIPDRILAQASQPVLELVEDEFILSLPYIPRHDYACVQYD NQDTPEGAGKKPSPFAALAALKKQ MIM_RS12585 MSFSPPRLILASSSVYRKAMLQRLGLPFEAISPGIDESAMPGEA PEALSQRLSLAKAQHVAALHPGSVVIGSDQVATHDGQPIGKPGTHERAFAQLRELSGK TVAFHSALAVTNGTRSAVADVITHCVFRELSDQEIEHYLTVEKPFDTAGSAKAEGLGI SLMQSMHSDDPTAIIGLPLIELSRMLRGFDLNPTLLAQPL MIM_RS12590 MIDTLHLLPVSLGEAAIEQWLPAGARQQASVLKTYIAENAKTAR AYLKQTGTEGPIQDITIHTLGAKTAEADIRCWLTETSGAIGLVSEAGCPAVADPGARV VLLAHQLGIQVVPWVGPSSILLGLMASGLDGQRFTFHGYVPIAPAERSKQIKGWEQLS TRHNQTQLFIETPYRNMAMFATLLQTLNPGTRLCIARALTTGDEWIRTDTIAGWKRQP QPDLDKFPTLFLFLA MIM_RS12595 MQRRAWLLLATAALGLGACTAGLPALPIDTSLRASSQDSRVRFI VLHYTSENRAESLRLLSQGQVSAHYLITDEPVRIFSLVDENRRAWHAGASQWFEYPNL NAMSIGIEMVNAGPLDAAHTRWATYSPAQITMLVALLRDIQSRHHVSAWNIVAHSDIA PLRKSDPGPAFPWRALAQQGLGRWYDESAVVQRIPLLDARTLSDASVVQGLLARIGYP IAQTGVWDAQTRQVVRAFQMHYRPADYAGQVDAQTLAIAEDLARQMSSPQP MIM_RS12600 MTRRRWTASQVGRFKPLLFVLCLIPFLRWFYLGYTDGLTANPVE FITRSSGFWTLAMLLLTLAVTPVRQLLDQPALVRVRRMLGLFAFFYVVLHLLTWVVLD RNMDLSSMIKDVLDRTFIFVGMAAFLLMLPLALTSTQGWMRRLGLKWMRLHRMIYAIG VLGIVHFWLMRAGKHDFAEPILYGTLLALLLLWRIVRAWRNRRRTVAV MIM_RS12605 MSIRPRYPRIPSSQITPEPVWQARRQWMQQMAGIAAGTGLAGLG ATLPQTVGAAETLPAIAGMQPNPQYAAAKIDRKLTTEKDITSYNNFYEFGTGKGDPLD YSGKMRVEPWQVQVSGEVEKPRTFDLDELVKLAPQEERVYRLRCVEAWSMVIPWVGYP LSALLKQVQPTSKAKFVQFVTDTQPEAMPGLRDRIIPWPYREGLRMDEAMNPLTLLTF GLYGKRLPNQNGAPLRIIVPWKYGFKSGKSLVKIVLTETMPETSWVAIASSEYGFYAN VNPDVPHPRWSQSHERVIGGGFFDPKVPTLKFNGYAEQVASMYSGMDLRANY MIM_RS12610 MSYSLVIFDWDGTLMDSTHTIVAAIQAACRDMGFPVPDVAQASW VIGLSLDEALHRVVPDLTASQVPRFLERYRIHYLLRDPDLRTFDGVIGMLDALKAEGV PMAVATGKSRVGLNRVLDSMKMGAYFDATRTADETFSKPHPRMLEEILDELLVPAAEA VMVGDTSHDIQMAHAAGMASIAVTYGAHSVQELIDSKPTLIADTPEQLHAFLLEHCRK IAV MIM_RS12615 MCKQTSDKKPTFSVRLVRADAGSDGQRIDNFLIRECKGVPKSHL YKAIRSGQVRVNRGRVQAEYRVKEGDEVRIPPLRVAAADEKRVVPAGQFPIVYEDEAL IVIDKPSGVAVHGGSGVSFGVIEQMRAARPEARFLELAHRLDKETSGLLIIAKKRAAL VALHGMFKESRGRKCYLALVEGDWVNDRQHIRLPLEKYLTRDGERRVRVRPDGKPAHT IISKEARFGRYTLVKAELRTGRTHQIRVHLAASGFPIVGDEKYGNDQTRAAFARGGFA RMFLHAHTLEIPHPLTQERLSLTAPLPDVCQRLLERLAGS MIM_RS12620 MKRMLFNATHQEELRVAIVDGQKLIDIDIETAGREQRKGSIYKG VITRIEPGLEACFVNYGHDRHGFLPFKEVVRSYFKEGVDVRNARIQDALYEGQELIIQ VEKEERGNKGAALTTFISLAGRYLVLMPNNPRGGGVSRRIEGEDRQELRDAMEQLDLP SGMSIIARTAGIGRSVPELQWDLKYLLQLWTAIDAAAKDYPAPVLIYQESSLVIRAIR DYFSPDIAEILIDTEAITQQATAFMQDVMPDNVNRVKRYQDDIPLFSRFQIEHQIETA YSRTVQLPSGGSVVIDHTEALVAIDVNSARSTRGADIEETAMRTNLEAAEEVARQLRL RDLGGLIVIDFIDMEDNKNQRAVEQKLRDALHVDRARVQMGKISRFGLMELSRQRLRP ALNEGSHITCPRCNGTGVIRDVESSALNVLRLLQEEAMKENTAALHAQVPVEVATFLM NEKRADIVKLESRLRVNLVLIPNKHFETPHHHIERIRYDDSRLDDPKTSIDLIEAPET TVTWESTKEKSEHNERPEALVKGISPDQPAPSAIKPQVAAQPQVSAAAPSLGGMFQKI LSWLAGPKVATPAEPQKDESATEKTGTRNARSGSRNAASGERGERGGRNRRGDKRTSA KSDEDGENRRNPRGRQRKQDDSDNQDSVADSKTPASAQAQANTATEDGGGRSRNRRGR GRNRRNSGDEAEVTAATAVTTDNTEQVQTAASAQAETAPAPRRSRQASTETAARPTPL EQDLIDDDSEEENDRTTEGEDTQTGEAEPRRRRRRSRRGRRQNDSVETADTEKASFVP NEVLLATGSGVAAQATEAAAEAADNVAVTTPAQSNSVSDNTATPAQTDLSTKAPAAAA AEEAPATAAPAADHPAAALAEQAPVVSESVAETTAVETASVETTPVETAKPVEAATPA ESVETATPAVSAETDAAPIAAAGNVAATRTPAESAEKTVAQADAPVEQAEPQPVTVAE EAAASAEETAAGAQEPTTAVAAPASAPTPAPAPAPVVAEPVAANKEVLKEIVSGVGME WVETRPGLTEEALMAPPPKPAGRPRKARAQVQAEPLEIVETSKE MIM_RS12625 MNIKQWLSAATLSLAGMAAHAAWPEGPVTWVVPYPAGGGTDVIA RTVAASLEKTLGQTIVIENKPGGGTAIGASAIARAKPDGYTVGTADSGTLAFNPSLYK SLTYDPSKFTYIGGLARFPLVLAVPANSPYKTVNALIEAAKKEPGKLTASSAGPGSPH HLALERFKQLTKTNIVHVPYKGAAPALQDLIGGQVDLMFGDLGSSLPNIRAGKVRVLA VTVPERLALLPDVPTMAQEGVGDFVAYAWQGLVGPAGMPEDVVKKLDTDLKATLESDA VSKKVQALGVEIMPMSAAEFKAYSDKEREDWAKVIKAGNITLQ MIM_RS12630 MSIQTQALEQVYDHLAQALDSVPESGRTLMLTRLALLMSEQIGD SEVICRLIDQATLSG MIM_RS12635 MHQPLRQPRASLYHPYTVHEPYYPGEQQATTAAVTIVGGGPIGL VTALIMARYGIASNVLIAECQVTEGSRAIVFTRRSMEILDFAGVAPRIMGKALPWTSG NSYYKGERVFRMETPVSEHDRYAPLNNLQQNWLETYLVEAAQAQPLIRLCWGNRLTSF KQDESGVDLVVDTPDGEYTCRNHWLVAADGARSTVRQQMGLALEGDSYEGRFVIVDIR IDLDLPTERLAFFSPDWNPGNTILMHKEPDNIWRFDYQLGAEVSTEEALKPENLAAAV NAQLQMMGYQDNHWEMDWASVYSARALTLPDYVHQRVLFVGDAAHLLPIFGVRGANTG FQDAMDLCWKLAAVIQGEADMALLKTYSADRVGAAREIIGEAGKSTRFMAPPTAGFRL LRDATLTLSLKHEFVRPLFHWRTSRAHAYRESPLNSLQDDNASMPAVIENGSVFPSVK LGDGSYLYDHFDEGFQVVYMAGGEGGQVVPESLRQEVSALRAIGRPVTLHVLGAEGPA VEQRTDHIAYPVATDVLRQRYAAKGGEVYVVRPDHHVSARWQQYACGCLSQYLGQLPG STAQKEN MIM_RS12640 MDSDAFRLEKTLTYQLHQLAKLIDRRVDYSDIQRIALNAGEGRT IAVLGYYGTLSVIQLARLANLDKSQASRAVVSLVGKGIIEKRSDSRDARAFELFLTEA GQLVYTDIVDLIVQRNEVALKSLTPREKQTLFGLFSKIHQNLSQ MIM_RS12645 MSWLDKILPPRINKKTEQQGRRVPEGLWVKCPSCESVLYNDDLV ETVNVCPKCGHHMRLNARARIDALLDTDGRVEIGDGIRSTDPLKFKDSRKYPERLAEA TQKSGESDALVVMSGSICTVPVVLACFEFDFMGGSMGSVVGERFVRGVRAAIQNKTPF ICVAASGGARMQESLFSLMQMAKTNAMLTRLSQEGLPFISVLTDPTMGGVSASFAFMG DVVIAEPKALIGFAGPRVIEQTVREQLPEGFQRAEFLLEKGAVDMVIDRRELRTELAR LMALLTRQSSEAVSV MIM_RS12650 MSQQRINKTFARLGRRTALIPYIAAGDPDPATTVPLMHALVRAG ADIIELGVPFSDPMADGPVIQQATERAIAHGVNLRGVLDMVAVFRKMDQSTPVVLMGY ANSIEAMGQQQFAVQAGIAGVDGILIVDCPPEEYDDFAGDLRTQGISPIFLLSPTSTE ARIQAVAQRAEGYLYYVSLKGITGSSAIDIGEVRERVASIKKNVSIPVGVGFGIRDAA SAVDVAGVADAVVIGSRLIETMTEAITQQPSANPSDVAITAAGDWLRKIRHALDQNRK MIM_RS12655 MTAYSFPDNRGHFGRYGGIFVAETLMHAVSELNEAYEKYKEDPD FVAEYRYELAHFVGRPSPVYHARRWSEQLGGAQIWFKREDLNHTGAHKINNCIGQILL ARKMGKKRIIAETGAGQHGVATATVAARYGMECVIYMGAEDVRRQASNVYRMKLLGAA VVPVESGSRTLKDALNEAMRDWVTHIDDTYYIIGTVAGPHPYPAMVRDFQQIIGQECI EQMPACAGRQPDAVIACVGGGSNAMGIFYPYLSHTKVRLIGVEAAGEGLDTPRHAASI SGGQVGVLHGNRTYVLQSRNGQIQETHSVSAGLDYPGVGPEHAWLHDSKRATYVAVDD NTALEAFGQCCRLEGIMPALESAHALAYAATLAPTLSRESILLVCLSGRGDKDMHTVA EYTGIAL MIM_RS12660 MVQRAVAWCDPILQGATIATGEPSIHHAKGMLQILALLQLDAPT LVAALVLAIPIDLEEESARDQKNELIALFGLETFSLINGSRALLRIGAIARNASSQGH NESSGQREMLRKMLLAMASDLRIVLIRLASRLQTLRWYAETKLPCPIELAEETRDVYA SLANRLGIWQIKWEMEDLSFRFLSPDIYKDIARKLEGKRIEREARIQSLTDDIAGSLA DMGIEADVSGRAKHIYSIYNKMRNKRLTFDQLYDLLAIRIIVANERDCYATLSLLQAR WTPVMNEFDDYIARPKPNGYRSLHTVLKTADGHTFEAQIRTRKMHEFAEYGMAAHWRY KEAGARGGEVAAVSMYDRQVSWMRQLLAWRKEVGLPAGDSPDSAPPTPAAVQPPAHAS EPSTAEPKAGPPGHERNQKKAAERIYVLTPQARVIELPEGATPVDFAYHLHTDLGHRC RGARVDGQMVALNTKLLNGQTVEIIAAKSGGPSRDWINTQLGYLASPRARAKVRLWFN AIELQKRITAGQDLVEKELARLGKTAVNLEQLAERLGFANSDDLYVAVAKDEFSLRQI ATAFQEPDPDAGTAHDTIVARESGAQSAVKTGKSGVLVVGVDSLLTQLARCCHPAPPD PICGFVTRGRGVSIHRSDCPSFAVMKTKSPERVIDVAWGDTGETVYPVNIAIHSQDRN GLLRDLTELFSRMKLNVVGVNTQSKASIAHLHFTVEVRDGEQLRKAMNAILDIPGIIS AVRC MIM_RS12665 MSNEITGKTLLIVWHSRTGAAEAAAMQAYEAALAIGRELDDPGD VCIRRACEVTPDDMLAASAYLFCAPENLASLSGQMKECFDRLYYPVLHRIEGRHFSAI ITAGSDGEGALRQLRRICSGWRLNERVPAIILNMRSDTEAAILAPKTLSEAQRQQAGE IGATLFALL MIM_RS12670 MSDIKRTNVGSRLSDMAVFNGVAYLAGQVPDDATLDMEGQTKQV LTTIDALLKEAGTSKERLLMVQIFVANMKEFDQMNKAWDEWVSKDNAPPRATIEARLA NPDYKVEIVATAAL MIM_RS12675 MIIQSLLDTDLYKFSMMQVVLHHFPGAQVEYRFKCRSKGIDLQP YIEEIRSEIHGLCQLRFSEDELDYLRNLRFIKGDFVEFLGLFHLPEKCISVTAGQEPG EISIEVKGSWLHTILFEIPVLAIVNEVYFRNKAPDLDYEEGRRRLEEKIQLIIDAPDM ANFKVAEYGTRRRFSGEWHHEVVQTLQKQMGVNFAGSSNVLMAKQYGVTPLGTMGHEY LQACQALGPRLRDSQVFALEKWAMEYRGDLGIALSDVYGTNAFLRDFDMYFCKLFDGA RHDSGDPFEWGERLLAHYRNNRVDPSTKTLVFSDGLSFPVAMDIHRRFNGRCKVSFGI GTNLTNDLGVKPLQIVMKMVRCNGQPVAKVSDEPEKTMCDDPAYLTYLRHVFDLPAA MIM_RS12680 MTHVVTENCIKCKYTDCVDVCPVDCFKEGPNFLIIDPDECIDCA VCIPECPANAIFAEEDVPQDQMKFIALNAELSADFTTISRSVKPLPDADEWNGKEDKL QYLER MIM_RS12685 MTQEKYTSQIVTARTEWIPGKLFSIVTTRDPAFEFIPGQFARLG LPTDPQADPAPDIWRAYSMVTPPQANELAFYSIVVPDGLFSPRLHDLKVGDTIYIDKT AFGFMTIERFPQGGQLWMLATGTGLSAYLPMLNDPQTWRQFERIILVHGVRQANELTY QDDIARFAQVHAANAQQFVYLPLASRETLPGKPQARITTLIESGELEQLAGASLDPAH ARVMLCGNPAMVTDARKILADRGFAPGRRGVAGSLAVENYW MIM_RS12690 MLYQLHELTRSVLSPMASFTHLGSSLFTNPFSPYTYLPLSKNIA AGFELFHRLGKEYEKPEWNIDTVMVGGKKSNITITTTRAKPFCNLIHFEREHSKNPGG DPKVLMVAPLSGHHATLLRDTVKTMLTSFDVYITDWVDARMVPLSKGPFHLDDYVDYI REFIHVVGPDLHIMSVCQPTVPVLAAVSLMASAGEQDYIPKSMIMMGGPIDTRLSPTQ VNSLADSNPFSWFENKLIHVVPGRYPGAGRKVYPGFLQHAGFVAMNPDKHMEAHYDFY MNLMSDQLSEAEHHRRFYDEYNAVLDLPAEYYLDTIRVVFQDHLLPKGEWKVHDDLVK PQDITSSRLLTIEGENDDITGYGQTEAAQKLCSGLKKVDRKHFLAKGCGHYGIFSGSK WRKQIFPVVEAFITETEEKTASQAAAAA MIM_RS12695 MTHALLIDRIDAVLPQTQCTQCGFDGCRPYAQALAAGTTLINRC PPGGDAGIEKLAAVLDTPVLPLDESCGQPGPLLVAVIDESHCIGCTLCIQACPVDAIM GANKFMHTIIPDLCSGCELCIAPCPVDCISMEDAQRPWTPDDANAARTRHEQRALRLQ RNAQEKEDRMRRASAAAARTSQLANTGLQSDEAQLASEMATSTQAASPQTTTNAPTAE DAKKAAIALALQRARARRKTNNPSGSTS MIM_RS12705 MSRLLEAQHINAQYGKVLAVNDVSLVMDQGQVVTVIGANGAGKS TLLNTLMGALPVSGRSQGQIFYRGEDISRMPVEERVAAGLCLVPEKRELFTSMSVQDN LLLGGFRQYRQRVAGWRDTLDQVYQLFPRLLERRDQRAGTLSGGERQMLAVGRAMMSR PTLLMLDEPSLGLAPRVVQEVFRIILRLRETGVSILLVEQNARAALQASDYGYVLEMG EIAMEGSSQQLRSDPKVAQSYLGLGHGQGRPAQG MIM_RS12710 MKKWSVPVFILLLALLPVVPGVPEFWINQLNAIGIASLVVIGLV VLTGVGGLTSFGQATFVGIGAYATAWLSATMDGSPWLGLVLGIALTLLLAFMLAQITL RLSGHYLSLATIAVCLIFYYLYGNMPFLGRHDGIPGIPPISLFGYSLLQAQSIYYLIW LAVLLAAWTAINLLDSRTGRAIRALKNGEKMAASFGVHTFHYKVIAFVYAAVLAGLAG WLYAHEQRAVSPSTFGINYGIEYLFMMVVGSVSSVWGGIFGAAVILILKDQIQDIAPR LLDTSTNFELIVFGVLVVLVLHHAREGLWPIVSRIFSGRRDDRQVAGGTATQWWNQAA ALAKADRPQAGRPLLQVQQLRKEFGGLVAVNDISFSVAAGQIVGLIGPNGAGKSTTFN LITGVLPLTRGSIIYCDKPLQAVSAEDIARLGIARTFQHTQLLPQMSVLENVALGAHL RRSAGAIRSILRLDRHDEAALLREAAVQLERVGLGDCMFELAGNLSLGKQRIVEVARA LALDPALLLLDEPAAGLRYMEKQELAAVLSGLRDEGMSILLVEHDMDFVMKLTSHLVV MDFGTKIAEGTPAQIQQNDKVLQAYLGGIDDDVIIDETAANAGENIEVRA MIM_RS12715 MDWSIAKILMQDGLVTGVIYALLAVSLVLVFAVTRIILIVQGEF VTYGALTFAVLADDQIPGTRWLLVIAGLLVFVRELWLVYRGKPAVTLVRSALFCLVLP VAVFLAVPAILGTAPSLWVKALMTLFLVVPLGPMLYKLAYESMADSSVLALFVISIAV HFAFVGMGLAFFGAEGRLVAQPFFDGQLDLFGLTWTYQSLFVIAMTAVIIAALWLFFG YTLYGKALRATAVNRRGARLVGISTDMSGFLTFLLSSIVGVLSGIMIVSFISITYETG FMIGLKGFVGAIIGGLMSYPIAAVGALVVGIIESFSTFWASEYKEVIVFALIIPVLLI LSVTSRHDEEE MIM_RS12720 MKKFLPCLLGAVLAASAHSVYAEDQTVNVGVVVSATGPAASLGI AERNTVALLPRQIGETKVNYTILDDATDATQAVRNMRKLISENNADVIIGTTATPGSL AMVDVAAEKKIPMISLAASAGIVEPVEGARTWSFKTPQNDVLMAAALVHAMEKAGISK LGFIGFSDAYGQNWLKETKNALQGKKIEIVATESYARPDTSVTGQVLKLLAAKPDAIL IAGSGTAAALPQRELKQRGFKGQIYQTHGAGNGEFLKMCGNACEGLILPAGPILVADQ LPADNPMRATGLDYTQKYEAQYGKGSVSGFGGHMNDAGALVAAAIPKALAGGAKPGTD GFRQALRDALENSKDVVGVHGVFNMSAKDHSGLDDRARVLLRVKNGHWEFAQDLN MIM_RS12725 MKVIKSEQQWRELLSPESYQVTRQKGTERAFTGEYWDHFQPGIY TCVACGTPLFASDTKFDAGCGWPSYFEPLNPQNVREETDTSHGMVRTEVLCNVCDAHL GHVFPDGPPPTGLRYCINSLSLRFDPAE MIM_RS12730 MKKLLFDFFPLVLFFVAFKVADIYVATWVAIASSVLQILWLKLR GRPIEATNWMNVIIIVVFGGATIYFHNDTFVKWKPTVLYWMFAVILLGARLLMNKNVL RKMLATQMTLPDRIWDRLSDSWAGFFLFAGALNLVVAFSGYFTQDQWVTFKAFGMTIL LVIFAIGQSVWLGRHMQDVPATSGPVALPEKSND MIM_RS12735 MTNQDRIALIRERLASLEPQVLDIEDESHLHKGHAGASNGAGHY RLSIRSARFNGLSRVASQRLVYDALGDLIPYPIHALSIQTQPIS MIM_RS12740 MKRFILLAVTCAMTVPALAQNVATVNGKAITSDQVDTAVKTLVA RGATDSPALREQITEQLINSAVLSQEAEKQGVDKNPEVQFAIENARQEIMIGSMMRDW AKTHPVSDEAIKKAYDDFKAQSAGEQEYQVKHILVKDETAANKLLKDIKAKKISFADA AKKNSIDPGSGKNGGDLGWAPAENYVPEFAEAVKAAKKGQLLDKPVKSQFGWHIIQVT DSRPVKVPTLEEAKPQISQMLSQQSLQEQMKKLRDEAKIEKTPAEGAADKPAEQPAAA PATEAPKQ MIM_RS12745 MTQGKEQQLQDELQRLRAQINAHNHAYHVLDAPTISDSEFDGLM QRLLAIEAEHPEWVNADSPSQRVGSAPLPFFESVRHAVPMLSLGNAFSSEEVMAFDKR VCDALRAADLLGPAEKVEYNCEVKMDGLAISIRYEDGRLVRAATRGDGLSGEDVTANI RTLRSVPLTLSPGFPEVLEVRGEVLMNRSDFEKLNKQQAAAGDKTFVNPRNAAAGSLR QLDPRLTAKRPLRFFAYGWGQLDGLTAPLPDTHSGMLDWLSSLGLSVGKYRKRVTGPE QLLAYYEEIGQSRPSLPFDIDGVVYKVNSLKAQTELGFVSRAPRFALAHKFAAEEATT LLVDIEVQVGRTGALTPVARLQPVFVGGVTVTNATLHNEDEIRRKDVRIGDTVVVRRA GDVIPEVLRPVLEMRPADARQFVMVTQCPVCGSAVERLPDEAATRCTGGLFCAAQRKQ SLLHAAGRKALDIEGLGEKLIDQLVDLDWVRSIADLYALSVEKVMGLERMGRKSAENL IAAIEKSKHMELSRIIYALGIRHVGETTARDLARYFGSLDALIAADEAAFLQVSDVGP VVAESLRAFFAEPHNIAVLDALRTAGVTVKLPESVKSTVLQGKTFVLTGTLPTLTRDE AGKLIMEAGGKVSGSVSKKTSYVVAGEEAGSKLEKARELSVPVLDEAGLLTLLGQ MIM_RS12750 MSNLQLALIAIGIVLILLVLLFNWWQDQRVRRQMHEQFSMGDEH ENDVLLKDRKPVAPVQRAPADRQDPVFASEPDEPVVAAPASTAQTAHVAQEMDDQHDE EAVDEMTEGVIELHFAEPVSGADLHRYTRNTVYAGSKPLRFFAETDGGLHRAQLRADE QYVSLQMAVLLANRAGALGEIEWSQAWAKADEIAHEFDASVESPDVPALLRRAGKLDQ VCANLDTQVGLAVQLAQPHPVRSVIDVARAKGFTEYRNGLAWMNHDGLPRFVLLLAGE HADDPANAGVNRVDLLLDVPCSPPDDTPFARMMAVARDLALSLDGQLIDDSGRPVMEG SEHAIDEQIRGIYDELEQQGLQAGSPRALRVFS MIM_RS12755 MRLTQLKLAGFKSFVDPTVIPVPSQMVGVVGPNGCGKSNIIDAV RWVLGETRASELRGESMQDVIFNGSGNRKPAARASVELVFDNSDGRAVGQWSTYGEIA VRRVLTRDGTSSYYVNNQQVRRKDIHDIFLGTGLGARGYAIIGQGMINRLIEAKPEEL RVYLEEAAGVSRYKERRRETENRLSDTRENLTRVEDIMRELESQLTRLEAQAEVARKY RDLQNDGEKKQHVLWLIREENARADQEKKAQEIEKAQTELEASLAELRASESEVEKRR QAHYQASDAVHAAQAAMYEANTTVSRLETEIRHVVDARNRLQARKATLNTQMQEWQDQ LTHCTEQIAELEEELAAAGERAAMVQDELESRQADMPDVEEKVRSASASREAMRSELA RVEQKLALTAQTQRDADRQLQQLEQRRERLQRELGEIQRPDAGRLEQLTGDRNAAEAQ LEEVQATLQDMEERLPQLDEARRNAQNNSHKETQDVARLEARLSALSALQEDVQKKGA LEPWLQKHDLAGFGRLWQQIHIEPGWEAALESVLRERLTALPLRDLDMARAFTDDPPP SRLAFYQKPTAEALPAALPGHTPLLSLIRCNDPDLKSLLGRWLQGVYTASSLTEALGQ RQSLPIGVQLVVREGHMTDAHGLCFYAADSEQSGMLARQQEIDNLKREIKARQLIADQ AVTQLARSEAAWTALSQSMTPARQRLSELTRRVHDLQMEHSRLHAQMQQSEERNARIN EDLADIRVQQEELLAQKEESEAGFEALDNELATLQEAYAEAEMAGETLAETAEAARQQ LRELERNVQEAGFTQRGLTSRIADLTRNRDLAGNQINQAGNELENLEAELFDFDESAT QAGLQDALETRVIREEALAAARLELDNLAATLRGADETRMRTEQSLEPRRAHIMQLQL DEQAARLAVEQFSEQLDEHEVDRQALKLEMNDLPDDWSKVTWLHAEVQKISRQIEALG SVNLAALDELNESRERKGFLDAQHADLSEAIETLEDAIRRIDRETRDLLQETFNQVNT HFGDLFPKLFGGGEARLSMTGEEILDAGVQVMAQPPGKRNSTIHLLSGGEKALTATAL VFALFKLNPAPFCLLDEVDAPLDDANTERYANLVNSMSDQTQFLFISHNKIAMQMAKQ LIGVTMQEQGVSRIVAVDIESAVQLVGEA MIM_RS12765 MKKGFFLVMLAQALSSLADNALFIAAIALIAELSGPDWMTPAMK WFFAFAYVILAAFVGAIADSFPKGRVMFCTNAIKISGCLLMFGFALLAPSDKSLHAYI VCFSYALVGIGAAAYSPAKYGIVTELLPPRDLVKGNSWIEGLTVLSIIFGVVLGGKLI EPPLSDFLLSLPWMSVLAKTPAEAAVVVIGFIYIMAAICNLLIPNTHFVYPKQESNPV KLVSVFANYVRILWQDKVGQISLAVTTLFWGAGATLQLIVLQWGKDHLELSIAQSANL MGVAAIGTIIGSVLAGKVPLTRALHVLPIGVVMGFSVMLMTIVKETWAVYFTLILVGG LSGFFVVPLNALLQHRGHVLLSAGHSIAVQNFNEQLNILFMVAAYWFMLEMNLHINTI IIIFGVIVALLMALVIVWCYRNQRRYPATFAEIGEDGHGRAQTGH MIM_RS22165 MESSSVSAGAPPVVHPANVTLNPLQLLWLQESGIDRLWGRALTV SAPTEPARAAHNKHTHAAEAAAAAESAHGNEGNEGARSAPSIAARPVASALPSPAAKD TAPDAATVSRNDASPARQALAKLREQMQRQRRPAGRVAADTRRTTDEGTGAATAENSA AAISDPMPEAVGPASQGSQPADTLALRDGPVQWPALAETIRQCRRCGLSEHARQAVPG TGNATARLMIIDQAPGAQDEISGEPLSGQAGQLLDNMLAAIGLSRDATFITDVVKCRP MVSRPAEPHEIAACSDYLQQQIAMVQPSCLLLFGNAAQSVLGTTQSVGQLRETHDLQL TVQGRTIPVVVTFHPNHLMANQAAKPLAWVDLKRLRKLLQQADA MIM_RS12775 MNVNLISLESSATCSSVALLRYEGGQSRITEQVSHQANGHAEQL LPMLDQLLGSAGLDRTAISGIVFGQGPGGFTGLRIAAGMAQGLGLGLDIPVFPVSSLL ALAETVRTGASSACIVSLLDARMQEVFLACYRETGEGELLQVQAPCLIAAEQVPLWLS GISSTINADTNETTEDTIEDTIETSPPGNAARPGHPVTTNESSPIILVGSGVHVCPEL TQLAGVRLVPDAQPRAAVMAGIGLRAWLTGRFIPADQAAPLYVRDKVAFTIKERGQGQ GGNPRAVPLAVQPIAPGSEGPASPESQARSQAITTLQQTHTIRRMTQEDIDAVVNIER RVQSHPWTEGNFRDALNAGYEAWVVCHADQVVAFSLQLMAPDVAHLLLIGVKPDWQSK GIGGGLLAWGEERLLQQQLDSQLLEVRPSNAGAIAFYRRWGYEQIGVRKGYYPDGRGR SEDAWVLQKAVVS MIM_RS12780 MNTPQTASSTPPRKILVVDDDPRLRDLLRRYLTEQGFNVFVAED GKEMTKLWQREHFDLLVLDLMLPGEDGLSICRRLRGANDNTPIIMLTAKAEEIDRILG LEMGADDYLSKPFNPRELLARVNAILRRRGSEEHPGAPSQENESIAFGPYTLNLSTRT LSRGEEVVAITTGEFSVLKVFARHPKVPLSRDKLMELARGREYEAFDRSLDVQISRLR KLIEPNPAKPVFIQTVWGLGYVFVPDGGN MIM_RS12785 MAAAPVQYPQQQNFASKIRLSLFSRSFFLLAALLAVSLTCWLIV FFNMQEEPRASQMAERGITALKITRKSLAYVPANDRNALVIDLATTGDIQVFPRQLDD VLQPLPDTTFWRIFADKIQRSDTQTVTKIASSMNGEPGIWISFNVGDELYWLLLKSST DNLPQMREWIGWGVIAFLLALIGAAISVRFVNTPLSRLAKAAQQVARGENPAPLPDDQ GPLEIRDLNSAFNRMARDIRQTEADRELMLAGISHDLRTPLARMRLEIELSNVSDETR SAIDEDLGQIDHSIGQLMEYARPAGVLPDKAINVSAILHDLTDREKAHTESLGGTLRT MIQPNLYARIGELNLKRIVSNLIENSRRYGRSVSDGQPHIVVLVREKGNMIEIDVCDN GAGINAKDTERLLRPFSRGEAARTGVSGAGLGLSIVERLLQHVGGTLKLLPNQPTGLI GHIEIPKARDRNYQLDT MIM_RS12790 MKTVGEKLESFKVVGVKPGFNNHEENGVSAFEDITESSFPGKWK VIYFYPKDFTFVCPTEIVGFNNLAKDFEDRDAVLMGGSVDNEFCKLAWRREHPDLNKL GHYSFADSTGSLIDQLGVRERNEGVALRATFIVDPDNVIQHVSVNNLNVGRNPEEVLR ILDGLQTDELCPCNRAAGGETL MIM_RS12795 MQFLTTIKNEIPDWAKDIRLNLDGTIARSSLKPADAVGVALAAA YAAKNPFLIEQFKSGLSEEDANGVLTAAALMGMNNIWYPYVEMTDDSQLKTLPPLLRM NAYSSHGGIEQERFELFALAASIIGKCHFCVKSHFDNLKKAGFSIEQLRDAGRIAAVV NAAAQALTAVGK MIM_RS12800 MTIGLRVGQGFDVHALQEGRPLILGGVTIAHTHGLLGHSDADAL LHAITDAILGAAALGDIGRLFPDTDPAFKGSDSRVLLREAYRRVREAGWQVVNVDATI HAQAPKIMPYAAAMVSNIAADLQLDPGCVNVKGKTNEHLGYLGRKEGIAATAVALLAR Q MIM_RS12805 MTDNLFAIIPAGGVGSRALTAGRQMPKQYCMINGVTMLQLAVEA LRADARVSAVHIGVAAQDSWIDTLNLGSRVHVHRTAGPTRAHTVSATLQAVLGSEQRE QGIRGDGWALVHDAARPGLQSASLTALVDACLEQRRGGLLALPVPDTVKRAVVDEQQQ ICVAQTIPRDGLWLAQTPQLFPAHDLLDALRTAIEKGLDITDEASAMEAAGFSPLLVR GSAENMKVTWPADFAMMERWLA MIM_RS12810 MSNTALTPLLSALKPGQRYRYPRPPGSGDAWLSAELARAAGKPL VLLCADPLQAQRLHDEVTLFDPTLRVRQFPDWETLPYDGFSPHQDLISQRLKTLSALM QGKVDVLTVPVTTALYRLAPTAFLAAYSFSFKKGDKLDEAALRDQLMLANYAHVSQVA APGEFCIRGGLIDLFPMGSVLPYRIDLFDDEIESIRSFDMDTQRSLYPVNEVELLPGR EFPMDETARNHFRSRFRDLFEGDPSRATPYKDMGNGIAFAGIEYYLPLFFDDTATLFD YLRPETITITVGAIEEAIAQFHDDTQSRYRFLKSDRERPVLAPEHLFLSEEQFFVHLK SFARLHLDESAANTEFAPAPDIAVLRRSDDPFARLRTWLESGRQRLLLCADSAGRRET LLQLLREQQLEPSAAFDSVQDFVASDIDFGIAIAPVNTGFIIRDKQLCLVTENDLYPG SASVAQRRRKKQERSSDVDAMVRDLSELREGDPVVHMQYGIGRYHGLVTMDLGEGDIE LLHLQYSGNSTLYVPVSQLHVISRYSGADPEHAPLHQLGSGQWDKARKKAAKQIRDAA AELLALYALRAAREGFSFKLPFSDYQTFVEGFGFEETADQQAAIDAVIGDMTSGKPMD RLVCGDVGFGKTEVALRAAFLCVANGKQVALLCPTTLLAEQHAQTFADRFADWPVRVA ELSRFRSSKEVKTALEGLEAGTVDIVIGTHKLLGKEVKFKRLGLVIIDEEHRFGVRQK EALKALRSEVDILTLTATPIPRTLGMSLEGIRDFSVIATAPQKRLAIKTFVRREDGST IREAALRELKRGGQVYFLHNEVETIQNRRARLEELLPEARIAVAHGQMPERELEEIMK GFYQQRFNILLCTTIIETGIDVPSANTIIIHRADRLGLAQLHQLRGRVGRSHHQAYAY LLTPGEDAITSNAKKRLEAIQSMEELGSGFFLAMHDLEIRGTGEVLGESQSGNINEIG FTMYTDLLNEAVRALKAGEEPDLETPFNSVCEVKLHTSALLPADYCTDIHARLSLYKQ LAHAKTEDDLLAIQEELTDRFGKMPDPARMLIATHRLRILAEALGIIKVDISDEQALL VFGPKTTADPLKMIELVQKQKHIRFSGADKLRIELSKMPDISRRIDTIRLLLKTLAA MIM_RS12815 MHLVLQAPGIRHDLIEQAAALVSANRITPLNACAMRLEDIDVDA LAELQTWAKAKHIDAASLDVIRPLTDMKVLAMDMDSTLINIECIDEIADMVGKKAEVS AITEAAMRGEITDFSESLRRRVALLKGVAEADLQRVYNERLQLNAGAEKLIGAMKAAG VHVMVVSGGFTFFTEKLRARLGLDSTHANVLQVNNGKLTGQVEGDIVDGQKKAEHLQA LARSLNATPEQCIAVGDGSNDLTMLSHAGYSVAYRGKPVLQQAAAFRINNAGLDAILN WFDSKDAIQASRHRQ MIM_RS12820 MKVKRIVANIHTENIAAAKAFYQDVLGMDLLMDHGWLATYGSNQ TMQTQLSIASEGGAGTPVPDLSIEVDDLDEALQRCTAAAITPEYGPVSEPWGVRRFFV RDPFGRLINILVHL MIM_RS12825 MQLNQATVEHLKRFPPFQNMQQHVLEALASRLTINYFATGETII PANAPPPDTFYIVKQGIVQTEQLASSARQYRDTFELHEGECFPLGAILASRSPGSQFV AATDTFVYELSLAGFQQLRAESPVFRDYCEQRTALLLEYSKQIIQAQYSRSSTEQPAL TMPLQQLIRREPVTCPASLPLRHVLRHMKEQQVGSIIAVDENEQVVGIFTLQDLLTRV ALPQADLDAPFARYMTTDPVSLPPGAPASEAALIMARRGFRHIVVADSDSGKLRGMVS EKDLFSLQRISLRQINLRLQAAQSVQDVAACSEDIRKLGDNMMAQGIAVEQITQIISA LNDVTLCQLSRLIREHHPQVKQVDYCWLALGSEGRMEQTLYTDQDNGIIFQTTGTQDT QAIREVLLAFAHEVNLALDTCGFPLCKGNIMASNPQWCLSTDEWRHRFSAWVNSPDPM AIMHSTIFFDFRALDGNMELASALRRWLNQIVQQHPVFLRFLTQNAISRKPPLGLFRD FVTSQDNTLDLKTQAAVLFVDVARILALQAGSPHTSTVQRLKQAGPAAGLRQEQADAY AEAFLYIQLLRMQLHHQSAASHSPYTNQINPATLNALDNRILREAFRLAKSLQSMLAV KYAL MIM_RS12830 MPFNKMINALTRFLRQSPAHPRAASLQKRFEAWRALPAANSRQT VRDSRIVVLDVETSGLNLRKDRLIAIGAVAIVQGRIMLNDTFDIVLRQEQVSSKSNIL IHGIAGGKQRSGTEPADALMQFLEYIGPDPLLAFHVAFDQTMLEKSMKTWLQTDLRKR PWIDLAYLAPALYREQALSHRTLDDWMQLFHIHNQRRHDAVADALATAELFLCLNKKC EQLGKYTLDSLYTAERQYRTLIAQ MIM_RS22170 MYPAESIWIFIALAFAFAIVPFLTERAFVFTPWQQAGEPVQRPF WFCFLRAVVSYGVIAAGCWLLSTQSGNLPYMFGGVVLLGAALYAPGAMVTPYVSVKHV STRLLEVLLGYFIVGAIGFAIEANYANPAVKNWEFYAIAACLYVVLAYPGFVWRHLMK HPARHHKSA MIM_RS12840 MMENQFNFALAAPEIILVIMAMGILVYDAFSHEATRKTTYVFSL CALVIMTVVSLMQWNSGIAGTTFYGMYVADPLSHFLKIASYLAVLVTLIYSRQYVVDR DMSKSGELYPLTLCALLGQMVMISASSMLTIYLGLELMSLALYTMVALRRDSLVATES AMKYFVLGALASGFMLYGISMVYGATGHVDLAGVIQVIRSGQAGEMTLVLGTVFVVAG LAFKLGAVPFHMWIPDVYQGAPTAITLTIGAAPKLAALAITLRLLIEGLNGVALSWQP MLIILAVLSLAIGNLTAIMQTNFKRMLAYSTISHVGFVLLGLLSGVAANGTVMSGAYA SSLFYIVTYVLTTLASFGLVLLMSRQGFECENIDDLKGLNQRSPIMAFGMLLLMFSLA GIPPLVGFHAKLVVLQAVISAGHVWLAIYAVLCSLIGAFYYLRVVKVVYFDEPVQGVP APDTAWSFRSGVMSVNGLLIIVLGILPGSLMTLCVQVIDASLKF MIM_RS12845 MTSTLPWLSLAIFVPIVAGILVLIMGSDERADFTRKLALFGSVI SFLVTLPVYFGFDGSTAQMQFVEKTPWIEAFSATYHLGVDGISMWLVLLTAFITVIVV LAGWEVITKRVAQYMAAFLILSGLMVGVFAALDGLLFYIFFEATLIPMYIIIGVWGGP NRVYAAFKFFLYTLLGSLLTLVAFIYLYTQTGSFDILVWQQAKLGYTPQMLIFFALLA AFAVKVPMWPVHTWLPDAHVEAPTGGSIVLAAIMLKLGAYGFLRFSLPIAPDASHSMA GLMIALSLVAVIYIGLVAIVQDDMKKLVAYSSVAHMGFVTLGFFLFNTAAMEGAVIQM ISHGFVSGAMFLCIGVLYDRLHTRRIADYGGVINTMPKFVTFFVLFSMANSGLPATSG FVGEFYVILGAVEHNFWIGLLTATALILGASYSLWMVKRVAYGDVTNEAVKQMKDVNC REYALLAILAIFVLVMGIYPKLFTDVMHVSVQQLLDHVAVSKL MIM_RS12850 MNNSLSSSLLLVIALAPLLGAIVTGLFGTGFVGRTVSRRASHMI TIILVAVSFFGSAYVLYQVGINGAHYNDTVYTWSLIGTRVAEVGFLVDALTALMMVVV TSVSLMVHIYTIGYMSDDPGYQRFFSYISLFTFSMLMLVMANNMLQLFFGWEAVGLVS YLLIGFWYTRPTAIFANMKAFLINRVGDFGFVLGIALLFAYTGSLNYSDVFAQSDKLA ATAFPGTDWHLITVACICLFIGAMGKSAQVPLHAWLPDSMEGPTPISALIHAATMVTA GIFMVARFSPLFELSPTALSFVIVIGSIGALFLGILGIIQNDIKRVVAYSTLSQLGYM TVALGASAYPIAIFHLMTHAFFKALLFLGAGSVIIGMHHDQDIRNMGGLRKYMPITWI TFLIGTLSLVGTPFFAGFYSKEHIIEAAGAATVWGSGFAYWATLIGVFVTSLYSFRVY FLVFHGKPRFSTDGHAHHGDAHHSDSHDAAHGTAHDTHGHDDHHHGGTPHESPWVVTL PLLLLAIPSVIVGAWVIDPLLFGDFFKGVITVSEAHPAMEELAHEFHGWFAYGLHAFT TVPFWLMIAGLVVAWYCYLVNPALPARVYRSLSGINRVLENKYYVDWINENIFARGAR GLGSLFWNVGDKGVIDGGLVSGSTRLVGLVAAISRHLQSGYIYHYAFAMIVGVIAFIS IFVLVL MIM_RS12855 MTISLAHYLILGAIMFAMGVFGIFLNRRNLISLLMSIELMLLAV NINFVAFSSWMPGADGMPDTAGQIFVFFILTVAAAEAAIGLAILVMLFRKINSINVDD IGRLKG MIM_RS12860 MFTTVLFYILAVILIIAGARVITATSPVTAVLHLILTFFTASML WMLLGAEFLALLLVLVYVGAVMVLFLFVVMMIDITPANLRSGFKTYLPLGLVVGGVMV LEIAFVLGNMYLGSGPSISMPADYDNTRELGIALYSRYSYAVQIGAVTLLVGMIAAIA LTLRERRNRKYVTSDQQLHVKASDRLKMVNIPSQREVPTAEDQDVKPAGEGK MIM_RS12865 MSAIKDFFGSLLLTELLKGMSLTGKYFFKRKITLQYPYEKTPAS PRFRGLHALRRYPNGEERCIACKLCEAVCPALAITIESEERDDGTRRTSRYDIDLTKC IFCGFCEESCPVDSIVETHIHEYHGEKRGDLYFTKDMLLAVGDKYESEIAARREADAR YR MIM_RS12870 MDIWSWFTDGVKAVNVWGTDLLGPVAWLVIWTLVMIVVIALPII ICVAFLTLWERRMIGYMHVRRGPNRVGPGGMLQPFADVLKLLTKEVIVPAQANKVLYF IAPVLTLIPALAAWAVVPFGPEVVLANVNAGLLYVMAITSIGVYGVIIAGWASNSKYA FLGAMRASAQMVSYELAMGFVLVTVLLVSGTLNMNGIVQGQTQGWFASHGVNFMSWNW LPLLPLFVIYVISAVAETNRHPFDVVEGESEIVAGHMVEYSGMGFALFFLAEYANMIL LSAIASVMFLGGWSSPLDFAPFTWVPGWLWLGLKAFFVVSLFIWFRASFPRYRYDQIM RLGWKVFIPLTGIWLLVVAIWMQTPFNIWK MIM_RS12875 MVELTIDGKPVSVPEGSMVMHAASELGLYVPHFCYHKKLSIAAN CRMCLVEVEKAPKALPACATPVTQGMVVHTCSAKAVAAQKSVMEFLLINHPLDCPICD QGGECQLQDLAVGYGNDESRYREEKRVVFHKDVGPLVSAEEMSRCIHCTRCVRFGQEV AGVMELGMLNRGEHSEIQTFVGNAIESELSGNMIDLCPVGALTSKPFRYTARTWELAR RRSISAHDSVGSNLVVQVKGDQVKRVVPFENEEINECWISDKDRWSYEGLNVADRLQT PMIKGDDGVWREASWNDALTAAAQVLERINQTHGDGQIGALATEYSTVEELALLARLT RGLGSQHIDFRLRQTDAGFEQSLQGLPWLGMPIAELNTLDRVLVIGSVLRKDHPLFAQ RLRQAAKHGTQIVIIDTTGDDPLIPTAKRITVAPDQLPAFVAQVAAAGKSAEQAVPAP GKQDLTAADVAAILAGGSKSAVFLGNMAVASPHASQLAVQAQTIAQQVNGTLGFLTAG ANTVGGYLAGAVATEDGMNVAKMMNNPLKAYLVLHAEPALDMDDGDKAEKMLAGAFSI ALTSYKSAAESWARIMLPVAPFTETSGTFVNAEGRAQSFKGVVAGLGQSRPAWKVLRV LGNILKLTDFEDETSESVRDSVLLNGFAAKLSNKVTAVAGAATAAPVADGALQRVADL PIYRTDAIVRRAESLQQAPASAAPVARLNAATLARLGVQSGDTVKVRGSNGNDIQIQA KQDDRLADNTVRIAQGFAQTAALGSAFGNVFVEKL MIM_RS12880 MSVILSKYSQGLNANPAGDLNGSMALHGRHIQPQIMADLNGENW RLEDYVKRGGYEALRKILTTGMTQEDVIAEVKASGLRGRGGAGFPTGLKWSFMPRNFP GQKYLVCNSDEGEPGTFKDRDILRSNPHIVIEGMAIAAYAMGITVGYNYIHGEIFEVY ERFEEALEEARAAGLLGDRILGSEFSFQLHAHHGYGAYICGEETALLESLEGKKGQPR FKPPFPASFGLYGKPTTINNTETFAAVPWIIRNGGQQYLEIGLPNNGGTKLFSITGDV ERPGNYEIPLGTPFSKLLELAGGMRDGRKLKAVIPGGSSAPVLPGEIMMNLTMDYDAI SKAGSMLGSGAVIVMDETRCMVKSLLRLSYFYFEESCGQCTPCREGTGWLYRMVNRIE HGQGRQEDLDLLDTIAGNIMGRTICALGDAAAMPVRGFLKHYRDEFAYHIEHKQCVVP QYL MIM_RS12885 MLLSQQAYTRIDKELAKYPADQRQSAIMSALRIAQVEKGWVSAE VIADVARYIGVEPIAVQEVATFYNMFNNKPVGKFKISVCTNLPCALRDGEKTADYLKQ KLGIELGETTSDGLFTLQEGECMGACGDSPVLIVNNHHMCVRMSTEKIDAMLAELAQQ GDAA MIM_RS12890 MSEIKNYTLNFGPQHPAAHGVLRLILELSGEVIQRADPHIGLLH RATEKLAEHKTYLQALPYMDRLDYVSMMCNEHAYVMAIEKLLGIEVPLRAQYIRVMFD EITRLLNHLMSVGTHGLDVGAMAAMLYAFREREDLMDCYEAVSGARMHAAYYRPGGVY RDLPDSMPQHKMDSKYRSKREIKQFNETREGSLLDFIEAFTERFPKCVDEYETLLTDN RIWKQRLVGIGVVDPDRAMALGFTGPMLRGSGVSWDLRRTQPYEVYDRLEFDIPIGTN GDCYDRYLVRVAEMRESNRIISQCVHWLRNNPGPVINDNHKITPPKRNSMKSNMEELI HHFKLFTEGFPVPEGEVYSAVEHPKGEFGIYLVSDGANKPYRLKIRPPGFVHLQSLDE MSRGHMLADAVTIIGTQDIVFGEIDR MIM_RS12895 MTRLETLEQALRAQFGELESFSVTSAHGELNLEIPAEKWIETCR FLRDDAAFRFESCIDLCGVDYLTYGQPGAHAARTFPSRFAVAVQLLSLTHNWRLRVRA WVPNDDFPVVPTLVDIWPAVNWFEREAFDLYGIVFEGHPDLRRILTDYGFIGHPFRKD FPLSGYVEMRYDEASQRVIYQPVTIEPREITPRVIREEGYGVGR MIM_RS12900 MSSEQNVLNKQGFLVTSTDAVLNWVKTGSMWPVTFGLACCAVEM MHAGAARYDLDQFGIIFRPSPRQSDVMIVAGTLCNKMAPPLRKVYDQMAEPRWVVSMG SCANGGGYYHYSYSVVRGCDRIVPVDIYVPGCPPTAEALVYGLLQLQNKIRRTNTIAR EA MIM_RS12905 MSIAEYFPVLLFIVVAAIIGVALLAMGSFLGPRQPGTEKDSPYE CGFEAFEDARMRFDVRYYLVAILFILFDLEIAFLFPWAIANGQIGLVGFWTVIVFLTV LTVGFIYEWKKGALDWD MIM_RS12910 MKKTLLAAALVTGFAGVAHAETSVTLYGLVDAGIGYSQTKVTQG DAFTKTRDIGLINGVKNGNRWGLKGTEDLGNGTSAIFQLESGFDLGNGRSSQGGRLFG RKAIVGLTGESWGTLTLGRQYNVADDFISPIDPFGTGFGQAGVTDGAFGDSPSARMDN SIKYMTPDFAGFKAAIGYAGKNTKTTSEDFFGNEGETRDTSNWITAGLAYNNGPIAVA ASYDRFRSNARGIDEDTGLAWETKGTTHMWNLFGSYDFEVVKLHLGYGQIRGSVANDV VSEAGVGSVGLNSALADFAVRSDGLNYTQTNGYRQQSWMAGLSAPVGDDGKVLFSYQG NTSKNTGEAFDGVKGKLHLFSLGYVHNLSKRTSLYAIASYGTGKLKFDNQENVKLKST LVGVGMQHRF MIM_RS12915 MSIQLVVPQMTAPAERTGVVGGEAAGDPVSEETRSPRYGNEDTG SALLQAALTRENLQAAFKRVRANKGAAGVDGLDIDQTAHHLVSAWPAIREALLTGKYR PSPVRRVMIPKPDGSQRELGIPTVTDRLIQQALLQVLQPILDPTFSEHSYGFRPGRRA HDAVLAAQSYVQSGRRIVVDVDLEKFFDRVNHDILINRLQKRIADAGVIRLIRAYLNS GIMDSGVVIERHEGTPQGGPLSPLLANVMLDEVDKELERRGHCFVRYADDCNVYVRSR RAGERVMNLLRQLYARLRLKVNETKSAVASVFTGRKFLGYSFWMAPKGVVKRRVATKA VMAFKQRVRQLTRRLGGRSMQDVVDRLRAYMLGWKGYFRLAQSQKLWQTLEEWIRHRL RAIQLKQWKRGKTMFRELRTLGASVTVAHRVAANSRRWWRNSGKLLNSVLTIAWFDNL GLPRLS MIM_RS12925 MAFKLKLKHVLAAAALSAASLPVMAAECSIDVEANDAMQFNTKE IAISKSCKEFTINLKHTGQLPKAAMGHNLVIAKSADMQGVETDGIAAGADKNYVKDGD ERVIAHTKLIGGGESDSVKVPVDKLTGDDVFFCSFPGHGSMMKGVVKLVD MIM_RS12935 MPSFIYPLLAVVQVLSALTVIGLVLLQQGKGADMGSSFGGGSAG SLFGATGAANFFSRATKWVSILFFACTLGLAYIGNNTGRTSGPATGGGVMQGYEAPAP ATTPAPAAQPQADLPVAPAPAETPAAPSASGAPTAPSATPAAPATQEQSGATPAAPAT ESKPAVENTTPAAPESQQGANTDTKQ MIM_RS12940 MSVRRRLVIGNWKMNGSKAENQQLLSDLVKLQNTAASGEEAAEL AVCAPFPYLQQVADILKDSAIGWGGQDASEHASGAYTGEVSVAMLAEFGCAWAIVGHS ERRAYHGESSDVVARKAAAAIAGGITPVVCVGETQAEREAGNTLQVISSQLEPVLALG ADAVAKMVLAYEPVWAIGTGLTASPEQAQEVHAHIRGLLVQAGAPEQRILYGGSVKAA NAASLFAKEDIDGALVGGASLVATDFQGIASA MIM_RS12945 MKAVEISTPGGPEVLKLVEREKPVLKQGEVLIRVTAAGINRPDV FQRKGSYPPPPGASDLPGLEVAGEIVDGDVAGTSLKIGDRVCALTPGGGYAEFCVAPA GNCLPIPEGFTDVEAAALPETFFTVWTNVFDRGALSGDETLLVHGGASGIGTTAIQIA RALGHKVFVTVGSDERAAAVEALGATRAINYKTQDFVEEIKKLTNDTGVDVVLDMVSG EYINRNIKCLADDGRIVIIAQLGGSKATIDTAQVMRRRLTITGSTLRPRSVAFKTQIA QALQQKVWPLLSAGKIKPVIHATFALAQASEAHAMMENGENIGKIVLTV MIM_RS12950 MKKIIACIDGALHTLVVCDYAIWASQHLNSPVAFLHVLDSHPEQ ASLVDLSGMIGFDAHDKLLSQLSALDEQRSRLAQLHGKNILEAARKRAQQAGLTAVEL RQQHGVLVQSLQDSQFEARLIILGRHEQAKGAHRRYFDHNVERVVRSVDLPVLAVSSV FRPPGRFLIAFDGSETARNMVERLAASALLTGLQCHVLCVSEDAGQAGLQMDWVRSVL TATQFEPIMAVLAGVPERVIVDYILSRGIDLLVMGAYGHSRIRELIVGSLTTTLLRTS PVPVLVMR MIM_RS12955 MFTKNTIKQDWFSNIRGDLLSGIVVALALIPEAIAFSIIAGVDP KVGLYASFCIAVVIAFTGGRPGMISAATGAMALLMVTLVKEHGLQYLLAATLLTGVLQ IFAGALRLGLLMRFVSSSVVTGFVNALAILIFMAQLPELMNVTWVVYVMVAAGLLIIY LFPFITRTIPSPLVCILVLTAISMVLGLDIRTVGHMGELPDSLPIFLLPDVPWNLETL KIILPYSAPMAVVGLLESLMTAAIVDGLTDTSSDKNRECVGQGVANIAAGFLGGMAGC AMIGQSVINVKSGGRGRLSTLTAGSVLLILIVFLGPWVKQIPMAALVAVMIMVSIGTF KWSSLRDLTRHPKSSSIVMIATVVVVVATHDLAKGVLVGVLLSGIFFAHKVGRVLSIT SVKTGGVESTTYVVAGEVFFASAQSFMSKFDFKEVIEEVIIDLRSARFWDITAIAALD SVILKFRREGAVVTIQGLDQASATLVDRFAVHDKPEGVGGRANH MIM_RS12960 MKPNNGTIDDTSLILPENVQRGNIWRLSIAQALAGANSVVVYAT GAIVGENLAPSPVLATLPISIFVVGMAACILPVGKIAQRYGRRAAFLVGTGAGVLTGL LAMLAVIQGWFWLFCLATFFGGGYAAVVLTFRFAAADGVEKGRRARALSLVMAGGVVA GVIGPQLVTWTMDLWEPYKFAATFLVQAVVAALSAVLLLGVRLPAPTVTEQAGGRPLS VIASQPRFIAAAVSGAVAYMLMNFLMTSAPLAMHICGHSQEDANLGLQWHVIAMYAPS FFTGNLITRFGASRVAAVGLLLTGVSAAVGLGGIDVAHFWGTLILLGLGWNFGFLGAS ALVLECHWPEEKTRVQSLNDFIVFGLMAAGSFASGGLLSAYGWNMVLWVSFIPLGLAV VALVLARRTSAPALAVQNEGR MIM_RS22175 MKKILVIFLAALGTLAGCVGYADDGYGRYSDRYDNYGGGYGSGY YGSGYRSGYRNVDYRRNDRRDWERRQEARRDAERRRDRNDWRDRRDHDRGNNWNSRRD HDRPSWSSYNRTQRGMESANRKLEQMRNKQSR MIM_RS23515 MVNAFSRYETGKTTPPLAPAQLLKLLQSHPELLEEIKQV MIM_RS12985 MWHFSWVEPKTIQKPQTIQYSHCSLYCFKSEQFIKNSDLEKYII RGCLIDEKTLMSAALAFGFTGVAHAETSVTLYGIADAGIAYRQTKVTQGDSFTKTRDV GLLSGVKSASRWGLKGAEDLGNGTSAIFQLENGFDIGTGRATQGGRLFGRLAYVGLSG SNWGTLTLGRQTNVAADTVSSLNPFGTSYGQAGTLNGAFGASVFARMDNSIKYLSPDL AGFKVGVGYAGKNTKTTGSDGFEDFEERNTSNWITVGASYENGPLTVAASYDRFRTDY RNSNDEDLKGTTHLWNLFGAYDFDVVKVFLGYGQVRGSVANQVIVENGAGSTGLNATL NDFTTVTNPGSAHYGLNYAQTNGYRQQAWVAGLSAPIGDDGKILFSYQGSATKNTAEA FDGVKGKLHIFSLGYDHKLSKRTSVYAVASYGSGKLKFDNAENVKLKSTLAAVGLNHR F MIM_RS12990 MKKYFIEFTIAMVCYAALLLLSVFLLKEMDSASLFRVPVALLPM IPAIGICWVVIRQLRSMDELQRKIQFEALSWAFGLTAVTTFSYGFLETIGFPKLSYFL IWPVMAAFWIVALSASKKRYS MIM_RS12995 MNNIIKQLRAEKGLSQADLAALLNVSRQTVISIENGRYDPSLPL AFAISRVFGMKIEEIFQE MIM_RS13000 MTSHALYTFLDLCGTFAFAISGAVAARQKGLDLFGIAAVAFMVA CGGGIVRDVCIGAVPPVGLSDWRYLAAALLASALTIVARPLVDRMDKPVMFFDAIGLG IFAVAGAQKSLAYGHNAELAILLGVASAVGGGIMRDVLLSRIPAILTREIYASAALLG AIVQVGFFYSGIATWWTPWVATAVAVFIRLASLYFGWGLPAFQKRQRLRERHDN MIM_RS13005 MSKMIESMSKAIDALLPELEALYKDLHQHPELSMQEFRTAKIVA DYMEKLGYEVTREVGVTGVVAILRNGEGPTVMLRADMDALPVAEATGLPYASTVTARD EEDVQVGVSHACGHDLHVTWLLGAARLLIEHRDSWSGTLMAVFQPGEEVGRGAQSMMD DGMKERFPKPDVILGQHVMVGAAGTVGYRSGPILSAGDSLKIKLFGRGSHGSQPQTSI DPVIMAASTTLRLQTIVSREIAPSANAVLTVGALQAGTKENIIPDDATLKLNMRTFDE DVRGYMLGAIRRICCAECVASNAPRDPEFTTISSYPLTFNHAETTARLRTAFDAHFGE RAYETQPAAASEDFSIFGREWQVPYAFWFVGGTDPEVYRKAVAEKKVNEIPSNHSPKF APVLDPTLRTGLETMLCAAEAWFSPEDNA MIM_RS13010 MNLKQLDTFLLVADMQSLSRAAIASDMAQSLVSRQIAMLEKEWG KRLFDRTGRGMVLSDFGRRMYPEVKRILDQVEQLGATASQAAGILTGTVHIGVLPSLA RQLLPLLLADIRERAPQLRLHVTEGFSGSLDEQLASGRLDMIVVNRYGSSASRGEDVL GKLDTFLVGKAGLPELAAKSLSFHSLDGIPLVVPAVPNGLRATLDVLSRRHDTKLNIV MEVETGTAMKDVAAGGHAFTLLPLTAVAQEVAAGELQASLIISPGIRRTIALSVTRQR PLSEGARLVSSRLRLLTSALLTESVID MIM_RS13015 MKLTHCLAAACAATVLHTSSIAGAQTPAYPTHPVNLVVPFSAGG PTDAMARILAQKLGERLGQQVIVDNRGGAGGSIAAELVARSKADGYTLFFGTTGTMAI NPSLYANLRYDPIRDFAPISLMATTMNVLVVNRQLPVKTLGDLVKLAKAKPDELTYGS AGNGSSNHLSGELFRTTADIRINHIPYKGSAPALVDLLAGRISMMFDTIAQQTQNIEA GKVTALAVTGPARSPLLPEVPTAQQAGLDGFDVTIWYGVLAPHGTPETIVNRLQQEIA DIMATDDMKARMQKDGAAAQSTTSAEFADLIKNDTAKWAPVVKNSGASLN MIM_RS13020 MTFSPDPRLRNALAPRSIAVIGATEDPNKVGGRPLHYLKRCGFS GAVYPINPRRKTIQGMQAFASLDELPDTPDVAIIAVGHNAVGDVIEQCAVRGIACAII MSSGFGETGSAGVARQTELVAQAQRLGLRLVGPNAQGVANFQTGAIMNFSTMFMEIEP ADGPVAIISQSGAASVMPYAMLRERGVGVRYLIASGNDADASVCELALAAAVDPAIRV VLLYIESLADPAMLAETARLANARGAAVVALKAGRSTHGAKAAASHTGAMVNDDIVVD AFLELHGIWRAADVHDLINAVELYLTIPPQPADSLVVMSHSGAVGVLCADAADTLNLS LTELAPSVVHSLAGIMPSFATAQNPVDLTASLMTQSGMYAATLNTLTTDPHADMFLIG VPVAGPGYDVPGMAADTAAFAGNTGKPAVISAPQASVRRIFRETGVPVFAHETDAIRA LHQWSRHGALIQQAMVRNQLETTTASPLVLPNRTNRFLSEAESLAILARADIPTVPYT LCHNVDEAIAAWRALGPDIVMKACSAHIPHKSEHGLVFIGLKNEQQIIDSWHQCAQRV AALQVPFDGVIVAQRVSGRREFALGVRQDTTFGTVVMIGDGGKYVEALRDFVVLLHPF SKDDVIAKMQTLRIAPILAGVRGEAPIDLDTLAHTAVALARLAASHPQDITSIDLNPL IVGDMGSQGWAVDAVIECNKEQG MIM_RS13025 MTPQSTVLAEYLDDIAVIRLHRPAAMNAVNASLRTALTDTLYAL EANETIRAIVLTGSGERAFCAGQDLEEAGTINTGNLADWLNRQHAMYQAIRDMSKPIV AALNATAVGAGFQMALMCDLRVAHPALRMGQPEVRAGLASIVGSYLMSLQIGHSLNQE LSLTGELITGERAHALGLVNDLVAADQVLPRALERAAALAALPRTAFRLTKQRFREST QAGFDQACNAGIRYQLECYASGEPQQVMQTFISRRTSSNPAHPHKD MIM_RS13030 MLQQDHLFINGSMVAANGEQALPVTDSFTEEVFASYRSASHDDV HSAVAAARAAWPGWSATPLVERVAAVRRVAAALTEQAEALTTAISREVGMPRKLAARI QVGAPIAAWNNYADLAAELAWETRVGHSLVQLVPVGVVASITPWNYPLHQITGKVAPA LLAGCTVVLKPSEIAPTSAALLADAIQQANLPPGVFNLVQGIGPDIGEMLISHPDIDM VSFTGSTRAGSHIAGVAASQIKRLSLELGGKSASLVLPGSDLPTAVKTTLAGCMLNSG QTCSATTRLLVQRNALPEAEQILQELIGSYRMGDPADTATRLGPLVSAQQRKKVQAMI DDAVAAGARPVGAKQPVPDRGFFIPPTVLADVTPSMTIAMEEVFGPVLCLMGYDDIEE GIALANGTEYGLAGTVWGPATPDTLAVARRIRAGQVDINGAPFNPAAPFGGFKKSGIG RENGHHGIREFLEPVAIQLPAALLESLPE MIM_RS13035 MTYETLNYAVDDAVATITLNRPERMNALTKVLEAELRTAIEAAG RDNAVRSIILTGAGRAFCAGMDMDELEVLPPEDIRAEEWMRSYDMNRRADYQTRYSYF PAAPKPIISAINGAAAGLGLIMALYSDFRLASDKAVFATAFAQRGLIAEHGIAWILPR IVGHANAMDLLLTSRKIDAQEALQIGLVHQMVPADALPDAARQLAHRLGNDVSPRSVR IMKQQLWEAPFQTLGDAISSANTEMVMSLRSEDFKEGIAHFVERRPARFPGN MIM_RS13040 MLVNIPDLLSADVVMQLRKQLGLGQWVDGRGTSGYIAASQKKNL QLAETDPQAISVGNHILDKLSQNARFISATLPLKILSPMFNAYASEQEYGFHIDNAIR VDPHTGERIRADISATLFLSEPDEYEGGELIIQDTYGEQRVKMPAGHMVVYPSTSLHR VTPVTRGKRVAAVLWIQSMVCDDAQRAMLFEMDNTIQQLAGELGEHSSVVSLTGIYHN LVRRWASV MIM_RS13045 MNNPENSPSATGPALLGKESFAPAFKRRLAPGALITGAMALAPV YAQQATVTEAAAAPGIHAGTLAPVVAADEAGYQARQVQTRKFGATLQETPKSITVIPE QLMRDRGASSLADVLRTTPGITLGSGEGGTPTGDRPFIRGYEASTDIFIDGARDYARG SHETFNVESVEVVKGPSSVLSGRGGTGGSINLVTKAPKLDNFFDVTAGYGTSGQWRTT IDGNYQFSDSGAIRLNAMKMGGEVPGRDGVDIDRWGVAPSIAFGLNTPTRLTLSYSHI ENNDMPDLGVPFSNAANPERVTPPKVDRDNFYGRHNVDFRENVTDTATAIIEHDINSN FTVRNLTRYGRSLNHYLMTRPSFDNCAAGAGAPCADEGPGAQFTRLDRARWRSSESLV NQTDLYGTFYTGSVKHNLTVGFEFGKEDVYSKTMSGTPITSRAGELDSLYSPDPGRDY SYNLIYGPKEKDGDIKTRSLYLFDTLELNPQWALNLGVRFDQFRVQNSTDSRKDSFVN YQAGVVYKPVPYGSIYLTYSTSSNPSGENLGQNGGADGAAGAAQIRDLKPERSRSWEL GTKWDLLDQRLSVTGAVFQTDKTDARTTDPLTGDVTLGGSNRVRGIELGVAGSITPKW DIWASWSWLDPKIKEYRNGQNVYDGNQMKFIARQSASLWTTYKVLPQVTIGGGATYMG KRYVDDANVYRLPSYWRYDAMVRYDVNSNLSFQLNANNLTNNEVYDASHVGIFANIGP GRSYMLTASYKF MIM_RS13050 MTSSATQTLPPLRTIPPQIASVTDYERYAQERVSEANWAYLNSA AADQITRRDNRQAWDAIRLLNRNLMAMDGGNTELELFGERYAYPIFIAPVAYHRLVHP DGELATALAAQAMQAGMVLSTLSSISLENVATNTATPLWFQLYIQPEKKYTQSLVARA EAAGYKALVVTVDAPVTGMRNAEQRAQFVLPKGVTAANLADMPSPDVCTAVPGTSPIF GSGMVQALPTWDDIAWLVSITRLPVLVKGVLNPKDAQDALRVGAAGIIVSNHGGRVMD TLPATIEALPGVAEKVAGRVPILLDGGIRRGTDIVKALALGASAVLVGLPIIHGLAAA GAPGVAHVLHILRTELELAMVLCGCKNLGEINKEVIWQT MIM_RS13060 MIPLITAFALSPDQGKGLARDARVRWALEEVGQPYDVRFLSFQA MKEPAHMALHPFGQIPTYEEGDFVLFESGAILLHLAEHHAGLLPDEANARMRCIGWIF AALNTVEPPLVEYTMARLFERDKPWYEARNVMLEDRLRVRLRQLSERLGDAQWLEGEF SVGDLMMVSVLLRLRSSCILNDYPNLAGYVARGEARPAYQRAFEAQLAVFLAASKG MIM_RS13065 MTQTASRFSPGLRSAFEAALIMAIGMGFGRFAFTAIYPHMVEEG LLDLQAGSLAASANYAGYLLGALLAIWARPHHAHRLCLWSTAGTVACLALLAVVDSVI LIVVLRGLAGVFSALAMVAASLWLLAHRGQMHRAPLLYAGVGIGIALSAELLAAGAQF GLHSQGQWLLLGVVSLALGLIAAPGLIAGATAQPKGVAPASAFVPNEVKPLPLVAIYG LAGFGYIITATYLPLLVKSAIPDMSATQVWAVFGLSAVPSCFLWHYWHRQRGTRAALA TNLLVQAMGVALPIVWPSAAGYLLSAVLVGGSFLGTVTIAMPAGQRLASQGRGNLIAT MTVVYSIGQIVGPLVASAIFAVTQVLALSLLAATAALLVGVVLSLSRL MIM_RS13070 MNLESLRIFIAVAADSSITQASARLGRAPSNVTTRIQQLESDLG VPLFVRTGKRLTLSVAGERFLDYARRMLMLADEARQVVTGGEHGGVLHIGCMESTAAS RLPAVLAAYHVDFPGTELQVMTGTSGSLLEQVRTGGQDCAFAALPSALQGAGALAELG LSAKPIWRESLVLLLPESEAGVNAPAQVRTRSLAAFKAGCTYRAIAQEALGVAEDPEW KVQELGSYHGMIACVAAGACVALLPESVLSLTKTPTGLKTLPLGHADTCLVWRTGYDV PAFQHLLEQLDGVPV MIM_RS13075 MTHSHSFTARLDIQPIIQAPMAGVSTPQLAAAVSSAGGLGSLGI GASTATQAALMIDETRALTSRPFNVNVFCHAPAARNVQREDAWLRHLAPLFAGVDAQT PIALDEIYKSFIDGTEVFELLLQKRPAVVSFHFGLPSMEQINALRAAGIFTLATATSL AEAASIEASGIDAIIAQGVEAGGHRGVFNPDGPDEQLGTTALLSLLLKHTKLPVIAAG GIMDGRGIQAALAAGAAAAQLGTAFVLCPESSANTAYRSALKSEAAATTRLTSAFSGR PARGIANSFITHCEKSGSPRPAAYPVAYDAAKQLNTVAAKQGNNSFAAHWAGTGAPLA RALPAAQLLATLIEEMQQAQQTVKHQ MIM_RS13080 MATEYIAPVEEFVFLLDEVIGPELEQADSDLSGDDVRQILDEAA RFFQEVWAPLDTVGDEQGCVFRDGAVTTPAGFRQAYAAFLDAGWNSVAAPAEFGGAGL SELIGQAVREFASSSCNSLGLYSGLTNGAHATIRRNGAPWMLEHVVPFMVAGKWTGTM CLTEPHCGTDLRLMTTRARPEEDGTYRLTGTKIFISGGDHDLADNIIHLVLAKLPDEN GAYSNDLSSVSLFLVPKFKVDPISGALGAANGVQVGGVEHKMGLKGNATCTLNFEGSI GYRLGRSDTGTCTSSSGMRGMFEMMNSARLGTGLQALASAQRAYTHAANYARERLAGR AGNPADRSGAPADPIIVHPDVRRLLIKQASFIEAGRALGLLVRGWLDEAGSGERARRG AVGRFLTPVIKAFFSDRAFESSNDAMQLMGGHGYIRENGVEQLVRDGRIFQLYEGANG VQALDLVLRKLGADNGVAFFSFLSMVREAGQNIGPDSSLLPHADALMAALQILEECGR WFLDDSRDAYDAGASSYDFLTMIGIFSCGYMWLLMALRAEARLRSSPQDAFSQRKLHL AQYWFDRELPMIASLKVRVQTGHACLMGLPAELF MIM_RS13085 MTNEINTPEVTLTIEGHIAVISLNNVAKKNAITPELMMQLSQHL TTFDDDDSLWVAVLDPAGEHTTAGLDMPKFFGPTATAKPIPATQVDPFGLKRRCKKPV ISVVHGITYTIGIEQMLASDIVIAADTARFCQLESKRGIAPLGGAHYRYLTRTGWGNA MYHLFLCDEFDAQEAYRCGFVQEVHPFGKHRERAMEIARLICKVAPIGLQVTKQAALT FIEHGEKTAIDIVPSIREKVFATEDFKEGIKSFVERREANFQGR MIM_RS13090 MNRRTVNKALLAALVSPGWSARALAKASLPDNKPLHILVPFNAG GTTDVLARAIAQGMSTLLKVPVVVENKPGASGTIAAGQVARARPDGTTLMLTTGSTAT LIPHTISIKFDPLSTLRSVAVVGRTPLFLYAHPSIPAKTLPDLVQWIKAHPGKIAYGS YGAGTQGHFGGLILDHEAGIQMDHIPFSGGGPALQALVGGHIQLIFDAYTPGMEQVKA GHALALGASSPERSPYAPDVPTFRELGYPALEAISGFFGVFAPVNTPTEIVTLLNTTI EQVAASAEFRERLPMLGVLPPAAMTPEQMDAYVRKQNADWAQLVTKLEYKPGA MIM_RS13095 MNNISTHVSDGVLNVVFDRPEKKNAFTADMYAALIDVLQQAQDN DAVRVLHMTGAGSDFTSGNDVSLFVQAGTPGTESVASGFMKALLALTKPVVASVQGYA VGIGATMLIHCDHVIVAADVKLRFPFVDLGLSPEFGSTALLRSFVGRRRADEWLMLGD MIDAQAALDAGFVNAVVKSEKLQETAQQIANQYAARPALALQETRKLLRMQHTEQALE RMAIESPLLDRLRRSEQAQGIFRQFLNRSGSKRT MIM_RS13100 MSIPNSYWTTERLLTTQDIERFEQVPLEERGLPESTYQMLMDGC AINPDKVAVQEFSDGVRPLETSREVTFAGLRRKIHQTANLLFELGVGSSDVVSLLMPA VLESQYVLWGAQAAGIVNPVNWMLESDIMAHTLQAANTKVLIVYGGDEYTQIWHKLEA VVPRLAGLTAIIRIGGELPGPQQACGIPVIQYESVIESYEGDYLVSGRKFGRNEIAAL FHTGGTTGAPKLAPVLHRNQVFWVWASALLTGFADEEVRLIGVPIFHVAGCVAGCFSP LARGSRLVLMTSAGYRHPSVVPNLWKLIETFRATTVNLVPTLVNQLLSLPINGADLSS LRYASSSTAPLSVTAAETFYRLTGVRIRESYGQTETTAVTFITPPVDKIKVGSSGLRL PYQHVRIVQLDRDGSIARECEVGEPGLVLVRGPAVFPGYLGPRKAEHWFEGDWLNTGD LGYLDDEAWLYITGRAKDLIIRGGHNIDPKMVEEALFAVEAIQDVAVVGAPDRHAGEV PVAYVVFRPDASSDPQHILQHAARHVPERAAVPKHCYVLEEMPKSSVGKILKNQLRVD AARRSYLDVLHAALPDVALDVSVQDKGAAGLLVEVQVNGPGQTCHADIEQVLLGFSHP VSIRSTYGE MIM_RS13105 MNLLKGRWFVGASMVLMACMANTSVQAQSAVAGVHSLIVPYPAG NAFDIVARRIQGELGEKLGTTIVVENVSGASGSMAATRVLNTPSKNLILLAASPNELT LPPLIITSAHYKPDDFRMIAQMTSGAFALITRPDYPADSIDAFIRDARKPGHKPITYG STGVGSIFHMVGADFGKRLGIPVSHIPYRGGAPIIQDLMGSQVDMTFLPVTPSTLQME RAGKLKILTILAERPNPMLPKVPTIDVVPELKGMHYALWTGLFVPASTPQVVAEKINA ATSEIVASKDFREWVAERGNDAGQVMNLQEAAAFYTAESTRFTDLARRINLSGK MIM_RS13110 MGSIKKAFDLTGRTALITGGSQGLGLQIAEALGEQGARILISSR KSEALQAAQERLSKQGIQVDWVAADNAKDEDIQRLVQEAMSRLGRIDILVNNAGATWG APAEDHPVPAWDKVMNLNIRSIFLLSQLVGQKSMIPNRYGRIINLASIAGLKGNGPKS TGTLAYNTSKGAVVNFTRGLAGEWGKYGITVNALAPGFFPSKMTKGTIEAVGEEALAA RAPLQRIGDDDDLKGAAVLFASDASKHITGQILAVDGGLTAI MIM_RS13115 MQEAVIVSTARTPLAKSWKGAFNMTHGATLGAHAVRHAVERSGL DAGEIEDCIMGGSLLEGTTGGNVARAIALRAGLPVTTAGMTINRFCSSGLQSIAMAAQ RVMVEGAPAIVAGGLESISCVQNQANMFMRRDPWLQENKPELYWSMLQTAETVAKRYG ISKQSQDEYGVRSQERAAQAQAQGRFDAEIVPMTTVMAVTDPVTGRVTTREVTASADE GIRPGTTLEAVSRIRSALPGGVITAGNASQFSDGASACVVMNAELAKQRGIQPLGIFR GFAVAGCEPDEMGIGPAFAVPRLLERAGIRVEDVGLWELNEAFACQVLYCRDRLGIPD DRLNVNGGAIAVGHPYGVSGSRLTGHALLEGKRRGVKYVVVTMCIGGGQGAAGLFEVV MIM_RS13120 MATKYTVTDSVAVITIDNPPVNGLGYAVRVGLINDLDRALADEA VLAVVVTGAGKVFCGGADMREFNSPKTGQEPGVNAVIAVLENSSKPVVAAVHGVAMGG GLELAMGCHYRVALKQARVALSEVTMGLLPGAGGTQRLPRLVGLELATDMITTGSSRS AASLADSGLFDKVVDADLLTEAIQFALDKASQPAPYPRVRDRKVEHANAQAYLALVAA GLQARKKHLPAPQRCVEALLAATQLPFDEGLALERRFFLELMATSVSKSLRHAFFSER AATKVPGISDTAQARPVNRVGVVGAGLMGAGIAANFLSAGIPVLLNDRDQESIDRGAA TILRNYETSARKGKLTTAQIDQNMALLTPAPDLAALADCDLVIEAAYEDMAVKKDLFH RLDEILKPGAILTSNTSTLDMNEIARTTQRPQDVLGLHFFSPANVMRLLEIVRTDAVS DDVLVTAIEVAKRIGKVPVVSGVCDGFIGNRMLAEYSWQAASLLHQGATPEQIDRALE KFGMAMGPYRMSDLAGGDIGWAIRKRRYAEKPDARRFTVSDRLCEAGRFGQKTGGGWY DYAPGDRTPKPSAVTRQILETYWKEQGITPRQFSDEDIVARLMYALAHEGARILDEGI ALRASDIDAVYLHGYGFPAWRGGPMFYSDTVGLFNVRRSMRGWAKEDSWWTPTTLLES RIEAGQRFND MIM_RS13125 MPQNAIDHRSSQDNSGIDQFVDDRRFAQSLARGMCVLRAFRPDD GPLGNQELASRTGLSKATISRLTFTLAQLGYLERLHALEKYRLGAGVIALGNTAHATL PFLDGAAPRMQEMADELQALVAIAIPDGQGMLMTHSWCPHDSSTSWLRTGTWIPMKRS SVGLAYLASINTRDRHHLIRTRLTNSTEEMSRLYDEVEEARSSLSTNGYVPSFGRWNT SLYAVATPLRSPRLGAPYIFFSGVPADAIEPPFLTKVIGPQLARRVSQLGLM MIM_RS13130 MRVVNGIEELRQLTGQNIGVSDWMWVTQEMVSQFAQATGDHQWI HVDPERARRESPFGGPVAHGFLTLSLLPALMGSLISVKGIKLGVNYGMNKVRFTSPVP VGKRVRIQVSSRQVDVDAEAGVANLIWNVVFEAEDTPKPVCVAEALSRYYF MIM_RS13135 MATSTRTPLSSKENLLKHGMRRFYATGYNGTSLDAVLVSAQLPK GSFYHHFSSKEAFAMAVLGEYHKGSAQRLQRWATNLDIPAPERLRGYMEEMAFGLERG GNRQGCLVGKFSLEMAPTSEKFSTLLSTMLSSWRASVQNILQEGQAAGTIRNDLPAVA LATLVLSSIQGAVVLCLAHKNSLPMHETCATVYRLILPPAVTSGE MIM_RS13140 MKPLAIVTGVGPGTGSAIVRRFHEGGFAVAMLARNVERLADLEN ALPDAFAVPCDVSDPAALQTALAQIEACAGVPEVVVHNAVGGAFGTFQDIDPAILERN FQVNVMALLHLARWAAPRMAAAGKGALIATGNTSSQRGRAHFAGFAPTKAAQRILAES IAREMGPQGVHVAYLLIDAVIDVPWTRERYPDKPDDFFIRPRDIAEEIWHVAHQPKSA WSFLTEVRPFAENW MIM_RS13145 MFFDYRSPYSYLAHCQLQRMPVAIDYHPFDIRHLMEQVGNVPTT VTCKAKGQYAKADIQRWIMRYEVPFQRHSNMRAIDFLQLLRLTVFAIHQGKGPAVITA LYDAVWASDTPLSRPEEIAAAVAGTGLSIDEIEAGLKDPAWNEVLDASTQTAVDKGVF GAPTMFFGDEMYFGNDRLHFIDEKLKELV MIM_RS13150 MHAPYYLEDLTVGQRFHSETYCLTEEKLIAFASEYDPQPFHLDK TAAAATLFKGLAASGWHTAAITMKLLNSGGAPLAGGIIGAGGEIEWPRPTRAGDILQV FSEVIEIIPSRSKPQQGLVRVRSETRNQRAEVLQILTAKLIVPRRPQLQSAVVD MIM_RS13155 MRCPIARSLEHVGEWWNILILRDAFRGLTRFDEFQKSLGIAPSM LTRRLNALVHAGLLARNRYCEHPPRYEYVLTDSGRDFKPILVLLQEWGNRHFSPEGAS VVTINRQTGALAQPLLIDSISGLPIDDEVFTTEIRAPARNTPDTN MIM_RS13160 MRLIHCTEDRHASAILDIFNHAILHSTALYDYKPRAPESMKSWF ETKRRNDFPVLGIEDEQGKLLGFASFGTFRAWPAYKYSVEHSIYIHHECRGLGLGKRL LSSLIEEATARDVHTMIGGIDLSNQASIVLHERLDFEPAGVIRQAAYKFGQWLDLAFY QKLLQTPLNPNET MIM_RS13165 MTDTHFKDHFSTGSASYAAHRPTYPLQLVDELARISPSTQLALD CGCGTGQLSVLLAERFARVVATDASAAQIEQAQPRQGVTYRTALAEDSGLPDASVDLV TVAQAAHWLDLDKFYGEVRRIARPDAAIALITYGVLHVEGEIDELVQHFYYKVIGPYW PAERRHVEEGYRGLDFPFQERAFPALEIEVSWKLADLFGYMTTWSAVKAATKALGTNP LVSFEAQLREQWGNAEEPKRVTWPLSLRVGNVAITTE MIM_RS13170 MTSKAKPGLGELLRYVGELVDEGAEAQYRAINLTYRARYTPVMR ALKAGAETISDITASTYLTQGAISQSVLLMIEDGLLERHTLEDGRKTGVHLTAKGKAL LKRLEQHWQVTFAAISELETEIGFPLLKVLESTALALERKGFSARLESAKSSLGKK MIM_RS23215 MTDIFRKDPVYAAALLNDILNDGVQTELMIVLRQMKQAFCDVPE VAELANKT MIM_RS13180 MAYRSTMGMLKILHTEAAMTFGGQEHRIYKEMLAMRERGHYLEA VCQPGAHLIARLRDQGFVVHEVAMAGLKNYLTGVKKIRKIVWRGQFQIVNTHSRTDTV VAALAARLARAPLIVRTRHLGKAPGSLLSYTILPHRVIAISQYVRDQLQSRGVSNEKM GLVYTAVALPDGAVASSIRQELGIPTDAIIIGSVGHMRIPKGHDKLIAAALPIIKTMP NVHLVIAGRGEPLLSQLQAQVKEAKLGHQIHLLGQRNDVPNLLSGFDIFALATEAEAL GTAYIEASSYGLPLVGTDIGGVPEIIEHGRNGFLFPLKDITALEKTLHHLVSNAQLSQ EMGNNAKAMFEQDPRWKIEYMASETEAVYRKWLHERT MIM_RS22180 MARACLVDAGGALERGVPDYRKSQDDPHYEVRVVNPPESLNQWM KQGAELGLSRSGTVRVWLCGKIIAAESEGDCDVHCARQLTEEEVFYATVYLFSIGQRW SDPDQGEE MIM_RS13190 MTTTDVEHRPGYAQGIQGSDLMKNRQAHAERFKAEMIADHIARA DPSVRPFRLTGEVGRFTFEYCAGGCVRSMK MIM_RS13195 MNTPVSALSLAILLLATMTVAGATEPDSNPPEVQVPGIAVRADR ALQITPYLWAAELYGRISPFRRGPTIGVDKPFSEVLDSLKFGGFIDVWARSGRYVLSG DLAYVDTKENKSFGPLPGIGPLPPGIHGAGRVDSKLFTATLLGGYRVVDTPDWTLDAL AGLRVWHVSNKVRVSALEQSRSYKESFGWAEPVLGVRAFTNLSDQLSMQAQANIGGMG IGSRFAWSAQATMNYIINKNFSISAGYKVLDVNYNRNEHVYDTRLHGPVLGLTYRF MIM_RS13200 MAVPSVQACTRLVYHGLQGEVITARSMDWKTDVGTNLWVFPRGM QRNGEAGPNSIQWTSKYGSVIASGYDISTTDGMNEAGLVANVLWLVESSYPKYDGKSP GLSIAAWAQYALDNFATVQEAVETLEKQPFTVVTDNVPGQDRLATLHLSLSDASGDSA IIEYIDGKQVIHHSRDYQVMTNSPIFEKQLAMEEYWKQIGGTVMLPGTNRAADRYARA MFYVNAIPKSEKAVEAIASVFSVIRNVSVPYGLTTPNEPNISSTRWRTVADHKRKRYF FESALTPNVFWINLEKIDFSEKTGQVLKLDLGPEQSNIYSGMANSQFRPAKPFKFLGL MIM_RS22930 MAKQLDANYLAFCLSLPVESIYSFAVLIQHGIKFVFEYANAGVY TAGMDALKSPAKINDY MIM_RS13205 MTIENFNMNVVLNSGADSATDSINEAQTPQALIDALFLANTTVI RNRVDESCRTFFEASDAIDEMGIEGIRSKFMQCDAPTQGTTAEAYLDMFDNEVLPHCS HLASPRYLGHMTSPLPQFLPEIGRLVQTLNQNVVKIETSRGLAFLERQVIGMLHREIF GADRQFYENHLQARSSALGIFTSGGTLANISALWTAMRRAGTTGTAENSSPHADKPVI IGSALMHYSFDKGAQLLGAELHKVPVNDHCQIDLDALREAIDKYQQAGRKIACLVAIA GTTDYGSIDALDKIGEIGRQINAHVHVDAAWGGGLILSESNKHLLDGIAQADTVTIDG HKQLMLPLGCGMLFFRDPALSQLTMHHAPYAVRATSFDQGRFTLEGSRPATALYLHAA LHIIGKNGYDALFSESLARARYMAQAIEARPEFELMTAPVMNILTYRYIPEKYRNQHI DDAGNIEINRFNIALQKWQRQKGDSFVSRTFRAINQYDNQGLTLLRAVLLNPLTTFED IDFLLNDQLQIAHLLENEMAGAPATH MIM_RS13210 MTSHLATDVLICGAGAAGLTLAIELARRGVAFRLIEKNAVPFAG SRGKGIQPRTQEVFEDMGIVDQIVARGGFYPSARSYRDDGSYVESELSEATEAAPAEP YQLPVMLPQCLTEQTMRERLTELGHLVQFDCELLGFEQDADGVTAHISGPAGRQTVSA RYLVGADGGRSFVRKTLEIDFPGKTLGVRAIVADVTLTGLDREAWHRFSDGDMQRMVA ICPLAGTELFQIQAPIAPDAQSDLSAQGLTALVTERTKREDIIVHAVSWASDYQMSAR LAERYRIGRAFLVGDAAHIHPPTGGQGLNTSVQDAYNLGWKLAAVLRGAPDRLLDSYE AERRPVAEAMLGLSTRLLKDQKEGGMRRGRETRQLDIGYLDSPLAINLPERKDGVRAG SRAPDALFQGAAGQPSRLFQLFKGIHWTLLVYAERQKTLAARPELHVHHIGPTGDVID AWNHIRDAYQLAPGECVLIRPDGYVGAVIDVDNLTNLDAYLDGVGVCQLEAETS MIM_RS13215 MPSEKNVQDTHISEQMKSLHGSLISIVSALNRPKNDEKMIEDAG IRLDRALFTVLVMVERLGPIGVVDLADRSGRDYTTISRQVAKLESMELVRRQPNAQDR RVREAVIAPRGKAMTDRIDAARERMANVIFRDWPKDELEAFVKSMRKFADALDSDPLG GS MIM_RS13220 MEPNRLGDITAFVATVKTGSFTTAADMLGLTRSAVGKSVARLET RLHTRLLHRTTRKLSLTDEGKAVYERWRQVLDDLDEIEATMLDRRDQPSGTLRLTSSL SFGQRHILPLLDQFLKQWPEVSADIRFTDRFIDLVEEGFDIAVRIGAPREDSQLLTRT VAWQQFATCASPDYLAKKGVPQHPGDLVQHDTIAFASGEKSIAWRFQTPDGLHLCEAP ARLTIDSSEAMRDAALAGFGLVHIPTYITGNDLRAGTLVEVLKPFRPPPEPIRVVYPS KRHLSPRIRAFIDLLIERWQAGVPWEI MIM_RS13225 MTALSVLDLVTIGEGKTFADAIDESRQLARHVEQHGFSRYWIAE HHDMPGIGSAATSLIISQIAAATSRIRVGAGGIMLPNHSPLVVAEQFGTLATLYPDRI DLGMGRAPGTGGPTIQALRRGAPERNFADDVIEVMDYLADNGRRPVRGAPGAHKVPVW ILGSSLYGADLAAALGLPYAFASHFAPRFLHQAIAHYRKNFRPSAHLAKPYVMVGVNV FVADTNEEADYLASSHRKWMIDLHTGRLGLLPKPQEGYVDSLSSREQAVMEQVMGCTV AGDRETAAAGLQKLVAQTEADELMIDCRIYDSAARMRSHEYAAQAMGELLVPA MIM_RS13230 MSSRHCACASGQHPLGCDFTDHTQCDLIDHTKKNKRQRQAMIKS FIHKGLKKFFETGSTAGISAVHAKKLSRMLAVLDELSDIAELNGLWNCHQLIGDRFAQ WSLTVSGNWRITFEFENSNVFLVNYEDYH MIM_RS13235 MYMHNPPHPSEILREDVLPELGLSVTQFAKNLGYSREAISRVLH GNAPITPQLAWRLEQAGLSTAEMWVRLQAKYDIWQIKNKPDQPKIMKMVQHEPV MIM_RS13240 MTTTPSTAAHQSDVSMAGQAAYGQRAGLPRRLQRILSLDDFEAA ARRHLPRPLFGYIAGAAEDNCSLNGNRHSFSRHRFQTRVMVDVSHRSQQTTLFGQTWG SPFGIAPVGISAISAYRGDLVLAQAAARARIPAIMSGTSLIPMEAVAQAAPDTWFQAY LPGDERRIDGLIDRVQAAGFGTLVITVDIPVWANRENNVRTGFSMPLRPSLRLAWDGI VRPRWLAGTFLRTLALHGMPHFENSFATRGAPMLSNAAIRDTTGRDHLNWQHIARIRQ RWPGNLVIKGILSPADAVMAADIGADGIVVSNHGGRQLDGAVSPLSVLPRIVDQAGHR TVVMMDSGIRRGSDVLKAVALGARMVFLGRPFMYAAAVGGPQGIDHAIALLHDEVDRN MAMLGVCNIGDVTTQCLVPEDE MIM_RS13245 MITYRQLEHFVAVAQERHFSRAADRLGIAQSAVSVQIQQLEDAL GVRLLQRHKRQPITLTDAGALLYEEAIATLRHMERAQEIGRLAAQGRGGHVRLGYVAS AVTSGLLSRMLKQFRPGHEQVHMQVIAMETPRQLQAVEAAEIDVGVVRPRRQYPENVE ATIIHGEPLMVAMAENHPLAGKPTLQAADLHGQTFIAPQFTETDAEGFAQVLARLAAA AGFSASPAYRVNDIITATSLAAAGYGIVVVPESNRRFNQPGVTYRVVSDFQERVYLAL VYRKRENSPAVRAFIAAARQSVATGL MIM_RS13250 MSEEMIGLASLLVTVACYWLNKRLYRRFPNPFLMPLLATPLVLI VLAIWGQVSYVQYISLTHWLVWLLGPATIAFAIPLYENRALLRKHGMSIATGVVVASL VSVCSSVWLAQAFGLSQALQKGLAVRSVTTPFALEAEKVLGGSPDLASLFVLLTGVSG MFMGDLILRLLPRVRSKLAAGAIFGGGAHGSGVAKARQFGEVQAVVASLVMMIAGALN VLLAPVVRILFF MIM_RS13255 MNAVFSNQKALNLTKVVLQVCLLSGVWLAMDALRTQFGWSMPAG LIGFSILALGLFSGVIKVRWLQSGTNWLMAEMLLFFVPAVLVVRQYPDLILSQGLRIL AVILLSTACVMAATALAVDRVYRLELWLARRRSSHSHIGTQE MIM_RS13260 MDLRALSYFVEVVRQNNFTRAAEALHVTQPTISKMVRALEEEFG GPLLVRNGRSIQLTDAGQVVYNHGQKMLNQAQQLRQEVAEVDGITRGTLTIGIAPTLG HYMAPVIALFQHQYPGVELQLLEQGAHALHQSILDGDLDMSVGILQSEPEPQLERYAI AHLKVCAVFPAQHSLNGAGTMSWRELQNQPLVLYTSDFVLHQTVLARCAAAGFSPLVR LQTRYWDFIGDLVAAGVGIGVLLEHVAAKFDPQVIASCPLSDPDVSWGVGLSWRSGYL SRAALAWLACVRDVYPAADPVPENRSPE MIM_RS13265 MLTRELIRSGAYLDSFQDLPEQPRWSVGQIQASMRDTLARREQG TPVWVFAYGSLIWNPLLDYAERQPATLPGWHRSFCIRLLDGRACRKQPGRMLALNAGG QTEGVVYRLSEDKLQDELWLLWVREMVHGLYRPIWTGAQLPDGQTIQTLTFVADTTHP LYETDASVATISPVIACATGHLGSNRDYLMPLEAYLTKHDICDEYIAELAAAVRALPS TAS MIM_RS13270 MTRRLPDKNTFRGVIRHWTLPGLLCVGLALFQPQLEQSMVLHMG VEIPLLFVLGWLAANACGPRLVQKLAPWNMAGVSGLTFALITVSLWMVPSALDYAVLS APVAIAKVASLIAAGMAAGLSWKPAGFIVQAFFTMNGFWMTFVIGLLYREAPQQLCSV YLEDEQARAGTAIMAWAVLALLLWVPGVLSKLRD MIM_RS13275 MIKRSEARSAIAFVIVVLLGLAAFAAVTAGFAAVTSDGVRRVQL QHNPRTLPDLPLVDSRGTPSSLRDYGKASEKVTFVTLVYLQCQSICRTSIAGQSWMQH AIYARGLQDQVRLLTLSFDPLNDSPQVMAEHAKRMGVNADLWRFATVRNQADLNRMLA LFNIIVLPDGLGDYSHNAALFLIDEQGRLARAYDIDRPDLALADYLANSKGKGK MIM_RS13280 MPRVLLGLIAALVVWAVYYILSANPNSVAGLGDRRDPGTLVAAA PSENSAIDGKQLFTTACQSCHQASGQGLPGVFPPLAGAEWVKGDPAVLAQIVLHGVSG PIKVAGTQYNSMMPPFGQQFSDAELAAVLSYIRDAWGNGAAAIEVAAIQAAREATKDK TTPWAGEEELRKVLMTSAAQ MIM_RS13285 MENELKLLVGAMVTLSLATSALIVVPFLQLKDEPAPAELKPYTS QELRGRQLYRAHACIACHTQQPSTTGAGIADASRGWGRASVAGDYHYDDPPLLGTMRT GPDLFNIGVRQPSVDWHLGHLFQPRAYTRGSNMPAYPFMFEVRDPDDVADGEKIVHLP SGTVEHGKVVVAKPEALDLVAYLISLKRIYPVLSTEQANTLSQSDAVMEKEPVQ MIM_RS13290 MNPTALLLATFILSIVGLFAFIWSLRKGLFEAESSGARTIFSTG EIGHPEEPAADPSQRSALNEVSRTNLEADGTQTSQAELAAREVADRSSALPAFVLIGC AVVWLVVASFAGLLGSLKLHWPDLLTDYAWLSFGRLRTMHLNGVAYGWAPLGLLGIAI WMLPRLLRTQLLGGRLVVFGALLWNAALIAGMGGLAIGINAGMEWLEIPWQIGVLFAI GGMLVGLPMALMLTRRKVNHLYVSVWYMGAALFWFPTLYIVAKVPGVHFGVEQATMNW WFGHNVLGLFYTPLSLAAVYYFLPKVIGRPVRSYNLSLLGFWTLAFFYGQVGAHHLIG GPIPEWLITLSIVQSVMMIIPVLAFSVNQHMTLKGHFSALRHSPVLRFIFFGAVMYTL ASVQGSFQALRTVNATTHFTHFTVAHAHLGMYGFVSMVIFGGVYFVMPRILNTAWPKP SLIAWHFWLVVAGFAVYMITLSIGGVLQGLVLLNPAREFMESVAVTMPWLQGRSIGGA LMTLGHLVFALHVFMIIVRSGAFSLTERNTPATTAANPSLT MIM_RS13295 MKLYETLAADIAASIYSGVLRAGEKLPSVREATAHRGVSASTVF QAYYLLEAQGLVRARDRSGYYVAHGARALPPEADTPSQPTEDAASVTINDLVFEVLES TMRRDVVQLGSAFPSPLLFPHDRLSQSISSATRHLDPLTILDELAPGHTGLRRQIALR YLASGVHVDTDDIVITNGALEALNLCLGVMTQPGDKILIETPTFYAALQALETHHLEA VEVPTHPREGIQLDALEQAIKRHQPKACCLMTTFQNPLGSLMSDEKKQALVELLTRYN IPLIEDDVYNELYFDSHRPALTKRFDNSGIVMHCSSFSKTLAPGYRIGWVVAERYTRA ITRRKLTTTLACPIPTQIAIAHYLEKGGYDKHLRHLRKTLQTQQTQFAQAIGHYFPPG TRATRPKGGYFLWVELPLNANALHIHQHALSLGISVAPGPIFSAKQSFQNCLRLNYGH SWDDRTEAAVATLGKLSAMGA MIM_RS13300 MTRSLFDTVALGKLLLSNRVGVAPMTRISATSDGLATDQMAAYY ASFARGGFGVIITEGLYTDDKHSPGYLYQPGIINDAQERSWRKVVDVVHQEGAKIIAQ IMHAGALVHGNPFDKDSIAPSAIQPKGRRSASYGGAGAYPVPRAATKEEITEVISGFV DAARRARSAGFDGIEIHGANGYLLDQFLTDYTNQRTDNYGGSTENRVRLLVEVCRAVR AAVKDDFTVGIRISQAKVNDFEHKWAGAERDAAIIFGQLAGAGLDFIHLTEHEAWQPA FDGGGGASLAALAKMYLKIPLIVNGGLGDPVRAADMIQGGQADIISLGKAALANRDWI RKVMHEQLLEAFDAERVLQPDAKIKTFEI MIM_RS13305 MQISDIQIFLSVASAGSLSAAGRQLDLTPMQVSRRLTALEADLG VRLFHRSTRSVSLTAEGHAFLPYANTMVDAEESARGTLSPSQTGATGVLRMTAPSIFG QSIVLPLLPGLLEQHPEMHIDLDLSDRVIDIVGQGLDLALRLAPLVDSELVAKKLTIN PRIICAAPDYLQRYGHPAILSDLDSHYCILLQTIPRWPFLIDGIMQYRRMRGRFMTSS IQAVRDAAIQGLGLAMLTYWDVSEQLEDGSLIRVDLQDASMEELAVWAVTPTRRYVPT RVNVFLDALQSTFNRKYE MIM_RS13310 MKSEQQDDSSKTTIPMRIAEELRHLIYAGQIKPGERLNEAVLAQ RMGTSRSTIREAIRSLLGFGLVTAVPNRGAYVRQLSVREMVEISDLRALVFGFAAGLA AEHRSASESELLRDIVEQMDQAAEAGDMDGYYHLNLSFHAHIVGLARSERTERLYNDF VKELHLFRRQNFDNVGNMRRSNAEHRSIYEAIAKGDKTGATRCAEQHILAGCQRMLRT MDGAV MIM_RS13315 MNESEVRRRLDNRGWGPFFNKLWVASGLGWMADAMNVAALGLVL PLILTDLDITRAEGGVIVSSTFAGFIVGAIVTGKLSDMFGRRTLLIANIVLFSAAAVL VGFSHDFWTILVLRFIQGIGMGGEFPIISTYINEVSPKRYRDRLIGLTSAFFSYAFAL IPLIGLFVVPVLGWRGLFWSLIIPVFFAIWARRSLPESPMFLARKGNTAGTEAALKII ENGSIEKIDDTSSVNNAQTEPTGGDLFTGRTALLMVFWILMFLCQYGFASWIPTAIAQ ANGGVSASSSYGLTSILFTGMIAGYLIASFGTGKLSPKLFLTLSFLEYGISLICFGLS TDMVPMLIFGWLAAAGYGFTTISAYSYTPNQFKTAIRGTGMGLVTGIGRIGAVAGPMI VGLISPVGSLGMSFVVFGIASLAAVIVVQILERSSKKYGMQKVASSLH MIM_RS13320 MQKNSDINIVNAISPIGQQPEPSEVAAKPKIALIGAGGTISTRS TQGSLDLVNYMTDGVTMHVDELVQALPQVHAIADIVAVKFRAVSSTSIAFEEWKALTQ TVDQIAATQPDVDGVVILHGTATMEETAYMLHLTCKVNIPIVLVGAQRPLSALSSDAP LNLLNAVRVAGHAQTRGMGVLVCLNDEIHAAREVTKASTARLHAFRSPDFGIIGQIDA GEVAFYRQPLRRWGPNSQFDIRDMKQLPRVDIAYAYAGDDGAVIRALVNTGAKGIVIA AFAGGRLSTAQTSACREAQHKGVVIVLSTRAGSGKAMIDAQLQSMGMVAADNLNPQKA RILLALSLSQTNDPEQLKHIFASY MIM_RS13325 MTVMINTDDTTRQMPFYVNHYLCPPTMAAPDFFARASESGFRGV GLTQSVLQSQPHDELKETLQRFGLGISSLNSCGFFLQKGEPAIAQDELNMWFLRQAAA FGLPTLNVIVGGSSTMPLKQAREKVTLQLQHLAHTAADMGVNLMLEPLHHLNVRSKSC LNSLSQLAPIFDQIPGLTLNADLFHLWWDPDLERLLSGNFLPVGLLQICDVAMTPAQP LPRRVPLDEGHIPWRQYVRTLQQSFPDTPIELELFADQLPGRDLNELLTKSTSALLSI NGETNDYCPN MIM_RS13330 MTIARTDDGIDLYYEETGSGTPVIFVHEFAGDLRSWEQQVRHFS RWYRCITFNARGYPPSSVPTEVNAYSQDIAVADILAVMNHASVDKAHVVGLSMGGFAA LHMGLQHPDRLHSLCVAGAGYGAEPQRQELFKNESQLTATFLLEQGAQAFAEKYAIGP TRLPFKNADPRGFEEFRSQLAEHSALGSANTQLGVQRDRPSLYALKDRLHTLTLPTLI MTGDEDTPCLAPALMLKASIPSAALSVIPNCGHTINLEAAQEFNRILGDFLHAANSSR WPTHNIDNGSQSITGMSLQIKGASA MIM_RS13335 MTVLITGGAGFVGLNIAEKLLGTGESVVLFGLEPAPEAAINLFN KLPGQLHVVLGDIRDEQLLRDVLQQHRIERIVHAAAITAGLAREATQGMQITEVNIGG TIALLEAATQLGIKRVVQLGSGSVFGASVKQEGMLNEESDIPVPDTLYGITKYAAERI ALRYRNTRDLDVIVARLAVVFGRWEYDTKVRDTLSIPLALSTLAESGGHAAFCQNLPD DWVYASDVANAILLLLDAPVLARPVYQIGTGQRWSITNWCERLRKHHPNFTYAVVPDA AQANVGRQTPIPRPPFSIDRLRADIDYQVRFPEQEAFDDYLAWRSERH MIM_RS13340 MNYPDFHLAGKVAIVTGAAQGIGRAIALGLANAGANVAIMDKDA EALAHVKSEIENLDQQALSFALNLENTEAISTVIQDTFSHYGQLDILVNNAGVRVHKR VLEHTLDDWHHTLNVNCAAPLLACQAAAKIMREHGGGSIINIASQMAEVTSPFRVAYC ASKAALVQMTRVMAVDWAEYGIRVNAVAPGPTRTPFTTAATESGSMPVSIEKVPLRRI ADSEEMIGAVQYLASSASHFVTGSVLVVDGGQSIYWR MIM_RS13345 MNMPNASTFQAVLKTVTRYEESDGQPQAISIAYQVQGTGPLIVM LPSLGRDVEDFELVSTLLADAGYRVACPSPRGIGASTGPLDKLTLHDFARDIVSVIEA EGGKPAFIAGHAFGNWTARMVAVDRPDLVLAVLILAAGPRVVAKGPGAGLPHCMDKSL DEAVRLDWIKKVFFAEASDASVWLDGWHPAVADAQRAAKAATPVEHWWGAGNASILDV RALEDAFAPQEAGWQLSQDLGTQRVTTVSIANAGHALLPEQPLAVAEAMKAYLRDFQN MIM_RS13350 MTKIRPLTIIALTLGVVFSASAQAADNYPNRPIRIIVPYTPGAI NDILARRMAQYLGEELKQTVIVENKPGGGTAIGTEFVARANPDGYTLLQAAASHSILP SLMKKLPFDPLKSFEFITLAATSSYVMIATPKLPANNVAEFIALAKKEPGKYAYASTG NGSSAHLMGEMFKELTGADIMHVPYKGLAQGLNDVASGQVQVTFGTYPGSMAYLQPGR VKALATTGKHRMSSLPDVPTIQETVPGYEAQGWWGYLAPAGTPKPIVQKLNAAINNII RSKAFSDTFTSEGVDMLGTSGEAFRTHVEQEMQIWGKLIQTAGIQAN MIM_RS13355 MKLADWSLRFYRIPYVHTVHWANAVEEEGVYALLTLRNEQGQAG YAEGTLKATWSGVSPAMLQAAFEDILIPQLTDTDLSEDQAVARALAPIPENRLGKGMI ETAARLLCAADRQQPYWRYAQGQNTVEVAWTLTRQSPAKMVAQALEQYEQHGIHSFKV KGGQGIETDLAVLRALHDALPVHCRYIVDANSHYTPAETPHYLERLANCGVEYAEDPC PLESLSMFESLQRESPLPILIDRACTTEQDADRFLQAGALALSTKPGRIGMGEAYRIR QLTAQHGATTTVGIYAESALGTLINLQWAASCPDELNAFPAEQTFYLGLMASPLRKAL VLKDGAIHLPDEPLNESALQLDSLSF MIM_RS13360 MSLKVKATANTLVADVPKGAGGLNYVGFQAYRFDEQKTMEFHSE ANELCLVILSGKASLQITGPNGTYHWDDLGDRTSVFEDKSPYAAYIAPYSDVKVIAQK GCELGVAIGPGNNGQYQSRLIRPESMKRSSRGQASNTRYVCDILPETEPAHSLLVVEV ITPSGNSSSYPPHKHDRDAIPQESALEETYYHRLNPPQGFAFQRVYTDNRDIDEAICV ENHDVVKVPKGYHPVVVPHGYTSYYLNVMAGPKRVWHFYNDPEHEWMLKG MIM_RS13365 MTVNQYPRFQENRALDVICLGRLAVDLYAEQVGARLEDVHSFSK YLGGSSGNIAFGTARLGLKSSMLTRVGDDHMGRFLTQTLSNEGCDVSHVAVDPHRLTA LVLLGIKDRDTFPLVFYRENCADMAVSEDDFSESYIASSKSLLITGTHLSNPDVLRTS LRALQYARKHDVKTVLDIDYRPVLWGLTGQADGETRYIASVAVSARLQQVLGNFDLIV GTEEEIQIAGGTSNIVDALKAIRNVTAATIVLKRGADGCNVFEHNIPDLLPPVYPTFK VQVFNVLGAGDAFMSGLLKGWIDGRDWEQSCRLANACGALVVSRHGCAPAMPTPTELE YFFSRDNNRANLEKDALLHRLHRVSRKRRELENIFVFAFDHRSQLIDLAEQVDVGLEK ISELKSLFVEAVKASEQKYRHCFGENDAIGILADERLGQDALNEATGRGWWIGRPVEL PGSMPIEFEYGRSVGSNLSHWPNEHIAKCLVYYDVDQPAPVRDEQEAQMMSLYHATQI SGHDLLLEIIPPQDDTKSRDEQIIRAIARLYEIGVSPDWWKIEALSSDGWQALNELVN RNDPYCKGVVLLGLAAPLQSIAKSFEIAAQWPLCKGFTVGRSIFHEPSKAWLEKTITD EQLKVLVRKNFEFLFDAWLAARSGQEGERKCH MIM_RS13370 MTAENFLNTLANQYSDLPKQLKVIATFVESHQDEIPVLRVQDIA QACSVQSSAVVRFAQRFGFKGYSEMQAVFTDQLLTVIPKHQQYRDRVRQELSGDNTHD SLTLTDKLVSNGIKSLEDLQSSLDQALLDNAATALAQARKIYIAGMGRSLPIASYLVY ALQNLEREVVFLNGLGGVPEQYMRTMNSEDVLLAISFAPYAEQTQTCLRHAKESGASI VLISDAALSPISHFTHLLLCSTDIQTLSFRTLTATMCLAQILFLSLAYKLETTQQDK MIM_RS13375 MKKVQFIRSVVVGFTLLFSANSQAETFPDKPIRWIVPYSAGGTT DVMARHLATEMGKTLKQTIVIENKPGAASIIGATAIARATPDGYTIGTVDSGTLAFNP ALYKSLTYDAMKDFSFIGGLGKMPIVLAVNNAFPADNLAQFVDLVKKEPGKITSASSG HGSPLHMAIELFNHKQKLNLLHVPYKGSAPAVQDLMSGQINSMFVDLPPSISVIRAKK IKVLAVATPERMAILPDVPTFDEAGVKGFEAYAWQSLVGPKGMPKERLAMLNKALNDA LTSDTIRQKFSEIGIQPMPMQPGQLEHFAGSEHETWGNLIREADIKLAN MIM_RS13385 MDQLFARFATVIAHATGSPITFILCVAAVLLWAISGPFFGFSET WQLVINTGTTIITFLMVFLIQNTQNRDSAALQTKLDELIRSSDAEDQFMGIETMTDKE LEALHEKCERQAKHSQMMLQKTHAERRARKSRKS MIM_RS13390 MSMIMEDEIKRWTAKRKSALVLDLIQGKTTVSEASRTYGLPPSE VENWVDEGKRGMENALRVNPLDLKDHYERQLKQLQQAYGEAMLELRASKKIQSMLDEE DK MIM_RS13395 MKMILVLTLGLLLSPQVFAQSAGAISGSNSNANSGSNASSNQSA DSGAAAVVDLTFGGSEQRRTQTIHTTPNVYAPPSMFGGVNNCGQSSTFGIGATGFGIG GSLAGESDACNAREDTSIAYKLGYKDVADMRFFCFGEDVNRKAYEATGRTCPNYATAK GLPERPVAAAPARINNRQSQGNASRVVAATPVSSSQVYADRPALQPQSTQDLRAFYGS N MIM_RS13400 MKKRLLLSALVLASTAASAQTFTIGAYAVNGGAGSTASGGSSAS GSQGSIGTSAAGNTSAASNQTASAAQVMGGPGGVATQTGGQSVSSQTNAGYSLGFAGQ TSNASAGGGFTAGAGGNFGTIGAFAQWTP MIM_RS13405 MKKLLLISASALVMASSGAFAATVTGGFYGAGGTAGSSAGGGSS ASGIQGSALAGAAGNTSASQNVTSSGAQVLGSFNGVQTTTGGSSTSTQTNVGGALGLA GQTSSAGAGGGFNSGATGNFGTIGGFLSW MIM_RS13410 MLIKQSVKIVSYYDTLIIVPQWTKFLTMNKDGKIFAWETKPQTN SRLWYIFKQFKFQHVAYGDPEAIQWEKSLRRYYTTGQKAESSHSDDAM MIM_RS22185 MAKITLGGFTSANKTIDQSTYPGDEKLTVDSIAGNTSLTINNST NSDDVLDLTQTRLIPIGGKSTLTLGENAHVKISEFFDLSIGQTSEYNLGEGSTLEYAP ASIGAGAANSTTFDMGSSGTSTLIYNPGGVQVDFSSAPKIAGLSAGDQIQVNGATQGA VEGNNLVFRDDHGRVVGSFNASGQDLSKVTFEGGTMTYACYLKGTHIATPEGEVKVET LKAGDKVLTASGGVATVKWLGHRTLHKSRIPAKDAVRAFPILFKKDAIASNVPHRDLT LSPGHHVSFNGTLVPAMMLVNGQTIVQQFDTQKFEYFHVELEQFDIMLAEGVPAESYV DTGNRNMFQNAAEVAMNPDFGPAEGRPVVEGITVAQQGPVVEAIRKQLLVRAEAMTGA VRTTDAALCIEVNGQIVHATPAFSKEGVYRFALPANAGDVRVLSRAAVVRDVTPLARR DLRKIGVGLAMIAITTATDRHEISLTDDALTGLNAVQDVKGTAMRWTNGAAVIPAALI NSTDEATLELTVLRTYTYWVDADVQKAVRAA MIM_RS13420 MAATASHAAPEQAAVKKQVDAAVAPVMKKHNIAGMAVGVIAQDK TYLFNYGVASRQTASAVTSSTLFELGSISKTFTATLASYAQVKGYLKLSDTVESYLPK MQKTEFGSVSLMQLGTHTPGGFPLQVPEQIGNNVQLMSYLQQWKPPFEKGTKRTYTNP GIGMLGLITAKAMGQDFIPLMEKQLYAGLGLSNTYIDIPDQQVNNYAQGYTSQDTPTR VSKGVLWAEAYGAKSTSADMVRFLKINMHMADVDEQLQKAVTNTHTGYFQTAAMTQDL IWEQYPYPVTLASLQHGNSADFALSPQPVKALTPPMAPRDDVWINKTGSTNGFGAYVA FVPKRKIGIVILANKNYPNADRLAIAYQILGGL MIM_RS22190 MRHFIFKSLVLATWGSLAFAGQIYAADLYPADLANQEPQAANAF AGLTKALVSKHAWVKNYGVTIPVEDTTLGSESYWRLSGCKPHDCPSEKYVVLISKKNN HAVGAFLTNQLAATGNVSDSTIQWLGQPTDEQVAELATALFPAPDN MIM_RS13430 MTMYPMIRIRSYWPYYLAALACALFLLVLINVRTDGRLFAIDQT VYRQLQLLRTPWLDHILITVTQLGDTFMVTVIAGVVAFRLLVSKAWRTAAYWLLTITG AGLINTAIKATIVRTRPGDMMYSGWTAYSFPSGHTTSNLVLYGLLCILIYPCIKKHTT RILTVCAAMGFALLVAASRIYLGAHWFSDVLGGMLLSTLTLCIAGVRYHTGKKHELSA GALLIPVLSALLIIGGFHVWQDRQVNMQRYTPPALSDQAPGHILPPATSTVEARHE MIM_RS13435 MSLLSHDPACQKASQQIINTLHDPMRKPVVKSFFDEATNTISYV VHAPNDRHCAVIDSVLDYDAASGRTSAASVQPIIDYVRLHQLQVQWLLETHAHADHLS AAPILAAQLGGQLAIGKHIGLVQNTFAEIYNTQGSQSGKADDFDHLFDDGDTFTIGAL QATVLHVPGHTPACLAYIIGDCVFVGDTLFMPDYGTARCDFPGGDARTLYQSIQRLLR LPGDARVLMCHDYKAPGRDEFVWETTIEMQRRQNIHVHEGVSEDEFVIMRTQRDATLS TPKLLLPSIQVNVRGGKLPEPEHNGVRYLKIPLNTI MIM_RS13440 MDSFEITVLDANDAAAFRQFRLTALQDAPQAFGSSYAAESAQPL TFFEDRLCSSKVWAASKGGTIVGTAGLAPWLGVRERHKGFVWGVFVASQVRGQRIAQA LLTELLAYGNQHYEQITLSVTAENMAAFRLYQQAGFEVYGKEPNALKDETGYSDELLM VRFANKKPSE MIM_RS13445 MKPASRHFPILILRALPLALCASGAAVYAQTVSAPAASDATRLE AINATDENVSVSVPTPETYAGGQVATGGQAGILGNADNMSSPYMINSYTSKLIEDQQA RTLGDAIKNDPTVQVGNGYGNFAETFVIRGFPLNNDDLAFNGLYGILPRQVLPTEMVE RVEVFKGASAFLNGAAPGGSGLGGLINIQPKRGTDEPLTRLNVDYTGRGQVGGGVDIG RRWGDAGQFGLRLNAAHRDGEMSTKDADSKTSVVTLGLDYRGERLRASLDVGYQRVRF DQARPTITLTGDGVPDTPSSSVNYAQRWAWSKLESTYAVARVEYDLSENWMTYAAIGT SRDKEDGLYAGAKVNGDGIGAHNPLRTPYIRNSTTGEVGLRGRFMTGSVGHQVNLGVS ALRTSNHSAYQFFASQDRDIFNPIDSPYPDQITSSGGDIDNPGKVERSILRSIALSDT LSFLDERILLTLGLRHQNLRYTAYDYTGTSSSDYDKSIITPFAGLVFKATNNVSLYAN YIEGLTRGETASLTADNRNEVFKPSRTKQIEAGIKVDMGDYGASLAAFQLEKPEFYLD SKTNIFGPAGEQRNRGVELSVYGQPAEGVRLVGGVTFMNARLQKTQDGLNDGNHAPGV PRLQATLGAEWDPAFAPGLTLQGYITHRSKQYVNKENEGTIPAWTRVDLGARYTTEVA GKETTFRFGVDNIFNKRYWATVAPQYGQLTAGNGRTVKASVSLNF MIM_RS13450 MSRLLGVGVVLATGLPAAVNAQSAVQKQARRWVQEQVQQGAQPA DGVPAAAVGTAPISTLSAVHATLADDVVTEGTQSYTALATRAGTGLTLSLRETPQAVT VVTRQRMEDQNMLSVKDVMTATPGISVRNQDSERYSFYSRGFFITNYLYDGIPTTYMP QWAAGESQMDPIIYDRVEVVRGATGLLTGAGNPSASVNLVRKRADSRQFKADLSLGAG SFDTYRATTDLSTPLTTEGNVRGRLVAAYQKNRSFLDRYHSQRSLLYGTVEADLSSRT VLRLGFNYQRNAPRVTTAGGFPLWYADGGRTNWDRKLNVGADWSRWTIVTTGAFADLE HAFDNGWKFNAMLSYSKHRADFTSQFMTGFPDRKTGLGMFSYPSNHYGFRRQHSADLK VSGPIQLFGRQHELIVGASLSRQRTVFHRLGPLSVPAIGNFLQWDGSYPEPRWGEPVA VHQNLTRQSGVYVATRLNLSDALNVILGGRYTQWSGDQTGYSSHQFRKNAITPYAGIL YDLSDSLTAYFSYTGIFNPQEAQDRHGRWLDPLEGRNYEAGIKGSFLDGRLTASAAVF QTDQDNLKQKDTGYFVPGTTEQAYVATNGTRSRGYDLELSGEPLAGWNIMTGLTHWTA RDGNGNVVLSEQPRTLFKLFMTYRLAGRLQGLTLGGGVTWQSSYYAMGRGPKGSERVS QGAYALVDLMARYQLNHSTSLQVNLNNLFNKKYYDQIGFYSQGSWGAPRNVMATLSYK Y MIM_RS13455 MVTALESSLAVPLIQLRALDKYYGGAHGAPRAQVLQDIHLSVYA GEFVAVVGPSGSGKSTLMHILGCLDKPSAGQYLFEGQNVSLLNADELARLRREAFGFV FQGYHLLAENTALENVQLPAIYAGMGEAARHARATTLLTQLDLGKRLQHRPGQLSGGQ QQRVSIARALMNGGRIILADEPTGALDSRSSEQVMVLLRQLAQAGHTIILITHDAKVA QQAERIVEIRDGRILSDSAAASDTCVQDPPKPAACPESWTSNDGAHAVKGVPPVPVMP VLQAPSQSQLQSHHPPDHNSNVFRAELREALRMAWRGMRMNAVRTGLTLLGIIIGVAS VIVMLAVGEGSRQTVMGQMGAMGSTIMYMGSSFPPAGGPRGQLSEADLEALAALPELQ HVMPVIGDPIIVRYANAARQNYVYAAAAVMPEVHHWKVKKGRYFTASEDRGLAPVVVL GHKAWKFFFPDMDNPLGRQLLIGSASFEVIGIMSERGADSGLQDYDDMVFIPYQAGRA RVYQAQTQPDYVVVQVRTSALVLQAEQSIRTELLARHGGREDFSIGNAAARLLAEAQA RDSMTLMLGLIAAVSLLVGGIGVMNVMLMTVSERTREIGIRVATGARQRDILRQFLVE ALLLTVSGGAAGVFCGIVIGILLLLAGVPLIFSLTAILGAFFCAVITGIVFGYVPAKN AARLDPVRALEAR MIM_RS13460 MAQVMNGTRRTWLRRGLWLSVAVTVLAACGYGWSHREAVTYETA AASTRDVEATVAAIGVLQPRRYVDVGAQVSGQITRIYVAPGDTVKRGDRLLDIDPSVQ QAEVDAGRASLAGLHAQLAEEQAQLDLAQKKFRRQNMLVQTGSTSRENVDIAQAEVRA TRARIQRLQAQLEQTQATLKADEARLGYTRIYATMDGTVVTLDAREGQTLTSTYQTPN VLRVADLSGMTVWAEVSEADIGLITVGMPVTFTTLGGQHDHEPRQWRSTVRQILPAPP NSAEQAADNGKPAAATKAVMYTVLFDVNNDDGALMPQMTAQVTFVSARAADALVVPLA AIGTERDETGRYQLRVLDRSGKPQPRAVRLGIRSRHEVQVLEGLEPGMQVVTGESVSN TMPWFKW MIM_RS13465 MAQIQSLFSTRPVPVSDSTVSATYVAVTLAATVALTFSVAAHAQ QRAVPITIGPQPLSDALLKLGQQTNLQFIYQASLLQGLNTRGVKGTLTPEQALDQLLS GSSLRYSRQGNTITLIQRAQPQVAATAAAVDDVTQLGAIVAETYADPGITEGSGSYTS QAMGSSTRLSLSPRETPQSVTVMTRQRMDDQGITQLSDLVQQTPGLAMDSAGNAGSDS SMIYSRGFEIDNYLIDGVGQVNSNYSSIFQTSDMALFDRVEIVRGATGLMSGLGSPGG ALNMIRKKPTQEFQALAKLEMGSWDHYRSEVDVATPLNEEGTVRGRVVGVIQNNHSYL ERLREKKRIFYATVEADLTPSTLARMGFSYLEDNITGHARSGRPGFFSDGMRTEWSRS DSAAADWAFSKRRNQSFFVSLEHRFENDWLVKGTYNYASSRYNEVIGYAAGGYPNRES GQGVSIWAGRWAGKPRQNSLDIYATGPYTLFGRRHDLVVGATLTHTEEATPTYGLWRF NGWDGAISNIDDWDGSTPGAPVNPASGRMHIREKSASAYITTRLRPADDLSVILGARM TSWDNDKRNYDLAGAETSAVLQAEHDKLTPYVGIVYDISKAWSLYAGYTSIFKPQTYQ DTSGNYLKPLLGNSYEFGVKGSLLDDRLNVSAAVFYLKQDNLGVALPGEYAPNGNSAY ESVSGARTRGFELEVSGEVLPNWQLSAGFTRSVSKDKDGGVLSTEVAQNVFKLFTTYH MPNVGNGLTLGAGVRTQSAIYQDGRGPNGERFTQKGYAVVDLMGRYAITDKVSAYVNV YNLLDKKYYSGVGTAYYGAPLSMKVGLDIRY MIM_RS13470 MTDPLHIPPDDPREAAAWWFTLVRAGKCSQEQLAALQLWRQANP AHDREYRAMEQLWQWADHVPARQMRALAAEPHDTQRRFFASRRGFVAAGLAACTAMTV GAGLVFYNDWQDGKKIQLETARGERRTEYLPDGTRLDLNTASSLTFRVSNGKRLVELL HGDVMFDVAHDPAHPFYVITAVGCIKVVGTRFNVRMEQPLDVLVAVESGVVSVRAEAT PVARSVKLMPSMSTHITASGVSTPQNEDVAVLTAWRQGKVIFRNRPLASVVSEMNRYL PEPMVVADPRLERLPVAGVFNVDDLPAFLDALPQSLPLRVVRSDRGGIRLFMR MIM_RS13475 MSDLRTLPGDWLQYYQELLRTWTRRTGSRQDAEDATHDVLAMAL EGKLKTSPVHPKGFLHRCVRNRLTDQHRRGNILDTLPLHHLHEDDQPAVDDPDSHIRH TQLLDSLTEALAELPLKCRQVFIWQKLEGYSQEEIAKRLDISVNMVQKYMIRALHHLR DRLQDYSPY MIM_RS13480 MNSQAQAIRSESPSALKIWWYRWRFHISVLAILIPLCFVPRYLK DQALFSGDLGLGVHDLGTKKVGPWEVQMAEFDALPPRRDGTAGFVKTFSVSLCESCRK DIRAVYLRVGKPRSLRTAGAIGFGSVYNMQIGVLIPKDTRPDAQLWLTAEGWDGSSHS TSFALAEASPVTIKWLGQR MIM_RS13485 MAKKKSKAKVWFLIHSWLALPIWGFIFFVCLTGSIATVSQEIEW LASPMVRANPPAGNPRMLTYDEVLARVEKAHPGSVVKSIRRPVKSQFALTVNTSYQDG KSGRLYVNPYTGEIQGQISGFDFRQFVRALHGWLLVPFTNGFAWGWYAVALLGIPMLI SLITGLVVYKRFWRGYLKPRLRIGQGARIFWGDFHRLAGIWSVPFIAIISVTAIWFLI EAILFDNSISISTAPPPAMVQRQDVPTRTDGSTRSLLLSPDQAVAKAKDHFPDMQAES IFLPANAYSHYTVMGRSSYPLILERASVNPYTGNVDASRTVSDYSGLELVTESMRPLH TGDFAGLWLKLVYFFFGVLLTMMVLSGMLIWTKRTAKETAGMIREHRESRRKEVTASH SGRPSSAAAGGQFMETEKGTS MIM_RS13490 MKPIAVSPLRFSQLRLALLALCMTTALVPPAQAQDKVQPRSPGG AEAAVQGKSAPGSASAVTAAPASAGAPAQKQQATTVVAAHPVIYGLLEALTQGSAIVP GRATPANLPASRHYAYLSGRGAKAFDRIVSNADAVVHLRSIWPDDPLYPLARKHNIRV IEIDAANPVDHSLPGIAAATDKNTAADYPWLNPVNMGRMADIIASDIIRLEPAAKDKV EANLASLRQRLMALNARVESALLDAPSLSVVVLSPRLQTLAGAFNLDIVPVTMPSEWN AQALAELGKTISDNGVQTVLLHEAPGAQVMAAITGAGAKSVVVETDGNDPVAVLEAAA RQLVTALSAGSTS MIM_RS13495 MIFDTIRTLLQQWAQDGALPQMFTYGFVVNAFMAGLIIGPLLGG LGTLVVVKRFAFFSEAVGHAAMTGVAIGILVGEPYTGPYGSLFGFCLLFGILLNYLRN RTGLASDTLIGVFLSISLALGGSLLLLLAGRINVHILENVLFGSVLTVSSQDLVVLSI IGLLTACLALFNYNHLILSSFNVQLAALRKVRTTLMDYLFVVLVTLVTVAAVKVIGAI LVGALLVIPAATARILAQSMRGFFWLSIVIAIVSTLLGILLPIQFALPVPSGAAIILV AGSVFIVSALARGFIPALKGTTA MIM_RS13500 MTGPAIDMQQVGLTLGQTRILYDIDLHVAPGSVHALIGPNGAGK SSLIKTLMGQMPHQGTLTLTWPAEPGVIGYVPQALEFDRTLPMTVNDFMGVLTQRRPA FFGLSSRNTRAIDEALMRVGMQHKRKRRMGALSGGERQRIMLAQALIPAPSLLVLDEP MAALDEAGVAVFEELLAYWRRNHVTVLWVEHDLDAVRRLADRVTGLNHRVVCDGEPAR VLSTEQLLTLFSAHPGMNTQATAPVVSGQTRVAA MIM_RS13505 MFFCGSLLLLGLCSVADAKALRIGITLHPYYSYVANIVGDRAQV VPLIPAGFNPHAYEPRAEDIKRINDMDVIVVNGIGHDDFADRMIQSSDNPQVPLIEAN ANVPLLAATGSAARAGSGASSGKIVNPHTFLSVTGSIAQVNTIARELAKMDPDNGPTY LQNAREYTKKLRTLRAQALQRLASVPAGAMRVATIHGAYDYLLREFGLEVTAVVEPAH GIEPSPAQLKGTIDQLKSLDVNVIFSEMDFPSTYVDTIRNETGVRLYPLTHISYGEYS ADKLENETAKNLDTVVRAMQESGK MIM_RS13510 MTVQAIRPAGAGHETAAVLAACAVIVLLATLTIVLRTTSAPVSQ IQAGQVDARHGLTAAEQGVYADLLVAAQEIGLAQTPPQVSDLAEQMIPPFVTDASTER RGGHDWQMKQDGQMVAYIGVTHAPDTAGSMLLRMTPPTDATAHADQQDSTVQVWLQKD TGRPAHLPTALTADSLAQEGWQQVVSQFDAGATRHQH MIM_RS13515 MNSSHRTRSVCAASVIFLSALASASAWAHNPVASCKAVGADKVL CKGGFSDGSAAPGVTLDVISYDEKVLLPGKLSQDSTLEFVKPDQEFYILFDAGPGHVV EIDQSEITQ MIM_RS13520 MTRITLTVLVKETYRDRFSVVVEGCRRQGMAVEREMMALGLFSG NIESDKLTGLHAVEGVAAVEADRPIRPYC MIM_RS13525 MAESANTTGRYIVLLQHGRTEDGIRDLQRIAGASIMTSDSQAAR PSQTLELNCALVFDHIDAALIRCETAAGDAIYAASQQGQSNILMIEPERSVHAITIPT NPTLGPAQDEADPNATWGVRACGADHSDYTGQGIRLAVLDTGLDLQHPDFAQRQIESR SFVTGADVQDENGHGTHCAGIAAGNLQPATGPRYGVAGQAQLYIGKVLGNDGSGGDGS VLDGINWAVGVGCEIISLSLGSPAREGDSYSPIFEEVAKRALAAGTLIIAAAGNESQR PEHIAPVSHPANCPSIVAVAALDEHMAIAPFSCGGLHEDGGQVDIAAPGVAVLSSWPG PKNYNTISGTSMATPFVAGVAALFAQADPATRGSVLRDRVLQNARPLPLPQRDAGRGL VQAPGHEAVVNGRGKAR MIM_RS13535 MVSVMTRLPLNTLPAFRTVAELQNLRAAAEVLHLTHSAVSQQIR HLESTLGFPLFEREGRRLVLNAAGATLLCSVQSALTQLEDGVQLARVASDSVEKKLRI SVVPSFAQRWLLPRLERWRERHPDIALEITASQQIVDLQRDGFHAAVREGMGPWPGVL ADRLFDDPMPLIVVGCAVDARRLVGAPPAAFLKEPLLGDRGAWQAWFAAAGLQVPFNT VAEFNDMGLMLQAAEQGLGLTLARELLAADAIRNGKLIRLSPISVAYDTAHAIHLVYR PALRDWPALAALRNWIIEELDASRAALLQDTGNAQEKGTSPSLN MIM_RS13540 MNTAIQTSSCPGPASNCARSTGRLHQLLNTLRSKVYRSASVALP DPVSPDDPWATAVDQIGHLDAHVLADIGAPRWVIDEVSRRQRGDGILDISRKW MIM_RS13545 MDLADILKLASPLPVSAYFDQAVYEQELQHIFRRSPLYVGHQNS VPEMRDWYALPQENGGRVLVHNADGIKLMSNVCRHRQALMLGSYSTNCELTEHRGSLE KTGGNIVCPVHAWTYRADGELLSAPQFKIRQCKKLESSPLLNMSGFLFEHPSGLPHDI QKLFDSLGHDLSNYRLDHVETHSCPCNWKTFIEIYNDDYHIGPFHPGLGKYVECDALR WAYGNWYCKQTVGAEQTLENGGTPIYREWERLLKEYMGDEAPAFGAIWIAIYPTLMIE LFPHALVVSSLFPKGPGETLNLIEFYYPDDVLAFSPELAEYHRKAYMETAEEDDEIAV RIDEGRRALYLRDRNDRGPYQIPLEEGMAQFHAWYRTMMNMDTTQACGADVSSL MIM_RS13550 MNWNPGTFEIRELIPEQDVPVFHPWFDLDYAGYWDMAGMSRQQV MAFYKVQQASPHEWAWVGTQDGQICFLLECYDPQHHEIARHYEVVPGDIGMHFFVAPP TEQRQGYTLDVMRHVMHYLFHQRGARRVVVEPDGRNEKVRALNRKAGFAEIGLITLPT KQAMLSIATRPDFDATVSLSGTNKMSHYPVPPAQQHLRHLDPDIWKHVNRHLVAKAIA EFSHEQILTPAHSATADGSRNVADEGQQAYVLVSDDGKTQYHYLARPMMLRHWHISEP SVTRICNGQTLEPDALSFIIDFKEALAIPADKLPIYLDEISSTLYGAAYKRYHQSLDS RALLHADYQQIEAAMSEGHPGFVANNGRIGFNVFDYESYTPETGSRFTLVWLAVHRQH AHFASLSTLEYDSFLRGELGEQQVTAYRGQLQEQGLAPDDYLFMPTHQWQWFNRLSIA FAADIAQRNIVYLGMGQDHYQAQQSIRTYFNHTDHDKCYVKTSLSILNMGFMRGLSPY YMAGTPAINEWLKQLIGNDPFLRQSGFDILQEVASIGYTNRYYEAALKKDSPYKKMLS VLWRESPAAKVKESERLMTMASLLHRDRDGQALLPLMIEQSGLAADQWLSRYFDAFLV PVVHCFYAYELVFMPHGENLIMVMDHRNVPQRCIMKDIAEESAILDENFDIPEHIARL KVSVPEDYKILGIFIDIFDGVFRHMSDILHSSGVCPEQQFWRLAALSVRRYQQAHPAL ADKFRRYDFFADDFRHSCLNRLQLKNNLQMVDLSDTAGSLTMAENLLNPVARFRALTV AELEAIDTMPQPEPA MIM_RS13555 MTIRLAIIIMSALGMMSDTVLIGFYPQFFDMRYGNTDQVHTGMY VAAISIAVMCTLPFWVRVAKRFEPMSLIGFTQCIAGLLCLSAIWAETLTQYWVLTMLM FMFKSSYLLMFPYLMRIVNSEEHGRTIALLSVVVHISGILAAASGGYILQHLGASAAL ILMAAGDFGQMAITLWLNRSGKIIKVNNQREIGAQDIKDTAAIVADDATAPAPVSARR GFSHLTKGILTLSMIMFVFDFSAYLIRPFFSEYWMYATAHKDQTLAGLIFAIPGLAAV VALIVKHKLSASATQRNENLSWNLLLSLVGLYLQSIPSDIAIVIGRCMYGWGLFQVAV KLEVSLFAISRKEDYAHHFSITNFFQNLGVLISSWCAGYIVSLISHQAVFLIAAVGIA LTWLANKLTLDLDHIKASAESPDATTDRSSEIELESRHV MIM_RS13560 MKGNRTADTIYDVLGIGLGPFNLGLACLMAPVQGLSAVFLERKS AFSWHDGMMIPGTTLQNNFMADLVTLADPTSPFTYLNYCKLQGKIYRYYFRENFYLTR QEYNRYCRWAAAQLDSVHYEREVTALAYDENDQCYVATVTDLRDQSQLQYRARRLVVG IGSMPSLPACVGDEYLSAHTGQYLRQKASLQKARRITVIGSGQSGAEIYYDLLHEMAQ HDYELYWITRSSRFFQMETGKLTLELITPDYAEHFYKLDAQQKHKTLKDQVSIFNGIN TSLIERIYDELDEQSPAIAGRTHLYTNMALTECSRRDNETELVFEHAQTGERYRFNTD EIVFATGYCYRIPDFMQGIASRLRLTPEGRYQLAQDYAVDLSGDEVYIQNAGFDSHGL TNPDLGLNCFRNARIINAIMKREVYAIDTGTTFQAFTPQQNNQFFPLSAQ MIM_RS13565 MVASLTYCNKARTRFAPNLLLVSVLGAMAAPSAGFAQTASPTAG ASSSVATLQPINAVSATDGASEGTGLYSVPVTASATRMPLSPSETPQTINVVTRTQMD DFQLNNATSLLSAVPGVFVQQVETDRNYYVIRGYDVTNFQVDGVGMPFSTEEQIGDLD MAFYDRVDVLKGANGLLSNTGNPSGTVNFIRKRPKREFSANVGLSYSSFATRRMDVDI SSPLNESGSVRGRLIGAYQKGNSHLDRYSLEKRMLGVIVDADLGEQTTLTLGHTYQRN KSKSPMWGALSLYYSDGSAIDYDVSDSYAPDWAYWNTTDQTTFAQLNHDWGGGWTTKG SLTYRKITQDAEQFLASGVPDRETGLGLTTYPTKYDRYEKQWIGDISTTGKYRLGGRE HDVMFGINANRSKNHMTSLDAGVGVALPSLFTWDRQFPRPDFENGTETFSDFTVRKTT AFAATRLNLRDDFKVIAGLNYTRATSTGEHYGEPREYKRNKLSPYLGLIYDLNDNYSV YASYTGIFNPQSQVDINGQILPAIEGKNYELGLKGESADGRINGSIALFRAEQKNTAE YDTFLDGLSRYKAVNSRSAGFELAVQGEVMPGLEVSAGYTHFFSIKDENGDAVREFIP KNSFTLAASYAVPAVPGLKVGGAVRWQSETRRLQGQTSAGEDIYTKQKSYAVTDLMAS YEVNKNVTVGVNVKNVFDKKYLTSVQWAQGFYAPSRSVGMNVQLKY MIM_RS13570 MSHYPIPATQQHLNHLEPETWKQVNRHLVAKAIVEFSHEQILQP ILCDDVDIDSKYSDGNNWQAYALVSEDEKTRYRFLAYPMKLRHWHICESSIIRDCDGQ PHDLDALSFMIDFKETLGIAQDKLPVYLDEISSTLYGAAYKRCHQLLTSRELLKAEYQ QIEAAMTEGHPGFVANNGRIGFNVFDYESYTPETGSRFALVWLAVHRNQAHFASLSTL EYDGFIQRELGVQQVATYRAQLQGLGLEPAEYLFMPTHPWQWFNRLSIAFAADIAQRY IVYLGIGEDIYQAQQSIRTYFNHSDLEKCYVKTSLSILNMGFMRGLSPYYMAGTPAIN EFLKALIEKDSFLRSKGFDILQEVASIGYTNRYYVAALKNDSPYKKMLSVLWRESPVK KLRQGDRLMTMASLLHRDREGVALLPLMIEQSGLTTGEWLSRYFDIFLVPIVHCFYAY ELVFMPHGENLIMVMDEHNVPQRCIMKDIAEESAILDEDTDIPEYIARLKLKVPEDYK ILGIFIDIFDGVFRHMSDILHSSGCCNEDIFWALVALSVKRYQHDKPVLVEKFCRYDF FVDSFKHSCLNRLQLKNNLQMVVLSDPAGSMTIAEDLPNPVARFRTMSIADLESYIK MIM_RS13575 MIWSPGTFEIRELAPEMDVPVIHPWFDLPHFQYWNMAGMTTEAV LSFYKTMQASLHKWAWVGTKNREICFVIECYNPLNDEIAKHYQVVPGDIGMHFCVAPA TTNQSGYTLDAMRHVMHYLFNVVNAQRVIVEPDIRNEKVRALNRRVGFEEVGPISLPS KQAMLSIATRSAFEVTLTHSGRI MIM_RS13580 MNIRNAIIIMSALGMMSDTVLIGFYPQFFDMRYGNTDHVHTGMY VAAISIAVMCTLPVWVRVAKRLEPMSLIGFTQCVAGLLCLSAIWAETLTQYWVLTMLM FIFKSSYLLMFPYLMRIVSREDHSQTIALLSVVVHISGIFAAASGGYILQHIGASAAL VMMAAGDFAQMGITLWLNLTGRIIKVNGVKAKKGQTVQDAAVMLADDATTSALAARDF KQPTKLQAYDRKGFSHFLKRILTLSLIMFLFDFSIYLIRPFFSEYWIYSTANNDQALA GLIFAIPGLTAVVALIVKRCLNDKMARRNENLTWNLLLLLVGLCLQSVSSDLAIVIGR CMYGWGRFQVAVKLEVSLFSISRKEDYAHHFSITNFFQNLGVLISSWCAGYIVGLISH QAAFFIAAAGIALTWLVNRLTLNLDRIKQPVAT MIM_RS13585 MTYTAKNGGVHDVLGVGLGPFNLSLACLMAPLTELDVVFLEQKS EFTWHEGMMIPGTTLQTNFIADLVTLVDPTSKFSYLNYCKQQGKIYQCYFHENFYLTR QEYNRYCRWAARQLENVHYCRQVTAITFDNKRECYVITATDLLSKCEHVYCARRLVVG VGSTPCLPDCVDETYQSVHSSMYLEKKSRLQQARRITVIGSGQSGAEIYYDLLRDMDH CMYELYWITRSSHFFQMETGKFALELFTPDYANHFYSLDNEIKSDVLGYQSSLFKGIN ASLIAKIYDELAQKSQSEVARTYLYSNLKLTQCNRLDSQTELILDHCQTSGRYRFMSD EVVFATGYDYRIPAFMQGIVDRLRLHDGRYRQNENYAVDMVGNQVFIQNAGLESHGVT NPDLGMNCYRNARIINAIAQREVYYVDCNTTFQAFSPEGNDQFLRLIDQ MIM_RS13590 MTAFSKGLRHSPRTFVPKLIRLSILSALAVTSSALAQTQKGEST APNITKLAPILAENQDVAVSEGTGQYSVPVASTSTRLPLSPRETPQSVNVVTRTQIDD FGLNNATSLLSTVPGMFVQQVETDRNYYNIRGYEVTNFQVDGIGLQFSTEEQMGDLDM AFYDRVEVLKGANGLLTNTGNPSGTVNFIRKRPKRAFSANANLSYSSFATRRLDTDIT TSLNSSGSVRGRLIAAYQKGNSYLDRYGLDKKVFGVIVDADLGDRTTLTLGHAYQKNK ARSAMWGALPLHYTDGSPVYYDVSDSTAPDWAYWNTEDQTTFAELAHDWGAGWNTKAT LMYRKITQDAEHFFVDGVPDRVTGLGLLSWPTKYNRYEKQWIGDISTTGKYRLAGREH DVMFGINVSRSKNYMTSLAADTNIPLPSLFVWNRQFPRPDFYTVEPSGADFIDRKTTA FIATRLNLTDAFKVIAGVNYTRATSDGVQYKYPHYFRRNKLSPYLGLIYDLNRNYSVY ASYTGIFNPQFRYDVQGQLVPALEGRNYELGLKGESDDGRLTGSVALFRAEQNNTAEY DTFKDGLGRYKAVDSRSAGFELALQGEVLPGLQVSTGYSRLFSIKDESGKAVREYVPK STFTIAATYAVPAVSGLKVGGAVRWQSEIRRLQGKTPAGVDIYTKQKAYAVADLMASY ELNKHVTIGANVKNVFNKKYLTSLMWAQSYYAPSRSVGMNVQLKY MIM_RS13595 MFEQQTRVTAIRIEQMDCPTEERLLRKALEKKPGVTGLRFNLMS RVLSVTHEPAVLDEVMQAIRKIGFTPELHDGKSATPRVAGKIGCCSHSHDTARSHGDS NGDSNGDSNGHAGHDDHHHKHDHDHDHDHDHDHGQAHPAHRHTGNTKQTQSAGEVSPN IGGGILPAWWHLGLGGVLALASELVHWFSGPVWLTIVCAVAAVALAGTATYRKGLVAL RYGDLNINALMSIAVTCALLIGQWPEAAMVMVLFTLSEWIEAKSLDRTRAAVSGLMNL APETVTVQIDGQWQKVEVAQVALGSRVRIAPGERIGLDGRIVRGVTTVNQAPITGESV PVDKELGDTVFAGTVNEAGEIEIDVTAAADSTTLARIITQIEEAQAVKAPTQRFVDTF SRYYTPAVVVLAVLLAIIPPLFFGGAWLSWIYQALVVLIIACPCALVISTPVTVVSGL TAAARMGILIKGGVYLEQGHRMGWLALDKTGTITQGQPVQTGMTPLDQAYSADQLQRI AVSLATRSDHPVSRAIAQAPEASALSAVTVDDFVAVAGRGVQGVIGDERWYLGSAVWA QELGVMSAAVKDRVAAAQNSGQSVVLLMNTRAVCMLFTAADKIKDSSIRAIEQLHQLG VKTVMLSGDHQSVVDAVAKTSGVTQAQAQLLPQEKQQLVQKLQKDGQVVVGMVGDGMN DAPALAQANIGFAMGAMGSDTAIETADVALMDDNLLKIPAFLKLSRTTRRVLVQNISL ALGIKVVFLVLVLAGLGSMWLAVFADVGASLLVVANGLRLLRFSQREV MIM_RS13600 MKIGELARLQGCTPETIRFYEKAGLLPAPVRTEGNYRHYDEEHV QRLRFIRNCRILDMSHDEIRSLLLAHDETPDDCQPVNQSIDAHIEHVETRIRELSQLK AQLASLRQRCSGPHDVKNCGIFQELQTAEMDSPHIHGHTHL MIM_RS13605 MSYYLIVRVKVNNPEGYASYTALTPDIVAAHGGRFLARCPSPVT LEGPREDQRVVLVEFPDEQHARAFYDSAEYQAAKAIREPFSEAQFLMVPGV MIM_RS13610 MENLVTIDQTKIEVKNLNFYYGKFHAIKDVNLRIAKNKVTAFIG PSGCGKSTLLRTFNRMYELYPGQRAEGEINMDGENLLTTSQDISLLRAKVGMVFQKPT PFPMSIYDNVAFGVKLFERLSKAAMDERVEWALTKAALWNEVKDKLGQSGSGLSGGQQ QRLCIARGVAIKPEVLLLDEPCSALDPISTVKIEELITELKKDYTVAIVTHNMQQAAR CSDYTAYMYLGELMEFGETEQMFVKPKRKETEDYITGRFG MIM_RS13615 MNTTSATTELPSQANTAIHSGNWIYRRRHMRNKIMLALSSAALI FGLFWLIWILFTLAVKGGGSLSFTLFTEKTPGPGEAGGLANALIGSVLMSAVGTAIGT PIGILAGTYLAEYGQRGWLAPATRFLNDVLLSAPSIVIGLFIYAIVVANSGHYSGWAG SFALAILVIPVVVRTTDNMLALVPNSLREAASALGCPKWRVVTMICYKAAMSGILTGV LLAVARIAGETAPLLFTALSNQFTSWNMNAPMANLPVVIYQYAASPFKDWNELAWAGA TLITLLVLALNIIARNVFGKK MIM_RS13620 MQNQLPPNSAPSNVFADALFKNVARFFAFFVFMLLAAIMVSLVY GSQETLFKYGASFLWTNDWDPVNDIYGAVVPIIGTLITAFVALIIAVPVSFGIAMFLT ELAPTWLRRPLGTAVELLAAIPSIIYGMWGLFVFVPIFQQYVQPTLIEVFGSIPFIGQ FFQGAPFGIGLFTAGLVLSIMVIPYIASVMRDVFEVVPPMLKESAYGLGSTTWEVMWR VVLPYTKTGVIGGIMLGLGRALGETMAVTFVIGNSFRLPGSVFDPSNSIASAIANEFN EAAGLQKSSLIELGLILFLITTVVLMASRLMLLRMSAAEGKKS MIM_RS13625 MFKHALKSVSVAIALSTATFAAHAADVTGAGASFPYPVYSKWAS MYAAETGNKINYQSIGSGGGQQQIIAKTVDFGASDDPLNEKKLAENGLLQFPAVIGGT VAVVNIDGIKPGQLKLTGPVLADIFLGKITKWNDQAITALNPGVTLPDGAIIVVHRSD GSGTTFGWTNYLSKVSAEWKEKVGEGKAVKWPTGQGGKGNEGVAAYVGQLKNAIGYVE YAYAKQNNLSWTQLKNKDGNFVQPEQKAFAAAAANADWKSAPGMGVVLTEEPGADSWP VTAATFILVHKKADKPEQTKAVLSFFDWAFTKGGQAAADIDYVPLPDAVTKEVRAAWA AEIKSADGQAVWK MIM_RS13630 MSDTTSVSVSTPTPDSATLLNRELSLLKFNERVLGMAVNQANPL LERLRYLCIVSSNLDEFFEIRISSLKEQERQFPNVPGDDGLTPSAAFELVQQRVHELV DRQYALLNKDIFPALRKRGVSVLAPREYTEAVSEWARDVFLTEVLPLLTPIGLDPAHP FPRVYNKSLNFIVSLSGEDAFGRRASIAIVQAPRALPRLLEIPESVCGQRHTYTLLSS LMQQFSGDLFPGMEVKGLYQWRVTRNSDLFVDEDEVTNLRQALQGELSQRNFGAAVRL EISENTPVELEQFLQREFALGPKDTYRTHGSVNLTRLMPLCDIDADPQLVFPSYRARL PAPFDTMNDNPAEMFDAIAREDLLLHHPYQSFKPVIDFLTSAALDPQVVAIKQTIYRT GEDSELMGLLLAAAKAGKEVTVVVELMARFDEQTNINWAAKLEEVGAHVVYGVVGHKT HAKMLLVLRREGKKIVRYGHLGTGNYHPRTARLYTDFGLLTANPDLTNDMDRVFSLLT GLGARRPLKLLLQSPFTLHDRVVKLIQAETEQAQAGKKAVIMAKMNSLLEVDVINALY KASQAGVRIELIIRGVCALRSGVPGLSENIRVRSIVGRFLEHSRVFYFHAGGQEQVYL SSADWMDRNFFRRVEVAFPILNPVLKKRVINESFIFPLKDNVLAWEQKPSSEYERVKN RREPFNIHHYLMDLLGKAG MIM_RS13635 MDQLLAAVDLGSNSFRLSIARIVQNENSVQIYATDRLKETVRLA AGLNAERVLSEEAIQRAIAVLSRFGERLENFPAGRVRAVATNTFRVARNVAEFLPRAE AALGYPIEVISGQEEARLVYSGVIHELPPSSKQRFVVDIGGGSTEFIIGRELEPLIMK SLSIGCVTYTKAFFPDGKVTEESFVQAEIAARKEIEIISRQYRHLGWDEAFGSSGTAK ALVATLEESGFSEKGITLDGMQKLKKVLIRHGSAQAPELRGLKLDRMEVLPAGLSIMM AIFSELQVKQMFQGDGALRVGVLYDMLGRDTSHDKRDETAGVFMKRYHIDTRQAARVK KQALIFFDSILASETAADDLRRYLGWACDLHETGLSISQVNYHKHTAYVMANADMPGF SEAEQELLSFLTLGHQGKLDKLLPEKPSRVKWLLTLCLRLAVVFMRRRQPMTSLPVSL ETDEQNLTLHVGKKWLEDHPLTRYTLSLEAAEWKKAGFTLDIIPS MIM_RS13640 MQEIMRIQADENDASSHSLVLGIATTAEEVEQLQRLRYEVYTNE MNVVFPGAINGVDIEPFDKWCVHLMVKDLKTDAVVGTYRILSPEKAVEAGGYYSEQEF NIDQLAHIRHVLCECGRSCTHPDYRNGQAIMLLWNGLARYLLSNNYRYMLGCASVSLA DGGVQASKVWRAAKRDIDAHPDRPRMVPINPYPLDKLTLTDDAKTPALIKGYLKIGAH ICGEPAFDSDFNSADFPVIIDVANIDPRYKKHFGLV MIM_RS13645 MGRKYFGTDGVRGEVGGPVINAEFALRLGYAAGRVLSKNYKDSA RPMVLIGKDTRISGYMLESALEAGLAAAGIDVYLAGPIPTPAVAYLTRALRLTAGVVI SASHNAYQDNGIKFFSGNGTKLPDNVELEIEAALDEPVGCVDSEHIGRARRMPDSAGR YIEFCKSTFPNDLDLKGMKIVVDGAHGAAYHIAPHVFRELGAEVVAIGCNPDGFNINR DVGALHPESLAEKVREVGAHYGIALDGDADRIQMVDADGRIYNGDELLYAMIRERMMH GRVAGVVGTLMTNYGFEKIMQIMGVPFERANVGDRYVLEAMQRNGWLYGGESSGHLLC LDHHSTGDGIIAGLQVLTALVRSGKTLAQFISDLKMYPQIMVNVPWKKGADWKAHAGL QAAKEEVERELDGRGRVLIRASGTEPKLRLMVEAESEALARQGVERLQAVDLAA MIM_RS13650 MNYSTFICGRFELTLKRPLVMGIVNVTPDSFSDGSAHFSTDAAT AHAFELIEQGADMLDIGGESTRPGADAVSEEEELRRIIPVIQNLRDCGKPISVDTFKP AVMRAALAAGADLINDIYALRMPGALEVVAAHPNCGVCLMHMQGEPKTMQAAPQYGNV TDEVYRFLEERRAAAIAAGIAASRICLDPGYGFGKTVQQNYQLLREQGSLSGLHSPLL IGLSRKSMIAAVTGRAPQDRVAGSIAGALAAANRGAAIIRVHDVAQTVDALKVWAATE QGEIHGA MIM_RS13655 MNNSFSKFAIWVVVALVLFTVFKQYDGAGQVTEGVSYSQFMTDA KQGKVSGVEIQGNTLTVTPSSGTRPYTLTAPNDLWMVGDLVREGVKVTAKQPEQPSFL LSALISWFPMLLLIGVWFFFMRQMQGGGKGGAFSFGKSRARMLDESTNQITFADVAGC DEAKEDVRELVDFLREPSRFQRLGGRIPRGVLMVGPPGTGKTLLAKAIAGEAKVPFFS ISGSDFVEMFVGVGASRVRDMFENAKKQAPCIIFIDEIDAVGRQRGAGVGGGNDEREQ TLNQMLVEMDGFESGQSVIVIAATNRPDVLDPALLRPGRFDRQVVVSLPDVRGREQIL NVHMRKVPLAPNVEASVIARGTPGFSGADLANIVNEAALFAARRNGRTVNMMDFENAK DKIIMGAERRSIVMPEEERKNTAYHESGHAIVARMLPKTDPVHKVTIIPRGRALGVTM QLPEGDRYSMDKERLLNTIAVLFGGRIAEEIFMNQMTTGASNDFERATAIARDIVTRY GMTESLGPMVYAENENEVFLGRSVTKTTHVSEATMQKVDAEIRSIIDEQYSVARKIIE ENSDKMHVMAKALLEWETIDAEQIGDIMAGRPPRPPEAPEPAGDSSDTPPPSSGPARK DPGSDKATVTA MIM_RS13660 MAKKKFSKEWIHQHINDPYVKMAQQKGYRARAAFKLIEILDSEK LMRKGDIIVDLGSTPGSWSQVARERLTAEGGVLQGRVIALDLLPMEPIAGVEFIQGDF RDDDVLAILNERVGEQQVNLVMSDMAPNLSGVSSADSARIQHVCELALAFSLQHLTPD GALIVKAFHGSGFSQIVQMFKQHFKRVVERKPKASRDKSSETFLLGRGLKHPDKREF MIM_RS13665 MPTLELTSKERSELRAAAHPLKPVVLIGDQGLTPAVLKEIDMNL TAHGLLKVRVAGDDRAARVAMLDTICDSLSCAPVGHVGKTLILYRHGSQNRRWEQKAE EPVERSRRLPNEPHTPKKLLAAGKTLKDRKAPRKSARGNDELVGTPARKPARAPSARP GSSEAHGIPRRAGSALSLRAGRRSTAAPRTSRRGGR MIM_RS13670 MAGIPLTAQGAKRLEEELHRLVSVERPGVIQAIAEARAQGDLSE NAEYDAARERQGFIEGRINELEGLLSNAQIIDPASLDVDGRIVFGATVGIEDLNSGSN VTYQIVGDAESDIRLNRISISSPVGRALIGKAEGDVVVVTVPSGEKEFEIISVEYK MIM_RS13675 MSGPFFPIWRRVERAREHQIVAPDERLSWPQTAVMGAQHVVAMF GATVLAPLLMGFDANLAVLMSGIGTLIFFFFVAGRVPSYLGSSFAFIGGVISVTGYAG SGPNANISVALGAIIVCGLVYALVGVLVWYANSRGKGSAWIERLMPPVVTGAIVAVIG LNLAPIAAKGAMGSGTTFEALMALMTILCVAGVAVFTRGMIQRLLILLGLIAACVIYW LLTNVAGLGTPIDFSAVQAAPWFGLPTLVAPVFSVSAISVMVPVAVILIAENLGHIRA VSAMTGQNLDQYLGRAFLGDGVATMISGAVGGTGVTTYAENIGVMAVTRIYSTLVFVA AACIAILLGFSPKFGALIHAIPGPVLGGVSMVVFGLIAIAGARIWVVNQVDFGDNRNL IVAAVTLLMGAGNFTLQLGAFKLEGIGTATFGAIILYALLNLRQRSAVPADQSPFDQE QRL MIM_RS13680 MSHTDFWIIRHGETDWNAERRLQGWRDIPLNPNGIAQAEALNAH LQERFSDAGPEHIYTSDLQRAYHTALPYARSCDKPVQRLPGLRERNYGILEGQLWSAL AGFDSAHTHNLAIELDPNEHKAEDLATFYTRIRQTLNTLAQKHRNETVMIVSHGGAID MMWRAAGNLAPNAPRQFSQRNTSINRLRIISDDSWQIVSWADTSHLPQVVTE MIM_RS13685 MRVFISGASSGLGEAFARYYDARGAQLGLFARSGDKLQALSASL SKPASCYAGDVRCGADLHKAAADFLQDGPVDIVIASAGISVGILNGMVEDADVFREII ETNVLGLGATFEPFIPGMQSRGSGTLVGISSVAGVRGLPGSSAYSASKAAVTVFMESL RIDLYGTGVKVVTIAPGFIDTPMTRQNPYPMPFLMQPDAFAQKAGQAITLGRRYTVIP WQMGLVARLLRFVPSALYDRVMSRSGRKPRRH MIM_RS13690 MKGHPKVIDYLNFLLSGELAARDQYFIHSRMYHEWGYTKLYERI GHEMQDETDHADALIRRILLLEGTPKMVSAEIRVGKDVPEMLQLDLETEFEVRDNLKK GIALCEESGDYVSRELLRVQLEDTEEDHAHWLEQQLGLIKRLGLQNYLQSQL MIM_RS13695 MPKRTDIKSILIIGAGPIIIGQACEFDYSGAQACKALKAEGYRT ILVNSNPATIMTDPETADVTYIEPITWKAVEKIIEVERPDALLPTMGGQTALNCALDL AHNGVLEKYNVQMIGANEQAIEKAEDRLKFKDAMTRIGLESAKSGVAHTLEEAWEVQK RIAAEAGTSGFPAVIRPSFTLGGTGGGIAYNAEEFETICRRGIEASPTNELLIEESLL GWKEYEMEVVRDQADNCIIICSIENLDPMGVHTGDSITVAPAQTLTDKEYQIMRNASI AVLREIGVETGGSNVQFALNPDNGRMIVIEMNPRVSRSSALASKATGFPIAKVAARLA VGYTLDELQNEITGGETPASFEPTIDYVVTKIPRFAFEKFPQADSRLTTQMKSVGEVM AMGRTFQESFQKALRGLEVGVDGLNQKTLDREKLQVELAEPGPERIWYVGDAFAQGFS LDEVHALTKIDPWFLEQIKEIVDIELELEQKTLSDLNETVMRRLKRRGFSDRRLAFLL DVSESEVRKVRHQLGVRPVYKRVDTCAAEFATKTAYMYSTYEEECESHPTDKKKIIVL GGGPNRIGQGIEFDYCCVHAALALREDGYETIMVNCNPETVSTDYDTSDRLYFEPLTL EDVLEIVHLERPVGMIVQYGGQTPLKLARALEANGVPIIGTSPESIDVAEDRERFQKL LQKLGLRQPPNRTARTEAEAIAHAADIGYPLVVRPSYVLGGRAMEIVHEQTDLERYMR EAVKVSNDSPVLLDRFLNDATEVDVDCLADDEVVFIGGVMEHIEQAGVHSGDSACSLP PYSLSTEVIEEIKRQTSLMARALNVRGLMNVQFAIQNGDVYVLEVNPRASRTVPFVSK VTGYQLAKIAARAMAGKSLAEQGVTEEVAPSYFCVKEAVFPFVKFPGVDTILGPEMKS TGEVMGVGRTFGEAFVKSQLAAGVLLPETGTAFLSVKDQDKSKAVELARGLVNLGFKV VATRGTAAKLNESGVQAQVVNKVTEGRPHVVDMLKNGEIQLVINTVEERRNAISDSRV IRTQSLADRVTFFTTLAGARAAIEGMQYLRDAEGIQVYSLQNMHAGLASAKTT MIM_RS13700 MADGTIFKGISIGANGHSVAELVFNTAMTGYQEILTDPSYTNQI VMLTYPHIGNTGVNPEDVESSQVHAAGLVVRDCPRRLSNFRATQSLPDYLKEQGVVAI SGIDTRKLTRRLREGGAQGACILVGDDEQKAIDLAGAYAGMAGQDLAKVVSRTAREEW HEGTWQRTQGYTRPSQDRFHVVAYDFGVKSNILRILADLGCRLTVVPAQTAAADVLAL NPDGVFLANGPGDPEPCDYAIETACQVMEKKIPLFGICLGHQIMGLALNARTIKMKNG HHGANHPVKDVQSGRVYITSQNHGFAVDADTLPDNARVTHVSLFDGSLQGFELTDRPA FCFQGHPEASPGPHDIIVLFEKFIRSMSEKN MIM_RS13705 MYLPVASSQVISFANPFVGVGSAQQEIALNPCLPETDVRNQFGA GAVQQLQQALNQWPANVCTDPLIARNLADWLYNSSALIAKTDRPLVMADIVQTRTRVL LACRDLKCMRDKLPAMVDWAKTNLDRTPVYGLDDQPSSVEGALLSLPRLSLRGLDLPL PGQQKFCGGGTIDDLNFFSTNLKVSGKAYVLVKCKVDNGERKSWILENDGGKDWRAVV DLRSSSMQIGTLRRNNHPIISSYLEETGGTRVRILSYQDNSYQEMLRFLVVEDRSKLG HAFEIENR MIM_RS13710 MNQLDQLRKFTTVVADTGDFESMRAHKPTDATTNPSLILKALSK DAYRPILQDTLAKYPNATPADTLDHILVEFGRRILEIVPGRVSTEVDARLSFDTEGTV ARARRIIELYDQAGVDRKRVLIKIASTWEGIAAARILQKEGIACNMTLLFSLIQAVVC ADAGVTLISPFVGRIYDWHKKAAGSNWVETDNSGTNDPGVKSVTRIYQYYRRHGINTE IMGASFRNVGQVLALAGCDLLTISPELLGELAATDGSVTAELHEAMAAKAHIDSIAPE EKNFRFLLNEDAMATEKLSEGIRTFVADAIKLDALIDDCRKNG MIM_RS13715 MRDMLPEPEPLSALIEALRRLPGVGQRSARRMAYHLLQYDIAGA DQLSRALSTAVSDIQHCARCNSFSQNDICETCLNPKRNAAQLCIVETPADQNMIEASH GYQGLYYVLMGRIAPLEGVGPAQLNFERLLRRAMDGTVTEVILATNFTAEGETTAHYL TEILREKGLQVSRIARGIPTGSELEYVDAGTIAWAFMERRGA MIM_RS13720 MMKNQLAGLMKQAQQMQENMKKAQDALADILVDGESGGGMVKIS MSCRHDVKRVTIDPALLGDDKDMLEDLVAAAFNDALRKAEATTQEKMSGVTAGMPLPP GMKFPF MIM_RS13725 MSYLVLARKWRPRSFETLVGQDHVVRALTNALNTQRLHHAWLFT GTRGVGKTTLSRILAKSLNCETGITSKPCGVCRACVEIDEGRFVDYLEFDAASNRRVE EMTQLLEQAVYAPSSGRYKIYMIDEVHMLSGHAFNAMLKTLEEPPPHIKFILATTDPQ KMPVTVLSRCLQFNLKQMPPDAIVAHLNHVLTEESVAFEVPGLRQIAQAAGGSMRDAL SLTDQAIAFSGSNITGDAVRDMLGTIDQRFLVRLLDSLNQGSAQGVLDIANELYERGY SFAGALADLAVLLSQIAIEQRLPGTALTEAVLQDDIVRLARTCHPDTLQLFYSIAIHG RNELALSPDEYAGFVMTCLRMLSLVTSEPAHSTVSEWQAGNGHLSGGQKADTAASPQH HEASAVPPAAVAAQQGASQAPATTDQAVTASAADLQKKNLNDLSTVAAATAAAPAAES QSSSASEYPPTSVIAVQPQTEQVPSATPVAAETAGQVVASDINTRETVTHEGALPVKL VPQREPIVVPAVRLEKTREQERPAWEDDAASPADHRGEPDLQAGNGTAGQEQARVQPL NTPGAHQAADDSGPPVWEDIPPDWEAAGLAGQTDDDGDIVPGDNVVVEQDQTWVPTHQ DPQAHRPLATMNAQEWVQLVAELPLSGYAAEMARRCEWVDHKQNKITLRIPVKGQNDL NARTKLETALTEYFHEVVRVDMVFGHTGDATLHAVEQAEREALQKRVEKAVQENEFIN TLITDLGARVVPDSIEPIRQKSA MIM_RS13730 MKMIERQPSDVKARAQALRTDKSFIIQAPAGSGKTELLTDRILA LLGGVTKPEEILAITFTRKAAAEMHARVLRKLRAGLSDQEPASTHEKNSWLLARAALA RNEQQGWDLLNHPARLKVRTIDAFCAQLVRSMPWMSAMGGMPGIADNPEQLYLQAARQ LLEHVDHNDSVQDVLLHMDIDLVSVERLIVDMLARRDQWLQETVNTQAAMTQLRMALT DVMEQKLDTLAEAMPFSYASELAPIARLAAASQAETAVCMLEPLLDWDGVPLAGSVEA LPQWQALSRLLLTGSGGLRKRLTVKEGFPAKSAHKQAMQDWLDAWQNDSEHWTGLLAE VSGLPSEVLSERQEKIIRSLLEALKLAAAELMLVFQEEKQVDFIEIAQRAALALGYTD DPSDMLLKLDARISHILIDEFQDTSQIQIQLIEKLTLGWSPGDGRTLFLVGDPMQSIY RFRKADVGLFLSVASEGINGLELEKLVLTENFRSQAGVVNWVNQSFRHVFPEYDDLTL GAIRYTESVAFNAAADGPAVRFHPVYQAEDKDAETTQAEAEQRTLELVRSALAANPDS EHPVAILVKARTHLGALMQLMQRNGIPVRAVELNPIRAEQSVIDLVQLIRALAHPADR VAWLSVLRSPVCGLTLKALTHLFGDSRDTIAFRLQTVLAQEAEFAPLLGDEWPRLQRC ARILLDRSHVVADIAFSSHVESVWRALGGYGVYASDTAFADFQAVFDLVDRLAPYGDL NPADLEDALARLYSATSAEQRAVEIMTMHKSKGLEFEVVILYGLHRRPRSDTAPLLRF ERNRNRLLMGPIKPKAAEETDKLSAMLGAMEKRRSDYELDRLLYVAATRARQRLHLVS QVQCKQGQVVEPPRGSLLSRLFSLFELVPPVPQEPRESATVDAVISDVQGPQLSRITT QALAQLPVTASGNAVMASAGSGWQFDDKYEASIGTVTHAWLARIGQDHMQGWNEARLQ AAREIIVRQLENEGLRQAQARTGAQRVYDLLSCCLASEKGRWLLSHAQARQELPLTSM DGKLLIVDVAVSTDTGWLVVDFKTGERREHEPQDVFEARMKDTYRLQLQGYCERLSRL DGREATAAIFALDTGDWIEFDPAQLASAL MIM_RS13735 MACSIDAVTPETVASLDPETTVVVTVNNRLVWRVHALLLAQARQ GSAVLQLPAASSLAHWLDQLHQERLFCVDPTVRSHRLSAFAARLLWEEVVASMQGETP LIDVQLAAARAMAASHDRDEWDVQVQPQEQTEEYLRFKQWRNQYEQRCRELDAQDDNH LYNTLIDSVEAGRLSRLPATVVLAGFNEYSPRLTRLLQACASRGCQLVSLSLPRHEQS QRTLSTQKDRSSEWIAAAHWAAGELAADPLRRVAIVSPAMEADAVFARRILDRELSAD PLIPPYGYNMSVGRALAEWPVVFAAFRWLSLIAGLCDQGVVRPQQFGQALLAGFCSAS AVEQSAMAVLDARLRESQNIEWHTDQVCAQMDRHASDFSYVFRQAIAHWQQAASGGRQ DTEHWTQAIRETLALLGFPGSTLSSTNYQVCKAFDELLHTFSLLNLYAGRLSGGSAVA LLQRMAREAMFQPQRDPNVRLDVLGFLEAEHGQWDAVWVLGLTDDVIPASPDPNPFLP VAALARAGAPRATPEREKAWAIDMLQALCGVAPQVVLSHPASEADRALRPSPLLSFFV GASAGQGESVGVSECLSRARCAALPLETLSDNRGLPLTKMLEGGSDLLETQALNPLWG YVRYRLLAKGLRPYPESLNAAIRGTFLHSMAQKVWEMLGSSERLLQLDQNAQSELVTQ AVAMAADQTLNGVSPALAAMEKARGHAVMMTLLDLERERPPFHVMGVEQAAAWQHASV KVNLRVDRIDETEQGAKVVMDYKSGQRTPDFQKDWLRPQPINLQLPLYATVLRQADAA GQRGQIEALLFAKLNAKKTEFNGLGENGSDIAGITSLAKLTPEQSWEALLDGWERSLE QLARDISEGVADNRSWTVNDLMYCDVLPFLRLAQESEDD MIM_RS13740 MKDVLLKLVLSSLAAQLSYGAFAADQQDDDQRRKSRTVQGLTEI VRNVEEKTQGKVVLLTPRLHDGKTHFEMLVAHSGQFQKLIADPDGVYEIPERPDTDAP A MIM_RS13745 MLFMTLTDTTPLYAKIEAALAAEIASGTLAAGAQLPTEEQLIAR FHMSRTTVRKAIENLVVRGLVEIRRGKGTFVTHPKVTQALTELTGFAEDMVVLGRHPT SRLLDKRIVNADEQIARALQIAPGTQVYRIERIRLADGIPMSFDETYLPLEIGEKVVS NNLDAEPIFDLLENKYALPLVEASYQLEAVTADKHVAQALNIAIGAPIFLIERTSYST GEQPVDYEKLYYRGDSIRFATRLSRRFR MIM_RS13750 MDITTLFWLFAAAFGASLLGGMLGMASGIFIVPILIIAFGVDIH VAIAASIVSVIACSCGSAAPFLKERLTNIRLAIVLETATTLGAITGVLLIGIIPTPVL YGLFAVILVLSAQQMMARRRESAVTGTPDPQSWASRLGLHSTFPDRELGRDVAYQVAR VPLGLSLMYGAGVISALLGIGSGVLKIPAMDTALKLPIKVSSATSNFMIGVTAAASGG AYLVRGDIDMNIAGPVALGSVVGALAGARLLMGLPADKLRALFAIILIALAIQMMLSA LGIHLWETGS MIM_RS13755 MNPSNSKPQRQDGIVACLLWYGTLIASAVIAIGITVGALTQMGY IPGQPHAGYALIRLGVVIFVLLPILRVALMLVMFGHARDYIYTAIAALVLAIIGAGVL LGL MIM_RS23330 MSNDNEFDIALDNIDFDAIEKKNAELIKKMDENVPEADDDCGDA CKI MIM_RS13760 MRGISISDRIVKHIHGKGRGWVFTPRDFIDLGSRGAVDVSLARL KQSGKIRRIGHGLYDYPVLHEKLGPLSPKLDSLAQAVSNQSRTKVFDSGATAVKNLGL STQVPARPTFTTSGPSRVKKVAGRTLALKHARIPLIENAPDHVNAVLQAMVHLGRKNV DADTIQRFADRLDDRYLKALMKSRTKIPGWMGDIVLKISAAKHG MIM_RS13765 MVKQAVFTMKLEPELRDSFMAEAAGEDRPASQVMRELMRGYIQQ RRQAREYDDYLQRKVEAGRASMRSGLGRSNDEVEADFAARRRSVAAG MIM_RS13770 MIEKFSKYDPANVLETDEDIAFFMADALETGDSGYIANALGIVA RAKGMAQIAEETGLSREQLYRSFSERGNPTLKTTMAVLNALGVQLTAKHAA MIM_RS13785 MAASKHVSETPATQMLKKHKVAYTEHTYDYIEHGGTTEAARQLG VDPHAVVKTLILEDEHARPHVMLMHGDCEVSMKNLARQASVKKMQPCLPAVAPRQSGY QIGGTSPFGTRKQMPVWVEQSVLALPQIYINGGRRGYLLGIDPQVLVTLLRAQPVNVA N MIM_RS13790 MPDQHATAAHQHVIDPFIDALWLEDGLSQNTLAAYRQDLNGLAD FLAKRKPAKTLLETTKDDIEAWFAARHAHSKATTANRRLATLKRYFAWAIRTHQINED PCLTLHSARQPTRFPKTMSEQQVDQLLEAPNTRDALGLRDRAMLETLYASGLRVTELV SLKVLHLSLNENVVRVVMGKGGKDRLVPVGAQAAYWLERYLKEARPELLGQRQSDDLF LTRRATGMTRQAFWQLIKKYALQADIHTPLSPHTLRHAFATHLLNHGADLRVVQLLLG HADISTTQIYTHVARERLKQLHAQHHPRA MIM_RS13795 MHIALLVIPDCLIVALGWVLLHKLKFSREFFTTTEKLVYYILFP ALLIQSIAFTPISASSAGRLLAVSMLLCLAGYLAAWLARPLLKPRPIALASLAQCAYR FNTYIGFSLAAALAGSEGQAVMAVLVGFSVPLANVLAVKSLARFQGSNVWLEIVKNPL VLATLVGLLLNFSGIGLDTTINATLSKLGNSAIPLGLLCVGASLSLAGGNQDRAVISW ILAIRLLAMPAFAFILAFMLGLSALETNILVLFAALPPASAAYILAARMGGDGRSVAT AISIGTVFSVLTIPFWLFVGEKLVN MIM_RS13800 MSHLQTSPPEPVASTSIYLSDEAATEQLAKTLSDIFSHYFSNSY ENPSDTGKSAKVYLKGDLGAGKTTFVRHFLRAMGVTGRIKSPTYTLLETYKVSSLYLY HFDFYRFTDTEEWHEAGFRENLGEDAIVFIEWADKAGPGLPTPDLELHLIYESAGRTA QFNAFSEKGKTWITRLIHRKMPTGDQ MIM_RS13805 MDNKAHSQENANRRSITRRRILGASATLLSLPVVSKVAAADGQI LAVRTWPADEYTRVTLELNAPLRAEHFMLGNPNRLVVDIQGLTISQALNSLISKIRPN DPYISTVRVAQNRADVVRLVFDLKQDIAPQVFTLKPVGEYQYRLVLDLYPKVAKDPIL ALAKDLDNDPLAQVLDNLAQNNSNAPVPSVSGQVNPATANRQPSRANPNRPILVAIDP GHGGEDPGAIGARGTREKDIVLAIGRQLRDVINSQPNMRAYMTRESDFFVPLHVRVQK ARRVKADLFVSIHADAFTNRSARGTSVFALSRNGASSAAARWLAKKENASDLIGGLDI GAHDRQTASVLLDMSTTAQINDSLKIGHRVLGSLAQINGIHSRKVEQAGFAVLKAPDI PSILVETAFISNPQEENFLRSPANQRQIARAIFSGVNDYFSTNPPLARTG MIM_RS13810 MSDRRHIAPLPDTLISQIAAGEVIERPASVLKELLENALDAGAR SIEIRLDGGGIRRICITDDGHGIPQEELVLALTRHATSKINSLDDLESVSSMGFRGEA LASIASVARVTLTSRTEHDDHAWQIDGTALQISPAAGGTGTTIDVRQLFDAVPARRKF LKSEPTELGHCLTVAERIALANPAIAFRLFHNGKAYKQWMPVDLLQRIRDVLGNEFTQ AGLPIDAQLPSAGLRGLITLPNAARARADRQYLYVNGRFVRDRTVTHAVRAAYADVLH GDRQPAYVLFLDIDPTAVDVNVHPAKHEVRFRDSGAIHRFVGQVLTQALAGVGGEAAA ANALPARLPAGLAPAGQDAYIAGAEYEHAGGPPINNEQARPAPRMTPGYQPSLHLHSA SPQSTEQWKQFYSPLASAPQQLDEPRADLAIPGAATTFNPAANGSAVAGVGLPDTGDN ADPYPLGMALGQLHGIYILAQNARGLILVDMHAAHERVVYERLKTLLDQQALPQQELL VPYVIHCSERDIAVMESHQEQLTTLGLTVSATGPQSLAVRSVPSLLAGGDIESLVLNV LKELEMVGHSEQLTGQRNELLSTMACHGSVRANRRLTIEEMNALLRQMEHTERANQCN HGRPTWFQWSMNDLDRLFMRGQ MIM_RS13815 MTQPVFCLAGPTASGKSASVLALAQRWPIEIINVDSATIYTGMD IGTAKPTPQEQASTPQHLLDIRDPTESYSAAQFVQDTQRLIEAIRGRNRIPVLAGGTM MYFNALRQGLHALPQADPAIRAEIDQMAQQHGWPYVHSLLERHDPVTAARLAPNDRQR IQRMLEVCLIAGKPYSSLIETEGERAGSDTFHMVSLEPSERLQLHDRIALRFDQMLEL GLVNEVQQLWRRGDLHENLPSIRCVGYRQIWQHLAGQDSLEQAREKAIAATRQLAKRQ LTWLRSMPDRQVIDCLQADVASRVIDAAARVMPH MIM_RS13820 MTNSNKSSLTYRDAGVDIDAGEALVDRIKPLAAKTMREGVMAGI GGFGALFEVPKKFKEPVLVSGTDGVGTKLRLAFEWNRHDTVGIDLVAMSVNDILVQGA EPLFFLDYFACGKLSVDTAAQVVGGIAKGCELSGCALIGGETAEMPGMYPDGEYDLAG FAVGAVDKSAIIDGKSIVEGDRILGLASSGAHSNGFSLIRKIIERAGARPDDDFHGQP LVDVVMAPTRIYVKQVLAALAAHGTAIKGLAHITGGGLLDNVPRILQDGLTAQIDKSA WTLPPLFQWLQQEGQVADNEMHRVFNCGIGMVIVVAADQAAAVTATLQEQGETVFDLG QIVRQEEGMPQAVVL MIM_RS13825 MLLVLLYVLSPVLTPFMLGMALAYILVPGVNMLVTLKLPRWLAV SIMFFLLFAIILGVLLIVIPLVRKEVGLAIEQLPGWVAQYNINLAPRLNQLFGVSAEI DILKIREMLQDAITGTDSLTTTIITYAKSSGSAVIFFFVNLLITPVVLVYLLFDWDKF ILSIREVIPRRYLDGTLSVFHDIDRLLSSFLRGQILVMLILAVYYSVGLSLAGFESAI PIGVLTGMLVFIPYLGVTFGLLLAILSALLQFDNYYGLISVAIVYGLGQILEGMVLTP KIMGERIGLHPLVIIFALFVFGQIFGFFGVLMALPISAALSVLIRRVYGQYRRSDFYK T MIM_RS13830 MKEQLILDVLPVVPPRFDNFVTGRNDETVSRLLGAGAGQSIYLW GEHGSGKTHLLLAATRQRGLYLNPVTDALPDPGEPVAPGSIIAVDDVQNLSEAQAAAL FGLFNQWKTSQHTADAFTLLASGPCAPRQLEIREDLRTRLAWDLVYRVETLTDDERRS ALLQRASDKGIPLSTEVTNWMFNYYARDMSALSSLLDALDRYSLAEKRSITVPLLKSY LQSRHS MIM_RS13835 MTQRLALFDLDHTLLPIDTDRHWVDFLARNGWAGDPKAVQKKNH ELMEKYSAGTLKIEESAEFMLSFLNLHTPYELARFHEQYMHEVVRPAMREKAVALVAH HLEQGDLCCLVSATNEFVIAPVARAFGIPHVIGTVPEYKNGRYTGKVVGTPSYQGGKV IRVNEWLNRMGLALDQFSESWFYSDSQNDVPLLEAVTHPVATNPVPPLRELANKKGWQ VLDLFPLQ MIM_RS13840 MIKNTIRTFVSRLFAAPVQKDKRRIYSQEQHKIDRRLVSRNAIK VCEVLQQKGYDAFIVGGAVRDLVLGYEPKDFDVATNATPEEIRPLFRRARIIGRRFQL VHVVFGQEIIETSTFRAPSQGNQHTDADGRILNDNVFGTQQEDAARRDFTLNALYYDP VREIVIDYHNGVADLKNHLVRMIGDPETRFREDPVRMLRAVRFASKLNGTIEPATQAP IHQLAGLIKNVPDSRLFDETLKLLTCGNAIVALEQLRKEGLHENVLPLIDQVLRQPGG EQFVQLTLERTDHRVRSGKSVSPSFLFAALLWRLVDVNWKKNLARKEHTVPALSQAAD LVIDTHISKMAIQRRHTADMREIWFMQPRFERRIPKQIWRMTEQPRFRAACDFLQLRA ACNEFDSVLAQWWMDLADATPGERTEMIDGLTAEQQAAGPASPPRKRRKRRSNASSKS RTTGGGNTTGNASSGSPAAS MIM_RS13845 MHTAYIGLGSNLGESEDTLYRALNDIAALPNTLVQQVSDLYRTA PVDSSGPDYINAVAQLATRLTAAQLLQALQAIELNHGRVRPYRNAPRTLDLDILCYDD LVLNTTQLILPHPRMHLRAFVLQPLLDIAPDMHVHGRPIGQWLADCEAQPVTLYRRYA ERDR MIM_RS13850 MNDTVLKTENLTRYYDVSQGWMKPRATLKALNGVSLCLQRGKTL AVVGESGCGKSTLARQLTMIEAPTSGTLWLDDEQVNPASASQIRSLRSKVQMVFQNPY GSLNPRRKIGDQLEEPLIINTNLKSAERKERVRDMMQRVGLRPEHYYRYPHMFSGGQR QRVAIARAMMLRPSIVVADEPTSALDVSIQAQVLNLFLDLQDQLHTSYVFISHNLSVV EHVADEVMVMYLGRIAESGPKQTLYEKPLHPYTQALLSATPSIYPERRTVKIKIEGEL PSPLNIPSGCAFHKRCPHAVAQCREQEPALREVQGRQVACHLAEQFV MIM_RS13855 MSLLNIRNLCVRFGTREKPFLAVDGVDLTVDKGELLGIVGESGS GKSVSMMAMMGLLDGQSTVTADQMLFDGQELSTLTASQKRRIIGKDIAMIFQDPMTAL NPSYTVGFQIEEVLKVHIGLDRKARRQRAIELMREVDIPDPERRLSAYPHQLSGGMSQ RVAIAMAIACEPRLLIADEPTTALDVTIQAQIMDLLLRLQKERGMALLMITHDLAVVS EVAQRVVVMYAGQVAETGAVRDVFFEPAHPYTKALIASIPESCRGSRRLSTLPGMVPG QYDRPAGCLLSPRCPIAQERCRRERPELISYSGRAVRCHTPLIPLQELKS MIM_RS13860 MNQLSVADTQSSAAGTITYPSPLREFVVSFSNNRGAVAGICFLV IMVLAAALAPWLAPYDPLMQFRDHMLQPPAWQEGGSAAYLLGTDEAGRDILSRLMHGA RLSLVIGFSAVIITLVPGILLGLLAAFFPNTAGRVLMRLADIMMSLPSVLLAIAIMAV LGPGITNAMIAIAVVGLPGYMRIVRASAMSELSKDYVMASRVTGAGVLRLMFIAVLPN CMAPLIVQATLGFSSAILDIAALGFLGLGVQPPAPEWGTMLATARDYITSAWWVVTFP GLTILLTVLAINLVGDGLRDALDPKLKRAA MIM_RS13865 MFSFILRRLAILIPTFFGITILTFLLIHMIPGDPVMTMLGERNV DPEMYKSIMARLGLDQPLHIQYLHYLGGLLQGDFGKSFSSQSSVWGEFAALFPATLEL GICALLFGSVFGILLGVLAAIKRGTVLDHGVMGLSLTGYSMPIFWWGLMLIMLFSSYL GWTPVSGRISLAYDVQPYTGFMLIDSLIAQIQDPEYNGGAFMDAVMHLILPSVVLGTI PLAVISRMTRSSMLEVMGEDYVRTARAKGLSPRRVIFVHTLRNAMISVVTVIGLQIST LIGGAVLTETIFSWPGIGKWLVDAIFRRDYPVVQNGLLIVAVLIILVNLAVDIVYGVV NPRIRHAK MIM_RS13870 MVLTALLGGLATTAQAAGTLVYCSEGSPAGFDTAQYSAGTDFDA SAEAVYNGLVGFKRGSTEVVPALAEKWDISDDGLVYTFHLRPGVKFQTSRFFTPTRGL TADDVVYTFERMMSKDHPFRKAYPTEFPYFTDMGMDKNLKSVEKVDDNTVKFTLNEVD AAFVQNMAMPFAVVLSKEYMEKLLADGKPEMINQQPLGTGPFVFERYQKDAQIRYKAN KDYWDKAEGPLVDNLIFAITKDPSVRAQKLKANECQLASYPLPADVDRLKQDPNLKVQ SNPGFNVGFIYYNTEKEQLKKPEVRVALDMAINKPAIIKAVYGGQGVLADGPMPVSQW SYDDTIKSRPTDIAKAKELLKQAGYPDGFEMGLWSLPVVRPYNPNGRLMAEMIQSDWA KIGVKVKISTYEWGEYLKRAKQGTHDAIMVGWTGDNGDPDNWLGNLLSCNSVGGVNYS RFCYKPFDDLVVKAKRVTDKQERTNLYKEAQKIFHEQMPMSPIGTSIVNVPMNKKVEG FKISPFGLFQFYGVSLK MIM_RS13875 MKIRFSRSMLAALFACSITTAQAADKTLIYCSSASPAGFDIAQY AAVTDSEASAATVYNGLVAFKRGSTEVIPALAEKWDISADGLVYTFHLRRGVKFHTTR FFTPTRELTADDVVYTFERMMSKEHPFRKAYPADFPYFTDMGLDKNLKSVEKVDDHTV KFTLHNLDASFVQNMAMSFAIIHSREYMEKLLADGTPELINQQPLGTGPFVFQRYQKD AQIRFKANKDYWNKEDGPLVDNLVFAITKDSAVAAQKLKAGECHIAYSPLPADIELLK KDPNIKILSAPGFNVGYVYYNTEKGPLRNPQVRVALDMAINKPAIIKAVYGGLGVLAH GPMPTSQWSYDETIKSRPHDLDKARELLVQAGYPDGFDLGLWALPVARLHNSRLTAEL IQADWAKIGVRVKLNTYEWAEYLKRARQGSHDVIMVGWVGDNGDPDNWLGNLLACRSV GGSNFSRFCHKPMDELLNKAKRLTDKEERTRLYKEAQAIFHEQMPMSPIATALQSVPM SNKVDGFRINPFGIYQFFGVSLK MIM_RS13880 MKGNTTRIILSALFAGIAGAAQAAGTLIYCSEGSPAGFDTPQYT SGTDFDASARSIYNGLVSFRRGSTEVIPELAEKWDISENGLEYTFHLRRGVKFHTTRF FTPTRELIADDVVYTFERMMDKNHPFRKAYPAEFPYFTDMGMDKNLKSVQKIDDYTVK FTLNEVDAPFLQNMAMAFAVIFSKEYMEKLLNEGKPQLLNQQPLGTGPFVFDRYQKDA QIRYRANTAYWDKEEGPLVDNLIFAITKDPTVRAQKLRSGECHIASHPLPADVERLKK ESNLKVLSSPGFNVGFIYYNTEKTPLQKPEVRMALDMAINKPAIIKAVYGGQGVLAEG PMPTTQWSYDDTLKGRSYDVGKAKALLKEAGYPDGFEMGLWSLPVARPYNPNGRLMAE LIQADWAKIGVRVSISTYEWGEYLRRAQKGEHDVIMAGWTGDNGDPDNWLGNLLSCGA VGGSNYSRFCYRPFDDLVVRARRETDQQGRTQLYKEAQKIFHEQMPMSPIGTSIVNVP MNKKVQGFKISPFGMFQFNGVSMQ MIM_RS13885 MNETHRLAIVGGGSVAVSFLAQFIVLIQKTPIDFPPIHITLFEP TGVIGQGTAYQADLNTNLLNVPANNMSLFDDKRTHFLEWLTTLSKDDLSRYGVKEIDG NDFYPRPLFGRYVSEQFTRIVKLACDLGVTVRVIENAVVAIERQQSRAMMLRDSAGGK YEADRVVLCNGNLSSDKFEALLPQAGFLNSPYPVAELARKIPNSASVGILGSNLSAID AIVALVAQGHAGPIHCFSRQGRLPSVRSTFPTKVSDRLTRNSVHKWVTEQDGHLDLAC IYEQLQKMILSHGYGDDLKDLLGADNSASDQLDWEIETSQARQRPWQSVLASTNDVID LLWFYLAPEEKQQFQKRWMPQWMSRRAMFPMKNALKLQELMRRRQLYVHGGFQACMPV KDKDVFEVSYENGVGDVESVRCEYIINATGFSQNVEKSADPLVMDLLNSGMATSSPYG GFKLDFNTGSLIASNSEIVDEITVLGSLARGTYFWTISMDVNARLAGEQARQIVNALV VQGDKINVC MIM_RS13890 MKFFVKMAAIACLAVSTSVAVAADWPAKPVTLVVPYPPGGATDF SARIYAQYLPEIIGAPVVVDNRAGAGGEIGAEVASRAKPDGYTMLFGAIGSLAINSVL PSKPKTYQFPDAFTGVSFGTAVPLVVAVRSGLGVNSLDELIKKAHDNPGKISYGSAGV GSSQHMAVEKFQLATNTKLLHVPYKGSGPAVTDLLGGQIDMVIETLTTLYPQMNSGKI KFLAVSTADRVKTIPDVPTLTELDIKDYVLTTNYGLLTPSGTPKAIVDKMSEAMQTIA ARKDVQDKLVESGANAYASTPDDTNRLLLEEVRKWSDVATRAKVE MIM_RS13895 MDKTLLKGLRLFEIICAQEDQPNTIDDLAISAGLTKSNTHRTLQ TLMAAGYVEKSSHTGGYKPTLKVFELAAQRLARLDVRKIAAPLMRRVAQETQETVHLS VLDQFDVIYIDKIDSPNPVRAYSIIGGRAPAYAVATGKALLAFQSSDYLERHVPELLR HTENTITTLSALREELADISRIGYAINRGEWRNNVGGIAAPVFDGHNRVIAAFGISGP LERFTIENMKRWSPVVLDAAREISRESGYRRGYFGESD MIM_RS13900 MKQESTLQGVKVIEVCNVAAGPFCSMLLADMGADVIKIENPNGG DTLRSWPPISDGYSENFASLNRNKKSITLNLKDPADVKAARRLMCEADIVLENNRPGV MDRLGLGFADIKALNPQIVYCSISAYGQSGPRSQEGGFDLTVQAMSGLMSITGEADGA PVKCGVPVCDFSAGLYAAFSIVSALRMAEKSGEGTHIDVSMLGATLGIAALQTSEYFG SGNNPRKMGSAHPRNAPYQVFRCKDGYFGMAAGNNSLWKSVCQVVNRPELYDDERFTS TLLRAQHQQALLEILEVIFAAEPAEHWLSAFRAAGVPCAPINQYSDILADEQVAHMEW VQDIPLPNGLTANTFASPIRFDGKTSRIAAGPPALGQHNEEILSSIAPQGEDA MIM_RS13905 MSDMLSIEQREQAWVFTLNRPEKMNALAPELVLALTEGIQAAHQ QKIPLMIFKGNGKNFCAGFDFSDLDTASEGDLLQRIIQIEIMLDLLATSPSMTIALCH GRNFGAGVDIIAACKHRIAAAGTSFRFPGIKFGLILGTRRFQNIVGTQNALEILSSTR TFDAQEAQKIAFMQEIREPEQWPSLIEQAEQNNAILSDVTRRNLYKVLYCSAESDQNL AALVRSASAPGLKDRIRAYLKPN MIM_RS13910 MTSGFNQSDKCVSLQQLVSRVPNGASLALGGSFLHRGPFSFVRE LIRQNKQDLEIIKQSPGYDIDILCRTGTATKARAGIVAMEGNFGLAQYYRKAVEQGRL ALEEHACATLTAGLRAAAFGIPFQPCAGIDGSELAKLNEWAKIPDPYGSGKDVWVIPA IQPDYAVIHANEVDRKGNVRVLGTYHWDRIMSRSAKSVLVIAEKLVDDTVFTDSPETT LIPYFLVEAFSIVKNGAWPGSCWPAYEIDYPAVEAYLNPDEAAFAEHMNTAPETKEIA MIM_RS13915 MENNWSPFSYIVTNLARFIRPNEITFSGVNSTMPMLACLMAKKA YEWDFIYINVAGGVDPQPSAIPLSSSDPVLAQQSASIFANEDFYDLCSRGRMDLTFLG AAQIDGDGNANNSVIGDWHSPKVRLPGGGGGAVMLPTAKRACTWRTEHSTRTFVEKLD FRTSWGGFHGVVTPIAVFIKKDNKLALQSYHPESSVEEVVERTGFTFDYQDAKPTDPP TDAEIAALRSLDPDGQFEKDAGVTLR MIM_RS13920 MTASSTILNHWQSTWQKDGIANRIALQDNDRQIPYSLLYQEVSH LSGRLRAAGIAEEARVAIDMPRGLNAVITLLAVMAAGACPCPLEPNLGDMERSDRFLS GGLTWLLTDEPARPLASDNVQALNPLTLPDSEPYWSQTVTPHHPALMLFTSGSTGKPK GVLQSHLGVLNNAQGVIAMTELTLDDILLHVMPLYHTNGLNNQLFSPLAIGAMVHFAP RFSAKAMPDLMATVQPTIITGVPTMYSRMLSHPMPADAVANLRLARCGSAPITTELHK KIETYLGQPLIISYGLSEATCTSTMNPPQGRRIGSIGKILNNQDVYLLSATGDRISDA NVNGEICIDGESLMLGYVGISVPGQLDALTGPLHTGDIGYIDEDGYLYITGRIKDVII RGGENISPSQIEHVIAMHPDVANCCVIGQPDEDLGEVPVAFVTARVGADLDISEVTEL LKDNLSRIYQPASIHLLSALPENTVGKVDRKALAQELKSLQHI MIM_RS13925 MSTQQQSKVVLVTGGSGGIGSEIARRLAGDGMAVMITYCQAETA AKRVVQDIQQVGGKAAMVRADVSKAADVRAAFEAAMSTFGGVDVVVNNAGVIIPGAIA DTSESTYDAVFNVNVRGALMMMKQAATHLRDGGRVINISSTMVGAPIAGSALYAASKA ALESFGEVLSKEVGARGITVNTLRLGATIPGMFAKAPPERQAAFAAASPFKRLGTPSD AADVVAFLTGEGGRWITGQTITVDGGAT MIM_RS13930 MTKYWCGVVSKEHILRGVAGGFCQVCHGKRAPLARMAPGDGIVF YSPVTKFQSKDKCQKFTAIGTIVGDTPYQFEMTPDFIPFRRDVQYYDGVIDAPIHPML NQLSFTAGITSWGYPFRRGHFEITRADFLLIAQAMLPDSWRDACAEIPVGTDC MIM_RS13935 MSNNVTFHVAYTVTTDVSAQDIWAIWVDVNNWNKWDHGIAHSEL SGSFNEGSRFVLTPQGGEPIDITLKTVTQGEAFSDEAHLPFGSIRNVHHMRMVGRKLQ VTHEVYADIDEASAQFFGNEIWPHMQSGLPEAVNNLILLAQRK MIM_RS13940 MNIAKRTAAWALPAITLLAGCAQTGGLNVKEVGSYHIGGEQVTL KGLPTKKMVYSPGSPPVTLDPNGDFVAGQMYTRYTILENPRASVPMLMWHGGGLSGTT YETKPDGKPGWEMDFLRDGWSVYVSDAVERGRASWARYPNIFQGEPVFRTKKEAWELF RIGKTYASDPKARTTIPDTLFPIAAFDQFTKQSIPRWATNDARTQRAYDQYVQQACPC VIVVHSQGGNFALTSALKYPDKIKAVVLVEPSGSPDPAKTDLTPLRNVPMLWVWGDHI QDYPFWQSIKDRQERFRTTLNQAGGRGDLLDLPAQGIHGNSHMLMMDANSQQISGLIQ DWLKKQGLVR MIM_RS13945 MKLLLDTHLLLWIAANAPQLSRQAVELINDVHNELFFSAASLWE IAIKNSLGREDFQVDTRLLRRGLIENGYKELPITGEHAVAIGSLPFIHKDPFDRLLVT QATVEGYTLLTSDATVAQYPGPIKRV MIM_RS13950 MLTVNIHEAKTHLSRLIEKAAKGESFIIAKAGKPMVKVVALAPA DGIKMKRIGFMSGQMSIPADFDSLGSNEISQLFNGDA MIM_RS13955 MTTVIKQDDLIQSIADAIQFISYYHPADYIQHLARAYEREQSAA AKDAIAQILTNSRMCAEGRRPICQDTGIVNVFLKVGMDVRFELSCTLQEACDEGVRRG YLDPDNKLRASVLADPIFDRKNTKDNTPCILHVELVPGNTVDVQVASKGGGSENKSKF AMLNPSDSIVDWVLKTVPLMGAGWCPPGMLGIGVGGTAEKAMLMAKQSLMEDIDMYEL LARGPQNKLEELRIELYEKVNALGIGAQGLGGLTTVLDIKINTYPTHAASKPIAMIPN CAATRHVHFVLDGSGVANLTPPSLSDWPDVHWAPDYNASKQVNLDTLTKEEVASWKPG QTLLLNGKMLTGRDAAHKRIQDMLAKGEPLPVDFTNRVIYYVGPVDPVREEVVGPAGP TTATRMDKFTDMMLEKTGLIAMIGKAERGPVAIESIKNHRSAYLMAVGGSAYLVSKAI RGAKVVGFEDLGMEAIYEFDVKDMPVTVAVDSSGTSVHTTGPREWQAKIGIIPVPA MIM_RS13960 MSELVKSEIRGRVLILTINRPEARNALTYDTSFALADALDRLDA DDNLTVGILRGEGNTFCSGMDLKEFARTQRRAVVPGRGLGGLVEAPPAKPLIAAVEGY ALAGGFELALACDLIVAANNASFGLPEVKRGLVPGSGGMLRLPRRLPYHVAMEALLTG DMIAASRAHALGLVNDLVEPGTALEAALKLAEKIAANGPLAVRTVKQIVTESQDWRTD DMFALQNPRMAHIFSSEDAKEGATAFAEKRSPVWKGK MIM_RS13965 MLTFQQIILKLQEYWDTQGCALLQPYDMEVGAGTSHTATFLRAI GPEPWHAAYVQPSRRPKDGRYGENPNRLQHYYQYQVVLKPAPPDILDLYIGSLEALGI NPQEHDIRFVEDDWENPTLGAWGLGWEVWLNGMEVTQFTYFQQVGGLDCPSTLGEITY GLERLAMYLQDVESVYDLVWTERPNGEKVLYRDVFHQNEVEQSTYNFEYANTDMLFAH FNDYEKEAARLVEIPLALPAYESVLKAAHTFNMLDARGAISVTERAAYIGRIRNLSRK VAQAYYDSREQLGFPMLKNNKAVS MIM_RS13970 MNLPLLVELFTEELPPRALQKLGQAFADSLTQALAKQHLLGPDN VTHCYATPRRLAAQLSSVLAVAADQPFSEKLMPVKVGLDAQGQATPALQKKLAAKNLS HLKPADLARESDGKQEYLVAHGTAAGAQLQNVLQAAIETALADLPIPKVMRYQLADGQ TSVRFVRPVHGLVVLHGSTVLPVTILGIDAGNTTHGHRFMSAGPITLAHANDYAATLA DKGRVIASFEARRDAIRQQLDESARALGASLGDQAEVAPLLDEVTALVEHPTVYVGQF DEKFLAVPQECLILTMRLNQKYFPLFDPASGKLIHQFLIVSNMHVADPANIIQGNERV IRPRLADAEFFFETDRKQPLASRVAQLDNIVYHNKLGSQHERIERLRRISSRLAEDLN ANVALADRAAQLAKADLTSNMVGEFPELQGIMGGYYAQADGEPAEVVQALNDQYRTRF DSPVTNNNLIAAILFIAERIETLVGIWGIGLQPSGERDPFGLRRAALGIISAVEQLAA GGYLSGTPAAPARFNLTELLTFAMGTFSPGTLHSDTADEVQTYIYERYRNQLSGDYAK SVVDAVIAVRPALQEVAARIKAVTAFAQLPEAESLAAANKRIGNLLKKTEGDLPAIDP SLFAEPAEKALYDALHGIEATARADAAQGQFEKSLAAVAQTRSAVDDFFTHVMVMADD PAVRNNRLALLSQLHATMNLVADISRLAQ MIM_RS13975 MKLAILDRDGVINEDRPDFIKSADEWEALPGSLEAIARLTRAGW KVVVATNQSGLGRGLFTPDDLTAIHQKMQQQLAAFGGQIDAIFMCPHLPDAGCNCRKP LPGMFLEILKRYDAPAHEVVCVGDSLRDITAAHVAGCQTWLVETGNGQKTRNDPNLPK TVQIRPTLADVVESWLTES MIM_RS13980 MLFVRSVLFLLFQAITVVPYAVGCVLVLPLPFIWRYRYTVGWPR MVIWAAKVIVGIRYEVKGLHNIPDGPMIYLSKHQSTYETMFFAWFLRRPACFVYKKEL NYIPFFGWGLASLRNIAIDRQKGKNAMQQVMEIGTQRLAEGRSPVLFPEGTRIPPGQA GNYKLGGTRLAVHANTPIIPVAHNAGECWPKKPFTKKPGLVTISFGPPIEPAGRTADQ VMEEVRGWIEGEMRVLNPERYHDVAA MIM_RS13985 MTSQPELFRNSSPNLPDGLRLRTVATEHGLITLTLARSRRRSIG FVIGTEGLRVTAPYWVSLKQIDEAVVEKAEWIQKKLAFWRQRNAVADQAQQSWLACTQ LPYLGTTISIDIDTGSKVPRFDGDPFSPQTQDTLWLALPHDATAEQRSAAAAHWLQTQ ALHYFDLRIHALANSAGLQFQAWRLSRARARWGSCNSNGSIRLNWRLIHFTPDIIDYV IAHELAHLKQMNHSDRFWHQVGIILPDYEAAMQKLKTTDMSALPALQT MIM_RS13990 MQFLHTMLRVGDLERSIEFYTNVIGMKLLRRSENPEYKYSLAFL GFEANPHQAELELTYNHGVTQYDLGDAYGHIAIGVPDAYAACEAVKKAGGNVTREAGP VKGGTTVIAFVQDPDGYKIELIERKDSAAAGTGLR MIM_RS13995 MSTRRRTKIIATLGPATTSEAQIEAMILAGMNVARFNFSHGEAD DHRERARIIRKLEAKLDVHIAILGDLQGPKIRIARFENQKVVLEQGQEFILSNDYPYD KGNAQIVGIDYPELVTDCRPGDELLLDDGRIVLEVVRIEGQNVYTTVTSGGELSNNKG INRRGGGLSAPSLTEKDKADIILAAEIGVDFVAVSFPRYGRDMEQARRLVQEAGSSAW IIAKIERAEAVADDQALDELITASDGVMVARGDLGVEVGDAELVGIQKKIIRHARTLN KFVITATQMMESMINHPFPTRAEVSDVANAVLDYTDAVMLSGESASGLYPVETVKAMA RICVGAEKHPTTTQSRHRVGETFTRFDETIALAAMYAANHFHQVRAIISLSESGHTPL IMSRIRSGVPIYCLTPHDSTRNRVAMFRGVYPVEFDPAAVTETDVARAAMAQLLKYQP LNRGDWVLLLQGDHYGVIGATNSMKLLCMDDFLND MIM_RS14000 MTEPLYIVIAPDSFKESLSSSGVAQAIADGIKTVAPDATLVQMP MADGGEGTVEAIAGSTGAPLQTLDTVNALGEPIRAAWIMLHDNTAIIEMASAAGLEQI TEDKRDIRRASTYGLGQLVSAALDQGARRIVLGLGGSATNDAGTGLLSALGIRFLDKA GKPLEQGPAALYELAQIDLSGLDPRVSQIEWLIASDVNNPLCGDHGASAIFGPQKGAN AEDVPFLDAALSHFADLTAQATGQDVRNQPGAGAAGGLGFAALAYLKATFKPGVEVVA EYAGLDAHIQKADLVITGEGRLDAQTLRGKTIAGIAALTQKHQVPLIALAGSLHEDFA KVYDGGITAAFSLPGGPMSLKDTMQQTRQLLMQRSRDIIAVFLAGRRARQT MIM_RS14005 MAVHQARKRFGQNFLTDESVIESIVRAVSPERDDTVIEIGPGLS ALTGPLHDRVAHLDVIEIDRDLAARLRKRFAPERLTVHEADALKVDFAAFGKDLRVVG NLPYNISSPLLFHLVAFADHVRDQHFMLQKEVIARMVARPGDGDYGRLSVMLQVRYAM QHLFDVPPEAFDPPPKVTSAVVRMVPLPATRAQAKSERAFSQVVARAFSQRRKMLRRV LADWQIDWAQLDIKETARAEELSVTDYIRLADYLVEQGVLA MIM_RS14010 MRKLGFMRTAVALAIPAIFIAVPPAALAQQAKKGGASQQRASGQ FADGIAAVVNNEIITMRELQQRMASNRVTTGGQNQAQQVVLQSMIDEKLMRQDAELYG IRVTDAQLSQAMANIAQRNNLPPEKLRPAIEQMGLNWDDYVRNLRNEMVMEELRTRII QSRVNINESDVDAFLKQNPTGLLPGHAAERQNQPKPPPQKQVVVERSFVPKAVALQHI YIRVPDNSSEDVVAAARKKADAAMAKLRRGTSFDSVAKEYSDGPEASSGGNLGIRMFE DWPSLFVNMTKKVPDGRTTGVFKAPNGFHILKVVERRGLVQENKRVVTVQPSAPPPPP VPPIEKMATQKGPVNITETKLKHILVKITPVFSDQQARAKIDDVRNQIEGGMSFEDAA KKYSQDTSAPLGGEIGWVPPGASDPAFEKAMNALQPGQLSAPVRSQYGWHLITVEDRR SSDKQPEIRRNLARQSLYQKRAEPVYEDWLQQLRAKAYIDNRLTQQKSRP MIM_RS14015 MLTSPSLNNDEIITFTDSARADITNNTNTITLDGKAQIRRPDAI LKGDTIDYDRSTGTVTATGNARLFRDGNLITGPGLRYNVDKTNGTITSPVYSLATGGQ GQASEANIIDDNHVNLKDATYSGCSCVEPFWQIRSNNVDLYNDENEGIAENGTLYIKG VPVMWSPYLTFPIRAEKKTGFLTPTYGMTSRSGLDVSVPYFINLAPQYDLTLVPRYLS KRGAQLGAEFRYLQPNYSGTLAGTYMSKDNELGRSRWMYSWRHIQSLGSVLGLNFNLS IDWNAVSDDDYYRDFTDMTTVTQADKTYLNRNITLGFSGYKYWDGYLQIQKYQTLQDA TSDDIYGQYEKVPELRIHGARYDWHGLDLETTNTLTRFEYPTYFGDKLTDDVIDQTDG HLRPNGTRLTSYSTISYPIIRPGWYITPKVGIHASQYETDWFTRYGQYSFYSQSGNQR RSISRVLPIVSLDAGMTFERETTLFGYPSTQTLEPRLYYLYIPYQDQSDIPIFDTDVS QFGFASAFSENRYNGGWDRINNANQITLGLTSRWFDRDTGFQRLALQVAQRVYFNRQR VTLPYESPRIGSKSDWIFGAEAALTNKFTTQAALQVDPYQGKTTQVYASAKWTPKRLT TLSLSYRYQRDPYADEERTDINQGNPLSYQLQGKETVSFAGQWPFTRKLYGVGRVDYS LKESRVTQHILGIEYKGDCCWTGRVVLKRYAVSKEDSNSAIFFQLELAGLGSLGKDPM ELLRQNVPGYESVNTPVPARTSFERYE MIM_RS14020 MRIDSLRPASSDASFRRYFRVDTTTDSLIIMDAPPAHEDCRPFV DIATRLNQAGLNVPKILEAQADQGLLLLSDLGHDTFYQRIVNGIDDATLQVHYQGALQ ALVRMQQVPSTQLPVYDNARLREELNLFPDWYVTRHCNSAMSDQESQTLNSIFDMLST RNAQQATCFVHRDYHSPNLMINDHPENGAGPGVIDFQDAVCGPVSYDLASLVMDARTT WEEPQQLDWAIRYWEQAKKAGIPVPADFADFHVEYEWMGLQRNLRILGVFARLSIRDG KTHYQQHMPRVNAYVRQVAARYNAFRPLLRLLDRLDNIETKVGYTF MIM_RS14025 MQTMILAAGRGERMRPLTDTLPKPLLPVNDKPLIAHHLERLAAV GITDVVINHAWLGERLEEALGDGSQFDVAIQYSPETIALETAGGIAHALPLLGDQPFL VINGDVWCDWNPMQAVSVVNRLHDSPTQAWLLMVDNPEHHPEGDFSLDSQGYLSPRQN GNTALTYAGIGVFHPSLFRNTDPDQPAPLAPLLRMAMATRSVEGYHYTGRWTDVGTPA RLAELDRQLRSTR MIM_RS14030 MFGSSKRATFKPSVFETSSRRRHRRMPPWVGILFTGIIIGAGGY WFLQTNYGPKRLSLDESNKLTGEVTSLSTDRQQLQSQLESVTQQRNTLQSQLDAQTET LNKVQAQAKDLSDNLVLIKKVIPADPSGSALGIRVGEFGGPMGKLEYSMLLMKKNEQD PDFQASIEFSVNGRYRNGRSGTTDLEPIMLDVTDFQQANGTVDIPPTMTARRVTVKIT NVATGKVLTTRTFQVSR MIM_RS14035 MDKQLTKILVVDDDPALRQLLAEYLNRHGYDTLLAPDASDITQR ITKFSPDLIVLDRMLPGGDGVETCRRLRAQNEDIPVILLTAKDETADRIIGLESGADD YLGKPFDPRELLARIETVLRRKKGASALVKETPVHFGPFTFDPARRQLFKDNVQVKLT GGEINLLEALVKNSGKPLSRERLLALARDDDEGERNDRAIDIAILRLRRAVEDDPKDP RWIQTVWGIGYRFSPDA MIM_RS14040 MIKPSLWPRSLRLQMILFILGTVILVQLGSFAVGNYIKERFLED VVVNYLSTTIRTLRSAVSQIPENRRAEFIIEASQKRWYLLSRADPYANRRRKGGTLDY HVSPPPPPPPIPEAAREVERARQRGAPKPPPPPPRFGEEPSNDILKIVRRLNMELDDG TRVGLTRGPTPRMFISLQSDDDDGTQFIREWLVIPLDRIQPPNMHAISIAWLSLTGLL LILAAGFAWHITRPLTRLTLAADKLAQGQPERVTPAGPTETRQLGERFNAMLDALEES KAVQQTLLAGLPHDLKSPLARMRLRVELTDDVTFKEGMRNDVHEMQDMIDQFINYVRG SDLATYKFQSVNIREWVEERVASWHDAGSPVHLQALPSGTAYVSVDTLSLGRVLDNLI SNALKHGRPPVDVSLTLTDEQVMIAVADHGDGIPAERRAEALRAFSRLDSARTMTGSV GLGLSLAETIVSAHHGKLTLGSSDSGGLLVTVTLPQVNPPAS MIM_RS14045 MIDTLAGSLGLNSYDPGFWMPLLCFTVFLGVSIAGAVLDGFDLG VGMLMPFATEEQRARMFTFLGPWRDMNVLWIFFGCAILLSAFPKAWAYVSGALYLPLM LLAAGTLLRGVAYEFRLRALAAGRSVFATAFAIGSLLTAFGQGLVLGRIAVNFGTEPG SQLFAVFIALCTVAAFMLLGGTWLLMRVEDDLQLQARGWVRRASRLTAAGVVAVSVAL GMVNGGVFFKWTETTRLPMLLIGWFALLAMFVLIELVVRKVQRVRILIWLPFILTVLV VLSVIAGLVFSIFPFFVLDELTIWESVTGLGTTRLLVVAFALFLVALPLVTLWYYRDM LGKERKAKDVSFMKRVD MIM_RS14050 MWDISSLQLAKLQFFSSLSFFSVFGLSTVVLGWILLFCKGMTHR KAADGRWMEMYRFWVRIFALSITVSLLGMVFLLIQTGALWPNLLVRLGPVSGPLIVLI AALTFVIKLFVTDVMLYRQGTLSSWLHSIFVLLAALGLTIIATLLICFQSWLHFPAGL VPDTSPLTLIDWRVLILQAQAWHRIAFMISLACLGVGGLMVSISASEALAKPLNAAEQ LGFRIGAGLVVLGLGLLAVCCVLFQAELFRQDTPLPTIALWAQKLVLGLLLLLAVVSL FQWGWYLKRRSEFGKLPRWAQHALVWVGPASWLVLWLTLILLSLRDGQYFVHGLITYA EAFSSEQSGVLIATVTLVMWLLFAMILAGFIFLARRAARYGVVPVRKIRRTA MIM_RS14055 MSASTPELPASNFLRTIIENDLSNDRYQSKKWAGEPGPASVLQN APKDPARIRTRFPPEPNGYLHIGHAKSICLNFGLARDYGGICHLRFDDTNPEKEEQEY VDAIDDTLAWLGFTTKGPDGDENRYFASDYFGYMYAFAEALINGGYAYVDEQTPEQIR ENRGTLTSAGVNSPWRDRSAESSLALLREMKAGKHPDGSLALRAKVDMASPNINMRDP VLYRIRHAAHHRTGNDWCIYPMYTYAHPIEDALEGITHSICTLEFEDQRPFYDWLLAR LTELGQLRDPLPRQYEFARLNLKHVVTSKRKLLQLVREGHVTGWDDPRMPTLAGLRRR GYTPSALKLFCDRLGVSKSDSRIDYSLLEQALRDDLDPIAERSVAVLDPIRLIITNYP EGQTEDCSAPRNPHDAQAGRRQFPFSRELLIERDDFREEPPKKYFRLFPGNTVRLKYA YVIKCTGFDKDEAGNITQVYAEYLPDTKSGTPGADSVKVKGNITWISAAHAVPATVML YDRLFTDPSPDSGDKDFLDYLNPDSVQRVSAWLEPGFTATPGARWQFERLGYFVADSQ DSTPETPVLNRCVTLRDSWS MIM_RS14060 MASKSSSSALEFKSASLFVIRIELKSADTAALQQALEQKMAEAG SLFENEPVVLDISGLTSAPDWAELVALLTRLKLPVIGVMGPDGALIDSARQAGLSKVD ISTLNQRQPPEPTLLTEPVADAVKLAPAAHVQTVATATEKETPPEPKAPPANARKAPT APRTPARPAEPIIRETIREVPVPVPTMVLARQLRSGQRVYARNSDLIVIGVVSRGAEV IADGNIHVYGPLRGKAIAGARGNASARIFTSHLDAELLAIAGIYRVIDADIEPQLNKK PVIVELDNETLKFIPGDNSGQG MIM_RS14065 MTRIIVVTSGKGGVGKTTTSASFSSGLAMRGHKTAVIDFDVGLR NLDLIMGCERRVVYDFVNVIQGEATLNQALIKDKQLENLFILPASQTRDKDALTREGV EKVLNDLKAMDFEYIVCDSPAGIETGALMAAYFADDALVVTNPEVSSVRDSDRILGIL SSKSRRSEKGEEAVKEYLVLTRYSPKRVEDGEMLSLKDIEDILRIKLIGVVPESEAVL QASNSGVPAIHLKDSDVSEAYQDIVARYLGEERPLRFTDYNKPGFFKRLFGGK MIM_RS14070 MSFLRFLLGEKKSSASVAKERLQLIITHERNEGTASAPDFLPQL QKDLIEVISKYITINPEDLKVNVDKHGNLEVLEVKIEMPQDPKDAVAKVG MIM_RS14075 MKTNIVAKSIIAGSLAIALAGCGGMSQRDRNTVGGAAIGGVAGS LLTDGGTLGTLGGAAIGGVIGNQVGGRRR MIM_RS14080 MAQYVYTMNRVGKIVPPKRQILRDISLSFFPGAKIGVLGLNGSG KSTLLKIMAGIDKDIEGEATPMPGLKIGYLPQEPQLDPEHTVRQSVEAGLGEVFAARQ RLEEVYAEYAEPDADFDKLAAEQAELEAVIAAAASSGADDIGTQMEIAADALRLPPWE AIVGKLSGGEKRRVALCRLLLSKPDMLLLDEPTNHLDAESVEWLEQFLQKFPGTVVGV THDRYFLDNAAEWILELDRGHGIPWKGNYSSWLEQKEARLEQEEASETARQKTIRKEL EWVRQNPKGRQAKSKARLARFEELSSHEYQKRNETQEIFIPVAERLGNEVIEFANVSK AFGERLLIDDLSFKVPPGAIVGIIGPNGAGKSTLFRMIAGQEKPDSGEVKIGQTVNIS FVDQSRDTLADNKSVFDFVAQGSDVLNVGRFEIPARAYLGRFNFKGSDQTKLVGQLSG GERGRLHMANTLIKGGNVLLLDEPSNDLDVETLRALEDALLEFAGAVMVISHDRWFLD RIATHILAFEGDSNVVFFDGNYQEYEADKKKRLGEEGAKPRRIRYKALK MIM_RS14085 MSFVPEPTFTDHEIALLKKTAEALTAYLGKPVIAEIDTTEDGLE WIAFGVPLDIADENPDDIVTLEMGGPGARLISESSDLENPEDEVYACEFLWGIQITDI ENARFVRIDFEGEEVEWGDDIEELLPFGMREPEAGELLEAEENDDDEEDDPPPTSQGP ASPTLH MIM_RS14090 MTQNLYFFTVLTPTYNRAHTLERVYQSLCEQQFKDFEWLVVDDG STDNTRNKIETWQVDSPFPIRYVWQTNQHKKTAFNTGVREAWGRLIVALDSDDTLLPD SLQSMAGVWRGIPDDLKSGYIAVTGLCARPDGAIVGDRYPEDVMDMTSLDMYFKSHVT GEKFGCLQTEVLKHYPFPEDIDGFVPESMVWRAIARDGYLTRFVNKVFRTYYESEDSL SKEGAVSAEKNALGILLLARDTVVNCLPWFRYQPVTFLLAAARYTRFRLHLQRAGKPL PPAVELQGNMSRILVSLMYPAGWVQYMQDRRKRS MIM_RS23595 MAEVKRTRRNTLERRCLGKAFKRLFVRAPKGVFKMLEKVKRV MIM_RS14095 MTTEPLLSATGPLGLTMGDAAGIGPELVARLFSQGLPASTVVYG DAGAVSRAVAQLGLSLPVTAHDSVAQWKAAHQNMAISSEIPVIRCADPLPQDLPLGQV SAQAGLASYHFLLAAIADAMAGEISAIVTAPINKRSLKAGGVDFPGHTEILAQEAGVP RVAMMLLNEQLRVILVTIHVALSEVPALITFENELDTIRLADRACRMVGLASPRIAVA GLNPHAGEGGRFGHEDETIIRPAIDAARAEGLDVDGPFPGDTIFMRARRGEFDIVVAQ YHDQGLIPVKYLGVDNGVNVTVGLPFIRTSVDHGTAYDIAGKGVADPASLRVACELAL RMHNPGV MIM_RS14100 MSSQIRIFGARQNNLKNLDVTFNTGEFVVVTGVSGSGKSSLAFD TLYAEGQRKYVETFSPYARQFLDRMDKPQADRIEGILPAIAIDQTNPVRNSRSSVGTM TELNDHIKLLFARAARLYCRQCGTEVRKDNPDSIYEFLATATPALNDPRLILTFPVVV PGNYSEDEVRQYLEQQGYTRVHAEEERSATVTPKGRSKAQKVEISRVLHVVQDRFRFS TTEKSRVIEGLEACLRYGTGMCTVYAVDNDSQPLQSWKFSEQLHCANCDITYSSPLPS TFSFNSPLGACDTCRGFGRAMGIDFGLVIPDETKSLQDGAVKPWQTPSYSECQTEMMQ YAKKAGIRTDTPWKQLNNAEQHWVLYGDPEWKGGASAWKHQWYGVQRFFDWLETKSYK MHVRVLLSKYRSYTPCPACHGSRLKPDATLWRLGDAAVSDDITGTYTRFMPVHAKWSE PALNALDGLGIHDLMRLPITQVREFFATLTLSVFMDSAMDLVLQEIRSRLDFLCNVGL GYLSLDRQSRTLSGGEVQRINLTTALGTSLVNTLFVLDEPSIGLHPRDMHRIVQVLHR LRDAGNTLVVVEHDPQVMVAADRILDIGPGPGERGGQIVFDGSPQRLREGSSLTGRYL SGQLRVEAPRPMPVQPNTPKLIIEGVSANNLQNVDVAFPLGRLVCLTGVSGSGKSTLV QDVLYPALLKHFGRPTEAPGPFTRLLGAEQIASVVMVDQSPIGKTARSNPASYVGAFD AIRKLFAQAPAARERGYTPGTFSFNSGDGRCPTCGGTGFEHVEMQFLSDVYIRCPDCD GKRFRPEILEIHVEHLGNSASIDQVLDMTVSEALTFFKGLRDVQTSLSPLIDVGLEYL KLGQPVPTLSGGEAQRLKLAGHLAEAARSGISSAKVAKKGSLFLFDEPTTGLHFDDVA RLMGAFRKLLGAGHSLLVIEHNLDVIRASDWLIDLGPEGGVDGGRIVAEGTPATVMTI PQSHTGTALREYESDIIASAPLSLQEPLADYAGSTALTAARHETPSQIDIMNAREHNL KGVNVHIPHNTFTVITGVSGSGKSTLAFDILFNEGQRRYLESLNAYARAVVQPAGKPD VDAIYGIPPTVAIEQRTSRGGRKSTVGTMTEAHHFLRLLYVKLGTQYCPDCQVPVEPQ SQEQIFARIMSTCKGRHIGVLAPLVTARKGYYTDLAKWAEGKGYTHLRVDGDFIPVKP WPRLDRYKEHTIELPVADLIITPAAESSLKQALHSALETGHGTMSIILDLDPTNPLQA SELEQQHFSTKRACPSCGTSFPEPDPRLFSYNSKHGWCNTCFGTGVKLTGFNEEQSGE EDAWKNLSDDEEHELQACPSCHGQRLNRNALAVRWKDRSIADLAALPVSEAHTFFAGL ILKGRDAEIARDILNEIKSRLAFMEEVGLGYLGLDRSAPTLSGGEAQRIRLAAQLGSN LQGVCYILDEPTIGLHPRDNRILLSALSRLEGNGNTLVVVEHDDDTIRRAEHIIDIGP GAGVRGGTVVAQGTYNDIISNPHSLTGRYLAHPMAHPLRPGQPTNADTDYIEIHRAHL HNLQHVNVRIPKGRLSVITGVSGSGKSSLARDVLLDNLKGVIGTKTPAPWRGCEDITG WESVDRVLEVDQTPIGKTPRSCPATYIGFWDDVRRQFAETRDAKIRGWSSSRFSFNTG DGRCPICEGQGMRTIEMSFLPDIKVPCEACNGNRFNPDTLAIRLREKNVGEVLKMEVD DAIPYFASHPKVKRSLQLLQDVGLGYLTLGQPSPTLSGGEAQRIKLVSELVKARLDEG VIKTGRASSRPHTLYVLDEPTVGLSMADVEKLIIVLHRLVEAGNTVVVIEHNLDIMAE ADWIVDMGPEGGNGGGQVVAMGSVQDIIAKKAKSHTGLVLEEFLTRGPESVPALSS MIM_RS14105 MREPTYTVFHGYHKLAAGTLSQIASAYQAALKAKSASAVLIFDD ETGRSTDIDLRLLDSATQAGLSLDVESTQEEDDQAVRRRGRPKLGVVSREVTLLPRHW EWLAAQPGGASVVLRKLVEAARLGNGDRDARRKAQERAYHFMLALGGDLPGYEEATRA LFADDIAALEKQIADWPDDVRVHALQLATPF MIM_RS14110 MDTTINDKHRRFSGLQRLYGDAATARLEQAHVMVAGIGGVGSWT AEALARSGVGELTLIDLDHIAESNVNRQIHALTATLGQAKIEAMAERIAGINPDCRVH LVDDFLGPDNVDTLLQARPVSVLIDATDQVAAKIAMVLASRREQIPLIMCGGAGGKLD ALTLTAGDLSIARNDALLGRIRQQLRKHHGFPKGSDRHGKALRKPPAMRVFTLWFDQP AILPQAWAAPEEGGGLQGLSCAGYGSAVTVTAAMGMAAAGEAIRRILI MIM_RS14115 MAELIRNPLQKALDHIRQFRLWTDEEVESSMQQNLAGTDPDNVW VFGYGSLIWRPEFEFDECRIATLHGYHRALCLWSSVNRGTPERPGLVFGLDEGGKCEG KVFKLRPDALEQEFRALWKREMVSGAYIPTWTHCDTNQGKVRALAFIMDRENHAYAKD LTFEETLQIILNATGQNGPCPEYVIETAHALSDANIQDHALFKLADTLKKTYPVKPAT MIM_RS14120 MMTLADLRQNYEQNVLLESQVDPDPIKQFERWFNDAAAGGIKEP NAMVLSTVDARHRPSSRTVLLKDLTAEGFTFFTNYESNKGQDIAGNTAVSLLFVWLEL ERQVHINGIARQLSEAESTDYFVSRPLGSQIGAWASKQSQVITREELEERAEDFKEKF GHTVPKPPHWGGYIVIPEMIEFWQGRPSRLHDRLRYTRGDDMNWSLARLSP MIM_RS14125 MSAQAPDFDTRDYKAALGRFATGVTIVTTTHPRTGQPIGLTVSS FNSVSLNPPLVLWSLIKSSSNREAFEQITRYNIHILNAEQLTLARQFSAGSPQERFRD VIWTPGTTQEKVPKLDNRYCSAWFECYNRNHYHEGDHMILIGEVERCHHTDSLPLVYH AGSFDLTPTLTET MIM_RS14130 MSIDIECLVIGAGVVGLAIARELASAGTEVLVLEAEEGIGMGTS SRNSEVIHAGLYYPRGSLKARLCVEGKKQLYTYCDARHIPYKRLGKLLVATSDSQVPY LEKIAAQGKANGVDDLQWLSAEQTRKLEPELDCVAAVLSPSTGIVDSHAYMLALQGDA ENAGAQVILRTPMLNASIDTDGAFTCQFGGVEAMTLRCRKLINASGLHAPTLARNIKG LDPIHIPGEYYCKGSYFTLNRRAPFSHLIYPMPNSAGLGVHLTLDMGGQAKFGPDTEW IESENYLVNPAQVTAFDQAIRSWWPGLPEHALEPGYAGIRPKIVPASSAAGDFVIAGP GDHGIPGLVNLFGIESPGLTAALAIATVTAQALTAH MIM_RS14135 MQNDYILTVSCPDRTGIVHAISGLLLEMDGNIIDSQQYGDEETQ RFFLRVHFSLDSAISPDDIHLKFIPLGEKFNMTWKLHDAKRKARVLIMVSKQGHCLND LLFRANSGSLPIEIVAVVSNHRDYERLATGYGIPFHYLPVTPDTKAEQEKQVLALVEN HKADVVVLARYMQILSDQFCSALEGRAINIHHSFLPSFKGARPYHQAHARGVKIIGAT AHYVTADLDEGPIIAQDIEQVDHAMSANELTRVGSDIESLVLSRAVKYHVEHRILLNN HRTVIFR MIM_RS14140 MKFQKLFLAVIAAAGCASAFAFDGTINITGKVTDQTCQVKTGTE QLSVRLPDVGAASLNVANKTAGATRFTIKLENCSPGNGNVLAYFEPGTTIIGSRLKNT STSNAAGNVEVQLLNNNHQPIDLSQNSASAQSSTSAPVNSTEISLDYYARYFATAAAT PGEVTSTVNYTVVYN MIM_RS14145 MTPRRIRAIFLLIQLFWMMQSDASVVITGTRVIYPLSSASVTVR LTNEGSQPSLVQAWADSGDGNAAPNESKAPFIVTPPVFRMEPQAGQTLRLTLTDSGLP KDRESIFWLNVLDIPPKPSANIGNYLQMAVRTRIKIFVRPENLTLTMSEAASKMQWIA GSGRQLTILNPGPYYFSLNGISPDADKTHPPTPISAMVSPYSSVRLRSPLNLPAGQTL DVTYINDYGGTSRATISGTTR MIM_RS14150 MSTQPAAGQQRVEFDEAFLRGAARHGLDVSRFAQHNRIPPGEYE SDIYVNNVWKGKTRLHFRELNANGATTLCLSDVLLRILDLDPARLSYRNINHRETPCM AAAEAIPQATFSYRLDDLRLNVDIAQIYVRQRPRGYISPDNWQTGVPTAFLNYDYNFY QTTMRGGNRTYNARFLHLNGGINLGNWHYRHQGSLSWGNMVGNDENEGSRYRTYANYL QRDIPLLKSQIMLGDFITNNVLFDTLSLRGVQLFSDDRMLPDSVRGYAPAVRGIAQSN ALVTIRQNANIISETSVPPGPFEINDLYPSSYGGDLHVTITEANGSARTFIVPFNTMS RLLRPGGLKYHFAAGRLKLGQDTLNNRVIQSSLQYGVNNWLTVNAGVSVSERFRSLLF GGAFNTSLGAFGFDMISSRTSLRSGERQAGVTWRATYSHFVPATKSNIALTAAHYSSN GYHSIVSAALQDNQDGSTRRFRYLPDRQKNQLQLTWNQPFADRWGSAYLTAYTNDYWN RSGRDTTVQAGYSNSYRNISYTLSVSRTRDYYTAKSSNHVFLSFSIPIGKTGNHTLTT QAGNQTDNGSYVSSMLAGSFGNNNAYAYAATASHGSGSTSGSINGTYRSSYGLLSASA GVGKGYRQFGVSASGAAIVHPKGISFSEQVGNTFAIISAPGAEGARVAAGTNTRLDAN GIAVVPYLNPYQINTVGVDPLNAGRNVDFEATSYQVIPRANGTMLVELKTKTGRGVLF QITLADGTFPPLGTDVLDEQGNTVGFVAQQGYVFARGPQQTGALFLRWGSAESAQCKA SYALEQTTDSGKGASDQLIKVKVQCH MIM_RS22195 MSLNATQPQSTPRLMPLFRRSTAAAIAITLALWSGSSHAQFYAS PLGDYIISEPGNRFAIDEPIVFQRGANPVDLSKYSVFQWGGKRMIRAEAWSDAPSIPG RIATVYGQPHSVFRLEGLSTIGYVIDVKDPSSSTWLPINHQSTEPGTQPGRRITYQSP LQGPGLCCSIGLSIRITFIKLDQQPFTNRMRAYTVHNAVSFRLLNANDPGKVFKGPTS AKFSIVIEGRSSTCRRSGTTVQNIRLPDVSLSAFPAIGSTYMGDQVARFSLQCDPGIT VFATLTDANNTSNTGDTLTNTGSAKGVGVQLLQTSRAFSTTNCNPGSPCRFGPDSSAK GNTNQWQISQGKTQLATSSNPSISFQARYVRTGAMQPGDVRAVSTITFSYQ MIM_RS14160 MRKGIPSLGALQAFEACARLGSFSRAAEELSLTHSAVFRQVEGL EARLGVTLFNRVRRRISLTTQGAEYAARIRHHLEQLQKDTFGLMSRSGLGRSLHIATL PTLATTWLIPRLADFQRHWPDIQISLSVRTQPFQFTDVPVDGAIYHAAHPWSNTQSVM LFREQELVPVCSSQLLARARVKGAGALAQLTHLHMMTRPDAWPAWYEHNDLPFTPLVL GGPRYELFTMLLAAVDAGLGVALVPAFVAHEHIKSGRYVMPLTATLPVETSYYFGYPK AEAYPEALTLFESWLKAQTTR MIM_RS14165 MSSNPAFHWDDPLLLNAQLTDEERMIRDAAAAYCQDKLAPRVLE AFRHEKTDPSIFSEMGELGLLGPTIPEQYGGGGLNYVAYGLIAREVERIDSGYRSMMS VQSSLVMVPINEFGSEEQKSKYLPKLASGQWIGCFGLTEPNHGSDPDGMETRAKKIDS GYSLSGNKMWITNSPIADVFVVWARCVGGDFDGKIRGFILEKGMKGLSAPAIHGKVGL RASITGEIVMDDVQVSDEQMLPGVSGLRGPFTCLNSARYGIAWGALGAAEACWHTARQ YTMDRKQFGRPLAQNQLIQKKLADMQTEITLGLQGCLRLGRMKDEGTAAVEITSIMKR NSCGKSLDIARMARDMLGGNGISDEFGVARHLVNLEVVNTYEGTHDVHALILGRAQTG LQAFY MIM_RS14170 MNLAMTVGTLLGLTATAVFAHPGHIDQAQHSMFAAGLLHPLTGL DHLLAMLAVGLWSALTHQSLRRAMLAPVVFLIMLFAGAMLGIMGVQLPLVEPMIMASL LILGLLVATRKSVNEMIGFVIVGAFALFHGLAHGMEMPASNAAIPYVAGFMLATFGLH VAGLLGGMQLKQHSAWFSRVVGAAISAYGVLLLAGA MIM_RS14175 MNTQTDNTRTRALRLGVAGPVGTGKSSVVATLCRSLRHEFQIGV ITNDIYTDEDARFLRAEGVLPVERIRAVETGACPHTAIRDDVTMNLLAVEDLEKDFAP LDIVLIESGGDNLTATFSPSLVDAQIFVIDVAGGGDVARKGGPGIGRADLLIVNKVDL GEHVDVDVEQMLKDARAARAGAPVLAHSKKYPDTEKALCDWVRHLHEAHVNGTHVPTD PGPMAPHFHATEDGGYIHRHGDEAHPHVHR MIM_RS14180 MPLDHPIHQPGVMTARATLATRQDFDHTGRPVSRVHTLRSQAPL ILRPTHAKEPEPQALRTGSVARVSLVSGAAGPLGGDDFELNIRVGAGSTLLLNEISST LLLPGARGGRSSMRINIAVEEDATFVWMGEPIIAARGCHHVHTINIELAASARLFMRD ELQFGRHKEQPGNLLQNMRVKRDGQPLFCQQQQIGPAAVGWRSCAVLGQHKCMGTVLV VDPQWCAAQPQTNLFAADAALLPLEGPAVMISALAAETWTLRKHLEHGINLLGGGWSV ATAEPARADRHAGRYRDKPDQAMPSFDTIRQGNDYEYTN MIM_RS14185 MAHSAALLLLADGRLPAGGYAHSGGLEPTVHIHGLTDVAGLEDF LEGRAATAGLVAASFAAAACRAAQTRQHALLCELDRQLDVRTPSKATRAVSRALGRQL LRAVTSIRSIDVPAQARRDMHQPVVYGMAAAAFGLAPTEAALIVLHESVAGPAAAAVK VLSVDPFAVQAALARLTDFLDTLAQQAGEHADTPPDDLPALGTPLLDLAAEHHRNWGV RLFAS MIM_RS14190 MAKISRSEYMASYGPTTGDRIRLADTNLLIEVEKDLCAGGNEAV FGGGKSVRESMGQSCATRAEGTPDLVITGAVILDHWGVIKADVGVRDGRIVALGKAGN PDTMDGVHPDLVIGPSTEIMSGNGLIMTAGAVDTHVHFVGPQMLQIALASGTTTVTGG GSGPTEGSKATLATPGAWWMQRMLEGFEPWPVNVLFLGRGNTVSHDSLWEQLRGGVGG FKVHEDWGATPAVIDAALQVADASGVQVAIHSDTLNEAGFVEDMLKAVAGRSFHSFHT EGAGGGHAPDIIRIAGEPNVLPASTNPTRPFTRNTVDEHLDMVMVAHHLNPRVPNDLA FAESRVRAGTIAAEDVLHDIGALSIMSSDAQAMGRIGEVVIRTWQTAHRMKQLRGSLP GDTLADNHRARRYVAKYTICPAIAHGLEKHVGSIEVGKMADLVLWQPALFGIRPTIVF KGGMAATAALGDPNASIPTPQPVLERIGFNVHSPAAAATSVAFVSQVAIDDGLAGRLN IKRPLVAVENCRTRTKADLPENSALPHIEVDPDSYAVKVDGELIDHEPAVEVPMAQRY FLF MIM_RS14195 MLYCTEDVEINAGMPVITLMVVNGADRPIQVGSHFHFAEVNAAL EFDRASAWGKRLNVLSGGSVRFEPGAVVEVELVDIQGRRVVRGLRGLCGGSLDGKDFS I MIM_RS14200 MFLSMYEQERLMVYTAGKLALERKQRGLKLNLPEATAYITAFLL EGARDGETVADLMEAGRHVLTRDDVMEGVPEMLGQVQVEATFPDGTKLVTVTGPIS MIM_RS14205 MKFAEFQPGMVFEQGPRHLTEEEIIRFAEAYDPQWFHVNPERAK AGPWKGLIASGWHTCGIAMKMAVEAALEGSESFASPGLDSVKWLKPVRPGDDLFWKGV VKAKRVSNTREGLGILLWSWEVTNQKNETVLELDATSLFDISESMEKDQE MIM_RS14210 MSIEYQVIHSDRRDRPLLVFLHEGLGSVAMWKDWPANLCQLLDC RGLVFSRYGYGKSTPRPAQEQWATDFMHIQAQQFLPAFFSALGIDTRTDQPILIGHSD GASIALLYAAAFPEQVRATAVLAPHLFVEDATVKNIALARQAYLTTDLPEKLQRYHDD VDSAFWGWNDVWLSAAFQTWNIEDEVADIRSPILAIQGREDEYGSLAQIEHIAQLAAN VELYVIDDCRHSPHRDKPEQTSDAIVAFVRALL MIM_RS14215 MNHTSETHAALPIIDVSALITDSSAEALQTVADRIRNACRAHGF FYVSGHGVDSALIQRLEMLSRRFFALDEQEKMRWRMALGGRAWRGYFPLGGELTSGRP DWKEGLYLGTELADDHPLVLAATPVHGRNLFPDVPAFREAILQYMEAVTTLGQALMRG IALSLELPANYFESRYTADPLILFRIFNYPSQDVPKDMDVQWGVGEHTDYGLLTILLQ DQIGGLQVKTPGGWTDATPVPNTFICNIGDMLERMTGGWYRSTAHRVVRNTSGTDRLS FPLFFDPNYFSRVHPIEGLPHVPDADDSASRWDKENVHAFNGIYGDYLLNKVSKVFPQ LRQEVL MIM_RS14220 MIEFENVNKWYDKYHALVDVTETVARGEVVVVCGPSGSGKSTLI RTVNRLEPIQSGHIRVNAQDIHDASIDLNAFRSGIGFVFQQFNLFPHLTALENCTLAP MHLRGMSVQAARERALSLLERVGLAHKADAMPDALSGGQQQRVAIARALAMEPPLMLF DEPTSSLDPEMVGEVLLVMRDLAKEGMTMVCVTHEMGFAREVADRILFMDAGKILERA TPDDFFNRPQHPRAAQFLSDIRTPFSRAV MIM_RS14225 MSGFLEVIDTYWLYFLVGQYPNGPLGGLALTVLLASCALVMAMP LGVLLAFARISPWRTVRWPVSILVFVVRGTPLLMVVFWAYFFLPSVTGVKTNQFWTML IALVIFDAAYLAEIIRAGIQGLPRGQMECARSLGFGYFRAMRLVILPQAIRHMLPSLV NQFISTIKETSLGYIIGLAEVSFITSQINTQVMVYPAQIYLVLGLTYLLLCFGLSRFA YWLERRRGKQQVAMVARKEAQ MIM_RS14230 MPEFDASMLLSGRYHEMLLAGVWLSIQLLAVSLVLALPLATCIA LLRLAPFRVLRGLGFTYVETIRNIPLLAHMLFWYFGAPEMLPEAAKQWLYAGNTEAIC AVIALTLYTAAYMAEDIRSGIRAISFVQTEAARALGFSFLATMRLVILPQAMRVITPP LISQTLNLWKNTSIATVIGVAELMYQAGQVESASFRSFESFAFATSAYLSLSLLITAL AAWFQHRYPPRAL MIM_RS14235 MKPRKLLALLGATLIGLGAVSAQADQLDDIKKNGELVVGVLGTD EPATFIDPKTREFIGYEVDLAKAVADKIGVKARFKQVAVAARIPELQQKHVDIIAAGL THNKEREAQIDFSVTTFVTGQKALVRSDSGITDLAGLAGKKVLTIRGGTQEPNIRKAV PDVNVVTFDTSQQAFLALQQGKGVGYVDDEAALLRVYAKLGPKQKDFVVLKPSISEEP LAFGLRKGEAGLKKVVDDTLRELEASGQAEKIFFKWYGPNTKSQFSTRSFKLETDKI MIM_RS14240 MNTSLPPLNAVRAFVAAARHQSFTLAANELHITHSAISHQVKAL EAYMGVRLFDRRIRQVSLTLEGLRFFTQADAALKQIANAAQSVMSQTPERVVRVNVRP SFAVRWLIPRLPEFIAQYPGIEPHVLTTTLPPESAGEFDISIRRGLKGWSDAMQVSPF IEDDVCLVMSPASQARYCVTDPGALAGLTLLRSKSRKGDWEAWLEHAGIDGVRPVGQM QFDHVHFVLQAVEDGLGFAAVPFSLISHDVALGRLCCLLPDMRLPVTRYYYGVGPNAK PEAQYFIAWLERELARQAMAGRVTG MIM_RS14245 MIISPVQTPVDQHSRHAALAMALALPTDVVLYLLLPMYSEQFGV SLVEAGVLLAANRLIRIAGYGFVARFYASHGDRLICTLAVIAASLCAVGYATLTGLWA LLPLRLLWGLAFAALNLSTQALATAEATGASRRSGRSRAFIALGPAIALPLAALLAYG FGPRAIFWLLAATALTGVVVTRRLPSSAYPTPPKRRGLSLPNSLDMWSFLEGFTLDGL FIIGLSYLGSDLFPESAVVTAGLLLALRYLGEIILSPIGGRLAERFGAEKLLLALSLM TCICLIGFGAGWIWSCASLIVALRALQLPLLAPIVARRTPGPERVHALAARSVWRDIG AGTGPLAAGLVLPLLSAFWVYSIPALLLVWAAAACVERPSLSEHRKS MIM_RS14250 MATIEQAQVIKKQHLIDPEICIRCNTCEETCPIDAITHDGTNYV VMPDICNHCMACVAPCPTGAIDNWQPVPAEKMYSIEEQYSWDELPAPLALEAQEQPAS PGVDSDSAAPAAQAEAEVTIQGSNYVAGAQVPPWSASHSYTNLYGTRNVVTATVSGNY RLTDDASESDIRHIVLDFGDAPFPVLEGQSIGIIPPGTDASDRLHHARQYSLASPRDG ERPRYNNVSITVKRVVQDYDGIPVKGVCSNYVCDLKKGDKVQVIGPFGHTFLMPNHPG SNIIMICTGTGSAPMRAMTERRRRKRLEGQNVERGKLMLFFGARCKEELPYFGPLNKL PKDFIDINFAFSRTPGQPKKYVQDVMRERLPDLLALLQNEDTYIYVCGVKGMESGVLE VLQEVAAAGGMQWPELHATLRKKGRLHLETY MIM_RS14255 MSSINYNDKIPNNVNLSEDRTLQRALEHWQPNYRKWWNDMGPEG SQDFDVYLRTATSVDPQGWASFGYVKMPDYRWGIFLNPRDAERQVNFGEHKGEKAWQD VPGEHRANLRRIIVTQGDTEPASVEQQRHLGLTAPSMYDLRNLFQVNVEEGRHLWAMV YLLHKHFGRDGREEAEALLERNSGDEDNPRILAAFNEKTPDWLSFYMFTYFTDRDGKF QLCALAESGFDPLARTTKFMLTEEAHHMFVGESGVSRVIQRTCEVMNQLKTDDPARLR EAGVIDLETIQRYLNFHFSVTIDLFGADESSNAATFYNTGLKGRYEETKRDDDHKLYD RTYNVLAVQDGRLVERSVPMLNALNEVLRDDYIKDSVGGVNRWNKVIEKAGIPFRLKT PHKAFNRSIGTLSGAFVSPEGVMMSEAEWVSHEREWLPTNEDRAFVASLMGRVVEPGK FANWIAPPVMGINRQPVDFEYVRFN MIM_RS14260 MSELKVNYQTHPSQYKHLSFTTEGNIARLTIDIDEDSGIRPGYK LKLNSYDLGVDIELHDALNRLRFEHPEVRSVVVASGKERIFCSGANIFMLGLSSHAWK VNFCKFTNETRNSIEDTSEHSGIKFIAAVNGACAGGGYELALACDEIYLVDDRSSSVS LPEVPLLGVLPGTGGLTRVTDKRKVRHDHADIFCTLVEGIRGQRAKDWKLVDEVVKPA RFQETISARAQELAQQQGADAATGLQGVALTPLDKHETADSLQYKYVSVDIDRAGQFA TFTLRGPDAALPDTIEAIMQAGMTWWPLQMARELDDAILSMRTNELDSGLWLIKTAGS AEAVLQSDDIMLNHKDHWFVRETLGLLRRTLARLDVSSRSLFALVEEGSCFAGSLLEA ALACDRIYMLTLPDDEARTPHITVGPINFGFLPMVTRQSRLARRFYEENASLDEIKAL AGKRLDGDQAEQAGLVTYALDDIDWDDEVRIAIEERVSMSPDALTGMEANLRFNGPEN MWTRIFGRLTAWQNWIFIRPNAVGEKGALKLYGKGEKPNFDRNRV MIM_RS14265 MTLENSFEIDPMEDKTPLLRALGERIRSLRARKGITRKKLASLA GISERHMANLELGTGNATILVLHQVAQALTCSLAELIGDVTTSSPEWLMIRELLENRS EKDLHKVRLRLIELFGADKNPGSRLSRIALIGLRGAGKSTLGRMLADRLGYPFIELSH EIEQTTGCSINEIHSLYGPVAYRRYERRALEETIQLYPEVVIATPGGLVSEAATYNNL LEHCLTVWLQATPREHMDRVIAQGDFRPMSGSKEAMQDLKLILEGRVAFYSKADVHLD TSLQPLEETMEALFAKVQAARQSAEVLN MIM_RS14270 MREQFDTLIQAVTRQIADRTLDDTLQAWLNQHYGAQSEWYRDIS AACTKGVQDGWMCKHEAQGLKYGRVTKPSDETCGFSVDVVSMQDIRGPHHRHPNGEID LIMPLTPGARFDGCEAGWKVYGPDSAHCPTVSNGAAHVLYLLPDGKIEFTAQQQ MIM_RS14275 MQTPENSNQFNIADYLIQTNQNRLERLAYIDDKGTLTYAALFDK VRCLAAVLKQLGVHREERVLLLMNDCTDWPVAFLGAIHAGIVPVAINTLLKPQDYKYI IEDSRCQAALVSASLLPVLTEAMSDAEHEIKHILVSRPQGELSHGQLSMDEALAAATP CHRPCSTKADEPAFWLYSSGSTGKPKGTIHSHANPYWTIRYYAQDLLHLTETDRILSA AKLFFAYGLGNALTFPLGVGASVILIEGRPTPDAVFDAMRRHQPTIFCGAPTGYAGML AAANTPTRDEVQLRMCSSAGEALPRELGERFTAHFGCHIIDGIGSTEMLHIFLSNRPD DIHYGTTGKPVPGYELELRDEQGAIVADGEIGDLYIKGKSAALMYWNNREKTRATFQG EWTKSGDKYSRDAEGYYTYAGRSDDMLKVSGQYVSPFEVEATLIEHEAVLEAAVIGVT DSQGLVKTRAYVVLKESAPPADDTLRDALKAFVKSRLAPHKYPRSIEFLEELPKTATG KIQRFRLRELNQHEVA MIM_RS14280 MNNVKKATLATAIVLAGGLGQAAQAEVKVGLMLPATGTYAALGK AIENGFKLYVEEQGGKLGGQEIQYFSVDDESDPAKAPENTNRLVQRDKVDVLVGTVHS GVAMGMAKIARDSGVIYIIPNAGADMLTGPLCAKNIFRSSFTNWQPAYGMGIVAAEKQ KHKTAVTINWKYAAGTEAANGFREGFESKGGKVIKELTLPFPNVEFQSLLTEISALKP DAVFAFFAGGGAVKFVKDYDASGLRKTIPLYGPGFLTDGTLQAQGDAAKGMLTTLHYA DELDNPKDTAFRKQYQDKYSMEPDVYAVQGYDAAQLLAAGLNNAKGDIKNKDAVIEGM EQAKVDSPRGAFTMSKAHNPIQNIYLREVVGTHNKNLGIAVANLEDPAKGCKLSK MIM_RS14285 MDITTFLIQCLNSVQYGLLLFLIASGLTLIFGIMGVINLAHGSF FMIGAYLIYALSMYIGNFAVALILGIMLALAFGYLLEWGFFSYLYEREHLLQVLMTYG LILVFEALRSILLGDDVHGVSPPGWLAGSIPLGNGLSYPVYRLFISVVCLVIAIGMYL LLKHTRLGMKIRAGATNREMVQSLGVNISVLYRTVFAAGVALAIFAGMLSAPVSSVYP GMGGNELIICFVVVVIGGIGSIKGALIAALLIGFVDTFGKVLWPEGAGALVYLLMVLV LLFKPQGLFKQGT MIM_RS14290 MITKNNLFVWIVGLALLAALPLLPEPIGSKYHGDLVTKIMILSI FALSLQLLVGFTGLVSLGHAAFLGFAAYMVATFSPESEAGNGWLLMAICVGGAGLLAL LIGLLVMRSYGVYFIMVTLAFGQLVYFVFHDIKIFGGSDGTYIYFKPDFSILQWQPFD LESGNTFYWFTLILLIITVIILQLILRSRLGHAFVGIKHNQLRMRAAGFESTAYKIAS FVISGMLAGLAGFLYACQYGYVNPELLSWHQSGNVLLMIILGGLGSLGGAIIGAFAFV LLSEWFTAITKHWQLLLGAFIIFVVMLMPYGLAGLPRQLREWRARHTHKQGAN MIM_RS14295 MTTALLEARNVTRKFGALVAVNKVSLQLHEREILAVIGTNGAGK STLTYLLSGELPLSDGQVYLRGKDISKWSQPARSQAGVGRSYQRNNIFLPLSVRENCR LAAQAKIQKPWQFWTAAQHCRRSLEMADHALEQAGLSRYATTIAANLSHGQKRQLEVA MCLAQQPEVLLLDEPLAGMGAEESERMLTLLHNLKKNLAVLLIEHDMDAVFAVADRLT VMVNGAVLASGLPHEIRNNTAVQTAYLGESDHATAPLRPN MIM_RS14300 MTDDIIRAHDIHAWYGSSHILHGIDFHLQRGETVGLLGRNGMGK STLIRSLLGHVKQRSGRIELQQQAMSGARPYQAARLGIAYVPEGRGIFPNLTVRENLL MCARTGRDGTTAWDFERILQTFPRLAERLDNMGDQLSGGEQQMLSIGRALMTNPDAII LDEATEGLAPLIVEEIWRVIGIIRNSGLATMVVDRNYRKVMDQADRLVVLEKGRVVLE GDARQLRSDPSQIHQYLGV MIM_RS14305 MNYTPAIKLATGVLFAGSGIAHAAGISDDVIRIGFLTDISGVYA DYDGQGGVQAIRMAIEDAGGSIDGKKIELLYADHQNKADVAAAKSREWVDVNKVDILI GGTNSAVSLAMANVATEKKKVFISTGGGTSALTGKQCSPYIIHYTYSTDALANGTGKS VVKNGGKDWFFITTDYAFGHALEAATSKVVKEANGSIKGSVKVPLGASDFSSYILQAQ SSGAKILGMANAGGDFVNAAKSANEFGLNTQMNLVGLTVLIPDIHSLGLQVTQGMYLT TPFYWDLDDDTRKWSAAYQKVANRIPTYIQAGTYSAVRNYLAGVAALKTDDPDAIMKW FKTERIKDFFAKDAIVRADGRLTNPMYLMQVKKPDESKAPWDYYRKVETLSPDDLYGK PENSDCKLVQQTAGAKS MIM_RS14310 MSTPKVIITIAPTGGMAFKSQNPNLPTQPQEIADDVYDCYNAGA SIVALHARNTDDSATCNPDIYYDMNTRIRAKCDIILNNSTGGGVHGEMIGQNENGMWE LLWEERIKGMEAGAEMCTLDATTIIASFDNKEILMNTAPSRCRFLADEMKKRGIKPEW EVFSPTHILQDTATLLAMGVDEEPAYVNLVMGVHRGFQNAMPYSPKNLQFMVECLPPG TIFGASGIGPAQLPCAINSLLLGGHVRVGLEDNLYYSHGRLASNIELTERIVRLVREM GYEPATPKEARQILNLPRPGAPVKPAFAL MIM_RS14315 MPLPRTVSFVHTLLADHVVEGASVIDATMGNGHDTVHLARLVGD SGHVYAFDIQNEALKATAARLSDEQLQARVSLIHDSHASMHEHVSEAVSAIVFNLGYL PGTDKSCATQATSTLTAIERALTLLAPGGLLLIVIYWGHEAGVREKQALEPFVAQLSP DQYRVLRYEFMNRVNPAPYLLAIERFSH MIM_RS14320 MMSIENLSQTRALPIGLRALRIDDLPQMMALQASIYPPALLESA EVLASKISAVPAGWSSLAATEGDTLCAYALGYPWRSDRQPGWNRPLAPQHDCDVLYLH DVAVATAYAGRGIAGQMVRHLMQQGQQCGLSRAILVAVEGAQGYWSRLGFIATAAGRT DPAFGSDAVLMQRELLPSDPVLPAAV MIM_RS14325 MQSRHIQNIAIIGAGTIGSSWAALFLAHGYHVVVSDPAPDAEAN TRALIDSAWETLRELGKVQDETFLGNLRFEPDLQKALENVDFVQENAPEREDFKISLF AKMDALLPEDVIISSSSSGLLVSRMQSQCRYPQRCVLGHPFNPPHVIPLVEVVGGEQT SEETIERAIDFYRAIGKHPIRLNKEITGHIANRLQAAVWREVMHLVNENVASVQDIDA AMSKGPGLRWAIFGPQMVFDLAGGRAGLAHLIDHLQPAIETWMDDLGNPRMTPELQAK MVQGTQQVRENQSFESLLRYRDSHLIDILKSLDKK MIM_RS14330 MTFTPPQPQLHRRQFLRTSSSLFVAGAMFTIGTHTARANIAGSG FRQISLDNLHTNEKITLAYANGEAYIPAAMQRLNHFLRDHYSGAVGQMDPNLFDLVNK VRASLNTNVAIEVISGYRDPHTNERLRKTRGGGVAKRSLHMVGQAMDLRLKGVPLDEL RDAAKELALGGVGYYPKDGFVHMDTGRVRSW MIM_RS14335 MTFSTMLSAVSLSLLLATTAHAQAPQNDAPAPFIVPDSGIALPP DFANATFDVPDVSWIEDGRPVEQAKDALELLATADTHGLDPRWFHTAQLQSQWERVSY AASAEEMAQFDRDLTMQMRTYVKYLKNGRISPSLIKNRYSKPLFSDTDADLFLATAIR NGNLKASVRALTDSIPMYNSLMQALVVFRQLQKNPSMNQALPAVPGGKLTEGQSYPGM PALIERLILLGDLPQGTPARPVYDATVMAGVKSFQQRHGLDPDGVIGKGTLDQLNTRP IDRIRQIQISMERLRWTPLIEGERRIVVNVPEFVLRAYHIRNQKVENLIEMKVIVGKS FNTSTPLFDGAVSKIEFSPYWNVPISISRKELIPRLRSNPSYMQSQGFEFVSQSGGVS QTVSEANLNAVLNGQARIRQRPGPKNSLGDIKFIFPNNDAIYLHHTPSTQLFNRTRRD LSHGCIRVEEPVRLAQFVLEKQPEWTASAIEQAMTAKKSKTINVLEPVPVVLGYSTVV VKNNKIYFFPDIYGQDKILDNAIKKVAASRNIPPAS MIM_RS14340 MTITLTAADGHTLQAYTAGAEDAERGIVVLQEIFGVNSHIRDVC DFYASKGYRVIAPALFDRIEPGVEMGYTGPDIERGKDFKAKVSYEEALKDIEAAAAAL SSQKKIGVVGFCWGGTLTWLAACRSGAFSAASCWYGAGIAELRDEKARCPVQMHFGEK DKSIPPADVEAIRNAQPNVDVCVYDADHGFGCDQRGSYDPVASELARTRTVEFFKANL MIM_RS14345 MPDWDDIRYFLEVARTHRASAAAARLGVEHTTVTRRIRHLEADL GQPLFDKSRSSGFTLTRSGQQLLAHAEQMESHLYNAQEQIQGVGQSPAGHVRVAATEA FGSCIIAPLCAQFQSRFPDMTIDVLPVPRFVSLTRREADIAITIERPARGPYVTRKLT DYTLLLYGTQACFDRYGQVNSEADLHQHRFISYVDELLFSDQLRYLEDILPFTKPVIK STSVIAQYYSCLQGHGLAILPCFLAGRNPQLIPVLQERIGITRSFWIYYHEELKRLKR IVMLSEHLCDIIGNNRLLVQGRMPVQQVQ MIM_RS14350 MLIRKTVLAAASCMALNAWAADAPEVKVGVLTDMSGTYASMGGA GSVAAAQLAIDECLKGPCKGMKIELVSADNQNKADVGAAKAREWFDRQGVTAIADLTN SAVALAVQNVAKEKNRIALFSGPATTALTNKSCSPVGFHWMFDTYSQSVGGAKATVQA GGKSWFFLTVDYAFGHSLEADTAKMVKKLGGTVVGQVRHPLNNSDYASFLLQAQSSGA QVVALANGGQDTVNAVKQAKEFGVSGGSQRLVALLIFLSDLRALGNDNAQGLTYVDGF YWDFDDGTRQWSDRFAKAYKGLKPTMTQAGVYSSVLHYLKAVAAAGTTDGDKVAEQMR KLPIEDPIMHNASIRADGRVIHDMYLYEVKKPDEKKGEWDYSKLIATIPAADAFSPLS ESTCPLVKN MIM_RS14355 MSAIPNVPLLINGKKVQSSAKEWLDVLNPANQEVVARVPLAPIS EVDEAVASAKAAFQTWRNTSQGNRMRVMLKLQQLVRDNAGKLAELITLEHGKTLPDAE GEVGRGLEVIEHACAIVSLQLGEYAENAGTGIDVYTLIQPLGVCAGITAFNFPVMLPC FMFPIAVACGNTFVLKPSEQDPSASLYLAELAHEAGLPPGVLNVVHGGPDVANRLCEH PDIKAVSFIGSTHVGTQIYQRASNAGKRCQAMMGAKNHCVILPDADKDVALNQLVGAA FGAAGQRCMATSVAVMVGQAKDWIDEFVEKSKGLKVNAGSDRQADLGPLVSPRAKQRV AQLIQSGVDEKASLLLDGRDVVVADYKDGNFIGPTIFSDVKEDMAIYREEIFGPVLCI VCVDTLEEAVEFVNRNPNGNGVAVFTQSGGNARYFQNNIDVGQIGINIPIPVPVAWFS FTGSRGSKLGDLGPNGKQAVMFWTQTKSITARWASHDTGVNTTIAQK MIM_RS14360 MTKNIDLIYFNAGGGHRASARALEAVLKNTHPHWNVRLVNLFEV LDSRQVYKRVTGVAPEEFYNKQLAKGWTMAMTPELRILQAFIRLTHQVMVKRLQEHWI ETEPDMVVSLVPNFNRAMYESLVSSLPGVPYVTILTDLADNAPHFWIEPNQQQHFICG TDKAQEQARAAGHPDSHIHTSSGMLLHPDFYKKPEIDRREQLIANGFDPDKPVGLVMF GGHGSKTMVKIAKQLSDVQMIYICGHNAALAKKIGKMQTRAHKLVEGFTTQMPVFMEM ADFFIGKPGPGSISEAIRKDMPVIIVSNKWTMIQERYNGDWVTENGLGIVLPSFAKVN QAVHHLLDNLDSYRERVKAQDNQAVFEVPNILEGILTQSEITEQSALPSTSIAN MIM_RS14365 MKFQIFFASFISFLIFPAGSASAQTITYAMGDDAPRSVQAVGSN NVPEVPATAPPAWSFDPEKTKIILLPVDEPIDVPASGEAVPLEQLVTADALRGVDNWE AYQYESGIGPFMDGPNAAALRRRSSRITLKPVQQFSTGARTWSLTTEDKNQISLGSNQ VANPIGQSSFRIGGMQLSRQPDGTQDSWFIDSHQLAYSTAAGAMDYSAASSDNASFHF GSGAANTAVRYGLSDSTSIDSQVQLARSLRNVGLGASYALDDIGDLRLTVNAGKYEDN SNWRSMFAYRAKVFDLATLQFSNELTSAHHMDLSRLSQDPLSDRAIRNQLKLTYPLDT QSQVSGTFENSRVFNGTAEQRFGVEQSYKLESLLFKVKAQRAVHSDNGLLQFNVDIPI SGH MIM_RS14370 MKQQIPNTFRQKLLARETVIGTWLSTASPMLAEVAGVCGYDWLL LDGEHAPNDIPTLTAQLQAINSSSSAAIGRPPVNDQTLIKQYLDIGFYNLLIPFIESG EEAEYAVAATRYPPAGVRGVAGMTRASSYGTEADYFKQVNDHIGVILQIESRAGVEAV EDIVAVDGVDAIFIGPSDLSAAYGYLGQPQHPEVQEAIAHVHKVVAAAGKATGILAVV PDEAAVYIRQGFSFVGVGVDLSVYKNALISLRGRF MIM_RS14375 MKLKNTSLLKDKCYINGKWIGSKEVIEVTNPATGKRVGTVPRLG KKETADAIKGANKAWPAWKGLTAKERAAILRKWFTLINDNADDLALIMTSEQGKPLAE AKGEVAYAASFVEWFAEEAKRVYGDTIPAPQTSQRIVVTKEPIGVCAAITPWNFPAAM ITRKVAPALAAGCTVVIKPASQTPLTALALIELAEQAGFPPGVMSLVTGNSSEIGKEL CSNPLVRKVSFTGSTEVGRILMEQSASTIKKVSMELGGNAPFIVFDDADIDAAVQGAI ISKYRNAGQTCVCANRIYVQKGVYKEFVKKLVAAVEALVVGDGTDKKVQVGPLIDEKA VEKVESHISDALDHKAKVLTGGKRSKLGGLFFEPTVIADATQEMAFAREETFGPLAPV FKFDTDEEVVRMANDTEFGLAAYFYARDLGRVWRVAEQLEYGIVGINTGLISTEVAPF GGVKQSGLGREGSHYGIDDYLVIKYMLMGGI MIM_RS14380 MLISLLVIAACYFVGEAVSHVLPLPLPGPIVGLFLLLLVFKLRP ALLSLMARHIPTLLSHLALLFLPATVGSMVSYKLLDGYWPAVITAVIVSTALSLLAGV LVFRYADKQH MIM_RS14385 MFAILTGLLLTILAYCLASWLFARSGYFPLLNPVLVATTLIIVI LQLLHIDYSRYLQGAHYLSLLLGPATVALAVPITMHSRTIRKKLRPILLALVVSSLVA VVSSVGVAWLMGADRNILIGLSVKAATMPIALELARSLDGVIPLTAAFVFFTGILGAT IGPFIFKWMNIQRDDVRGLAMGVTSHVIGTAAEFKHSQEAGSYASLGMSLNGIFTAIV IPVLYFLFF MIM_RS14390 MDSIYLYVLLGAMVAGFVQGLSGFGFSLVSMALWAWVLDPRLAV VLAVFGGLTGQVVAAISLRRGFDWRLLLPYVLGGVVGIPLGVMLLPMLDVNLFKAALG CLLIVWCPIMIFAKRLPKVTCGGSMANTVVGLAGGVMGGLGGFSGVLPTLWCTLRYSS RDTQRTIIQNFNLSMLSLTMVSYLLSGMVTRPMLPMFLLVLPAILIPSFIGTRVYAGF SPQRFRLVVLVLLTMAGLALLGASVPHLIAL MIM_RS14395 MKVLVQRVRQASVSVEGREVSAIGAGLLLLVGIAQDDTEQDMQY LLRKTLALRIFNDENGVMNRSVQDVGAQILAVSQFTLMADTRKGNRPSYIAAARPELA KPYFDRFVQALSAGLGQPVPTGVFGADMQVSLINDGPVTISLDSRDR MIM_RS14400 MANTHEPTPADSAGKPAQRVVVSHLKDATFDRGLREFFEYRDLG VAQATAGAYSVHVIRALDGHESSGVPHLHQLDFQFVYVLQGWVEFEYEQTGVVRLERG DSVYQPPGVRHREIRHSADLEMLEVVAPASFHTATLEKI MIM_RS14405 MTPVVLASESSAAAQKWLNVIQQHFPDLDAHIFDPNAAAAASEV RAAIVWKPPAALFARYPDLDLVFNMGAGVDAILRQPGIADTTRIIRLEDAGLANPMTE YVIHYLSGITRNFGVYEQHREKRLWQGAEQTPVQHSTIGVMGLGVIGARIAQALSALD YPVQGWARSPKALPGIRSFHGEDQFASFLASSQFLINVLPLTDQTRNILNRDSLGQLP KGAVLMNIGRGEHLVEEDLLALLDSGHLSQAVLDVAREEPLPHDHPFWTHPAITLTPH ISGPTNHFLAIRQIRDKLQDALQGKPVSGEVFRDMGY MIM_RS14410 MFPANRFPLNPALWHDTAVEAPVTTPLKAAAETDTLIIGAGYAG LSTALHLAESGTQCMVLDAREIGFGGSGRNGGQLVPGLKKDPDELLSAYGAERGRQLV EFAGTTTSTVFSLIDRYTLNVPHTRNGWIQPAHSQSALALVQKRVADWQKRGAPVRPL SRSEVADLLGTDQYHGGWFDERGGSVQPLSYVRELARVTLAAGVAVHTGTPVQSIEKK GSGWQVTTDSGHVVQARRVVVCTNAYSDGIWKNLKETVVDPNTYQVATAPLPDDIAKT ILPQGQPVSDTRNLLLYFRKDHTGRFLMGGRGPFREPRGKQDWDHLKKAITLLYPQLK DIAFEYYWCGRVSVTQDYMPHLHQPEPGLILNIGCQGRGIGLQTAMGKAIANYLQSGN EAALPVPFTPVQRLPFYGLRKLYVGALISWYRYLDSRT MIM_RS14415 MITLDNVHKRFGQNHVLRGVNATVENGEVVCIVGPSGSGKSTIL RCINGLETYEEGAIRIDEKIVDRKSSHLRQIRQEVAMVFQRFNLFPHRTALENVMEGP IYVKGIDRAQAQEAATQYLEKVGLADKLSSYPQQLSGGQQQRVAIARALAMEPQAILF DEPTSALDPELVGEVLNVMADMARAGMTMIVVTHEMAFAKEVADRVLFFDQGVIVEQG KATDILNAPQHPRTQDFLRRVLHPF MIM_RS14420 MDELSIRIAQYFPVLMQGVKATLLVTAGSLLLSTVLGLIWALMR SSGIRWLAWLSESAVNIIRGIPIIVILFYVYFVFPDIGIDVNAIQASILGLGVAYSAY QSENFRAGIEAIDHGQVEASHSMGMTWATMMRRVILPQAVRITLPPYGNTMIMMLKDS SQASTITVAELAMQGKLLATSNFDNVTVFTMVAIMYLVMCMPLIFLVKYLEKRTKTA MIM_RS14425 MGMKKLLSVCMLAACLNGSVALAADNYKVGSTPTGMPFTYLDAK SGKIAGVMVDVIDAVSKKAGFTYEISPMVFSALIGSLQSKRIDIISAAMIKTDERAKV IDYTNTIFSYGEGLVVPESDKTEYTSLDQLKGKAVGIQIGTAYIAPAQQAGITDVKLY DASTDMMRDLEKGRVQAVLVDQPIAAAYLSNNMFKNVRLVESYKPVVVRDLGLITRKG ETDLQQKLNTAIDALKADGTVAAILKKWKLEK MIM_RS14430 MKIMDTVTPSTDARFTGKIASFVDFWLGQQLREIRREQGLSLEQ VARRADISIGSLSQIERGMTSPTVNMLNRISGALNISLGELLSNTECTDEQTDGWIAR AASHKQVVMKDKKIIKKIITPSRCRSVDLYQAIIQPGGSSGDEWITTRSGEISGLVIY GQLQLWVDKRYVKLEQNDTFCYTSEMPRKWNNPTDQDTCVLWVITKPERE MIM_RS23360 MQLFSKYTAVLSLAIFLAGCAADKPVPPPPVETGLIDECKLDRK ACLYEGHYEAKEQNYARDEAKRLNGMQKIRLVKSEKVAQPAYVDVPPVTIKAEKIVAV QKTSKKDSKKLSRKKSTKTLAQASHK MIM_RS23600 MASSVVSTVARKSGDMALLPSTFISRTASDELIRLSGAVEKAIT MIM_RS14440 MPQINVQDAKLYYEVHGDGYPLVFIHGGGGNTMAWFNQVAFFAQ HYKVITVDLRGFKYSPCLPELAHPRYYHDDILAVLDAEGLEHAAFICQSLGAWAGLAI AVRSPERVSCLYINGSPTPAYSEENWRVLKKGSDVFMGGSFGRGSGIGWNRETLKSKP ELVLLYSQIKALNPLPGFDSATMMDDTVKLHPEDFTHYRVPTIITGGAHDDFLVPGSH EHTATLIPGCATYTYADAGHSAYFETPQAFNRVVAGFLNKHLPSKKRVQYATAASNT MIM_RS14445 MSSSSEGAEQGARLSGRNVFAVVLGNALEFYDFGVYAAFAVFIG QKFFPSTDSYVSLLLSVATFGVGFVSRPLGGLLLGAYADKHGRKAAMTLTITLMALGT AAIGLIPAYSEIGLAAPLLLVLARLLQGFAAGGELGASTVYLYEAAPAGKKCLTGSWQ LASQGAASILVGLIGYGIARLLSAEDALSWGWRIPFIMGILVIPVGIYIRRSLNETLV HETAHATTGGVIKALMREHFGSLLLAIGAFSGITIAQYFLIYLTSFAINTLHMPASTA QLANFAVGASTLVFALLGGWLGDRFGLRLISILPRILLLLLIYPLVLMLVQAPSAFAL LGGAALLTAFQASSAALVVLLIARSFPRKVRATGLATAFGLGAAIFAGTAQVIFTWLI SVTGDPTSPVVYVIAMNVLSLASIWLMPRAEAPEPMADPVAAASVTI MIM_RS14450 MKNYLNYKLDILSAVAKRDADQIYQRECGLDVNHLRLLRLVSFY PEINPSELADRARLDRPKTSRMLARLVDRGFVVKHTTERDGRQVRLTTSPQGQILIKK ANRIATNLERAFLSPLTEKQQTELVDWLDKLTHWVESGGLSRSYKTDSDELEN MIM_RS14455 MKKIFTLTTLVLGVLTCSAQAQSSSYPTRSVRAVLPYSVGGGPD TVARMIGEQLSAAWKQPFIVENKPGANGWLALGEVKRSAADGYSIAIVDNTHMTLHPH LYKKMPFDPARDFVAAAPIYSTHFFIVVSANSPWRNVADLVGAAHKANGHLTYGTWGI GSVAHLGSTILQQRTQTTMTHIPFKDLSQLYAAVANGDVDWAFGTAATVQNLYQAKRV KLLALAAPVRLAAYPDVPTIAQAGGPQDFELKTWVAAFAPRGTPQEIIERLNTAIAAA LRTPAIKQRFETFGFNAWSVDTSGLARAVNEDSAYFGGIVQKANIALD MIM_RS14460 MKIAVIGAGPAGLYFSLLAKKQDPAHEIRVYEQNPRGATYGWGV VFSDVGLAFLREADPDFFATFVAHHERCDYMQIIHQGTSVQVHGNHFSRTARLDMLDV LEQACLQAGVHIEHNCRIDNIEQLAAEADMVVAADGSNSAVRKQFADQFCPSFERRRN KFAWYGTHQRFHPVSLIFRETESGIFIAHSYQYSATLSTFLVEVDPDTWHRAGLDVAS EEQSRRYCAEVFRADLGANELLTNRSLWFEANIVRNENWSYRNIVLLGDALRTVHFSL GSGTRMAMQDAIALNEGLRQYPGDVQAAFGVFESRRRQASSNFQHAATRSLDWYENVA DKMHLDPVSFAYDYMRRTGQVSHEDLRQRDPGFTAAYEIRHPAQFSTVGT MIM_RS14465 MISTEYVVNDAPFVIRRRVKWGDCDPAGVVYTVTFSEYVISAAE LFYGKLLGGTPQRVKDEHGFGTPTRALIFDFRTSLWPDEEFDMAVYVEHIRQRTYTLH IAGSVRGQAAFDARLTPICVARGERRAIVLPEILRDALVGYQRDCEQQAPYGSTNQ MIM_RS14470 MQNIRYPIEGVIYHERARARAWLDNGTWQQRTVGQLLHATARRC GERQAIITEQGSVTFVQLDEQTERLAAALLKLGLKPGDRAIFQMGTTLETVIALLACY KAGIVPVCSLPQHRELEIGQLVRQSGARGYFVQADFGTFDLVAFAQRMMSDHAGLTQL VVARGDSSAGNDMRALIEGMPFARAQTIVAENAPGCEDVLAFQLSGGTTGIPKIIPRF HAEYIAHSLACAKNYQLGEDDRFIWALPLLHNAAQVYVLMPVIAMGVSAVLMPRVDVP LMLTLIERYRVTRAISIGPIAPQLMAYSELAQHDLSSLRLFITMSAAERLEEHLRIPC SNLFGITEGLLLGSPASAAPYIRHKTQGRSGCADDEIRLLAPGTEVQVEAGQMGELCF RGPATIPGFFDAQEANAQAYTSEGFYRTGDMMIERIVDGQSYYSFEGRLRDNINRGGE KIGCEEVEALVSAHHAISEARLVAMPDPIYGEKGCVFVTVRPGYAAPTVHELMLFLVE KGLAKYKCPERVEVVEAFPVTKVGKLDKMMLRRMIADLLNREARQGTEENNDKY MIM_RS14475 MTDIHDTPGVLRLHGVDHTARPTWRLRETVAFYRDVLGLRLVHV ISARGWGPASHPDFLHFFFDSGNGSTIAFFYYLGSREPETMQGRSSMRPLPEDHVADA THTAWLVRSEDELKAWKKRLEDAKLDVSVETRHEVIESIYVRDPNGYFIEFTRKLRPL GRVDEVDANLTIQAAIQAEDSAFSKGERIRHIDEVWNRKAALLEHQGAMGQMPAASVR IFVPCVEEFAPLVRDAEQRDDCQVVKNEYFDCIYADQPLEFRRKVLGLKPAVWYGLFT GGVSGDIEVLDRDRVLIVPTAA MIM_RS14480 MVATNPSANPVTAGFLNTDWNPRDLPAGTSTRNVVLRCADGAAT SGSLYQPAGKPCRTVVCIMHPREFMACHYLIPDIVAAGFAAWSQGARSVGNDLRLEHE FALHDVTAGLTFLREQNFENIVLLGNSGGAGLYSLYAEQSALPGTQRIARSPAGKRTG LGDLDMPRVHGMVLVGPHPGQGALLMNCIDPSVAQEGDALSVVSDLDPLDPANGYKPN GQARYSPEFIERYRAGQHERVARLDAAAQQMIDTRMKAREGVKTQADSAADDKRLAAH TPVLIVWRTDADLRCLDLSLDPSDRHPGSLWGKNPYSSNYGAVGFARFCTPESWLSTW SALSSNASLSRTAPAIDIPTLVIEYTGDQACFPGDVQTIYSALAAQDKQHLRIRGDHH GRALADNEEPGRYQAGRDLARWLQARFL MIM_RS14485 MVYTIGSQLGFNDCVATGSTTVNDISTKATLKGEALRSAILDVA ATLFIERGTGGTSIQDIAEALGLSRTAVYYYFKNKEQIQQSLTEEVLSAARKLAGDTV ARDDLDPVTALRALVTQHADLILSRPAEFRVADRTEADLSPKQRVSVQNARRNVLENF SHVIERGIREGVFRMVDAHVAAFSLIGMCNWSAWWYKPEGRLDRANVAEIMADMAVNS LLREAARRPEVPDVRESLRLVREDLDYLEKLVLSDSK MIM_RS23220 MKYPFTLTPDGPGFMVTFPHIPEAISYGEDKAQAIDLAYDLPDY GP MIM_RS14495 MLEIKNFQEMVDVRKDIHAHPELAYEENRTAQVICGKLKEWGIP YEAGIGKTGVVATIRAGTSDKAIALRADMDALPMQEENHFAHRSQHDGKMHGCGHDGH TTMLLAAAHYLNDTRNFDGTVHLIFQPAEEGAAGAKAMLDDGLLDRFPCEAIFGMHNW PGLPEGAFGYRSGPLMASSNVFKITVSGKGGHAAAPADCADPVPAIAQIAVALQTIVS RSVRPIDPAVLSITQIHTGSANNVIPDSGWLGGAVRCFSDDVIDIMENRMRELATQIA ASFGCTCEVDFDRRYPPLVNTPDETEFCREVMESLYGDRSADMVREHVQVMPSEDFSF FLRERPGSYVFLGNGDGNHREPDHGLGPCMLHNPSYDFNDKLIPIGASYWIRLTETYL AAKAG MIM_RS14500 MLIYPVILTPDDNGTLLVGFPDIPEANSVGDDEQEALLNAEDAL EAAFEIYFDEKRPIPMPSKLKKGQQSVTLPALVTAKVLLMNEMLEQKVRKAELARRLD VRMPQVDRLLDLQHSTKLEFIESAYRELGKRISISML MIM_RS14505 MLLTQFIHTAFSNIKGRMPDDCWAVRRNAHNHGELDEETVLYYD GDVTLAELNLDNPLGTSVNPENSPMPADSILLIFIDGNLKVEGLIGNENDDGATGLIV TGDVTAGNVVVGGQELYIAGSIRVNELFWGNYNHGSLNVKGDLGAAVIAATEEYDIST GGKMVSKRTLLQFSGSDDDWGFMDAEELEKIFTSECINDDPDGDHATLWREDVLRLLR SGKSVIKPEGLDVRPPNIPFIFPTRELSVENIFRLSDLSLISLEQGERNLGCYEFRKG ATAFRVSQYVQKGARNPWRKIYVEHEPDIAIALSVDLQREKRSFWQILTGKRAETHWE LTQRMRLPGDRKRDWRVMDEAAPAEIKSIRDAAWTTLLEAASTFDYARKLISPSDVRS MLALPVAEPYDNFYTDHNGFWARDLFVAFRQDGFISDGEREDSLVRVSKEARAANGET VTEHFFFILQRQADGSECVVIEYMPDQEREGERFALSYLGGRKLDVAHRIFRLARRKL LMANNELLEGEPPDEDDEFALAHWRKKGYLRDEN MIM_RS14510 MKITDVEKNRAVFTTEYVMKHHSDIVHIEIDDDLDIQVFSREGA DMDKAMLVSMASILVLDESLLLLPEFGQGDKFIRKDSFSPWEKV MIM_RS14520 MTDMTTSIDYAARILDEGGLVALPTETVYGLGADAESAQAVAKI YQAKQRPANHPLIVHIAPEADLSYWTRDVPAEAQKLMQAFWPGPLTLILPKNPAITDA VTGGQDSIGLRCPSHPVAQALIRAFARLRPSGQAGIAAPSANRFGHVSPTKAQHVRDE FPQECAAGMPVLEGGDTEIGIESTIVDVSRIAQGMPVVLLRPGHISAAQLAQVLGYTP ASPDAAVPRASGTLKAHYAPQTRLSLFDAANLDSLLAARPEEERWAIYAFNSAPANLP IQLQWHQVSADPVRYAHDLYALLRDADQQNLDHLLIERLPEDTEWDAVADRLQRAAAA FE MIM_RS14525 MQTPIALSDRIHPGEWLGMLGGGQLGRMFCHEAQKLGYKVVVLD PSGNSPAGMVAERHMAAAYDDIVALKELAASTPAVTTEFENVPASSLKQLSASCRVTP GAAAVAVVQDRIAEKAFISAQDIPVAPYCEVRDVSDLEQAQDALFPGILKVARLGYDG KGQQRVSSRAEAIEAFRTFGQIPCVLEAMLPLDYEISVVMARAISGETAIFPIGINTH ENGILASTVVAEGLVKEDLAKQAREAALRIAEGLNYQGVMCVEFFVLSDGRLIANEIA PRPHNSGHYTMDACVTSQFEQQVRVMTGMPLGATDLLTPVVMLNILGDCWFNGNASHA REPQWHDILAIPGVSLHLYGKDEPRPGRKVGHINVVGTTIEQAKERARDVIRKMGIRT VLS MIM_RS14530 MGSSSDWDVMKNATDMLEQFGVSYKAQVISAHRMPQDMAEYGAA AYDRGLRAIIAGAGGAAHLPGMMAALTEVPVFGVPVPSRYLRGEDSLLSIVQMPKGIP VATFAIGEAGAANAALHVIAMLAMNNPALREQLRAFREKQTNAARAMTLPPAPQN MIM_RS14535 MTSALLQSDIQSLPLLARGKVRDMYAVGDDKLLIVASDRISAFD VILDDPIPGKGQVLTELTEFWLKKLGHIIPTHVTGIAPEDVVQPSEADQVRGRAMVVK RLKPVLVEAVARGYLIGSGWNDYQKTGAICGVSLPAGLVQAQKLASPIFTPAAKAEMG SHDENVDFAHVVREVGQEVAEQIRDITLRLYTEAADYAAEKGIIIADTKFEFGLDEKG VLHLMDEVLTPDSSRFWPADSYQTGISPPSFDKQFVRDWLETQPWNKTAPAPRLPQEV LQKTADKYREAATRLIG MIM_RS14540 MNYSFRYKALHFHTLIALLLGGSAFAPIHDAVANAQTSNPQLFT PSTGGDRASFRTPEFYANWGLNLHKFDAAYAAGATGQRVKVGQLDSPLYIAHPELAGI SFSTVGSYPLATTPKFDAGTGKPAYHGMHVAGIIAARKDGKGMHGGAFGATDFVAGDF ARLYEAYLKAGESLFKLGQTIVSSGADFINHSYGYNFANYAFPVALPDSKTGAHGPDI RHMRQYRNNGHIEFSSANGVVNVSSAGNDRYYAAFNAAFNKHDTRPRLFRGIGNATGI NSIPYLNYLATDLTDADWSRIEKGIVSAVILNGTNDINSYSNICGISKYWCIGAAGGM LAEPNKAYATALPSLPYPVDYYYPGASGQKYIYEPLNGNISSDKYRILSLAVTSKAPP GSPEAEVMDPKNLQPIYRMAMGTSMAAPTLTAGLAVTKSRFPYLQNWQIRDIVLSTAQ DIGAPGIDRVYGWGAMDLEAAMGGPRTLFALSRDYIEKLKQYEIEAADKYNPIVAKAD YYATQAYELKLQMIDNAQRAAEAEKAGDHQLAAQLLAQNQQLAPQEAAFRRQAYEHEL TRIQPNNAADTPENAFEYINFVVNIPGKKTEACAEDACVADVWTNDISGPGGLEKKGA GLLALAGNSHYTGGTKITEGVLQFGIGGRTGSVTGNIINNSSLVFARSDEWAYKDNIT GSGDVTVLGGGTFRLHGNNTYTGSTHVYDGRLAVDGSTISQTIVYSGGMLSGTGRVGG IQVRQGGIVSPGNSIGTLTVAHSGATGAAAGNAVFEPGSRLAIEVDGQGNHDVLKVEN YADIHGGVVDVGIARLSRTQLAQQVRQSTQKPYEILTAGAGVTGAFDQARLDIDLPFI AAGLRYWPQTVGLTLGRSQLGFGTAGQTYNQKQIGGGIESLTSGHPLYDALLTSASRQ EAANNLQQLSNVDIHASLKQVLLNDTRYMRDAALGRTGYAAVQSNDRAGMERKPHTDT NTNVWGKVLGSWQKHKGDGNAAGLDRNIGGVIFGVDHVMNHNWRAGALVGLSNSSLKS ERASAKVDGYQVGAYAATEREHFGLRVGASLAHHAITTQRSGFVDVRSGNKAKYSANT MQVYGELGYKFAAKAVVIEPFINSAYIHLKTRAFNEDGDASKVSGKSQSTNVVSNILG ARISNVIESGSGTAIKLSGMIGWNYNVGDINPKASVKYPGGNSFDVRGTPLSRNAFVT ELGIQANPDKRLSAGLYYDGRFGRKVSDHTLSLGIRYAF MIM_RS14545 MTGKIIESLRGELAELQAVGAIGKTAIGEFDTRFPLPVRHFTAA QIRKLRESLHISQPVFAQHLHTTASTIRKWEQGHSRPSGPALKLLNVIADKGLQAIL MIM_RS14550 MALVSMRQLLDHAAENGYGIPAFNVNNLEQVQAIMEAAKETNSP VIMQASAGARKYAGEGFLKYLIQAAVESYPEIPVVMHQDHGQSPEICQGAINLGFSSV MMDGSLMADGKTIAEYEYNVDVTKKVVDLAHKVGVTVEGELGCLGSLETMKGDKEDGH GAEGTMTMEQLLTDPEQAADFVRRTQLDALAIAIGTSHGAYKFTRKPTGDILSINRIK EIHARLPNTHLVMHGSSSVPQELLAEIREFGGDMKETYGVPVEEIQEAIKFGVRKVNI DTDIRLAMTGAIRRFLAENPGKFDPREYNKPAREAAKKICVARYQEFGTAGNASKIKP IPLDEIAAAYAAGKLAQQVK MIM_RS14555 MNTTVIERSHPDELIVGLVSVSDRATSGVYEDKGIPSLHAWLER TLQSPFRTCDRLIADDQQTIADTLIELVDQQGCDLVLTTGGTGPARRDVTPEATLAVA TKQMPGFGEQMRQISLAFVPTAILSRQVAVIRETEHHAALIINLPGQPKAIQETLEGL RDEQGNVKVAGIFAAVPYCIDLIGGPYIETRTEVVAAFRPKSARREPKF MIM_RS14560 MQTNTSHSQLKVGVGQFAAVNEIEPNKKHIHTLVTQAAEQGVQL LVLPEASMCSFGSPLPQLRETAGNNSPAFIQYMQNLARDHNMHIVVGVLSLADQPGDE RVTNQLLVLGNTGNVVLRYTKMHVYDAFNFKESDKVRPGSFTEENAELGLFDIQGFRV GLINCYDLRFPELARALIDQGADVLSVSAAWLAGPLKENHWEILLRARAIENTSYVLA SGQTAPRNCGLSMIVDPLGMVLGTATNDPGLVVHTLSSQRITQVRELLPCLQNRRYQV SGLK MIM_RS14565 MIAKPAVEPAAEAAERRRRCIKRVGLGLTALSVVVVAGLAVSIA RLPVPAYEQVRSEYRSSYLTLLDRDGGVLERVRNDYHERRDNWTPLSEISIALVNAVL QSEDRRFYSHGGVDILALGGAVRDRLTRNSMRGSSTITMQLAGMLDADDGRNGRRTMT QKARQMMQALAMELHWSKPQILEAYLNLVPWRGELSGVSAAARSLFGKYPVGLDSREA AILAAMLRAPNATPEVIARRACGILKAQSQAQYCEHLESITEYQLSNTGSALQDDPFT ASHFARRRLALGMPQQANLTTTIDGTLQRTAQAIVNRVVYEIRRSHANDAAAVVLDNR SGRILAYVGSSGDLATARYVDNAVALRQAGSTLKPFLYAQALEQRRITAASLLDDSAV NLSTGNGLYVPQNYDKQFGGWVSARTALASSLNIPAVRLLVMVTPDVFHQRLRELGLP LTQSGDYYGYSLALGSADVTLLSLTNAYRALANQGMYSDVNWDVGAAPPARRIMSEES AWLIGDILSDRQARARTFGLDSALSTPFWTAVKTGTSKDMRDNWTVGWSDKYTVGVWV GNSTGASMRQVSGVTGAGPVWHDIMRYLHRDDNSRQPARPAGLSERAITFENNLEAAR KEYFLPGTEMARIALAASAPAPASGRMVIRTPTDGTIIALDPDIPADNQRLQLLSTTP AGGAHATVRWYIDGRFLSDANPVDWYPIPGNHLIEARDGKGQTQDRVRVNIRGAQLRQ HLGQQP MIM_RS14570 MKCTLLAGAGLVLAGVFGSANAADIASVSPTGTQSKVSAVDIVF STNVIPLGRNDLPPPASVSCKGSQIKGHGKWSDGAHWSYEFEEVLPAGVQCTITPDTT FRDTAGQAVKGKKQYQFDTGRLAVESVGPSSLISEDQAFTVRFNLPVQPDSLQANASC VVQGLGEQIPVKVLSEQEKKTIISEARPYLEDDADKIAFVQCARRLPAEAQGRLVIGQ EVSSLGGVTMRKPYESEFEVRRDFSAGFSCSRENQNADCSPARPVYLTLSESINREDM QGITLVGATGKPYPAEIDENDTTLGSVKFPGPFAEKETLTLTVPAGLKDDSGRALSNA GQFPMKVRMASYSPMLKFASSTFGIIERKADAVDGKAPWHVPLTVRYIENQLAIRDQS LSPGTISDYVPQEDADVLKAFARVRRLDETSMTARNIRAVMNDQPASYTENNPVYIDT RSVSMLAENPNATTITLPGVKTAARHRDFEVLGVPLQEPGFHVLEARSASLGQALLAN KNPMYVRSSVLVTNMAVHVKKGRDDLLVWVTTLDDAKPVANAQVNVLDCSGKTLVSGQ TGNDGIWHYRKAVKGEDYCNATQLSGLFVSARIGADHPQGAGLGDYSFAFTSWDNGIE SWRFNVPTDSSPQPTVRAHTIMDRSLFRAGETASMKHLLRTLTRDGFAQPDATSLPTE VSIELVGGEDQYKFPLKWQKTAGGGLFSTSEWKIPEDIKRGQYRIVLGPDEQSYETGE FRVEDFKLPLLAGQISVTDPAGDKGPLIAPQAVRLDMQMHYLSGGGAGRLPVDISALS RERSVAFRDYDDYSFNPPQALSTDTAAEDDEVADDSETSRIIVNKQKMTLDAQGHGTL AVDALPASDSARNITFEGSFMDPNGQVQTLSRTVAVWPAAYLAGIRTGYMVEQEKAAA ISVVAVDTQGKPAASVPVSVRAVSYTYQTVRKRLVGGFYSYDSHRVAKDLGTICTGTS GADGKFACDISLKDQGRISLIAEVTDSAGRRAQAESSVYVVGAGEMWFSGENNDRIDI ISDKKEYQPGETAQFQVRMPFRQANALVAIEREGVLETRQVALSGTNPSFSIQIKPQW GPNVYVSVLALRGRVRDSAQNPDLTWGEAGAALPTALIDLAKPAYRFGLAAIRVRSDN NALKISIATDKPRYQIRDQATATIRGTLPDGSPAANASVALAVVDEALLELAPNDSWD IVKAMMGERSYGVETSTAQMEVVGRRHYGRKALPPGGGGGNGGAPTRELLDSLVFWKP DIVLDASGQATIPLGLNDAISGFRLAAVAELGADRFGQGSAHIVTTQDLQVISGLPLV ARSDDHYTAAATLRNATDRAMTVQVSARLSGPALAPLTLKAHTVSIPAQSSQRVTWDM DMRSLPPATSSQTLKWRFDAREQDANTGSSPTQVAAMDSVEVSQLLIPTVPVTVRQAT LQSVQAKEPIQGLPIQPPAGSVSGADGRPLGGVQVQLSTSLAKGLEPVRRWFTEYQYT CLEQLSSIAIGIDNPQRWSALMNRLPVYMDGNGLVAYFPGLKGDEVLTAHLLAVSHEA SRGGQPYTIPKAYRERMLEGLMAYVTGKISATGWQPKKDNSERRLMVLEALSRVGMVT PSLLSTIEFDRERMSTAALVDWLSIVSRVKNLPDQAETLASLRSGLLARMSRQGTSLV FADDTNAYPWWMMSSRSTVTAKLLVTVMNNKAWQKDIPVLLTGLLSQQQRGTWGTTTA NVWGTLAVRAFSASFEKTPVKGTLSMSLQSAGAAAASADQQWEGLQPTQKILAPWKNS EPQQLSLSLQGTGRVWASVAAQAAVPVTKPVYAGYTISRSIIPVSQAVSGQWSVGDIY RVHLDISAKATMTWVVLSDPIPTGATILGGGLGRDSAVATEGEKTDQKGWPPSFAERK SELYRAYYAWLPAGKTSLEYTVRLNTPGSYSLPATRVEAMYAPQVYGELPNTEGFTIV ESAGEAPVESAQQAAAAQGSGNAGIAAP MIM_RS14575 MANVQTLSALAKNGQLKDKRVFIRSDLNVPLAEDGSITEDTRIR ASVPAIQMALDAGAAVMVTSHLGRPTEGTLTPQDSLAPVARRLSELLGKPVQLLQDWV EGVVVEPGQVVLLENCRVNKGEKKNDEALARKLAALCDVYANDAFGTAHRAEATTHGI AKFAPIACAGPLLEAELEALGKALESPKRPLVAIVGGSKVSTKLSILQSLADKVDQLV VGGGIANTFMLASGKTIGKSLAEPDQVDQAKVVIDKMAKRGASVPIPTDVVCGKEFSA QAKGETKSADAVADDDMIFDIGPDSAGELADILKNAGTIVWNGPVGVFEFDAFANGTQ KVAEAIAASDGFSIAGGGDTLAAISKFGITDQVGYISTGGGAFLEFLEGKVLPAVEIL EQRNKA MIM_RS14580 MTIRVAINGYGRIGRNVLRAHYEGGKKHDIEIVAINDLGDPKTN AHLTQYDTAHGRFPGTVTVDGEYMVVNGDKIRVLANRNPAELPWGELNVDVVMECTGF FTSKEKASAHLKGGAKKVIISAPGGKDVDATVVYGVNHLSLKASDTVISNASCTTNCL APLVQPLHEALGVETGLMTTVHAYTNDQVLTDVYHEDLRRARSATMSMIPTKTGAAAA VGLVLPELNGKLDGYAIRVPTINVSMVDLSFIAKRETTVEEVNSILKKASEGALKGIL DYNEAPLVSVDFNHNPASSSFDATLTKVSGKLVKVSSWYDNEWGFSNRMLDTTVALMS AK MIM_RS14585 MTTTAATSNSHLSNAIRALAMDAVQQANSGHPGAPMGMAEIAEA LWTRHLRHNPADPAWADRDRFVLSNGHGSMLLYALLHLTGYDLPIEELRQFRQLHSKT PGHPEVGITAGVETTTGPLGQGLSNAVGFALAEELLAAEFNKPEHKIVDHYTYAFVGD GCLMEGISHEVCSLAGTLKLSKLIVLYDDNGISIDGKVDAWFADDTGKRFEGYGWNVI RGVDGHDVVAVDHAIAKAKQQAQRGSSGPTIIICKTTIGKGAPNLQGSDKVHGAPLGA AEIAATREAIGWTLPPFDISEEVYAGWNQRERGDQIQRDWKKQFALYSIDYPQEAQEF TRRMSGQLPEDFAEKFAAFLQQTVSKAETVASRKASQFAIAALVDILPEMLGGSADLT GSVFTDWKGAGAVRAGTDGHLRFGRHINYGVREFGMAAIMNGVALHGGYLPFGGTFLT FSDYSRNAIRMAALMKQRVVHIFTHDSIGLGEDGPTHQSVEHAASLRLIPNLDVWRPC DTTETAIAWKSAVTRPASVGMQVQQGGPSALLLSRQNLPFVERDSATIEQIEKGGYVL RDVQGAQAVIIATGSEVGLALEAQALLAQENIAVRVVSMPSTSVFDRQSAEWRDSVIP PTLPAVSVEAGVTSGWYKYIGRNGAAVGLDTYGESAPAGVLFKHFGFTAENVANRVKQ VL MIM_RS14590 MHTLPRFYCPIPLLAHTRVALPDAAAHHASRALRLREGDAIVLF NGDGTQYPALLRFEQGRAMADLGPQQHTRTELPGRIGLVQGLPSGDKMDWVIEKAAEL GVSDVFPITAERSIVQLSGNRLEKRQLHWQRIAESASEQSGRNRIVQVHPLQTLNAYL QQASASLGYLCHPEGERSLLQALATLQQTSQPGPADALTSDTIAPTPLSFRLLIGPEG GWSPQELRKVQAAGIEAVTFGARILRTETAGLAMTAAAIATLGWL MIM_RS14595 MLSDYLWNLQAKIGSELIQVPLVAAVILNANDQILLQENDGTQG WSLPAGVIEVAETPQEALARQVQQNTGLELLSTQLLDVFGGKAFRYIHPNGNVVEYTV IVFNCVTEGQPNARVVQRHKLRYFARSQMPTLALPYPKDVLFRHSRERASI MIM_RS14600 MNKKTGTPLKAVLETGGAIKEKTIGLEELKAYAEKAGLAYFEAD CDKARSCSAVLRAIAKAVDYPVFFGSNMDALLDCLGETLLEQKGGMMLALRRLHSEDD SLVEHIDALKGVLNDTVEYGEDNGRVFSFVVEHAGKHDAPEPGHAPRPYAESD MIM_RS14605 MEQQFPGNNPPLAGMTHAVTAPLTALVLTGGGARAAYQVGALQA ILAILDPNKSPRFENPFPIVCGSSAGAINATAYACRAAHPHAALRRLQKIWRGLHTGN VYRSDPVGVFGNGLRWLGMLTFGWALQGLRDNAPKSLLDNRPLAKLLCGSIDFPNLQH NLRKGHLHGLAITASSYTSGNHLTFYQSSGPLDAWKGRQRYAIEEAITLDHLMASSAI PFVFPAARLSLGKKWEWCGDGSMRQLAPISPAIHLGADRVLVISTSAHGDDAEQVDSM HQSDQYPTLAQIGGHALSDIFIDGLSIDLERITRINTLLESVPPGVQLNTGVKKIDVL TISPSKSIDEIALKHLNFMPKSVRAFLRVLGVSGRPGKESGGLLASFLLFESAYTGEL IELAFNDVMTRQEEIKAFFYGEVNE MIM_RS14610 MHEHRRRFLTLSKRPQEGPWQAFCRRVQRALEAPLIDEQEKDGY HCARVLLQDLGDLPSLRQLCQEYDVAMVLEGTATADTIIGRSCLIVSAGVRLSGTEAL GEHACLALPGTSVAHMQALGYEQFSQVPPELTLAQWLAMPQWHDCRPGCTANSGLERV HALFADGQTAVLGGFGVNDESPLRLPALQRLIPALFEQLSEPDIVRCAAMPRWPLAYR LDALKPRLGDINLAQLFLGHGGTLIWCQELVLRRLRHPNIVTDTVLSEADHEFVTGVH HHLEGNIKALFDPGGVFVYPDEWPAAR MIM_RS14615 MTRFAHRIDQALTFHAMEVTKAAQQLREQGRDIISLGIGEPDFT APPIVVDTLARAAQAGLSGYSPALGIMPLRTAIADYYKSHWGAEIDPQQVIVTAGASG ALSLACMTLLNPGDEILMPDPAYPANSNFIVCAGATPRLVPCTAQERFQLSADKIATH WTDKTRGVLIASPSNPTGTSIEREELVRIIQTVRERGGVVIMDEIYLGLCYDRVPRSA LTLDPEIVVINSFSKYFNMTGWRLGWMVVPANMVEPVEKLAASLSICPPTLAQHAAIS CFTEEALAIYENRRLAFMERRDFLLPRFEKLGIHVPVKPDGAFYIYADISQHGMSSDQ FAHKLLHEAGVAVVPGLDFGPAYADKMIRVSYATALDRLHEVIERLQKIL MIM_RS14620 MTSATSRPVAVRYFGSVYRTALDIVHLMVSYLGIAVLVAVLAAA LLPAIRDQIRVIHQASLNALMPDSTVMSDKDLLTIFNSPHVLTGELASPPAASPEQQR NSALTTELDYTDQGFFANLGISDSQFTVPGISNGQALALREYVARKFKIARNVTGALI RAVYSVAEKQELDPILMLGVIAIESRYNPFAESHVGAQGLMQVMPKVHAEKFDVFHGG VLSALNPVANIHVGAKILHDCIARRGSVDRGLGCYVGAIGPNDGGYAEKVQAERRRIA LESGIALGRNLGKYQ MIM_RS14625 MKYADLRDFLKQLEKRDNFKSIQVPVQTRLEMTEISDRVLRAGG PALRFEQPLHQGRTSDIPVLTNLFGTPERVAWGMGAESVSALRETGTLLASLREPEAP KGLRDAISTVSKLKSALWDMAPKMQRHAACQEIIWEKDEVDLSRLPIQLCWPGDIAPL ITWGLVVTRGPNAKRQNLGIYRQQVIGRNKVIMRWLSHRGGALDFRDHALAYPDQPFP ISVALGADPATILGAVTPVPDSLSEYQFAGLLRGSRTELVKSIGNTLSVPASAEIVLE GHILPASHPDAIAPNPAPGMAAPRGNGFEMALEGPYGDHTGYYNEQDWFPVFTIDRIT MRNKPIYHSTYTGKPPDEPAVLGVALNEVFVPLLQKQLPEIVDFYLPPEGCSYRLAVV SIRKQYAGHAKRVMFGVWSILRQFMYTKFIVVVDDDINARDWKEVIWAMTTRMDPVRD TVLVENTPIDYLDFASPESGLGGKMGLDATNKWPGETHREWGTPIAMDQDIRLRVDAL WQDLGLDT MIM_RS14630 MNEPVHNKKSLRKSLLTARVLAEREQLRQDVNALGHSLSPDAIK TALLDVGGETLMGLTRRRSGLFSLIEKNPIVSLTVARFLLRAAKSRSALIKPIALAAT SWFVYNKFRTKQESQRSGRRAPSSHYSEQDLPVDHGTFTYQDQDPATERRP MIM_RS14635 MSVKQNLRAVTGGFTSLVKTRLELLSLELAEEKDRLLSVLLFGA VGLLFLHLALTVVAVLVGLFFWGTEYRYLALLILVLIYALIGLGSLLLMKKHLGLVAT PFAATIDALKDDAQALRGRHVPPSAAASKGVGDE MIM_RS14640 MASKSSLEQSQEELLNNLRASIAEAEGMLKEASEIGGDKASELR DRAVNALNKATGSFKDVQERAVEQSKQAVRVTDDYVHDNPWRTVGFAALAGVLLGVII GRK MIM_RS14645 MSVHEISHPLVQHKLGIMRRADLSTKSFRELAQEIGALLTYEAS KDFPLEDVVIEGWCGNVTVKKIAGKKVTVVPILRAGIGMLDGVLSLIPGAKVSAVGIA RNEETLEAGTYLKKLVGDLDQRLALIIDPMLATGGSMEATITMLKQAGCRDIKALVLV AAPEGIERVLSAHPDVKIYTASIDERLNQDGYIIPGLGDAGDRIFGTRQKE MIM_RS14650 MPIVTRMMRAAIGHLPAAAGLSLLALTLATPVSARDSGSGSKTQ ATKKASLKKTAPKKAAPRKKASTAKKGKATAIRVAPGAKFEFNQPGTLDVKIVPSDSK SGLGVKKGTTGLAAAAAAQSEADAGELLNAYGFPIASIKSADHLPDAIRARWDKTGVP LSSLSLLIKEAGGPVILAINPGTPRNPASVMKTLTTWAALNRLGSDYTWKTGVYIDRK ARFDVSQAMRTPLYIKGGGDPALNYQDLRQMIRAVQAKGLRHLSDVVVDRSLFAPIFT DPGEFDGSPERPYNANPDAMMVNLGAIMLSLKPDRQAGNWEVSFEPDIQNPPVAGHLG LTAGACTKSRTGSELTGTVQTDYGPALQLKGNLSASCGPFSMYRLVGSQAYMFSSIFE RLWTAEGGTISGVIRDGLVPERALLLQTRTSPSLGQVIQTINKYSNNVMAKTLLLTLG EELYGAPATFEKGSQAVLDTLRKQGVNIGAYTVVNGSGLSRGGRITAGGQAQMLDTIW ASPLRDTFIDSLSVSGVDGTMRRRLTDQAAKGRGHFKTGTLGNASALSGYVTAASGKT YILVSLVNDAAALKTKSFVDGLVEWLIAQ MIM_RS14655 MLPIDPSLSIADFDYDLPEDLIAQAPPATRTDSRLLLMQHNGQL QDLNFSALPDLLQPNDLLIFNDTKVIKARLYGQKDSGGRVEALIERILEPTRALAHVR ASKSPRPGTTLIFDDAVACTVIARHDDLFELQFDTDILPVLDKHGNLPLPPYIKHSAD QQDETRYQTVYARHPGAVAAPTAGLHFDAALLQRLQDKGIQTAWVTLHVGAGTFQPVR VEKISEHIMHAEWYTVSENTVAAIAATRERGGRVIAVGTTSVRALESAAQQGGTLGEL RCPVAHSADTQLFITPGYTFRVIDGLITNFHLPQSTLLMLVSALTGRERMREAYRHAI EARYRFFSYGDAMFIAPG MIM_RS14660 MPGLSFSLIKTEGKARRASITLNHGTVQTPMFMPVGTYGSVKAM LPHELDDIGAQVVLGNTFHLWLRPGTDVIEKHDGLHGFMQWKKPILTDSGGFQVFSLS DLRSKITEEGVKFSSPIDGSRLFLTPEESMRIQRSLNSDIVMVFDECTPYTIDGRPAT HDEAARSMRMSLRWAQRSRDAFNALQNPNALFGIVQGGMFEDLRDESLAGLQRIGFDG YAIGGLSVGEPKEDMRRILAHIAHQLPDNAPRYLMGVGTPEDLVEGVSQGVDMFDCVM PSRNARNGWLFTRFGDIKIRNARYRDDTRPLDPTCACHTCQHFSRAYLHHMQKANEIT GARLNTLHNLHFYLNLMEQMREALEQGRFAQWQEQFFTDRSKGID MIM_RS14665 MLTSNLSALVLAQAAPAGGALGGLTSFLPIILMFVVLYFLMIRP QMKRQKETKAMIDALAKGDEVITAGGILGKISKVTDNYLTVEVANLADKPVEVLVQRV AVTTVLPKGTVKSL MIM_RS14670 MNRYPLWKYITVLVALIIGILYTAPNFFGETPAVQVSSAKVTQK VTTQTMSQVEDVLRQNNIAFSGTYFQQNGPAGTVRVRLANTDTQLKARDVLDNALNPV KDDPSYTVALNLLPASPDWMSAIGANPMYLGLDLRGGVHFLLQVDMQGALAARYESLT TDIRSILRDARVAVESVSTANSSITATFASEQDRNKAQDVLRTRTSELTFTTSQSGNK FLLNGTILAAEIARVQDTALKQNILTLHNRINELGVAEPIIQQQGLDRIIVQLPGIQD VAKAKEILGRTATLQLRMVDDSPAAQNALAGGTVPFGLESFKDTDGRTVLVRRQVLLT GENLENAQSGRNQQTQQPTVNLTLDDKGARIFRDTTRDNIGKRLAIILFENGKGQVVT APVIRSEIPNGQVEISGSMDATQAADTALLLRAGSLAAPMSIIEERTIGPSLGADNIR MGFDSTLYGFLMIAVFMIIYYHVFGVFSTLGLAFNVLLLLAVLSLLQATLTLPGIAAI ALTLGMAIDSNVLINERVREELRNGASPQQAINTGFSKAWATILDSNLTTLIVGLALL AFGSGPVRGFAVVHCIGILTSMFSSVVGVRAVVNLWYGRRKKLKTVSIGTVWRPETDD KKEITRN MIM_RS14675 MEFFRIHKTIPFMRNALILNLISAITFVLAVFFIVTRGFHLSIE FTGGTVMEVNYQQAAQLDEVRSSISALNYSDFQVQNFGTSRDVIIRLPVDKNMSSTQQ SDTVMAALKKANPDAELRRVEFVGPQVGNELFSNGLKALAFVVIGIMIYLGIRFEWKF ALACVVANLHDVVIILGFFAFFQWEFSLPVLAGVLAVLGYSVNESVVIMDRIRENFRK QRRASVRDVIDGAITQTISRTVITHASTQMMVLSMFFFGGPSLHNFALALTIGIWFGI YSSVFVAAAIAMWLGVKREDMIKAPKKEDPASEVIY MIM_RS14680 MQETSLKRGNAPAAAPVVQETPIRIHPSAKKLYIKTFGCQMNEY DSDKMADVLRENQGLELTSTPEDADVILLNTCSIREKAQEKVFSDLGRINQLKKKNPN LVIGVGGCVASQEGATIIRRAPYVDVVFGPQTLHRLPDLIERRKNTGRAQVDISFPEI EKFDALPPARVDGPSAFVSIMEGCSKYCSFCVVPYTRGEEISRPFEDVLTEIADLADQ GVKEVNLLGQNVNAYRGKLGDTAELADFAMLLDYVHDIPGIERIRYTTSHPKEMTSRL IEAHGRLPKLVPFLHLPIQTGSDRVLAAMKRGYTGLEFKSIARRLYAARPGMTLSSDF IVGFPGETEADFEATLKLIADVNFDTSFSFIYSRRPGTPAADLEDDTPYEVKLDRLQR LQAQITAQASAISHGMLNTLQPVLVEGPSRRDANELTGRTENNRIVNFAAPERFIGQI VKVRITDVYTNSLRGELATEDIAS MIM_RS14685 MTGKRNLPVVFHLEGDNTQLANLCGPLDENLKQIAQAYDVTIGR SGNRVIVEGEQAQDAAGAVNLFHRRARHRDLTIDDIQLGLVELRANTRPANSQDRNKQ QADDGRQRRQTGAPGLPELPPLDDDSGTIALRTRRNELRPRTPRQRDYLNNILRHDIT FGTGPAGTGKTWLAVACAIDALEREHVQRIILTRPAVEAGERLGFLPGDLAQKVDPYL RPLYDALYDLMGFDKVMRLFEKQTIEIAPLAYMRGRTLNHAFVILDEAQNTTQEQMKM FLTRIGFGSKAVITGDPSQIDLIKGQKSGLEHALRVLSQVQGIAMSRFTSEDVVRHPL VARIIDAYDLAEGRTPRE MIM_RS14690 MNKPASTRTRGMRDTSYLRSTVQHVVPVPEITRQRIRGWMLRAV DAAMAENPEIIQVELALRLVDAEEARELNSQFRGRDYATNVLTFEYGIDPDGTARGDI ILCVPVLRQEASEQGKTLREHAAHLVIHGVLHALGYDHEDEDEAVHMEAIETALLAGL GFPDPYQPR MIM_RS14695 MSDPYPSNEAEADSQPGKKNTPRSFLQRIKGLLGQNEPEDRDDI QEILSSAHDREIIDDDSYSMIVGSISFTEKNVSDIMIPRSRMDLLDISKPLQELLPIV IDTAHSRFPVYEEEKDNIIGILLAKDLLRFINNPQTDIRSLVRPANYIPETKRLNQLL RDFRENRNHIAIVIDEYGSIAGLVTMEDVLEQIVGDIEDEYDEDAQMTIFPETDTSWR VMAITDIRQLNQTLQVAIPEDDYDTIGGWLAAELDHIPQRGDSYDFQGLRFQVLRADA RRALWLHVKRLSAMSSSHSKTES MIM_RS14700 MVWLLLLVAGAIHALSFSPDPLPDWTLPYVQVLAMAVPAFIVFS TRHIGRAALAAFVFSVSSFCVGVYWLYISMNHFGGLAAPLAALAVLLFALYLSLYAGL AGACTAWLGRHLNVMRVPQALGRPLLWACAWTLGEWLRGFVFTGFPWNNIGYAHTNSL LSAWAPIAGVYGVAFLAALASGLVACLIYYVKHNRPGIMAAMASALLGMFIVSFGLSR ITWFDNHGPVMTVRLVQGNIAQQMKFDPSQLMSSLQAQFTLATRPAADVQHAPSVIIF PETILPTFQDRMAPEFWQSVVALADQMKATLFMGSPMHTVVNGQDRYTNSVLAIDSNT SVEALMQGRQVPVYDKRHLVPFGEFVPLGFRWFVDALNIPLGDFDRGEQGQQSFAVNN QRFAPNICYEDVFGEELLPALQTQADGTPGASIMFNVSNLGWFGNTWALRQHLQISRM RSMETARPMIRATNTGSTAAIDATGRVLSQLPTATAGTLDVQIQGTQGLTLYTRVGNS LIVIISLLGLVAGWMQKRRTHVAGTGTTV MIM_RS14705 MTIPSSSRSSSYALPGYAELYCQSNYSFLQGASHPEELAQQAFQ LNYMALAITDECSVAGVVRAHAEVEKLNKPILEEMERRKKAALEKAGYAPGQSDPAQA VSSLRPLPAWLSQLLRAPASPLSHLPVVPAESVSASISTENAASSAVPPVELPRDLPM KLIVGSVFSLHADDHAPALKLIVLAKSRDGYGNLCEFITLGRRRAAKGSYYLTPSDIT RPADPALAHLQNLPECLGLLVPEYGASYEQMLRQAQWLEQAFAGRCWLALTLLHQGQD AAHRTRLMAVSAATGVPVVATGQVQMHVRSRKPVHDTLTAIRLGKSVQECGFARAANA ECHLRQVVALANLYPPAALEQTLEVAVQCQFNLSELKYEYPDNICPENLTPTAYLRQE TYAGAQRRFQDGIPDSVVRQLEYELQLIAELKYEAYFLTVYDIVKFARDQDILCQGRG SAANSAVCYCLHITEVSPLLGNNLFERFISKERNEPPDIDVDFEHQRREEVIQYIYDK YGRDRAALTAVVITYRVKSVLRDTGKALGVDPIIIEAVARSYHYWDGRANLMARMQEC GLDLTSTVAIQWVALAEQIMGFPRHLSQHPGGFIMSRGPLARLVPIENAAMENRSVVQ WDKDDLDVLGILKVDVLALGMLSVIRRALALVALRHNKPFFRIQDIPKEDDKTYNMIC KADTIGVFQIESRAQMTMLPRLQPRCYYDLVIEVSIIRPGPIQGGMVHPYLRRRQGLE EIRYPTPEVESALKRTLGVPIFQEQVMQIAMKAAGFTGGEADDLRRSMAAWKRKGGLE KYYHKIVDGMVGKGYEKAFAEQIFRQIQGFGEYGFPESHAASFALLAYSSSWLKCHEP QAFLAALLNSQPMGFYSASTLIQDARRHDVKILPVDVQVSHWEATLAFAKSRSAAVSA ADWAAEPVTEETTEHTGNPADHTSDGLSNKRKPNTAVRLGFNQLKGMQEAAAQRIVQA RAHAPFTSVADLARRAGLSRHDLNALAAGNALESLAGHRRAALWDAVVSVPDKDMLRE APVYETESPQLTLLTEGQSIVADYDSLGLTLARHPLELLRDRLSKLRFSSSAFLQEQP DRRLVRAAGIVTVRQRPGTAKGVVFVTLEDEFGQINLLVRPELAERQRKELLTSRLMG AYGRWQSANGVQHVIVERLVNLTHLLGQLQTRSRNFH MIM_RS14710 MRTWIAVHLLHPTLDTLCPDWRNTAAVVIDRGLVRVCSPAARTA GVQPGMRISGVNTLCPQAVLTEYDEHLHQSAIQAASLALLQYTPELALGERDTLLLDV TASLRLFGGIRRLYRRIQGTLHGLCLSAGYAIAATAGGAWLLATAAYIRLRRCLKPHT QVRRLDVLPVGSLPAVLPYETWLSSIGCATLGALRQLPRAGLQRRTSRQVLQVLDAAY GNTPEIFKWIVAPLQFQGRIELVERIEYTEAVARVAGRLIQQLCGWLTAHQRAVTQLV LLLEHERGRHAREPSQVELATAIPTRDPAHLMRLLQEHLHHLKLVAPVIAVTLDVARV QEAAPVADDLFPEPGGTPQDRERVLEIILARLGHDRVWAPQPVADHRPEVANRWGPAN TAFVAAPVVEGVTRPCWLLEKPLLLSVRKHRPWYGSPLHIVQGPERIEDGWWEGAALR DYFVAEDTSGVRYWLFRERYTQWRWFLHGIFG MIM_RS14715 MIKNTAQSPSGTGIETAPGARPGGPGSVPKSVPKSVPKSMSKSM SKSRPNSLPQNIPEGLSERLSAGVPAQLAEQRAGQNAAAPSILAAPERIHPALWRASQ IARSPGLYANTGYPEISAQLPGGGWPLGNLIEVMTPRAGIGEIQLFRPVFSGNRQRQL PHAATASSPDNVSAEQRPIVLIQPPYVPQVCAWAHWGIAPSRLLWLSPQNTTDALWAA EHILNSGAFAALVLWQHALRDGALRRLQLSAQKGDTLFVLVRSLSAARQSSPAPLRLA LHPVPRGLHVHIVKRRGSVSEHPVHISLYSDASGRRFHSGAASDPYSAAFTESRHAYM DSRTSAAPHAGHTLP MIM_RS14720 MKTTSSLLFSLLIIMPGMAAAQSKHQQEAQAINDAKVTLAQAVQ TAESETGAKAVEVDFDRENNVWSYEVTTLKPGTKYELVIDANTGQVISRKEEQKQ MIM_RS14725 MSTIRTSLEYEIFSASEFFLNMLASKKSQLILEEHLSVDYAENN GSRTPVRFQKNIDAVGGRFVSFPAEEGTIHVDYSVRVVTNNARRPAEAAIYPIARLPK KTIPCLRPSRYCESDVLSAQVQALFCAQGDGIALVESMIDWIQENIQYEVGCSDSTTS AADVFEKRKGVCRDFAHLAITFCRALNIPARFCVGYIYFAEPPQDFHAIFEAYLGGRW IKFDPTRLAPPDNLVVIARGNDAKDTPFATIFGNIKPTRMRINIEQEDPYSDFHVKAG EEQAAIIGR MIM_RS14730 MKTDSAIVSAFTPTGQLRASINLGNPILAGRDAQGNPAGVSVDL AHAFADRLGVPLELIVLESAGRSVEIVSEDKADIGFFAIDPLRAADIAFTAAYIHIEG AYLVREASAIHSLEAVDQEGIRVTVGKGSAYDLFLSRELKRAEITRAPTSPTVVETFL SEQTDVAAGVRQQLEADASRHSGLRLIPGSFMTIRQAMGVPRSRGTDAVAYLAAFVEE MKSSGFIQDAMNRHGIKGAAVAPLERLAS MIM_RS14735 MPTYSACCSNTPIKPPGFNPLPELYVRERIHSPSVRRVNDGDTI LPGMTAVASPGHTPGHLLFYVDLPGQAILFTGDAAKNRAELLSGSVHDTCDVQASSDT LKRIWDIWKRVPGTLLVPGHDLGMKLNESGDPFYVGERLAAINAWFGDTLEPIRINLC CSEHAARSLA MIM_RS14740 MNTRTNDKVLVPQDQLTALGIAAFQNLGLAPNAAADVVKILVLA DLFGLSTHGLSRIESYGSRIQVGGINANANITVDKPAAGLRLVDGDNGIGPLVGMHAL RAAMEAAQDCGIGMAFARQSNHFGPISPYGLIAAEAGFASIIGSNATTTIAPWGGTDA RVGNSPIAFAVPNPAGRHFLLDMAMSVVARAKIRNALKAGSEIPDTWATDASGRPTTN PKEALDGFLLPIGGHKGYGLALMVDLFAGLLSNAAYLTHVKSWVDAPDEPQNLGHFFI LIDTRKLGSVQWLTERMTDFAAILHDSPPADPARPVIQPGEIELNNLARQKSEGIAID ADVLRLLQQYANQAAGVQPSA MIM_RS14745 MKKNMSFRQSLVCFLSSTLLLLPVAATQAQTWPERPIRLLVPYA PGGSSDVVARAVASEMSKDLGQQVVVENKGGAQGMIATTEVARAKPDGYTLILGHVGT LAVNPSMIPKLTYDPRRDFAPITLLAKLPMVFALGNGVSATDLPSFVALAKTRPGVMN YGSAGNGSAGHLAFEMLKIATGINVVHVPYKGTGAQLNDLLGGFIDAAAAGTPGLLPS AQAGKIKIIAVGSKKRLAVLPNIPTVAEQGYPGFESSQWFGLLAPAGTPEGVIERLHQ SALVALKSASVRKRMEYDSSEISGAGPQAFAAFIDAEERRWREVVHSAKLSVE MIM_RS14750 MKFDLIDLRTFLAVADLGSFRAASEALHLSQSALSRRIDKLEAA LGVQLLLRTTRKVELTTVGRGFVPRARNVLNELENALVGIQEVSDRLSGLVSIACVPS AVAYFLPGVVRTFHERYPRIRIRIIDESSSQVLTAVARGEADFGLTYIGVNDADIEFT PLLEEPFVVAVRRDHPLAKQKTVDWADLSAYEYISLAQGSGNRFLIDQALAHGKVRPR HFCEVQHVPALVSMVEAGLGVGVVPRLAMPGAEHASLVSLQLCNPQVTRSLGLIHRRA KELNPVVKLFYDLLVSSAHTR MIM_RS14760 MDRIRQMQAFIQVMKSGNFTRAAEALRLPRSTVSTLIQELEDRL GVQLLRRSTRRMTPTFEGTQFVKTAREIVDAIEASEQMFHPTSQQMTGRLRIDMPSRI GRRIIIPALPSLITMHPDVELEVSMTDRMVDLVSEGIDCVIRVGELSDSDLICRKLGE IDIITCASPTYLARMGTPATPEALGAHQVVNYTSRFPTAMPAWEYVAHGKLEVVPMTS VISVNNVEGYLAAALAGMGLIQIPAFDVRDLLDSAELVEVLPQFRAPSMPLTLLYARR RNVPARIRIFQHWVSDLLRKEGVFDGSE MIM_RS14765 MSRNSIEGKVVLIAGGAKNLGGLLAREFAQHGAKAVAIHYHGSQ AKTDADQTVAAIEQAGAKAIALQADLTSAAAMEKLFADTIAAVGRPDIAINTVGMVLK KPIAEVTEQEFDQSSAVNVKSAFFFLKEAGKAVNDNGKICTLVTSLLGAYTPFYSAYE GLKAPVEHFTRAASKEFGARGISVTAIGPGPMDTPFFYPAEGKEAVEYHKTAAALSPF SKTGLTDIEDIAPWVRFMVSEGWWMTGQTILVNGGYTTK MIM_RS14770 MHQRSSSKTIAAASLVLASLLSGCQALDEMEADEYRKECTTLGI PPGSPQFDQCMLQQQALNEQATESSLDRMQRNEAARHRK MIM_RS14775 MSVTSKLIQIALFSCALTASAGAQTHDHDHAHGHSHDHAHGAED NKKTAAKGYFEDTDVKDRSLSDWEGDWQSIYPLLQNGSLDSVMAHKAEQKKDKTADEY KQYYKAGYKTNIDRMVIKDDTFTFHQNGKSYSAQYKYDGYKILTYEAGNRGVRYLFTK QQGEAQAPGFIQFSDHVIAPKKVSHFHIYFGNDGHEKLSEELHNWPTYFPSSWKSKTI VHDLMFH MIM_RS23225 MLWERRGRIPVSIQRLVKFIYLAQLDGNEAVCDVLTQLIELDAS APEEKFVFEDTKTGWKEAA MIM_RS14785 MVQDIIPATILHQQAEEITRLIRYGTPYLRKDSFEYRHLWRDAD PLHIVEILDATNTTDAVITRLLDCAGKVLRNHRLMPIGSNEQSFTIDSLGKCVHFELA VTAPVKEVCRMNFELADMVARDIEKIPDGFHVSFKWAGQ MIM_RS14790 MAAQTSMLHIRVDEKLKADAADILANDPEAYDKWSRAAVHEAVV DNRPGMLHQQVMDELQALIDRKRQA MIM_RS14795 MRKSPETIDLVPVGNENLSATEFIELVKTSKHLIKKSEIVPPVL GKKDFGSFDVSYNRPIYKPFFGFKPITR MIM_RS14800 MSNIQPKNNQNRAPLNEATLNRLIDNQARDQELKAKELAIREKE IEHQAKFASRMLDAQIGDRTSERAHIQTTVRYQLIFLGIGFVILMVFCAYALHNGKDA LVEKVVTITASVAAGAFGGYGYRAYKEKPSDQEP MIM_RS14805 MVIKKQFLLHLEFRHDSVQSRQEYPYSLPIIKSLNRLDFHPKIT YFVGENGSGKSTLLEAIAVANRFNPEGGTKNFNFNTRASHSTLADHLRIAKGVSPKTG FFLRAESFFNVATEIENLDVEAYYGGTSLHEQSHGESFMALLTHKFHGNGLYILDEPE AALSAQRQLAALYRIHDLIQDGSQFVIATHSPILLAYPDSIIYQCSSDGIQAVSYEET ETFQVMKAFINHPRKMLQFMDD MIM_RS14810 MSFGNRKKKGKIGPHELREAELMLAGKKEVAYFSFDYPQEHFQT MKKCLEDGVFQSIKFGAEENENLLKLPPAVKNRILSLLGDGPYENIVVYVPGAKDKAL RLVELMQPPWSRGYSEAVEREIGEILGYSEDDINFYIEQHKRA MIM_RS22575 MEPRRRATQAYRIEVFEAHDGGVSQRKLTRTHRIGSATVERWYQ SFVKQRVSELSGRSCPQILGIDEHFFSRKHGYATTLVDLKNHKVFDVVLGRSEASLRS FLKRLPGKEQVRVIVMDLSETYRQIARQYFPNAIIVADRFHVIRLVNQHFMQIWKQHD PVGRRNRGLISLMRRHHWKLSREQKERLEHYLAPYPVLRSLYAARQQLNGFLVQKNIR AKQIKRLLPQLLKLLEQLASSPARALAGTLQSWLEPIVRMWRFSKSNGITEGFHTKME MLSRRAFGFRNFENYRLRVLAQCGWNGVINRVW MIM_RS14835 MSIEHARQHFPALCRPRLPDSHKGSFGTVGVIGGAPGMTGAALL AGRAALMQGAGKVKIGFVDDVPFAVDLAHPELMLERAEQLLRSGQMTVCVAGVGMGLD EKSLGLLYQVFRATFDMPLVLDADGLTLLAQGKIGAGARNRVLVLTPHPLEAARLLDC DVAEVQQGRARAAVAISRKYDAWTVLKGHKTVICSPQGDTHVNNTGNPGLASGGTGDV LAGMLGACLAQGIEAAQAISGAVWLHGAAADLLVKEGTGPIGLTASDVLLAGRRVRNA IVISDS MIM_RS14840 MSTRLLINAGPIKKRGRTLSDEVVEQLTEKIQSGSLEVGKKLPT ESEFVEAFGVSRSVIREAISQLQARGLVETRHGVGTFVREPTVKDVIFDRSTISPGTT QELAAVLEVRACLESEAAGLAAQRRTDEQLAELERILRALVKEDISRDISVDVDFQFH EQIAKSTHNSHFLDLLRQLGKHAIPRSRLAIFDQEKAMYQQRLNMEHEAIFNAIAAQD ADAARAAMRMHIINSRQRMRSASK MIM_RS14845 MEETQQSQEALKPLYIRISDQDNVAIVVNEGGLPAGAVFACGLT LQTAVPQGHKVALQAIPKDAPVIRYGVVIGHALSDVAAGTWINEAMLRMPQAPSLDDL PLATRQPGEAAPLTGLTFDGYRNADGTIGTRNILAISATVQCVTGVVEFAVRKIREEL LPRYPNVDDVVYLEHGYGCGVAIDAPHAEIPIRTLRNLSLNPNFGGQILLVSLGCEKL QATKLFPAGSIPIAQDDPYLITLQDEENVGFASMIEAIMAKAERRLAQLNARKREPCP LSGLVVGVQCGGSDAFSGVTANPVVGYATDLLVRAGATVMFSEVTEVRDGIAQLTARA ATPEIGQALLREMQWYDDYLKRGGADRSANTSPGNKRGGLSNIVEKAMGSIVKSGTSA ISGVVAPGERVAGKGLNFLATPASDFICGTLQLAAGMNLHVFTTGRGTPYGLAAVPVI KVATRDSLARRWFDLMDLNAGRIATGQATIEQVGQELFDMMIAIASGRQQSCAEKLKL HNSLVLFNPAPVT MIM_RS14850 MNPQELKQTVSEGLLSFPVTDFDEQGDFNAEGYARRLEWLAPYG ASALFAAGGTGEFFSLEPEEYSNVIRTAVEVCAGKVPIIAGAGGGTRLAIRYAQAAEK AGAQGLLLMPHYLTEADQDGVALHVEQVCKAVGLGVIVYNRSLCRLNADQLERLAERC PNLVGYKDGIGDIELMVTIRRRLGDRLSYLGGLPTAEFYAAAYRALGVPVYSSAVFNF IPKTAMDFYRAIVAGDHTTVDRLLSEFFIPYSAIRNRCKGYAVSIVKEGARIVGHDAG GVRAPLTNLSRQESEALAALIHQLGAQ MIM_RS14855 MLNNELFPHPAFTLPADTLARLQGGVHALGNNRLTDSAASKPLY YRFIDSPVGPVIIMCSEKGVVLLEFLETIETITKEITDLQTRYGFALSRQDHPGLDVV QQQIDEYFSGRRQTFALALDAPGTAFDETVWAHLQRIPYGRTCSYGALAREIGNGAHA RIVGSANHRNRISIVIPCHRVIGADGSLTGYGGGLPRKRWLLEFESVQACSTPLAI MIM_RS14860 MACNLTSLADGALMIPTWLTDDWLWNGLWAIYIIVIGLWVVLQK RPPVSTLTWILFLSFVPALGFVIYYFFGPKKITRNKINRSISKHLIERDDTLWNVRIQ PSGLTEQQRPIHDLALQITHYPLSYATSYQLLSGGEKTYDRIFEAVRKAEHYIFLEYY IFEQDQTGTTLRDLLTERAQQGVKIYLIADAIGSLRLNRRFMRPLIQAGARLTFFHDI SLKHLLSFMNLRNHRKIVICDGAVAFTGGINISDQQDRRRNKRAFHDVHLELTGPVVS WLETIFVEDWHYSTHDLSVRHMLREHYRDRLERLQEQAEDDAGKAAATRRLAPDNADQ DSQPPPPAPQWIRTQIIPSGPDFDYASILRVTVDAIQRARHRVYLTTPYFVPDATSAQ ALTSASLRGVDVRILLPKRTDNYVVTKAAQSWFDDLVASGIRVFEYGPRMLHTKSMIV DDDISFIGSANFDNRSFYLNFEVSVLCYERAANQALLEEFNASMQHAQEVQLTHQPFF SRLSKAVARLFSPLL MIM_RS14865 MPTSSSSTSATGHTDATFDAALTETLQDSTFIFEGKIIKVTVDT VVLPNGKTATREVVRHCGAVAVLAITPEDKVILVRQFRHPTGGPLLEIPAGKLDVDNE PPEQCAYRELAEETPYTAGGMTLIHTFYTAPGFCDELMYVFRAEGVREDSTLNADDDE FVQTVLMSRDEVRHAIEKQEIRDAKTLVALQSWLLEK MIM_RS14870 MPDIWRKHNAAKKHVLSLSAPGASFEINAFHFGPTDTGRKVYMQ ASLHADELPGSLAAFYLNRRLQELEKQGALACEIILVPFCNPIGLSQSVFYEHIGRFQ LPTGQNFNRLTGVPLYENLLTALQNSREKPGADAQANLALIRGKMRDVLDAMAPARLI DELHITLLKMACDADIVLDLHCDNRAVMHVYTLPQVWDRGEPLARYLQSECQLLAEDS LSCSFDEMVSTPWLKLQSVYPEANIPLPCFAATVELRGDRDLTHEYARHDADALVQYL NQQGYLQLPAAEVAPMPPLRCEPHPLGGLAYVVTPCAGIVVYHVAAGQWVQRDQLLAE IVDPVALTVTPVTAPVDGFIFATAGVRLAQTETKLLSLSSPDDIGSVGLSP MIM_RS14875 MSETISLFLDALVTCLQAAPLTLTLTALSVVTGLCMAIPLSVIH QRQHTPAARLVHAFTYFFTGTPLLVQIYIIYYGIPSFNFVGQLMSQPGFGFLKEGFIW VLVALTLNTAAYSTVIFSGAIKNTDRGEIEAARAYGMNRRNAMRRIILPSSLRRALPA YSNEVIMTMHSTALASTVAMMEITGAASLFVTNTYQPFIAYSAAACIYLVLNFVLVFI FRKIEQRYLVHLNSRHMAEA MIM_RS14880 MEEISLIGQYLPRILQGAILTLEIAVLSLVISVIIGLVGANMRL SRNRLLSRLGWLYSSVVRGVPDLIWMLMVYYSAQIGLNAITEAWDLDYFEISPFAAGV LTLSFIFGAYFTETFRGAIMAIPYGQIEAGHAYGMRGMQVLRRITFPLMMRFALPSVR NNWLVLTKATALVSIIGLDDMTRIAQQAGSATHLPFVFNTVSALLFLLLTTVSLYVFR YLDKHYQRGFVREDAHV MIM_RS14885 MNLKKHVFGIGLCAIALAVSTSAVAAGKTIRFGTDATYAPFEST SPSGEIVGFDIDLAKAMCEKMQAKCTFQNQGWDGIIPALRAKKFDVIASSMSITPERA KAVLFTQKIWTTPNMFVAKKGATYQSTPEGLKGIDLGVQQGTIQDKYATKYFKDTNIK RYKTLEDAYNDLTTGRVNAVFADGGVTTEFVNSPGGKDFQIVGDPIPSSADVEIFGQG TGFAVRPNDTELKDALNKAFDEIRKDGTYQKIADKYFTYDIYGG MIM_RS14890 MDTLSLHPDHEPAATWTVRSILDLYQLPFMDLLFRAQQVHREHH EANAVQLSSLLSIKTGGCPEDCSYCPQSSRYDTSVEREKLMPLDEVVQAATQAKAAGA QRFCMGAAWRNPKPHQVDAVADMIRAVKALGLETCVTLGMLRDGQAEQLKQAGLDYYN HNLDTSPEFYGQIITTRTYQDRLDTLERVRDAGIHVCCGGIVGLGESRRERAGLIAQL ANMNPYPESVPINNLVKVQGTPLADNEEIDPFEFVRTIAVARITMPRTFVRLSAGREA MPDSLQALCFMAGANSMFYGEKLLTTGNPQLQADQALFQRLDLKPVGQYQQDEQTATT ANAAAGSCACTSHAVATS MIM_RS14895 MTYLILSILCSVAVSVLLKVARRQGIDIRQAIAFNYVMACGLTW FLLAPSLTPTAGHPLPWLLFAILGIILPVLFMIMSRGVEYAGIVRADAAQRLSLFLTV LASFVLFGEALSVNRGLSLALAFAALFCLLWKPADVAAGASVRQGALYLFLIWLGYGV VDMLFKQIAKTGTAFSIGLFVSFVLAGVIMFAYLLIRRTQWNARSILGGLLLGLLNFC NILFYIRAHQTFHENPTLVFTVMNIGVISLGTIVGAILFREKISRINLLGIALAIVAV LTLYYWTVISG MIM_RS14900 MQEFLEKLQAALGPDVVQTEAADIEPWLSDWRGVYKGQAQAVVR PVSTEQVAQCMRLCSQYKVPVVTRGGNTGLCGAATPDARPDNIILSLDRMNQIRSIDT IANTLVADAGCILGNLRRAAQEQDRLLPLSLAAEDSSQIGGNVATNAGGVNVVRYGMA RELVLGIEAVLPDGEIFNGLQTLRKDNTGYDLKQLLIGSEGTLGVITGVALRLHAPTH VRSVVLAAVESEQQALELFRLVFGACGPRLQAFEFFTDACLDLVLTHVEGVQLPFGDR HPAYVLIELADTANEEALTQLLEEVIGQALEQELCVDAAVSASLAQLQALWRLREEIS EAQRADGPHLKHDISLPIEQIPAFVKTAEVQLRKVSPDCRLFIFGHFGDGNLHYNVSR PAGADKSWAAEWEIRIADAVFEEVMAYGGSISAEHGIGQLKRHAFLHHKDPLQLKLMR QIKQVFDPDGIMNPGKVL MIM_RS14905 MITSTLTDRVADALMQRIADGVYPVGTKLPSGKLLAGEFSVSAA VIREATERLRTKGLVRTRQGAGCMVLSRDINEGFLLPVPDRVDRDALRHIYELRFEIE GAAAALAAVRATAEDLREMQHILRSLEKSLHQPEEALEWDVGFHQAIAQATHNPHYRD LLAYLGRQWRQSVHAARVHTLQTEQALQTQQSAQPGERELAASPLSRQVHAEHERVLE AILQHDPELARSQAQAHLRKASERLGLDTSAFIHQSNS MIM_RS14910 MISLFLSFFSLYLATLLMSLGTGLYNTFIALHLTDEGVSQVWIG LLIAAFYTGQVLGARFGHKLVQRVGHIRAYAISAAMVTVLVLAQTITPLLPVWVFLRF LTGATMVTQYMVLESWLNDQADQKQRGSVFAFYMVMSGMGLVLGQMTVSFFSPEDLTT LNVVAMSMALCLIPVAITRRSHPALQVHAPIKLKVFIRLVPMSMFVLFIAGSITGSFY GLGPVYASKEGMDTDQVAVFLSVSVMAGLLSQWPMGWLSDRIYRLNMIRFNALLLGVL TIPLYGYWHLPYPVMLVMVAIFGVLQFTIYPLATAFANEHVDPSLRVGLSGVLLMTYG VGASLGPLLVGKLMDIGGAHMFYIYTSLAAFSLVIFVRKEKVKGTYKVDPEPFVPMSV SVPASPVATALDPRVDEAIDISSDEQAMEKVLDIIQAGDPITELNLPQRTDTDHSVAD EDLAMAGEEMAAEDMAADDGAEEGTSGEGAANEDATDEGTEKGSTAARPPTAPRQN MIM_RS14915 MTISIGDRVPDGTLTEFIENAEDGQSAGPQAFKVSELVKGKKIV LFAVPGAFTPTCTNKHVVDFIRDAEQIKAKGVDEIWCVAVNDAFVMGAWGRDTGATGI IRLLADGAAIWTTALGLEMDLIARGLGIRSRRYSAILEDGVVTQLNVEEGGEYKISGT QTILSQL MIM_RS14920 MKKTPVDSDLPAQATSAASALSHLDEAGQVRMVDVLDKTTTART AIARSVVRMTPRSYTALNAADNSKGEVLNTARVAGVLAAKRCAELIPMCHSLPLTFAG IDFSLDDQTQCVTIRATCRSDYKTGVEMEALTACTIAALTIYDMCKAADKGIIIEDTR LEYKSGGKSGEWRCD MIM_RS14925 MINVLYFARIAELTGKRTEQLALPAPVTVTAWLAQLHEAYPALA DVSVLKVAINKKHAQADTLIQDRDEVAIFEPVTGG MIM_RS14930 MIAVQHADFDAGQLIRDLHERSDGQAGAVASFVGYVRDYSASQQ TQELFLEHYPGMCEQELADIAQQAAQRWDIVQSTIVHRVGALSRQQQIVFVGVASAHR GEAFAACEFIMDALKTRAPFWKRETLADGKAFWVQARGSDQQRLQKWTEPQATTQADS PEQEQHQ MIM_RS14935 MKSLTEKDSKVALQIAILTIHDTRLPENDSSGRYLADAVGQSGH HVAGRAICPDNRYAIRKQLSDWILDENIHAIITNGGTGMRDKNATLAAVTPLLDTQIA GFGELFRAYSFADIGSSGLQSNALGGKANNTLIFCLPGSTDACRLGWEKILREQFDSH HQPCNFASAYAKRD MIM_RS14940 MLDFDTAQQRLLDLPIAAIAVETVSLQQALGRVLAQDVVATLDM PSADNSSMDGYALRLQDYVPGATLPVQQRVFAGQAPQPQEPGTVSRIFTGSLIPDGAD TVVIQEVCKEEDGQVTISEAPTLGQNIRRQGEDTRAGSVILEQGTLLGAAEINLIATQ GIATLSVYRQLKVGILTTGDELVPVGQPRESSQIFNSNAPMLTALFQTMGAQVKHALH AMDDLDATREALNTLFADCDLVISVGGVSVGEKDLVKPALEALGASLDFWRVKMKPGK PVALSSVNNVPVICLPGNPVSAYTVLAVLVSPLIRKLQGRSQCLPLRIQATLKTDKVF NETRDEFLRVRITQDTETGLLMAEPYERQGSGISSSLPWANAFARVPAVQKYTGGDRV WVYLKEDWIR MIM_RS14945 MTAISQPAQLAPREVTALILAGGQGRRLGGVDKGLVQLHDHPLV EYVLRALQPHVAHVLISANQHQEQYRQYGQVVADPPEFDGSQGPLAGLLAAAPHVTTP WLVAAGCDMPALPNDYVTHLYATLIAQGGQIAFAHAGARDHSICLLLHTHTLATLRAY LQGGRRAVLPWLESQQAVRVIFDHAQAFLNVNTPEELALAQASVTPL MIM_RS14950 MTNIIPITDIRKRAERPLPQADYIPGRPVVDQLGRALRDLRISI TDKCNFRCSYCMPRDQFGSDHVFLPHSQILSFEEITRMARLFAQLGVNKLRITGGEPL LRKEMTRLIAMLAQIRDLEDKPLDIALTTNGTLLQRMAVPLRDAGLERITVSLDALDP DLFRQMSDTKIHVDQVLAGIDAAQQAGIAPIKINMVVKKGVNEHQIVPMAEYFRHSGH ILRFIEFMDVGVTNHWDMTDVVGGREILQQLQSRFELEPVHANYPGEVARRWRYKDGG GEVGLITSVTHAFCGDCTRLRMSPEGKLFTCLFADQGFDLRALLRSAATDHEIACTLA GIWTGRADRYSELRDQISGTSGTKKKIEMSYIGG MIM_RS14955 MMYPFSIRINPEWTIDTQEGKTLSLSMLLGLLAEIDVSGNIVAA SETCGHSYRHAWGVLRQFEEVFGVPLLITKRRKGTELSEFARRLVWANRRIQARLSPT LESLASESQEELEKLIPEHTPNVRLHASHGFAVEGLTQRMNSPQPLLELRYRTAIEAL AALEQNECELAGFQVPIGKFEKPILDRYSPWLNSDTHLLIHLAVRNTGLFVKPGNPKQ IQSVRDLARDDVRFVNRQPGSSTRFLIGLMLQEENIQTSAVSGFEDSEFTHMAIAAHI ASGMADVGIGVQTAAHRFGLDFIPLVRERYFFALHRNNLQQINVEKFIHFMRSQDYQQ FLGQLVGYDATEAGKIQTLDEAFND MIM_RS14960 MSKTGISSPFIQSVDNKIRKMIPLTPETPHSRAVSASLVKLGNL PGALMPVLHDIQDTLGFIPPECIDQIGQALNLSRAEVHGVITFYPHFHTTPKGAVHIE VCRAESCQAMGSARLEAHIRQATGCDMNSTSADGQVSVDAVYCLGLCAQSPNIMINGE PCAHVTPESFDELYREHTEAVSV MIM_RS14965 MTIRIFIPADAAAIAAGAERVVTAIRKEAEQRNLDITVVRNGSR GLLWLEPMIEVQTDSGRVAYGPVKPGDVASLFDADFLHGGQHALSHGLTEEIPFLKNQ ERLTFARVGITDPLSVKDYMAHDGFAGLAKALTMVPADIVQEVTDSGLRGRGGAAFPT GIKWKTVLGTPAQQKYIVCNADEGDSGTFSDRMLMEGDPLCLIEGMTIAGLATGATQG YIYIRSEYPHAIAALNRAIGAATLANWLGENIQGSDKSFFLEVRKGAGAYICGEETSL LDSLEGKRGLVRAKPPLPAIKGLFGKPTVINNVISLASVPYILANGGQAYANFGMGRS KGTLPFQLAGNLRHGGLVEKAFGLTLRELLYDYGGGSASGRPIKAVQVGGPLGAYLPE SQWDVPMDYEAYMKVSAMIGHGGIVAFDDTAKMNELARYAMEFCAVESCGKCTPCRIG STRGTEVIDRISANENRQENVELLRDLCDTMLGGSLCALGGMTPYPVLSALQHFPADF GIEASTETEHS MIM_RS14970 MLETVVKRDIDLGTPESISDKLVSVTIDGYDISVPEGTSVMRAA ALNGVNIPKLCATDSIEAFGSCRLCLVEIEGRRGYPASCTTPVEEGMVIRTETPKLHQ LRRGVMELYISDHPLDCLTCPSNGDCELQDMAGVVGLRNVRYGYEGANHLKDQKDESN PYFTYDPSKCIVCNRCVRACEETQGTFALTISGKGFASRVSPGQSQSFMDSECVSCGA CVQACPTATLEDKSIIELGQADHAVITTCAYCGVGCGFKAEMKGETVVRMTPWKDGQA NRGHSCVKGRFAWSYATHKERITKPMIRKSISDPWTEVSWDEAIGYAASEFKRLQSKY GRDSVGGITSSRCTNEETWLVQKLVRAAFNTNNVDTCARVCHSPTGYGLKTTLGESAG TQTFDSVMFTDVVIVMGANPSAAHPVFASRLKKRLRQGARLIVIDPRQTELVSSPHIR ADYHLQVRPGTNVALLSALSHVIATENLIDLDFVRERCEEKAFKEWLDFVSLPENSPE AMQEETGVPAEQIRGAARLFATGGNGSIYYGLGVTEHSQGSTTVMAIANLAMATGNIG REGVGVNPLRGQNNVQGSCDMGSFPHELPGYRHISDNVTRHEFEQAWNVTLQPEPGLR IPNMFDAALEGTFKGLYCQGEDIVQSDPDTQHVAASLSAMECVVIQDIFLNETAKYAH VFLPGSTFLEKDGTFTNAERRISRVRKVMEPASGMADWEITCALSNALGYPMNYTHPS EIMDEIAALTPTFTGVSFDLITKMGSVQWPCNEEAPEGTPIMHIDEFVRGKGKFMITK YIPTDERSTRKFPLLFTTGRILSQYNVGAQTRRTPNVAWHSEDVLEIHPVDAEDRGIS QGDWVGIQSRAGETVLRADVTTRVQPGVVYTTFHFPESGANVVTTDNSDWATNCPEYK VTAVQVTRVTQPSAWQNKWSEFNREQRALLKNRVTMDETSVTS MIM_RS14975 MDKVVIPDSTAALKGSALAEPAALSAAVERSRHLRVNRVRDGIL QSQTENDAVAVETPVAVEFNEINHAVMLATPDDLEDFVWGFCLTEGIVRSSDDIRDIE QERTALGIIMHVQISPACMQFLKERRRQMAGRTACGLCGVETLAAVRRLPPVAPPSRT GNAYLSVNEPPAQHHDLHAKPAGMTLVQLLQAMDALRAQQALHQETGATHAAAWVAAG SQSPSFIREDVGRHNALDKTIGALLRNSQPIGQGALLVSSRASFEMVQKAAMAGCPVL AAVSAPTSAAIALAEETGITLLGFTRGQQATIYAHPQRF MIM_RS14980 MTSPHVFNTTAMLIKMANQIASFFEALPDKEEGLQSVYQHIRKF WEPRMRTALLDFLEQHPDGQDGEIALSAFALEAINNHKADLKPAEPTTPSATPVAP MIM_RS14985 MNQAVTRGGFFSKERITAGPGFNRWMVPPAALAIHLCIGQAYAF SVFNKPMTQLLGISESAPDDWTIPQLGWIFSIAIVFLGLSAAFAGKWLEEVGPRKAMF TAACCFGGGFMVAALGLQLHQLWMVYFGYGVLGGIGLGVGYVSPVSTLIKWFPDRRGM ATGMAIMGFGGGAMIASPLSLKLMNAFKSETSMGVTETFLVLGIVYFIYMCIGALAVR IPPADWKPEGWTPPVQQNAMISRNHVHIDRAIKTPQFWLLWWALCLNVTAGIGVLGQA SLMIQESFQGMITAAAAAGFVGLLSLANMSGRFLWSTVSDYIGRKVTYGTFFVLGTIL YLLVPGMGTAGHVTLFVLFYLVILSMYGGGFSTVPAYLADLFGTRYVGGIHGRLLTAW SAAGIFGPVLVNYIRDYQLQMGIPRSDVYIYTMYVMAGLLVIGFICNMMIRPVSAEHH MSAENIAAEPIAAGAK MIM_RS22940 MENTTQRKTPVALIVAFWLLVGIPLVWGVSNTIITAAALFK MIM_RS14990 MSTHKRVLLVEDDISIAANICSYLEKKNYMVDVAYEGNAAISLL GQYVFDAVVLDLGLPGKNGFDVLQYIRNQAMLSTPVVILTARDDLQDKLTGFSLGTDD YLTKPFSLSELEARVNALIKRATGNVANPVRRWGQIEIDTRSHIVSIKGLPIHLTRKS YDILSTFVQHPGEVITKERFESLLWADDPKSSDALRSQIHLLRKALAEHDCDVIETVH GTGWRLGKAEEPT MIM_RS14995 MKSRGSLSLTQRMALALTGAVTLFVVVLCILSLWAFDSMEDNLV DSTLLAERDRIVALDTHQANPTGAGVQESLNQPIRRWDIATPGDENQLPPMLRNLDVG AHFMHPGDDTWHVLVFMRDEGKTVLLYDATLNERRVHDFALIVIMAGLLCIGLSYFLA RSVSRRITDPVQSLTQTLSTWAPGASNLSPSRNDEVGRLIEAFNRMQSQVEKSIAQEK EFSANLNHEIRTPLTTIRTDAELALEDAQILDETRMRLKRTISNVDLITDTLESTVHL KTQARQNPEPVNLHDCLENAWSTSTGDDELINLQLVNQLLPGEVMTVNRYAMQMIMRN LIRNALEHADATTLTVYLSGTRLYFEDNGKGIAQADLPRIFERYYSGHTRDTQPAEDD SLLAEAPQRRGLGLAIVQHICELEHWTIRAESSTQPGASMTRFILQVS MIM_RS15000 MFNKILLPIDGSDISARAANSGICFARQNSAEVIAINVTQPFST LIGFDGMAASYAISDGDYEEAARKEAESYLKPVLDRAQTANVKATSLIISNYSVADGI VEAAKENGCDLIYIASHGRSGLSRLLLGSVTTKVLSLAHTSVLVYRLKEQSGS MIM_RS15005 MSTPITPLSDTLAVAPQLGAEDMAAVKAAGYRSVIINRPDYEGG DSQPASADVIKAGEQAGLTVVYQPVVSGAITPDDVRAFRQYLDTLPAPVLAYCRSGTR CTHLYNAAKQETSQS MIM_RS15010 MTDFFRVRPATAQDMSAVAQIYAWHVLHGIATFEETVPDQNQML ERFTQITAQGYPYIVAEKDGEVIGYAYASSFRPRIAYRYTVENAIYLRHDLGRMGAGS QLLAELIRLCEAGPWRQMIAVIGNSANAGSIAVHRKAGFEMIGTLRATGFKHGQWVDT VMMQRALSGGAQTLPDNTDTSPLAP MIM_RS15015 MAHKKKQLTLCVDDFGLNEYVNQAVFQLAAAHRISATSCLTQAD AWAAGAHQLRGLDIDIGLHINFTEQLGQDTHFHQPLSRLMVSAWLRRLKPAILVRSIE RQCDLFEKHMKREPDFFDGHQHVHQFPQIRDALMDVLIRRYDCDDFWVRSTAMRNASL ARGLQWKARLIALLGSSALRRRLQRIDFPYNDDFAGIYALAGGSARFEQHMREWLAEA GERIVIMCHPADGVDPKDSIGEQRAAEFAFLKSDAFGQLLTAQATRLARYPT MIM_RS15020 MTKMTVYSRHREMRQCPQESHSDVRAAAGDVPVAPVAMPRVRKA TEASVSCVIPALNEARSLRILLPMLIVLLHDAFACLEIIVVDDGSSDDTPEFMSEFCR RDARVRYLQLSRNFGKEAALSAGLELAMGQVVVTMDADLQHPPALIARMVAHWEQGID MVYAVREARDDERLLKRIGTRLFYFLMKGTGKVRIQPDACDFRLLDRKVVQALCQLPE RTRFMKGLYAWVGFRTQAVYYQPDERRFGASSFSLFRLIGHGLQGLTAFTTWPLRVAM FGGMLLAGLALLYGLYVVFEYLMIGNPVRGYSTLIVAQSFLAGIILISVGMVGEYVAH IYEEVKRRPLFIVSRQMGQGLVQGSPRVFNADLHPVDRDSTPGHLSDGL MIM_RS15025 MRQIILDTETTGFDPAEGDRIVELGCVELIDRQFTGNNLHIYFN PDRESSPGALEVHGLTTEFLSQFNRFEDEAARIFEYLKGTEIYIHNAAFDVKFMNAEF ARVGMPPLDQSAAAIHDTLAMAREQYPGKRNSLDMLCERLGVSNAHRTLHGALLDAEL LAEVWLAMTRGQFGLVMEHSDQPRQEAGQPAVATFDASILRVEVATEAEIAEHAAYLD GLDQAAGGLSLWRKLTNPPPAA MIM_RS15030 MGFLANKRILVTGVISNRSIAYGIAAACKAQGAELAFTYVGDRF KDRVTEFAADFGSNIVIPCDVAEDAQIDATFEQLAQHWDGLDGLVHSIGFAPREAIAG NFLDGLSRENFRIAHDISVYSFPALAKAALPLLKARQGSVLTLTYLGAEKVVPNYNTM GLAKASLEASVRYLATALGAEGMRANGISAGPIKTLAASGIKDFSSILNFVSAHAPLK RNVTIEDVGNTAAFLLSDLAAGITGEIVHVDAGFSTIVPGMA MIM_RS15035 MKLVRFFLVGCVVALTGCASSGGKYSDKVSYHGPNRDVWDRVRK GFDMPPLQDEKVAYWTNYYAARPGSVQTMASRSGKYIYHITKELEKRNMPTELALLPF VESAYNAQALSRSRAAGLWQFIPSTGTHYNLKQDWWKDDRRDPVESTRAALDYLSYLY TFQGNDWHLALASYNWGEGAVQRARARSSGGGYLDLRMPSETRNYVPKLMAIRNIVAN PAKYGITLPAIPDKPYFEQVKRSKDIDVAVMARLAGISVADFKELNPSFNRPTLLASH DPNILLPVSAVKTYKKNLANYSGPLATYKGYQPAPGESLAAIAQAHGISLSKLKSLNG YSSRQSVALSSRTLMLPVQRDFDTDSIVPRGLPPTAPDGNRRDSDSMLADNSPPPVSP AAVTPVIEPRAYAGNAPTSTLRQTSGAALESRQVASNTRSSSGATPRAVVWDTGGNQP APRNAPAAGDDPLAALVAQNEVRTPPVNRESVRYVAQTAPTGYQGAVRPATATVRAPA MAQQAAPAVRAAAYQPRAASATRPPEHFVTQGETLYSLAKRYGTTVDDLKALNNIGAE GLKAGLRLRLPGTAIRG MIM_RS15040 MTTKIWPISAFSDNYIWAIVQADQATVVDPGDAAPVLAYLRDQG LTLRNILLTHHHADHVGGVAELVQQTGATVYGPPGPAIPCRNVTLREGDHVNLNILGQ FTVLEVPGHTLDHIAYFGQVDGRNVLFCGDTLFATGCGRLFEGNSRQMQQSLDKFRKL PHNTSVYCAHEYTLGNIRWARTVDPHNPDLEQWQREAETLRTSNQATVPTSLEHELKT NPFMRTDEPVVISAAEQHIGHALAHPENVLEVLREWKNHF MIM_RS15045 MKQIHSRIINLADWFNTDPGQRVIQWESSKFDQIVADSFGFNAV QVGLPDWNFLHHNRMRTKIILTDDRFKNCLHYPNTHFVQAQLDALPLASNSIDLLILP HILECADNPHVVLREAERVLVHEGRLIISGFNPWSLWGLRSRMPLRKPWMPVAASRQV SVTRLKDWMKLLSFEVDRGYFGCYTPACNTERWLNRWDFMNKAGDRWWPVAGAVYLLA AVKRTHGITLIGPSWKGKKKKKFARGEAAISRREVRQLFKEPHG MIM_RS15050 MDKPQVNIWTDGACKGNPGPGGWGVLLRQGPHEKTLFGGEAQTT NNRMEMMAVVEALRALKRSCNVTLHVDSQYVQKGICEWMTGWQARNWKTADKKPVKNV DLWLLLNEQIARHDVTWKWVKGHAGDPGNERADQLANQGVELVRKQKPAAQV MIM_RS15060 MNPQDKNGKLDYIEFTVSDIARSCSFYADAFGWTFKDYGPHYSE FTDGRFTGGFAQGEPISPGGPLVILYADDLAQMQARIERAGGRIVKPVFAFPGGRRFH FADPDGHELAVWSDQAE MIM_RS15065 MFKNTAGRVICIIGLGLIAACSTGTDHSKNAECGRLNSRIMMAP APGAGDNFLSRNSADQRADAHRERARRMGCD MIM_RS15070 MMRKTLLLLAGTCSLLAACAVPPVVAPLSDSQLEAMSCKQIGRE SDKLNLIVDQLRGSDALFGPDESQKQSSISAAQFRLQQLRTQSVKKLCTFG MIM_RS15075 MKYVDGFVAAVPNAKREEYIKHATMAAEIFKELGALSVVECWGD EVPEGKLTSFPMAVQCKPDETVVFAWITWPSKEVHDVGMKKMMEDPRMQPDANPAPFD GKRMIYGGFIPVLSV MIM_RS15080 MTDERPHIERLQHRASRMIWLGFAAIPFSLLSMYPVLVHPGESV YAPILLLCAPLVVAALLFGLGLRVSHRALQLNNTRNTRILKNSEMRSLLLVSIEDTHH VINSKPVLRLSLSDPQAPHRQYKITEPVSALHLRDLQAGKAVPVLFLDDGRELRLGLD PQSSQQDWGFLSRFIREEQQQAAA MIM_RS15085 MLVTRPQLPAYDPIQLVGQVLSIDNGICTVHCDGANWHCTRALS CLVEPQIGDEVLISGPDRDRVFLLAIIARPENTTVTLSVTGDMAIRSEAGAVSVHSAK LTHIHSDQAVKLTAPSHEQENDSAIMKIGQMNYLGKKLDAVVGSTDFFSNVIGLMSDS FKSVARLCFRHVKEVDHVRAQTIDYEAEKLTRVHGGYTTLTAQEVMKINGDQIHMS MIM_RS22215 MKTVKPLRLGVLTRPFGLQEKSYLGVVVYALVDFNGESPKLVPD AELTTHLLQDMDCEGILDLVLPKPVPEFLVSGNAYTAHQQDKTRCAVRVQVDKLEKSL LVSGERYWLNGTMTSPQAFDEMPVSWSHAYGGADFAENPAGKGRDREWINGSWVRRLP NVEAPASTMHRQDQAILPASFGPVLLTRPRRYSQVGTFSQEWMQNDMNAFFPDMDPRL FNAAEPDQRWPDRDSLPLGASFRIWNMHPEQHCWSGILPDWKARCFVLQQMSSAREPE HEAFLEVDLRPTTVWFLPNIRHAILMYHGALPVAQSYAEDALAILPAMELPDQPKTKS YYRQIFDQRNDMETGALYTLRDRDLIPKSIMGDWLDTKPQLQSPFLDNAHKFEQRKRA QIRAFLNKHGTSLPDSERDELNALVPEFVGPPFPADPEHMPELHERMQKLKADAMAQL EAQKQSARQQLSELNAVDPQHTGSKDAEGAAPALDAAQIEEIGRHIDSPAPADRQQMQ DAFGELTKQQEKLEADWEKWSQQHGDPDNTTTKLKDMKQQREQSRKQLGKINLYSAHL IGGKIIVDSHVADKKRSEAQQRYAEGQSMQDMNLAGANLSNLEFVDADISGSDLSGAD LTGAVFRRCNLTNVILSRASIQDASFTDCELNGTNLGETQVERSNFSGSRLKNVILHK TEFSRCDFRQTRWQDILNNTHARFSACRFDASSADKTVFVQCHFENSSFYQAKFSQTI FQQTTLVNVNFEQSQQKSAGFVACDMDTINFSQARLDGVSFIYKLNIRHCAFRETRLK GCSFKGTVLHGIQAQKARLQYCDLTLADLRYADFTGADMHHCVLKSANLRDSLFNQAD FTSAILTKADLRGADLRYANFCTADISEVRMDNTTLIENIYTEHTKRYPLYREPFAQQ DGARP MIM_RS15100 MISPSTRQQFFDCLDSYEPIQEKNFAGLSLGNTELSGRVFEKVD FTGADFSGSRLDNIVFTNCRFDSSVFERADLLRCLFSGCELTASRFSHASLRECSIVE SQLAKALFSEAILDSCTFGQTNLSAAILSQTECKKTTFFETDLTQTDFVGSTFEISVF FRNDLRQTRFDDCYFEKTVMNETLLAGQRFTGLTFQHCPFVKSDLKQAIFQDCYLAGC NFQESQLNNALFSACDCTNAIFIESDAPSVRFSDTQLSQSMWIQAVATDAHFTDCNMT ESILHEANCENARWNRSDLTRADFSRANLRHTQFESVTLRDTLFHRALVSDGALLRKP GALPQRDYLHEAELWTQQARSR MIM_RS15105 MPILQGRIGSSVTLVDVMILGPRPNFGPKITMIPNPLSRVFTPG LDLECCPMPTMITWANETGILGVLVPVVKCFATKFINVSFRVFSGALPVCRWISMGLS NIINGVLSTNLIPSPNRIFVFA MIM_RS15110 MDIPQLQNRPVIAHTPMPEVLHFKSMKGQAGLSELFEFNVELVA KTYMLDVRSLLGKPLTLQVETASGAPHYLNGQIIRFELVGRELLNSEYYVYRATVRPS LWYLTQSRDNRIFQNKSVVDILKQVLGAYSFPVEYNLTESYRNWEYCVQYQESDFDFV SRLMEHEGIYYWFRHENGEHTLVLTDDMSTHEPAPGHDTYTFYDGQMHMLAHEEFVSD WHVQAQITPGGYATVDYDFRKPSARLDSTSKNAETANTDKLEIYEWQGGYQEADHGEK YARLRLQEIQGAREQVLGICNIRAVEPGRNFKLRNHPRRTENREYLCVSASYNMSVAG YSTGTEQEDHFEVIFRALPSNIQFRAPRVTPQPKTSGPQTARVVGPAGEELWTDQYGR IKVMFHWDRQATGDENSSCWIRVSSPWAGGGFGGLQLPRINDEVVVDFIGGNPDRPVI LGRVYNAQNMPPVSLPANATQSGFRSQSVFGDPSMRNQMIFEDKLGQELVDMRAQLDM VLNVVRNLTVTVGANLKTTVGETETRDVTGTRTTHIVGHEKETFDAGVHRDITASGLT DHIKGGATTKIITGNNVFRVEEGTNTVYVKSNEHHTIDGTLHQDVKEAATLHYKNKLT RTVAETEDVTVTGAATHTYENTFTSKHGGKVLFDATKQDFEILCKKYTITASSGEIKN VTSHAVNNYKAGRDTTVSGIDYKAVTILGYDVMPIKVSVTWAVNFGYSSLAIQVQPLR VALRGIAMQLDGLNLALTGLKFSNQAVKVDVSGASWKADGIDLKTTAAKTTLQGLGVT LAGLKLFA MIM_RS15115 MSMMLGGIILILISLSAGASDNRRVRETGERGQATILSVEDSGT RINDHFVLNVGVRITPTFGPAFETVCSQVIPIYHMAQIQKNNTVNVLYLKETQEAVID FD MIM_RS15120 MQRRVGMKIGLIGAGAVGRACLTSLVYAGGAREIVLVNRNHKRA QGMATDIQYGATLLPAVHVRAGHYEDLDRCHLVIVTVGVNEKTGGATDRSDDAGRLRL LEDNAKIYQDIIPKINAVAPGAIILVVTDPPDPLAEVARHYSNGAHVLSSGTLLDSQR MRFHIARHAGVHPSAVEAMVLGEHGTSQVFIWSGVRIGGVPLARVLDVNEKDCEAFQN KIEEEVRFANISIIEGTGASQLGIGMVTAHITSTILNNERLVVPIGSHIEAYETTLSL PSLLSREGVLETYMPALSSREEDALAASAAVIRKAWEGVA MIM_RS15130 MKFRVFMLVVIGFITLSIIAGAVWLLSLPVPGSHTPAPGPDQDE YATTLAALAPAEHRRPLIAIIGINDATETTDYLMPYGILKRADIADVMTLATHDGPVS LYPALTVQPDTTVAQFDRRYPDGADYVIVPAMSRDDDPAVMAWLQQQKAKGAIIIGVC AGAKVVAEAGLLNGKRATTHWYYLKELLQKHPDINYVANRRWVIDNGVVTTTGITASI PTMLTLIEAIAGREKAQQAGQDLGVMQWSAAHDSQSFRFTRPFALTVIQNTMAFWHRE KLLMPLHTGIDEVALAMVADAWTRTYRSRVATSAPNKEPIISRNGMHIIADAVSTSSD NRSEVPAVDMAHPATALTDTLGAISKRYGEPTARVVAMQLEYPWPGPKSKLPANDN MIM_RS15135 MDINYLQSFITVVEAGSFAEAGRRLDLTSAAVAARIKALEDDMG VELVRRSGRSVKPTASGMRIIESTRSVVRQIRDMRAMAMDRSNQGELRLGCFASALTN ILPPILKRLYDIYPETSVFVTPGASIELCRKVAAGELDAAIVVEPQFAVAKHCVWQKF MEEPLVVIAPAHMLGRDAHDVLSSEPFLRYDRSVYGGQLADRYLRDHDLHPRQRLEIN SLSSIAVMVHEGLGVSLLPDWSTMWQSLEIIQIPLPGRTLVRRNGLVWNQHGHQAKLA ACIHEQARVVFGTGS MIM_RS15140 MSRGNQSDDKPAAGLKKGLTSYGDNGFSLFLRKAFIKGAGYSDS ALTRPVVGIANTGSAYNPCHGNMPQLLEAVKRGIMLAGGLPLDFPTISIHESFSYPTS MYLRNLMSMDTEEMIRAQPMDAIVLIGGCDKTVPAQLMGAASAGIPAIELVTGSMLTG SHRSERVGACTDCRRYWGKFRAQEIDDQEIADVNNQLVASVGTCSVMGTASTMACLSE ALGMSLPGGASPPAVTADRMRIAELTGAQAVQMARDHLTIDKILTPDAFENAMRVLLA IGGSTNGIVHLAAIAGRVGLDIDLQALDRMGRDTPVLLDLKPSGQHYMEDFHKAGGMA TLLRELKPLLKLDALTVTGRTMGEEIELAGPGFAQTVVKSAANPIYPQGGIAVLQGNL APGGAIIKQSSANAGLMEHEGRAVVFEDAADLAARIDSDDLDVTADDILVLKNIGPKG APGMPEAGYIPIPRKLAIQGVKDIVRISDGRMSGTAFGTIVLHVTPESAIGGPLAYVH NGDRIRLSVSRREISLLVSEQELASRREQAPVVVPSAERGYQKLFLDTVTQADKGVDF DFLRAAQTKGTVPR MIM_RS15145 MLMTRFHQGAARVATCLLLGSPLALVPFAGARADTYPDKPIRLV IPYPPGGATDVIGRIVGKNLGDQIGGQVVIENRGGAGGNIGAADVAKARPDGYTLLMG ALTSHSVMSILEKGKLSYDLQKDLTPVGVVGSVPLVAVANPSLKVSTLPELAERAKKT PGKLNFASSGAGAPQRMAMELFKQIAGVNIVHVAYRGSGPAMTDLVGGQVEVMTETVP AAISFIKSGQLQPLAVLTPERISMLPDIPTAREQGYDNYNVSSLFGVMAPAGTPKAVL DKVNAALTTALSKDDVKKQLLEQGVYADPLTIDASRDRLKAEIALWDKVIKDGNITTR MIM_RS15150 MTQTHTAIIEVNTHQIQTTQGRVHAQSWSFPGEDALRQTPIVLL HDSLGCIPLWRDFPQKLVLATGHPVIAYERLGFGSSDPYPGTIAADFISQEVRQIIPQ VLAAFELDRFIACGHSVGGAMAVQTAAQYPDACKGLITMGAQVFVEKLTLDGIRQAKL DFAKPENLSRLDKYHGDKSRWVVDAWTDIWLSQAFSQWHVRNELAQIRCPKLAIHGDS DPYGSVEHAHVIAAGHGRAEILSGIGHVPYREDEARTLDIIKAFVADIDR MIM_RS15155 MSYHAVLTAVGLFALTFLSPGPNLFLIVQTSLSQGKSAGIAAGA GVATGDAIYAALGLVGLATIIATSHTIFAAIQILGGAYLIWYGFNLFRQARGRTKAVL GSTTVPTMAASAGVHFRRGMLTDLANAQTALFFVSIFSVTITPSTEWPTKLAIWVGIS LTSMLWRVAVSLAFSRPLIRRGYMRVQAYLEQLVGVVLMGFGVKLIISGFKSN MIM_RS15160 MRFDFCVNNEQIEQELASLQARMQRGRYALSNLPLMDMAFPDIE FRVRKIAREQRVYAIDTRSRLLVGYSVFDILPEGSRRLHGTVRSVHSRYAAAYQGRGI ATALYTKVLTDGFVLVSGARQSRAAYALWKSLGRRMRFDVVKITPETIEPLKISERDN RFQDLDVRLQLCAP MIM_RS15165 MKDKLAIDSLDSEAVVAARDLDIAGNVHSDTILRVAGDLHVAGS VRAGGDIHINGDLFIDGNLNCLGAIVVEGSIRVGWGIDVTGKLQCKGDLRAGWSLDSA SAIEVGGTIVIGQDIMCADTLDCKKSVRAGGDISVENNLTAAEGIIAKGAIRSGMHIK ADWGIHAGGNITANGSIMAGESIVAAANVRCGSGYGVFAGTRVMREFWPDNAIVCAAQ RPEQLQSGHWIETQYA MIM_RS15170 MKNVFTLLLAGMLVLPAVALAHDDDDDEDYRDYYHHREYKEKYR DGPCKVERKYKRNGDYEEKRKCRGNSYRDDYYSDAPAVPGGDLGDVVRLLQNL MIM_RS15175 MAWFLLVIAGLLEILWAFSMKQSEGFTRLGATSVTLVAMVLSFG LLSWSMRTLPLGTAYTVWTGIGAVGAFVVGIVFLHEPMSAMRILAATLIIGGLVLMKL SS MIM_RS15180 MSAEAHSVSLLSPVVLLAAAVIAVPLFKRIGLGSVLGYLMAGLA IGPFGLAFFSDSASILHIAELGIVMYLFVIGLEMQPSHLWGLRREIFGLGTLQIVACA LGLTGVGLLFGFSWQIAFISAAGFVLTSTAIVMQLLGDRGDIAQPRGQKIVAILLFED LLIVPLLAIVAFMEPNHVVESNFARLQNIGIGLFAIAALIGAGHWLLNPFFRMLAAAR AREVMTAAALLVVLGAALLMQVSGLSMAMGAFLAGVLLSESTFRHQIEADIEPFRGIL LGLFFLGVGMSLDVSVVAQNWPLVVGGVLALMAMKALMIYIVARITRSPHHEALDRAL LMAQGGEFAFVLFSAAADAQVIDSSVKSSLTAIVVLSMVLTPLIGIVFSRYTKSGQQA SLEGVSVADGLTGNVLMIGFGRFGQVTSQLLLARGVDVTIIDTDIDMIQNAEKFGFKI YYGDGSRLDILHASGAAQAEAIVVCVDNKETTNRIVELVSSAFPLAKLMVRTYDREHS LHLVKKNVDFMIRETFESAMVFGGAILQQLGVDEDQVKQISREIRERDAERFDTEVAA DDIYAGVDMQYSHAHPRPTAPLLVPRQSGQILNDEDLKEADATQGQGGS MIM_RS15185 MEAFSQPELVANYASRTEKMVPGFNDLHTMAAVLLAEHVSEKAH ILVLGAGGGLEIRAFARLHPGWRFSGVDPSEQMLELARQTLGPLPSRVAFHQGYINSI AEADFDAATCFLTLHFLPEQERFETLQALWERLKPGAPLVVAHHSLPTAAADKDRWLD RSAAFAKASGLPMPSSGARNSAVRDLLPLLSADQDAALLHAAGFVDVDLFYAGFTFRG WVAYKPRA MIM_RS15190 MHTHSLNLRALNCASGNAILATAAIVLSTLLAPLPATAAEPAAT TSAASSESTSAKPRIIILATGGTISGAADARSAIGYNSGEVSGQQLVQGVPGIEKLAT VKSEQISNIGSQDMNDKVWFALAKRIRQIFDGNEADGVVITHGTDTMEETAFFLNNVL DSAKPVVLVGSMRPGSALSADGPLNLYEAVEVAASAQARGRGVMVVMNETIHAPRWVT KTNVTSVQSFQSLNAGPLGYVDPASVRFLAPVGQAAAARYTLPKDDQLPRVDIVYAHS NMDATQIDHAIQDNARGIVIAGVGDGNVSKPAMEAMLRAVQKGLVVVRATRVPSGFVN RNVEVDDDKSGFVVAYDLNPQKARVLTQLLIANGVTAADKVQQAFAATY MIM_RS15195 MNFQKGALAVAVISCLVLAGCNEQAGSGSGTASTQESTAAQADN SLNNYIAMNNDLMSLQGLEAGYRDYLRMNIPKAKPSQTLDYMAPTFQYVFSQYAEAIK GKRSQPALDQAADDLMKKLEAIKADSAEFGQYYESAAYKTDDLKKGKASDAQIRQHFE DALTSYETFTKELKGVYEQAKLKELEAIKQSGNTYRYLSAYSMHLAEQLVNVFESEED ISNPEKLKQADATADELNKVLTEFNAEYQKIKEKNPEASTDTTLMNLNSCIKYYRAFR QSNRASDFKFMIDGYNSAVRSRR MIM_RS15205 MKRVFPALALLLALANSQAQQPGVLPSQSTFHKVTPPIPVTGIL GVFCPKEAFLKHQKV MIM_RS15210 MRKHLPCKGNLVWQLVLCLAVLAFACRSVIPSGYMPVLSGEKGR TVVLTLCNAAGGNETLQLSIPQQSKDTPTPEHAGQECPFGLVISQGVLPVPGTPALPV TVWQERAAPYVVHNRSLPPLPALGPPLGSRAPPSLLG MIM_RS15215 MLPFKRFSRPVSVLIALLIGCLMLYGCSRSDELTDIHGRDLSGA KFGRDFSLNGTDGTRHTLSDFRGKVVLIFFGFTQCPDICPTALLRAANVKAMLGKDGD RLQVLFITVDPERDTAALLGDYVSAFDPGFLGLYGTPQETEKTANEFKVYYKKVPTGS SYTMDHSALTYLYDTAGRLRIALSHTQSDEAYVQDIRKILALDE MIM_RS15220 MMSLFRNAITSLLSTFVIASTSYAQVTVDDPWIRTTVPQQTSTG AFMRLTAQSDSKLVSAATPVAEHVELHQMTMENDIMKMRQIPELALPAAESVALKPGG YHIMLIGLKKQISAQDQIPMTLTFEDKAGQRTQLEIQATARSAQGGHSNHHQ MIM_RS15225 MSNPTAMPARTAALNFLRRIHFYIGLFVAPFIFIAALTGTLYVI TPQLENAIFADALYVTPQGTASPLSAQIEAAQNHVGPQGKIYAVRPAPNASDTTRVQF ADSSYGASESRAIFIDPYTLAVKGDMMVYGTSGVLPIRLWLDKAHRSLLLGDLGRNYS ELAASWLWVAALGGLILWFSTRKARKTARQESAFLNNRHWHTTLGLVLFVGLLFVSVT GLTWSRWAGDNINQLRTNMGWLTPQVNTTLSHDAHAMHADPHAEHHAAMAGMSRANDD WPRVVAAARAAGLRADMIEIRAPKAANKAWTVTEVDRRWPTQVDAVAINPQDFSVMDR TEFNTFPLVAKLTRWGVDAHMGILFGLPNQLLLIAFGLGLCVMIALGYRMWWLRRPVA SGPNPARTLVAAWLSLPASLRIISVAIAALLGYALPLMGISLLAFIAIDVARWKLAKS RSVVMLRSGV MIM_RS15230 MTTKRREVAGIRPYDAPAGGWGALKATAVAVRTQMDMMEAPVTL MRTNQPMGFDCPGCAWPDKEHRSTFQFCENGAKAVTWEATKKRVTAAFLAQNTVTSLL EKSDYELENYGRLTQPLSYDRATDTLRPVSWEAAFDRIGTVLRGLSPNQTEFYTSGRA SNEAAYLFQLFAREYGTNNFPDCSNMCHEATSVGLPRSIGIGKGTVSLDDFDETELII SIGHNPGTNHPRMMGTLHEASRRGVPIIVLNPLKERALERFTDPQNVIEMATYGSTRI ASSYYQVKSGGDTAALKGIMKALLALDEAQRGAIDHDFIAEHTQGFAQFSDDLRNTSW ESIEKESGLQRAELQQVAAAYAKSRATIVSYGMGITQHNKGTANVRLIADLLLLRGNF GKPGAGICPLRGHSNVQGNRTVGITEKPSSQFLQNLQDVFGFTPPREHGHDSVRAMQA MIDGQAKALLCLGGNFAVAMPESERSFQAMKQLDLSVHVGTKLNRSHLLVARETFILP CLGRTELDIQAGERQSVTVEDSMSMVHASSGKLKPASESLRSEPAIVAGMAMATMPQS KVQWQDLVSNYDAIRDLIEKTIPGFDDYNKRIRIPGGFRMPLPPTERKWPTETGKAMF SVFKGVSEDVKIQADDVLRLITLRSHDQYNTTVYALDDRYRGVFGRRDVLFMNDIDLE KHGLEHGDLVDIETVVSDRKLSMPGITVIAYDIAPGSVGAYYPEANVLVPLDYFDEDS GTPSYKSVPVRVTRSDKQNQLP MIM_RS15235 MTISINQPQAVSNPVTRNAIFIVATIADGAEHLATVRTWCEDVE GLVRSVGTRQPASGLSCVCGFGSDAWDRLFGQPRPRQLHPFKAIGSGERVAVSTPGDI LLHIRADEMDMCFELATQLIAKLGNAVTVLDEVHGFRYFDQRAIIGFVDGTENPTGGE AVDHTVIGDEDLAFAGGSYVLVQKYLHDMDAWNKLTVEQQEKVIGRTKMSNIELNDDV KPTNSHSSLTTVEEDGRELKIIRDNMPFGRPGMGEFGTYFIGYARTPSIIETMLENMF VGRPAGNYDRLLDFSRAVTGTLFFVPSATLLESLASSEQAETDSTDTNSAESMPA MIM_RS15240 MTQKLTTLAGLVLCAGLAACSTSNENGKDLTQQTVEYTCGQGNT QPVSVQYTFQGEEPLAAKVVYANQAMELTRATTSNSDMVGNTFRGNGYTWTTDKFTRE DVSSVNGKMLTQDAQQRAGVPGSTVGNVLVKDCKVGG MIM_RS15245 MYFDYRLWLMTAGLRGRLAGGVGLGLLALLAGIARYVFLGQLLA RVFDQQPWQQWLTPALLAVAMVLLRAWLDHLRTVQANQSAARIQLMLRAGLYDRIVDL GPAWLGSQRTGGVMLTVIDGVEQLQTFFGRYLPQVAISLIAPIAIFAVIAFWDVPTAL VLLISALFSLLAPAAVHAMDRRASQERSQALGAFGEDFLDAMQGLPTLKAFGQGKSFG QRLAARARRLSDKTFWVLSVSVLTRGMSDLGVALGAALAITLGASRVVQGEMSLEALL IVLMAGTEIFRPLRDLRSVLHQGMLGQSAAAGIHALNDADRVVTASERAISPADLEPR IRFDNVCFAYQPERAAHNGLSFDIAAGERIGVVGPSGAGKSTIVRLLLREVMPQNGHI SVGGYDIRDLSEDALLSRIALVSQDITLFHGTLDDNIRLGRPDATPEQVRAAARSANI DAFIMALPDGYETQVGDRGLQLSGGQRQRIGIARALLRDAPILILDEALSSVDSQNEF LIQEALDRLMVGRTTLILAHRLASVINADRILVLDHGRVVESGSHEVLMQQQGLYYQL MREQVGNSHIPKDADARAESVAPASSTNGPAPRSLEDDAARVGWKDVLVTLMSVVKPW RLTLVATILLGVARVAAFIGVGALSALVVAALRNGQPTQTLIIALLVVAPLAALFHWL ESWLAHAMAYKLLANMRIDLYDKLEQLAPAYLLERRSGDLVSLATQDVEMIEYFYAHT IAPAIVSVLVPATVLGFLAVYSWPVALALLPFLAYALLSPVRSRRRIDALGEQARQSL GEMSAHLTDTIQGMADLTAFQATARRRKQFLDIAQSYSGQRQAILNDLSAQSAWFEVA MGLGGLAVAVTGALLANAGTLDPTMVPLLVLVAVATFLPVSEISQVSRQLADTVAASR RLHVVHQEPVPVGDGPSRLAPASQGLSIEFDQVSFRYTPTSRLTLDALTFSLAPGTTT ALVGASGAGKSTIASLLLRFWDASTGTIRIGGTDIRQLELDNLREHVALVTQDTYLFN DTLAANIRLARPDASDAEVNNALASAALSGFVASLPQGLDTLVGERGTQLSGGQRQRI AIARAFLKNAPILILDEATSHLDSLSEMQVRDALALLMRERTSLIIAHRLSTIRDADQ ILVLDDGKLIEQGTHDTLLSRYGAYARLIQHQEQGISS MIM_RS15255 MTTFTHVTVGTNDLNASRAFYDKVLSHLGWTRITDLAENGSIWG DGKPSFFVLKPANGQAATVGNGVTVSFEAPNRAAVKAFHEAALALGRPDEGAVGPRDW APNAFAAYTRDPDGNKLAVYSFSAE MIM_RS15260 METLTRHLAHHVHVIIYSGFKAVEAVGAIHVLDQANVQLAAMGQ PAPYEITLCTPHAGLVRSDTSLHLEAKAMHSFASLTPPPDTVIIVGARDIETALNEQP QIVEWIQHIHSQTPRIIGVCSGAFFLAAAGLLDGLTATTHWRVAKRLKEKYPRITVAE DSIYVRNDRIWTSAGVTAVFDLALALVEEDLGRNIALAVAREMVIYLKRPGGQPQFSQ YLNFQMTTHHGIHTLQNWIIQHLDKDLSTADLARRVAMSPRNLGRIFLQQFGCSPQEF VELARMEKAKSLLEEGYFSLKKIATECGLRSEERLRRAFRRHCGITARQYRDRFHADK S MIM_RS15265 MNTHTQTPLLPKKLPVTVLSGFLGAGKTTLLNHILNNREGRRVA IIVNDMSEVNIDADQVREGGAQLARADEKLVEMSNGCICCTLREDLLIEVERLAGEGR FDQLVIESTGISEPLPVAETFTFEGEDGRSLNEVAQLDTMVTVVDAFNFLRDYSSRDS LQSRGESLGDQDERTVVDLLIDQIEFCDVILLNKTDLINEPERERLMAILRQLNPRAR IEMAEFGKVALDRVLNTGLFDFEQASKAPGWLMELRGEHTPETEEYGIRNFVYRARRP FHAERFFELVESEWPGVVRSKGFFWLATHPTMAGSWSQAGAVARHGPAGYWWAAMPPE RWPQDPAHIAVIRSKWDDNVGDARQELVLIGMDMDEEALRAKFDACLLTDGEMALGPA NWKKWHNPFSDWPVASVLP MIM_RS22580 MKVLSSLKDAKTRHRDCQIVRRRGRIYVICKSNPRFKARQGGAR NRNA MIM_RS15270 MNDVGNLKRAGLKATLPRLRILEIFEKANQRHLSAEDIYRRLLD KHVEIGLATVYRALAQLQQAGLLKHAHFTAGKALYELDDGHHHDHLVCTSCGLIREFH DNAIENDQHRVAKKLGYELIDHTLILYGNCTKAACEHRLEMASLNARNPV MIM_RS15275 MSTLSTFLDLHGIAPESHSHPPVMTVAESEQLALPIPGAKTKNL FLRDNKGRRHFLVTVPHNLAVDLTALGRMLAAGRLGFASPERLLRHLGVTPGSVSLLA LVNDQALAVEFVIDNKLWEADFVHAHPLRNDATMLVSHADLERFLAATGHEPLVVQVP AAV MIM_RS15280 METKNAIAALAALAQESRLAVFRLLVQAGPDGLAAGKISDETRI PPSSLSFHLKELTYADMVTPRQEGRSVIYTANFATAGRLVSFLSENCCGGQTCDFSCV EPKE MIM_RS15285 MRLRTLSDPNLLPALDRSKVILRPGQELGADQPPLRILLLYGSL RPRSFSRLAVEEAARLLLLFGAEVKIFDPSDLPLPDQVQNDDHPAVKELRALSEWSEG QVWCSPERHGQITGIMKAQIDHLPLEIAGIRPTQGRTLAVMQVSGGSQSFNAVNTLRL LGRWMRMFTIPNQSSIAKAYQEFDEDGRMKPSAYYDRIVDVMEELVRLTVLLRPHQET LTDRYSERKASDRAINTATDLSAIAIAPQSQTQRETS MIM_RS15290 MTKKVYNVLILCTGNSARSIMAEALINMMGDGRFQAFSAGSHPT GTVNPFAVERAASIHYPTEQLRSKSWDEFAAPDAPQMDFIITVCDDAAGEVCPVWPGK PITAHWGFEDPSLVEGSDEDKRLAFDKTFRQMMNRVRLFVSLPFNILDHTAIKQELSK IGSTHAAQ MIM_RS15295 MKNMSSKFFGKSLSSPGKRAPFVLVNPDGSVRMNLDNAEIREKF VNGVKSIKGLSLSSRLKSGTK MIM_RS15300 MSAIVIAVVLLAGFIYAELNTKTKYRLIKSTGWHTYFIAAKYGV YFAVLAGVATLVFYPALEDQPLFIWTAVWGMFTLALATAWGKYRYLFVRLCKPLTTAC LSVFRRLVVANPPIHHIWRTMKIWQADYEDETAQESAYLLARKNEFEHMLYRALRQVQ MLQITLKSGKVYIGYIQNTLINDNFDDTEYFTIFPLLSGYRDKDDQTLTLTTSYFDAY EQIEDDTQTTDDLEEQFRILIPRAEVMSMSYFRPDYYENFADHDDESE MIM_RS23230 MKPIQRPTPEENEAINKAIASDPDTFDPANGFDHLVRVDPKKLS RPKQSEHQSQIAS MIM_RS15310 MKQNKAFALTVAGVIALIVVVLAGFGALYTIDQGDVGVKLRYGK IISVEQPGLGFKTPIIDSIKEISVRRQTYSWEKIGAYSADQQIAEIDVSVTIDPISSK ADHIYAQYGTVKDMVTRIVSPRMMTVAKNVFGTFTAQRVVQKRKEFETEVSEALRTAF IGEPFTLVSVQIQEISFTPEYEKAVSDKQRAEVQVLTARQNAQAAVAEAEGAKQSAIL QAQGDAESRRLRGEAEASAIAAKGKALADNPALVQLISAERWNGILPTTMVPGGSLPF VNVK MIM_RS15320 MTDITIDLLDAKLQAAEARTEARVARLEALVENSIKNNQKAIAD FREENKHTRRITVVTGITSVITIILGVAAFNATLLSNMVGSFSMGQSTRDSVKAEISS QNKELNAKLDKLTEAISKLSVSRL MIM_RS22585 MWRDEPPEGPDNFVYDKAPSMREMASALSPKDLFAFSYTSGKEK YATGEWRFMFFSRPIQNAEYHPEDTDYLEFSVPVSFLRNFPHVFESMFIRFADRIGNM NGYAGYAMNLSLTREKNNQPTEANLTRIFNALDIGENLMISGRRDMRKHLKTMSWLTL LNQEMLQEVGGVTGLRKYLPEQWYAFYKDPKIGLLIQTGNYPSLVSNKEDPMLASYVL LNHALRKARLESIGPLHSVPDYQAYFLDRHTSNKWLTRFDIEETEVINYLEKLRKEST LQEDRLIPGLKKI MIM_RS15330 MSSKLEKSMRRAAEFDIAYLIRRIYIKMIALLFCLTVNTGCTKE PEAPEVLIIHTQQLSSEKIELNCDRKKLEDKIDKKRNETKSSEYATMLSYARRDQDDE YSQLMANKSLRSKFKPGIESAILISQNFKKLAVVTFPRPANVNTFLKSVSTCSKATGN ICIPAIYFDGADTFCLLFTKTELSN MIM_RS15335 MATFTELLRKAEKSEQYWVSGAQIDFSFQLADVMKEEGLNQSQL ADILGVSAPYISKLLAGNQNLTLNTMVQYARKLGRVLNLELVKASENRKAREYSIRKS DGD MIM_RS22950 MNKKFKYPLSVILSFVLVTAMSPAGAQSCIADKQNFDFMQTSPS KFHRGSVTEVKSIYKALLKRIGDPTIYGGTSLLYVTSNAAGFSQAYCKEDKCRGTDIL NGLNKCSVLNNIGCYPVAAIYNKKLYCLLEPAVDQYHGDRPFNPFE MIM_RS15340 MTTITKFDIAEYLDSEEMIAAYLNEALNDDDPNTFLMAIADVAK ARGMTQIAKDAGLGRESLYKALAPNAKPRYDTVIKLIHSLGVTLTVTSQEKTTVAVD MIM_RS15345 MLIINQTSQFRKWFKALKDLRAKAKIAIRLQRAENGNWGDCKSV GEGVFEMRITESKGYRIYYARQGDIVYLLLNGGNKSTQTQDIASAKVLWAEINGGLR MIM_RS15355 MFTGIISGVGRISQVKPAGNSADDGVHLTIEANNFDLAGVGLGD SIAVQGACMTVVSLDDGAFQIDVSRESLDKTTGLDQAGEVNLERSLKLGDSLDGHLVS GHVDGMGQVTRFEQVGESHELRIQVPAALAKYLAYKGSVTVNGVSLTVNSVTDNGEGC EISINIIPHTLQVTTLKHLREGTKVNLEIDMIARYVERMLK MIM_RS15360 MNDQEYMDRALALARSVMFSTSPNPRVGCVIVNQGKVLGEGATQ PPGGPHAEVCAIRDAQAKGHALAGSTFYVTLEPCSHYGRTPPCVDALLEAKPARVVIA ARDPNPLVSGAGISKLQNAGITVEIGLGLEQALEINPGFVSRMSIQRPWVRMKIASTL DGKVALNNGRSQWITGPQARADGHHWRARACVILTGSGTVRDDDPQLTVRDVATPRQP IRAVIDSQFAIDEDARLFDGNPVWVFVTEYNVAKAERLAARNVRVITVDEKNKHVDLK AVMAWLGQQDINEVHVEAGPGLNGALLQAGCVDELLVYLAPTILGDARSSVAHTPFNV LADAYRFAFFDNAACGKDLRLRARLPTRWNQLQLQSGSSKPDTE MIM_RS15365 MKCPFCSHHDTQVMDSRVSEEGDTIRRRRRCLSCDRRFTTYERI ELAMPAVVKRNGTRAEFDPAKLQASLRLALRKRPVSTELLERAVARIQESLLNYPEKE VSTDHIGELVMNELKQLDQVAYVRFASVYKSFQDIEEFVNAIKEMQKPVKPHNGGGRP ESADKA MIM_RS15370 MFDRSLTLDKVDPELWSAIQQENTRQEQHIELIASENYTSPAVM QAQGTQLTNKYAEGYPGKRYYGGCEFVDIAEELAIKRLKELFGAEAANVQPNSGSQAN QGVYMAVLKPGDTVLGMSLAEGGHLTHGASVNASGKLYNFISYGLDENEVLNYEQVEQ LAKEHKPKMIVAGASAYSLKIDFERMARIAHDNGAYLMVDIAHYAGLVAGGQYPNPVP HADFVTSTTHKSLRGPRGGVIMMKAEHEKIINSAIFPGIQGGPLMHVIAGKAVAFKEA LSPEFSDYAKQVVANAKVLADTLVKRGLRIVSGKTESHVMLVDLRAKGITGKVAEARL GDAHITVNKNAIPNDPEKPFVTSGIRLGTPAMTTRGFTEAEAELTANLIADVLDNPDD EANIAAVRAKVNELTARFPVYK MIM_RS15375 MKLSSQSFENEKAIGPVNAFAKPDAQAHMILSDNKNPHLAWSDA PEGTRSFVVLCVDKDVPSKPDDVNQDDREVPASLPRVDFYHWALVDIPASVNEIAEGE FSSGVTPKGKSGPLAAKDMRQGINDFTNWFAGDHDMKGDYFGYDGPCPPFNDALVHRY FFTVYALDVDTLPLTGSFTCAEVAEAIAPHVLDQASIMGLYSLNPKVSF MIM_RS15380 MSTPETPISPEVENDLQTVLRGCDELLVQSEFAVKLQNSRNTGT PLRIKLGLDPTAPDIHLGHTVVLNKMRQLQDMGHNVIFLIGDFTSMIGDPSGRNATRP PLTREQIEVNAKTYYEQASKVLDPARTEVRYNSEWCDPLGARGMIQLASRYTVARMME RDDFTKRFKGGIPISVHEFLYPLMQGYDSVALKSDLELGGTDQKFNLLVGRELQKEYG QAAQCILTMPLLEGLDGVEKMSKSKNNYIGITETPDSMFGKLMSISDTLMWRYYELLS FKSLQDIATLAQQVKEGRNPRDVKVELAQEIITRFHSAADADGALANFEARFRDGAIP EDMPEINLGPGPLGILKILKEAGLASSGTEAGRNVQQGGVRVDSQRVEDKNLQLEAGT YVVQVGKRKFARVTVSA MIM_RS15385 MTQAQEPRYLIGLMSGTSTDGADGVLAAFQGTDRPQILASASRA MPEALKAQLLDLNRAGDNELERAGLASCELADLYAQVVGDLLTQADLPASQIAAIGAH GQTVRHRPELGFTIQLNAPARLAEKSGIAVVADFRSRDVAAGGQGAPLVPAFHEAIFA GEQTVTVLNLGGIANISILRPGHDVTGFDTGPANVLLDMWCLAHTGQPYDKDGAWSAG GTVHAPLLNALIDGEPWLDLPPPKSTGRDLFNHAWLQARLAGFEALAPQDVQATLRAF TAETVAQAIRRHAADSQTLIVCGGGTGNRALLDDLQARLPGVQLSTSDAHGIASQSME ALAFAWLAHAFLSGYPAGTPSVTGARKKTILGCLYPA MIM_RS15390 MSTVLESVDLQAPPTPLLFTEAAATKVKDLLIEEGNPELKLRVF VQGGGCSGFQYGFTFDEVINEDDTTIDKNGVQLLVDPMSFQYLVGAEIDYKEDIEGSQ FVIRNPNATTTCGCGSSFSV MIM_RS15395 MSMIARGFLFLVGVSLGGGAAYYYTHNMMQREMFTQKTELSEQL SHALLQEKIKMKELQNRLDISETQMTMDRATIAEYESQVKKLQDDLSRTSEQLSFYDE LVPAGPQGAISVRGFDAVVEGRRVRFKVLVSRQAQAGTVFTGELKFTATGTLNGEEAT ADLIPDTVEHASTGSDSIPAVTDLKKQAPAPILKLDFETIQRIQGLLMFPEGFTPKKI TMSVLEGKTVRASRTVDLSR MIM_RS15400 MASSSSAVKVGIVGGTGYTGVELLRLLSAHPNVQLHAITSRKEE GMPVSAMFPNLRGHVDLAFSTPENAKLTECDVVFFATPHGVAMAQARELIEAGVKVID LAADFRLQDTAVFEKWYKMPHGCPDILRESAYGLVELNRQKIAAAQVIGNPGCYPTTV ILGLAPLLEKKLIQIDNIIADCKSGVSGAGRKAEVSTLFSEASDNFKAYGVAGHRHHP EITEQLTALAGEPVKLTFVPHLVPMIRGMLSTIYVNINEDALDTDFQALYETRYANEQ FVDVMPAGSQPETRSVRASNTLRIAVSRPNNGSQLIIIVVQDNLVKGAAGQAVQNMNL LFGLPEQAGLGGVAILP MIM_RS15405 MIGNWNYGTGRRKTSVARVFLKKGSGNIVVNGKPVDQYFARETG RMVVRQPLVLTEMVESFDFHINVHGGGESGQAGAVRHGITRALIDYDATLKPALSKAG FVTRDAREVERKKVGFHKARRRKQFSKR MIM_RS15410 MKTFVAKPHEVKRDWYVVDAKGKVLGRVASEVARRLRGKHKPEF TPHVDTGDYIVIINAAEIVVTGAKTEDKKYFRHSGYPGGIYETNFRKMQERFPGRAIE KAVKGMLPKGPLGYAMIKKLKVYAGAEHPHTAQQPKTLEF MIM_RS15420 MQRRSFLKKAGLGAVASTGVIAAPVIAQENPAVKWRMASSFPRS LVALFGSGDRFTKYVKEATDGNLQIDLFPAGEIVPALQVLDAVSNGTVQAGHTCGYYY LGKNPSFCFDTAVPFGLNARQLNAWMLEGNGKKLMRDLFAKVNIVNCPLGNTGTQMGG WFRKEIKSLDDLKGLKMRTAGLAGEVLAKLGVVPQQIAGGDIYPSLEKGTLDAVEFVG PLDDEKLGFNKVAKYYYYPGFWEGGAQVSAYFNKEAFEKLPKNYQAIIEAASLRAHTE MMAMYDSMNPGALRRLIAAGAVLKEFPKEMMDASFKATAEIYKKYSDQDETFKTILAD YMAYRDDLIPWFNVVEGSYTRYVAHAVSQARK MIM_RS15425 MPHTQPDFEELVQLALSHAKQQGASDAAAEVSESGGLSVSVRKG NIETVEKTQDRSLGVTVYAGHARGSASTSDLSAAAIRETVEAAWNIAKYTANDEAAGL PDVADLAVEQPDLELHRPWNISSEEAAELAIEAEAAALDYSKQITNSEGAGVDTYQGR FVLANSRGFMGGYPYSRHGMSVTAIAGKGNNMHRDYWYTADRYPERLAKGTDVGVYAA QRALSRLSARRIGTGKYPVLFEAPLALGLLGALTQAISGGALYRKSTFLLDSLGKQVM ADHLQISENPFIKGALGSSAFDDEGVQTRARQLLTDGVLNGYLLSTYTARKLGMRTTG NAGGSHNLLLSSSLTERADDLPAMLKKMGTGILVTELIGQGVNYVTGDYSRGAFGYWV ENGEIQHAITEFTIAGNLRDMFLNIVGIGSDVITRGSKTTGSILIDSMAIAGQ MIM_RS15430 MQNADQSDDGPLYDRPSKSQVKRELLAILELGKQVVELPLEKVK QLDLDDNTFEAIRTAQKITGREGKRRQIHYVGKLLRTADTAAIAKKMDEWENGSREQT ANMHRMETLRDRLIEEDDALTRLLNDYPEADAQALRTLIRGARRERDQNAALAAGHEP QRKHYRALFQAIKLLLTKE MIM_RS15435 MEKLLDCVEIEHGSDKTLAPTHSVIWMHGLGADANDFVPVIPEL HLPANKRIRFVFPNAPVRPVTINNQMPMRAWYDIIALSNVSRDVDESGLRTSQAAIEA LIKRENERGVPTENIILAGFSQGCAMAYQTGLRSPKKLAGLICLSGYLPMADKTAAEH NTANLDMPIFIAHGTYDPVVDIRFAQQTREWLLANKYSQTEWRTYPMAHSVNLDEIKD ISAFLQKITP MIM_RS15440 MLRHRLAAFFEPRSLCVVADTELAVFTQVPAYLRSATDLLPLPD DPALAQQQLSQWTMTPGRDLAVICVRSGLLAAVLQQMAVAPPRALLLLPGQVVDQAPQ DTRDLIQAWCQEHSVMLLGPRAFGIYRPHLNLNLSLAQMQPRAGRIAVISQSRMLLRS IIDWAEDVNLGLSAAVSLGEVTDVDLPELVEYLATDPRTDSIALYLDKLTSGRELISA LRAASSVKPVIVLRAGRSDAELSGSDAVLDAALRRAGAIRVNYFVELFAAIKAMSYAR RPRGGKIAMLANGRASAQLVQDAIPAESSMLMATLTQGTVKCLSEIFGVSTLVDNPVI PYVPLTPDALIEGLRCLIADSQVDAVMVILAPDEFCDMPKVVEALAAFAPGASKPIVT CLLGEAKMRPLRRLLDQTGMPAFRTPETALSAVLSLTSYHYNQQLLQQTRYVHSGQRP AELEQARAILEAAGREGGDQLSREQCIALLECFHPDVHWERDEEDEHFSTQDDVPSVM IRVSRDPIFGPWIWFGEGGHLVRFSASDRGVDLPPLNLNLAGKLIERSRVWRQELQSY VEPQILRKLQGLLETISEMVSELPAIESVELDPIVLGYRDLHIHEINIRLDVSTPVAI PQKTGFSHMAIYPYPTHLVQSRVFADGSPWVLRPIRPEDADALQDFIRGLSEKSRYMR FVSMMRELTPKMLTRYTYVDYHRELALVATTQIPNPANRGLPQEIIIGLAHYLRNADG VGAEYALVISDEWQKRGLGRSLMDALILAARQQQLSYLEGVVLSSNRPMLHLMTSLGF INEEDAEDPSMRRVWLPLNLEEQG MIM_RS15445 MNTPQSAPTAVRTRFAPSPTGYLHLGGARTALFSWAFARHHNGT FVLRVEDTDVQRSTPQAVQAILDGMKWLSLDADEGPFYQMQRIDRYKEVLATMLDAGT AYYCYSSPEEVEQMRELAKAQGRKPKYDGTWRPEPGKALPPVPEGRKPVVRFRNPTEG VVTWNDMLKGPISIDNAELDDLIIARPDGTPTYNFCVVVDDHDMQITHVIRGDDHVNN TPRQINILKALGAPVPEYGHLPMILGPDGQKLSKRHGAVSVMEYDTQGYLPEAMINYL ARLGWSHGDQELFSREQFVQWFDTRNLSKSAAQWDPKKLNWVNAHYIRELTDDALVAH VAPRIAARGGNTEDLDLVAILNLFRDRAETLEQLADNAMLFCAPYQPADAQLAATVLT DEARATLKKFATAAAALDAWDVPTLAALVKQFLADNGLKMPQLGIPLRVAVTGQKQTP AIDAVLAILGRDLVLQRLNQV MIM_RS15450 MATTAKKAVKKAAAKPAAKKAVAKKAPAKKAVVKKTPAKKVVAK KVVAKKTVAKKAPAKKVVAKKAVAKKAPAKKAVAKKVVAKKAPAKKAVAKKAVAKKAP AKKAVAKKAVAKKAPAKKAVAKKAVAKKAPAKKAVAKKVVAKKAPAKKAVAKKAVAKK APAKKAVAKKVVAKKAPAKKAVAKKAVAKKVVAKKAVAKKAPAKTVAKKAPAKKPVAR KTPAAKKPVVKKAPAAPVAETVSADDAKTASNTSAAWPFPTGGRP MIM_RS15455 MISSILTFLISTVFSLLISVFLLRAWMYWVRIHPFTPYARLINN VTDWAVVPLRTILPTGNKIDSASLLMAFICAAVELLLMMAVSGFGFADGLLVRLPVVA LLMTVKWALSLATWLCIFQAILSWVNPMQPVMPVLNTLLAPLLDPIRRLMPRTGMIDF SPLVLILILQVLQIVLAGLMPF MIM_RS15460 MNNAVLICGSVAFDTITTFEGHFKDHILPENIKSLSVSFFVPTM RKEFGGCAGNIAYNLMLLGGNPVPVATVGPDAGDYLAHMRSLGIDTRLVRVLDDMFTP QCHITTDLSGNQIAAFHPGAMTRSTENDIGEEAAAWGIVAPDAKDGMFKHARAMHASK VPFIFDLGQAMPLFERQDLEDMHALADVWTFNDYEASVVEQRMGKSIDELAAGLKAVI VTRGAEGATLYENGSQRAIAPCKAVAVTDPTGCGDAHRGGLLYGLTLGWSFEDACKLG NIMGAIKIASQGPQNHSPSRDEISALLDTAYGLQLPESTS MIM_RS15465 MKTRCPDCSTRFDVTPAQLNAREGKVRCGVCSTVFNAFEHEVDD ETDFPVLQAEQPHTDTLSAGSEPAPASRHSLRDVRDTDRQARAAASPRQYHEDADPLS DQAIEPTLDELDDRSTPRRGRVRDRDRGAGERFLVDAPSLSGSGAYNQDGRGAAPRVH VEGQYRRRVQRDTEYDDPEHSNGSGLIWFLAVLFALIILFAQGAVVFRNQIVNVAPTL RPQLVQLCSFVGCEVGYTRSVRHLAILKPALRQVKTPATTADIHSFRLQAILKNNDTI AQPLPSLVLSLKDASESVSARRIIKPEEYLLPDAQKRAFQPNDEIAIDLPVQVSRTDV AGFELSLFYP MIM_RS15470 MRELVLSCSEDRAEALSDILLELGVLSVSVEDADSGTEHETPLF GEPGGEPDVQAWHHNRVVALLPDDVEPQVLLQALEQQAGEQYAATAVVREVADTDWVR LTQSQFDPISITDQIWIVPSWHRENPDVPGADADAGTILIELDPGLAFGTGSHPTTHL CAQWLAAHLQQGQRVLDYGCGSGILAIIAAKLGAREVIGVDIDEQAVQSTRDNAQNNQ VRIEACLPDGLQPGTFDVVVANILSNPLKVLAPMLCGRVASGGQLILSGVLERQAQEV AAAYAPWIDMSIWRSHDGWVCLAGTRKQT MIM_RS15475 MFEKILIANRGEIALRIQRACRELGIKTVVVHSEADRDAKYVRL ADESVCIGPAPAQQSYLHMPAIISAAEVTDAEAIHPGYGFLAENADFAERVERSGFVF IGPRPESIRIMGDKVSAKQAMIAAGVPVVPGSEGALPDDPAYMMETARKVGYPVIIKA AGGGGGRGMRVVHTEAALVSAVNLTKAEAQAAFNNPEVYMEKYLENPRHVEIQILADG KKDAVWLGERDCSMQRRHQKVIEEAPAPGISRKLIERIGERCAEACRKMGYRGAGTFE FLYENEEFYFIEMNTRIQVEHTVTECITGIDLVQQQIFIAAGEPFKLRQRDIVFKGHA IECRINAEDPYSFMPSPGLITNWHVPGGPGVRIDSHVFNGYRVPPNYDSMIAKLITFG DTREQAIARMNLALSEMVVEGIQTNIALHRELMTDARFVEGGTSIHYLEHKLEQRAK MIM_RS15480 MDLRKLKTLIDLVAESGIAELEITEGEGKVRIVKFSQTLQPVAP VQPQPATVAAAPAAAAAPAAPAAPATPAGHIVKSPMVGTFYRAPNPSSPPFVEVGATV SEGDALCIIEAMKLLNEIEADKSGVIREILVENGEPVEFGQPLFVIA MIM_RS15485 MTQKVLVLNGPNLNLLGTREPDVYGRQTLQDIHEALMLAASEAG VQCECFQSNHEGELVEKIHSARNNTAFIVINAAAYTHTSVALRDALGAVAIPFIEVHI SNVHQRESFRHHSYLADKAVGVICGLGADGYMAALQYAIKHHLKQSPKTASV MIM_RS15490 MNRRQFVGAGMVWPFLLMSPPVRAAAKLNEDVSTVDEAALNELL TLSLPDLKGTAVSLRDLADGRLTVLNFWASWCAPCVREMPALDALHQKQASVNVVGIG LDTVANIEAFARKTPVSYPLLTAGAGKIALMRRLGNPKGGLPFTLVLDEKAGPLATIL GEIAMDELAAFANRHA MIM_RS15495 MHLHILGICGTFMGGLALIARAAGHKVTGCDTGVYPPMSTQLQE QGIDLIEGFDAAQTALKPDLFVIGNVVTRGNPLMEAILDQGLPYVSGPQWLGDHVLQR QHVLAVAGTHGKTTTSSMLTWILQDAGLNPNFLIGGIATNLGISAKYDPAQHYFVIEA DEYDTAFFDKRSKFVHYHARTAILNNLEYDHADIFPDLAAIETQFHHLVRTVPQSGCI IYPPQDQALERVLQRGCWSQQDQFGPGGRWTFKAGQADMSSFTVLCDGEPQGEVNWAL TGAHNASNALAAIAAASHVNVPVTAAIAALSRFSGIRRRMELRGTVNGIQVFDDFAHH PTAIETTLDGLRKQVGARRILAVLEPRSNTMKLGTMAARLPQALALADRIYCFGAKSG KHALGWDPAEIFAGSAVPAQGYSDLDVLIQDVSRQAQPDDVILVMSNGGFGGIHEKLL LALQQAATQTVS MIM_RS15500 MILYLHGFRSSSLSAKSQQLQQAMAAAGRSHELAAPDLPDDPHA AIALAMTHAKRLCPSGNMQDLTVIGSSLGGYYATWLKEELKCKAVLINPAIYAVRDLS TQLGHSTKFHSDAPFSFTAESVRALAGLYRPALTQLQRYYLLACTGDELLDWQEMTHR YDGARLTLIQGGDHAFSMFERYLPAILDFTASK MIM_RS15505 MYVVFEDDGSFKAEKIHSEADSTLQVESVSGKRSKIKRNAVIFT FDGIEPQTMLEQARATSEDIDLQFLWECAPQDEFSAQDMALEYVGHEPSITEKTAILL RLHSAPAYFHRKGKGHYKAAPADILQAALAALEKKQRQAEQQQAWTNAMLAGTLPEDL RDAARTFLIRPDKNTLQWKAFEKALDESGKSAETLLLELGVYPHALALHLDRFLKQHF PKGTEVPELDIPAIPALPSSDVLAYSIDDISTTEIDDALSATHIDGDLYRIGVHIAAP ALVVTRDSDLDKLARNRMSTLYMPGDKIPMQSDSLISMFSLDAGRPVPALSLYVTANI ATGEIHETVTRAETVTIRENLRLDDLLDQVTREALEDPAAELPYAELFRPLWQLGQHL KTAREQVRGKPESNNRTEYTFRLHGPANDPESRIELIPRQRNAPLDQIVAEYMILANT QWGGYLNEHGLPGVYRSQQMGRTRMSTHPLPHESIGVPQYAWCTSPLRRYVDLVNQRQ ILAAAEHGVSARLVAPFKPKDADLYAIIGAFESQYTLWHEFQSRLERYWCLRWLTQQS RSSLHGTFIRDNLVRLEEIPLVIEVNGVPELERNDRMIINVRGTDELSLHIDAEYAGL AQADTEAAAT MIM_RS15510 MNTPRIFAVLGNPIKHSLSPRLHTLFGLQTGLQIEYIRQCVEPA NFNEHVRQFFANGGRGLNITLPFKEQAYALAGSHISERARAAGSANTLWQENGAIHAC NTDGVGLVNDMLRQHVTLRESRILLIGAGGATRGVLPALLQAGCAHLHIVNRTEDKAH ALAAQATVPPATQAQVTASAFSALQGNWDIIINATSSSLSGEPLPLPDSVFRADSVAY DMLYTASGDTPFLQQARAAGALHTSDGLGMLVYQGAESFRIWNQIEPDAVPVLAALRT ELTESR MIM_RS15515 MLETVGSIACAVVTGIAERVRSQSIARSLADLVGLLIAVILLYQ VYLFAWVLWYSVFNPGGSAYMREQASQLAAQDPPVSIQYEWTPYEAISPNLKKAVIAA EDARFTEHGGVEWEAIRKAWRYNERQEEAGHTRRRGGSTLTQQLAKNLFLSADRSYIR KGQELIITYMIEAIMSKQRILELYLNVVEYGTGIFGAQAAARHYFKVDAAHLSASQAA RLAAILPNPRVYGKNMQSRYVLSRGRTISARMRSAVLPE MIM_RS15520 MRTARQYLGTEIYRSTVAVLVALVGLFTFFALIDELDSISDKFP LLSLLYLQVLQLPTRLYDLLPIGLLIGSVLALASLAQRNELVILRVSGVSSISLLLML WTITIPLMLGAFVLSEFVTPRAELMTSEASLKYLGKASGGQMNSGNWFREPDEDGNIR TINIAQLRDQGSVQDIRIITYNADESRFISLDHARTGHFSHNTLTLTDVVHITSLIDA KAALADPNKPVSDVARRTVLPTLELKTTLTPNRLLAGQMQPDRMSTVSLLDYISYLEQ NKLQTNRQVVALWRKLSYPFTLLVMITIAAPIGFMQTRKGGVGGKVFLGILLGVAFYM INQLALNVGMLKNLPPWFTALMPNTIAMLIAMLALYLMESRRTPRMQRAPLSGKAAT MIM_RS15525 MNIWAVGDLQGCCGALDELLEHPLIEKDPHCRFWFAGDLINRGP QSLRTLKRIIKLGDRAVSILGNHDLHMLAVHAGIRNENKSDTFDAILRDKHVDELIDW LRHRPLAHYDAGHLMVHAGVMSKWDLDKTLALAEEAHQALISDKWKKNLQKMYGNEPV QWKDGLSGAKRLRVIINAFTRMRLCTKQGEMDFASKEAPGGSSHAGLVPWFDVPDRKT ADIPIIFGHWSTLGLLIRKNLMALDTGCVWGGKLTAMRLSDRKLIQISCKQHGK MIM_RS15530 MIAYLRFAVRAFFLILLLLSGLLTAAIALPFMNRAVQDAVTKAW SCCLMLVCGVKVVRHGTPIMSGPVLWVANHVSWIDIFILNSWRATSFIAKQEIRRWPV IGWLVARVGTVFIERGQRQAIGRVSDSMKSLFERNICVGLFPEGTTSDGLDVKAFSTS LFEPAMRAQVAIQPVALVFWYEGQRSGKMAFIGDQTLIGNIWVLLSASHVCVEVYCLP AVTQNGQASELSRAQLAAQVREAILAKVVQR MIM_RS15535 MKIVIKGGRLLDPASGTDQIGNLYIAAGRIVGTGQEPEGFAAAR SIDATGKLVIPGLIDLSVRLREPGFEHRATLESEMQAALAGGVTSLVLPPDTEPALDE PGLVEMLKHRARQLHQANLYPLGAMTNRLEGKIITEMAELTEAGCIAFSQASLPLIDT GVLLRSMQYAKTYGYTLWFTPLEASLSKGVAASGSFASRLGLVGVPEQAETIALHTLF ELQKITGTRLHICRLSSAAGIALVRQAKAEGLPVTCDVSLNHLHLTDLDIGYFDSNYR LDPPLRGQRDRAAIRAGLVDGTIDAVCSDHTPVDDDGKLLPFAEAEPGATGVELLFSL VLKWAREEKLPLAQALGLITTGPAAILKAGAPSLPGCGTLAVGAPADLAIADADAAWT VGRDTLLSQSAHTPFVGYELPGKVVMTLVGGRSVWEFV MIM_RS15540 MFNPQLNRHGELTHLLSTEGLPRDILTHILDTAQTFVPMAERDI KKVPLLRGKSVFNLFFENSTRTRTTFEIAAKRLSADVYNLNINVSSTAKGESLLDTIS NLTAMQADVFVVRHEASGAPYLIARNVEPHIHVINAGDGRHAHPTQGLLDMYTIRHFK KDFSGLTVAIVGDILHSRVARSDIHALTTLGAAEVRAIGPLTLLPGGLEQMGVKVFTD MREGLRDVDVVMVLRLQNERMKGALLPSSQEYFKHYGLTQEKLAYAKPDAIVMHPGPM NRGVEIDSAVADGPQAVILNQVTFGIAVRMAVMSIVAGASQ MIM_RS15545 MTGSLPSAEELYAHLKNALSDKLRGTNPANTYLVGIYSGGAWLA RRLCADLQLPNQPGTLNTSLHRDDYNRIGLHSQTQPSHVPFEVEGAHIFLIDDILFTG RTIRAALNELYDYGRPASVRLGVLIDRGGRELPIAPDICGGVLPLPRGRNYVLATDDD SRFTLTMEEEPRHV MIM_RS15550 MPEETLLAFDAGMKKTGVALGNTLTRQARPLCIIREVTRDGRFA RIATLLQEWQPERVVVGLPLTTSGDEQPASRFARRFANQLHGRFGLQVELVDERGSSM EAQALLGNNKEDDAMAAAVILQRYLDSLK MIM_RS15555 MIEPEDKKASEFVSLANQFLMAMPSQTSDIFEGGVVYVCEHSED GALGLLLNRPTDLSVEQLLERLELEVNADLAAQTVFYGGPVQTDRGFVLHHPAGHYRS SVVLGDMALTTSRDVLEDLARGEGPQQIFITLGYAGWSAGQLEQEMAANAWLNVGADR NIIFNKRPADRYTAALALLGIEPAALSGDAGHA MIM_RS15560 MRTWMCLICGWVYDEETGVPEEGIAPGTKWEDVPPNWVCPECGA RKEDFEMMEI MIM_RS15565 MSSDHTPAVLFFNHLDPTGRSGLAGDVLTAAQFDCQAVTALTAV TVQDTGVIEEIHPISDEILDNQARCLLEDIPVNAIRAGGLYNADHVSVIAQIAADYDS VPLVLYLGPQYASVIEDSSDDDIEALLQATWETLIPQASCVVVDCSYLNLWAPEDDHD DENPIPVVLDAILAAGAKSCLALFCPSPDDVHQHILLEGGDHSLAFGFFPLAGQSEAG DMISAALACELTRGQTLSQACENAITYTHKALANGRKLGMSKLVAQRLQKS MIM_RS15570 MTAHSFPSGLYGITPEWHDAERLQEAVSLACEGGMRVLQWRQKT MPAAQARTIAASLRAICHAAGVLFIVNDDWQLALELDADGVHLGKDDAALATVRAQLQ QQNHKPFLIGVSCYDSLALADSAIRQHADYIAFGALFPSMVKPDAVRAPLSLFQAVKK TQSAERKQAVVGIGGINRHNAHLAVEAGADSIAVITGLFGDPDIRSAAAYYASLFDSH PAASS MIM_RS15575 MSQNEVLFKRALQSIPGGVNSPVRAFRSVGGTPRFISKAKDAYI WDADGKQYIDYLGSWGPAIVGHAHPEVVQAVQRAAESGLSFGAPTEAESLLAEAIIAR IPSIEKVRLVSSGTEATMSAIRLARGATGRNKIIKFEGCYHGHADSLLVKAGSGLLTF GNPTSAGVPAEFVAHTVVLDYNDLDAVKAAFAEFGADIACIIVEPIAGNMNLIKPVEG FLAGLRALCTEYGALLIFDEVMTGFRAGPQGVQGLSGVTPDLTTLAKVIGGGMPVGAF GGRADIMDQIAPLGPVYQAGTLSGNPVSVAAGLITLEILSRPGFYEHLQTQSAKLVNG LQEKAKAAGVAFCADSVGGMFGLYFRETVPTTFAEVSDVNHDAFKVFFHGMLDNGVHL APSAFEAGFVSATHSDEILEKTLDAATRAFALI MIM_RS15580 MAVNLNIPDESAIYPVAGVEIGIAQAGIKKPGRDDLTLFKFTPG TSVAGVFTRNRFRAAPVQICESRLAKGNAIRALVINTGNANAGTGADGLERAFETCRV LSKELGLLEEEVLPFSTGVILEPLPVEKITAALPQCIRSLEKNAWLKAAHSIMTTDTL PKICSKQLTLDGRKVSITGISKGAGMIRPNMATMLGFVATDAEIAPALLKELVKDIAN RSFNRITVDGDTSTNDSFIVIATGQSGIQVQDTHSPHYETIKAELTDVARELAQKIVR DAEGATKFITIQVEEAESCQTALKIAYAIAHSPLVKTAFYASDPNLGRILAAIGYAGV DDLNISSLRLWLDDVLVASGGGRHPDYLEEDGQRVMQQAEILVRVALGRGNVSETIYS CDFSHEYVSINADYRS MIM_RS22230 MSTSASTQTIVPDTTPGPGQSSQAWHDLHWLIDSPDLLAPATDL PLARWPALAQQEIHQWLAQEGHTPQTLTHDFHTRFRRLGLYAEALLHAALQHADSIEL LAHHSPIHINTNRARSATRQTIGELDYIWRDRSNQQVWHWELAVKFYLYVPQAHGLLD ADRFVGLQQRDTLARKTAKMRDQQLPLSAMPEVTQQLGLHVNHVAAYVKGWLFYPIQS GRCDDYVLAAAPAQAMLNPRHLRGWWLRYADFVTHLEQDTAPGKLRWRVLSRMQWLSP QQADVAQTLDATALLAYLQSWFCPKTTAHGPGPGLLVVALAPVDSTVPAISPDASATD VAERASAAAASETRQAPPAPSGQTYAEVHRGFVVPNAWVGTLL MIM_RS15590 MYAVIKTGGKQYRVAEGQKLKVEQIPADIGQEISLDQVLSVGEG ETLKVGAPLVEGAVVKAKVLAHGRHDKVKIFKMRRRKHYQRHQGHRQNYTQIVIEAIT A MIM_RS15595 MAQKKGGGSTRNGRDSESKRLGVKAFGGEVIPAGSIIVRQRGTR FHPGTNVGIGKDHTLYALVDGSVSFSHKGALNKHTVSVTKVD MIM_RS15600 MKFVDEATIEVIAGKGGNGAASFRREKFIPKGGPDGGDGGRGGS IYAVADRNINTLIDFRYARLHRAKNGENGRGSDQYGAAAADITLRVPVGTVIYDAETN EQLFDLNHHQMQVTLAAGGQGGMGNLHFKSSVNRAPRQFTYGKEGEQRKLRLELKVLA DVGLLGMPNAGKSTLIARISNAKPKIADYPFTTLHPNLGVVRTSPSRSFVVADIPGLI EGASEGAGLGHLFLRHLSRTRVLLHLVDVVGMDPDQDPVLAAADNVRAIAEELRKYDA DLYAKPRWLVLNKLDMVSDPQDIQQRLCRELQWDGPVFAISALSGDGTQALIWALQDY LDAEKGREMTEQDQAADTYVPEDPRFDASRHNLNDAE MIM_RS15605 MSDFVSSVATAKRIVVKVGSSLLTNDGQGLDPQAIGEWSSQIAA LRRQNKQIILVSSGAIAEGMARLKWKTRPKAMSELQAAAAVGQMGLIQAYESAFASHN MRAAQILLTHEDLADRLRYLNARTTIFKLLELGVIPIVNENDTVVTDEIRLGDNDTLG AVVSNLIEADALIILTDQRGLYDSDPRNNPDARFIHLATAGDPALQKMAGGAGSHIGT GGMLTKVLAAQRAASSGATTIIASGREERVLERLGLGEAIGTELRAAPSLRSARKQWM ADHLRLPGKLVLDEGACKALIDQGKSLLAVGVTDVIGEFERGDVVACTDSQHREIARG LTNYSAADTRRIMRHPTNEIESILGNCRDEELIHRNNMVLVQTSKHTASAES MIM_RS15610 MLFTTCNIFGLSSMATRKHHESLPDLRAAAKLGTFAERLHYLRK IYHGMNQNELALELGVSQSTIANYEMGNRKVSPSAQIVSRLAVFFGVNSDWLINGTLP ILPEKTFVPPEVAAREALFKPLTQKETAALIALNGKQRKELTAQIKSYLKNV MIM_RS15615 MSEIKAPAGQLSDNERQKGNSKYLRGTIAEDLKDPLTGGFRGDN FNLIRFHGMYEQDDRDIRAERVEQKLEPLKNVMLRCRMPGGVITPAQWLGIDEFAASH TMYGSIRITNRQTFQYHGVLKQNIRPVHQWLHQLGLDSIATAGDVNRNVLCTSNPVES KLHVQAWEWAKKISEHLLPKTQAYAEIWLDGEKVQSTDTDTLEPILGKSYLPRKFKTT VIIPPQNDVDLHANDLNFVAIEQDGNLIGFNVLIGGGLSMEHGNTATFPTTAYEFGFI PLEKTLDTAAAVVTAQRDLGDRTNRKAAKTRYTLLRVGLDAFKAEVEKRMGFAFEPIR PYEFTDRGDRIGWVHGIDKKWHLTLFIENGRVLDYPGRLLKTGLREIARIHKGDFRLT ANQNLIVANVKASDKKAIEKLARDHGLINDSVTVQRENSMACVALPTCPLAMAEAERF LPSFVDKLDAIMARHGLQDDFIVARVTGCPNGCGRAMLAEVGLVGKAVGRYNLHLGGN RIGTRIPRLYKENITPEQILEILDEQIGHWAAGRQEQEGFGDFLIRTGFIKPVVNPSV DFHDASKVPDAAVVV MIM_RS15620 MSYPPLSGLPINSDTLSELQKNLSGLNPLQTAWLSGYLWARSQQ MDASGAVPAGVAAAQATQEQASPVLVLSASQTGNARRVAQSLQDKLKAAGVASVLKSA GDYKSRQLAAERLVLIVASTQGDGEPPEEALSLHKALFGKKPPEVKSLQYAVLGLGDS SYPDFCQAGKDFDSRLEQLGAQRLFDRVDCDLDYQATADEWVEKTVAWLVEHESRNMA ANAASASVSALVNEPQVHAQIYTREKPYTATILTNQKITSRNSLKDVRHLEFDLGDSG IRYQPGDALGVWFRNDPALVDSILASTGVDGAEDVTLTDGKTLGIRYALIHHLEITQN TPAFVKGYAQITQDQALLDLAADSKALQDYIAETPIDVVLRRVSQTLTAQQLCDLLRP LTPRMYSIASAQEEVGDEVHITVALVEYEQDAVQYQGGASGYLNRRLEAGDEVAIFIE PSAHFRLPQDPQTPIIMIGAGTGIAPFRAFLQKRDAEDQEGGNWLIFGNQSFKDDFLY QLEWQQLAKSERLSHYSFAWSRDQQEKIYVQHKLLQEGKQLWQWLQKGAHLYVCGDAS RMAKDVEAALLEVISSEGGLSVDDADAYLDELRESHRYQRDVY MIM_RS15625 MQTFPLFADLAERPVLVIGGGTVAERKAHALLEAGARVHLAAPE LTPQLKLWTEQGKVLLRGQQFDSRWLDEVFLVVAATDDAAVNQTVSDAAEAARKLVNV VDNPQLCSYIVPSVIDRSPVQIAISSNGTAPVLIRQLRQQLETLIPQQFGNMARIAGR WRGKVKQQLDSLTERRRFWETLFSSRFASAAQSGDTAGAEALLQAQLAGGVPQHGEVT LVGAGPGDPGLLTLNALQAIQQADIVFHDALISDEIMTLIRRDAERVPVGKRGGHHSV PQEQINELLISHAKKGQRVVRLKGGDPFIFGRGGEEMQAITAHGIPCRVIPGITAALG ASAYAGIPLTHRDHSQSVLFVTGHTCRDENAIDWDTLARPRQTIVVYMGTVNAARITR ELTQRGRDAATPVAVVSHATRPTQKVHAGTLADLDALANQAAAPALFIIGEVATVQHE SLLSNVENMDSGMNTAIANTI MIM_RS15630 MSANPKFLAATAQVDSAAIQALPQSRKIYEQGSRPDIRVPFREI SQDDTPTLFGGESNPPLTVYDASGPYTDPTVQIDIRKGLPELRRAWIDERQDTEVLAG PSSVYGQERLADPALTAMRFELQRPPRRALSGRNVSQMHYARKGIITPEMEFVAIREN MRIEQYIESVRQSGPDGAKLAARILRQHPGQSFGASIPKKITPEFVRDEIARGRAIIP ANINHPEIEPMAIGRNFLVKINANIGNSALGSSINEEVEKMTWAIRWGGDTVMDLSTG KHIHETREWIIRNSPVPIGTVPIYQALEKVDGKAEELTWEIFRDTLIEQAEQGVDYFT IHAGVRLPFIPMTADRMTGIVSRGGSIMAKWCLAHHEESFLYTHFEDICDIMKAYDVS FSLGDGLRPGSGYDANDEAQFAELKTLGELTKVAWKHDVQVMIEGPGHVPMHLIKENM DLQLEHCDEAPFYTLGPLTTDIAPGYDHITSGIGAALIGWYGTAMLCYVTPKEHLGLP NKKDVKDGIITYKIAAHAADLAKGHPGSAIRDNALSKARFEFRWDDQFNLGLDPDTAK EFHDETLPKDSMKVAHFCSMCGPHFCSMKITQDVREYAQKQGVSADKALHQGMQEKSI EFMKKGAELYHKT MIM_RS15635 MHSYRRRRLTGWLAFLPIAVAAPALLVSRAVRAAPAEHVLTLNH HELSKPDFKAKVGDRIRIVNGADIAHSIYVTYEDGRIVSLDTQLPGTEKSFVIETAGT VLLRCWIHPVIRQELEVTVAE MIM_RS15640 MIKGEKPSGHKWPGLILLAALLAGVQGSELRNAHSAPQADAEAL RSLKQKYERPQAVPYPATNPYSQAKEELGRKLFFDTRLSRASRSCATCHDPGKRWSDG LVQPVGSEQQEFARKTPTLLNSAWLSALMWDGRADTLEQQAVMPITAEHEMNMPVELL VERINALADYRQPVQEAYGTVSQITVVHIQQALATFERTLVSPRTDLDRWIAGDQQAL SAQEQRGFAIYNGEARCSACHSTWRLTDDGFHDIGLASADRGRGQFTPPQVVGMQYAF KTPTLRELNDQGPYMHDGSIDSLEAVITHYEEGGIDRPSRSPEMKPFTLTEQERADLL AFLRAIGRNPDSQAIDGMKEN MIM_RS15645 MKKINKFDNGMEKLSRVAASKIGRRSFLGKLGAQLAGAALLPLL PVDRRGRLNNAHAETTGSLAREGWKPQDTNSQACDYWRHCSIDGNLCDCCGGSLTNCP PGSKLSPSSWVASCHNPGDGQSYLIAYRDCCGKQTCGRCNCVNTQGELPIYRPEFSND VVWCFGAEDDSMTYHCTISPIVGKAS MIM_RS15650 MNTTLLIASNVMLWLGFIGLAVLSMGLIRQIGLLHERSAPLGAM MLDHGPDIGEQSPVFKVDDFYGVPLTVGQALTQGRPSLLMFTGPTCPICAKLFPIVRS VAQREGADIVLVSDGNAADHRKFLAEHDMGDVRYVVSAEIGMKFQVAKIPYGVLLDEQ GMILAKGLCNTREHVESLFETVRLGHHSLQKYMREKMVQPADMAAQLH MIM_RS15655 MNLISNPLLFMLAKFFLALVLIAAALPKLRDKDEFHGVVANYRV LPQVLAYPFARMLPYLELFLAALLLINVVTAYAGVLVALLLASFAIAIGINLARGRTH IDCGCLRGAARGNGIGLYQLARPVVLAVLALYVAQAANAGVTATLGETVLALAAAAMT AILYTGADMLSSLSPASSNNS MIM_RS15660 MKTDEMVVKTGRDNLQNQVRLALITAFTSLALAGAATAAEPKIP TQLGKEAVSTLQEEPKILSAPPSNAKRVYVTDPGHFNVTSQVFSIDGENNKLLAMTDA GKLPHVMASHDGKFFAVANTLFARIARGQRNDYIDLVDAQTHDLIAEIDIPEGRFLSA TLERMATLSNDDKFLLFQQFSPSPAVGIVDVANKSFVKMVDVPDCYHLFPAANNTFYM HCRDGSLLKVGYDGKGEIKQENTKVFHPEDDYLLNNPSYSAKAGRLVWPSYEGNIYQA NLNADGATFAKVFNAFTEEERKQKWRPGGWMTVTYHRPSDTIYLLADQREKWTHKLPS RFVFAFEAATGKRISKIELGHEVDSIGISQDDKPVLYALSAIDRALYLFDPATGKQTG KVDELGKAPVMLTVPEL MIM_RS15665 MINTNQGATASSGLHPVESTERVLDCISFAQSQSWQARLLLIVL ALSAGAVIGTGLDLQASGSPAQALTLILWPLAFCGGLLSTWSPCGYSSLSLLRPQGSY SARSVLAWLPTILMHALGYLAGALLLGALLGGVGALLSAGSLLVPGAIALALLAFCYG AHQFDFLRMPYPQRRAQVPHDARFRFRSWVIGLLYGFALGTNYSTYVQTPILYIVTLA AALSGDIAFAIATVCVFNAGRFFTHVGQSIAGKRSSCTGFFGHISGKGGNR MIM_RS15670 MATPDQGRSVGRQVLPANSMSAVRLRNNDVLQQAQALPFWSQDY TQLSPGSFQGEVDSIATRGIQLFRESMTCSVDELANAPANAYVIGIAGKVTGDSHWGS VALAENALITLDKNAELIFRTSHQSEISVAVINTERLDDYAEQVLGVDLRCVFGKIRP VERLDQARADSMRRMFNDFFLHLPPIARITPNHAAWNHFEDEIMTECVQALASVKPLT QRATDIRIHRYLVNRVRERTLASPLCPPSIGELCRELNVSRRTLNHAFMRVLGITPIA YIRNIRLNRVRADLQTCKLSGNSIADIAIHWGFWHMSLFSRYYKGLFGELPSETRSRH A MIM_RS15675 MGQEKPSDTTRISEKRRDLLKTSVRAAGALGVTWLALDTAADAA SEAEPQALRPPGALDEAAFLSACVRCGLCVRACPYDILSLATLDGPAQVGTPYFIARD DPCRMCKDIPCAKACPTGALDSDMAHIEEADMGVAVLVGHETCLNYKGLNCSICYRVC PVRGEAITLETHQINGQQRIIPTVHSDACTGCGTCEKHCVIREAAIRVLPRKLGLGQE GRNPSGRL MIM_RS15680 MTTVMAGKSMKKKERTGWRSWRWLIARRTVQLLLLFAFMAGPWL GWRIAQGNFASSEWFGVLDLSDPYILLQSLLAGHAVSSVAIVGALLIVLFYLVVGGRS YCSWLCPVNIVTDAALWLRDRLGIKRDRAFNKQTRLVILGATLIASVTTSVIAWEIIN PVTILQRGLIFGMGLGWAVIAGVFLFDLLITRHGWCGYLCPVGAFYGTIGRFSRVRVR ATHRDACEQCGACLRKCPEPHVIGPALRGEANAFVISGDCINCGACIDHCPNDVFEMS FRPLTWPTTSRPDLDATTPP MIM_RS15685 MKSQFVCAMLLSASPFLCWAQSCEITVESGDTMRFSERSISVPK SCETFKVTLKHTGHMPKTAMGHNWVLGKSSEIDAIIKEGQAAGRENDFLKPDDERIIA KTALIGGGESADTTITASKLKAGESYTFICSYPGHWSMMRGTLKLEA MIM_RS15690 MSINTLDKTDRQILNLLQTNGRLSNQDLADKIALSPSPCLRRVK RLEEEGYIKGYTARVDADKIGRGLIAFVSIRLNKHSGSSHAPMQDFNRDVQLWPEVVE CHSMSGDMDYLLRVQVQDLAAFSAFAMNVLMQHPSVVDMRSSFALGKIKESGALQV MIM_RS15695 MSATTFTPWDNPMGTNGFEFVEYTAPDPVALGKVFETLGFKAIA RHRHKDVTLYRQGEINFLINAEKDSFAQRFARLHGPSICAIAFRVDDATKAYRRALEL GAWGFDSGSGPMELNIPAIKGIGDSLIYLVDRWKGKNGTGGIGDISIYDVDFVAIDPS TAEEDSNYKGAGLELIDHLTHNVHKGRMAEWAEFYERLFNFREVRYFDIEGKVTGVKS KAMTSPCGKIRIPINEEGTEEKGQIQEYLDMYRGEGIQHIALGTNTIYDTIENLRTRQ LRFLDTPDTYYELLDTRLPNHGEDVERLKKNRILLDGGPNNDLLLQIFTENQIGPIFF EIIQRKGNEGFGEGNFKALFESIELDQMRRGVVRAVE MIM_RS15700 MTNQTEIAVLGSGCFWCSEAVFQQLQGVISVQSGYSGGHVQNPS YEQVCGKDTGHIEVVQIEFDPAIISYQDLLDIFFDTHDPTTPDRQGNDVGPQYASAIF WQTDVQKETATQVINARQADFADPIVTKLLPAAPFWPAESYHDDYFIQHPNQSYCAFV ISPKVEKFKKRYSDKLKS MIM_RS15705 MAAVNITPARAQTLTDGPQLARAKICMGCHQVDSRRVGPPFVAV ADRYKAGGQPMVEYLANSIRHGGRGRWGAVPMPAQPQVTEEEATALAAWILSLASPAK MIM_RS15710 MIAYPQFNPIALEIGPLKIHWYGLMYVAAFALLWILGKYRIKKG MIRLTVSEFEDLIFYGVMGVVAGGRLGYVLFYQPSYYFANPLHIFSVWDGGMSFHGGL IGVLVVLFIYAYKKGMTFFEVADFIAPLVPPGLAMGRIGNFINGELWGRPSDLPWAMI FPQGGPIARHPSQLYEMLAEGVILFAVVWIFSSRKRWVGQTSGVFMTGYGLARFLVEY TREPDSFLGTLSLGLSMGQWLSLPMILAGIGIFIWATKRSLPPSTV MIM_RS15715 MARFFLEARMPRTFPHTLLSKATLIAMLTVGAVALPAVAVQAQP ATTSPAGATASEPTLALSASASETVAQDTVTLTLNKEVRGDNQVELSRSVNEAMNKVR DKAKADKDLEVRTGSYQVWFERDNNRPVQPAAAGTRAANAAGDGKGEDAGGTWVARGE LLITSKNMEKASQFAADVDEQMALDGIRFTLSREARETIEKRLLAATTKAFKTRAQEA VAALEFGGYRIKSLRLGDVGQPESYQPRMMAMSAAKAGPAIEAGKETVTLSMEGEIFL LPK MIM_RS15720 MERIDVSILGRDFSLACNPEEKQKLLSAVKLADQLMLKIKGSGG MTSASNERIAVMACIQMASDLLSVKSADEGFGGMQYGQFKSKIEELNALLDSGLNDLK KL MIM_RS15725 MLQDLDQVAVRIGQLVQLTKQLASEQESLATRLKQAEGGRAELQ SQLQQREGEFKSISERLANHETEVNGIREQAQAENVQLRQTLEGTTAELQSVKQQLAT AKNEANALKESARTAREKVERVLANLPGNASEGVN MIM_RS15730 MASITDKQYDQVPAKKVAFIGLGVMGFPMAGHLARAGHDVTVYN RTASKSADWVSEFGGNSAPTPAKAAEGADIVFCCVGNDNDLRSVTTGADGAFHGMKQN AVFVDHTTASADVARELAAAAREGQFNFIDAPVSGGQAGAVGGVLTIMCGGEPEVFER IKPVAGHFARAVTLLGEHGAGQLAKMVNQICIAGLVQGLSEAIAFGLRANLDMNAVLD VISKGAAQSWQMENRGKTMVEGKFDFGFAVDWMRKDLGLVLDEAKRNGALLPVTAVVD QFYAEVQQNGGQRFDTSSLITRLTPRK MIM_RS15740 MLASKFHLNTLKEAPAEAEIISHQLMTRAGMIRKHAGGIYTYMP LALKTLRKVENIVRDEMNRAGAIELLMPVVQPAELWMESGRWVQYGAELLRIKDRHQR DFVLQPTSEEVITDIARNEIHSWRQLPVNFYHIQTKFRDERRPRFGLMRGREFTMKDA YSFDRDEASAQASYDAMYNAYVNIFSRLGLTFRAVAADTGSIGGDRSHEFQVIADTGE DLIVYNPDSQYAANIELADAPCLLASRAAPAEALREVETPNASKCEIVAEQLNVSLEK TVKAVVFMTTPAEGPGQLWLLMVRGDHEVNEVKIGKLPAFKDGYRMATEEEIMEYFGS KPGFLGPVKTVKPIHMLADRTVANMADFICGANREDYHFAGVNWGRDLPEPEVADLRN VVEGDPATDAPGKLAIQRGIEVGHVFFLGDKYSEKLKATFLNEQGKPEPLQMGCYGIG ISRIMAAAIEQNHDDKGIIWPLAMAPFEVVICPIGWHKSEAVQQQAQQLYDTLRQDGV DVILDDRDVRPGVMFSEWELIGVPIRITIGDRGLKDGMVELQARSWPEARKVPVAEML TSIKSLYSELKNTL MIM_RS15745 MENYFHFPVRVYYEDTDAGGVVFYANYLKFFERARTEWLRHLGV SQTALAGSERLIFIVVNTQLRYRSPARLDDLLDINSRITKMGAASVHFEQVAERDGTR LVESQIQVCCVNADTFRPAPIPMPIRQLFPFVQD MIM_RS15750 MQPTTPEEMSIISLIINASLPVQIIMLILVIISVLSWTYIISKR IALKRARNQTRDFEDSFWKGGDLTTLHQSIAQNSEQEGPLARIFEAGMDEFLKARRNG VKEVNALLEGPNRAMRATYQRELDAMDSNLNFLASAGSVSPYIGLLGTVWGIMHSFIG LSGTAQATLAAVAPGIAEALIATAIGLFAAIPAVLAYNHFSNDIDKLASRFDSFIDEF LNILQRQVR MIM_RS15755 MARIQNRRGRGRRLKNEMNVVPYIDVMLVLLVIFMVTAPMITPG LINLPSVGQASAVPATPVEVEMSENGEIKVRQRTAGKNFQTIDKANIVEEVKALVEPD SPVVIAADGKVPYEEVMKVMDTLRSSGLTRLGLLVNQKSTDGKK MIM_RS15760 MKHFENPNEFYDEEDNRKGLIGAIILHALLVIALIAGLFQATEA PTGPVQLELWTEGENQVLQPPAQTRTPDPAEEDTQNDEEEAASPPPPPSRAAAAAAAA AAAQRARAQQADPTPSDQEDPDIALEKKRKEEAQKKAKELADAKARAQQEAREAAKAQ EQAKREAEQEAKAEAEAQAREQAKAEAQAKAEAQAKAAAQAKARADAKAKADAKARAE AQAREEAEAKEKAQEEAAAKAKAAADAKAKAAAEAKAKAAAEAKEKAAAKAKADARKA AQAKAKAESKGDALRAAMRGDVSSTAGIRGGQSDRNQVGGGGGNSGYARRVQQCVEPR LRFSGNQRLQVTYRVDFDSSFTPTGAKILVRSGNPAFDRAVQAALMACKPFPKPPGGD SYVTGPYRYNPN MIM_RS15765 MTASTKKGTAAIPARSVQRYVGILMTWALFVAVMFYSIAQAQVN VELRGSGSANKFPIVVANFEGPNGAEIANIIRADLTRSGQFDVKDLGGVTLGANGTPD WAAISQTGGTTVAYGTVNGSSVDYRLADAAQQAQLDAQNISEAQMRRLAHKVADAIYE KTTGVRGIFATRIAYTTGRQLVVADADGEGRKVVASSSSSLISPAWSPDGTRLAYVSF EGGKPIVYVQNLSTGGRTIAANFKGNNSAPAWSPNGSQLAVALSQGGISQIYLVSAGG GTSQQRLTNSAEIDTEPFFFPNGSGIVFTSDRGGSAQVYRTGLGGGAASRLTFSGSQN VSPKISPDGAKLVYSSLRGGSYVIAISSLGSGSDQVLTSGGNDLSPSFAPNGMQVLYA AGGGLGIVNADGSFQASIPSSGNVTGAAWGPFTK MIM_RS15770 MGSRIAKTLAIASLAATLAACSSTPVDGTAGAGTGTSNAVMDPF NPNSPLAQQRSVYFAYDSYTVEQQYQPVVQMHAQYLAANANQRVRIEGNTDARGSAEY NLALGQRRSVAVANQLTQQGVSPNQIEAVSFGKERPKAEGSTEEAYAENRRADINYQR MIM_RS15775 MKLKKSHSLLIASSALVLSLGANHAYAFEDEDARRAILDLRSQL RQAQQNNMDLNNKINNLQQQISQLRGSIETANHQTRMAQQSSQQDANPDVPPSQQVGD PNEQQNYDNALDLFRQGNYAEASTALNRFAQQYPNSPLTPSARFYEGSSRYANKDFNG SIQGLQAMVAAYPRDQKAGDALLVIAGSQVELNNIAGAKATLQRVVNEYPNTPAADTA RNRLELFR MIM_RS15780 MTYQDVGESPTCLLLVHGSLCDSRFWRWQLPDLSAAVRVVAPSL PAYIPAPSAPSGADAQFSISQHTTDMFALMDHLGINHFAVLGHSRGGRIAAEMACRRP DRVTHLLLADPGLGSVLPEDSMPALPYRDLALAAYEQGNTDAALEIFIDGVSGAGTWK RMVSWFKAMTRDQAGTLPLIIREPLYELNPSRINEYGIPVTLIGGSDSPPPFPAIIEA LQHQFDHFKSHTLTPASHGLNLALPHAFNQIVIQELIPKAP MIM_RS15785 MHSPAPRIRRSVQRKTRQALRLSKKSLQLILMLGGAALVALVSI GFAMMADYALEKNREWTRAYPYLIWLIMPLAFVFLRWCVLRFAPYSAGSGIPQVIASL SLHNDSPGRLRLVSLAQAIWKIPLTFLGLLAGASIGREGPSVQIGAAAMLAWGQWCQR IGLPLKGFNTREWIAAGAAGGLAAAFNAPLSGVIFAIEEIGKGLDLRWQRLVLLGVLA AGFIVVALSGDNPYFGTFHSSPLQEKMLLWAVICAGVCGVAGGIFARLLSKGLAGCMP AGLRHHVRNHPLWVAAVMGLVVAFLGYLTAGGVFGTSYDVAKNALMMLPQDSEHFSSA KLLATVASYWAGIPGGIFTPALTTGAGIGVELAHSFNMPQAQSVFVLICMAAFLAAAT QSPVTASVIVMEMSGSQAMLFWMLLASLIATVVSRQICPQAFYHFSAGRFRQLALSED ARQTAAPARTGY MIM_RS15790 MKKLVLAGAVLGATVVSLLGTPALAHHQGSSHDGHHAMHAGAER APSTVKGSDKLRVQDCWIRLLPKVAPSGGFFVLHNEDKAEPVVLKGLHTDAFGMTMLH ETTEKNGMSAMAMVPDVTIEPGQTLSFKPGSYHAMLEKPEAGLKAGQEITVNFELGTG KKIPVQCVLKSPSARTFSDQ MIM_RS15795 MYTLHIANKNYSSWSLRPWILLRQLDIAFHEEMHPFQADIQAQR KNYLAFSPSAKVPVLQDGQTIVWDSLAIAEYLAEAHAGVWPEDRAARAWARSASSEMH AGFPHLRERCTMNCAVRATPKTVTAELQQDIERVNTLFNQGLARFGGPFLGGAAFTAV DAMYAPVVFRIQTYDLPMQGAAAEYVSRMLALPGMQQWYAQALQERDTDDAHEQDVVR YSDIIGDERQQ MIM_RS15800 MSKKILMLVGDFAEDYETMVPFQFLLGVGYEVHAVCPDKKVGDK IATAIHDFEGDQTYSEKRGHNFAINYDFSQVNTGDYVGLVIPGGRAPEYLRNTPRVLE IVREFDAAQKPIAAVCHGAQILAAADVIKGKKCSAYPACAAEVTLAGGQYQDIAVDSA VTDGHLVTAPAWPAHPAWMSQFIKALGATITL MIM_RS15805 MNFSSLFGWLHDNQALMAMLHDHWSWGICLVALILFLETGLVVL PFLPGDSLLFAVGAFMGISGIPPFWYMVMLFAAAVVGDYVNYSIGRSPLGQTLVRKGW VKQNHIEKTHAYFEKYGGSTITLARFIPIVRTIAPFLAGLSGMDRRHFALYNVLGGFL WIFLLVMAGYFLGRITWVQENLSLFTLGIVIISVLPMAWHVFKLWKESRQEKPAGK MIM_RS15810 MSALLKASLASLAIAAAIPGITHAATLDTVKQRGSVVCGATTGF AGFSAPDAKGQWQGLDVDLCKSIAAAVFGDASKFKIVPLNSQQRFTALQSGEVDVLTR NTTVTQQRDTALGLIAAGVNFYDGQGFLVSKKLGVKSAKELNGATICLQTGTSNENTL ADWARANKVEYKPVVFDQFNEVVNAFATNRCDVFSTDASGLASIRISKLSSPDDYEVL PEIISKEPLGPFVRQGDDAWLNIVKWVFQATVNAEELGVTTANVDEQLKSTNPNIQRL LGVTPGAGKNLGLDEKWAYNVIKQVGNYGESFERNVGQGSPLKIKRGLNALWTDGGLQ YGLPIR MIM_RS15815 MIQIENAGFQTSSKTLLHPLTTQFERGKFYGLIGHNGSGKSTLL KLLAREHSPSQGAILLDNTRINTLSNREYARRLAYLPQYTPVIPDMSARELVELGRYS WNSIWRNNNPDNGSAVARAIELTDTGAFMPALLDSLSGGERQRVWIAMLLAQNTPYIL LDEPLAALDLKHQLEVMQLLQRLAHQENQCVIAVIHDINLATRYCDQLLALRAGRLIY AEPSAALLDQQKLRHIYDVELQLISHPVHPGVQLAFN MIM_RS15820 MTISIAFRCCILLGACLMANSALATTAASQTNPANEAAPSASAP PPLAKKAPQRIAVLDWTIAETMAAMEIFPAAIAEKNSYQVWSQSPAMPASTLDLGMRA QPNPDRLIRLAPDLILASQDYAFVKGLLERIGPTTLLDVYTPGQDIYENLRALAMRIG DITGHADRAARYIAQTDKALETISHELEPHRGQPVAIIQFIDARNVRIYGKPSLFSTA LEKIGITNAWTAAVNQWGFQSADLTHLAALPKDTTLFIIKPYPADLPGKLQDNVIWQA LPAVRNNRIILADPIWTLGGLSTVLRFAQTVRDGLQEQDANAGSNAAFRFPGYQQ MIM_RS15825 MKYTFSARILALFSGAIAIALIAAVMIVAAPGHHAGSDAAMQQL VFWHGILPRIAMALLCGTCLGLSGFILQQVTQNTLAAPDTLAISSGAQFALLMGMMYF PQAQLFDSTLLAMIGSLVSSLLMLLFIRRAGSAGNTMILIGLMLTLLLTTLSNMYLIL NPDQLYGLIIWGAGSLSQDSWGPALRTGWQTLLCLPLSWLLLPSLRAFALQGTLAKSV GVNVRLVRVTGFILVSFLIAIVVSSVGVIAFVGLAAPVIARSLGVTDIRKQWAYAMLF GALLLSITDSALQLATYRWPNSIMTGTVTALLGVPVLLLLLMKQRSHTRQEVAPLHAG LRLPGGQLRKRMLLLMALAIGCFVLLPHITHNVAGNWTLVAHIDPTIALFTENRTLTA ILFGIAIACAGTLIQNMTNNSMASPEFLGVTSGTGLGLIGLMMLVPAPSPLLYLVCGI GGAFASLLFVMLINARNQFQPEKILLTGMALTQLFSSLLTLTLASGMQQVQQLLIWIS GDTYMSLLQNDLPVRYGIVALLILLACVTRRPLSLLRLGDPVARATGQRPMLTRTLLF LLIAALTTLASITIGPTSFVGLIAPHLARSVGFHGLRTQMLASSLIAIVIMLCADLLG RHIMFPYEVPTGLIATFIGAVYFLWQFTRKQKV MIM_RS15830 MDTLHPFVLFDDAVTGQATLLEAFVRADTITAAQRTSLDSVLAD GWEQALHPAIFIPYEFGMADAANAAQAGDTALQIHWFSQATTLSDEHIDAWLTQQADG HDPNRQPAGLCNLQLQPAFEDYRQQLDRLHQAITRGDFYQINYTARQYFETYGSPVSL YRALRSRQPVPYGVLAWLPHQRMAPWTVCLSPELFIRIHDNGLIGAEPMKGTLACQPE DDIDALARLLRNDSKNRAENLMIVDLLRNDLSMLAQPNGVQVQELFKVTRFGQVLQMT TPIQCQVRPHITMADVIGALFPCGSITGAPKKMSMHYIAESEIAPRHLYTGSIGYLQP SDNTLGTKGCFNVAIRTITLQPMREQALACTGVMGVGGGIVYDSTAQSEYEEIHWKAR FLQTIPADFALFETMLVEQSQCALLGRHIERLLASARGLGFVADQRALAEQLQQFIAA QPPDASLRVRAVLTQNGACETRAFPLDTPATQAGHGLPSVSLAPRLLPVCDPLRRFKT TWRKHYDNDLQQAAVSGDFDVLYFNHDGQLLEGARTSVFIHYLGAWLTPALSLDILPG VMRAQVLANPAQYLQTDHVTEGPITLPMLQAADAIVVTNALRGCIPVRLKSA MIM_RS15835 MARSMSSIFLKTARKIARQQSNALIKATQSNMKLAASAFNHNPL FPPSPVAVLRPAKKPVPPPFRHGSWTERVFKVPTTISLFFRRLSYFLYVPPSREMKGS AMLVMLHGCKQTATEFAQGTRMNQLAAKANMVVVYPQQSRQRQTLRCWRWYLPDQQHG YAEADAIAALVRNLIQRHKLDKTRIYLAGMSAGAGMSSLLALRHPQLFAAVALHSGPV MGNASSLSSGLQTMRRGTLNDPLTALKSANDTLTASEDYLPALILQGQLDRVVSANNG EQLARQFAWLNGFDEQAQPVEKQLREQTSREYTQFDFKRARKTIVRLCQLRKVGHAWS GGDSRLDFNTPDGPNASSLIMQFFRMHQRGEKI MIM_RS15840 MNTERLEYLRKLPKAELHLHIEGTLEPELIFQLAQRNGVALPYP SIQALRDAYQFTDLQSFLDLYYAGAAVLITEADFYDMTMAYLARIAQEGVVHTEIMFD PQTHTERGVPIATVFAGIARALREGREIWGMTSCMIMSFLRHLSEQAAFDTLEQALPL REQYRDLWLGIGLDSGEQGNPPEKFERVYARCRELGFYLVAHAGEEGPAAYVSSALDR LKVMRIDHGVRSEEDPLLLQTLAARKIPLTVCPLSNLKLKVVDDMREHNLKRLLDQGL CVTINSDDPAYFGGYLLDNYMAVAEALDLSDRELAILAENSMQARFPVFLTQTS MIM_RS22605 MKSGDMTQQENRRTRSTPGKYSRWLWFVGLWLAGTTALLLAAYL LRGIMSAVGMST MIM_RS15845 MGIDISLLWAVIILFGIMMYVVMDGFDLGIGILFPLMPRREDRD VMMNTVAPVWDGNETWLVLGGAGLMAAFPLAYAVILSAFAMPLILMLLALIFRGVAFE FRFRASDARRRWWDAAFIGGSVLATFFQGVTLGAYIDGITVVNRAYAGGALDWLTPFS VFTGIGLVVAYALLGCTWLVLKTHGALQMRMIELARPLTLALLVIIGILSIWTPLNNT LIYARWFTLPNLFWFAPVPILVILTGWGLIRSLNHNPHAEPFVFTLCMIFLGYSGLGI SVWPNIIPPGISIWDAAGPPESQGFALVGALFIIPFILMYTSWAYYVFRGKVTADAGY H MIM_RS15850 MELDTLTLARIQFGFTISFHIIFPAITIGLASYMAVLEGLWLKT ANTVYRDLYHFWLRVFAINFGMGVVSGLVMAYQFGTNWSYFSDFAGGVTGPLLTYEVL TAFFLEAGFLGVMMFGWHRVGPRLHWCSTILVAIGTLISATWILASNSWMQTPQGYEI INNRVVPVDWLAVIFNPSFPYRLIHMVIAAFLATALIVGAVAAWHMLRRNVSYSVRKM YSMAMWMLLVVAPIQIVVGDFHGLNTLEHQPAKLAAMEGHWENGPAGEGVPLVLFGWP DMEREETRFKIEVPRLGSLILTHSLDGNIPALKEFAPEDRPNSTIVFWSFRIMVGLGM LMLLLGVWALYARWKDRLYESPLLHRFSVCMGLSGLIAMLAGWFTTEIGRQPWIVYNV MRTADAVTPHSAVELGITLILFIVVYFVLFGAGIIYMLHIMAQGPQPTPHLEIDGGGP GEGRTPARPLSAATDANEELHTSTPGDRHGN MIM_RS15855 MRTGFYLLLTACLSLNAFTVQARQWVASWQASPQPVWTQDFAFP TGIPQMIQDTTFIQNVMISRGGERLRLVLSNRYGTSPLTVEQTTVSRRKGPAGWMGTP TTITFNGRPAVTIAPGQQLVSDPLNMPVADLQQLQIAHYVNAQTALETFHWDGRQQAV FSKGNQTQSPMSAAKNLLQRTQARVFLSRIDTESATSGCAVAVLGDSITDGNGVPIDS NTRVTDYMADRLRASSMGVINAGISGARLLGDKMGEHALARLPQDVIDAPGVTTLVLF IGINDISWPGTAFAPKQSMPGLGSLQTEYRKVVAFAKSKGLRVIGVTLTPFRGALAGT PLDNYYNDQKDHLRVAVNAWIRGAGVFDAVIDADRLLQDPQDITKLDARYDSGDHLHP GPAGNAVLAQAIAGQIRACQ MIM_RS15860 MELFVATIEAGSFSLAARHFCMSPSAVSKAVARLEARLRVTLLN RSTRKLSLTPAGESFFHRSKCLLAELDDIEAATTQDTMPAGPLRINTNVPFGELILLP LIPLFQAQFPDITLNIDLTDEVVDMYDARVDVAIRAGQLKDSDLYARKLGQSPRVIVA TPAYLQKHGVPNEPEDLTRYHKLDLNLSRSFRGWRMDKNGQALQVSIDAQIKVNNGMS LKHLAMQGAGLARLTRFIVEKELQSGALVQVLEQYNSQESESFYAVFMGKRDLMPRRV AVFLDFLAEHTVLR MIM_RS15865 MPIAVYALMAGAFGIGVTEFVIMGLLLEVGNDLMIPVQTAGTLI SGYALGVVVGAPIFTIATSRLPHKTTLLVLMVIFILGNAACALAPNYWFLLFARVLTS FAHGTFFGVGSVVATRLVAKDKQASAIAVMFTGLTAANILGVPFGTFLGQMYGWRATF WAVTLIGVLSFFVIRHYVPANTRAQGSAQDWRASVRSLASSSVIAGLMTTVFGFAGVF AVFTYIAPLLTQISGFDKTALSPILVVFGVGLVIGNLLGGKLADKYLKTTVILSLLAL SVVLLLMPWAFQSQITAVIAVGLFGVAAFSTVAPLQMWVLSKITNADQSLVSSLNIAA FNLGNALGAWAGGMVIAQEGGLPWIPYAAALLPIASLLIAVVAIRREKAPLMPVACAQ MIM_RS15870 MDNHPSHLKNPFPALRRLNLSLLLTFDSLMQTLSVTETALRLHK SQPAISRELARLRLLLDDPLFVVVKKRLVPTERATDLYHASHQALTTLEMAAGSGQPF SPAALSGVISIGAAAHIELLLAAPLTLALQQAAPGLTVRFQPVHGDFAPDDLDSEKMD LAIGLFQTPGARFRHKMLFEDERVCVVSSAHPLARRDELTLTDLAQVKWLAFSHMYGR QTNFTRALDDIDCDMPFMAYVSNFGLAPHFLLETDYATTMPKRIARKYQQYYELTQIR LPEVLRQANMVMVWSEKNEYSQLNRWLRDTTDRIIAGLPDT MIM_RS15875 MRQNTGKTIITIATSLAALTLSVNQALAESFPARTITIVVPFPA GGTPDILARIMGEKASAQLKQPIVVENKAGAGGSIGVQAVARSKNDGYTLVMCAYGCA VAPSLYKPAPYNIETQFAPVVMVGTVPSVLVTNPKKIPAKTVQNFVSYAKAHPGKINA ASSGIGGSAHIGIEMLKREAGIDIAHIPYKGAGQVAGDLLGGQVDMYFDNLPASLASI KAGRLNALAVASKERSVAIPDVPTFAEAGYPEMLITPWFGLLAPAGTPPENINTLNAA FNAAFKDPEIQKKFTDLGVDIAGGTSQALSDFITSETSKIATLIKENNISAK MIM_RS15880 MNEVTKKAAIGLRDMGSFHIGGRSVQLRDQPEYERVMSAGGEPV KINLNGTYSIEQMYVQYFLAEHPNGKSPLVLWHGGGMTGAAWETTPDGREGWVNYFIR HGWDVYVCDSVERGRSGFAPWPQIWPEKPVCQTVNDVYSRFRIGDRADSYDRDPTRRT AYPNTRFPLHAFDQFAKQMVPRWTHTNEAILSAFEALLNRLGVVNILCHSQAGPLALQ LAARHPARVRTLVAIEPAGIPDKGLACYQTPTLMVLGDNMETDSRWQLLREKILTFCS STPGTTVLSLPEAGIRGNSHVMMMDDNNQAIAELVDGWLKRRIT MIM_RS15885 MDTIQNQYEVVYHSDETTRLREDLALALRAAAFHELSEGVCNHF SAEVPACADWFLLNPRGFQWSEIQAEDIVMVDADGNKLWGKHEIEPTALFIHAAVHRI ARKKCVLHTHMPYATALTLTENGGLDPLLSQNAMRYYNRIAIDRTYNGLALDHAEGER IAHAMNGADVAFLTNHGPIVCGESVAYAYDDLYYLERAARVEVLAHSSGLPLKPVDEE KAALAAAQIQQERLQSTLFFESLRRLL MIM_RS15890 MKITLHYIYDPLCGWCYAAEPLLEQVLASPVCRQIAFEMHAGGL FQRMTLPQSKRSMIRQADARIAGMTGQHFGPAYLDGLLERDDTVYDSLPPIAAILAAG AISAGSEPAMLQAIQYAHYREGRAIVQEEVLADLAQSLQLDRDLFISTYQELLNGDIH AHLDRTLTLMHYAGAQGFPAFVIQKDDVLEKLAHERYYGDASGFAALVEGKITATQG MIM_RS15895 MNSIYTYLYLAGAIVMEVIATSFLKSSESFTRLVPSIITVAGYA AAFYLLSLSLRTMPTGIAYAIWSGAGIVLISLISWIWFGQALDTAALLGIGLIIAGVI VINVFSGSVSH MIM_RS15900 MSLTPDDIQSLYERYGASLYDGEAVTQLEHALQAACLAEADNAP DSLVAAALLHDLGHILEARNQKRDNAFPDIDHRHQLAAVPFLASGFPDSVIEPVKMHV DAKRCLCTIDPGYFSTLSPASVHSLGLQGGPFSQAQVEQFRQRPYAEDALRLRRWDDL AKVPQRTTPDLAHFMRYVTKLYQPVAPGM MIM_RS15905 MACASAEHEREVLYSLVSRRRTVRQFTDAPVEVRALMRILACAQ GVSGTDGKRGAPSAHALYPLRLTVIARRVQGLQPGTYTFDPQRAGLDRVAPGPTPGSL LAASLADDLWLETAPVVIVISADHDLALRHFADQQPDGLRGARYVDVEAGAVAQNLYL AALVEDLGGVLVMGVDDEALARALTLPAANKPVALFCLGQDQRS MIM_RS15910 MTTQLNQPSDATRMAMSQGMLQMSRAYRAAADQMLAELGLSQAT AWPIIIIKRLGEGVRQKDVAEELGIEAPSLVRLLDQLEAGGLAIRKLDPEDGRSKTLY LTDAGKRIANDIDKLLLSFRRTIFNGVSKDDADAFLRVLDTIRNNTSATGRSCTKLSG NRK MIM_RS15915 MKLFTRDETLFSLKCYISAMMALYISYSIGLRNPFWAMMTAYVV TTQPWAGSIRSKALYRLGGTILGSAAAIAIIPNLNQSPLLTTLAMSLWVGGCLYISLL DRTPRSYVFMLAGYTAALIGFPAVDDPIGLFDKGISRVEEISLGIMCAALVHTLILPR SIAPVVMNGLDKTLADARTWIVSTLRCAAPEEDRQNRRKLANDITQLRLTASHIPFDT SNIRWSSHLLRALLNRIISLTPVISSVEDRINALQLAGQPISPATQEVMKNISDWIES GSQPSPGQLQTMHSAIDAITPAIESDLQWHTLLSASLATRLHELVNTYAKCVQIRIDL DAGIQSASDDAGASRRKPDLHIDRGIALQSALAAAIAIGICCVFWIITAWPQGGTAAM MAAVFSCFFSTLDNPAAPMRVFLRYTIYSIPVSAFYLLVALPSIHTFEMLAMVIFPVV FVLSALAIRPAYALQAMAMLFGVLGTFALMDVNQATMDIYLNSITGQLAGTAVAALVA SLFRTISAERAVVRIRNANRQDLATLASARRGQITPHLTSRMLDRVGLLQTRLPAESR EASPGTPDPLLALRVGNDIAVLQSALQSLPRAGQSVRRMLDSLAAFLHKPVHNPVQGP GEDLLQQLDDALTTVLQAPAIGSSHHRAVVAMVGLRRGLFPQTAHYPVTPTTREVRS MIM_RS15920 MIGEIDVQGLYIPWLLVLALITYVIAKAVSTLLSRLGFYRLVWH PALFDLGLYIILLFAVQRTFPLILKLLMV MIM_RS15925 MKLPTSRPLAAFARFLLTMLVLALAIWAGWMLWMHYEVEPWTRD GRVKANVVQVAPDVSGLVMNVAVHDNQDVKAGELLFEIDRARYQLAYDQAQAVVRADI AAQDQALRDVKRNRSLGKLVAAETLEQSRMHLQQAQAALAQAKVQLASAELNLTRSRV YAVTDGRITNLSLRVGDYVTVGKPVLALIDSASFYVEGYFEEGKLGNIHLNDPAAVTL MGSSAIIRGHVQSIALGIADRDRSIGSDLLPNVNPVFNWIRLAQRVPVRIAIDKKDAQ TTLVAGQTATVSIEQSDTDRP MIM_RS15930 MNYRFSTLTFLSLLVAGCTVVGPDYQTPADAVIKRPSAQGTFVG AQAGKVYSDAPLPAHWWRLNHDPVLDQLIDKALNTNANLRAAQANLASARAAVAGAQA QQSPVIDVNAATKYGHSSGLQQLQPDLRPPDRWSYSSGLNIAYQVDLFGQIHRAIEAS QQDEQAATATYEAARVTVAAETARAYAAVCAGGMQLASAQKSVRIQQESSDIANQLWQ AGRGTQLDVTRARGQVQQLRADLPTLKAQQQVALFRLATLTGEAPSAMPASLFKCATP PRFTGTIPVGNGQQLLRRRPDIRAAERKLAAATARIGVAIGQLYPTITLGLATGSAGP MSMLGNKSTTSLSIGPLISWTLPNTGAAQAAIAQARATADAEYAHFDATVLNALQETE SALVIYARQLERHAALKAARDTAAQAASQASALYQAGKTDYLPVLDAQRTLASADSAL AASLAQLADMQIDVFLALGGGWE MIM_RS15935 MSLADYQRKRRFDRTPEPQENAIGGGRPVFVVQLHHASHRHYDF RLQMAGVLKSWAVPKGPSLDPSVKRLAVEVEDHPVSYARFEGDIPKGEYGGGHVRIFD SGIWSTSEDAEKQLAKGHLTFELFGDILQGGWHLIRSHRRTSQRQWLLIKQDDAFASN READDFVDEAAQRGTHGAMNTRQTYAGKRPATGKVTKGITSKGVTSKGVTSKVTASKG ATSKGATSKGATSKGAASKGAASKGAASKASASKAVTTTTDANRRRNPATTTWRAQLD AFKGATRQTLLHKPFAPQLCEPADKAPVGDDWLHEVKWDGYRLLCTIVDGVPALWSRN GLDWTARLPDVARDMALLGLQQAAFDGELVVLNGVRSDFSALQATLSGEQQAPLSYLL FDLIHLEGLDLCDVPLQDRKALLQTLLQDAPSRLRYSSHLVGSGPDVFKQVTAEKLEG IISKRINAPYRAGRSRSWQKIKMELSDEFAIAGFIEPKGSRTGIGSLLLAVPQGRTGW RLAGRVGTGMNDAMLRQLSAMLRPQAVTAPTVTVADIDSDLRRAKWVRPRQVVEVFYR GYSSQGLLRHTAFRALREDKSVADLRGSPAQEEPMKKEIVHISSPDRLVYPELGVSKQ DVADYYERVMDWLLPGLIGRPLAVLRCPDGTQNACFFQKHHTAGMDVSTVNLQEEQGG RQNYLMVRDKTDVMQLVQFNALEFHPWGAQADSPDHCDRLVFDLDPSAEVPWNKVKQA ARQVRDLLAQLQLQSFVRTTGGKGLHVVAPLNPPAPWDDARQFARAFAESLAGAHPDT YIATASKKRRKGLIFVDYLRNGRGATSVCSYSLRARPGAAVATPLRWEELGRVKSSDA FTIRNVLQRLARLADDPWQALTRIRQSLPDLAE MIM_RS15940 MARPIWNGTISFGLLNIPVALMPGERRIDLHFRMLDSRDNAPVR YERVNADTGDEVPWKDIVKAFEYSKGNYVVLEPDDIRDAAPQSKESVDVEAFVDASAI GPAYFEKPYVLVPGKKAEKGYVLLRETLRDAGKIGIARVVIRTREYLCAVMPQGDALM LILLRYPQELIDVQDYRIPEGKLSEYRISRQEMDMASQLISSMEVDWQPDQYKDEFRQ RLTDVIKKRMKANKVSHRPDDDLDEAAVPENAATNVVDFMSLLKQSLATNKRTPPKSA SSRSAAAGSDSTADSKSKKAPAKATQASAPRASKAGNGKASSPKANHGKTNKDKAGSG KATGKSAATTGKAARAASKTSSKTTTRKSARSTGTASGSRKRATGTKKAA MIM_RS15945 MDITIYHNTRCGTSRTTLALIRNTGVEPNVIEYLHNPPSREELV AVIEKAGISVREAVREKETLFKELGLDDPAVSDERLLDAMIEYPILINRPFVVTPKGA RLCRPAELVLDILPLPQKGAVNRADGTPLIDALGQKVTQA MIM_RS15950 MKLETLAIHAGYSPEPTTKAVAVPIYQTSSYAFDNTQHGADLFD LKVAGNIYTRIMNPTNAVLEERVAALEGGIAALAVASGMAAITYAIQTITQSGDNIVS VSKLYGGTYNLFAHAFPRQAIEVRMAPHDDIAALEALIDDKTKAVFCESIGNPAGNLI DLRALADAAHRHGVPLIVDNTVATPALCRPFEHGADIVVHSLTKYMGGHGTTIAGAIV DSGQFPWAEHKERFAVLNEPDPAYHGVVYTEAFAEAAFIGRCRVGPLRNTGAALAPLA AFQILQGIETLALRVERHCENALKVAQFLQQHEQVSWVKYAGLPDHPEHALALQYMGG KPSAILCFGVKGGAEAGARFIDALQLITRLVNIGDAKSLACHPATTTHRQLNDEELEK AGVSQDMVRLSVGIEHIDDILADLDQALQHSKG MIM_RS15955 MKKSGFSPDTATHLRRPPFETTTLPAPIVFRAVSVQADTVYPRH FHHWGEFVYSFSGIMEIELAHEHYLAPPQYGLWLPPRTEHIAFNRLAGIHCSLYVEAA LCQALPGVTCALTVSPFMRALLDELGRKPPAIVATQQEQRLLQVLVDQLELADCAGTY LPTSDDPLLKPVLLALQANPGDTRTIAEFAAVSHTTERTLMRRCQRDLGMSFVEWRQR LKVLASLARLDRGETVEAIGLDLGYSSASAFISMFRRLMGTTPDEYRKGRRTQGARVA AGQAGRL MIM_RS15960 MEHRRTIDRKATGLMLLTCAIWGLQQVVLKGAAPDVAPVFQIAL RSGISAIMVYVLIYARGDRIVLSDGTWKPGIAAGLLFALEFLLVAEGLHHTTASHMVI FLYTAPVFAALGLYWKVPDERLNRVQWLGIAIAFAGIVVTFTGRSEAAAAGGTNPLLG DLLGLCAAMAWGATTVVIRTTRLNSCPSTHTLLYQLIGGFVVLIVAAILMDQTYFNPT PRAFAAMTFQILVVSFGSLLLWFWLLRQYLASRLGVLSFMTPLFGIAFGVWLLNEPLE PNFVAGALMVLLGILLVSGYEWIQQIVARRRKLSHL MIM_RS15965 MSLEFLLTSLIVVISPGTGAIITIAAGLSRGYRAAIVSAFGCTL GVLPHMFAAITGLAALLHTSALAFEIVRYAGVAYLLYMALTTLREHGALKIETGTPPR SNMDVIRSAITVNLLNPKLSIFFFAFLPQFVGNPAGSPLPIMLELSAVFMAMTFVVFT AYGVFAANVRRHVISRPSVMNWLRRSFAAAFVALSAKLALTDR MIM_RS15970 MSRNRIIMYPPSKDTAPIRFGFLLLPHFTLTAFSGLLDILRLAG DEGDYSQPVRCTWHVIDETLAPVSSSSAIQVVPSEKLGDPSRFDYLVVVGGLLHTGLP LSAAQKRFIQQAADSNVNLVGVCTGVFALMQAGVMQDHRICVSWFHYWDFIEQFPHAD PNLIVADRLYVCDRRRITCSGGRASIDVAADILKRHMDDAIVQKALRILQVDHSHSHT APQPLPPGIAPDTPPVVRRAMLLMEQHMGQALTLDMLAGKLNISVRQLERLFKQSSGM SPQAYSRGVRLRMAAWMLTHSTKTIAAIASACGFADASHMGREFRGTFDMSPGQWRSR AQLPAALLATQTSDPIDYVSEVFPGRQDFH MIM_RS15975 MRNRYSIFSLIRNGLSHNEKWGQAWHSPEPKNEYDVVIVGAGGH GLATAYYLAKVHGIHNIAVVEKGWLGGGNTARNTTIVRSNYLWDESARLYEKAMKLWE GLSRELNYNVMFSQRGVMNLAHTLQEVRDTQRRINANLYNGVDGQWLDREQVRQLEPL INLDSRYPVLGASFQPRGGVARHDAVAWGFARAADQLGVDIIQNCPVTNIHKKDGVVL GVETGKGFIKARKVAVVAAGHSSVLADMAGIRLPLESHPLQALVSEPVKPAINTVIMS NAVHAYISQSDKGDLVIGAGIDQYTGYGQRGSFQSIEQTLQAIVEMFPSLSRVRMNRQ WGGIVDISPDACPIISKTPIKGLYFNCGWGTGGFKATPGSGWVFAHTIANDEPHPLNA PFSIDRFYTGHLIDEHGAAAVAH MIM_RS15980 MLVIRCPWCGERAESEFNFGGQAGIVRPANPEQLSDEQWGDYLF MRDNVLGVHREQWVHTHGCRRWFIAERDTRNYQFKSVEKIGASRKERT MIM_RS15985 MTQSHRLANGGRIDRSKPLRFQFNGKAYVGYQGDTLASALLANG IHFVARSFKYHRPRGIMTAGVEEPNAIVQLEDGAYTVPNARATEVDLYDGLTASSVNA RPDIEHDRFAFMQYLSRFIPAGFYYKTFMWPRRWWGKYEEHIRNAAGLGTVPTERDPD RYEKTYAHCDVLIVGAGPAGLAAAHSAAKSGARVILVDNQSEPGGSLLHTETTINGSP AAQWLAQITGELAGMPEVQVLSRATAFGYQDNNLVTVSERLTDHLPLQQRKGVRERLW KIRAAHAILATGAHERPIAFGNNDLPGILLASAISTYICRFGVLPGRQAVVFTNNDSA YQTALDLHRHGAQVTVIDARATNEANVPPSFNEAAIPILWQTVVSHANGKRHINSVTA RPLSSSGNNDISTRTLACDLLAVSGGWNPVVHLYAQSGGKPSWNDGDACFVPNNKISG QTSIGACNAQFDLAATLESGVQAGREAAAALGWSAAHDISWHTESTPAERICPLWSTA TGKDIARGPKQFIDFQNDVSVADIYLAVREGYRSVEHVKRYTAMGFGTDQGKLGNING MAVLAEALGQRIPETGTTTFRPNYTPVTFGAIAGREQGDYFDPIRKSCLHEWHVARGA VFEDVGNWKRARYYPQGNETMEAAVARECLAVRNSVGLLDYSTLGKIDVRGPDAVTFL NRIYTNAWTKLEPGRCRYGLMLDENGMILDDGVNLRLADNHFLISTTTSGAAKVMSWM ERWLQTEWPELQVYLTSLTDQYATATVAGPNARTVLRQVCQDIDFDNQAFPFMSFRNG TIDEINVRILRVSFSGELSYEVYMPANYGRHIWEMLMHAGEPFGIAPYGTEAMHVLRA EKGFIIVGQDTDGSATPVDMGMEGMLAKSKDFLGKRSLSRSDTAGPNRKQFVGLRSAD NQTVLPEGAAILDAPSAPGEVPLQGHVTSSYLSPTLKQPIALAMIKNGLSRMDQTVSV ALPNGTFAQAHICSPVFYDAQGARQHVE MIM_RS15990 MLNDSRQQTPLAGLTGGTRVFELGSPSLVALTEVPFTELVNLRG DPENADLTRAVRNTIGITLTTEPNTTTENDHYCAMWLSPDEWMIRRKGSGVGDLARHL EMALQGIFCAVTDQSSAYSVLQLSGPKARSVLSKGCPLDLHPRVFGAGQCAQSHYYKT SVLLRGLDNGAGDRWEIIVRRSFADYAVRMLVDGMWEYTEGRNR MIM_RS15995 MQFKQVEAFRAIILTGSMTAAARELHTSQPNISRLIAQLERHTG FALFTRIAGRLAPTPEARAFFRDVERAFVGLQDIKASANNIRKQGTGHLRIAAVPSIA LTIMPNVLKVFAQKFPKTRVSLYVGDSGTVAQWVASSYCDVGISAYVDEMPGMNSERI DTLQGVCVVYKDHALARVNRAVKIKDFRGESFISLHSGDGTRRNIDALFGSYEEDYRT MYYECRYAVAICNMVGLGMGISIVNPLVAKNCKHMGIVVKPLEPQVAFSYFILSSTIH VTSTLALEMHSILREELQRHK MIM_RS16000 MNPGFNRKIGIVISATICTIITSNTPALAKDQLPTVPSYIAEKS KLNVGVRCDQPPYGFQDSKGDYAGVETDMARQLAQWIYGDSEKIQFSCVTAENRIPQL AGKRVDLLIATLGVTPERARVIDFSVPYRWGASGVLTRADSPVMKISELTGKTVAVPK GSVQAKWFEDNMPQVKTLRLNTAADSLQALKQNRADAYAHDAATLVVIAANDKGLRVV DDPYQLSDAAIGLRKNEPEWKSYLDAAVERMRSEKLFSEWVNKWVPEQIQPYYVDVFQ SPRPDRK MIM_RS16005 MTFDWVYLSTQWPALIDGLWLTIKVSLLGIFFSTIIGIVGAGIR QLKLRPLVPIVVCYVEIIRNTPILVQLFFIFYGLPSVGLSLSLFWSGVLCLSLWAGAY QIENIRGGLITVEQGMQEAASALNIRPYGYLRLIAIPIAFRSCLPSMLNTSISLLKNS SYLQAIGFAELTFVAVDRIATDFRAIEMFAAIGAMYLTLVVVISIVARQLEHHLQRPF RR MIM_RS16010 MNALLENYVFVLKGLQLTITLALTTLFFATIISGILGVLFTLKN RLIRLPIYLYMEFFRAIPLVVNIFCIYFVLPLFDLQLSPFWAVTIGLTLWGSANGIEI VRGGILSIDSHQWKSAWALGLSTYETYRYIIVPQALKAIIPPFTGLLTLLIQATSLGA LVGVSEFLKVSQIIVERSTIMGGTTPAFNVYACVLLVYFVICSLLTWLSRYLERRLNS PVRIRTDQV MIM_RS16015 MDQATQHESKVSRRLTEETAPEVCDLIYLPRLASFSAAFPYLTE VNEAHLLMLHSRKLVSDTIAQKLASAILQMDRDGPEQVKLDPQREDAYFNYEAHLISL TEPDVGGRLHTARSRNDILATIDRLVARDQVITLLDTLAILRQTVLEQAAKYVETVMP GYTHLQPAQPMTYGFYLAGIAQALERDSNRLVQAYEHINLSPLGAGAFAGTPFEIDRK ETARLLGFPGVIDNTLDAVASRDFIFEIMAALTLLATTWSRIAQDYFVWSTDEFGLIH FSDSVAGTSSIMPQKKNPVVLEYLKGRAGKVLGLFTGAMASLKGTNFSHTGDANRESI AGFAEMIQECQYGLELLNLVIHNAAPREQDMLNRARRNFCSATDLADALVSKADMSFR QAHHVVGAVVREAMDAHHNADEITAEMVNRAAHMQTGTSTMLSIDTVLTSLDPLLSVK KRERGGPAPASVNNTIEHGREILTRDQKQNASRKTMLSESRMQRRKQTTELANL MIM_RS16020 MKNDHPVLLCVRDMSMRYGKTQVLNQIDLDIHKGEVVVVIGPSG SGKSTLVRCLNGLENPFEGTIQLNGAPYDARNHEQWSQLRNQIGMVFQDYSLFPHLNV LKNMTLAPVLREKYTEDEAERIALELLERVQLRHKASSMPAQLSGGQQQRVAIVRALA MCPEIMLFDEPTSALDPEIVGEVLAVMKELVSQGMTMIVVTHEMSFAREVADKVVFMD KGEILEAASPERLFDYPQHERVKNFLGKILH MIM_RS16025 MNDIIKISALNKWYEQFHALKNISMTIKKGERQVICGPSGSGKS TLIRCINGLEPFQNGNLQVKGIALDDTESLYRIRQYVGMVFQHFNLFPHLSALENCTL AQTWVNKIDKETAQVTARKYLERVGLIDKQNEYPSRLSGGQKQRVAIARALCMNPAII LFDEPTSALDPEMVKEVLDTMVNLAETGITMICVTHEMGFAREVATKINFMNQGEIIV SAEPTAFFNNSHHPRLQAFLHSTLQ MIM_RS16030 MASNNVSNLQNNQDLVSEPVSLQPKVKLTDIAYQKLEQAITMLV LEPGCSVSEQQLCDLTEIGRTPVREAVQRLAREHLIIVLPQRGLFIPEINIRKQLKLL EVRREVERLVCRMSARRCTEQERLAFVQLHEQFRESARTADVSQFLKADRMLNELTLK AARNEFAEGAMRLMHGLSRRFWYFYFKKSNDLETMALLHANVAEAISQQDAEKAAEAS DKLLDYIEFYTKESVSDFM MIM_RS16035 MDTLIHGCGPINIIAIHGIQGTNRVWLPLASQLADQCTFIVPNL PGRGARKDCSERDMTLESFTNVIEDTVHKYINDGQSYCLAGWSMGVSVALAFVSQAQN KQPDKLMLLSGVPDISQVSWFTQTTESDLLDEIRKREQRLKLQDAASHHAVMWTWRDI ASRSLREQCKSVDVPCLIMSGTQDKDSPLPLVTQFCDLLAKPTFLTIENAGHSILTEN TAAVSAAFTDFLNLE MIM_RS16040 MNIDDHLFFCAPSRLIIREGARHDLPALLAKLGYHNGILVTDHY FAEHTPWVNEYVQAARQCGINTFVYSGGEPDPSTTLCDQATRQILAQTKGQTPDHVIA LGGGSNMDLAKALTLTLRSNKPVACYKDGIGEDEPLPFIAMPTTSGTASEVTPGAILI DPDNATKIALMDNRLRPFIALVDPAFTYTCPPRVTADAGIDALIHAIESYVTMDSKQF ERNNNPDPGYSGRTHLSQMFARESMILCARHLRQAYQNGADTQARIGMSYASVFAGLS YGSAGLNAVHGIAYSIAGLTHETHGRTNAVILPYVLYELRDARAQELKDIAAIFGATE SGQDTILQLAQKLQTLVSELGIPNNLKDFGIKESDLPRLYTDAMDVTRLRNAFPVPDT SASYLRIIANAWAGDFSDTPNAVLQQAQENLVAYE MIM_RS16045 MASIPITPSDISGRIERLPMTSYQTKLFCIIATAWFFDSMDLGV MTFILGSIKAEFGLSIAQTGVVASASFLGMLLGAAVAGMLADRFGRKPVFQISMVFWG LGSLFCGLSTDVNMLIASRIVLGFGMGMEFPVGLSIVSEIVPANRRGRYLAILEGFWP IGFICSGLLSYLLLDSIGWRGIFFVLAVPAVFVFYIRRQVPESPRWLSDSGQNEKADS VMTSMENHVRKTLNNKELPPVVPLPAAAAQSATGSSFSELWKGEYGRRTLMLWILWFF ALMGYYGLTSWLGALLQQAGYEVTKSVQYTVTISLAGIPGFIFASWIIEKIGRKPTCV LLLLGSAVSVYIYGHVASVQGELHYLMMSGMFMQFCLFGMWSILYAYTPELYPTRIRA TGAGFASSIGRLGSFVGPFAVGLLLPVTGQTGVFTLGAVSFIIAAAVVIFLGVETRGR ALEDISG MIM_RS16050 MMTERQRKFREQYKSDISPLYNGLVHIGVMYAVGIAAVIYCLSQ LTTATWEYLLIIPVFLAGNFVEWAMHTYVMHKRINVFALRAIYERHTRQHHQYFTDND ITIDSSREFRIVFFPWRVLLTLGVGGLILGYLASVIINPNAGYVVFLTMVLQYLVYET FHYCCHVHDNWFVRNVPFINTIRRHHTAHHNMGLMMHYNMNLTFPIADWFMKTSDLDR GLLGHLFNGYSEKHIKEELKPIIRKYRNDDASVTLDGPNLTSDEQSVLNRAMAR MIM_RS16055 MNAHYDVLIVNGDVVDGSGAARFTADVAISGERIAHIGDLSQAT ADKVIDASGLIVSPGFIDAHTHDDRLMLSDGDMAPKVSQGITTVIGGNCGISLAPMPR DIPDPVTPPLNLLDEQGGWFRFRSFAQYVSELSARPAATNCAMLVGHSTLRVATMEDV TKAATAREISAMQALVAEAMEAGAIGVSTGLVYPPAVAAPTQEVIEVCAPLARYGGIY CTHMRDEGDRVIESLEESFLIGRQVGVPVVISHHKVVGVQNHGRSAETLAYIAEHMTR QPICLDCYPYDASSTILSARLVANSTRVTVTWSKGLPQMAGLDLTEIASRLNVSTEEA IEKLLPAGAIYYRMDDADVQRILQFDDTMIGSDGLPHDEKPHPRLWGSFPRVLGHYSR GLGLFSLEKALHKMTGLTASKFGLADRGVLREGAFADVTLFNAQTVDEAATFAQPVAA AIGIDTVLVNGQIVWEDGKPSGQRPGRVLRRQGASVPVAS MIM_RS16060 MNQDPMNNTANVVAPVLQVRDLSVQVAGADTQRRVVNNISFDVH AGETLCVVGESGSGKSVTSYTVMGLLGRDSLQPCNGSILLDGEDILQASASRLRELRA TRMSMIFQEPMTALNPVQKVGRQIDEVLRIHRTMSAKQRRQAVLQMLESVHMPDPQRI YDAYPHQLSGGQRQRVVICMALILQPRLLIADEPTTALDVTTQKQILLLIRELQTRNN MAVLFITHDFGVVAEIADRIVVMNKGQVMETGTRDAILRDPAHAYSRMLVSSVPSLVP RQREPNNEEQVLKVSGLMKTYASKKLLGASRHITAANDINLTLNRGEIVGIVGESGSG KSTVARCIVRLLQPTAGQIRIKGQDIAGLSTSQLRPVRQRIQIVFQDPYRSLNPRITV GDSIIEGLLNFGVSKEVALVKAAELMQVVGLTKDALQRYPHQFSGGQRQRICIARALA LEPDILVADEAVSALDVSVQAQVLALLDKVRERTGVGVLFITHDLRVAAQICDSIIVM QQGSIVESGKAHSVLTAPTQPYTRALIDAAPGRHWDFQHFRAVSEAVA MIM_RS16065 MTVELSSETLPAPASEPQATEKQVSLWKQLRAALRSIPVMIAFV LFMLIIFVAVCAPLLGTVDPVAINPTERLAKVSAAHWLGTDAFGRDTYSRALYGARVS LIVGIGATVMSLAAGLLIGVVAGYFKFADSIIMRVMDGIMAIPGILLAIALVSLTGGS LITVLVAITIPEIPRVVRLVRGVILSVRTEPYVEAAESLGTRVRTILWRHMIPNTVAP LIVQGTYIFAAAILTEATLSFLGAGLPPEIPSWGNMMSEGRMYFRLLPGLILYPGILL ALTLLCVNVLGDVLRDVLDPRMVKKS MIM_RS16070 MIIYIARRLLATLPVIMMVAIVIFAILRLTPGDPAAIIAGDDAT ADQLAQIRQAMGLDQPIYTQFVVWVGRLLHGDLGVSLLSGTPVLDMIAGRMGPTLALA ISTIVLTVIIAIPLGVIAAWRQGKWLDRLIMSFSVLGFSIPTFVVGYLLIYFFAIQLD WLPVQGYKPLSAGFLAFAQRLILPTLALSAIYVALIARITRSSIIEVMGEDYIRTARA KGLKEKAVLMRHALRNAAVPIVTVIGIGIASLISGVVVTESVFNLPGLGRLVVEAVLA RDYPVIQGLILLFSIVYILINLIVDLLYTVFDPRIRY MIM_RS16075 MGMALAAALSCAPAAQAQTVTAVMQSGLRVMDPVISTAFMTRDH GYMIYDTLLGTDANFKIQPQMADWKASDDGKTYTFTLRDGLKWHDGAPVTSEDCVASI KRWAEKDSTGQVLMTMVDDIRVVDDKVFEISLKEPTSLLLQGLGKLSSRPAFMMPRRI AQTPSSQPITEYIGSGPFKFVQSAFRPGLKVVYERNDDYVPRSEPASWTAGAKVVNVE QVQWVAMPDQMTPINALMNDEIDFIQQVPFDLLPMVQDQDNIKVEVLDKLGAWTYFRF NHLHAPFNNRLIRQAAMYAVGQEDVLKALVGNPEYYKPCAAVFGCGNPNENDYGKDIV VPANIEKAKALLKQANYDGTPVVILQPTDIAMLSAQPVVIGDALRKAGFKVDMKTMDW QTVATQQSNQKAPADGGWNIFSTYSILATSGDPFGNTTIASNGKKAWAGWPDVPEIEA LRLKYARAKDDAERKDITAQLQKQVIDEGVVAPLGQFLIPAAYSTKLSDVLPSPVTVF WNMKKSDK MIM_RS16080 MHIIVIGAGVIGMTSAYYLAQKGHRITVVDACAGPGQMTSMANG AQLSYSFVAPLAEPAVLGKLPGWLFDRQSPLSLHLRADPQQWRWAMAFLAACSSRKSR QTTAELLTLGLYSRQMMHQLMTDDMLTFDFSRSGKLLVYQDAAAYDAARKQMDYQATL GAQQQALDRQQCVRLEPALRGIEQQIVGGIFTQSEDAGDCMKLCLELERVLRQRAGPV DFVYNTPVVRLHHERGQVTRLQTQSGDMEADAYVIANGVGAQMLGRQAGFNPHIYPLK GYSLTYELTAQSEAPHVSVSDVHNKVVYARVGNRLRIAGMVDIGDTSGVIRMGRIASL RQAAERYLPALHPAGEPVAWAGLRPARPDSKPLIGRTPLRNLWMNAGQGALGFTLAAG SAALLANRLSGDSAPIADRLFAP MIM_RS16085 MKQRAADVLIDVLSAHEVDRFFCVPGESYLSALDALSAHAGIKV VTCRHEGGAGFMALADARLTGRPGIALVSRGPGAMNAAIAVHTAQQDAVPLIIFIGQV ERAHRHMAAFQEVNYEQVFGSMAKWVVEINDAQRLADQVATAFHRACSGTPGPVIVAL PEDMLEDVIEVERAYRLPLPKAGASEASLKQVAGMIAQARRPLFIAGGLLKHAAGCKA LQLAAEAFGIPVATAVRHADLLDNNHPLFAGHLAYGAPAALAQAVAEADLVIAVGTRL GDVTTQGYCFPAAPQPQQPLIHVWPDADALGALRRTDLGLVADPTTFLEALSNSAPAA IDEAHQAWSKKLHQVAQSLRQWEGPSDAADGVVFSSVVQAADQLLADDAIVTIDAGNF GGWVQRLLRFGAGRAMVAPSSGAMGYGVPAAVAASLRHPERQVICFVGDGGFLMTGNE LATALQYGAHPVLIISDNGAYGTIRMHQEKHFPERVSATDLCNPDFAALARNFGAVGI AVEDSSQIEAALRTALASGQAAVISVRTSLQHISAAATIAQLRERARSGR MIM_RS16090 MSRRENTERKLLNALEEQINETGMVGVGVNAVAKRAGVSKELIY RYFNGLPGLLLVWMQEQDFWTARAGLLRDEESSQQTPATLILSMLHAQIEALAGSESL REIRRWELIEVNEVTEKLAQRREKAARSFIDRVDGLTQEVDVPAVVSIMLAGVLYMML RSKTESHFLGVSLRTNAGWQRLYAALEQIIQAGFPENLRTQALADLERSKSEGYLPGS RQ MIM_RS16095 MTIGIAASGANAGESVRAGVLAAELLGRGAIGGFAVFAAMLHDG RVCHCVTQNGGIGKLAIPDEWLQATRAAAISSGPDRPEPLQQFLPGFDGIGLVTGHRL PNRAGIDGEPLNRAVLRRLANGEMPQHAVDAVLHANAQSDAGLIAIDAQGYIGWGNSQ RVAARADLGSFARSAGDRNLAILHNSIYFMRDMAEAVGSLAWQALSGEAGTYQLLSMP QAVALRQAQNDRIQLDSEGQIALIETAAAPVSDISGRFTAAYLGTPVWQAGRLIGHTI SELIGLVEDGCVVRSLDPVSATVVMRRSMHVAS MIM_RS16100 MVQRIVFVFLLLFSAPALAACASPVKFAALTWESGQFTSAVLRL ITEHGYRCKTTEVPGSGPAQENALAQNDIQVIGEVWVGRSEVMNKALQEKKAAMVGDT LKGGAQQGWYVPDYVWEQNPQLRSYQDLERFSHLFLDSASGPKPRFMNCPSGWTCEIF NTRLLHTTGLDKTFDNVHPGTGAALDAEISSAYEQKKPLLFYYWQPTGLMAKYTFKAL TFPENESECWNSLLEKDGDKNCVTGFPVSNLSVAVSTPFKEQHPDLMQFFEKIQFTPD QLNGAILQMTESKRDGARQAEQFIKDHPEVWQAWVSPEAASRLQAWTGQTTTASSIFP DWSIQDRLNSGLKSLVGSYGESFRQVSGFLTRYLLSPTVQALAAIPAWLMILLTAALA WHSTRSIIFAVACAIGLYVIGAFGLWVALLQTLALLICSVIITVVIGIPIGIFVAGRP RVYRVLQPVLDVMQTMPSFVYLIPVLMLFGLGNVPALFATIIYAIAPLIRLTALGILQ ISREMHETGTAFGTNRWQMLRWVILPLAKPSIMAGINQAIMMSLSMVVLASMIGAPGL GERVLEAVQTLNVGQGVQAGGAIVILAVIIDRITQAYGNRRRTR MIM_RS16105 MNPEVIDHLPGTVPTGAGSPDIDQHFIRLQNINKVFGERHSKAM KLLQQGAENEQIAAETGCHVALRNINLDIPSNGIYCIMGLSGSGKSTLLRHINRLIDP DTGTVHIQDVDVTALSIPELQKFRQKRIAMVFQHFGLLPHFTVQQNAEFALRVRGVPK RERAEQARYWLNEMELSGYETHYYDELSGGMRQRVGLARALAAGTDILLMDEPFSALD PLIRRKLQTLLLDLQDRLNKTIVFITHDVEEARILGGTVALLNQGRLIQHGSLAQMAS NPADEYVASFLTSSTDVS MIM_RS16110 MSVSRDFLEYVLDLLAPLGPVTTRRMFGSVGLYLDGRMFAIVSG EERFYVKRDDQTQEQFELAGCLPLTYARKEPDGTTKTIELSFYAPPESILDDRQQILK WAQLGVEAAARAPEKRKRKPSGGSPAASYRRRK MIM_RS16115 MRKEAWFGISILIAIVAALVVFMPAPADITNGHLGLLMLALIVV TIMLGFPTAFTLMGMGVIFTLFAYRGMGPKLAIEQTLDLMVLRTYAVMTNDVLIAVPL FIFMGYLVERANLIEKLFKSLHLVMAKIPGSLAVATVITCAVFATATGIVGAVVTLMG LLALPAMLKSGYDIKLSAGVITAGGCLGILIPPSVLLIVYGAVAGVSVVKLYAAAFFP GIMLAGMYVLYIILVAKIKPAWAPPLSEQERRIPLPEFAASIKNRFSNKALPGLLRAL KGERNSSISTVVILKQLFITLIPAVLFVCAIGLSYTLSMPSAQQASTLTQLGSLDETS TLQYAGSLQEPPGSGLAEPPGSLAEPPAAGLVEPSSGVAEPPGAVAEPPGARPLPSDS DAAASTGSGTDAPAVAPTFWIVLAVGLVLMMAFYAWFTFARLEIFKMLLASFFPLAIM ILAVLGSIVFGFATPSEAAAMGAFGGILLALCYRRLNMPMLKESVYLAARTSAMVCWL FVGSSIFSAAFALLGGQEIINNWVVSMDLTPVEFMILAQIVIFLLGWPLEWTEIIVIF MPIFLPLLAHYNIDPLFFGILVALNLQTAFLSPPVAMSAFYLKGVAPPHVTLNQIFLG MLPFMGLQILALILLYLFPKIGLWLPEVLY MIM_RS16120 MKKILHRIDQVNTWIGQLFGWCALILTLFVTYEVFSRYAFRSPH AWAFDVMNMLYGALFMMAGAYTLGKNGHVRGDVLYGFFPPRLQALLDLILYILFFFPG VIALVWAGYAYASESFAINEHSTITADGPPIYPFKAIIPIAGFALILQGIVEVVRCVQ CLRDGDWPSRDIDVEEVDVDKLKDMVNVTDADIQEVDQYIATQGDKA MIM_RS16125 MTTRIAKKRQTATPTSSRRQFLGGAAAGSVAAAGMGFPAIVSAQ TPTNFRFQSTWPTVDIFHEYANDFAKKVNDMTGGELRIEVLPAGAVVPAFALLDAVSK GTLDGGHGVLGYSYGKQNALALFSSGPAFGMDANMLLAWHKYGGGKQLLAKLYESIGG NVVSFLSGPMPTQALGWFKKPIAKADDLKGVKFRTNGLAIDLFTAMGAAVNALPGGEI VPALDRGLLDGAEFNNASSDRLLGFPDVSKICMLQSFHQSSETFEITFNKDKYNALPD KMKAIIENAVEAASADMSWKAIDRYSQDYIKLKTEDNVKFYKTPDAILQQQLTTWDDI IAKKAENNALFKEIEQSQRKFAERAVAWDMDTNNNRRMAYNHYFVKKAAG MIM_RS16130 MSNLLNNPVTLSAESVTNQMRALILDGSLGIGVQLKQEALARRF GVSRIPIREALKRLEAEGLVEHTAHQGSVVASRSIDDLLETLDIRIGLESRALVLAIP NMTPAILRKAEAILARYDASDMPGEWSELNLAFHLCLYTPCGRPRLLSMIESVVRSAD IHLRAQQSAAIGRKSPQKDHRALLEACKAGNAERARKLLERHIAQTQDALHKARPAAV MIM_RS16135 MPTHPHLFDVAIIGGGIIGSATAYYLMQQDPQLSVCVIEPDPGY ELASALRSSGGCRVQFTGAENIAMSLYSIDFIKNFEHTMATATHPAPVDWVEGGYLFV VPPQDTANLERNARFQQSQGCTLDLLSPAELKDRFPAMYVDDLGAGVHTPHDGWCDPY GLLWGFRRKAIELGVQYIAERVVAADHDAVQAKSVTLGNGQIIRATSFVNATGAWSGD VAKLFNMSLPIVPVRRFEHYFTPGSHVGHLPYVKDTARLAFRSEGQGYSGGLVDGNVP RGYHFEVDHKNFEEVVWPAVAHRFPAFEAARCHRSWAGLYEVNELDGNPVIGAWNARL PNLYTVAGFSGHGMMHAPAAGRGIAELIIHGRFQSIDLSRLGYERVEQNAPYPEQGIL MIM_RS16140 MNQYIQAVPLEKSYRLVNHGPTTLVSAASGGEQDVMAAAWACGL DFSPPKLTVVLDKSTRTRELIEREGRFVVQLPTVPQAQLTHYVGNHSLNDEPGKLQTA GVEIFHMTGFEDLPFVAGCAAWLACQLIPEPHNQTSYDLFIAEVIGAWADSRVFRSGH WEFASADPALRTLHYIAGGQFYAIGDEIVVNEQ MIM_RS16145 MTQRYLIKGLLAGALMAFTTGALAAQYPAKPITIVVGFPPGTST DAVARILANKMGKDLGQPIIVENKPGVGGSLGAGIVAKARPDGYTLVLSATAPMNINP HVYKSLTYDAATDFEPVGQTTWLPYVLVTNNSKGLKTFKELITYAKANPDKLTFASIG KGTTSHLLMELLMKETGTKMVHVPYSGSTQSQTDVIGGNVDMTFDTVVSAMPHVKSGK LNGLAVSVANRAKLAPDIPTIQEQGLPNFNMGAWLGIFAPKGTPREIVNQLHTELNRT LSDKQTNDKLVALGSEVVMSDSPEAFGKMVRENYDTWGNIVKEAGVDQK MIM_RS16150 MKYSDTEWEARVDLAACYRLMPLFGMSDLVYNHITARIPGTDDE ILINPYGYMYEEITASSLIKINIKGEVLDNPHTDGTGVNQAGYVIHSAVHASRHDVGC VIHTHSRAGMAVSAMECGLLPITQTSMRFKDIAYHDYESVAIDMDEQQRLVADLGRQD AMILRNHGLLVASPSIAEAFNAMYWLEMGCRAQVDALAGNTKLIIPSAQVVERTHHLY QPSVRRPFGIMEWPAMRRYLDRRDASYKD MIM_RS16155 MINTDKNTLFDLSNQVALVTGSTRGLGYEIARGLGQAGATVIVH GRDENSAQATSRKLADAGLASAWVAFDLDDRAGCQRAFAQIWDDHQRLDILVNNASIR MRRPLQHIDTMELEAITRTNVLSTIEISRAAVSLMKRNHYGRVITISSIAGQIIRFGD FIYPVTKQALNTVTRSLAVEFGRDGILSNAVAPGTFATQFNQALIENPDNIAKMQERN PLQRWGDPVEIVGPVLFLASAAASYVNGQILAVDGGFSISF MIM_RS16160 MSYLYVLILIVPALATALAFLPNSHWSIRSLDFPRVQIAVLCLA SLILAALNPPALAGSVLMVIVVNLVALIYQLYKIYPYTRLAKKEVLSNHAPDDDRTLS LLSSNVLTPNRRSDALIALVNQYQPDLLLTLETDQWWQDALHSLETDYPYRVAIPLDN LYGMHLYSRLPLKNTEVLYRVEDDIPSIRSQVILRSGDTIRIYCLHPTPPSPTESETS KPRDAELLLVGKQIRELDETALVFGDLNDVAWSPSTRLFKKVSELLDARIGRGMFNTF HAHYRFLRWPLDHIFQSAEFQIKHMAKLPDIGSDHFPIYAKFQYCPAQEDLHEVETAD AEEMAQADEKIAEGHEEVK MIM_RS16165 MTTMTRRTLLKAGIAASIGIPLTAAWGDEVLPAGPITLVVPFAA GGSTDVVSRFIAQKLSERIRHTVVVENTGGGGGAIGATRVARANGNGSTLLMGTVATH AINPLTTKNPPYDPQKDFTPVSLIATVPNVLLVGASVKAQDLQELIALIKAQPGKFNY GSSGVGTPPHLSGELFKSRTGVKMEHIPYKGGGPAMIDLIAGQIPILFDVLSGAASHI RAGSVRALAVTTRERSASFPDVPTMAEAGVADFETYTWNAVFGPAGVPPAVVDMLSLQ LQAVVALPEVQAKLIELSATPAGSTAEVLAQLVQSELDKWGPIITSIGGLKRG MIM_RS16170 MKRYDLHINGQSVKPSTNIWFETQNPFTGETWAHIPRCSAQDVD LAVQAAHLAFTQGAWAEMTASQRGLLMHRLGEVIARRAEQLAQTEVRDNGKLYSEMLG QLNYVPQWYYYYGGLADKVQGATLPLDKKGYFAYTRHEPMGVVAVITPWNSPLLLLAW KIAPALAAGCTVVVKPSEFTSASTLEFASLFEESGFPPGVFNVVTGFGNEVGSALVEH PLVSKVTFTGSDATGRVINQQAGAQLKHTSLELGGKSPNIIFADADLDQAVNGAVSGI FAATGQTCIAGSRLLVQDTVYDEVVARLLALAKTAKMGNPMDAQTQVGPITTPAQYQK VKDYIEVAKGEGALLLLGGKPADDDACGNGWFIEPTIFGEVRNSMRIAQEEVFGPVLS IIRFEDEEDALSIANDIRFGLAAGVWTSDMARAIRMSENLKTGTVWVNTYRAISYMAP FGGYKDSGLGRENGIGAIGEYLQTKSVWINSGAVTGNPFVMR MIM_RS16175 MKDTLSTGQRVDRRAFVAQLMQQIPEALIITGLGSPSYDVFASG DRAGHFYLWGAMGGASAMGLGLALARPDKSVVVVTGDGEQLMGVGSLATIGVRQPSNL TIVVLDNGHYGETGMQRSHASLGTDLVAVAKGFGIANAFTVDVLQEADKVAERIKARD GVTLARVLIDIGELPRALPSRDGVHIKNRFRAELGLQPF MIM_RS16180 MDGVEINVQQQWQAAIFDELKRAQVKQISYVPDAGHALVIRKAQ ADPDILDVALTTEEEGVALNCGAWLGGHKAVLLMQSSGVGNCVNMFSLLSSCRFPFFT LVTMRGEYAEFNPWQGPMGKVTQQALELMGITVMRADEPEQVGELVNAGLTAAFEAGE QVAVLLSQSLIGRKSWVK MIM_RS16185 MDLKQLKALVTIAETANMTRAAEVLNIVQPALSRQISMLEDEVG AILFERGRQGMILNQEGKTFLEYARRILHEVEKAKAEVRPSSGTVSGIVNVGLLPSTS DLLSSMLLRRLQQQYPEIRITITAGYAGHLQEWLEVGEIDVALLYAAKLSSSLNVQPL VQEKLWFVSLPGNGLRPDKPVTLNRMADKPIILPSRPHGLRVLVDRVGRENNLQLHVV AETNSMSVQKRLVLDGHGYTILPSIAIAEELALGHLEAAPLTDAGLSRTIVLARSRER NMTMSVKCVMQTLSECIRTGIENGGWAHAQWIAKN MIM_RS16190 MTCTKAVAAPYTVQHALGSTTIPDTPQRVITLFQGATDITVALG VKPLATVESWTEKPVYRYLRNDLEGLPMVGLESQPSLEDIARLKPDLIIASRFRNEKI YDLLSYIAPTVAVDEIYEFRENTRLIGQALNRQARARSLLDHWEARLAHTKRRLQEKF GAAWPLSVSILDFRSDHVRIYLANSFAGSVLSDLGFVWSEPFRKSSWPLMKLTSEESI PIRDADVFFVQMRSDSRVVQNRYDDWSRHRLWQQLKAVKNRQVYLVDNVYWSLAGGIL SANLMLDELEQLLEAPAGGHK MIM_RS16195 MTTRHTRLGAALTLAIGLGLLVLLAVLSLSVGITYIAPGDVLGA LFQPDPLDINHILVNTTRLSRMTVAIAVGACLAVAGGLMQAVTRNPLASPGLFGINAG AVFAIVLLAPLFGSPSLTQFMMLAFAGAAVAGTLVYCIGMLGSRSPFRIVLAGAAVTA LFASFTQALLVISQDGLDSILFWMAGSVAGREMQAVVALYPYLLIGFAASFLLARHIN ILVTGEEIARGLGQNTFVIRSLLSIIIILLAGGAVSLAGNISFIGLIVPHIVRRLLPG DFRWLLPGYAIFGALLLLLADIVARIVLIPQEVPIGVVTALLGAPFFIHMARKGMRNG MIM_RS16200 MDKWLTLRNNWYSRQINTGTCIVLALLLLFTLAVALLSLSSGKY MLSLSAIMDALRSSTMTGDAFIVSILRAPRMLTALLAGGALAVAGLLLQTIIRNPLAS PDIIGVTSGASATAVFFLSFMTSWLSMQWLPLAAMAGGFIGAALVYLLAWRRGVSPTR LILVGIGVSAAMVACTTLLLVFSPLSSTLTAYVWLTGSVYGARWHDITTLCLWLLPAA VLLVVLARTLIVNELDDALVIGLGISLERARLVLLALSVYLAGAAIAYTGALGFVGLV APHIARRIGGKSGLSLIIVTMLVGANMVMIADLIGRTLFLPLDLPAGIFVSAIGAPFF IYLLLRSRA MIM_RS16205 MDPIQSQDLTLKYDTRIVIDGLNLTIPKAKISVLVGSNGCGKST LLKSFARLIRPTQGTVLLNGKDIHHRSTAAVARELAILPQSPAAPEGLSVYQLVRLGR FPHQTWLQQWSREDETIVTQALAQTELTDVQDRPVDALSGGQRQRAWIAMTLAQNTDT LLLDEPTTYLDLAHQIEVLDLLYELNVTRHKTIVMVLHDLNLACRYAHNMIAIADGKV YAQGNPAQVLDAAMVRNVFHLQSKIVKDPLYGTPMCIPIGKGIHSAGIDAS MIM_RS16210 MNTGQIDRYPSHENVAAYAATIASADWYALERSLNEHGNAVIPG LLTAAQCKALAAGYDREQGYRSRIVMARHGFGRGEYKYFAYPLPTLLNQLRHLLYPHL APIANRWSRQLNEKESYPPALDAFLAQCHAVGQTGPTPLILQYGPGDYNCLHQDLYGD QVFPLQVAILLSAPEQDFTGGQFVMTETATTGQRAEVIPLKQGDALLFTVNHRPAPGK RGRLRKVAMRHGVSAVNSGRRHTLGIIFHDAC MIM_RS16215 MTLLANTIDCLDWIRIETRLDSEGYALLPGLLSAAQSQALMNTI SGQSNNQMNGLTNGLTLRRITLTDNDPGRGQLFFFNNQLPEVLATLRAQLYRHLMPIA NHWNHVLNIGYRYPDTLDGFLQSNCQAGQERQLSHLHRLKASDYLALHQWSDGELVFP LQVLIQLTEPERDFSGGQWVMTEQRPRMQSRPIVLSLHQGDVAIICTARRPIKGSQGF YRVNMKHAISRVRGGQRIGLELFFHHAPGSDQKETHEQATLLDLDRP MIM_RS16220 MNKPRYWTLTGPDGKPWRSSVPGELGGHRRSKLYGCLDCRAALQ AIARGGYVQHRVFFANEATAIAAGYRPCAVCLPTPYANWKAAQAK MIM_RS16225 MSFLRTVAGGVLATMILAGSASAAWPEKPVTIIVPAAPGGTTDI VARLVGEKMSKILGQTVVVENKAGAAGIIGSQALVRSKPDGYTLGMGNVGPNAINYSL YKTLPYKKEDFKPITLVISVPNVLVVNSETPVKSVSELVAYLAANEDRRTFGSSGKGQ SPHLSAEMFLQRIKQTAQHVPYKGAGPAVSGLLGNQYTFMIDNLPSSLPSIQSGKFRA LAVTGEQRSEQLPDVPTMKEAGIDNMVVNAWFGLVAPAGTPDDIVGQLQQAAKQALQS DDIIARFKSLGGTPGGNTPAAFATFINDQQHLWADTVHAANLQMQ MIM_RS16230 MDATIVEVSSQILDIPTIRPHKMSVATMHNQCLVLVRIKTSDGI EGIGEATTIGGLNYGGESPESMKTNIDRYFAPLLIGQPATKLSAIRQRIDKAIKDNRF AKCAIETALYDAYAKRLGVPLNALFGGAIHDRISIAWTLASGDTQKDIDEAQQMLATR RHQIFKLKVGMRAPKDDLKHIRAIRQALSDDASIRIDVNQGWSETEATQQLRALADAG VELVEQPIHEKNLAGLQRLTALGIVPIMADESLKGPQDGFALASAHCANVFAIKIEQA GGLQHARDLIGIAQAADIALYGGTMLEGSIATIAAAHLFSTLNRLEWGTEMFGPLLLK DEILATPLDYSDYSLKIPQGPGLGITLDEDKIAFYTRK MIM_RS16235 MLFMVEMTVNIPHDMPAEVAADIKAREKQYSQDLQRAGKWPHIW RVVGQYRNVSIFDVQDNEELHNLLSGLPLFPYMDIQVTALCRHPSAI MIM_RS16240 MQLKKNIRRLSGIKAVCAAAALTGLFVTGTAAAAYPERPITWIV PFPPGGAMDVIARTLGESLAKDLGQPIVVENKPGAGGNIGSAQVANAKPDGYTIMIVA NGMAVNPSLYKKLNYDPIADFAPISLLADVPNILVTQATRKDVNSVEDVIEQARANPG KYTYASAGVGTSIHLAGALFTYLGKLDMLHVPYKGSGPAVSDLLGGQVDYMFDSITSS KPHIDSGKLKALAITTSKRSASLPDVPTVAESGLPGYELRPWFATFAPAGTPPEVIQT LQKAMVKAMDTDKVKTTFKTIGAERIGSTPEELRTYLQSETDKWKQILSETGISAQ MIM_RS16245 MELRQIRYFQCVARELSFTRAARILHIAQPPLSRQIKMLEEELG VAVFERLGRGIVLTDAGRYFLDQTEKMTQRLEETINATRRIGKSDRIWFGVGFVPSTL YGHMPALIRQLRQLNTQVEIGLVEMTTLQQFEALKSGRIDIGVGRILLNDEEIERLIL TDEPLVAALPSTHRLAAKKSVRLTDIIDEPLILYPARPRPSYADHVLNLFNQNGYSPQ VIQEVNELQTAIGLVTAAIGIAIVPESVRRLHRDDVVYVELDEPTFTSPILLSWRKND HSAFLEQVIGLFKRTTI MIM_RS16250 MKKYGVFESGGLRWITRAWITRTLEIVGFALMIGLAPGPTPAQE QAAASRAVTVGVYESPPFVTRENGQYTGMAIELWQSLENKLQLSSTYKSYPSYRKLIE AVRDGEIDAAVTNLTITRQRAQSIAFTQPWYDSGLRIMTPSKGSGSFQSILEGLSDSG HLTTFAWLLILALFATVIITLLDRRLDSEFPRRWREGLADNFFHTMSILTTGKTTHKN LFGWIGRIWSALWMVLGVAIIAYVTSSITSVMTTTSLTSQIHSLSDLPGKTVGVLGGS VGEDYVQERGIETRDYDSLDASVEALLSDDVDALVGDASVLEYFAHSQPGQPVRLVGT VFHPDKYGFGFPLQSDLLRPVTLELLGMHERGDIHKLRVKYFGDTR MIM_RS16255 MFPQRLTDGYASFLHGRLERERARYEQLGQSGQHPEIMVIGCGD SRVAPETIFDAGPGEMFVVRNIANLVPPCETDVETSYHGTSAAIEFGVNALQVKHIVV LGHASCGGVAAFANNAAPLSKRDFIGKWMSQIAPVVERLGPPTDDRQSWIRQLEWAVV EYSLANLMTFPTVRERVEAGLLQLHGAYFGVATGVLFVRDTQSGEFKAYSE MIM_RS16260 MLEFLQTLSWAAVFQIILIDILLGGDNAVVIALACRNLPKKQRM QGILWGTAGAIILRVALIAFALTLLTVPFLKIVGALLLLWIGIKLLIPEEDAHGNIKG GTTVFAAFKTILIADFVMSLDNVIAIAGAAQGANPEHQIGLVIFGLVVSVPIIIWGST LVLKLIDRFPSVVLFGAALLGWIAGGMLITDIFIVERFGEPTTMMKLTAEIIGALLIV VVGRTLAQRKKSAALSNNT MIM_RS16265 MPKKSSKETTPRIDRGADKPQKRTRSASGQVVKVATLKELRKAT GHTQEDLAIALDIGQGTISRIEKRSDMLVSTLQHYIESLGGTLQIVAAFTDRPSIVVE RLGKKIPPHQKNTSMSSSQVMTSVDT MIM_RS16270 MPSTPYYLIDKSALLRNLQVIDYIRQHSGAKVLLALKCFATWSV FDLMRQYMDGTTSSSLYEVKLGFQKFGGETHAYSVAFADHEIDEVVANCDKIIFNSIS QLTRFATAAGDKPVGLRLNPGISCAGFDLADPARQYSRLGESDPARILSVLDKIDGVM IHNNCENSDFARFDQLLTQVEKDYAAILPQLKWVSLGGGISFTAQDYPLDAFCERLRR FAQQYNVQVYLEPGEAAVRHSTTLQVSVLDIVVNEKQLAIVDSSTEAHMLDLLIYRET APVGNDTGDHTYMICGKTCLAGDIFGEGRFDQPLQVGDRIAIGDAGGYTMVKKNWFNG IHMPSIAVKDEDGSVRVVRDFSFDDYVNSLS MIM_RS16275 MKRNVLIIGAGGVAHVAAHKCAQNNILLGDIHIASRTLAKCEAI IASIKEKGSKHGPGRLQAHALDALDIEATKTLIRETGSQIIINVGSPFLNMSVMTACI ETGAAYLDTAIHEDPDKVCEPPPWYGNHEWKRRDDCKKAGVTALLGVGFDPGVVNAYG RFAVDTYFDQVDAIDIIDINAGSHGRYFATNFDPEINFREFTSTVWSWENNRWKSSKM FEHRQQWDMPVVGNHTTYLTGHDELHSMSKNLGVPNIRFWMGFGDHYINVFNVLNSLG LLSEKPVRTAEGDEIVPLKVVKAVLPDPASLAKGYTGKTCIGDLVKGTKNGIAQEVLI YNICDHEESYEEVGSQAISYTAGVPVVAAAMLIADGIWDVGHMVNVEELDPAPFIEQM NKMGLVTRVRDAKGDRVLEPQRELLMNAPVEELEDTATYEYFAHDQALLTKGQPSRQH DMR MIM_RS16280 MNVEISFDREALRNQIDIYKRLKQGGYLESAQKELASIEQYLAL LQQSDPCEYEALKAEIER MIM_RS16285 MTSSPSYPRDLVGYGRNPPQANWPGKARIALQFVLNYEEGGENS VLHGDAGSEQFLSEIIGAAAYADRHLSMESIYEYGARVGVWRILREFERRGLPLTIFA VGMALERNPDVARAFVELGHEVACHGYRWIHYQDVPEHIEREHMRQCIEVVSALLGRH PEGWYTGRDSPNTRRLLAQEGGFLYDSDYYGDDLPFWTEVDMGNNQRKPHLIIPYTLD TNDMRFASPQGFNSGEQFFNYLKDAFDVLYAEGQETPKMMSVGLHCRIIGRPGRFAAL QRFLDYVQQHDLVWITTREAIARHWVQAHPWQIR MIM_RS16290 MKDSSKVLGFIGVGVMGEFMCANLIRSGKGDVHVFDVQPEPVGR LKEIGAIASESLHQLAQDTDVVFLSLPSISQVEDVCLGKNGLFSGDSSRIKYVVDMST SDVTRTRELALALQKRGVTLIDAPVARMREAAKNGTLLITVGAEPDLFEMIKPYLETM GSDIVLCGPTGCGQVVKIMNNMIVFMTVQALAESLVIGKRAGVDPALMFDALTKGSAD SFVLRNPGLKALSKDTFPEKTFPTEYAIKDIRLALTLAEDGKVDAKAAKLTHSLLEQT RDAGFVKEYYPVMVKLIEKGYA MIM_RS16295 MNRKTFGAGLLAACALSFSLPAAAIYPEKPVTLVIGFSAGGPTD IVGRYLAQGLSKKLGQTVVVENRPGATGVLALQSVKKEKPDGYTLMLGSSSTLSIEPV FKKNVKFDVFKELTPIAPVASYPYLLVVPASSPYTSISSLVQGAKQQPGSLSFASAGN GAVNHLAGEWFKSQTGIDITHIPYKGDSAAVSDLVAGRVDMAFLSIIAADPLITSGKM KALAIASNGPSQLKPGMPTVAAESGINDFSAEPWNGILGPANMPAEIVTTLNTAITEV MSTDQAKEKLATLGQSPFIGTADELAAHIRSEMKRWSGVIKKANIEQVE MIM_RS16300 MQHTQLALYIDGQWIDASSRKTLDVIDPATEHVLGQLPMATSHD VACAIAAADRTFAQWRDTPALARSQVLRQTGALLRQRQEHIARIITYELGKPLAEARG EVIVAAEMFEWAAEEARRLYGRIIPSRNPDIRQMVIQEPVGPVAAFAGWNAPAITPSR KIAGALAAGCTMVIKPSEETAGVALEIARAVVDAGLPAGVLNVVFGDPGSISEQLMAA PEIKMVTFTGSTAIGKQLAALAAPSLKRLTLELGGHAPVLVFDDCDIDEAVKHITAAK FRNSGQICTSPTRIYVQDTVYETFIEKMTVAVSRIKIGNGFDSGVTMGPMANPRRHAA MKQFIDDAVDQGATIVAGGKLPEGQGWFVEPTLIRDFNNHCIAANTEPFGPMALIRPF SGFDQAISEANRLPFGLASYVFTLNSRTAKRASDLIQSGVVCINHCQASLPETPFGGL KDSGLGKEGGIEGLAQFLNIKYISQL MIM_RS16305 MKKLTLCMAALCSGYASIASAAISDDVVKIGVLTDVSGTYAGNV GPGSILATRIAVEAFGGKVLGKPIEVITADHLNKADVGSAKARQWLDRENVDVITELG NSAVALSVMNLAKDKNKMTIVTGAGASRITGKDCSPTNLMWVYDTYALAKVGTVPLVQ DGAKKWYFLTADYSFGHALESDGQRFIKESGGQVIGSTRYPFPGNDFSSFLLTAQQSQ ADAVAFASAGADLQNEIKQANEFGLTASQKIVAMLMSITDVHGVGLQAAQGMNFAETF YWNMDDETRQFSSRFYKEMKKMPTALQAGQYSAVLNYLRAVEKANSDEVSDVIDALKN MKIHDAFARNASLREDGKLIHDVYLVSVKKPEESTKPWDYYNIEKTVAGVDAFNPLSE SECPLIKGSK MIM_RS16310 MDRHYEVYALKYATVMRDAADNFLHKDAHDGPMPLDFYFWVIKD QQHTILVDTGFSGCSARERNRQLLHEPIDLLASLGIEPEDIEHIVLTHLHYDHAGNVA SFPNATIHLQEAEMHFATGKWMCFEHFRHFFSADDITQVIRKVYTDKVQFHNGNATLL AGIELIHIGGHTPGLQAVRVNTRRGPIMLASDAMHYYRNFATDNPFPAIVDVTEMLQG YRKLRSLVPSDNHLIPGHDPLVADIYPCLETHSSIFRLDISPG MIM_RS16315 MLSDEDIIAVWPARLADREHLVWKGRHLKTSFLFALGERRYLVA IREGQMHVRAASTIVMPQWDFALRADEHVWHAFFQPVPPPEYHDLLAMMKCRRLIIEG NIYAFMSHLLFFKALFTLLRSTEK MIM_RS16320 MTATFEPVTGKYLNVQLHGKPHRIYIETAGQGIPLLCLHTAGAD TRQYRALQNDTRITDRFQIIAFDLPWHGKSSPPQGWQDECYQLTSAQYIETILTVMDA LSLDKPYVMGCSIGGRIVLHLALHHPEKFSGLIGLQSGAHVDPYYDLDWLHRSDVHGG EVCAGIVSGLVGPCSPDRDRWETLWHYMQGGPGVFKGDLHFYTIDGDIRSQVSQIDTS QCPLWLLSGAYDYSCTPADTAFLGNTIDGVKWRIMDGLGHFPMSEDPATFAGYLYPVL DEIYASDHNKGSA MIM_RS16325 MSKENVVYEQQGFGAELEPVAPYGLLIIDLVNGFADPEVFGGGN IPQAIEHTQKLLQTAREQGWPVAHTRIVYADDGADNNIFSIKVPGMLGLLENEPNSQI VPQLAPVQGELVVRKNVPSAFFGTSLAAWLTQRGVKTLLVAGAVTSGCVRASVVDAMQ LGFRPLVVADCVGDRALAPHEANLFDMRQKYATVLEREQAMRLLGLG MIM_RS16330 MAVSDYQLIEAWQKVLTLSKLEAGQTVTILTSPSTHPQTLSCAT IAAQSKGAIVNRLDLPPVNAEKALSRDALAYLGTTPLTGNKAAIAALKASDLVLDLMT LLFSPEQHDILESGTKILLAVEPPEILVRTVPIEADRKRVKAASALIAASKQMHITSE AGTDLRCPLGEFPAISEYGYVDEPGRWDHWPSGFTLTWPNEGGATGRIVIDKGDILLP QKKYVAEPITLTVENGYATRIEGGIDAQLLDEYMKSFNDPEGYAISHIGWGLQPRAHW STLDLYDRENTIGMDARAFEGNFLFSLGPNNEAGGSRTTACHIDIPLRHCTVKLDGVD VVRNGKVLDGGVNE MIM_RS16335 MSHYLYGANISANGIRQHYLRYGGTDGERANRPAVIIIPGITSP AVTWGFVGERFGRQFDTYVLDVRGRGLSEASDALDYGLDAQAADVVEFAKALGLARYI LVGHSMGGRIGARAASTSPAGLEALVMVDPPVSGPGRRAYPAKLPWYVDSMAMARKGC DAEAMRAFCPTWTQEQLQLRAQWLHTCDERAIIQSFNDFQNDDVHASFAQIKVPVLLM TAERGDVVLEKDVQEIAGLIPGLTETRVNDAGHMIPWDNEAGFYAAFGDFLGARLD MIM_RS16340 MTYLAPRSEQQSLLASTGTLLVLRAPVVPPAPAAGQPGVVSAYL QDYDDVFVAVSEQGWVRAFCGHVDLGTGIQTALAQIVADEMDVPMSVVQMVLGHTDAS PNQGPTIASASIQITAQPLRRAAAQARHMLVALAADMWHLTAADLSVQEGRIASAHGH AVDYWTLLAGKQYRAYLQDEVQTKAAKNLKLVGRSQPRVDIPAKALGRFIYVHDMRVP GMWHGRVVRPPYVGRDTGAFIGHSLVAVDSASVSHICPDIKVVVQGDFVGVVARREEH AIRAARELKITWKPVAPLEDLQDLEAAIRRQPMSERILQDNRGEHPDLPPAGIDIKRT YVWPYQMHASIGPSCAVADYQPGHVRVWSGSQNPHMLRVHLSQLSGLDEAQIEIIRLQ AAGCYGRNCADDVCGDALLLSQQTGVPVRVQLSREQEHGWEPKGAAQLMDVQGSIDAQ GNLLDYDFSTHYPSNDGPLLALLLTGQEPALPRSLQMGDRTAVPPYGYRRQKIVCNDM ATIVRASWLRGVSALPNSFAHDCFIDELAFEAQADPLAFRVKNLAADTRAQALLLAVG ERAHWQPGRPGSRGSPDKDGWLHGRGVAYARYIHSKFPGFGAAWSAWIIDISVNARSG EIRLNHIVVGQDTGQMVNPAGVRHQLHGNVIQALSRALYEQVGFDANGTVQAEWGAYK IIDFTAIPPIDVVLMDRQDEPPMGAGESASVPCASAVANALFDACGLRFYQAPFTSQT VREALQRASL MIM_RS16345 MNPAAARPFTLKVNHQSHDVTVEPDTPLLYVLRNDLALNGPKFG CGLGECGACTVLVDGVAARACVIPTGVIETREITTLEGLSENGQPNIVQQAFIDHQAA QCGYCLNGMIMTVQALLNRNPNPTDAQIRNELRHNLCRCGTHVEIMAAAIAAARGRP MIM_RS16350 MSTDLTSPTTADLCHKPNDSDSMDLSTPTGSLLLHGVVLRPPHW AQDMQANRIARLQSLDSERAAAVPGVVRCVCRGNFVGVVAVQRTQAQQACALLKADWL TPVAASADVHYSANQTSTPGTIHSATRYEWRNQLAEDTPDWAIAWHRNDQLTVWVNTR RQAALRQELSALLDLPPEAIRIVQHGQHTQDGLDTAVEAALLAWDLSRPVRVQAAYAA TSLAVQIEQKQQTADHTRRERTQWSINALDPRRPSIAGRLCGLETDPRSGMALLTDYV DAPVQKSDRNLTATDPYSYTAAAVFAQESHFDEYCASHQLDPVQTRLARIGSERGQQL LRRVAQQSGWDQTASGPTARAAGLGRGIAYSHTIDNDCNPPQEVWSAWAVELAVDARS GGLSVSRLTVGHDASHTERTAETTTETQRALKDRLGKWTQLLLGQARQGVENTAAPSD EQHQLALPEVQVVNSARSLDQPLAWGPGVELPAAAAIANAIYNATGLRLRETPFALPT LSLDAPPTTKRRKRWRNSWLGGLMAAATGALVVAAPWRSSIPPVSRVDTSIFSAQAIE RGRLVALSGDCMVCHTAPDGQTNAGGLGLDTPFGTIYTTNITPDRETGIGAWSYKAFE RAMREGIHRDGRHLYPAFPYTAYAKMSDEDLQSLYAYLMTQPAVSSPNKETRLPFPMN VRPLMAGWNTLFHRDRQAYTPDPNQSPLWNRGAYLVNSSGHCAACHSPRNALGAEKSG ENNFLGGGFADNWEAPALNKRSAAPIPWTEQELFQYLRTGYSPLHGVAAGPMGPVVAG LAQLPETDVRAMAHYLASLNPATTESTDNQAVMAARLEADSQASQDTRLLPGETLFNG ACAVCHDSGSGPVLFGARPSLALNSNLHSDHPDNVIQVLMHGITRPAQANLSTMPGFK HSMNDAQMASLLQYMRTRFAPDKPAWNNLPEKIANIRQQQGHP MIM_RS16355 MRKAVQRHTAIFQQNVGDDQLTAIQFVTLCALRDRGRSSQAELV EATAIDQATIRGIINRLKARGLIALSPGKLDKRKVIASLTTEGQALLSRTIPRAQEIS RLTMGKLNPAEQVAILYLLQKMNASDIQGE MIM_RS16360 MKESVKIAIVGAGLGGAAAATLLQNAGFEVEVYEQAPEFSRLGA GIHVGPNAMKVFRRMGLEKQLEQMGSHPDFWFSRDGNSGEYLSRIPLGEWARKEYGAS YITIHRGDMHALQMSSIKPGTVHFGKKLETLEDDGDQVQLGFADGTRVTADIVIGADG IYSKIRETLLGVEAPTYSGWVAHRALIRGENLVKFADEFEDCVKWWTEDRHMMVYYTT GKRDEYYFVTGVPHEAWDFQGSFVDSSQEEMLAAFEGYNPTVQNLIRSTENITKWPLL NRNPLPIWSRGRLVMLGDACHPMKPHMAQGACMAIEDAAMLTRCLEATGLSDYRTAFE LYETNRKERASKVQSVSNANTFLLKQEDPAWVYGYDLYEQDLTTQNANATS MIM_RS16365 MNSTTSNPPLLQTGETNRDLYTKITWRLIPFLCFCYLAAYLDRI NVGFAKLQMVEDLQFSVTAYGLGAGLFFVGYIIFEVPSNLILEKVGARMWIARIMITW GILSGLTMFVTTPTQFYVLRFILGAAEAGFLPGVLYYLTTWYPTYRRGKIIALFMIGL PLSSVIGGPLSGWIMNHFDQLHGLRGWQWLFLLESIPSVLLGLLTFWALPDNHHKAKW LNSEERALLQRDLDHDNAEGKHSKHSFKDGFFNLKVWMLGSIDFSILLGAYAIGFWMP SFIRNAGVTDTFDIGLLTAIPSIAGVIGMLAIGASSDYFRERRWHLAVPLTVGAIALT FSTFVSSNLILTVVTFTIASCTLLGAVPVFFSLPATFLKGTAAATGFALACSIANIAG LVSNSLIGWATDLTGTAHAALWFFAVCLVCNAVLVLAAFPARLVNR MIM_RS16370 MARRNLNDLVSFVTVAREGSFTRAAARLGVTQSALSQAVSGLES RLQIRLLTRTTRSVSVTPAGERLLLAIGHRFDEIEAELDELTALRDKPAGTVRITCGD HVLQAILLPKLVPVLREYPDIKLEFDVNYGLRDIVADRFDAGVRLGNTIDKDMIAVPI GPPLRMAVVASPDYFAEHPVPRKPQDLMAHRCINQRMQTSGGLYVWNFERRGKQVNVR VDGPLIFNTTQPQVDAALAGLGITLLPEDELMPHIESGRLVSVLQDWCPPFTGYHLYY PSRRQPSTAFSLVLNALRIGAAGR MIM_RS16375 MTTEVLDLPRNATESVSAAETTSAYWSGVFAMTLCVFALIASEF MPVSLLSPIAADLRVTEGMTGQGIAISGAFAVITSLFISSLAGAVNRKTLLLVLTTLM AISGAIVGLAQNYTIYMAGRALIGVVVGGFWSMSTATAMRLVPASQVPRALAIFNSGN ALATVVAAPLGSYLGAVVGWRGAFLCLVPVALIAFAWQWISLPAMPARQRSGGSLNIF TLFRSRVVRLGMLGVGIFFMGQFTLFTYVRPFLETVTQAQAPAVTLALLVIGIAGFIG TTVIGTVLKWQFYTTLIAIPLLMAATALALIVFGGTVATAISLLGLWGFISTAAPVGW WSWIARTLPQDAEAAGGLMVAIIQLSIALGSTLGGVLLDHGGYHSTFFASAGLLLLAA LLIYQTSRSDTV MIM_RS16380 MKVKAYGAHAGDLPLESLDITRRAPGAHDVQIDIAYCGVCHSDL HQVRSEWAGTLYPCVPGHEIVGRVTAAGAHVSNFKVGDLVGVGCIVDSCQHCDDCAEG LENYCDNMIGTYNFPTADAPGHTLGGYSQQIVVHERYVLHVRHPEAQLAAVAPLLCAG ITTYSPLRHWNVGPGKKVGVVGIGGLGHMGIKIAHAMGAHVVAFTTSESKREDARALG ADEVVVSRNAEEMQAHANSFDMILNTVAAPHSLDEFLSLLKRDGALTLVGAPATPHPS PEVFNLITKRRTLAGSMIGGIPETQEMLDFCAEHGIVADIELISANQINDAYERMLKS DVKYRFVIDNATLAA MIM_RS16385 MSNTTFHSELPRALQHILEQGQTASRPPLARRRFLKIVGAGGLA IGAFPHMLMAQEAEGTAASVLKPGQQPSAFVHIAPSGEVTVTINRLEFGQGVQTGLPM ILAEELDADWSLVRSSNGSNDVAYQDPLFGMHLTGGSTSIKHSYTQYRELGARARAML MSAAAQRWNVEVASLRTQEGVVLGSDGRKAGYGELAEAAMALPVPEKVTLKDPKDFRI IGKATTRIDAKAKSSGQQDFGIDMNLPGQLTAVVAHPPVFGGKLASMDDAAARAVKGV KAVLRVAVDGGGQGVAVIAEGYWQARQGRDALKLQWDTSTVEKVDSEKQLTQYREMAE TPGPRLYEADMSPLASAAHKLDAEFVFPYLAHAPMEPLNCTVQTTEKGAQLWVGTQMP GFDAMAAARVLGLKPEQIQVHVQTAGGGFGRRGVGTSDFVVLACEVAKAARTAGLDAP IRTLWSREDDIKGGYYRPVHLHRARIGFDDNGKVLAWEHALVGQSIVAGTPLESMIKN GIDSTATEGMRDPYPIPMQLTVHHPKVNVPVLWWRSVGSTHTAFVMETLIDDIARTTK QDPVAYRMALFGDKSPRHKAALQLAVEHSGYGKTALPEGRAWGVAVHESFSSVVAYVV EASVKDGKPVLHRATAGVHCNLTVNPRSVEAQVQGAAIMGLSMCLPGAAITLKDGVVQ QSNFGDFSVPRITDAPEIAVHIVPSAEPPTGMGEPGLPPLAPAFANAIASITGKPLRT LPFKLS MIM_RS16390 MSTLNINGSDHTVDVDPGTPVLWALRDSLDMTGTKFGCGAALCG ACTVHLDGQAIRSCVTPISAVQGKKITTIEAVTDGSDAVGAAVHAAWVKHDVAQCGYC QSGQIMSATAFLKTRPKGNPPTAAEIDAAMAGNICRCGTYTRIRAAIADAAQTLA MIM_RS16395 MSALAQAINAFAQIDGDHATPIAALSFHRRQSPTEPLHCVYGFG LGVVVQGAKQVTLADRVVEYGPGQCLLATMDLPVFSCITQASQREPFLGLMLALDAHS IVQMATEMQLLRPEKDSTCQPVTVQALDNALTDALIRLVNLLNEPALAPRLAPLIQQE ITIRLLTGPHGPQLLHLVAVGSPSQKIARAVTWLKQNYTLPMHVDELAAYAGMGSSTF RHHFRAITGMSPLQFQKQLRLQQARQLMLNQNLDAGHVGGLVGYESASQFNREYSRLF GEPPHRDVRRMRVSASPSSQ MIM_RS16400 MNSPKRLRSANITQGPARAPNRSMYYALGYKEEDFVKPMVGVAN GYSTITPCNSGLQKLADAAIAAIEASGGNAQVFGTPTISDGMAMGTEGMKYSLVSREV IADCVETCVQGQWMDGVVVIGGCDKNMPGGMMGMLRANVPAIYVYGGTILPGRLNNKD LNIVSVFEAVGENAAGRMSDEDLRQIELHAIPGPGSCGGMYTANTMSSAFEAMGMSLP SSSTMANVHDEKTDSAGESARVLLKAIEQDLKPRDIVTRQSIENAVSVIMATGGSTNA VLHMLAIAHAAGVDWSIDDFERVRQRVPVICDLKPSGQYLAVDFHKAGGVPQVMKILL NAGLINGDCITITGQTIAQMLERVPDTPAADQQVIRTIEQALYKQGHLAILKGNLSPE GAVAKITGLKNPVITGPARVFDDEQSALQAILDGKIKAGDVMVLRYLGPKGGPGMPEM LAPTGALIGAGLGDSVGLITDGRFSGGTWGMVVGHVTPEAAVGGTIALVQEGDSITID AHQLLLQLNVPEADIEVRRARWTAPRPRYTRGVQAKFAFNASTASTGAVLDKF MIM_RS22965 MTKSMTRSLFLAATFAGVVGLAGCAGNGYDSGASTSTDASAQAP MTSDGSTAAPGTTAQPMPTSPAPTAQP MIM_RS16405 MLNIYLILAISICAETLATTMMKASHGFTQLGPSIVVVIGYAIS FYGLSQVVKTMNIGIAYAIWGGMGIFLVSIMSFLIYKQRLDLPAIVGMLFIALGIVII QLFSKSVTH MIM_RS16410 MTETDKNVTLLRIPGVAALMWVVALGIGSFSILLPLSPDWAIRG GASEAAAGSVTAILMAFTILTQLSVNRALKRFGWGPLLATGLLALGAPALLQATSSAL SVILLSSAIRGIGFGILTVSGATAIALLVPAARRGAAVGIYGLAVAGPQLVLVSSAPI LEQLMGKLVVTLIATLPVLGLFWTQALGRLLNERSTQQHTSAMHASTHSKSTFAVISP VLLSLVIVTSSGGAILTFASQITADASSATIALLCLTGFATPTRWALGSMSDRYPARY LIFGLALACCLGMAALGASVHTANTSWGLTALYLGSTLLGISYGGMQSATLVCAYQLA GNSRLAQVSVLWNVTFDLGTGVGAMLTGIIAASTGFAAAFAFLSVAAALSAMIILISL RPAKV MIM_RS16415 MKTDDLVSLLSNSGGAADPMMVSSRYWQAMVISTGGALILLLIG YGLRPDLSVMLGTPLFWARLAFPAVIAVGALMVVARLARPGVSVGRSWTVMALPVIVV IVAAVLTLGLAPASERLSMVLGATWRSCPFNIAFLSIPGFIANFWLLRNMAPTRLRVA GAAAGLLAGSAATIVYCLHCPEMEVAFWAVWYIAGMLIPAVIGAVLAPRLLRW MIM_RS16420 MVGPGAQGVDRTARTAKAQETESRLRALFLAGLDGDSQCYHAFL QALGGNLRAFLRRRLSHIPDEIEDIVQEILIAVHNARHTYLPAQPLTAWTYAIARYKM ADYLRGRYRYEAWNEPLDSQQQELFSESDHEAAQACRDLDKLLSQLPDRYRLPIVHVK LKGLSVAEAARETGLSESAVKVGIHRGLKALAAKIRGTT MIM_RS23525 MAAPAEYRLKLVSSRNLWGTTIGNTMLLSVPSFIAMIHAMKGLA PTRLALSGAAAGLLAGAQGVLIYALYCPDMPVPSWSVLQITAIFITTGIGAAFGPICI KW MIM_RS23530 MRTEDLIAILALNLKPVRADAVGKRLARAHLLGLIGGAVLLVVV FGVSSDMPEQILTPLFWLRLAFPLAMIAVAVTLAERLVRPGVAITSAWLMTLLPMLTM MLGVIFLLWLHLLNIA MIM_RS16430 MSEYQTESATLTVGPSNRLDRVIRRVEWIAQPWLVQMLLRLAIA VPFLKSGLLKWDDFLQLNETVIYLFTDEFRLHLPGGPYAFPAPALVAFLAACGEVFLP LLLILGLGTRLAALGIVLMTIVIQITVPEGWPVHLTWVAIALAIAAFGPGRLSVDYLL GDRRLRSR MIM_RS16435 MKRRVMAYDYAEAFSTGLFRPDFPVPEDMMVGAGKGVQSRYNVY RNNVTVSLIDALAAVYPAVQRITGTAFFRAMARFYVRALPPESPLLFEYGRSFPDFIE SYEFAQDMPWLADTARIERAWLDAYHAADRPVMVARALTAVPPAALADLRFCAHPAAR IVRSIYPAVAIFVMNRREGPVSSMKSSAAEDALITRPEQEVIVSRLPAGGAVFLSSLL QGLSLGVSASKAFEQAPEFDLSGNLAAMITAGVFTGINLEM MIM_RS16440 MSGRSLPAGATTRLLAGVSFKHEHLRAIQQDTLTDAFFEVHAEN YMGEGGPPQQALAAIRRDYPLSVHGVCMSIGGPQVLDSNHLRRFADLVRRCEPLLVSE HLAWSAYDNTFYNDLLPLPYTSDTLHTVCDHIDQVQVAIGRSLLIENPATYIQYASSE MSETGFLREVARRTGCGLLLDINNVYVSAINHGFSAETYLADFPLDRVGQIHLAGHCA QEDDEGQPLLIDSHDAEVAPAVWDLYKQVIASTGLVPTLVEWDSRLPAWPVLEAHRLQ VRDCLLACATSNDSLYEEAGYGI MIM_RS16445 MSAKFTVTSTLLASAVAGMLASAVHAAPLTKAEADAAVAAKKEK CYGVALKGQNDCAAGPGTTCQGTSTQDFQGNAWKFVQAGTCSKIEVPGGGHGSLMPVK A MIM_RS16450 MSTRKQLRSLVEARRGIIVPGAFNALSAKVIADLGFQAIYVTGA GVTNMWFGMPDQGFMGLHEIADHTARIRDAVDVPLIVDADTGFGNALNVRHTVRVLER AGADCIQLEDQVAPKRCGHFSGKEVISTEEAVSKIKAAVDARQDPDFLIMARTDAAAT HGFEAAIERAQKFAEAGADILFVEAVTEAEQVRALPQRLAKPQLMNMVIGGRTPIFNA DQLAELGFGIVLYANAALQGAVAGMQKTLTVLRDEKEVQESSGLVASFSERQRLVSKP EWDELEKRYS MIM_RS16455 MTHAPQIKIAATYMRGGTSKGVFFRLSDLPDAAQTPGAARDALL LRVIGSPDPYGKQTDGMGGATSSTSKIVILSKSERAGHDVDYLFGQVSIDKPFIDWSG NCGNLSAAVGPFAISNGLIDADRIPSNGVATVNIWQANIGKTIVSHVPITNGEVQETG DFELDGVTFPAAEVQLEFLDPAADEDGAGGAMFPTGNVVDELDVPGVGKLQATMINAG IPTVFVNARDIGFTGTELQDAINSNTDTLAMFETIRAYGALRMGLIANLEEAERRQHT PKVAFVGEPADYAASSGKQVSADNIDLVVRALSMGKLHHAMMGTAAVAIGTAAAIPGT LVNLAAGGGERESVTFGHPSGTLRVGAQAVQEAGQWAVKKALMSRSARVLMEGFVRVP GDAF MIM_RS16460 MNTENRKPLPGTGLDYFDARAAVDAIAPNAYDALPYTSRVFAEN LVRRCDPATLTDSLKQLIERKRDLDFPWFPARVVCHDILGQTALVDLAGLREAIAKQG GDPAQINPVVPTQLIVDHSLAVEYAGFDKDAFAKNRAVEDRRNDDRFHFINWTKKAFK NVDVIPPGNGIMHQINLERMSPVIQNRDGIAFPDTLVGTDSHTPHVDALGVIAIGVGG LEAESVMLGRASWMRLPDIIGVELTGQLQPGITATDLVLALTEFLRDQKVVSSYLEFY GEGASRLTLGDRATISNMTPEYGATAAMFYIDQQTIDYLKLTGRDDEQVRLVETYAKQ AGLWADSLKTAQYERVLTFDLSSVVRNLAGPSNPHRRVPTSELASRGISGVVENEPGL MPDGAVIIAAITSCTNTSNPRNVIAAGLLARNANARGLIRKPWVKSSLAPGSKAVQLY LEEANLLPELEKLGFGIVAFACTTCNGMSGALDPVIQQEIIDRDLYATAVLSGNRNFD GRIHPYAKQAFLASPPLVVAYAIAGTIRFDIEKDVLGTDQNGQPVTLKDIWPSDEEID AIVASSVKPDHFRSVYEPMFAISVGDGESVSPLYEWRPQSTYIRCPPYWEGALAGERT LKGMRPLAVLGDNITTDHLSPSNAILPNSAAGEYLAKMGLPQEDFNSYATHRGDHLTA QRATFANPKLLNEMVQENGAIKQGSLARIEPEGKVVRMWEAIETYMERKQPLIIVAGA DYGQGSSRDWAAKGVRLAGVEAIVAEGFERIHRTNLVGMGVLPLQFKPGVNRQTLNID GTETYDVLGKPEPRSTLTLVIHRKNGERLEVPVTCRLDTAEEVSIYDAGGVLQRFAQD FIESPVASQAQ MIM_RS16465 MTQAPDTKGFKPKKSVALSGVVAGNTALCTVGRSGNDLHYRGYD ILDLAQACEFEEVAHLLIHGKLPNKAELASYKLKLRRLRGLPVQLQDALQALPASSHP MDVMRTAVSVLGCVLPEKDDHNLPDARDIADRLMASLGSALLYWYHYSHNGKCIDVET SDDSIGGHFLHLLHGETPSEEWVQAMHTSLNLYAEHEFNASTFTCRVIAGTGSDMYSA ITGGIGALRGPKHGGANEVAFEVQKRYETPDDAEADIRRRVENKEVVIGFGHPVYTIS DPRNKVIKEVARKLSATQQNMKMFDIAERLESVMWDIKKMFPNLDWFSAVSYHMMGVP TAMFTPLFVIARTAGWAAHIIEQRIDNKIIRPTANYNGPEDQTFVPLEQR MIM_RS16470 MRKIFMGVRLRRLREERKLTQIGLARMLGLSASYLNQIEQNQRP LTMPVLLRLSEVFNMDVNTFSDDEQTRMVAALHEVAATLPASAIGGHEAARISHAELR EIATNMPAVGRVLIDLHRRHREAADRLEAMAEKMTYTDSTDPADALQLQTQPYEEARD FFFTHHNHFAQLDAHAEQCHTDWGLADMTPPGLAERLEQRLREQHNVVVDILAAEKGL QRKYDPALRRLSLPPHITPGQRAFQMGTQLAFLELDNMLARMVDVPRFSSQAVRKLVR LGLANYFAAALILPYRQFLTAAEQLQYDIDLLGHRFGVSFETICHRLSTMQRPEATGV PFFFIRVDRAGNISKRQSAAHFHFSRVGGACPLWNVYEAFSSPGRILRQLARMPDGRV YLWIARTVCHQTGGFGQPTKDFAVALGCDVAHAHRLVYAKGLDFGNPDIPTPIGMGCR VCERPQCAQRAFPYIGRELDVNENVSSVSPYPMNN MIM_RS16475 MSPSATSHALRSLELALGTELLDRHSAGITLTYTGQQILPHVRD VFAALQLVQATALSGAQLKTGLLNLGSFGASATIRVLPVLLDRFKVRYPGVEMMVTEK PDEQTARDLIERRLELAAVTLPKPDFDSVTLAVDELVAVLPSGHPLAERETVALAEMT ADPFILTRAGSQALVSKLFAKHGLRPRVNYELLQLMSILELVASGKGVSILAKLALPD EYNGVVFRPISPGASRHIGLACLDESRLSPVAQAFWHEAQRYRAGQTSAARKRS MIM_RS16480 MSADQLTALAGIKVLDLSRILAGPTAAQLLGDLGADVVKVEKPL EGDDTRKWGPPYVADRSGEKTDESAYYLCANRNKRSIAIDITSASGQKRVHQLMAHTD VLIENYKVGGLSKYGLAYDQIKDRYPDLIYCSVTGFGQTGPYAARPGYDFLIQGMGGI MSLTGEPQGTPMKVGVGIADVMTGMYAAVGILAALRHREQTGQGQHIDIALLDTQIAW LVNGGTNYLTDRKRPERLGNGHPNIVPYQVFSTADAPMILAVGNDAQFRRFCQVAGEA SLASDERYATNIMRVRHRIELCRLVDNALRKRTRSHWLQTLEAANVPCGPVNSLEEVF ADPQVIARGAQLTMPCEWAAGGQISLLANPLKMSATPPTYNRPPPRLNEHEAEVLADW LKTCKTT MIM_RS16485 MYELTPEQRTLQTQARELAQSVFASTAVQTDLTEQYPWDNVAQL RDAGFMGMMLPTSVGGRGLSTLDTVIVIEEMAKACATMGRITVDSNLGAIGAITKYGS EEQIKLAADLVLAGDKPAICISEPNAGSAASEMTTRADKNGDHYILNGEKYWITGGGV SKLHLIFARVFDDGVEQGIGAFITVLDDHGPEGLKVGRRLYAMGVRGIPETHLEFHDL KIHKSMMITFPDGLKRGFAALMSAYNAQRVGAGAVALGIAQCAFEEGVAYLKRREQFG RPLAEFQGLQWMVADMSVQLEAARLMLRSAAVSGETFPDINKAAQAKIFAAETANKVT NDALQFFGSSGYGRHNPMERHVRDARMFTIAGGTAQILRTQVASKILDMKLPQTRDGY LKAAQNSKR MIM_RS16490 MTTTVASPRFQQFIESFTQLIEQSSNNEAQILESGRSLLGDLVA NDVWLPEQFAQPHPQYYQQYLLHADPLDRYSVVSFVWGPGQKTPIHNHTVWALIGMMR GSERSELFAVPKPDEPMQLVNTDTLSPGDIDMVSPRLGDIHRVSNVFDDRVSISIHVY GGNIGRISRHVYDALTGRTKTFISGYSNEPEAPAA MIM_RS22235 MTTNTIELTALCTSFPSNLVDHAPELFTVFKDYAEVVRESAEDA LSPRLYALVRLAAATAIPSPALARHALALARTRASEVDIAGAVNAACHLRSGAAIAYG RLVFKLMEDSGSAPPETGARSQIALDREYMTKLRKASPRPFDAMARLTTARQKNNVLA ELDYELIAIAVATVTQCVYCLEMHGNKARKLGASDQQIADAVHIAICARYEATLCEWS DVSPDANQSFK MIM_RS16500 MYLQKRAAAAGLFALIMGNALPAMAEWPDKPITLVTPYTPGGNA DILARLIAQPLSKRLGKPVIVENRPGAGGMIGAQYAARAKPDGYTLLLGSVANVLYEY FYKNVPMDFGADLLPVSHIASFPNFVVVSPNAGIDSIEEAVQHAKSSSTGISCGNPGI GTTPYLTCEILKNRLGIALTNVPYKGSLPAITDVIGGQITLAVASEALPYMKDGRLKP LAVSSRLPTPLAPEVPPLSHTIEGVDVVAWFGVFAPRNTPQAIIERISSDIAASLKSA DMRAKLAGLGATPVGSTPAAFDNYYKAEATRWREEIAAMGIKPR MIM_RS16505 MKNMLSPIKLINIALLAIAPCLAHAASNYPDKPISIVVPYAAGG STDLIGRALGESMGRYLKQTIVIENKPGAAGSMGAQEMVRTRPDGYKLTLAPHGIFRQ PYLQKTRYDPIKDLTYIASFSTYDFALVVDAKSQLKTVNEFVDYAKQHPDQISVGTPG RFTGNQMVMVELSNATGAKLTHVPYKGDAEAITALLGGHIQAAITTNSILPYMEAGTV RVLAVASEKRLAAFDGVPTFTEAGYPVVIPSPLGLAGPKGLPPEIVEKLDDAVHAAVQ DPVFLKAIGAYGIQTYYMNHKQYSEFAVKTFAEEKDIVGKMNEENK MIM_RS16510 MSDMSFVLLFELALLGIGSGFLAGLLGIGGGMVMVPVLTFLLSG LGTAPELAVKMAIATSMSAILFTSMSSVIAHHKRGAVRWSIVMHLAPGIVVGSLVSSL GAFALLKGSWLALFFSVFVIFSATQMFSDKKTASTRAMPGMVGKFSAGSAIGFLSGLV GAGGGFLSVPFMTWCNVAIHNAVATSAALGFPIALANVTGYLLSGQGIAERPESAVGY VWLPGLVVVAAFSVLTAPFGARAAHALPVKKLKRIFACILFLVAAYMFYTGYRGLQA MIM_RS16515 MRHFELPGRPISVGAKGMVATSNPAAAQAGLDILRSGGNAVDAA VAVAAMLAVVEPTQTGIGGDCFVLLKKRGQPPVALSGAGWAPQATSAAQLRESGLANI PADNVHAVTVPGAVRAWEQLLGDHGTRPLNELFHPAIVAAEDGYLVTERLARDWARSA NKVCATPQAKAIFMPDERSPVVGDRRFNPKLGRALRSIARDGADVFYEGWIAEDIVTS LQRHGGVMQLEDLADYHPEYVQPISTDYRGYRLWECPPSGQGIVALQIAAMLNRFDLS AYGALSTERFHLQAEVSRIAYAERDAFLCDPKHSTVDVEYWLSARHIDQLVARISLER RIEDVQCVITPEHKDTVFISVADADGTVVAFINSLFDDFGSGLVACDSGVLLHNRGCG FTLEAGHPNEIAGRKRPMHTIIPALLTKGAEAVMSFGVTGGHFQPAGQLQVLSNIVDY GLSIQQAIEHPRMLARGDSFELESTVPESIWAGLRQKGHAPVATENPLGTCHAIWLDH DRGVFMGGSDGRRDGMAIGF MIM_RS16520 MWVLGIYGTAVSGGTLFLPVSLGLSGLWPMIILSLLAFPITFIP YLGLGRYVLAGSTEGGKDGNILDTTIEHLGSTWGKILIALYFATVFPSMTVYTITLTN TIIDFVRTQLGLAEPSRWVVAPIAVFVLMMLVRYGTNTIVKIMGVIVFPFIISIVIFG LLAIPHWNPSMLATAVNFGGAGQLMVDVWKGIPMLVFAFSFTSITSSFVVAQKRHYGH QASRKVTQIMAVAVFLIIATVLFFSWSSILALSPEELAEAKSSNLTIVSFLARKFDTP AMAIASQAIVFAAVIKSFLAHYLATAESAKSFGRFVLGLSEQKLSSPGFSSVLAMFIF WSPLRSPSQILMPSI MIM_RS16525 MSISALAPSGSAEQDHSRLFANPRATREPYPAPLRSIMNIERAQ ECRRWLSAWPGIARQATPLYDLPGIAQQLGIARFSVKDESVRSSLGSFKALGAPIALV RQIVRLHPAFDPALILMGRYAQQLQDYTVISATDGNHGRGLAAAARDAGCRCVIVLHA NVSVEREQAIAVYGAQIVRIKGNYDDSVEEASRLATVNGWQVVSDTSYDGYEDIPRDV MQGYGTIAEEIVEQTGAQPGLAGAFTHVFLQGGVGGMAAGLVSYFWEFQGAQRPTFIV VEPVQADCLLQSAIQGRPARATGSVDSVMAGLACGETSMLAWKFLEPGVDYFMTIDDQ QAIEAMRLLANGSNIDIPIVAGESGTAGLAAMSVLRTDPALAQQVGIDAHSRVLMINT EGATAPSVYEALVGQSALTIAARQASWHAS MIM_RS16530 MTNKIDSYDHVLLAAIQDDARLSQSELGERANLSTAAVNRRLKQ LAKDGVIERYTANINPKSLGYGLTIVAEVKAESERADLLDEMREGFRACRQIQQCYYV TGDCDFVLIFLVQDMDQYVELTRRLFHGNNNVKAFKTLVVMDRVKAGMHVPVDKSGER S MIM_RS16535 MTDLSAVPTPVRDTLNLQAHWMPFSANRAFHKDPRLIVGAEGNY LVDDKGRKVFDSLSGLWCCGAGHNRVEIQKAVSQQLGTLDYSPAFQFGHPLAFQLAEK IADLMPENLNHVFFTNSGSEAADTALKLARAYWRLKGQPSKTKLIGRARGYHGVNMGG TSVGGIGGNRKVFGQLMDADHLPHTLQADQAFTQGQSETGGVQLANELLKLIELHDAS NIAAVIVEPMAGSAGVIVPPKGYLQRLREICDQHGILLIFDEVITAFGRMGKKTGAEY FGVTPDIMNIAKQVTNGAVPLGAVVSSSEIYNTFMSQNAPEHAVEFTHGYTYSAHPVA CAAGIAALDLLGKDNLIEKSAQLAPAFEKSLHGLKGANHIIDIRNCGLAGALQLAPRD GDPAIRPYEAGLRLWEKGFYVRFGGDTLQFGPTFTTTAQELDRLFDVVGEVLQGVA MIM_RS16540 MNKPLAPISDFDLRLIRVFRTVAECGSFTAAESTLGITRSAISL HMSDLEQRLGMRLCQRGRAGFALTDEGREVLRASESMMASIEDFRREVNQLHDSLRGE LNIGLMNNLVTQPRMRITHALAKVRQQSQEVRINISMSPPGDIERRLLDGHLHMGAFP LINKLSGLEYHTLYDEKSQLYCSHTHPLFAKAQKLSIKDLQGIPAVIPGYRLSPEAIA LHQPLADAARASDREGIAFLVLTGQYVGFLPDHYAKTWVEKNMMTAFAPEHIHYSVSI AAVTRKNRRQNLIIDRVLAELMQEE MIM_RS16545 MQRAKGLFTSFAQSASQAGSKTGRYFGQTLRLMVGVPDYDTYVK HMRKMHPDQEPMDYNTFFRERQAARFGGKGRITCC MIM_RS16550 MSILLWIVIALAGAFSLGTVALTRGETVNALWIVIAAVCVYLIA YRYYSRFIANKVFELNPQRMTPAWKYNDGLDYVPTNKHVLFGHHFAAIAGAGPLVGPV LAAQMGYLPGMLWILAGVVFAGAVQDFIVLFISTRRDGRSLGDLIKAELGQVPGVIAL FGAFMIMIIILAVLALIVVKALAGSPWGTFTVAATIPLALFMGVYLRYIRPGKIGEVS IIGVILLLAAIVYGQDVAQHPYWGAVFTLTGEQLTWALIIYGFIAAVLPVWLLLAPRD YLSTFLKIGTIAGLAIGIVYVAPQLKMPSVTQFIDGTGPVWAGGLFPFLFITIACGAV SGFHALIASGTTPKMIENEAQARYIGYGGMLMESFVAMMALVAACVIEPGIYYAMNSP AALIGTTPEQVAQVVSSWGFVVQPEHLTAMAAQVGEHTIISRAGGAPTLAVGMAYILH QVFGGEGMMAFWYHFAILFEALFILTAVDAGTRAGRFMLQDLLGTFFPKLRATDALVP NLLATFLCVAAWGYFLYQGVVDPLGGINTLWPLFGIANQMLAAIALTLGTVVLFKMKK DRFAWVTIVPTLWLLICTLTAGLQKIFHPDPKIGFMAHADIYSKALTKGDIVAPAKTL AQMQQVVVNNYVNSVLCGLFIFVVLSMAFFGWRAISKARRNPQNTTAETPFEPLPAEA LTGAQ MIM_RS16560 MRRRDFLKLSTAFSAGLLYPFWSQAATSGLRPKLPIPALLKPDS QGMIELIAGQGQSVLRNNLKTATWGYNGALLGPALQLQRNSKVTLQVNNRLPDPTTVH WHGLEIPGRADGGPQALIAPGTQWQASFTVDQPAATCWFHPHVHQISGQQVARGLGGM ILIEDQESAALSLSATWGVDDIPVIMQDKKLDANGQIDYQLDVMAAALGWFGDLLLTN GVHAPQHAVPRGWIRLRLLNASNARSYRLVASDHRPLLVIGSDGGLLSEPVQVHELPM MAGERFEVLVNTEDGKPLDLLTLPVSQMGMSVAPFDQPQLVLSLIPTTDKARGKLPDA LAKLPALPERKGLMVRKFKLSMDPNVDALGMKALTDKYGAQAMAGMDQAIHQGHGAMP SANTQSSSTQGHDMQKMEGHDMQNMQNMSGHNMQGMSGHNMPGMEGHTMPMPDADAPD LHRANFINGQVFDMKTPAFTVKRDVDEVWQISGEGDMMLHPFHIHGTQFRILSENGKP PAEHRRGWKDIVSVEGALSEVLVRFRHEADAAHPYMAHCHILEHEDTGMMTSFLVEGG A MIM_RS16565 MNLLHTFGASVQAMLFSKQQLESMHRLIDSRNIPVEKTEDFYQQ AISLEQAAGYSDFTRRYQRFSTAQKIMNGIAYVVTGFALLVFLLSKFGPLKEPIHALF SLLMSDFMLFAVLMSVIAGIILLILIGLYFYTRTLYNKLLGSELVKLWKRTIEHWSPD MSNVFTNGQPDPETVATYVRQHTDAACVDINR MIM_RS16570 MVGMVFLFALIAAVLYYFLDKAGIRFRVLISVGTFLALCGVFAL VMLNIGDQAAPNSIIITPEVLEQAARHRTD MIM_RS22970 MPYLIFVLIYLACTVSYLFCRAVQYRVHVLTDGGHSDTLSMYAL FLKADLPFFLTRLAILLIFALAIALYRKTAADIAYSVLMVVGLIFMADLVSATVFRML S MIM_RS16580 MKIVLLGATGFVGSALLAEALNRGHEVTAIVRNVAKLQAKQGLT VIAGDVTNVDSLAANIAGHDALISAFNPGWTPGTVRSEMYDEQVQGTNAILAAIKKAN IKRVLWVGGAGGLEVAPGVLLIDSPDFPEWIKPGSRATSEALEALRNSPELEWSFLAP AAMLEPGERTGKFRLGGDQLLTDEKGESRISVQDYAVAMIDELEARKHIRQRFSVAY MIM_RS16585 MVKVEDILAQVREQDGTVNIANCPIRNVLDQLGDKWSMLLVIML AQEPQRFGQLRKRLPDISQRMLTQTLRMLVRNGLATRTVFPTRPPSVEYALTTAGQTL LIALNTLVAWAQEHHEYIQESRMKFDAS MIM_RS16590 MTSNLFNTPEVQQFLDLACGINNSDGNERNKQIVHRLLSDLFRA IEDLNITPDEYWAGVAYVNTLGARGEAGLLSPGLGLDRFLDMRMDAADAALGIDNQTP RTIEGPLYVAGAPVEHGFARLDDGSDPNGHTLVMYGQVKGSDGQPLAGASVEVWHCNT KGFYSHFDPTGEQKPFNMRRTIITDENGNYKFQSIVPKGYGCPPDGPTQALLNQLGRH GNRPAHIHFFVSADDHRKLTTQINIDGDPLLNDDFAYATREGLVPPIVERTDEESIKA QGLTEPFAEIKFDFHLTSLVDGIDNQQVERVRATA MIM_RS16595 MKPAQSTRQTPLPRIAVIGTGGTFAMRARHTFDWVEYSESGVVT GIDQLLADLGDLGDPAQEIELVPVTFRALGSTGITPQDWLDLARLIEDTVRSEPDLAG FIITHGTATLEETAWFLDLVLHIDKPVVLTGAQRPANTAGSDVPANLRAALAVAQSDQ ARNLGVLVVMDSYIFSARDVTKAASFDLNAFQAIPYGPLGSVTPDGEVLMRRRPIRQQ LLPRTHLETIQDLPRVDIVMSYAGADRVVVDALVACGCDGIISAGLPPGRAANGQAAA FADAVKNDIVVVQSSRAAQAMVPPQQFLKSAGVLAGGSLSPQKLRIFLMLALTHSRDA SLLQQWLLSI MIM_RS16600 MICDQLSESAADVQEHAIQWYKAGELESLFAEGDCHGITVNGHR IGLFRVDADVYALDDLCTHGNALLSDGEMDGHGIECPLHAGLFDVRDGSVMCAPLTRA TRCHKVRIQDDAVYVAVPEKTGG MIM_RS16605 MNVQDLQSRLARLEAVEAIRALKARYGALADAKYTPHYQRLESE ALRQVAHEQAMCFTEDVVWAGGDGFGGDICGREQLTQWFMRSPWRFAMHYYTSAHIDV NDNQASAAWRLWQLALREDDGDVIILGATTTERYRLEQDQQWRCCFMQFEQLHMCSLG LASLPLAQNLAALDALVSSRAHTASANPLFNHSITDSELS MIM_RS16610 MSTREHVVVVGAGHAGGRVVQHLRSLGFAGQLTLIGDEPHLPYE RPALSKEVLKGQRQIDELALAPAAFWSDESQVQYVSGKASSLDSEKKRLVLEGGRELE FDKLVVATGGRARLPRIPGATLPNVFTLRTIEDSLALRSAIESASNVVVVGAGVIGME VAASARQMGANVTVLEAGEHVLARCLPRSVSQWLRSVHEDKGCVIRTGVQVNAIVPTS DALSVEIAQGDDHASIAADLVLIAVGIDCAVDFLEGSGIANADGIPIGVDCTSPVAPW CYAAGDVALTYHPMYQRHVRQETWRNAENQSLAVAQFIMGRSEPYQETPWMWTDQFDA NIQVLGFPLAGAQDIVRRDADANPSAVLMMREERLIGAVLINRARDRKHLEPLVRESA TISAERAADSSIPFKALQS MIM_RS16615 MSHVPEQQALTENTEKHCQAVSVAGHTNTSSAVARSRGDAFDVS IHTMQVGETVTCEALVAGEEVGAVLSGQFTIVAADEHYQLSRGEGIIIPPGAARTWTC DSAEGRLYRVVNRASLENQSGAAL MIM_RS16620 MPKCRVFAIDHNLKEVVADGLYMKHMFGESMSVAVVKFVETAGK NLPAKAHNHGEEASLQFSGACSVFEGMGVSGDRENVMEQGDALIIPAELMHYGSNRFE PEGISMRLNVVTPARKEYGAEDTVPYYPLADREGAK MIM_RS16625 MEKKINIDGLKGNRPVTLPEQFSSLNDYKQWALATETLRNDMRH RSSMQDIEAFSQAMLAQLDDALAYLDTFEQEPLPEQAQALMSMVLALAEVAPAIEFYG QQAVIDGFDPRRFIADSAFKLAPAL MIM_RS16630 MTTQETFFTDRYPELGKGPVPVEPYISPEYYEREKEQIFKKTWL QIGRVEEIPNAGDFFVKELEVCDTEIIVVRNKQGEINAFHNVCAHRMNQIMYEKCGNT RKFFCKFHGWAYDLNGNLTGVPEEECFFDLDRKEYGLSQVSCEVWQGFVFVNMQRNPE QSLSEFMRPVFADIEGYPFDKLTCGFQWTSVVNCNWKLALDAFQEAYHVAYIHGKSIA DAIDKGDGGSMRPLDAICGDLHRRLSLAGNQKSVYGNPKAVTSGGAAAAEALSESGGQ RPIAAAALRAGMGSARHQFPLDALPEGVNWTKSKNWLFDINVIFPDFYVSLRPNYYQA YTFRPIAHNKILFEGRVYYPEMTTAGGRFFLEYMKVVLRDVLLEDMSTLERTQAAAET GAKRFMILQDSEILVRHGAHVVDRLLTEAQAA MIM_RS16635 MPQVKKEEVRQAILAAAFDLFCRKGYTATTMAELARTAGMTVAN IYIYFDSKLLIFYEIYTPWLLARIDLLRESVRKFPTARTRLQRIFLGLWEDIPAADHS FANAMIEALSTAPRQTLKPNNLLSQCESAITELILECLPPERIHIAQNDLLAHVLWMA YDGFAINGRMDDKRDMDAIANLMTDLLLGASASDLPETSPKTRNGSTKKKLRPSE MIM_RS16640 MHIPSTAEPSGVVPPKTSILVLILCWITILAEGYDIGIMGTIVP ALMNDPQWRLTPMEIGQLSSAALAGTLIGAYFISPLSDLYGRKKLLIACVILFSVSML FAAWAPTPEIFALVRAIGGLGLGGVISVAAALTVEYSPPGRRNLNFAIMYSGYPIGAV VAALAGIAFMEEYGWHTIVLIGAAPLLIVPILCYWLPESLEYLVWKGHTAKAERLSER LGVTLPATTNRNAEVKHPIREILLEVFSGKNLRGTICLWSAQFAAILVVSGLGTWLPQ IMRSSGYDLGSSLSFFAVFNLAAAIGGVVIGRISDKLGPRKTISGAFVIGAASIFALS LNNPLWVNYILVALSGFGSIAAALVLLGYITNYYAPYARASATGWAVGVGRFGAMTGP ILGGYVAGLNVSHLWNFAIFALAAIAAAIAVLATPAGQTESSPEGSTTPKQAFGSRVP AGTTGT MIM_RS16645 MSLGFGHIARIGHLYPSGGLCDFEIQLMAPSGVQFITTRLPFSS TSLESDKELIENVEYHSKLLATADVALIAMNCTAASMAVGAQSINQRISSATDRPSVT TTDAILAALAAVPARRVALMTPYPKEVVDMEIKFLNENGIEVVSQLSYPCTTPVQQGS YSASHWAQLSQQLDTSNADVLLISCAGIQISSVIKEMERMGKPVITSNQALLWHCLRL LNIEQRPIGFGRLLEGAYD MIM_RS16650 MNFVHILTFRSADAASRISADDEQSLRSFILDSPGLLRAHFHTP SAAKDYYTDDGHSPVFVVEAYYQELQQLETNLAEDGYLQGLNDLGQWPSLQACECEHQ VMMSRPFPVLEAREDLLDSQQCSYLVHYPGEAEDFFSWLNYYLSHHPQIMKFFPGIRA IEIYTRVDWIDALSWQRANYMQRNKLVFDSPDVLTAALNSPVRHDMRADFEKFPAFTG ENRHFAMLTTSVTK MIM_RS16655 MVNTTVKIGSLELANPVMPASGTFAEGMAQIIDINRLGAIVTKT ITSDKRQGNPPPRIAEFRNSTLFSIGIPSKGADYYIEETVTFYRQYTPPLVASISADS IDQFGELAAKISVPGVQAIEANISCPNLKKNGQAFGMDAEATYQVVRAMKAATQVPIW PKMTPNAANIVEIALAAQEAGADAIVVSNALLAMAIDVDTFLPKVANVMGGITGPAMK PILLRMAHQCAQAVDIPVIGCGGIESAEDAIEYLLAGASAVQVGTANFVSPNTMLQVI NDIIAFCERRDISHVSELVGAMRHHEPIRFEGVNSF MIM_RS16660 MKIETTFIADNSEWASQCSRQPDKLHFPITENPARILSNAWVNA EYKHMVVAGDAASLAAQPGQFFHLKCPGTEVDQPYLRRPMSLYGVNPSAGTVEFLYKV QGMGTRGLATLAEGDCLDMLGPLGRGFEIPDTTRHVLMVARGVGLATLTPLASAAIAL GAKVTAMLSARSPELIMSKERLTGAGADVIAVSDTEGNSAVPELEALIRRLHAQTPVD FMTTCGSNRLLKLLQSLSKELGVTGQIAMEQHMGCAVGMCYACVRPFRQSADSEELSY RRVCWDGPVFPLEEALTW MIM_RS16665 MAHAAEAESFSPPAPDSIPKNEFGDMVRTGQDYFMHTSELLPDY VGNSLNCVNCHLDAGRRADSAPMWGAYVVYPAYRAKNSHVNSLAERLQGCFRFSMNGK APAADSKAILAITTYMYWLATKAPTGVKLAGQGYKKLAKPEQGMDLERGKQVFMANCA VCHGENGEGRKSAGKTVFPALWGDDSFNWGAGMHQIPNAAGFIKSNMPLGNPGTLTDQ QAWDVAYFMNSHERPQDPRFTADVETTRKKYHDGGDNLYGQKINGVLLGEHSVAPGGW LKQSMEKSEN MIM_RS16670 MNNTLYCHKICRRLLFACLLPVSWTAHGADSEQIKQGSEIALKG ASGQPACVSCHGAKGEGLPAAGYPFLAGQPAEYLSQQLMHFADGSRKQPIMQPMAKAL SEAQRAAVAAYYASLPPVIDKKALAERSETYPTENKGAWLAYRGSENPYIPACVQCHG PGGIGVDPVFPALSGQPEQYLKTQLAAMKSGDRGEDTHKLMTHLAKNLSDEQIAQVSA YFATAILQPVAKADAQQKGEAK MIM_RS16675 MRLSIILVSLIACLPLSAQAKRLALHVTQANVFNDAQTDTRGVD VQIDEKSQMLMAGFTDKLVGKTVYIRVNNILLLSPTVRGPISGAGLRLSTADDFDGKS PEEIAKIILDRKTITIDDKG MIM_RS16680 MFKLSKTCSLLLAAILLGFLPSISSAQNVLNVVCKGGLIDDSVL HSLYRLSDDIQLGKMTLKLPNECMETFEIELNDKKRVVENPYVAALKMVSGKITISDF ADRIKDPDGDLGAYTAVNRVSVDDPMVTYLRIGCGRNRKCVSAVLNSIDGFHPEKSPR FCDFAQHVDVSVIEYFKSSSFLPLPVYCMSRSALGGNRSIPAKDDWFMAFEKLGE MIM_RS16685 MDNYLRDMALFVEVVKARGFRSAADAIGIPNSTLSRRITELEKS IGLRLLHRTTRKIELTEAGQIYFERCKRIVDEARLAHEQLGEMLAQPSGVLRASLPVD FAVTYLAPLIAEFSRLYPGITFDFDLTPRRVDLVSEPFDVAIRMGQPENSQLIARPLA TLTPYLYASPGYLKLSGEPSVPAELEQRECFNIQPAGTWTLHDGRQTATAAVGGRFSL NSVGMLRRLATLDLGIILLPEEIVADELASGKLQRIMPQWHGTPQPVYVLTETRLLPA KTQRFIEFLRERLGR MIM_RS16690 MTTVFDSVHLGRYTLQNRLVMAPMTRSRAQPDGTPGELAATYYA QRASVGLIITEGTQPSDDGQGYLTTPGIYTDAHVVGWKQTTEAVHARGSHIFIQLMHA GRMSHPDNTPHHRQGVAPSAIAPGTGMFTATGMQDIPVPRTLTTEEVRQTVADFAFAA RRAIEAGADGVEIHGANAYLIQQFFAPSANTRTDEYGGSIENRARFAIEIATAIVKEI GADRTAIRLSPGTTMWGIDEGEEGSDLYRYLVAELNKLGLAYVHVMHQGNEALLADIR KLWTGTLILNRPGRPREQIGADVASGLADLEAYGAMVLANPDFVERLKANAAMNEPQR EGFFGGTEKFYTDYPTLSEAQAA MIM_RS16695 MPYQRFTADNAALLLIDHQVGTMGWAKSMPFEELKRNALMLAKT AKILKMPVVLTSSMEEYAQGPLLSELETILPAEFAARVKRLGIVNAMEDENFAAAVKA TGRKRFIIAGVTNDVCTVYPTLSLLSDGYEVQVVADAGASPSKFGDDMALRRMEKNGA TMTSTNQVIAELTGSWATPEGTQIVSELVMPALQG MIM_RS22975 MSVKALDKSDTSTKNTDSGDSWNSAVQEAKDLGIQWERPKSDKR SADDIIEDSELLKNLGNQSGVKDMLKDRVGDFEKDADAAYRADQVLHHVEMFDEDGKR IASKDVNNGRIDGFTSSKEARHGTEAGRLQDFGKDGFGSLKGKMVNTSSAADNKEARE KAEKLGIKWEREDGDDRSAKEIIDATPLLKNLGNQSGVKDMLKEQVGDFEKDADAAYR AALVLSHVERYDSKGDRIASKDLNNGKIDGFTSSGEARNNTEAGRLQNFGKNGFDSLK GKAADSDKVADNSEARKQAEKLGLKWEREEGDDRTAKEIIDDTPLLKNLGNQSGVKDM LKEQVGDYEKDADAAYRAAQVLTHVERYDSKGDRIAGKDLNNEKIDGFTNSGEARENT EAARLQDFGKKGFSSLKGEMRYAEDAAGNKDARKAAESKGIMWELPKDDNRSAKDIIK ESTLLKELGNQSDLSEMLKEQVGDFEKDADAAFRANQILERVVMYDADGKSMTGGTSS NSRIDGVTSSGEAKNNTEAGRLQNVGKYGFESLPDAKKPEDMKLYKDFLKANPDADDA SKKLAKYGATLREYFDIMKSKNEANKLLSKDQIQSYRDSSPQLSDEVKEALDFWLQPG AFDDIETTADRLTKDHDGLVSLKDLEVWLDKYAPTTPRESSDYVGDVAVANITAGVDT SDLDADIFKNPEKYTVEQRAGAIQDLTYLQALVAQGNGAGMWKGADADTLSKKLDSSK DPQEIIDEISDKIDKLKDEDVTKYLEDNTDKQLGTLFDNNPGLKDALENTYKDVINTG NVIDDLWETNTKKGKTNIPAVLTDFHQTAQSMQNVLGIEGEEATKAIQNAIGKSGHID EFKDYFKDELVTGKRYKDLLADDGATFEEATSTFTMEVATFNATMPAEYTEAKEEEMQ KNFNEIAQDNVFKDATFDDLKAAFGKDGTDKLDEEKLKSIIEQVSEQNPDFFMNGDGK LTTPDLIVAGVRGVWDTVRQGGKSLDKLNLFKDSAAFKAVAGTTDRGVMHGVSGLLMG GISIAKGIGGSGKLSEKDMVDIATGSIQAATTMIEGGAKGYLTYIKGVQAKVGDLDVK LNKAITDLLQGVGDEADVIKVSDAQNYNKRQMSIAKNYERASKGFAAVGNLAGIAAGA YSIFDGVQALRKGDKVTGGINITSGALGIMSGIASGIEGALGVFAISVPAAVSAAAGV LGAVGAVFAAVSFVVMAIINGIKHDQKLNKYGDLLENYMSQYGITGLPETEKAKAA MIM_RS16705 MAEISVPSLERAIDLLFLLEKYPKGLSAQELHTQSKIPRATLFR ILRVLSDRGFIQQYDNTDGLYQLGPTIARLGTQVQVPRDLISISTPLIEALSEKIGET VKLVMRDGLEAVTVAVSRSKLEARVSSMVGTRLPLHLGVSQRLLLSRAPQAVVQQVLE GLLVKKTSKTITDPARLQASLEVLREQNSASGEGEGQMGIGAAAALVNNADGNAIAAL VAVYIAPSKSNQEVQRIQRAVEQFALKISALKPSL MIM_RS16710 MSTIETSNSNQLQREAGMMDLQKLIDTRESISETQFPIEENELL ERFESTQTAVVCDVLREHCLLDQAFPHHLQALCPKKTVAGIAFTVKSSPNTQITGEMT FRGQMLDTLHRNAFVVWDTSRDEHATLWGGVMTATVIHKGVKAAMVDGGIRDTRQILE KEFPIFYRYKSPNGSLSRCLITHYQIPVKIGDVFVRPGDVILGDADGVICIPRDIAHD VLLRAEQIINNEKKIFDWVNEGQSIKEITEKGGYF MIM_RS16715 MSSIYRPWLKRVLIAASIFALTLTGPANSVSAQTMDWPNQPIKI LVGFPAGGASDVMARIIADELRKELKQPVVVENKPGAAGTLAASMTARSKSDGYTLLL ASPTAITLAPSTMSDKINYNPVTDLLPISMVAKYPLFLIANPKLGVKNVSELIEMAKK EPGKINFASFGIFTSGGLAVEQLKLKAKINVLHVPFNGSSAAMQGLLGGEVDLMFDTA VTALPNIEAGKLVPLAIASKKKSSLAPKMPTVSETLPDFQADSWVGLMAPAGTPAEVV SRIQSAVAKIVATDVIKQRFSKLGAETESAETSDFVQHIKDETARYEKLVHEANIKLQ MIM_RS16720 MKNIKCFITITAMSAILAVTPAVAQNESFPNKPIKLVVPYAPGG ASDTLSRAVGKKWGEILGQPVVIMNKPGGVGTIGIAEGVRSAADGYTVVLAAVPFVIT QYTIKALPYDGKRDLQPLGLLQAPPMVLVVNPNLKINTLQEYIDQSKSRPEGLTYATT GVGSVTHLAGVLLKQQTGAKITDVPYKGGGQSVLDVIGGQVDSAFLSPVEVNQHINEG KLTGIAVSTLKPSRVNPNLNTFSESGAPGYDVTGWFGLLIRTGTEPAKINKLSETLQQ ALHSQEVVSQLEKMGEVPEGTVEEFSALLDKEHKRWKQVVSDAKIAPQ MIM_RS16725 MKIVDIKARTVAIPLNCQLRHNTGVHPGYFLRTIIEVITDEGII GLGEVGGGDQRAAILKLKPRVLGLDPFHLETIKLKVLRSIYYLSNARLYAALEMACLD IQGKALNRPMCDLLGGSVRGKIPMIAYLFWRYDRADGKDDTCAEDLAEYCVELSETLG VNAMKLKAGVMDPEEEARVLELCRDRLGSNFGLRIDPNGVWSVPTAVRIGRRLEAVAP EYFEDPSWGLNGNAQVRKQIRIPIATNMYPARFDDLAPAIQIGSIDIVLTDIHYWEGP RGVKELASVCRTFNLGVAMHSGAEFGIEMAAMLHTASTIPEMTFSGDAHYHYLTDDIT TVGLMKYENGCLKVPEGPGLGVELDPEKMDKYERYYEEKGDYYARFHADPRRPDWYPM VGGI MIM_RS16730 MYLPSHFEESRIAVLHDLIEKHPMGILFTHGKSGLDANHLPFDL HRAEGSQGVLHAHVARNNPVWQDVANVDEVMVVFRAADAYISPQWYPSKHEFHKQVPT WNYIVAHAYGRITIRDDERYVRGVVARLTRIHEASQPVPWKMTDAPADYIDSMLKAIV GLEIEITRIVGKYKLGQNKEVRDIRGAGEALNAKGDRLLGDAMLAAADAKGE MIM_RS16735 MPRIPQQIDIPALGELDKTQGQLGRQLAQALRDAVQKGNLRAGD PLPSSRALAQSLGVSRGTVVEAFEQLLAEGILESHPGASTRVSWSLKTSLNSKVSTEK HGQATTPVVLSAAATAFANVAKEFTPLPQVPFAVSVPLRGAQPDDAWRKIGNRIRASR GRPSGYDDPQGVLELRKAVTDYIRRSRSVHCRPEQVIITGGIQQALYICCQILFDAGD KAWVEDPAYRGITAILEHAAKQLSMIRVPVDAGGIQVNTGIERAANARAAFVTPSHQY PLGMPMSMARRNALLAWARTHNAWVIEDDYDSELRYAGHPFPSLQGLDPSRVIYLGTF SKILFPSLRLGYAVVPEALVKPFCGARILMDRHPPSADQHVLAAFMQEGHLDRHIRRI RNLYARKRSQLIEMLDRIIPLELGQRQPSDQGMHIVFWLANDMDDVAVAAQAVAIGVA VRPVSPTFSNKLKRPGLILGLGDFETAKMEPAVQKLLNIIRSTPRKPVTRR MIM_RS16740 MVDNMTFDYIVIGAGTAGSLLANRLSQDPSQKVLLIEAGGKDNY PWIHIPVGYLYCIGNPRTDWLYNTEPDAGLNGRSLRYPRGRTLGGSSSINGMIYMRGQ SRDYDQWASLTGDDAWCWENVVPTFKRHEDHHAGASDLHGANGEWRVEKQRLRWDILD AFAQAAQEAGLPHSPDFNRGSNEGVGYFEVNQKNGWRWNTAKAFLRSIRSARQNLQIM TNVQVMKLITQKQDDGRFRCTGAQLWTGQEVRSISATREVILCAGSIGSPQLLQLSGI GPAQLLQQHDIPVVADLPGVGENLQDHLQIRTVFKITGAPTLNVLASSLHGKMRIGLE YLLRRSGPMSMAPSQLGAFTRSSSSYHWPNLEYHVQPLSLDAFGEPLHRFPAFTASVC NLNPTSRGSVRIKSSTFDHAPAIAPNYLSTDEDRQVAVDSIRVTRRIVAQPALARFQP EEYKPGVQYESDEDLIRLAGDIASTIFHPVGTAKMGRPDDPMAVVDSHLRVRGVAGLR VVDASVMPTITSGNTNSPTLMIAEKAAEWILKGI MIM_RS16745 MNESQAKSIDIQALRAFFTVAREGNVSRAAEKLHRSQPAVSLQL KSLADATGLQLLDRTAQGMILTSDGATLLPFAEKVLFAMSEFAHTAATLHSPVHGHLR LGTILDPEFTRLGAFLNQLVNTRPLLKTQLHHGMSGDILALINKGELDAGFFLDVPEE PIGPPIIRKTLTRFTYRVLAPAGWRPQVENKDWKALAALPWLATLPISVHNRLQKKVF GPNSLTGLEPRRVALVDQEASMLDLVRSGIGLSLIRDSIAMREMQTRGLVIANAVSLE CELSFLCLAERQADPVIAAAWAALDEIWG MIM_RS16750 MGVTNAQDKPIVQFIATGGTIAMKVDPVTKGVVPAITGDDLLQT VPDVSKYARIEVNNFSKMSANYITVDWWIRLTKAVEDALARSDVSGVVVAQGTDTMDE TAYWLDLTVKSNKPVVMIGAQRNASSSDFDGPRNLLNAVRIAVNDQAKDKGVMVAMNN QINAARNVTKTHTANVETFKSGDFGFLGEVWDDRVIFSNAPLRRQHIDISAKAEDFPK VDIFQMYAEADGKYIKYAVDTGAKGIVIQAVGMGNMNVSMFEGVKYALAKQVPVVIST RVPNGRTLPVYGFDGGGKTTFDAGAVMAGGRSPQKARILLMLAIQDGKTSTEELRKVF DQ MIM_RS16755 MSKTQKLSITLPLEMVTYIKNKVISGEYASEDEVITDGIRALIA KDRAIENWLRTSVVSAYDKSKDDPSSMMTVEQIRAMLKKKTDGT MIM_RS16760 MEQQEHVRQSERDSRYFADFATALRYWRDKRGYTQLRLSSESGI SQRHISFLENGRSQASREMVLKLGIVLDIPLRERNVMLLAAGYAPAYLERRLSDPELA AVKQALDFMLAQQAPYPALVVDRLWNLVMVNAPAAMMMRWLLDMPDDAPLPREGVNVL KLMLDPNGVRKHLQNWEDVCADMLHWIQHEAMSDGLGSEAANLLAELTALPGISETSR LANLDARALPFLPMQIRKEGIALNLFTSIATMGTPQDVTVHELRIESFFPVDEATAHW FRERAVMSEPE MIM_RS16765 MPAYAVAILSETHLNDEIRAYLEQIDSTLQPFAGQFIIHGGPYF PLEGEPTADLIVVQFPDMDHASRWYASAAYQAIKPLRAANSVGAVFLVQGVPTDHRAM DILA MIM_RS16770 MFGTVKYNIARVALTVVMMGAGASWAQERYPNKTVEIVVPWTAG QATDIAARALANELSEKLGKTFFISNKAGAGGVVGSAYVARAKSDGYTLLAASTGSVI ISPFLNDTSYGASDFVPAAMIAKSPSVLVTAADFPAKNINELIQLLKENPGKYTFASS GNGSATHLMAESFNLGNGIQAVHVPYKGSSTALTDVQSGRVTYMFDTVASIAGLLKSG KIRAYGISSKERSASIPDVPTIAEVTELKDFDIASWIGLMAPKGASPETLAVIEKAAQ EYLQTPDAKARYQGMGLGINPISNSEFQKVVDREVALYSDLLKKLELSK MIM_RS16775 MKLDQSAQALIDFSQKQNNPSPDQIPVAQLRDLSNAQRAHLQPS PPQLPSVLDSVIDGPAGGIRVRTYRAHAAMAKAPVIIFFHGGGFVLGDLESHDVVCRQ ICKESGCVVIAVDYRRAPESKFPAAVEDAIYAVHWVRAHAVELDIDENRMALMGDSAG ANLATVAAIDMKRSGLPSVALQILLYPVTDQFNDYESKRRFQSGYLLTKKNIEFYAAQ YFTSDSEKKDWRASPINFDDLSGLPEALVITAGFDPLVDEGEAYALRLAQAGVKVTLR RFTGQIHGFVTRGLIVPEAFEAIREAASLLKARFYQAER MIM_RS22625 MIARFGTLGRVPEPFLLRSDNGLVFTSRSYTALIRSYGLRQEFI TPHCPQQNGMVERVIRTLKEQCAHRHRFETLQHASRVIGDWIRFYNYRRPHQALNMKT PDEAFALAA MIM_RS16785 MKLSEETVGTIKCYFPLKGYGFITRQAGKDLFFHFRDVRGEEWA LEGVKVGFRVQRSEKGLQAVDIYRIS MIM_RS16790 MRPLYLAKPIASLQALSSALRIDVHVLKSTAANIDRHYHPHSVP KKDGSSRSIYIPSIHLKKIQKRINRNIFDKIKYPDYLFGGIKERDYVKNANAHASAET LIALDIRGFYPSITYEKTLKIFKFFFKFPEDVAALLTDLVCLRGRVPQGACTSSHIAN LSLHEAEYHLVQHIRNKEFTYTRLLDDISISSKKQINEIQIQTLIGKVRKMLNEQGFK LQNKKTRITSRKNPEKLMEVTGLWLNRGQARLHRQERRLIRAELHNCEKLSKLSRTSI DYHELHNSLSGRVAKLTYLGHKEAACYRSRLREILPVYDDNEIHRTIKLVSFLEKSSV NDRKKYAYYAKFHQVGYRLNILRRTQSGLANKLAEILRTCKPPLNKEELFYHEII MIM_RS16800 MDLFDPLTIQLTLPAKSAATRKIGGNFVHKLFFRTITLEAQVRN SATQGYFELATGLPPPFASIYLKNPHARGKCPNGVASLKAKVSFTIDNWHENIQLSWE QFPEHVFSTEPDEIHELWRHQFQFKEEDSIRNFPGLRKPQLGALHAIAGHFATDLKVE PATVVLPTGTGKTETMLATMVYQRCAKILVVVPSDSLRLQISKKFIELGYLPELTVIP SNTALPNVAIIKKGIQFVEQAEQLASVSNVLVATASVLSSCSEEALIALCGACTHLFV DEAHHISASSWNAIRERFIEKRIVQFTATPFRNDKKSLGGRIIYNYTMGEAQRAGYFT NVNLLPIEEYYSDRMDYAIADKAINQLRADLNNGLDHLLMARTSNKHRAEEITVIYQS LVPDFNPVVVHSGYSKSEVKSRLDKLLTRQSRIVICVDMLGEGYDLPNLKIAALHDHH KSLAVTLQFIGRFTRVNRAQNLGQASVVMNIADPDVEGELQHLYSIGADWDSVLRRLS EGRIAREVRLQEVVDALKGKGDLHDQISLWNLEPSCSAMLFKTSCNHWEPEKYKEELP KFEESWHAIADDENLLVVLAVQATSVRWGSYKDLKDTNYKILIAHWDPDRSALFVFSN DYKVFRVESLVAALCNDNCELVSGEKVFNVFNGIEYPLVRNLGAAQIGAISFTQYFGS NVTDGLSLIEASQSSLSNIAALGYELGNRVIWGCSQRKGKVWSPQKGGSIADWCNWVK RAWDKIFSSEPDPNNLTRNFLRPIPLLEPYKEYPISAQWGEYLLTAFEDKVTFHFGPV SAHLYIVEVRTDGKFDDGSVRLVFSTDEVTSEYKLCILGDTTPKGYGYQLISGPEVFI QRGESEPILLSEHMEIDPIMIHYSDGAFSYNAHIVHVSQDIGLYDKGEIVAFDWQGTD IRKESMGYERDRTSIQWRWFNEIQEDYDVVINDDGKGESADLVGLKILDDCILLTLIH CKYSGLDQPGARLKDLYEVCGQAQRCIRWKHLNLTYLYHHIKRREELWRSCGHSRFLK GDIKDLAAIKERSRTTPIRFNVVIVQPGLCLSQVNEEGLKLLGSTALYIKKRRWRIWL MIM_RS23335 MATRKIGRDAENGRFKPVKEAEKDKKGSIVETIKIPGKSIPAKK GK MIM_RS16805 MGAIMSRSKSASLKLKIDPDLYDTVEYAAALQGSTISEFVVRAV KAAARREIEDTEIVRLSKAQQECFAHALMNPSAPSPALKKAFVRHKQFIIGH MIM_RS16810 MKEITDILTEMTNESYLVQVDKDQQKKSDRGWMCLALGLVLAVS LYMQHDFLAALIGEKYFRWFLMGLGIVAWALTALFFVTFKKYNKPIYSNLLEIYSTST VQDAVYINKLIKFPTAYLKLSLVQYESNILVLENRVQVFSGAIQSKGLLPVLFGVAVT LVGIAEPKALILLIAASILVAGFYIFRVYATLTAERPKQVARILQLAIDLADKTNKES ILQNSVEFQYSPPGNPNLSFDR MIM_RS16815 MNRIKNAKFRPFVELPLEDRQAEATRLQQQIDQYIYEYGGGFTS RAMLNEPGRPEIYDQSFSFYFLGKDKLTIWNAIIITARKAFWDEVNDLARNRATDMLT DEELEEVFNVEFVPVQWSPTGEVLGYSRAEKEERRFEKFGGLTYHEMCRKLETDIIHN EPPPIYESYSHDRSYVYGIGLYIVVDKEVINQPAIDAAIERFLELGEREWGFNPSCSK ESIAV MIM_RS22630 MQLFLDPILGRPLPIQDDLKPDESGNGYALRMTEANGIQFSQLA RSMASLGHRYLPNEVAGKIAYLFGGNPIDVGKAIPKSYREKGHIITVFKDCILTRPYH VRRSWTQVCPLCLLNTGFAQALWELSLVTACPVHYTRLLDQCPKCFRKLTWRRPSLLE CNCGMRLEGAELEAPSEAELWLSSTLFCLLSDIRMSPERGIPYAFLANLSLDVLVRLI RALGISSGPEHVSNFRPGKLTRVLSSKEAVQVVQRAFLRISDLYEDRANRFTSASLHL KEVADICIHSSQAEQEKISLLFQRVARLEKIDKSITNSFQMDMFYD MIM_RS16830 MEYSYDALVAGKAMREVVVRHPKFNDALTLISNVVQIGNSTGAP AGVRIIAPAGSGKTFLFQCLRKNIIGLPILKDKLAVIHASLKESPTVAQIQNELLSNF QYDAGLVTTRSSTNNEINIILLRSIKDLGVKLIVLDEFQHIFLQQGKVSTIVVDWVKR LMNETAVPVVLIGTEMLDQLTGIDPQLTTRVPTSIRLGLFRYDKHWLVFLKGLADSCE KIDLSDIYERYSREFFTATNGTPRLLKSLLIQIVILGVTEKREKVDISLIRRAYELVF GPESAQESPFATFS MIM_RS16835 MAKKYGPKFDVDARLDPENLGGIWVQEPKEKTWLWVPVLQKSYA DGLSLTAHRIIRMSKALDLRRSRKLEHYQDAIYALKKGWQKKIKAGKLSLREAKKMAI INEQSNFKSPAKKLSSEQIDSLVNEIPEGMPTLTLDDLPDITIIRLDEMEG MIM_RS16840 MAKFQLKDGLVLQRGKQLLKFQRMLPENLIQLENVETGLIEKMS LDELLDKIERKELIVQGGSHVCNAISVKLPNVQSSIHVIIDTARFSAKAKAKYERKIN YVLRCKKEGVTQGCIRQITRVIATIAANTNDKNPPAPTTVADWLRIYNQSGCDNRSLL PKPRMSRKIGERIGQEKLDLIHEFITRYYLQRSGKSIEDTYNFLSSEMRTRLGEDAYV SISTVKKIIRQISPYEREKIREGAAFAAARWRHAIGGINAEYPLQVVEIDHTELSIYV IDSRIGIVLGRPIITIIIDSCTGYILSLVISFEGTTVARVVRAIKFALTPKNKIVAEL GLKNEWITPGLWQTMLTDNASEFHAADANAIALQMGFDVERSPVRKPWFKPGVERSML SVVNALPRYGRSELIQGVHKPIDPKKTASIAFDDLHAALIKWAVDIYPFKISDRTQDC AFDRMKEGLKKVPAPVFNDDLRALDIFAAIPTRVKVSQAGIEKFYLDYRSRGLAKWQK NMVQNSTWMRD MIM_RS22980 MKQVRKLVHRSPHRRVGYVVCTHVQSEPIEYESRLERDFVRIAL LHPSIRRIVAQPIQIDIPGFGRYTPDYLLLDKNAQRYIVEVKSSVFVDKYEDKISAAK HQFDQNGMKFFLITDQHIEKRKQFASLVHRYSQSYYSSSARTEVMEKINSLRLPASVN EIVGRLSIPIEFIYYLIGHRYLQIDACNENGLIIDDKGQENGEVSIERWIGVATR MIM_RS16850 MEKVLDNIFDSPSERSSNKYYKYWMALRSPRPSVIARCEKKVRD SAWLMNNLLWTAINLESLDDNLARLLLSKLDTRYLNNVGKDWYRSVNLYRDSFTDKIL RLTTLDSLAILVILIKLAHQQGDQLHEVRIAKQLYRGLLMIGAQLTEQNFILESFFSL FDKFVFNRINWGDRKPIMDFQFYRFGVRYLDSLYPIKENLGLTLVDKTDKQYILDRCE CLLPGLLGQRNQSNIESLNFMLMPKDIEYRNLSDYDNLDDYIGVWVTDLTAMNYSFED NSMSYYRNRYLHTKLIDFIFSEPGIFVNNSANKN MIM_RS16855 MALRPLQDRVIIKRLDNERKTASGIVIPDSAAEKPDQGEVIAVG PGKKTEDGKVIAVDLKVGDKVLFGKYAGQSVKVDGEELLVIREEEILAVVG MIM_RS16860 MAAKQVFFGDDARVRIVRGVNVLANAVKTTLGPKGRNVVLERSF GAPTVTKDGVSVAKEIELKDKFENIGAQLVKEVASKTSDNAGDGTTTATVLAQAVVEE GLKYVAAGINPMDLKRGIDKAVAAAVTELQSLSRPCTTSKEIAQVGSISANSDSSIGD IIANAMDKVGKEGVITVEDGKSLDNELDVVEGMQFDRGYLSPYFINNQDKQVAALEDP FVLIFDKKISNIRDLLPILEQVAKSSRPLLIIAEDVEGEALATLVVNNIRGILKTTAV KAPGFGDRRKAMLEDIAILTGGTVISEETGMSLEKATVEDLGQAKRIEVGKENTIIID GAGVSANIESRVKQIRTQIEEATSDYDREKLQERVAKLAGGVAVIRVGAATEVEMKEK KARVEDALHATRAAVEEGIVPGGGVALIRAKKAIAQVKGDNSDQEAGIKLILRAVEAP LRTIVANAGDEPSVVVNQVASGEGNYGYNAATGEYGDLVEQGVLDPTKVTRTALQNAA SVASLLLTTEAAVCEIVEDKPAPAMPDMGGMGGMGGMGGF MIM_RS16865 MEYPDRHSATIFSSNLLANFERLGGQRFCTEALPTSQRLEWLKE VIGREYANVDVGTPDQMYLYNDMLIYFWSQGMRLSPIRSNAITIERLPKEPEHISQDC YFGVLLTRGQYKLEQGGREVFLKPGEMTIYDATEPHRVTTPGPFSKILISIPRSLLDE RIANISRLTATRLSTVHGAGAITASMISSTVNQLEQLDQASFQALSEPVLELFTLSLQ QVVGKTKQMSHHRSQALIRVKQFIARNLTDTDLKAEVIASAMGLSVRYINNLFNEEDT SLMRYLTQQRLARSRHYLASSLYSHLSITEVAMQSGFSNMSHFSRVFHLTYGMSPRAY RYHYPNDHGV MIM_RS16875 MQQLYGKQHRALQQDFDTVKLADAVNDNIVCTEIGEEHRGFIES REMFFLTTIDHRGYPTCSYKGGNPGFLKIIDSKTLAFPSFDGNGMFLSMGNISINNQV GMLLINFDIPHRIRIHGNASIHRDEESLKMFQGAEIVVFVDIVEMFVNCARYIHRYKR VASAKHVPQENVPVALPHWKRIEGLQEALPARDKQIADILGGTITPEQYAEMLSKGET MIM_RS16880 MTQLNAATFSAFALTTIVLYVLGFFTFIPPWAVFITWACFFHMN GGANRNLAFITTIRHMALGATAAWISAIVLLNNPFDSEWGQRLWGPVCIGIIIAILTR MGTIALFSVTPAIIYGYASIFAFATTTGLFAAEHLLSVSFNNALLAIMFSTVVGASAG YINAALVAALVGQEATKASKMESVVSE MIM_RS16885 MSFMNRPSHPHIRKNRGVLRFIQSTVYSAQGFRAAFSSEEAFRQ ELIVCITLTPFAFLLGRNFAEIIVLLGVLVSVLTVELINSAIEVLADTVSAETHPLIG QTKDIASAAVMMVITFAGLVWFGLLFVRLFSH MIM_RS16890 MTFIKKLESAWQSQNSLLMVGLDPDPERLPVSMKQNRESTFEFC KGIVDASAPYACGFKPQIAYFASQGAEEQLSQLCAYIHKTYPHLPIVLDAKRGDIGTT AEHYAREAYERYKADAVTVSPYMGFDSIEPYLAWKDRGIIILCRTSNPGGSDLQFVES SCGEPLYLHVASLVAEKWNTHGQCGLVVGATFPEELSKVRERVGDAMPLLVPGIGAQG GDIEATVAAGRNGAGTGMMINSSRAILYASSDENWQEEAARVARETRDQINQARGI MIM_RS16900 MSELLSDQWQVAAQLGEVLKKKSWLLGTAESCTGGLLAGAITGV PGSSQWFDRGFVTYTNEAKMDMLSVNQSALDKFGAVSEEVAIEMAEGVLNNAPLSTCA VSTTGIAGPDGGTAHKPVGLVCFAVSYRKANGIVTLPFSQHFVGDRHQVRTQAVIYAM EITRRIVEEN MIM_RS16905 MIEDIQTQKIPGATGAQTNVKTQWPTFGWIRANVHRFFAFGLGS GLIRPGPGTWGTVLAWVLWWPLSFVLGTDFYMALFILASFAYGVYCCHRVAQEMQVDD HGGIVWDEMVAFWAVLLLVAPMNLGWQLVAFILFRFFDIVKPPPIGYFDRTLKNGFGV MWDDVVAAAYTLFIMAIATRMLG MIM_RS16910 MSGEFDLIRQYFTRPAASVDIAVGDDCAIFTPTAGTSLAVSKDL LLEERHFFSDVDPESLGHKSLAVNLSDLAAMGATPRGCLLGIALPGYDADWLEAFSRG FNRLADKYQCPLIGGDTTRSEHDIGISVTVLGEVTQPFLTRGRAQPGDDIWVSGTLGA ADWALNLLLRQKAGEVLNEQEIQTLQATRRALEWPEPQVRLGLALRPLAHAVIDLSDG LVQDLKHILHASGVAAILYESLMPVNLSLPDDDPQQLRQSVLGGGDVYELCFTAPQTA RAALQAISASLSVTLTRIGRVHAGQGLRVLDAAQQDISALPVGFDHFRPARRRKP MIM_RS16915 MHRSARRRAREFALQGIYAWLVSGDTDLREAGSIDAHIQDSPEF ESADPVWFKTLLHGVFADAPALREAFLPYIDRPLDELSPIEHGILLIGSYELIHHVDV PYKVAINEAVELAKEFGGTDGFKFVNGVLDKLAARVRSLEVNAGR MIM_RS16920 MKPYTLAPDLNGEGLHIGIVCARFNEEIGFAELEACLAELGELG VDERDIMVVTVPGALEVGLTLAQMAETYEFDALIALGAVIRGETYHFEIVSNESAAAI TRVSLDTNVPVANGILTTEDEEQAKARAEQKGKDCAQVAVEMANLSAALVPESEDEDD DFDDLDDDDDDEDDETEEVVNTPRR MIM_RS16925 MTSAAPVSLVPDCTISPVSEIVEELKAGRIVILVDEEDRENEGD LVMAADFVTPDAINFMVTHARGLVCLTLTEERCRQLELPLMASRNGTSFGTNFTVSIE AAEGVTTGISAADRARTIQAAVAKNAKPQDLVNPGHIFPVQAVSGGVLIRAGHTEAGC DLTAMAGLTPAAVICEILKPDGSMARLPDLVTFAREHHLKIGTIADLIQYRSENESMI RRLGSKTLKTPFGQFEAVSYQDSMSGAGHIALVHGNIHPEQETLVRVHEPVSVLDLLD LERNAHSWGVAQALEVISASPAGVLVMLNCQGSQKGLIEALEKWGETESTARKDDRYD LRTYGIGAQILRELNVGKMRLLALPRKMPSMTGYALTVTGYETSTDPR MIM_RS16930 MEILQPSDWTKPRGYANGTMITVEAGSRLLFVGGQIGWNGQQQF ESDDLGEQVRQTLENIVAILREGGAAPSDIVRMNWYVTDKREYVAAYPAIGEHYRNLI GRHFPSMTAVQVAALVEDRAKVEIEVTAVVRGTQQSSG MIM_RS16935 MTAQTGLQDRFVIEHLPDSRQQPLYLFDLPQLRYPEKLNSAVEL VDRHVQQGRGQNIALRWLQDGVQQQMSYQALAQWTNQLARVLIDDLGLVPGNRLLLRG PNNVGMAGALLACLKAGIIAVPTMPLLRARELGTILQLSQAQAALCDERLLDELAHCR NPDNPNYCPTLKQIMTFGIAGPDASHTPDSMQARADSKSAAPYDAQTQRDDPCIIAFT SGTTGKPKGCIHFHRDVLAMCDTFSSEVLQTRETDIACGTPPLAFTFGLGGLLCFPLR AGGSTLLVEKLIPESFLQIIQDHKVTMTFTAPTFYRKMAALTDGYALDSLRVCVSAGE ALPDDTRQIWKNASGINMTDGIGGTEMMHVYISSTGTDIRDGAIGRVVPGYQARVVDA DMNEVPPGTLGRLAVRGPTGCRYLDDERQSRYVQQQWNLPGDAFVMDADGYFYYRARD DDMIVSAGYNIAGPEVESVLMTHAAVNDCGVVGRSDPERGAIVKAYIVLNEGFTAGPE LVAALQDYVKQEAAPYKYPREIEFIEQLPRTETGKLQRFVLRKMTEIR MIM_RS16940 MSDHEFLDWPFFTEQHRHLAVELDRWAQEHLRNLPAGDTDDICR ELVRRMGEGGWLRYAVPAGPKGSWGGALEQIDSRIICLIRETFARYHGLADFAFAMQG LGSGAIVLGGSDAQKQFYLPRVARGELIAAFALSEPDAGSDVAAMSCKATPHGADYVL DGVKSWISNGGIADFYCVFARTEFQPGARGISAFIVDSTAQGLDASERIEVMAPHPMA VLKFKECRLPAEALLGTKGEGFKLAMQVLDIFRASVAAAANGFAARALDEALARSKTR QMFGGVLADLQLTQAALGDMATALDASRLLTYRAAWRRDVYQARTTKEAAMAKMFATE QAQQVIDRAVQLFGGQGVVAGEAVEELYRNIRALRIYEGATEVQKLIIARELLRD MIM_RS16945 MTITNDINPMQDQHKPLAGYRATHFSWQVSEDHKVATITLNRPE RKNPLTFESYAELRDLFRALSYASDIKVVVVTGAGGNFCSGGDVHEIIGPLTHMTMPQ LLAFTQMTGDLVKAMRSCPQPIVAAVAGVCAGAGAILAMASDIRLGTAESKTAFLFTR VGLAGADMGACAILPRIIGQGRASELLYTGRSMSGQEGHQWGFYNQLHESDQVLAAAH TMAAQIAAGPTFANGMTKHCLHHEWNMGVDDAIDAEAHAQAICMQTRDFHRAYHAFVA KSRPIFEGD MIM_RS16950 MTTQTATPSLDNRHVLITGASQGIGLEVARLALDADARVTLLAR NGERLAAAVAGLATGSGQVHTVQADITDEKAIGSAFDAARAHFGPISILVNNAGQAQS ERFDRMDTEFWNRMLQVNLTGTYQCIAAALPDMLAQGWGRIVNVASVAGLKGYGYVTA YCAAKHGVVGLTRALALELAGKNITVNAVCPGYTETPLLDGAVANMMAKTGMTADKAR AALASNNPQGRLVQPEEVAHAVLWLCLPQSGSINGQAVPVDGGEKMAG MIM_RS16955 MNIVCIGGGPAGLYAGLLLKKRNPQDRVVVIERNRPFDTFGWGV VFSDATLENLKKADLPTAEQIIRQFNHWDDIDIHIGQTTIRSGGHGFIGIGRKKMLNI LQQRCLDLGVELVFETVVEDDQALAVDYDADLVIASDGINSRIRTRYADTFRPDIDTR RCRFVWLGTQKTFDAFTFAFVNTEHGWFQAHAYQYEEGMSTFIVETRDETWRAAGIDS MSQEDGIAYCEKLFAPWLDGHKLLSNAKHLRGSAIWIQFPRVICGQWVHKQTLPNGKT VPVVLMGDAAHTAHFSIGSGTKLALEDAIELAGSFQTCSDIDAALTHYEALRSVEVLK IQNAARNSTEWFENVKRYSKLAPEQFAYSLLTRSQRISHENLRLRDSQWMHHFERWFS VQGTPASQPDTVTAMAADSDIAAIPPMWTPFSLRSVVLKNRIVVSPMAMYSCTDGVPG DFHFQHLLARALGGAGLVMVEMTCVSPQARITPYCPGLWNQTQMQAWQHIVQAVHTQS DAKIGIQLGHAGRKGSTKAAWDGIDMPLESGNWPLVSASPLPYLPDVSQTPTALDPSG MQQITDEFVQATKRAAQAGFDVLELHCAHGYLLSCFISPLTNQRSDAYGGVLENRLRF PLEVFAAMRAVWPEDRPLNVRISASDWVEGGITPDDAVLIARAFKAAGADLIDCSSGQ VSPDQKPVYGRMYQTPFADEIRNQEGIATMAVGAITEADQVNSIIASGRADLCAIGRP HLANPAWTLSETAKIGYTQVNWPRQYSAGKRQIETLFERAQSMQNG MIM_RS16960 MQHDLETRAATIDHSELRLWLRLLTCTSLIENRIRNYLRVQFDC TLPRFDLMAQLAKEPQGMRMGDLSARLMVSNGNVTTIANQLEKEGLILRKVSSEDRRS TFLRLSAKGRRQFDQMASAHEAWLQDMLKGMPKGNQKQLYALLADLKQAALASQE MIM_RS16965 MNATAIQQNQQSSHQVDLLVIGGGINGAGIARDAAGRGLSVLLC EQDDLASATSSASSKLIHGGLRYLEQYEFRLVREALAEREVLLNIAPHIVRPLRFVLP HDHTLRPVWMIRAGLFLYDHLAKRSARLPGSRHIRLDDGSPFAQPMSERIKQGFIYSD CQVDDSRLVVLNAMDAQARGAHILTRTACTGARIQNGRWQVSLQDATGRRSQVSAKVL VNAAGPWVDTVLKTLHDPDAQPRSHLRLIKGSHLVTRKLYEGDHAYILQNDDKRIVFI TPHRDNYSMIGTTDVDFNGDARHAQISEEETDYLLSVVNRYLRQPVQRDAIVASWSGV RPLYDDAEGKAAAVTRDYVFDVSGGKNGEPAMLSIFGGKITTYRKLAEHALEKLQPFL QQGPAWTATAPLPGGQLPDLDPQRYTEQLQTRYPWLDSTLLARLIAAYGSMTTDILGD ADAVSDLGMHFGHGLYEAEARWLINREWAQSTHDILWRRTRLGLAFSAGQQQTLDHWL TGRTLAATTARDAFFNATPAPQS MIM_RS16970 MSEQELKLHVPASAAAQVEKALKKAKCETISLRAMYFDTADREL AKSKIAIRLRLEGENWVQTLKMPGSNALTKLELNHNRPSPVLDLSLYAGTPAEAALLK LAKPLELRYETNVTRVFRRQRTRKGTIEIAYDTGVIRAAELELPISEVEFELVSGSAE AIFEIGKKWLSQYKLILDLRSKSQRGDALAQSAANIRLTESAGAKNVVRNDEVLRFWA PRKARAYEIDKQDSATQALISVTTECLEQICANTGALAEIDTLGVVSVGRPEHVHQLR IGMRRLTSNWKLFTGLAWLPAQDVRDELRVHLGRFGATRDLEVMLATVVPVLTDAGMP AMQFGTHAEGATPHDIAKDPTYQQWLLRLLEWTVLTPTNDPVPAVSDNTDQAPLDVAD DEPQTDVTPKASDETAAATASASEQTAAALTSATGSAPAQIEPIIIPLTPLPQARPVL RRLLEQRLNKWNRQIVRHWKTEDKSDIEAYHDLRKRIKRMRYALNVYEGLRPNCNLTG YVKKLAAAQEVFGHLNDMSTALTFFSAHTQTHPAAWFAVGWLTATIETLKLQADEVLT HIPGKIRYD MIM_RS16975 MDAVLSPSVPSVPPVLAPKAPAAPATPEVREAPVPPAASQAPAV PQASATPEASAAATPAVPTPTPAATDTAQRPKSDRKSWLARLKDGLSRTGSNISSLFI GVKVDENLFEELETALIMADAGMEATESLLGKLRQKVKKERIEDAQTVKHALRDLLAE HLTPLEKSFDAGNGQTRVVMIAGVNGAGKTTSIGKLAHHFQAQGHSVLLAAGDTFRAA AREQLIEWGSRNNVAVIAQDGGDPAAVAFDAVNAGRARKASIVMVDTAGRLPTQLHLM EELKKIKRVIGKADGEAPHEVLLVVDGNTGQNAISQIKAFDAALTLTGLVVTKLDGTA KGGTLAAVAAGSQGVRPIPVYWIGVGESLQDLQPFVASEFAGALLGD MIM_RS16980 MKPANRHSGTSGKRQSIRIIGGQYRRSQIPVVDADGLRPSSDRI RETLFNWLTYLWDGRFDDKSVLDLFAGTGALGFEAASRGVAHVQMAETNPAALATLRA TRDKLQASQVRINSADAFLMLKRMDASRFDLVMLDPPFAGKLFDRIFPFLPAIVKPGG LVYIESDQPEDPGPNFPTIRQGKAGQVYYQLHEHVIAPHNNE MIM_RS16985 MITAVYPGTFDPLTRGHEDLVRRAAGLFDHVVVGVAISAGKNPL FTIEERLQIAEEVLGHYPNVSVKSFGGLLKDFVRQEDARIIVRGLRAVSDFEYEFQMA GMNRHLLPDVETLFMTPSDQYQFISGTFVREIALLGGEVGKFVFPSVERWLQEKSQAR LAAKNAQTPKSE MIM_RS16990 MALLITDECINCDVCEPQCPNEAIYMGVDFYEIDPAKCTECVGH HDEPQCQVVCPVECIEINPQWKESQDTLMAKYYKLTAAA MIM_RS16995 MTTSDFQPIYQCPAIAGPVKPAAQLRWLLVDEQSQALDRNDPTL QQIELSVRFDYLVIRAPGMLRLDIPVDVLEDDEEAFEEARLDGRALRVVSEGQLADAW FSKLLERPVRLVKLHPEENLTA MIM_RS17000 MKVWLKRILIGLVVLMLFAFVGAAVFLLTFDPNAYKHKIESIVQ ERYNRTMVIEGDLELSLFPRIGLSVRKISLSEPNSTTTFASIDSARFAVAIWPLISNR LVVDHVAVSGLKSWIVRDEKGTFNFEDLLTQDAPPAVVAEDPRFKSDEVRPAPAAASD SNSVLPQPRKADFKIDIAGLEVQNGAIFYKDRLNNLDMRLNKMAVNTGRVTFDQPFDV SVTGNLEGARPVANASVNIQGLVKLDPVTKLYSARNLEANVKGVVGSVDVQTGTLTGD FQVDSFAHALTGSGIDLTIAGKGAKGTGLRNLEMSLAAPKLNFDSTAPLLQMTNFAVK GTASDEDDESYEWVLNTPALDISPTGAGGRPLTGSVRIKGKEQFGVNFRMEGISGTSD ALSVAQVKLDGVYARANNRAVNFNLSSPAKMDLARRLVALSAMSGNVVLKDAETREQT IPVIGTFSADFLKSIASFKADAVINSGKFSFDGNISRFDEPYVHFAVGADSVNLDELI DNVSGATTTASANATPPAAENAKAPAAPAAADSKPTTTAVRNLVSDLMGSLIGSGTAS LKQITYHGVVYNDVTAALNFTRDQLGITDIKSSIFDGQVQGDALINMKDDEVTTKLNF QDVSIEPLLKGLGVNPVMTGTGTFALVFASRNAPEGASWLTNATGSLEGEARNGTISG FDLTPVLADPAAYANNIEQAGAWKFDPAAKTPYSTLKTRLVLDKGEVHFANFSLLTDP LLIQADDNLAMYNLANNQFSFPGKFVTRQPVTLNQDGLRLSIKQVTLPFELTGSPDGA QLKVKWQRLNNSPLGQFVKQRKDKEAEAQKQAAEQAAAQQAVESETPAPPAPASGADQ PASGATPAAPEAGTNGGNAAPGDAAPAAPASSGAPATGEKPATEAAPAAAPTPAPAK MIM_RS17010 MGILDAVNAVLGGGKKPEEQPQNEQTQDGQVASGQVPADQAPAT QQEDMGGLGGIVGGLGNGINLGSLSALLPVILSVINNQQGGLGGLAEKFQRGGLGDIF NSWVNQGENREISPQQVDTALGSETVDSIAQQSGQSRDDILGSLSGILPHLVDTSTPN GQIPADGQARGENEVLNSLSSLFK MIM_RS17015 MQEEDEAIERGIDQDPDTFDPRDGFEHLKPVKLNLRGRPVGSGQ KTQLTVRLDNDIISSFKATGSGWQTRLNDALKDWLKHHRPSELS MIM_RS17025 MALQCGIVGLPNVGKSTLFNALTKAGIAAENYPFCTIEPNVGIV EVPDDRLNKLAEVVKPERILPATVEFVDIAGLVAGASKGEGLGNQFLANIRETDAIVH VVRCFEDENVIHVAGQVNPISDIEVINTELALADMASAEKALLRAQKTGRAGDKDAQK LAALLEKIIPVLNEARTIRSMNLDKEDLDLIKPFCFITAKSAMYVANVKEDGFTDNPH LAAVQEFAAKENAPVVAVCAAIEAEIADLDDEDKNVFLADMGMEEPGLNRVIRVAFRL LGLQTYFTAGVKEVRAWTIHVGDTAPRAAAAIHTDFERGFIRAQTIAYEDFITHKGEQ GAKEAGKMRAEGKEYVVKDGDVLNFLFNV MIM_RS17030 MSFIAMLRRILSVLLPIISVSAQARQLPVPAALIPQQQFDVNAA MVSPLRDEPGNGADTAMRTPRTLRLGAAELSRYPGLLFKVLLQALSANQEEVVLKLLP YYRQQPDADARLIQWGEAMMARRQRRYDESIRMYRDTLAREPQNLPVRMQLATTLFLN HEDEAALDQFERIRAMNLPASATHAVNRYIEAVHQRDQWDISGGLSYIRDDNVNNAPR PDTTLYGFRAWEPESASGAQYRIQLGRRLPLRNQYYNRVDIETGGKQYFSNRQYSESN ATVSWSLGGQTGNRDIRLGPMYEKKWYAGGRATSDSLKQYSAFTGLALQASYWFNSHW QWRTQLQWGRERFNRQSYLDGTTLLWSNTAFYLSSAARFWNAGVDYYRKGARDKAHAF HLWNARAGWGQDWPLGISTYLQISYSQRKYEGYRLFLAQRQKNKETVAQLSVWHRGLH FLGFTPRLTFSYQSVRSNHAFYQFDKSRVFVDVSRRF MIM_RS17035 MLIDPPSWFDRGEVLRWAAGLLVALALHLLALFLWVRVGQAPVI QTAPAAVMMQFSAQAQSIRIQRVLPVGPPQVVTPSMRSQPDPQPETASDTQVNVDDSP RASEPEIVVKRQRSRQRKTADRKVEKVTKKVVRHRQEPDSVADANAQASASRTAVAPP GEASEISAPYDSQSRDTGNDDSWQARVLGYLARNHGYPAQALAAHIEGVVLTTVTIDR QGNIRSVQLKRSSGHAILDRHALQAIRRKSPIPRPPAHIIRTMNQLRLNIPVEFNVRE YRTRQRM MIM_RS17040 MRIHDIVQQESEISDINVTPFIDVMLVLLVIFMVVVPVATVSVP LTLPVARDSVPPAQEKPVMLSMNRQHELYLDAEPVQMTELVDGLTQRTQGNRETIVFL QIDKEVAYDQVMQLMNLLRQAGYLKLGLVGLDEQEAGDAN MIM_RS17045 MCVTGAHAQALTGLHNPDVQQGMQLTLQQPASVLNGEFSPMGIY EAAHPVVQGVIIVLLLCSLLTWTICVVKAAQLALATHRIRRQQRWLSDIPSLALCRDV AGHWRRTHEMPLLVREITDEITLSSGVIDTDLKDRIEYRLARRADQQLQRLRYGIGPL ATIGSVAPFVGLFGTVWGIMNSFIGIASAKNVSLAVVAPGIAEALFATAIGLVAAIPA VVLYNLFLRGLNRYQAQLGNLVAMLFLLFKRDVSLGRSVLDREQAEALRSEALR MIM_RS17050 MSMVPSAEGGNTFSPVKNETSGIVQYMSGPIHYNLSANTSDNKS LRDSTVAANVLASSTQIDPAQVQHVELTGDDGSVMGRFQFVNQAYSSYGTFMAAFNAN PYAYADDEISKEALAFYVAQPTTIEQFNQQSGTATYTGHVLGYRDGVKGNTPQEQAVA DINLNVDFAEKMISGRVVGNTRFDGLTKYHWRYTDDDDNPTQRGIALSKVDLILKPTK IVQFENGAVGFGGIPAEAPGEFPRSITDNVAVMDGDTEQTITQYGGIFAGPNAEEVVG QIGGGEDRLMFGASRR MIM_RS17055 MKIRNNFFPLKCKPFFNALFGISVLLPVCPVLAQEDTETLDFDS LQISADSSKPERRSFETPGAVSVVGENKKFDSLDSVVRALPGTYTNIDPTQGTLNVNI RGLSGFGRVNTMVDGVPQTFYGTSSNSGSRYHEEDGNGYGPSSQFGTMIDQNFLVGVD ITRGFASGAAGVNGVAGSANLRTLGVDDVVQEGRRFGILSKLSAASNGMGESNMVTLG LRTSLWETGRIGAIAGYSGSRLSANYKDGNGQQYSENDFVRRLDQRPRSWLSKFEFSP SSDHRFVVSGSGYRNNVGGRQARRDSVSLDYAFTPQSQWVNLGFLAARTKNRQVFNDD TSIWMLTEARTRNDSTYLNLHNTSYFEWRDIDVKLLYGFSHLRNRYERSASAMNQDND TYTAFSPSGQQTLSSAYLDTTLSHGIYSLNNNLTFTRGVVRGYKPACDSAGSSGFCFP SYAANLKLVSKALNFSTMFSADLSDWFKPFVSFSRNTRIPNPQEVFFNNEGGGSMNPF LKPEQAKTWQIGFNTSREGVLTDDDYLGFKLVAYRSDIKHYIHSRSFFLRSQGGLTTD LDEDINPGFHAQIYTNAARPVRHRGVEVNLNYDAGFVFANLSYSYQKTPLPVDATGKT GLGFGTVGVTELPRHYGTLTLGGRFLDRDLALGSTFKYTGKAKRMLPMGEDLADQDEL QTLPKIPIVIDVFANYQLNKHVLLKASVQNLTNRNYIDALNSLNSTLSQVGEDYRYSY SNTARGRTVFVGAEIRY MIM_RS17060 MRIVLFAIVCCVVGLGVSGAFSPDDQALLQSAASLVAAQQREEN QRVERYLASEKQLGRQLAAEMHARRQQGQRPETWTVNGIEYRKQRHGRHITIERFDAS HKHWLPVIDSRTRTGTGEQQNGVSLTTSFYVMRTPVISPDNRYALLPEAFVDDDLYRV SVWDIEAGRQIDQASHQSVGELVWAGDSRSFYYIQAGQNGGASALIWHQVPGAETTSQ PVGATERQVYQAVSHASGLSIAAASSGRYLILTEDTGAGTDVKLIDLSSATPFLLTLD GLKPQADNLVDHAADGFYMRSNQDGRFALYLAQDRRGYWKKIYTPDASAHVEGFQVLR DWVILRIQEQGVSALRYWHKGDRQRVRQVPFPDHRYKVWMPTGSSGNVLVLGYTSPTV PPVRVSFDMAREQWLTDWPKEQQAYQTRYLHIPVRDGVKIPVTLIWRTSDTPEAGAPL LVTGYGAYGFSLSPVYGTSYKSLLDRGFVYAMIHVRGGGELGEEWHAQGRGRNKKNSF NDFVDVTRHLQRRFHAYDRTYAMGESAGGLLVAASMIQAPSLYSGVILQVPFLDVSGV MAQDQPRFGELERAEWGRPGNSDDLAYIDSYSPSRTVASTCYPPILMIAAAHDARTPS REARDFLGQLRNNKCNENSTFLFTEPDAGHAGSVDRVARNIMSYQFILKLDRMNRRQQ VQE MIM_RS17065 MLWFVLKKVNVLCSALLCGALLTACVSPDPFEIGHTQVYSAKKQ QHLTFAQWIDALQSYDVLLIGEKHDDVRHHQAQLALLQALHRHRAIRAVALEMLPSSK QAAFIQAQRTAQEQLRRDPATDASVIKAGLEWPASWDWAQYRSLVMWMLREQIPMIGA NLDQTELAIIAKGAQPLKGRVSTAPEVHEALSQLVSGHHLESDTPVQALVQAQQFKDR RMAESLVRNAKPVVLLAGNVHVNKKLGVPLHLQDYGQRKVVSIMLVSSFDGRDADQAD YFWVIN MIM_RS17070 MSTGTFGADLKRFLRFVRRPRFTPRIAQRGGGSGWWRDWYPNVR FARMLSWVALLWFVNLFVLAPLALSAAESGGAQHRLDPDNIPWMMAVLWAPLVEEMLF RYSLRYPRAILWVIPVMAMVVFSGPSWLSVGAYILVLALLATLRFRGLTGNDEALPWR IRRYYVQWFPWIFHASVLVFAFVHLNNFKLNETPLWMYPIMVMPQWMTGLVLAWMRVR AGIGTSILMHMMFNGGPLLLIWLMLQGR MIM_RS17075 MASLPIRLIVGLGNPGQQYEATRHNAGFWLADHYADDLKTQFTL ETGFFGQLARARHDGEAVYLLKPATYMNRSGQSVGAVARFFKIEPAQVLVLHDELDLQ PGDVKLKKGGGHAGHNGLRDIQSALGTADYWRMRLGIGHPRSRGMAQGVADYVLSMPA KDDMPLIDNEINSARQVLGPLLDGDFAAASRRLAAAREART MIM_RS17080 MKFEATTRSVQGSSASRRLRRAGRVPAIVYGGKGEAQSIELDHN EIFHALRKEPFHTSVLDMMLDGKKQNVLLRAVQWHAYKPQVLHVDFQRVNANEALTTR IPFHFINGDESPAVKQQGATISHVLNDIEITCLPKDLPQFIEVDLGNLQANEVVHLSD VKAPAGVEFVGVGEESPVLATATVIAETAEDDAAEADGAAEAEKSDKPAEDDAK MIM_RS17085 MTNTNFMIFTGTANPRLAVDVVNHLDMSLGKMTVGRFSDGEVMV EILENVRGRDVFVLQPTCAPTNDNLMEIMTMVDALRRASAGRITAAIPYFGYARQDRR PRSARVAITAKVVANMLQSVGVDRLLTMDLHADQIQGFFDIPVDNIYASPVLLADIGQ RNFKDLVVVSPDIGGVVRARALAKQLEADLAIIDKRRPRANVSEVMNIIGEVDGRTCI IMDDMVDTAGTLCKAAAALKERGAGAVYAYCTHAVLSGGAVGRIAESELDELVVTDTI PLSQEAADCARIRQLSSASLLGETILRISHAESVSSLFVE MIM_RS17095 MKFLQDVPAPAKLNLFLHVTGRRADGYHLLQTVFTFIDLADRLH FTLRDDGRIVRATDVPGVPHDDDLIVRAARLLQQQAGPASGAKAGVTIDVDKQIPAGG GLGGGSSDAASTLIALNRLWDCGLSREALQALGLTLGADVPVFIFGESAFAQGVGEAL QACPVPQQAYLVFVPTISVATATVFAYSDLTRDTKSIIMHDFAGHDIHQFGKNDLQAA ACALFKPLSLIVGWLESLGLQARMTGSGACFFVPFDTVNQAQACRHRVESALASHPEA SQWIRQVVVCQGMNRHPLYNWI MIM_RS17100 MNVRAIPRYARLTAICLVAATLAACSTVPSRQPASQTATADGAF ERAGRFALTVYDRSAERNRDSVQGGFTWLDTGSVLTLDLTNPLGSTLARVVVAENQAV LTRSNGERTVASDPDALVAEVLGSPIPVSGMRNWLKGQRNGQSGAQGSQHTAGQAGTA QAFDEAGWRVNMSDFDSKGPTRLNFERTMAGDRITVRVVTESE MIM_RS17105 MKSVIRAAALVAPFMWTALAHAQFDPPIDRRAMLDFVSNEQARP VTLVNHTEADDIYRLLVLEIAAQEGNTDLAAETAMTLARERKMPRMAKRAMHLYMAIS QPRQAAVAARLWSQLAPGDEEAVAATLALSATNGDTAGMVKALRERVAQAGNKDRALY QAAAVVARMKDKQEALKVFTEVIHKNGDNFSVAHLLLSDLAAQAGNPVLAWEAAHKSL LLDPDSDAAAERVLQYGVRINHDLAMSLGQQFITAHPNIRRVRLLYISQLVEDKQFEP ALADLKRMRKTFPEDFDLLYLEAQVNYQAKNYKTARARLNEFLEVQGQRRQALPDAET DAQGQSTDARLLFAQIDEDEGKYRDAIAQLDKIDESAALPQIRMKQAALYGKLGELNK AMALIGDIRTETRDDKIIVELAGAQVLAAAGRTDRAVARLVQADKALPDSPSIKYDLA MLYERQGKIVEMEALLRRVIALKPESPDAYNALGYVFADQNINLDQAQTLLDKAVQLA PGNPFILDSMGWLQFRLGNDALASQYLENAFELSPQADIAAHLAEIYWSTGDKKRARA MLKQGWQLDKDNPTLKETLKRLGVRLK MIM_RS17110 MPELPEVETTRRGLDTIITGKRLLAVHVHEARMRWPVTPGLNLI LRGKQLLACERRGKYLLLRFEHGVLLVHLGMSGSMRHVQAGEFLRKHDHVEWCFDGVT VRLNDPRRFGAVLWHSLEAGPVEAHPLLAALGIEPFDPAFTPQLLHAGLQSRSVAVKQ ALLGGQIVVGVGNIYASESLFAARIHPRTPANRISPARAQRLHAAILITLENALTSGG STLRNYTGTQGEPGAYFDIHAAVYDREHQPCRTCGTPIRKIVQGQRATFFCPKCQRY MIM_RS17115 MNLLAALQDIVGTDHVLTGTAAQPYLTDWRGRYTGQALAVVRPG SAEQVAQVVRACVAHQVPMVPQGGNTGLCGGATPDGQGNSVVIALTRLNRVLQVDTAD DTITVQAGCTLREVQQAALEADRLFPLSLAAEGSCTIGGNLATNAGGTQVLRYGNTRD LTLGLEVVTADGEIWHGLRGLRKDNTGYDLRDLYIGSEGTLGIITAATLKLYPQPIAR CTAMLAFNDMPAAARMLARARKGFGAALTGFELISHYCLDSVTRQFEQLKWPFDESGR QCPWYALLEISDSESVEHARERFEAVVGQAIEDGEVVDAVIAASIAQSNELWHLRESI PLAEKQMGKNIKHDVSIPVSRMADFVEQTNAHLQAAFAGVRHIVFGHLGDGNLHYNVA APAGSDETAFLRLQPQVHRLVHDSVHAFGGSISAEHGVGQLKKDLLPLYKSDVELALM RRIKRALDPHVLMNPGKVINTADI MIM_RS17120 MTPVLPQSVFKAYDIRGTVPEQLNASFAYLLGRALARRARDCGT DRIVVGYDGRLSSPDLSVALQNGILDEGVDTVDIGMVPTPLVYFESYSENIGAAVAIT GSHNPPDYNGFKMMMDGKALYGEDVLTLRTQMQALQAEPVAQTKGVAHRKHIIQTYID RIVSDVKLARPMKIAIDCGNGVGGVVASQLFRALGCDVTELYCEVDGAFPNHHPDPAD PHNLEDLQRTLQDTDCEIGLAFDGDGDRLGVVTRSGSIIWPDRQLILFARDILARQPG ATIIYDVKCSRHVTASVKAAGGEPLMWRTGHSLIKAKLAETGAPIAGEMSGHVFFKER WFGFDDGLYTGARLLEILSRDANPTAVLDALPEGISTPELKLDVAEGEQYSLIKTLQE KARFPSATNINTIDGVRAEYEDGFGLARASNTTPVIVLRFEGDSQEAIDRIRAEFKAV FAQFAPDAVLPF MIM_RS17125 MTQQNPPRSLMFVVNNPAFFLSHRLPIALAAKEQGYAVSVATMD GDAVQAIKSHGLTHHVLPMSRSGMNPLAELKTIYAIYQLFRRVRPDIVHLVTIKPVLY GGIAARLAGVKGVVSAISGLGFIFTREHKGIDWVRLITQQLYRVALGHRNSRVIFQNR NDRDILSRIGAVKSDQVVMIRGSGVDLQQYAAVPEPSPPIKIIFVARLLRDKGLLEYI EAADMSRRDGKSYRWVVVGNPDPGNPASVTQDEARAWGDHVDWLGERSDIAALYADSH IVVLPSYREGLPKSLVEAAACGRAVITTDVPGCRDAIEADQTGVLVPVRDARAIYEAV CDLAEDTQKRQAMGQAGRALAQEAFDIRKVVQAHLDIYQALNR MIM_RS17130 MPARQLHVVHVISGLGQGGAEAVLNRLLLTPSAAIRHTLVSFTD DGLYGAGLRDAGINVITLGMKPGKFTPGDFLALRRELKRLKPDVVQTWMYHADAIAGL AARTAGIRHIAWGIRNSGENLKSSSKSAWLLARACGLMSGWLPQTIVCCAQGARARHE QWGYEADKMVVIQNGYDLTRWQPDTQARARLREQWQLAPEARVIGFVARFNPLKDHHS LIQALAHCKKNGLILHCVLVGKGLDTENAQLMQWLREADVTDQVMLLGMRDDVPAIMN ALDVHVLSSLAEGFPNVVAEAMACGVPGVVTDVGDAALIVGDTGWVVPPQNPVALGEA IGLAFAAIDEQGREAIGARVRERVLQEFSLPRMVERYEQTWQAMVADAR MIM_RS17135 MRILLLVSSMNAGGAERVAASLVNAWVREGHDVCLMPTFSRGTG QSFYPLDPGVRQIWVAQHLSGPGMFRTLAKPLILRRLIQQEAPDVIVSFLTNVNVMVL AATKGLDIPVIVCERTNPAASKNISNVLARARRLLYPQADAVMLQTQQAAEVFARVVP GLQHVAVIPNPLPPALESAARAVDDSGRVTYVSESATTDTETAAAETRASGTEAQAGG RRHLCAMGRFVATKRFDMLIDVFARLAPDVPDWDLTIWGEGPLLHQLQEKVRKLGLQD RIHLPGKTDQPWEALSGADAFVMTSEVEGFPNVLLESMALGLPCVTMDCPSGPAELSR DGRDAILVGLSDVDGLQEALQHVMTDEILRRELGHRATISVRDRYSLAAVLEQWQFLF DAVLGKSRLKQATSTESQ MIM_RS17140 MCGIVGLAGRFEHKETLLAQSCDRIAHRGPDSRGFWEDAASGVA LGHVRLAIQDLSEQGHQPMASADQRFMLVFNGEIYNHPQLRLELEQDGYTHAWRGHSD TETILAGLMIWGVEATLKRMVGMFAIAVWDRQTRNLVLARDRFGEKPLYYGYAPDGLM FASELKALMPLPGFDTQLNRDALALFLRHNYIPAPYSVFKQVRKLLPGTWVSLSAEQI ATASWPEPVSYWSALDVARNQPRMRFEQDGDAVDGLDRVLRQAIRGQLLSDVPLGAFL SGGIDSSLIASLTREEAAGTLKTFSIGFTEPEYNEAEYAAEVARHLGTDHTELYVSAQ DSLDLIPALPHMYDEPFADSSQIPTALVMRMARQEVTVALSGDAGDELFGGYSRYKRV QQWWGKRERVPAGLQAPLGAGARIAASLLSGPRAEKFGKLEQVLGAENMVAFYRQFVS YWQDPASVVKGASEPASAFAQAPLDSLLDTMMAIDTVSYLPDDILVKVDRAAMAVSLE TRVPLLDHRVYEFAWSLDEKYKLRGGDSKWILKQLLYRHVPQQMLDRPKKGFSVPMGQ WLRGPLRDWGEQLLALPRLQSQNLLDAQRVREVWMQHQSGQADNSPRLWGILMLQAWL DEYGIKP MIM_RS17145 MIFIHSLHAGGAERVAVDLANQWYIHGHDVMLVTQTDDRGDVYE LNHHVERVALNTAGLRGVMANLRRLYALRITMQRYRPDIVLGMMTTASILSVLASTGL RCQVIATEHTHPPAQELSSFWLRLRRYTYPRAARVVALTRDTASWIVNHVPGSNMAVI PNAVHWPLVNGEPEVAPPQRNGRSRLLAVGRLHTDKGFDILIDAFKDLSTVFPDWDLV ILGEGPERDRLQGQIDVAGLAERVSMPGRVGNMRQWYHACDIYVLSSRVEGLSNSLLE AMACGMPSVAFDCETGPREIIRPDIDGLLVTPVEDPQALAAALSGLMHDKQARKTLAS RAVDVRDRFSMRRVLSLWQEVFNDIQHQDKKG MIM_RS17150 MRIARGAMRVAFFLLIGKMAGALKEMAVAHRYGVSEVVDAYQFT MTMANWLPVTIVGAFSIVLIPVLVKTRYAPGGERVQFIRELQGWVLAIGIVTGVLTWL LWPYVLDYAGGNLSAETRAHSTELIWAFAPAALLTLLIGVSTSRLRSHERHVNTLLES VPALMILIWILVTPGDVSVMPLLLGTLVGYLIQAVWLQILSRKADDGIWGVPSFTLRS HQWPLLLNAAGVMLIGQIAMSFVGPLDQIAAAKLGENANATLGYAARLLSLIIGLGAA SVGRAALPVLADVQSQGDAHRARNMALKWSAFMLVGGIVAVAVCWVMAPWIVKILFEK GAFTADDTIAVASVLRWGILQLPFYFGVLILVQLMASQNRYRLMAAIAVANFALKAVL NQILAPMMGAEGIMLATSCMYLLSYICYVFVTLTHDVSKQSSTEKTTD MIM_RS17155 MTQSFDNLKNAIQAEPRTWLVTGCAGFIGSNLLETLLLLDQKVV GLDNFSTGFQHNLDEVQQSVSARQWANFNFIEGDIRDLDACKKAVAGVDYVLHQAALG SVPRSLDDPITTNAVNISGFLNMLVAAREAGIKSFVYAASSSTYGDHPDLPKVEDKIG NPLSPYAVTKYVNELYANVFARAYGFSSVGLRYFNVFGKRQNPDGAYAAVIPKWTASM IKGEDVFINGDGETSRDFCFVENAVQANLLAAANMPDEGSQVFNVAVNARTSLNELFA HLAETLGKNGVQYDKKPVYRDFRSGDVRHSQADISKAHEQLGYTPTHSILEGIEVAMP WYTQFLR MIM_RS17160 MQLGDIKLAIVGLGYVGLPLAVEFGKKRSVLGFDINQKRIKELQ GGVDHTLEVSSEELAEAGQLAYSADPAELAKCNVFIVTVPTPIDDYKQPDLTPLIKAS ETIGKVLKKGDIVIYESTVYPGATEEDCVPVLEKFSGLTFNKDFFAGYSPERINPGDK NHRVSTIKKVTSGSTPEVADLVNDLYSEIITAGTHKASSIRVAEAAKVIENTQRDVNI ALINELALIFNKMGIDTEAVLEAAGTKWNFLPFRPGLVGGHCIGVDPYYLTHKAQAIG YHPEIILAGRRLNDSMGSYVVSQLVKAMTKRQIQVQGAHVLVMGLTFKENCPDLRNTR VVDILKELGEYSMDVDVFDPWVDPAEAEHEYGITPVKTPEKGAYDAIILAVSHHQFVS LGAEGIRALGKAQHVLYDLKYVLKADQADIRL MIM_RS17165 MVGGLIVFSERWHGRYTGDYDLDKPQASHKKSTPRIGGLAVFAG TLAGLLVLGRPDNMTLNWLWPALFVASMPVFVAGILEDITKDIGAGKRLLAAFLSAAI AWWFFGGVSRVSIDVFNYILSFWPVSLIFTVVAVGGCTHALNIVDGMNGLAGMVAMLM ATSIALVAYQVDDLAIFAIALAMASSIIGFFVWNFPYGRVFLGDGGAYFIGFMLAELA VLLVVRNPSVSPFYALAVLFYPVFETLFSIWRRRFKRGVPVDQPDALHLHQLIFRRLV RVTFNRRGQGMVPVMCNALTSPYLWVLTLIGLIPATYYWDNPIALCISMLVFASVYIW LYSRLVAWRCPRWLLLPSVSRRR MIM_RS17170 MSIQLVVPQMTAPAERTGVVGGEAAGDPVSEETRSPRYGNEDTG SALLQAALTRENLQAAFKRVRANKGAAGVDGLDIDQTAHHLVSAWPAIREALLTGKYR PSPVRRVMIPKPDGSQRELGIPTVTDRLIQQALLQVLQPILDPTFSEHSYGFRPGRRA HDAVLAAQSYVQSGRRIVVDVDLEKFFDRVNHDILINRLQKRIADAGVIRLIRAYLNS GIMDSGVVIERHEGTPQGGPLSPLLANVMLDEVDKELERRGHCFVRYADDCNVYVRSR RAGERVMNLLRQLYARLRLKVNETKSAVASVFTGRKFLGYSFWMAPKGVVKRRVATKA VMAFKQRVRQLTRRLGGRSMQDVVDRLRAYMLGWKGYFRLAQSQKLWQTLEEWIRHRL RAIQLKQWKRGKTMFRELRTLGASVTVAHRVAANSRRWWRNSGKLLNSVLTIAWFDNL GLPRLS MIM_RS17180 MTEKAIAVHEFDRRYSLADRVLAEIGTALEVLSGASRASRPNPA GLQMATAEPELSQAQARHAAGLMRVNHVGEVCAQALYRGQALMCKEASVRKVFETAAQ EEVDHLSWCRDRIRELNSHTSLLNPLWYAGSFGLGVLASAAGRERNLGFMAETERQVE AHLERHLTDLPEQDSRSRAIVQQMKEDEIHHRETAEEHGGTPLPLPVRGIMTAMSKVM TTLAYRI MIM_RS17185 MECNIEWNGPEGMLFVATTGSGHTVAMDGAPEGGGNNLAPRPME MMLVSAGGCSAYDVVLILKRGRHQVTRCQVTVQGERAETDPKIFTKIHFVFTVTGKNL PEKAVERAVSLSHEKYCSAVAMLEKSAAITHSYVIAEA MIM_RS17190 MNQQYEDFMRHVMEHGVTKTDRTGTGTLSVFGHQMRFNLAEGFP LVTTKKLHTKSIFIELLWFLRGDSNVRWLQERGVTIWDEWADAQGNLGPVYGVQWRSW PKPDGGHIDQITQVIEQIKANPDSRRLIVSAWNVADIGQMKLPPCHAFFQFYVADGKL SCQLYQRSADIFLGVPFNIASYALLTHMVAQQCNLEPGDFVWTGGDCHLYSNHLEQAQ LQLSRTPYPFPKLIIRRKPDSIFDYEYEDFDIADYQFHPHIKAPVAV MIM_RS17195 MPSLIILVAYAIENRAIGVNNTLPWHLPGDLKRFKTLTMGKPII MGRKTWESIGRPLPGRRNIVITRQPDLSADGVDVVNSLEAAIALAFEQSETAFVIGGE QIYAQAIEKSQQVMATEIHQSVDGDAFFPDLDEKQWRETSRDAQPEENGLNYDYVVYE RIPKDLQQSTVSIP MIM_RS17200 MTSMLNVSGLSVSYGHVAAVRDVSLQVPDGSIVSVIGPNGAGKT TLLSALMGLRPSQGVVTYDGVDLQHIDVESRVEQGICLVPEQRELFGEMSVYENLLLG AYAKRADRTAVRVRLDNVYARFPRLHERKKQKAYTLSGGERQMLALGRALMSSPRLLM LDEPSLGLAPLIVRDILQMVASLRDDGVSVLLIEQNARAALQISDFGYVLETGEIALE GAAAQLLDDPRIIQLYLGGGQQ MIM_RS17205 MKTSLRSVVVFILGALFILGLPWFLGKFGISLMNDIGIGALVAL GLVLLTGVGGATSFGQAAFVGVAAYTTAYLTTHFDLSAWMGLLAAILITATCALIIGC ITLRLGGHFLPLSTIAWGLSISLLFGNMDMLGRNTGITNIPPVSLGNVALLSPLQMYY LIWLLVGLALLFSYYLLRSRAGRVIRSLRGGSVLLASVGEDAFVVRLKLFVTAAVFAA LAGWLYAHMNRFVSPSPFDLHASINYLLMAILGGVGFLSGALVGAAGVLISKNYIQDV LPLVSSRGGQLEAIVFSALFILLLHFARGGIMSFVAKRKRVSQQSVTADKKRYQPSGE PPLTERAPLAAGTQVLSVDGVVRRFGGLVAVNNVSFTVTAGEIVGLIGPNGAGKSTMF NLITGVLGKTEGRIHFLGEDVTHKSQRQIARMGVARTFQHVKLRPAMSVLDSVALGAN MRGSAGFIRSGLGLNRAEENRILDQAYKQLQRIGLEGSEYTPAGKLPLGLQRILEIAR ALASDPVLLILDEPAAGLRKHEKEVLATLLRQLKAEGLTILIVEHDMDFVMKLVDRLV VMNFGAKLIEGSPQQVRADKKVQDAYLGGTGE MIM_RS17210 MDWEVAYMLTVDGLSNGAVYLLAGIGLVMVFSVTRVIFVPFGDV AAFAALSLASLQAGIVPPTIGLVIVLAVVALVVELLSLARRHEWRQVPRAIACWGVAP LVPCLLAWSIVGRNDSMLLQIVAAVLLVTPIIPLIARIALQPIADASSLILLIVSLSI HFLFVGLGLLFFGPEGFRTESFTDLDIALFGNVTVSGQLALTIAVAIVLSIMFYLFFE FTLTGKALRATSVNRVGARIVGIRPVKTATLVYLFASVLAGMIGVLISPVNTIYYDSG FMLGLKAFVGAIIGGLVSYPLTMIGSLAVGIVESFSSFWNGALKEVIVFGLLIPVLMV RSVLTAHDEDNNEEIDE MIM_RS17215 MQHRLKQAVLIGILSGVGSFGLAHADTIKVGLITALTGPGSSIG IPYEQGAKAGQAMIPDVDGNKLELIVLDDATDPSSAARNARKLTQDNKVDILIGSSNV PATLAALPVAREAETALIGISPASTTGENAAWYVTTAQSARLMISAVVGQMKRDGVKT VGYIGFSDAWGDLVYDNLVKEADTAGIKVVTNERFARSDSSVNGQVLKIIAKRPDAVM TGGAGTPGALPYLALKDRNYKGKLYGAHSLINPDFIRVAGSSAEGLIAPTGPVIVAEQ LPEDHPVKQMSGRFHEVFQKTNGKPSTDAYSAYAFDAWLIAAEAAKKVIAEGKARPGT PEFRAGLRDAIMSGTQVAGTHGVYTFKQGDSFGSDERGRVLVRLEKGKWKYIPEK MIM_RS17220 MRLLSTIVFAVGMCTSAATFAKTVTVGFISSLSGPISSLGIPYA NGVIAGHKAIGKSADADIKLIRLDDASDPATAARNARKLINEDQVDVLMGTSGVPGTM AIAAVANESKVPFIAISPVANVSPATAEWMVSVAQPTELMINAVVDHMKAHGVKTVSY IGFSDAWGDLAYDALKKHAAQVGIELLNDERYSRTDASVTGQMLKIRARKPDAILAGT SGTPAALPYLELKKLGYKGKVYGTHGLINSDFIRVVGRAGDDILAPTGPVTVAEQLPD ANPVKRVALAYRDAFAAAFPKGTPDVFSAWSYDAWLLLVSATAEAQAHAEPGTPAYRQ AVMEAIFRTHELAGTHGVYNYKRGSPFGTDERARVVVRLLGGKWKLENE MIM_RS17225 MAIEREVAVVTGGSAGIGAAICTSLLEQGMEVISLARRAPDFSH PRLQSRCVDLLDRKAVREVARELAAGYPVTHFVHNAGVIRPNLLEQVSDEDMDALAQL HLTSALALTQAFIPSMKKKKYGRIVLISSRGALGLQTRTAYAATKAGMIGMGRTWALE LAGFGITVNVVAPGPIASDMFYDVIEAGSQREQSLAAAIPVGRIGLPDDVAQAVTFFC GAAAGFVTGQVLYVCGGSSIGSITI MIM_RS17230 MTVLVELMGALASGKIKIVDLTETLTPEFPTIVLPPEMGQAWPF RIEEISRYDERGPGWYWNNFSCSEHTGTHFDAPAHWVSGKDQPDNTVDTIDPQAFIAH ACVIDCSAESAVSADYLLTIDKVLEWEKEHGRIAARSWVFMRTDWSKREKPAAYLNMQ EDGAHSPGPDAEVVPWLIRERDVHGFGTESVGTDAGQAQHLDPPFPCHYYMHGNNRYG LQCMTNLDQLPAVGAMIFSAPLKIRRGSGSPLRVLALVEQ MIM_RS17235 MTVPLRKPARKNPVERTRQPTLPAAARSRTFHGMTRAAAEGRFE LQVCQECSKIQYPPRDVCGYCLSHRLQWSEVDRRATLVATTVLHHSNDVYFRERLPWR IGTVHMTAGPVVIAHVHPACEEGTPVQLEMKLDRSGNAALFALPPVLPPHYLDDPMMR EMTNDVKFRRVLVTDGKSALGMAMIGALLQTDASIIFVGDQQPWRPCAAFNSLLADSR IQVHAMDVTDSDSLERVAAEIGGKVDVLINTADLLRDGGMLGTNNVSTASDMFNVSCL GLLRLAQTFGGAMAGRAADGANSASAWVNIFSIHALASLPSRGVWSAAQAGGLSLSHC LRAELQTVGIRVMNVFTGPVDYEWEQTTPPPRVAPAQIARQIVQGLANGLEDVYVGDV ANDIRERLRSNAKALERELASGN MIM_RS17240 MSRPASYEGVVLTMPVTVPYVRYSIESAHYWLGRALKGLLDGTG LPLSAVDGLCVSSFSLGPDSAVGLTQHFGVSPRWLDHIPMGGASAVVALRRAARAVQA GDASVVAVITGDTNHVDSFRRTLGQFSRFAQDATYPYGAGGPNASFALLTRHYMNTSG ATREDFGKLCVAQRDNALRYPYALMKKPLTMQQYLQARPITEPIHLFDCVMPCAGAEA FLVMSEAQARRLGLAFVRVLGTIERHNGWPDDVIQSRGGWTLDRDSLYEQAGVGVEEM DFLQAYDDYPVINMMQLENLGFCEAGAGPEFVRTHSFTVDGSCPLNTCGGQLSVGQAG AAGGTLGMNEAIRQLTGRADRTQIANARIGMVSGFGMINYDRGLCTAAAILQSGASA MIM_RS17245 MAQTVYDAFAQTAKANPDKPFLLVLPETAQKYGTRAGQFSYGQV LAQVHQWRDAYRSAGYASGDRVALLLENRLSFFLHWFALNALGVSVVPVNADLRLAEL QYLLSHSEVCLVVAIESHQSLLREAGAAAGFSVPVISPEESPPVRRNDKRDYSGPKLD TECALLYTSGTTGQPKGCVLSNLYFLHAGQWYAQTGGLAEIRKGEERMLTPLPLVHMN AMAYSVMAMVLSAGCLILLDRFHPRSWWLSVKDSGATIVHYLGVMPAILDKLPASSQD REHCVRFGFGAGVDQKLHANFEQRFGFPLLEAWAMTETGAGAVIMANREPRFVGTSCI GIEGDDVSVRIVDEQGDEVKAGEQGELWVCHAGDNPRFGFFSHYLKDTAATQAAWHEG WFKTGDIVRRNEAGYLVFVDRKKNVIRRSGENIAAVEVEGALARHPMVQAVSVAAVPD EVRGDEVLACVVLSSAVPDRSDPAQLASELVIWSLSQLAYYKVPGYVSFVSELPLTAT NKIQRGALRQLAFELVSGGQAINTCHLKKPGGRA MIM_RS17250 MNEQDVIDFVYQEARLIDELRLDQWVALFTDDGYYWMPLQRGQT ETRLQSSLMYEDKLLLKVRVERLTGKRTFSQQPVSYCHHLLQRPQISHDDPAHDPENG IYVVRTAFHYIETRQDDQRLYAGWSTHTLVNEADVLRIRLKKVELVNPDAAFGSIQLF M MIM_RS17255 MMSAGKSANNYKQNPEAVQALVREREVHRDLYIDEDVFDLEMAN LFASTWVFVGHESQIPNVGDYYTTTVGNEPVVMVRHTDKSIKVLYNRCPHRGVKVAGD VCGNTGKFFRCPYHAWTFRTDGKILAIPLKSGYENAGLDTCDAAKGMVAVDNVHDYRG FIFCRLNSEGVSFEDFFGESLSTIDNMIDRSPTGKLQVEGGIFRYVHKCNWKMLVDNQ TDTCHPMVAHESSAGTAVHVWEEAPAGTPKPMAVELFAPFMSSYEFFDKMGIQVWENG HGHTGVSDSIHAAYSDIPGYWDAMSAAYGEERAAQILGDVRHNTVYFPNILVKGPIQT LRLFKPLSAGTTMVESWTFRLVGAPDLLFERSLMYNRLINAPTSVVGHDDLEMYERSQ EVLHARSHEWVNFARLYDPAEAGQRNVVTQGTSEWQMRNQYRTWLRFIKPAQNEGVGI MIM_RS17260 MTVMNRGLKKVETLQLRVKETVDLTGQIRKIVLEHVDGLPLPAA TPGAHVRVHIKGAADDSRAYSLLLLNGNAGDATHLQYEIAVKREDAGSGGSRYMHTLK VGDTLVSDIPRNDFMLDPADQASPVLLAGGIGITPIFAMAGSLRREGRHFHLHYAGRD RAQMALVDELESFAGDNLQLHLDQQDSQLDIQALVQSMQPDQHLYVCGPAGLLDAVLA MTKQQGIPAGRVHFELFNNPAARADAGSFQVRLAQSGKTYEIPPDKSILEVLQEQGED PLCDCCRGECGVCQVGVLEGEPDHRDYVLSDAEKAEGKLMQICVSRSKSAVLVLDL MIM_RS17265 MTDKTQTAGAEPLKIAILAMGGQGGGVLADWLVDLAERAGWYAQ STSVPGVAQRTGATIYYVELFPPPWSMAQPPVLAQMPTPGDVDVVISAELMEAGRAIL RGIVTPDRTTLLTSVHRSYAISEKSHPANGIVDAAQVIAAGNDTAKHFYAADLQRIAE SCGSVISASLFGALAATAVLPFAREQFEQTIRLGNVGVHSSLAAFDAGWNEIRSARMQ QDTKTASARFPALPEKAGTADGQKLLDNLRSRFPVALQPVILAGLRHLLDFQDADYAH AYLNRIEKILALDNGAGGQPRGWTLTWQFARYLATAMAYDDVIKVADLKIRDSRMQRI SREVRLDQGQMLEVADYMHPRFEEVCGTMPERLGRWIEHSFLRNWTATLFRKGRHLRT SRLGGYLLLYALASRRSKRTATLRHAQEMQSIDDWTKKIEAALETDYEFAVTVTQSRR LVKGYSDTHARSSGRFSQLMKAAEALDGRTDAATQYKTLYEAALNDVKGERLQAALAA MPAL MIM_RS17270 MAERSFKKEVQTLKIGAGEEFRGEGILAITKALLQSGVGYVAGY QGAPISHLMDVLADADDILKDLGIHFESSASEATAAATLSASVMYPIRGAVTWKSTVG TNVASDALANLASGGVTGGALVIVGEDYGEGSSIMQERTHAFAMKSQMWMLDPRPNLA CMVDMVETGFRLSEASSTPVFYQVRIRGCHVHGRFIAKDNVAPPFTLAQALETPRRDA GRIVLPPAAYEHEQEKVRDRWPAAVKFLKDNRVNEFFAGNREDIGIIVQGGLYNNTIR ALGLLGKADHFGKSELPIYVLNAVYPLISDEVEDFCRNKKAVLLIEEGQPDYIEQNIH SILRKAGINTPLSGKDVLPMAGEYTVQTIRDGLRDFLDNLPSQLVSTAKAEPVAKPTV EQPIVFTSVRRQQLADIIPPRPAGLCTGCPERPVFSALTLAQEKLGEHHISCDIGCHL FSILPPFNLGATTMGYGLGASSASAFNVKAGKRSISIMGDGGFWHNGLTSGVGNAVYN KHDGVIIIVDNYYSSATGGQDILSSRADNDNRSTNHPIEQAVRGVGVKWVRVIDRTYD VSKMRALIEDALTCADSGPKVIIAQSECMLNLQRREKPAFKKDVTQGVRRVRQQFGVD QDICSGDHACIRLSGCPSLTLKDNDNPLKDDPVAHVESSCVGCGHCGEIAHEAVLCPS FYRADIIHNPGRKDHFLNRIRNTLIGKLQRRHRERIERVTI MIM_RS17275 MSESNRFIDTYLAYLLRRASDTVSTDFYTYLYTTQLSVTEWRVL ACLHNNHEESVTDLAYHAVMKQPTLSKALVRMEQDGLIERRQEPDDRRQTMVRNTRKG TALAADLCRVAKEHEAGIMKNLSKMERRQLITILRKLIDGSEPV MIM_RS17280 MISQSRARVTGFSLPQVHWVLALLALLLACCCVAPAWAQDKEAP PDAEQVLKQAQEQIDPIKKQLEKIADAPLNDAALSGMREQALKTQSAVGDVAAALAPK LKDVQDRLAELGPTDAAAPEAPDISQHRAELGKERGKLDSQIKLARLIGVEAEQAAEQ LSKERRVRFQAQLGERTPSIVSGTFWADLTREWERDSIRTQPLASELTALLQAIKPLV WIGIALIIAFIITIRLAAEGLLLKAMTRYVAPGRLRRSLYAVVVVLMSAVAYGLVAET VRSALTWNQSPTSTMEDILAHMVLVSWFCGFAAGLGKALLAAHHASWRLIPMRDDVAL NLRWFPVALAAIISLGWFIQGVFAIVYASLALTVAFNSIISLTTSIVMGVAMVRSRQR YATETEAIQRSNQMTIPGWLNAIINLAGVALLVSQIALLLGFVALGSMIVQQLVWIFL VLLSAYLLAVLIEDICTVMLIAVKRQNGQEEESAYPALRSQLLVLASGLGRLLVVLLA LALLLAPFGGGPTEWLHNFAFLYSGISLGEVQIRPTAILIALVVLILGFVLVRILQRW LTDQYLPTTRMDAGMRASAGALFGYAGYVLAGAMALSALGIGLERVAWIASALSVGIG FGLQAIVQNFVSGLILLAERPVKVGDWVSLNGAEGDIRRINVRATEIQLWDRSTMIVP NSELITKVVRNVTHASPIGRVLIKLTLPLGTEPAQVREIILAAYGANEDILDEPRPDI LLDSIEATGLVFIATGYVNSPRMVARVRSALLFDILQHLHEADIALAAPATMMLKEVA AAPQNKTHFEGGPD MIM_RS17285 MRFVLRANTRFARSSPCISSQVTRFMIMPNEQKAPLVHLANAIG TMSEVSSRVGQYVLANPEKVVHQTVAELAEYTHSGQASVLRLCRQLGFKGFSEFKLAL MAELAASERSTATDSDNEADRYGALLKRLTQSMQKTAYALHAEQLLAIAVHMVKARRI SVFGSGISGLVSQLVSYRLMRLGLPAQAFQDPVLAHEVMTDVDKHCVALGISESGVTL DTVEFLKRARTAGAKTIAITGRVNSPVAQAADLVLLAVPIEPLTIGGDISPAISKIYL VELLAMAIADLSHAGRQRNERSKTP MIM_RS17290 MAASVRLEQVAKYYAGKRTLHEVSLDIAAGEFLTLVGPSGCGKS TLLRIIAGLTTHESGRVYMGDRDITASPASERPVSMVFQSYALYPHMTVAQNIATPLR MKRLPAPARWPLLGRFWPGSRKLRQQIDQEVSAVAAQVQLQNLLHSRPSQLSGGQRQR VAVARAMVKQPDVFLMDEPLSNLDARLRVHMRSEIAALHQRTGATFIYVTHDQVEAMT LSSRVAVVMDGQIVQVGTPRALYEDPQDIRVARFIGSPEMNILTITANAQHGASLDGE PVQLPVPAGAGVIHLGFRPESVSIADLASRPIPNAIRFSAIFERTEVLGHDALLFCRH PHSNEPLVARAPVADIDRLRARGNWSDRLILQINPAQTFWFDAQGSRIPPAYARCEVL PIHKRVS MIM_RS17295 MMQEHTLPAQHTEPSAISKRRWPDAMAWWFAMPASFLMLSTMAL PVLIVILLSFTNYELGMPDTDFVGIDNYLSVLSDTKFWHVLRNTVIYTVLVVPGSVIG GLFLAILVQSVGRGRRIYQCLFFLPVTATLVAMATVWKYLLHGQIGPINQLLHGLGLP QMEFFGDPGLVLISLAIIGIWQLAGFNMVLFIAGLVAIPEDLYDAARVDGADRPWDRF FTVTLPLLGPTMLFVIVTSSITAFKVFDTVAVLTRGGPQAASEVILYQIYLEGFQYLR TGSAAAMTVLFLICILALSWLQTKLTEKKVHYV MIM_RS17300 MTPQAGFSSRLLSQVTFSSCLRHGVLLALALIMLFPFYWMIVTA FRPAQEVFSGSFSWLPQQFVGWDNFRTALADAPLLRYMLNGAIVCLGVLLVQLATAIP CGWALARYRFRGDRILFGAVLLGLCIPIQVPAIPLFLGLAATDMLNTYFALMVPFFLS VFAIFLFRQSFRIFPEDIVQAARLDGLSEMAILWQIVVPASKPAIAAFSVFSVTSHWN DLYWPLIVITNAELMTPPLGLASFADPEIGANFGALMASATIVTLPLMILYLFIQRHF IQGVTNTGVK MIM_RS17305 MKTVRRILMGLSISSLCAYAVAVNAETITLDAMHAWPGHNPFYE KVAQAFTKENPDIVVRFRASPPSYDEAHQAVLRSMMTGQLPDVYFSGFHLLPELVHAL QRRKQAVDLKPFIAQEQGQWLETNYEPSVLKLGQVEDTQYGLAFNASTPVIFYNADLV KKAGGNPDAFPTDWPALITLAANIAKTSEGINGMAYDVHAWPDDWLWRALIMEQGAPL MNPDGKTVAFDGQSGLHALQKARRFVTDGAMALRDFDQSRQQFVSGKLGFIFASPNSA KAFSELVGSRFDMRSSVFPLENKETGKVPTGGNAMLILAKDQKRQEAAWKFIKFATGP VGQTEAVLGSGYMPTNKLALQPQYLGDFYKKNPNWETSLKQIAYASPWGGYPGNHGVQ IWRTQRDIIGSVMRGKVTPQDGLAQMVEATNALLAK MIM_RS17310 MTPSSLDLIIFDCDGVIVDSELLSTRASAAALAEFGLDLTEQQV ASLFLGLTLEDGLQRIRQQYQRELPPAFAARKMQLTEQLFRQELTPVQGLVALLQQLT TPYCVASNSAHQRLRFSFEATGLSRYFAGRIYSAEDVAQGKPAPDLFLHAARQQHAEP QRCLVIDDSTSGVRAAVAAGIPVIGFVGASHAYPALADQLREAGATWVMPDYAAVRKH IEQYNVKEFS MIM_RS17315 MTLDTLFSRPGKFLRGNLHAHTTNSDGKLPTEEVVRRYKESGYD FLALTDHFLPSYQFPLTDARQYQSDTFTPLAAAELHVPRTENSALWHITAIGLPYDFE PTREGEDGPAIARRAAEAGAFITLVHPAWYSLSLADALTIDAAHAVEIYNHGCQLMHD KGDGAYLLDGLLDRGRPMLATAVDDAHFKSPDFGAAWVELKADSRDQADIVSALKAGH FYSTQGPVFHGIETSDTHLHVTCSAVSGVLVVGAGYLMAYQFATGLTRASIDLAPLAK SPWYRVVLIGADGKRAWSNPVWK MIM_RS17320 MLHTHEAASQEFVGRHIGPSEVEQAEMLSVIGAESLDELINEIV PSRIRNAAPLALDGPRSEADMLASLRAIAQKNAIYRNYIGQGYYGTLTPNVILRNILE NPAWYTAYTPYQPEISQGRLEALLNFQTMITDLTALDIANASLLDEGTAAAEAMTLAR RSAKSKSDVFFVSAHCHPQTIEVVKTRANGLGIQVRVADEAEGLPECFGVLLQYPHSL GSVTDYTQLTADAHAAGAVVAVATDLLALAILKAPGEWGADIAVGSAQRFGVPLGFGG PHAGFMACRDAFKRSMPGRLVGVSKDAQGKPALRLALQTREQHIRREKATSNICTAQV LLAVMASMFAVYHGAKGVRRIAQRTYVTTTLLRTLLQSAGYEVRNNTWFDTLLVQTSH GQALRAGLEAAHINVRWVSETQFALSMDETVTLADLQTLANVLTEAAGAPAVDVALLN AGEDTFVPVSLARQSAILSHPIFSSIHSETDMLRYLRTLADKDLALDRSMIPLGSCTM KLNATAEMIPITWPEFANIHPFAPAAQTTGYAELVSRLSAALCEITGYDSVSLQPNSG AQGEYAGLLAIRAYHQANGQSQRDVCLIPSSAHGTNPASANLAGMSVTVVASDSNGNV DVEDLKRKIEQTGDRLAALMITYPSTHGVFEEAIVQICDLVHAAGGQVYLDGANMNAM VGLAKPGSFGSDVSHLNLHKTFCIPHGGGGPGVGPVAVRAHLAPYLPGVLNEQGQPDA ADAAGRPGPVSAAPFGSASILPISYAYIALMGASGLQRATEVALLNANYIATRLAPHY PVLYSGRNGRVAHECILDLRPIKDACGITVDDVAKRLVDYGFHAPTMSFPVAGTLMVE PTESESLKELDRFVDAMISIRREIAQVEAGEVDAQDNVLTNAPHTAQMLTADEWNHAY ARSQAAYPGHVSPVGKYWPPVARVDNAFGDRNLVCSCPPLEAYMD MIM_RS17325 MKLPTDRKYTPSHEWVLAEGDVFVVGITDNAQEQLGDLVYVGDV QVGAQLKAGDTAGVVESVKAASDIYAPVDGEIVAFNDVLEGQPDLVNEDSYTNWIFKI KPANAADADTLLDAAAYEAQA MIM_RS17330 MSTQTTPSAASASAPPTQLNRTPLFAAHLAAGAKMVDFGGWEMP ISYGSQLAEHHAVRQKAGMFDVSHMLNVDVTGLQARRFLSLLLANDVAKLAISGKALY SCMLNPEGGVIDDLIVYFFDDDRWRVVVNAGCAQKDIAWMQQVVSEHKLDVVITPRRD LAMIAVQGPQARELVWQIHPEWQSATETLKVFFAASVGNDTLVARTGYTGEDGFEIVL PATQAEALWNSLIAAGVAPCGLGARDTLRLEAGMNLYGQDMDETIQPAQAALTWTVSL TDTQRHFIGREAIESAPRKNVFTGIKLNERGVMRAHMRVRTTQGEGEITSGTMSPTLG VSVAFARLPEGVVAGDTAEVEIRGKWVSATVTALPFVRNGKAVVPAQK MIM_RS17335 MDNGFYATVIADSKAHAGVTVVEDNKVRGGDAHYLYSGTIQANG SDEIVANLKIKAYTAVDQSFGTDENSFELSLMGSKTETGFRLTGYSPSGREIVIDARK IANIDLGF MIM_RS17340 MSDLPNDPIGAAWLEQRYEVRPVSRLPVQSQIGNRRATQIVDGD RLETYQESMRPSDEPVAHLQFHLRHEVPQLEFLSRLFTRTGPEFIQAWVDAEPTGQYA RRAAFLYEWLTEDALQVPERLGGNYVDAIDDTKLVAASSDHAVKVPRWRIKDNLPGTR YFCPMVVKTDAFNRAAALDVLQLFQNLQAEFGEDLLRRAAVWMTLRESKATFTIEGEA DRGNRIERFADVMARRTGQGDCPLTDETLAQLQGEILGKRTSLSHLGLRHSPVFVGET VHYQDIVHYVAPPHEDVGAMLHGLQVFLERTQGQSPVMRSAVAAFGFVYIHPLADGNG RVHRFLINDILRRDGVVPEPVILPVSAVITDDAGERRSYDRVLDEVSKPLMHAVREFI TFKSTQTTYSDGVVSNFEFGGFEQACPVWRYPDLGPHVIFLSNIVKRTLTEQMREQSR YLRSHGRARQAIKEIVEMPDQQADRVLRSIEQNRGELSNVLAKEIPVLREADVWKQIT EAVSQAFQEDPPVDRHILERYHPARPAGETA MIM_RS17345 MSDHFDVVIIGAGAAGLMCAAVAGQRGQRVVLLDHASRLAEKIR ISGGGRCNFTNSGATWQQFLSANPAFCRSALSAYTPEDFLALVRSHGIAWHEKHKGQL FCDDSSEQIIDMLVAECEKGGVKRRMQVTVSAIGQENGRFTVQTQAGKVSADNLVIAT GGMAIPQLGATDFGLKTARQFGLKIIEPRPALVPLTFDPQSWRPFAELSGLAVPVRLE TGEGKRRGVFDEDLLFTHRGLSGPGILQISSYWEPDTPIRIDLLPGRRLAQELVQRKK GSRQSLGHVLSEIWPRRLAENWLHDRGMPAAQRLADTADKPLMQLADSAQPWTLTPSG TVGYKKAEVMRGGVDTRELDQKSMQARKVPGLYFIGEVVDVTGWLGGYNFQWAWASAV ACGRALSA MIM_RS17350 MKFRFPIVIIDEDFRSDSASGFGIRALADAIKAEGVEVLGVTSY GDLSSFAQQQSRASAFILSIDDEEFDVDSREDVAHAIRNLRTFIGELRFRNEDIPIYL YGETRTSEHIPNDILRELHGFIHMFEDTPEFVARHIIREAKSYLDGLSPPFFRELVKY AQDGSYSWHCPGHSGGVAFLKSPVGQMFHQFFGENMLRADVCNAVEELGQLLDHTGPV AESERNAARIFHADHCYFVTNGTSTSNKVVWHANVANDDVVVVDRNCHKSILHAITMT GAIPVFLRPTRNHLGIIGPIPLEEFDPESIQKKIEANPFARELKNKTPRILTLTQSTY DGVIYNVEMIKEKLGSTVDTLHFDEAWLPHAAFHEFYTNMHAIGPDRPRSKDAMVFAT HSTHKLLAGISQASQIVVQESETRKLDRNIFNEAFLMHTSTSPQYAIIASCDVSAAMM EPPGGTALVEESIREAMDFRRAMRKVASEYGRNDWWFKVWGPNRLVSEGIGIQEDWIL ESGDEWHGFGDLAENFNMLDPIKATIITPGLDIDGNFAESGIPAALVSKYLAEHGIVV EKTGLYSFFILFSIGITKGRWNTLVTALQQFKDDYDRNAPLWRILPEFCADFRQYERM GLRDLCQKIHEAYSENDVARLTTEVYLSDMVPALKPSDAFAKMAHREVERVPLDQLEG RVTGVLLTPYPPGIPLLIPGERFNKTIVEYLKFARGFNEKFPGFETFIHGLGHVTEDN GNEFFYVDCLKLDE MIM_RS17355 MSIKNDRWIRQAAQDGMITPFEAGQVRERDGQKIVSYGTSSYGY DVRCADEFKIFTNINSTIVDPKNFDEKSFVDFKGDVCIIPPNSFALARTVEYFRIPRE VLTICLGKSTYARCGIIVNVTPLEPEWEGHVTLEFSNTTPLPAKIYAGEGCAQFLFLQ GDEVCETSYRDRGGKYQGQQGVTLPRT MIM_RS17360 MKMKYLRWYLRWKPIVLTLLIGLCAFVFWFMGTNPGSRWLLNTV MSQMGGELTNVRGTLWSGIALDRLLIDTPEIKITGKEAVLKVDWLKLFKRTLRVEQMS VADLDVKLLPLETPEPAPEEAKPFEMPGIPVGIQVDRLDVGDFALLMPDGSGLPVGLS NFSVADLLIIDQHAKGTLKSVHVSHPQSEVDLNGTLEIESIKSPYPMKLDLTAKLATQ NQQSPVCLSQFVQIGGVLTGALQQRDCTFDLHTQVAGDLEKLGVALQGSGQGAQVDAK LNLGVMGGGVPLNQADVDLKLGDTSGLQLKLDKKEKAGAGESDRLSGSFASQRLTLKG SGGTADSVLTSDMTFVADVLGLSNLQGLQLKGTVDSESRLQGTPLAADLDIDIDSQGV ITQRRIVDGEQMAQAVTDNLKAAAARKAQQEGKTVTTASGEDALQKAQEQAANTDAIQ TATTQVDLSRLNIRKADIDLKLGDNSITTSGSFGQQESVLRLGVDAPKLGELAEGVNG TAKFTGTLAGTIAEHALDISGKVNQGDAKTLGKAPLDLSATINGKWHALADGIDGWEG EVAALNLVHAGVRVRERDPLPLLFSPNATGVQNSWAAGPGVLGLTLPGGPEARLKLDK ASGNAQGITTAGSFTNLTISDKLMDEIAKMTADSAQLANATANTTANSATAATGDKAS RDAAARALAQKTAGSFPDIVFDGNWNIATENGLQGEVNLQRTAGDRFVPLVQKIPLDF ETLNIKLNETRQDEKNAVLAIAAQGQGPQSSLDTQLNLAMANVIPLRDGKLQLALTDG AAVQADFETIAGVSEGTDRLTANIGLRALNLQALSAGATPASLLNGTVALVADTLPGK REIADVDVNAKFTQGSTWNNKPLAGSILSKVHLAGIFDQQPEQQQADRSIKPNLDKLQ VSDTDVDLTLGNNRITAHGGFGQDASQLYLDVNAPALDNFWPSLPGSALLNAVVDGRV TNHHAQLYGMYAQAPSRALGKAPVVFGLDIQGAWDKVEGGQEGWVGVLDNLNLRHTEL RLQQQSPMSLSFLPDDGQHPMQWATTASRFLLDLPEHRSAQIVPGESGGNGNQWQTKG QIKDLVINPQYLMNLQKAFAADNPAAAQAATQAASKALAAAAAENKKTDAADKDGDKR KAANVADKDITLDADWDLAFNQMLTGSVHIERTDGTGVLPFKTPVPLDFDTIALDIEQ QKTPEVQDGYVVTASATGEKSHLNADVSLDMATPLLLRDAKADLALRDGALLTLEAMV SPKSGEDGSDRLHARVYAKALPVSKLMADSIPNTLLSTDLTADVDMFSPAAIKSATIK GQFDKGSIWNDKPLAGSVDLAVRDLALGGQGAAGIDLNAFRVPAANLDVVLGSNKIRS KGAFGEADSVLTLDVDAPALSSFWPGLPGSVTVDGTIKGMVAKHALDLKGMFSQGKST ELGKAPVNFHLAADGSWDKNEQGIEGWRGTLSALDVKHAGISVEQDKPWALAFAPAAE GGLPAWEAGPSAINIGLPGKHSIVINQEGTTGSNGKWQTKGSIRRFVLSPAFIRDMQK LADPAAKEAQSANAGIIDRRKGATQEASLVLDFDWDLAFDGALTGKTALKRVSGDFMI PAATPIPLGLSNFLVGANFNKTGATSSTANLNIAFDTQNKGNLKGTGAIAFNGLTPNL NGGSKITLNGNLADISWMGPLTGDMLDLGGAVALNVTAQSRANGQWATSGKISGSKVK IVEIDNGIRLLDGTLEATLRDNQVVINTLRFPSVIRITANEWRTKKWIEDNAPAQNGS LGITGKWNLNTSKGDFRVVFDHYPIIQRSDRFVMISGDVDINAPLPKVDIKGKVTADA GWASVDILGSVPTVDGDVVVLKPGQTKVVKEASPLDLSLDFTVDLGPRFYIVGMGLDS GLVGSLNVIQEKNQLTGVGAFRTRGGAIEAYGQRLQIRRGRITFQGNLANPILDIEAL RTGLDVEAGVKVVGTARKPKIDLVSYPDVSEVEKLSWLLMGRGPDSSGSDAALLFSVG SSIVGGGEPFYRKLGLDDIAIRSGNIGESGTILPEKTVASSVNQESSSDLSQQFFMAS KKFENGMTVSVEQAMAGTGTVVRGSYRLFRNLSADIKVGTVNGLELIYRRFFRD MIM_RS17365 MRLNKACFLTTILLLTGIGQVSAQKPEVIIDPSGLNPEALDAVN KGISAVVRMADDQDSGEADRIRRKGREAVMSALATRGYFAPDVTLEVGEDVGGETWDI SIDPGKISKVTSVTNNFTGSIATPRFNDRVSQLRKDWGLPVGKDFLNEQWSNAKSNML DGVAANDFYLARMTHSQAVVNPEAASVETETTVDSGPAVTLGHTEVVGLRRVPDSLIR RYIKYTPGQRFSQEQLDTWQQQIQSTNFFRGAFVTLKKPPGDEVYAQDAVELPVSVRV NEAPARSLAGSLGIDDSVGPGAEIMYKQNVVFGQPLIMETGAAVNAKLQRAYLDFNLP PNLDGSKDSVGVMFRHSDIQNEDVMRYALGWKRKLEFKLDEASRVDYESNWGVLAAYD SVKREGEDRYRLPSLVATWDFLRRDVDSKYDPREGNLIALGLGAGVTLDKGEPFSRVG LRAQQWWPIGRRDVLTMRGEVGQVFGSSGMRIPDDFGYRTGGARSIRGYKYNAIGKSA GDAVVGDRSLAVVSVEYMRYFDDRFGMGVFIDAGDAAEAFNKMKLHVGYGVGARIKTP AGPLFLDLAYGQRDRSLRLHFSLGVAF MIM_RS17370 MSLSSKALLEALRSVVDPVAGTPVVPALKESDITLSGTGATVRV EPGYVTSPAVRASLTEQLQAAARQAGAQQLTLQFSDTVKAHAVQSGLKPIASVKNIIA VASGKGGVGKSTTSANLAIALAQSGARVGILDADIYGPSQPLIMGVSGKPVSNDGKTM EPLRAHGITVNSIGFLIDADSPAIWRGPMVTQALEQLLRQTNWPDLDYLIIDMPPGTG DIALTLAQKVPVVGAIIVTTPQDIALLDARKGLRMFEKMNIPILGVVENMAMHICSHC GHAEAIFGEDGGKHMARELNVPWLGALPLAKSIREQTDAGTPTVASDPNSEAAGLYRE LARRVAVAVAALPKDMAGRFPSVVVENLKK MIM_RS17375 MNDFLDRFFKALLFGVITIAGLVMAAVFLVSAAIAVGLFYIIAK VRGKPFVAREYWKTTSTRARQTQSEFSEKFKKPGNFSRKPDITDVEIREIK MIM_RS17380 MDRFDAMQLFIRIVELGSFTEAAGALNLPRATATHAIKELEKRL GARLLDRTTRQVAPTLDGQAFYERSKRVLAELEDAETSLSTHVSNPHGTLRLDLHGAH ATKIILPRISEFRARYPHIDVVISSGDRLVHLVREGIDCVVRAGQPRDSSLVVRKLAD MPQIICASPDYLSRYGTPAHPGELPQHQAIGFFSRGNDSRYPFSVMMDGQETQFEASG WMSVSDAECYTSAALAGCGLIQVPRFRLEAHLRAGRLVQVLADWPCPDLPVCALYPFH RQLSPRVRVFIDWARELYREKFSG MIM_RS23340 MFIVMSLNDEVDDIDVDVDVDVDVDVDVDVVDVVDVDVVVVVNG LCLRGEVLPDRAVITLVICDCDE MIM_RS17390 MNISKNTGNTVKDFNNTGSSAQPESTTPTAIVTGASRGIGRAIA LRLAADGFRVVINYAGNTARAREVVDAIQATGGQAMSVQADISVPEDVARLFTAAQQA YGPISAVVHSAGMLTMAAIAPDNIAAFDRIIQTNLRGAFLVLGQAAAHLQAGGRIIAL STSVIAKSFPQYGPYIAAKAGVEGLVRVLANELRGRNITVNAVAPGPVATELFFEGKS EEQIAQLGKLAPLERLGQPDDIANVVAFLAGSDGGWVNGQVLRANGGFA MIM_RS17395 MTNLFSPFSLKDVTLRNRIAVPPMCQYSAQDGFTNDWHQIHYAS IARGGAGLVIVEATAVSPEGRITPDCLGLWSDEHTAGLARIAASIKAAGAVPGIQIAH AGRKASANRPWDGDDHIAADDPRGWQPIAPSAIAYGENLPRVPKAMTLEDIERVKADF AAAAKRARDAGFEWLELHFAHGYLAQSFFSAHSNQRTDQYGGDLAGRSRFLLETLAAV RAEWPENLPLTARFGVIEYDGRDEETVAESIELTRNMRKGGLDLLNVSANFVIPDTQI PWATPAFLAPIAQRVRNGAGLPVASAWGIDDPQVANRVVQDEQLDLVMIGRAHLTDPH YPLTLAKTLDQPRPTWVLPAPYAHWLERYRGAAA MIM_RS17400 MKDIRHLDLNLLKALDALLDDRNVTRAAARLGVTQPAMSGMLTR LRDTFEDPLFVRAQRGIEPTERALALAIPLKQVLGEVETLLQPPVFDPATATQQFTIA STDYALRAIVLPFVARIKRLAPHIRVALVLANDTQLLTQLERGVIDLALVSPEYTCQD LHARKLFDEHYVCVLRQDHPLIKCQQDLTLERFCELDHALVSYSGGGFRGSTDEALEK MGRSRRVTVSVKSFLIMPDVLRASDMVSMLPSRLVTDMTGLAVFEPPIAITGFTNMAA WHERTHRDPAQRWLRQLLFDICLDLHAQCEAGRVEQTGTPDTVI MIM_RS17405 MSPDTPPTPRSLQQGTLAFQPDSRQLAARKLVLLLVATLTIMAG IIIAPSLPAIETRFADNEHIALLSRMVLTLPSLFVALSAPLAGMLADRFGRKGLLVMA IALYGLAGASGLVADSLTALLIGRAVLGVAIGAIITLNTALIGDYFTGAEREKYLGLQ QAFVQLGGVVFVMSGGLLAEMHWRMPFIIYGAALILIPAVILCLTEPQRPRSLHPTTS FTDAPVNRLVVATVCLLAFLVNVSFYTVPSQLQFHMQALNIYNASSFGMVLAAFNLAG GIVALCFGLLKRRLNTTLIFLLGFSLMASGFGLLCVASAFEGLALANAILGAGLGVVM PNIMSTAITHSAPALRGRIAGLVATSIFIGQFISPFVSQYWIAAAGYAGMFRNVGLIM ATLAVLSLLTAMRNGKMSLLGQKT MIM_RS17410 MTRTSAAAPPPASPTPAVTLPALFRGFAKIGLLGFGGVGPITRH VIVREQRWLSEKDFATLLGIGKVLPGANTVNVAVMLGDRYHGIKGSAVAVAGLLVLPV LILIVLALLYQFLDQNPYFNAALQGSACAAAGMVIGTGLKMASKIDLRPHHIVAGIIT IALVVLLKFSLLQVVGVMMPLAIVANFIVARRQGHQP MIM_RS17415 MTDLLELFLTFSRISLIAIGGANAAIPEMRHAAVDIHQWMSSDT FTHLFAVAQSAPGPNVLVASIIGWHVAGVAGLLIATIGMVLPASVLAFAVGRVISHYT HRREYKLVQDAVVPVAIGLIIASGMELSLYSAFDVLTWGMVLGTLLFVYFTEANPMWA LLACAVGGVVAHQVGVL MIM_RS17420 MSRTIFVTTALPYANGSFHIGHIMEYIQADIWVRSMRMAGHTVH FVGADDAHGAPIMLKAEKEGITPQALVARYAQERPRYLNGFHIKFDHWHSTDSQENID LSQAIYRQLKAAGFISTKTIEQFYDPVKSMFLPDRYIKGECPKCHAPDQYGDSCEVCG AVYAPTDLINPYSTLTNATPVLKSSEHFFFNLSDQRCVEFLQEWTTGTNNQGNKRLQT EVLAKTREWLGNDADKESNLNDWDISRDEPYFGIEIPDAPGKYFYVWLDAPVGYLASL KAYCKLQGIDFDALLDPESSTEQVHFIGKDIVYFHALFWPAMLKFSGRKVPDALNVHG FITVSGEKMSKSRGTGISPVKYLDIGMNAEWLRYYIAAKLNARVEDIDFNPDDFIARV NSDLIGKYINIASRAATFITRHFDGKLGYAGDAQAMATELATLTEQVRSDFENREYAR AIRNLMAHADKINQAFDSAQPWVMAKGISNADEQQKLALQDVCSRAIAGFKALSVMLA PVLPALADRIATELFGMTRGFNWSDAAELPDHIAPFKHLMQRVEPTMLDSLFEPDAPT DDVVAAAVAAALPGGEAIADVITIDDFVKIDLRIAKIVSCEHVEGSTKLLRLTLDVGE GRTRNVFSGIKSAYQPETLIGKFTVMVANLAPRKMKFGVSEGMVLAASDADEKANPGI YILEPWEGAQPGMRVR MIM_RS17425 MFVNELMHQPKFTGAICPSSRKLGRYMAKHIPLNHDGIVIELGG GTGVITQAILDHGVPADKLIVIEFSNVFAQHLQRRFPHLTIINGNAADLDALLPPDVR INAIVSSLPLISLPPEVRSRILHHWQTLLKDEGRAIQFTYNLRQTQWQASLNACHYSS EIVWTNVPPARVMTFRFAA MIM_RS17430 MTIADPDKNADNNAATQASASNDNPDTLKARHIRSFVHRRSHMT PGQKQAVELHMDKWALPYQASLLDYEQTFGRAAPTILEIGFGMGETTQKIAQLRADEN FLGVEVFNAGVGALLKRIEESGTSNIRIIQHDAVEVVQNMIAPDSLAGVHIYFPDPWP KKRHHKRRLVRPDFIALLASRIKPGGYIHCATDWEDYAVQMLEVLSGEVLLRNTCADY APKPDYRPQTKFETRGLRLGHGIRDLVFNRI MIM_RS17435 MYPPIEPYQTGKLDTGDGHQVYWELCGNPQGKPAVFLHGGPGAG CGTHHRQLFNPQHYNILLFDQRGCGRSAPHASLENNTTAHLISDIERLRTEVLKAEQM LVFGGSWGSTLALAYAQAHPKQVSELIVRGIFTLRKEELRWFYQEGASSLFPDYWEDY LAPIPPAERGDLIAAYHKRLTGTDRDAQIQAARAWSQWEGRTITLYPDQSNSQNYIAD HFALAFARIENHYFTHAGFMKENQLLDNAHLLKDIPGVIIQGRYDCCTPAKTAWDLHK AWPQAEFHIVPDAGHAFNEPGILKLLLEATDRYAKQ MIM_RS17440 MGILSFIKEVGEKVFGGSEAQAATPEDLKKELAKHGLNADGLDI AVDGDKVTVKGNAASTADAEKIAIAIGNTQGVASVDNQLSAATTEEESNFYTVKSGDT LSKISKDQYGDANQYQKIFEANRPMLSHPDKIYPGQVLRIPK MIM_RS17445 MSIKPPNEEGTQPDLPGEQASPGTSAGTTPPAPIERSKFGGTPR RHERAFDDDAPVSRSDLSKGPQDVLEAPTARINWRVLLVSSMVIIAFSLWAILGPNEA RTSMKIAVDWIATNLGWYYVLTMTLVIGFVVWVAFSKEGNVRLGPDQSRPQYKLSTWV AMLFAAGVGIDMLFFSVTGPIVQYLHPPAGAGGTPEALQDAVVWTMFHYGVAGWSMYA LLGMAMGYFAYRWGMPLSIRAALYPLLGKRVRGSLGDGISTIALVGTVFGVATSMGIG VVLLSVGFSLLFGLEQGLSLQIALVIGAVILTVLATTSGVDRGIRWISELNLWSAVAM MVYILVTGQTAFLLNALTENIGRFLVTFPARTLETFVYAPGGAEWMGSWTLFFWAFWL AWGPFVGVFLARISRGRTLREFVIAAITAPVLCDFFIVSLFGNSAMYHVLQGDTAFAQ LAVDSPERGWYALLGMFPGAMFLIGLATLSGLLFYLTSANSGAMVMSNFSASIPDPSQ DGPKWLRIFWAVLTAVLTVAMLLAGGVTTMEYATLIFALPVTIIAYLVMASFYKVLQM ERAEREGQVLRKPSMAPIGGYLPERSWKQRLEQLHSFASSKQAIQFIDRTVRPALEDV AAEFRNQGYQAVCEDITSERGIQEPQLRVSMDSYRAFHYHVALVEAPVPMFSGRMTRE VDVYYRLEVFTQTGSGGYDLMGLTKQQVIDDVLERFEAHLAFLTFSSNTDTASVLTPP MPAREG MIM_RS17450 MSLSEITDSTIVAGTGAASAHGPRATSAAPDSAVYARLKRLGRV QRLRRVLLVGAALIIVTLSLFTESAWRNVTLMHDLIQAAGLVLILCGALGRIWSSLYL NGRKNTELMTHGPYSLTRNPLYVFSIMGVTGMGLLSGSLLTGLVSGGLIYLVFNWVIA QEEGTLEMIFGDAYRNYKQHVPRLGVTLRQWNNPENLEISMKALGRTVREALCFLLAG PFFILLNFLHKDGIMPVFAHLF MIM_RS17455 MFDNLTNRLSRAMKTLRGEARLTEANTQEMLREVRMALLEADVS LPVVREFVAKVKESALGTDVAGSLNPGQALVGVVHKELTAVMGGDLGADASELDLTTT PPAIILMAGLQGAGKTTTTGKLARLLVTGELIQNGRKIPKKKVLVVSVDVYRPAAIEQ LRTVAGQVGADFFPSAADQKPRDIALAAVDHARKHHYEVLIVDTAGRLGVDEAMMQEI SMLHGLIKPIETLFVVDAMQGQDAVNVAKAFGEALPLTGVVLTKLDGDARGGAALSVR HITGKPLKFIGTSEKLDGLEVFHPERMAQRVLGMGDIVSLVEQAQRNIDIAEAQKLAS KIKTGDRFDLNDFREQLQQVKKMGDMSSLMEKLPAQFAQAAGQIQGGQAEKQLRRTEG ILNSMTPLERSKPELLKATRKRRIAAGAGVPVQEVNKLLKQFEQMQGMMKQFKKGGMG KMMRAMGGLRGLKSGMGAFGNFKK MIM_RS17460 MSYDIVFHSLATVAYLLLSLLIWRPLIRAQGPVLAPGIAHWLLA AVIIVHGVAVHLAMLQEHSLRLSWSVGLSLTIWLGMIVFWLENLISDIGGIKLLLLPI AGVICLLAALFPGHDSLIIPVVDGYFQVHLLISIGAYSLIAIAAIQAFLMTSLDRYLH QPVQARAERSVFARALEEQPPLLVQEKILFRLIWIGFVLLTLSIVTGVVVSMRLTGVL LPFDHKTLFTLMSWVVFGLLLLGRALRGWRGRFALRWTLVGFALLMIAYTGTRFIFDV MLHKGGA MIM_RS17465 MRFIITIVLLCVLYLVLRHFLRSFIRKLSDGAAAQAARASGTAP SSVREEDMVQCAHCGVYLPASEAVTQQGRQWCSQEHARLGAK MIM_RS17470 MPMTENLQLDRHGWLCPGPGVRHIRSPNQDRRPSLENISLLVIH NISLPPNRFGGLHVQQLFTNTLNPQAHPFFAQIADLRVSAHFFIKRSGRIIQFVSTDR RAWHAGVSRFDGRERCNDFSIGIELEGTDFTPFTDEQYLQLAALTTVLRGRYTLKGVR GHEHIAPKRKTDPGPYFDWHWYKRLTGWEWRQMPEGIASRRLVGV MIM_RS17475 MKGNHLGRTDVGEVLRILALLMPIAIISPAVATTMDVYPSRQIT IIVPNPPGSATDTLARIAAEGMAKEWGKPVIVDNKPGAQGVIGVQAMKKAAPDGYTLI VSFSGINSSNPWLIKDLPYHYLNDFTHIAPMVRAASLLLVNKDSPYLTTADLIEAAKT DANRVTYGYGQATSQVMGGAFIQNAKLPKLTDIPYKGQPQALTDLVGKQYDFIFADLS VSMPFVESGRLRALAISTKERSSIAPDVPALEEQGLTNFDMAAWVGISGPAGIPRDIV EKLNAQITKDFKKPEVQAKIRQLGFTPIEANVDEFKQFVQRENKIWGDAIQASGIAPR MIM_RS17480 MRIRTLTGRVPAAQVKENPEPIAPGLIERLKKLEDLSGLVSDVL DEFGLEGTVGSTTLRPTLDRTVVATVVTQRNVRQDVTAFTNVSNRSWKMSEIEGINQC DPGQALVIEGVPDVSNMGGLMAAIAKYQGLAAAIVDGGVRDIGSSRSIDFPVWSRHVT PLTGKWRCTTVEINGTVNIAGCQVNAGDLLVADETGVCFVPRDWVERVVDRCEQIALY EERISVLTKREGSTMQDFLDSLYGKI MIM_RS17485 MFSLDSVELRHIRCFVRAAKLGNMSRAALESDLSQPAFSLRIQK LETALGVRLLHRNGRGIVPTAAGNALFQQVEPLLKALDEVLTESASLEKRSRAEVVIG IVPTMAYRVTETLSPGNLALFSSPIRIVEGFSGHLKTWLAQGDIDLAICSSISHNASV KQIPVGVEPLELVGSYFGLLSRDGVKLRELEALPLIVGSRQHSIRKRLEKTADENGVK LKTAYEVDGLDAQLRYAAKGLGFAVLPRGTISGFGYSKILKSRIIIEPLIPIHIVVAS LPHIENQKPHVAQLRDELVQRVSATLSQHPLAEKIA MIM_RS17490 MSQSDTTVKKAEETLGFQTEVKQLLHLMIHSLYSNKEIFLRELV SNASDACDKLRFEAIDKPELLEGGADLEIRVSYDKEARTITIADNGIGLTKAEAVANL GTIARSGTKEFFSQLSGDKQKDAQLIGQFGVGFYSSFIVADKVTVVSRRAGEPVDQAV FWESEGQGEFTVADTEKAERGTSVTLHLRAEEDDFLSGWKLREVLRRYSDHISLPVLM QKEEYDSEKGEQVKKDEWETINQASALWTRAKNEITDEQYTEFYKHVSHDFEEPLAWT HNRVEGRSEYTQLLYLPKHAPFDLYDRDARRGVKLYVKRVFIMDDAEQLLPSYLRFVR GVIDSADLPLNVSREILQESRDVKSIREGSTKRILSLLEDLAENRPEDYAGFWTEFGQ VIKEGTGEDMANQERIAKLLRFASTHNDDAIQNVTLADYISRMKEGQDAIYYVTADTF AAAKNSPHLEIFRKKGLEVLLLSDRVDEWMLSHFRQFDGKSFVSVAKGGLDLDKLTDE AEKKHQEEVAESLKPLLERLKTSLGESVKEVKVTNRLVDSPACVVVGQNDVSPHLLRL LKAAGQETPDIKPVLEINPEHALIEKIKGTEGDAFDDWAHVLLDQAMLAEGAQIADPA AYVKRLNGLLLKS MIM_RS17500 MFAKNRLKSMLLMSGLVMAAALTGCDTLDQMEADNFRKECINLG IQPGSPNFERCMLQQQALEENSLQHSMDRVEMEETAKMLRKR MIM_RS17505 MRRVSLQLMTTLGFLAPGITFSQTAGAPIYPSADAEYQKNWGVP MINALPAYLKGYTGKGIIVAVVDTGLDVNHPEFQGRISSALRNFGKDKAEDDVSHGID KADGSIDGHGTHVAGIIGAARNGTGMQGVAYESTILPLRAIDVESSVDGEADEAAIDY AVQQGAKVINGSYGPDTLNKNIPDPTKPGEYKENPNWKELTYQPIWSSPESLTHTFET LKNAVDNDVVLVFAAGNEYEDQPQASSIPGGNGMLPLILPVNTANGVYKFVSNATEDD FDMNDPKTYKFISPDDSAVKDLDFSELSGAVIAVVAVDKNGKITNYSNHCGAMYEWCL AAPGGDTDNGPEGGINSTWPLGDAKNNNNPYQSDEGTSMASPHVAGAAAVVRSAFPYF TAQQTIETLLTTTTTLGEKEIYGMGLLNLGNAINGPGTFRYKGVFDVDTQGYSSVWSN SISGEGDLTKRGQGVLTLTGNNTYTGGTSVVGGTLGIEGNTAGSVSVSERGVLAGAGV VGTVTLSNGGTISPGSTLDATKVLSALKVAGDLIQGAGSSYLAQIADDGRSDLIDVSG KATISDTAAVVIQPDAGSKLELNRRYTLLTAAGGVDGRYGSVMKPDTLFVNMNLVYDA RNMFLDLARSSTAFEDVADTHNQRTTGRAIENLGSDNQLYQNVLFLSGDQARNAFDQL SGEAHASIHAGLIEDSHFLRDTENERLRDAFGTSSSRPTSSLSYRSNGNDQVPEVPGE VTLWGKGFGAWSRINGNDNAASLRRNTGGFFLGGDRLVGQSWRLGVMAGYSHTSVRVR DRNASGSSDNFHLGLYVGTSQGAWRLRSGLGYTWHRIKTDRSVAFGSFSDKLSSRYDA GTFQAFGELGYRLETRVAAIEPYANLSYVRFKADRFTEDGGAAALTNHAKANSNTYTT IGLRATSEFALGKVDAQVHGGIGWRHAFGRVYPKADFSFGDQPSFNVQGVPIARNAAV LEAGLDMKIGKTSTLGIAYQGQFGSGAREHSLQARLALRF MIM_RS17510 MGILDAVPDSWGRKYECDGAAVANSLVDPTSIHFTTKAYFFLIM LSAQPDRAIAINSDRPVIGLAPAGCIEIVPESSELFSRWRHTKHSLLIAMTEQHLLNL TNLEWGDSLREFRLPKLGVVDEKALAIASEIRTELICAPFGYEACIDSLLTLFGIHVL RTYTSLNNQARQKEKIAGGLTPVARKRVLDYIHAHLSEKLAIETLAVVAGLSPSYFTR AFRQSMGQSPHEFIVATRLQAARRMIMTSSAPLSEISKINGFSSNSHMTALMKKTWGQ NPTQIRYSDYDMAQGDGDDFQPPSQFHLK MIM_RS17515 MLKPALIAVLLALGACSSIGPSAIREDQVDYADSIGHAATRQLL LNLVRVRHREVPSFIAVSQLVASYGVEYRGEASLSFLDRVTSALQRQTLKETGGRVLA AGSYSDRPTITYTPVRGADAARLLLNPIPPGVLFALLAADQPAHLVLGIPVAAINGIR NITGTRPELIREGEQFREIIGLFDALSSEERLALRFVEENGVRQAHLIFADRNPAGPR EKRLRQLLGMDAARHDLPIVFGLGTGQPGELTIHTRSIIEVMRALSQVVPLRPGFRGE TNNDLNPVDPLLSKVRIHAGGTAPADAFAAVSHRGHSYWIDQDDVETIELISFVMLLL NVADTTSNTQLPIVTIPSN MIM_RS17520 MNIRVNKISSQILLTVVLSVPFIQGCTTEGDRVVNEMSTAQQIK LVEAGDDKQFNDWYNQLLTQIKADSNYKRMPIDTKRQELESYVWFHEAYRKQITKEEL ARRLNTSYPNHKYEVNFIVSRLP MIM_RS17525 MDSPVTHPDVFVHVRIIIGMVLGLSISRLVTGLARFVQHPKRDN IYPIHIAWVLFLLVAIIHFWWFEFGLSAIHRWTFEIYLFLIAYAILFAFLSAILFPDR MDEYRGFEDYFQSRRKWFYGLLALLFIVDLIDSRIKGIEHFQSLGLEYPIRQLAFAIC ATVAVFVSSKRFQAVFVTVGLIYQITWILRLFDVLN MIM_RS17530 MAEMGTHETELSKAIFGAERNRRVATVNYARASVGLEKFTLNAT NEEHVQRFISGDSELLCIFAMARNISTTAKALVPPP MIM_RS17535 MIKTITSLIRLLANSLNSILSWLESKDRSTRAQSIFVDLAPTDE ADKAGVYSKAILFATNNTKVSNIALTGPYGSGKSSIIQTFLKKYRRPALHISLAAFVP EADSEGKKVSRQEIERSILQQMLYGADANKLPLSRFKRIQSPSVLSIFKSLYITLGIL ALWYVFNRREDIISGTFFVPLDLSNAFSLGICAFAVIFLWVTLHHFYVASFGLSLKSI SLKDVEIRPAHDDQTSILNRHLDEILYFFQSTDYDLVIIEDLDRFNDAEIFVTLREIN CLINENAGVNRTIRFLYALRDDMFTNTDRTKFFEFIVPVIPIINTSNSIDMVLKQGRR LALDERLDRQFLREVSRYLNDLRLIQNIFNEYAIYVANLETDGDNLLDANKLLAILIY KNVYPRDFEQLHRGVGNLAEILNRQDELIRDGEARYRTEIDELEKQLEVAERQTPSDL VELRQIYAMALIEKLPDNAIGVRLDHHGTWIVLPQLASHDAFEQLIGATRILYRNANN NQNWVDVSNLQAEVDSQKSYQQRKGEIVSKGDDNKNKLLRQIHDLRLRIPTLRMTKLD ELLRLNADHIDGLFKDFEENGELARFLILEGHLDDTYYQYTSLFHSGRLSSNDNRFLI QIRAFVTPEPNFPLDNPKEVIAAMRDEDFQQGYVLNVKLVDNLLADPSLYHFHLQKFF ELLSSEFARCEDFFSSYYTSGLDIAGLLLRFANTWKNLVPAAIASPNNISHITQLIAH LPESSLTTLARHFDELSDFTAENLPAILLQSPELTPGRLKCLDFEVKDLAAIKEHSEI VRFMFEEGLYELTITNLEHVYQEILGESDLKSMRTRNFTTLRAMNNPILMKRLERDFS LYLRDILLALQENSGEDIPAILAILSHETLDQDDLWMFLERQTTRLQTLEGVPEKLHA TLFQLNSIEATWINCLAFIESGGFEEDSLIGYLDLDSVRAAILQNSIPSDSDSLKLHQ FLLNAGSLSDAAYKDYAHALPSRFQKLPLELELSKLQILVSEEKITFSKENLDALTEN KDLQVLFVATNIDKYLSAPNSFALDDDFREELLRSNIENAEKLRVIALMDLEALADLP ERSALIGPIINSADANIPKIGGRSVQSLVINSRPTATQISLFNKYHSFMSDDEVRHVL TNLPQPFSEIKTGYTTPRLTNTPENRDLVQWLDSRNIISSWSDDRLFTDEIRVNLYRN G MIM_RS17540 MLEHRYFGVGQLSSALIGEQISFHTLTVMSIFLTLCKGLSWGLS SYPHTQRVKSLIDISVEYISPKAVALGLIAGFIIIGLAISAIIVGDYVHATKFVSAAV FFLALAEVAANPLQSRDASKCYPTAMGMVIITPTMYLIGPLL MIM_RS22645 MKILRFKASKVHGQYNFDLNFFSGITFLIGINGSGKTTALRLMQ AALTIDLSTLTSIKFQKLTIDVLHQDKLFNLEIINAGSNLIFILNGEESSVKIPFSGN DDRNSILKSERLDSFFGRAAYSSTQ MIM_RS17545 MEEQRIHLLNDQEKGFGQFIKGQRPLFLGLERRTGRYDDEPYYY EEDLAGRTYLRPSKRLQRESLDGLDSCQRLIERAYRNFRRMSDTTTSRLINVIVDSMF DYVELDSTDWPSTAEKSREFQKILERRTEIERFATDLGGSRLASQQINNFFSKISGGL NTETEANQELIEWLLNKAQIQRIHKLLAEMDRQNKRTERLYAPIQEFVEILNKFFRDS KKTASVDSLGRLNITQGGSPIQLSSMSSGEKQLLILLAHGRFARNQHGVVIVDEPEVS LHLRWQEMLIDSISPEDSENQFIFATHSPEIVGFRKNNCVQVG MIM_RS17550 MLERTDAAKYAMTVFHENFNDFDIYIEDTAPGYQKIFASLLNRA MGDNVTIERVFPLGSRNRVIEMASREPIGEIAKRPSVYLVDGDLYLLAGEIQNLPNNV VVLPRYCVENFLIEENALALIMDDEVPAETIEKLRRQFDYHGWLTRAETPLRELFIVF AIAHKLRSGIQTVCRGYSSICADATGEIDQGKVRAICTEITDQLTAQFGPDNVARAHV EIENSINKSKCFVSTYVSAKDFSLPLLIARMRSVTRSKAPNVNLKMRFSRICNTDPLR EVVDAINKIVNPPHQEFNT MIM_RS17555 MAVKITAHLVQLTYEASLRSFWRKEALRKFLRQTHVAEGHLATW SPDESKRDFLDRTFAALQRSEKGKAVIGEIALSLAEQTTFPDLRNWEDSADKIQDASK AVRELKALIARQAEEVRSERERETAKAKAREEREELQRQRTSLAELMQRLNELVPRQG TAPGGYAFQDWFYDLLKFTEVEHRKPYNTGGRQIDGSLTIDGTTYLVELKFTTTQAGG PDIDIFRSKVESKADNTMGLFVSMAGYSSIAVQEASGKKTTLLLLDASHIFLVLTGGI SYIDLVRRIRRHASQTGESFLPVNYFGG MIM_RS17560 MALPDIDFSRIRPHDGSQHIGFEELVTQLASLERRPAGSTFVRK GRGGDGGIECFVTLANGDEYGWQAKYVFSWDASLETQLNTSLEAALAKHPRLTKLTVC IPFDLPDGRPNQGNHATQKKSARQKWDTWKSGWEQHAAVQQRTLTISLWDSGVLSGRL TTDSPAYNGRLLYWFGTTTLTSAWFTEQFGKMREALGSRYTPDTNVELPIRKTFLAFA RDPSINDTVLEWSLSLGDRGRSAIEAIERALGKNNQPKELSALSAALTSLSQALDVGP VGPEAQLPLDAWQKASRACSSAFYNALEWVYTLPASKADPGVTREDWARHELFRLIDI VRDIEAALDSDDWQFANAHAVLLEGEAGTGKSHLLADVVEHQIHANRPALLVLGGALV EGEPWKQIMAQLDLAPDLQAKHFLGSLDAAGQAAGVRTIICIDAINERHGTDIWPDRL AAFLKMAEPFPHVSIVLSCRSTYVRHVVPDSLDEKRLPRVLHQGFAVRGGEAANMYLA KRGVVRPGAPALVPEFQNPLFLKTCCDFLEKVGKNELPRGLRGVSAIFNFYNNAVIRA ITQRMKLDPHLDLVATALTLLTDILISRGEGYAPKTEVIGAFEAVLPSGGSLERSLLS QFESEGVLAIELIREDDGKIVPMVRFTFERYSDHAIAARLLQEHLNQDDVKASFADST VLGDLAFGEKHYRVAGIIEAIAIQLPEHCGVEIVDLRPEFNWMIRQAFFDSLLWREQR FFSDRTFKCLRGLENNERVSDVLISIATEPENKFNALYIHKRLSSLSMPERDASWSVY LNNRGSEGDPVEVLINWAIQNGMGTIDNGRAELAAITLSWFLTTSHRAVRDKTTKALA SLFAMRLTLAASTLRLFSEANDLYLRERLFAAAYGAALQGKTVDGLSELAATTYELVF AAGAPPLNELLRDHARGIITYAQFRKQLPPSVDLTKAHPPHKSQWPIEYVSEEKIDSY QQDHGDAIVSSAVNDGDFARYVIDHTIDNWAPVSIDAKDCPSAHSLGSEWIERFTVQA GAEQLAAFELVADAANACGGDLSYKETPERTALKAAENTFQAVLSPEAWEEYRVKAQD FVRYSMFSRQPYDYPARFNRGWGRRWICMRAHELGWTQERFAKLERGYSGDRYEHRVE RIGKKYQWLALHELVARMADNLLFMGQSYGDECRTYEGVRDIRLRDIDPSLLVTKTYY DNWKQWDQTWWIPVQPRLRPIAPLERLAWLDSQIDLLNDASFIDLTDPKTNRHWLTLS SFASWRQYGLDGGNKSMQRETWYRVRCVVVAKNDEASAVKSLSGRNLVHPDSLPEFHL DSSYFLGEYPWHPKLQDQDDWVGGSHWNGLSVSVRPTCAHYLSERGGYDYSIDETVRI ELPAPWLAKEMGLRLQDGQKPTFVDVDGEICFFDPSVIEPGYQAALVDRDAFLAMLDR KGLAAIWVVAGEKGVFGGKDGYGGFGGRVLHTTVYTLGENGFKKNQYRSREKPSPEQL EKLLEDKPTEELLLLHAKK MIM_RS17565 MMKDDFQIAAIPDICLGMNSRLASRTTTKVLGRLLEPLGLDPTQ FPIMVMLHLHPGMVVSALSISLDIEASGISRNIQTLERKGLVISSGGRGRKGKQLTLS PTGLKVFKQAVRCWNEAQQMLIAELGEKEVNEARQMMRNVSVAAQRLLATMDKGG MIM_RS17570 MRRVVVTGLGIVSPLGCGTELAWKRLLAGGSGVRRLDDDIVSGL PVKVGGTVQGRDADREGGFCPEASIPSKELKKMDRFIQMAMVAADEALSNAGWAPESE YERERTATIIASGIGGFPALAEAVRIGEALGVKRLSPFTIPSFLSNLAAGQISIKHHF RGPIGCPVTACAASVQAIGDALRLIRTGEADIVLSGGSEAAFDKVSIGGFAAARALST GFNDQPTHASRPFDNERDGFVMGEGAAMLVVEALDHALERGARPIAEVIGYGTTADAY HMTAGPADGSGAARAMKLALQMAGIAPERVDYVNAHATSTPVGDAGEIQALKTVFGTG GGPAISSTKSATGHLLGAAGAMEAAFSILALRDGVLPGTLNLENPDASADGLDLIGPA ARDSAVEIALSNGFGFGGVNASVVFKRYEV MIM_RS23235 MKASRPLNILGFGEPFATRWSLALTFGALVYVGYRLANRLIVMQ FDVSGYTT MIM_RS17575 MYRDIQHDYEHNFLGMMAEPVPLDTLLAARDHMLHEILQGLDEN KRRFLLSLIAGMPAWSLRGIAHLKYLPGVRWRLYKLTRLKKPNTKKFAVQANLLAARL AGAASCR MIM_RS17580 MQYIKTLLQKLFTIRSLVGSIVRWIIYLTAFFTGLWAAGPLLTS AVYKEIIAQVSVNPLLGYLLDPGSWILDHLIRNLAPILAIWACAFASYYKFKDRAEDL NIYFGYASLLTNSIGTFLVALSMLFCGIGMYSVLVLHEVPVGIRIVSLALIGFGLPGL FFNYYVKIGFKPSPLLDNVAPYAAVLCGLLSVAAIFYGAIADVLGLIRFLLKHG MIM_RS23240 MKKCRTFDAQFKLEVVHMIKDQDLSVSQVSKTTGVGETAIRAGL RRMKQSRP MIM_RS23005 MVIDLYVHKIVGWAIAANMPTELVASGLQMALQQRKYANHAEAT RDIVNYIVAFYNCVRLRSTLGYVSLVQYGLQAAQQPIWVYEIT MIM_RS22650 MNNKSTPVATLGSPTSHGGEVTTATAAFTIDGRKVARVGDKISC PEHGTGFITDGGFATIDGRHIAHHGSSTSCGATLIVSGGGPTV MIM_RS17595 MNIKTFYRMALILPIILPLLALLFVGVTSISALLLMSLYFSGIE YLIFATIMFYLIGKYQTTQKIRRLFWLTPPIFVLLAIFGWHVRLYINTIFNPVLIFSF DMILPLLFYGLLVGYGYCLFIELIYQVFKSRGWVRVEQQNR MIM_RS22240 MSANINIINPDRLIKVSIAADNAEFLFDAMQGTDGMSTLSDYTV RLLHRSMQVDVRALLGKSLTLTINTAAAPRHINGVIASFALVGQEGDVDRYFVYEARV VPWFWLATHKKEFRIYQNQSVPETIKQVLSPYGYAFEFDLVETYAPRVYCVQYDETDF QFVSRLLEAEGIHYYFRHEQEKHTLVMSDEIQSHKPVDGYEHVPYFTEDKLALPQQDY MTHVAVYQDLRPGQYITNDYNFTTPKADLAAHHGIELEHEHNQAEVYEWPGNYEDDPL GERYARQRMQEQHHVRDTRTLRSTARGVATGSLFNLVRCPRTEENREYVVLGTRYDLK ENNYHSVNSPEEAAQNGRLCLFDLTVQCATLPFRPPRTTRKPRTLGPQTAVVVGPEGK EIWTNEYGQVKVHFHWDRYDKKDENSSCWIRVSSSWASGNFGAIQVPRIGDEVIVDFL NGDPDAPIITGRVYNAAMMPPWKLPDNATQMGLYSRSSPGGNYETANAIRFEDKKGQE QLWIHAERNQDVEVEHNDTLTVGNNKTDKIRWHWKLNTGGFKQETVNLASVQSVGLGK MMNVGMAYNVNVGGLYLRNIGLQMASTVGMDRTDRVVQSWTSDVGHVYSVTVRGKAVE TTVRKDAQRPLIATPDFQPQLPSAVESSDANQIRITDGGQASLSGAQYAKLIGPGGVI TIDEAGIRIRGKGIYLQAPIISMTGGDAQGLVPVTEADCAECAKRTTTPHPVDVATGQ KVLVTDDFMLPGRMPIRWSRMYRSADQREGHLGIAWKLPYSTEIRQGAAGMVYFDADG RQLNFPNLAVGEEHFHPIEKYTLQRTEDNASHPRYRICFTNGTEEHYVRHPKEPKRWQ LHRITTRDGQWLQIQYTVQGWLKQLNNNRYTVLSELDTRGRILALYLAGDEDGQALAR YSYDEQGDLVRAVDRAARVWRYRYAHHLLNEYRTPSGAVHISEWDGDTPQARCVRTYA YAENAAAPGAKPMITRDTRFTYLPASKTTQVTDGLGNTTEYHYNGLWAVDRVTHPDGS VEQIHFDETGSISGHTDALGRSTRIVNNAAGSPTSVIDAAGNVTSLSYNAQNQPVHIT DPAGHVWQRSYDEAGNLASETDPLGHSTSYAYANGLPVSRTDPMGNATKMQWDEAGQL VSKVDCSGNQTKYQYDSLGQLTATTNPLGQVSEQQWDQAGRVIGNKPVGRGWWRIEHD KAGRPIANTDPLQRVTQTRWDAYNQRVEVINAAKGNLLFEYDRIGQLIKITNAKGEST TLVYDSRGRRVSETGFDGRRQIFKYNAAGELIERIDIGNDGQITTTYVYDALGRPVER RVSDGMHVSYRYDARSRLTQAQVVAMPGEQPHRITYEYDAAGHKIAEMQAHHGRVWRI THELDALGNRIASRIPSAGKLKWLRYGSGHIHEMLLDEDTVASFERDGLHREVLRNQG RVSHQFSYSEAGWLEAHLWQDLDEQGKQLGQPRGWRAWKYDVAGQLLNLRDVYRGTKS YQYDALARVTEVSQCVERLGNWYENFAYDQADNLIAIAKSQGYEVPVQFGQGQAPGNR LLRLFNSQIAEQYLSYDYDGHGNRVSQMTGISTPISASAIESSSVETAETIEPGSGSE PLAKSTRYHYDGDHQLIQIDHPGGAKIQYRYDAFGRRIAKHHTTHNNDSQTTLFMWDG DWMIQELAAANDSHEDQVKTFIRHPDFLGPLTLLSGHRRYHHYVTDHLGTPQEMYDES RRIVWAAQMDTYGRVTKQIVADVDNPIRFPGQYYDAESGLHYNRFRYYDAEAGRYINQ DPIGLQGGSNQYQYVANNPLTAVDPMGLEKWDWNGVGDTAVCSYYDDMFKAHPKCDYY EAAGDICRGKNGAVNGMSNLGISTAWAIGNSSDSQATILEKIRKSLVESDKAARSAGK VDSSTDCVYGDDIDAYHYAAFKGAGLSSWFYGGKVWPQNVWPNPVPVDIRKYEYLKRP YIEFAPY MIM_RS17605 MTETTIRVATTSDISAIKEIVNDAYGHYVERIGSKPAPMTDDYA QHVEKQTIWVLDSPNGVAGLMVLRTDADFVLVSNVAVSKAHQGKGFGKRLLDFADTFT TQKGKCELRLYTNELMHENLAIYSKLGWEEYARAEQDGFRRVFMRKTLPQPKA MIM_RS17610 MSKRIVEEIEVEASSGNVFAELNLPDPDKLQFKSDLTVEITKAI RDRGLSQTTTVNCERHFNHIKYGQLFHQ MIM_RS17615 MLKSQPNRDRTSRPPGRPRQFDLDDVLDKATSVFRKRGYHAASI SELTEATGLTEGSLYKAFKGKEALFISCFDRYCTLRQSELTAILAAENQGARKLSAAL RYYVLSSTGSEGKLGCLIVGSVSSLELFDAKVSAKIRDALYRNESTLVSLIELGMSDG SLRKDIAPRTTAKMLWCMLLGIRVAGKSGVKRNDLDIAIEQAMYLLR MIM_RS17620 MISTEHLPIGSQNRTAIVTGGGTGIGRAISILLAKQGMAVAIVY SRSDNDARETVSTITKNGGQAMALQADIASVASVREMTETVANSFGGIDYLVNNAGIT HQMQFNDLNAINDDIWDALLAVNVKGTFHCCQAAAPYLQQRPNSAIINIGSIAGETGY GSSLPYAVSKSAVHGMTRSLAKALAPRVRVNGIAPGAVATRWWKDNEEKMHALSGHLP LQRISTPEDIAALVLMLLTAESVTGQILRADNGQTL MIM_RS17625 MPKNPVDFTDQEIEERLDIWTALSELFVNVEQTQDEFDRHVDLI ANNIAPMGYSLESLKNILTKEIGPFFISNFSVLNPLPETEFWTRDQVEAIMKQFRSQK NTLDGLVQRLWKKDPFKNPTVSRRWHELQKRLIELGVSPHK MIM_RS17630 MSELKKPPRPRCEHCQRPASHCLCAYISRIPNRTQVLVLQHSDE ASHALNTARLAVMGLLNARLLVGEHFPQLDDIVASAGRVLLLFPQRQASGAQVDAVST SSSSSSTGEPAASLLIVPDGTWRKARKIVGANPVLDTLPRLSLPPGMPSEYRIRKTNQ PAAVSTIEAIARSLSLLEPEQSFDRLLAPFRAQIAQQMQAMGEEVYRRNYTNR MIM_RS17635 MQHITDRMVDEIISPRQAQNVLRNAFLNHACGKAAMQSRIRTEA ERIKLSTLGAVIPEQGVVGAKVYTTIEGQFNFVILLFSSKTGKPLATLDAGALTRIRT AACSVLAARVLARTDARTLGVFGVGVQGQEHVVQMAESFKLDQILIADPYADEGIAQR LAERTNVAVRFAETKEIASTSDIIVTASRSQTPVFSGKLLKPGAFVAAIGSSLPTTRE LDDHALARAQTIVVEWKYQAMAEAGDLAQADSTLLSEEKLVELSSVLSGERQRQSDDD IFVYKAVGVGLEDIALAGLAYQLLKK MIM_RS17640 MINITFVNNNEKVVSAPENSNLLRVSIKEQGGIPFKCGGGLCGT CKCRIEEGLENTDKIKPKERKHLKTEDFEAGYRMACQTFVTGNVRVSWK MIM_RS17645 MYAIITSKPGQYNAHVMGDAVVVETYEYRFYGKLKAVYQVIQLS AEAQVRIIEDDPPHATNTVSTKFLDKFETVEAAQSALKHLTSFGGLEATLQQCDAQDI GSN MIM_RS17650 MPNIKFHKKGQIYEGSVESNTNLVVRAGIKQFPYPNLAFKCGMG KCATCACQVLNGAEHLPPPNWKEKKQLGDKVDDGYRLVCQLWVTHDIELTQDVEPVNA A MIM_RS23010 MTKQSNYYRDTPVGQQMAVFLNQRNKVRHATPLFPRSFLSLLGI CKARRNKQKTSDCDNKDSSTHRRVAHI MIM_RS17655 MPNQVSRSDTGETFEIADGESILEAALRVGVRLPHECTFGGCGT CRIKVQQGAVHYDEFPMALTEDEHEQGFALACQAKPLSDLVIEPATAGLEFADPVNVT AQVVAVDALTRGIARLRLQLPSLPEVAYRPGQYMNILLPDEGARSFSMACATMWDNMV DFHIRRISNGYFTEGILNSLRPGDSLDIEVPHGTFCYHADDWRPMILAATGTGIAPIK AILESLLDNDDCPPVSLYWGMQTECDLYLRDEIESWRDRLCEFEFVPVLSRADDGWTG RRGYVQDEIVKDYPDLSEHAFYLCGSPEMIQQARTAFLANGADPAFIYADSFTFQHAV LSAA MIM_RS17660 MKKIVRIELEEARLIVDAAVAKSKEIGVLESVCVVDEGGFPIIL ERMNGARITGPQIAWNKAFTAAGHKRSTHLFTTPPNGPALPGNEAFGIQLSFEGKFAA FVGGFPIVIDDEVVGGIGLSGGNGEQDTAAGLAGLQALADELLKKEMKVLVQADIKK MIM_RS17665 MQDLQNQIFLNHIGGQWRASVSGETIVNGNPADQRDVVGRFQSS VKEDAEAAVQAAHAAFEGWKKTPVSRRAAILFAAADDLQARAEDIAIELTREEGKPLN LAKGEVLRSAETLRFYAVEAQTYTGETFPQDDPDMVVYTQREPLGVVTVISPWNFPIS IPSRKIAPALMTGNTVVFKPSTDTPLSGYRLAEALINAGLPAGVLNFITGKASRIGDT LTQSPEVRAVTFTGSTAAGEAIHRSCCMTTRLQMELGGKNPLIVLADADLDQAVDLAV KGGLSLSGQACTGTSRILVESGVIEAFTSKLVARVKSLRIGSGLQTGCDLGPMATQSQ LETVLKYVEIGKNEATLLTGGDRLTGDDFDQGLYVAPAVFTDVKQTMRIAREEIFGPV LCIIEVQDYDDAIAQANDSEYGLSAALATRSARYSHRFAQDIQSGTVKINRTTTGNLI NAPFGGLKRSSTSTFRESGRVGLEFFTQIKTIYRAA MIM_RS17670 MANLMTRDEFRTALETAIKGKSANASPFSIAWATGKLSREHMSR WAENHYHYVGPFADYLAYVYARTPDTYTEAKDFLLANMYEEEIGGDRHTDLLIRFAQA CGTTRERVVNPDNMSPTTRALQSWCYAVAMREDPIVAVAGLVVGLESQVPSIYRKQAP TLREKYGFTDEEVEFFDLHIVSDEIHGERGYQIVLEHANTVELQQRCLKICEIGAQMR LLYTTALYNDYVNSDVSLQELELVA MIM_RS17675 MNAKQCTGSRSMIATGNPLAAAAANAMLQAGGSAVDAAIAADAV LGVVEPMATSIGGDLLATLCLPDGQVVCYNGTGRAPAAMDPSALDDFPGRRIPERHPW SVTTPGAVRGWADLHARYGKLDWRCLFNPAIGYARDGFAVAAVAAQEWAIFDFVLKRD PVCAQLFRAGNSPQAGDHVANPQLARVLEMIAQEGADAFYESWVAQRAAQAVQRAGGL LDAADFQKHTGDFCEPVKTLFNGFMVHQCPPNTHGIAILDALQRIQEDNLDPADPLAH VSMVKATEHAMRRASQTVADPSGNTVCSVIVDEQGLAITLMSSIFKRFGSGIAVPDGG FVLQNRGFGFAEPGHVNGPAPNKRPYHTVVPGMSTLDGRFHLGMGVVGGLMQPQGQVQ ILTRVLSWGNALSDSVSTPRWRLEAGNTLAIEAGMDVCVEQALRDAGYQQPAKSAGEL AGRSDFGGAHAVMRMPDGSLLGVADKRKDGQALGY MIM_RS17680 MQFDVVLNVSHWIYLLSVLVIIVTMLFRANVVVPSVLGTFFVVT AITGSPISGLIGIFSASFVAAQELFNIFLVITFMTALLNALKVIQADVRMVEPFRRVM RGGIASFLVIAISTYTISLFFWPTPAVPLVSAILLPAAIAAGLPPLTGAMVIAITGQG MALSSDYVIGVAPGISAKAAGAAVSAQVVADKALVLSLITGVIALAITFFCARKHFRK PDPELLVEWQARASDGTSQEIEHQGSFDKAEMARAVNYGTSRSDEEHAEDRRIVGWAR VFAILTPAAFLGVILLLALPRMIPGLPVLRGGDAAGLVGGVAFILMMLATLATVGPRK MLDVCPEHMTDGFVFAFKAMGSVLPIAGFFFLGANEATSQILGIPLDKAPSLLFELIS AGQHLIPGNHFMMAFGVLLVGMISGIDGSGFSGLPLTGTLSGALGPVIGMDPSTLAAV GQMGSVWTGGGTLIAWSSLIAVAGFARVHILDLVRALTLPVLIALFISTISAVLLFS MIM_RS17685 MPTLDLLPFINPWLYALMGALICGSAFVQGISGVGFTLVAAPVA AMVCPELVPGALLTLGSFVTLLTAIRERRHIAWSHAGSALIGRAAGTIVAVFLLTQLA QRPLHFLFSGLIILAVGLTMCGLRIQGTRLNISIAGVISGIMGTLTSVGAPALVIILH NQKPPVLRATIGAILFCGSVLSLFMLALTDHYHAHEFWLSATLIPFMLMGFWLSGYIR HKVTPTTLHKGLLIFCLLSAIALLIKTI MIM_RS17690 MNISSKIAGDESQAAGPDLKIGQKHSPLFAVIRDKLRERILSGE FQPGARLVEDKLSIEMAVSRIPVREALRALAAEGLVTIEPRKGASVSILSEETAYDMV EVRASLEGLNAKLAAQRRNEEAIKKLQLILEEGAAAVERDDMSTCRALNTQFHEHLAQ VAGNAVLNELMRSLRDRTALVFAPSNMKRVKENWFDHSQILKAVIAGNGELASLLATQ HVDNAARAYIEAREKTHQKANEA MIM_RS17695 MTLIDPVLLGSLLVLGACTGFLAGLLGIGGGMIIVPFLTAILST LAVPLDLTVKMAIATSMGTILFTSISSVRAHQRRGAIRWDLVRAFTPGIVLGALAGSL GLFTFARGTSLAILFGCFVIFSATQMFLDRKPKPSRSMPELPGRLGAGMMIGMISGLV GAGGGFISVPFMIWCNISMHVAVATSAALGFPIALANVLGYVTGGISLTHLPPGSFGY IWLPGLAAIALPSVLTAPLGAKAAHMLPVRTLKRVFAVILYLLAIYMLWKALH MIM_RS17700 MIRRSLFANTPHARPVLTVVAIFLGAVLTTLQGRLFSSALPDLR GQFGLDVLEAAWLGTALNGAQLVTMSVVPLLATIIGPTRILVTPSLCLGFATLLIPAF AHNYPMLVLLHAVAGLCMGIYLPLTISLGLRSVRPRLWLVVMAAYSLRVSTGMDAGYG ASGFLVEEVSWHWVYWVTAFIGPLIALLAWKAMPLTPVNRDQWRQADWAGMALFCTGL VLIFIGIESAERLGWLDSGLVVTAVAGGAMLFFAAVVRAVLQPNAFGDLSAFGHRNIL ICLVIACLFGVLMTPTSLLIPTFLAQMGDLKPMQTGSATLIAFAAYLAATPLAVYLAR RAEPRLLIICGLIVIAITAWLGTHISHNWRVDQFITILIMQSLGESIMLIGLIAAFVT NLNPQHGVALGAYVSIARVLMPVMAGTIMSTWLRMAADTSYASLSAFVQAGEPLVVER IRSSGLNGIASVIERESQVIAHIDGFHLVFWASLLSLMLAVLLRSSPANPIAPPVQAS AG MIM_RS17705 MTIQPEETTNRRPLALRLAPWVGCVAIIALLILATTQWRDWTSS RTIQTTQNAFVKSDFAVLSTKVSGYIKALPADDYQTVRVGQLIAQIDDSDYVLKVASA LATLSKAQANLDNLDREISQQRARIKGEQANARAAQVRVRQHKSNPERQEKLVRQGAL SRQLFESAQADLDHAVSQHEAAMAQVDLARQSLAVLEGQRGLRAADVNAAAAELEGAR RDLDYTRIVAPFDGVLNKRHVQLGSLVSTGTQIVSIVPSDQSYVIANYKETQLAHVQP GQPVVVSVDGLPGRQFRGRVREIAPMSGAESALLPADNASGNFTKVVQRIPVRIELAP GQRELNRLHPGMSVEASVNTKGEHIPPYTKQAQHVAYKTQAESG MIM_RS17710 MRAPKIQREQVVQTALALLDQDGLEGLTMRKLARSLKVQAPSLY WHFDSKQALIDGMADALVDTVARDISQTASWQDRVLQVAGEFRQALLRHRDGARVFAG TYVVTDNVLRTSEAMISAFVQAGASAELAAVFSFSTTYYVLGFVMEEQALGPGSTLDL AERKRQFLASTQDKYPHSLAASEAIFSENFDHRFVTGLELLIAGSYQRIRADAAQSHR MIM_RS17715 MHIDTRQFPLVWMSANGASNWESELNKLLLQEERFVLLTREIPA RDKETDNASRKQLALWLKINRDQLRHVCAGSIVVVDSQAIAVPLQAVLGPLSKAFGYP IRLVAERHIDAEISRLLKGPD MIM_RS17720 MSPALLAPPHNHENGDFGLMHWIFAFGILASLLVVFVISYKSKP SQGPNRFGKTASPVGFTTAVRRFFTGYFDFTGRASRTEFWYAMLFYVLLLFVLGLLNL PDIVLSILMVVTLIPFFSVTTRRLHDTNRSGWYQLVSWFMPVGTIIALLWFNEEPRD MIM_RS17725 MTPGNGRVRRYQLNTRGRDFAVGDIHGHFGRLEVALAAVKFSPE KDRLFSVGDLVDRGPESADVLNWLERPWFHAICGNHELLTWRRAIGNPIPDVDHCLHG GEWLDACAGDVRERIAVCLSALPLAIEVETPGGLVGMVHADFPYDDWQAIHGATFSPD DEDACLWSVDRYRMQYSQPVRNVRAVVHGHMTLRKPTQLGNVYYIDTGGWQDAGGFTL LDLHTLKVWPR MIM_RS17730 MNDSISKADQFFPRTYKIAQIIALIATPIVVAIIGWVAQHSSAD ANANSQKLAATIGASAQISAMESGIQRDLLQTAVQILRSPRHSQDADIRDWATKVMAK YSPVPFSTAAADQLSKSVFSMLETNPFLIPAMEERPSCPAIDINAIPAAQAKEVQQLQ QLCVRNAKDLFWLKVFVDLVRKSPNPSTPEIENGEK MIM_RS23015 MNRTKSGHSFEVHGFLSSVSISIVALPAAFVIVLITSISAKSTG LELASLLFTGPLIGQVIVQPIIAIRMRAVSLQNQTMISLLVRIILAILSLYLIMSLIS VDSALRADHYFVYGVWTVLSIVDQPLAVRLNLFNCRYNNFSFLRSNSISNLLGRGSMA LAPFFVVTANRYLECTLWILLILSYLCSLYAPWHILKRIVSECCNQGQGTTEETKRSL HLDRMLSWENWFLLFQFLANASIGAIGFLLLSSSKYEHFSPPPYSVLYSIFLLVQAIL VLKLIKLENYATPRTVRNMFFLISVAVVINGQCNDPATIMASTIVLGFLYSLLLPLLA ETVARKIADHRLPQYMMIGKSVGRIASIAAIWLAGWALGNSIPTAFVQLSFGLIGAIS VILLVIIERRL MIM_RS17740 MDIRPHIKTISRINICNENYWVAKAGIGTTDSNGKKHYIFGFGS HKERRIAETSAYYECIEHFFGSRCAYNNDQLMEPIQVLSHFRDIERGTAARVSFLIGT KSNNQALNATGLAVGETLDRAKRHGELEMYERHITHCWWHGKLKLGKPIFHSMDDAHY RIVWESPFYKYSIRYALAIHVDFKLGYIASGSACRSSGVDATAHALAECEMIRDSVVM ARCRENGEKLSQRSVNRLLRKYTEKELHEFVFRMENDSKFITYAKKQPLSYFSILDDL HPYYAVLYSDKNMVCIRSQSNSANDISLGPPNESDEVWPFF MIM_RS17745 MSRISPLVSAYPSRDRAKIARDFFYQKVINSLIHLKKSAISTLN RADFSLEHLVAIQSVASLNPELFYLHDKLLRAMRASDGLSVNQTLDKLMNLRNGSVEN VYPIISSIEDSDCEKFIIDDAVLSLKEDLNRQAELMPITNSEQLHHEKVEIKSALRTI KNLFSDMYDEISQLLAHIRLFSGRVTMGLTDVRMFGCMFIRLPRPGIDRQLYYIEHIC HEVSHLYLNAVMSIDPIVLNDREKIYKSPLRSDSRAMIGVFHATFVTSRIVQMFDRLG NSDFTEEIGIYLSQQLIELKNGIVEIGRYAMLTKQGEILLEEIQEIYWNSEKRGYWKN FDFNIKRSHRFLGKGEELTLA MIM_RS17750 MRTISWDNLRFFITTARAGSLTAGANALRVSPATLSRRLANLEF ELGQLLFARSPTGYSLTKEGRALLDSCVPIENGFNTLSSSLEAAADQPTGTVRVATSE NIANLILLPRLASFIARYPLINIEFQTDAQPIALHGREADLAVRVSMPERGPFKVRTL GSLRHALYLSEAVGSNSKEGELGIIGWSENFKNLPIARAAMAHSYWRMPAVKVSSLKS QVAAAQAGLGYAYLPCLVGNQAPGLVLVDGPEGYLSQDIYLILHDDSVEVPRVRAVAD FIVDSLREAKGMLEGLSKRGVVRK MIM_RS17755 MEHSAIIVGDCFSGYSQNSFVYTVSSFAKMLRGVLGGTTDTSQL PKKIHWGQGVGTHERGYIAGLLSKLKLDIAPLISENVKELAGRTYVHKWNPQNVLVSI PERESDTRFVSDLVIDARNEFLIDHATGQHVQGMVLLEACRQMFLAVTEKYFIDSDLL IETYFVINSMGIKYKAFVFPIDAHVVYILKSLKRKVGGRIEVDCSISVFQAGMECSTC EVAYTVFDAHQLSTREKEMAGKTTHYFLSSEVAPAICENKKLMAIPVDITSKRVAQFE EKSNLI MIM_RS17760 MSTKIAVVGAGPTGLTLALMAQKQGHEVVIYEASQKPDPRPRAV MVHARALEILASLDLAKNFINRGLMTPGIDFIRSDGARFTMDFSSLETSYAGILNIPQ PEIEEILATAFLQRGGVIHRNSVVTAFQQKSQSVMFDVSRDGTTSHIEANWLFGCDGA HSTIRNLIGASFDGDTIDHEYILGEGERKTPGAPDVSSMLISETGVVSWLPFKDGTVR VAGPGRGVSLNQIGQHSTDSSERAIELFYAEQNQLIFSPQYLIGRIIRAGLYRVHKRI ASNWGYGRVWLAGDAAHLHPPAGGQALNLGLSDAEAIAMRLTTSSGIGFETYELERRP IVKATIEEVSMLPLIAAMREASSDTEFVKIEKALSLKAHRLSQIDTNFLAGDADCLGN RDDKELKTGRRLNEKIDLMDAINAPPGWKFASVGEHNYWVHADRHVRKKSPQSDTTFS ATGILSNKETVSWE MIM_RS17765 MGMMPFWISEIASVIPDARIAPPTGSDDQNRSGYISVAVEKQRV GGELAHDAVINLVATVGSKSVSRVEAIFHASVIDQGMGVFWNPGYALQNHAGAEKANA LSLRQGCNGLMLALIQAGRLSQHGKQSLIAGSDCFEIPGFNRFVSDYGIMYGDGATAC IVGSTTGRFKVIDIVEISSPKLAPLHDGRGFPTGDVRSAKRRYLERNGKDCLTRFTRK AMDQLSSKAKEYKVERILFPNLGKELLETNYYPAFDCAEERSAGELGKRIGHLGTADQ LVALDSLHRSGEICAGKRLLLVGAGSGFSWSGIVVEVA MIM_RS17770 MSKIAFFDVDETLIRPKSMFSFLRFYLENKRGDDSKVYENFWTE IMNMTSCGASRSTVNKRYYEFWNGEKLADVNDAGLAWFESERQNDYFFIQPTVNALKS HKEAGCLVAMISGSFESPLTPIANYLCVDYILCSQPEVIGGTMTGNIEQPMIGMNKSR AAQKLMEQHDALPQECFAYGDHLSDIYLLEQVGHPRVVANDPELITVATARNWKILQ MIM_RS17775 MTDISTTETQAIRTKNSDSFYAFLIVALAPIGQMGIDIFTPSLP LIAKEFMSSRETVQLRVSLYLAAFSIGQLFYGPLSDSIGRRRALVLGLVLFLTGSLIA ITASNIEVFITGRVIQGFGITCASVLMRAIATDMFKPPQLASVLTYMVVGWGMGPIIA PVIGAAFQETIGWKYSLIFLAVYAVILLALIAFVMKETNRNLVAFSLTKIASGVGEIY GNFRFTLIFLSMGFCYGVILCFNLVGPFVVQEAMGYSPGTFGVLALAMGLVYFLGVLS NRVMPAAITPARKFSIASLICVIAAAIQLSLALVADLNIWALAVPFAFVVFFCGVMYP NLMAMGVSAFPHIAGLASSLLGFSLMLLAAAIMWLSSLMQAHSLLPFATLTLVLMCGV FVMIRAIRP MIM_RS17780 MSNVKNAVPNAKTALPTRRAGNSSLLVSMMGLGCMGMSEFYGEK DDNQSRDLLNYAVDKGVRFFDTADVYGYGHNEILVGSVLRNHPKRSDIVLATKGGIVR DDNDSTRRGVNTSPEYLQAAIERSLSRLETKIDLYYLHRVEDDGARIEESMSALADQL LAGHIGAVGLSEVSEQTIRRAHAALLQATDGKYGLAAIQTEFSLMTRHIEANGVDQAC RELGILLVAYSPICRGLLTAPSFNPEALSESDFRRNLPRFTGDNLKHNLQLVEVLATA AKNEGLTPAQVALAWVMAQGNHVVPIPGTRSKARLDENIAACEAKLSPETMALLAGAF APQAVAGLRYTPAAMQAYGLSS MIM_RS17785 MSLLTPAIEPLRFREALGHYASGITVITARLEGEPIGFTCQSFH AVSMDPPLVSFNVMQSSGSYPKIRQAGRFAVNILSDEQMAISNQFARRGTDKWRDVEW QTSPLGSPVLTGNLHWLDCEIYAEHVAGDHLIVIGEVRALNLQEAAGMQPLLYFKGQY RSMAEVCEV MIM_RS17790 MKKLLPTSTAGSLPKPSWLAEPEKLWSPWKLQEEGLIEGKQDAL RLSLQEQQHAGIDIVSDGEQTRQHFVTTFIEHLEGVDFENRKTVKIRDRYDASVPSVI GAVARRQPVFVDDAKFLRQQTNQPIKWALPGPMTMIDTLYDAHYKSREKLAWEFAKIL NQEARELEAAGVDIIQFDEPAFNVFFDEVNDWGVATLEKAIEGLKCETAVHICYGYGI KANTDWKKTLGSEWRQYEEAFPKLQKSAIDIVSLECQNSHVPMDLIELIRGKKVMVGA IDVATNTIETPEEVANTLRKALQFVDADKLYPCTNCGMAPLSRNVARGKLSALSAGAE IVRKELSK MIM_RS17795 MSNEFTFAIKSTCFDEDYRPAGNTRITTNFANLARGTTRQENLR NTLKMIDNRFNALAHWDNPKGDRYSVNLEIISVEMSFDGENSDSALPVIEILKTSVVD RKTNERIEGIVGNNFSSYVRDYDFSVVLPEHAKTQPGLSTPEDFGELHGKLFKCFVNS STYKENFSKPPVICLSVSSSKTYHRTENQHPVLGVEYLQDEYSLTDEYFKKMGMKVRY FMPANSAAPLAFYFSGDLLGDYTNLELISTISTMDTFQKIYRPEIYNANSAAGQSYQP SLKHQDYSLTRIVYDREERSRLAVEQGKYAEEHFIKPYRAILEQWSANYSI MIM_RS17800 MSRSAISVFDPDRSPLPAVVHQLDFADHQAEVPLHVHRKGQLII ALHGAVTCTTGNEIWIVPPNCGVWIPGGVSHSAHATSNARLNYLFVEPGAARLPDYSC TLSISPVIRELIDRLARELPDYPADSHAARLARVVLDELADMPHEQFNFPISDHPKIR TMAEALTNEPADRSTLNDWAKRVAMSERSLARLLSRETGLTFGRWRQQLHLIVALREL ASGQTVQNVAFELGYESVNAFITMFKKALGSTPAQYFKKRIAQIHSS MIM_RS17805 MTTSASANTVISTASEAMRHAGSTVLRTPAMKADADAILFSAKS FAAAMLAYYIALRIGLPKPFWAIVTVYVVSQTSVGASLSRGVYRFAGTFIGAIATVAI VPNFVNNPTICSMVLAGWISLCLFFSLLDRTPRAYAFVLAGYTASLIGFPSVLDPASV FDTASLRVQEICIGILCTVLVHRYVLPKRMTGQFTGKLSVTLRDARLLAADALTGKSG KSRSDRSQLAADLLALQGLTTYLPYDFAPATPRRDMLQRIHDRLARLLPLTTEIEDRI HSLGKTSENAPAALKVLINDVHAWITATRSNEQTGTAAQLMSRARSLQQQCGSGAATS GHRLAANLAGHLVEMIGLLQDCERLEHIIAAPRRSALMDSFHGTTHVKGYVYHRDLWM AGRAALGACVGILLGCAFWIWSAWPEGGTAVSILGVCCTLFGNVDAPAPNVFKYIVGS LYGVAISFAYSFVILPQVTDFMALVAVLCPAFLFAGSLQARPATAYMALGITLTIPIL ANLSTQYTVDFAASLNTTVALFGGIGFGVVSMSLFQTVSVDAAIKRLLRLSRRDVGRR AVGAAPNEAHWTSLMIDRTALLLPRLRVSRNNDTSILDDTLDFLRIGHAVSQLRKARP RLTGEVRMKLSELLSAIAANFRYRRPLTPADYRDLNRHIKSLMAMVESSAHKDHQRIL DLLIDLRFALRINEMTSRRAHNL MIM_RS17810 MIVDLNIGGVLFPGLLMFALIALVATMVMIRIFTAVGIRRLFAH QPLLELTTFVIIYSLLLQHCH MIM_RS17815 MLSLLSRYTLTLIFVAIAVFIATKTWSHYEQTPWTRDGRVGVDV VQIAPEVSGTVSAVRVVDNQYVRRGDILYKIDAERLRIAVILAEADVEAKRQDMIVRQ ATARRYSQVRDAVSKESVQQTSGAAAVASAAYQASVATLNLAKLNLARSVIRSPVDGY VTNLRLRPGDYATAGVTSIAILDAASFWVTGYFEETKIRQINIGSPAKIMLMGYEQPV SGHVESIGRGIENSNDTPGHLGLPNVAPTFSWVRLAQRIPVRIHIDHAAPGIALAAGM TATVEIAPASMEATKGKPKQDVAVQ MIM_RS17820 MCKTPPAMLPIPYPNIGTHALAPNPCFRVLYCCAPVHNKNTKKA ISFGDQPGVAGGVASQVFMSQTSHLAAYSRTYKINNKPAVRLTGVEKSNRRNVIVFDI IPSVQFKNLCLAA MIM_RS17825 MARYLGVYTDRFVGTATYTLTKNETKSVKNSSTNIFKSSFDLTS SAYINVNGSLLLEVSALGYDLHFHKQTDDATFNATLMGLNLNLFGAFGLSTSNTHALG RVVTSHGYLLWSNVAVFKVLWSKVTQTSGGRLKRNTKDEVEFAAVRYLRTKQAIEMSG RANTS MIM_RS17830 MAKYLKTFTNFYDGPVTSTYNNTEYNNVSGATNYTYTNGLTINA AGQTVGRICPILHYSENRKLTVHDGRVSLLFGQIGAAGGNYQLNWGINIAASLTYKTE TALSYNLFSVLFFNPSSVKLVHSNSEKGKNWRTFKVYRVRKGQEDYVKKVQRKSVNFH GLTFRGF MIM_RS17835 MAKFLGLATDFYKEPANYHYESTLTKTVTQASTHTHHGPLWWIA LGNISCKAPTITEEYSWMIRIVGLDLYLLAFKGLIVPVNLSISAFSYNMSGLYVNLSG IITNTGLPYFILPSPRRKGAAVINFNRTGILQEQMVAKQIEAKKNKKKAVVIKVFDVD RNLVS MIM_RS17840 MKTIKPNTLSVMSRPYRWRGMSQLGVGLFALLKKRGDSYVFESD QKLWADILPTLDSNGFLDHVIPKAKPEFLISGFGYTAHQESKTACVVRAQVADKEKTL RVTGDRYWIGGKPTEPVPFERMELTWGNAFGGSQYADNPQGKGLDTIPVGDTSAVPLP NIESPTDAVEHRDSRPTPIGYGPMTLDHPLKQAQVGTHSEEWLKYDFPGFLPDMNPGI FNMASDDQQWADRSEIPLGESFQIWNMHPSLPCWEGAIPALHARCFVLMQDTPTQTAF REVENMRATTLWLLPEQDSIMIFFHGSIEIRDDEAEDVLTILGAIEAAGEMKKADYYH QILQLRSDPKTVMDHILKDEELLPAAMLAPMEDENFTVEPNQLIKRLELFGATQKREA QEKLKGVGVDPDEILPEFVGPHENPMEIDNARMNQQVQSIISDMKGRLGSQADAADDY GEKIMAVLDAIDSDDASLDTIPKIPVSGPPDMTHIEMIEQSARDGKNQFSAYPDAQMT PRDIEQLKEKTRKSYLYTAHYQLAASKLSTDKNEVLKQNVLQRYAKNKDLSGMDLTGA DFSDMTLDGADFSNAFLEAATFSNTSLRDADFSEAVLTRAVFDGATLENALFVEANMA LIQLRNSHFKSCTFRETNLEQLNAEKTTFENCTFEQLISDHWTLNQVVLRATQMNTCI LQECEFKQCHTEECNYWKVAFVETTWDECQDQGSEMTNVAFSESKLQRCEFRGSALIN LLLEDDCLLQANQFTNSLLKECTFIALTMDGTIFSGTNMNDSDFSNTKARHCNFDGVS ARDTLFFKADLTGSSFREANLIQASMERANLTDCDFEAATLFRTNVSKVETSAKTSLS NAYMDQLEIYPMYRDRLNAKSLFGHE MIM_RS17845 MSKEILFRHLARGEPCANNDFSGLTLTGLDLSGMAFDNVNLSKA DLSRADLRECVFNECQLDETIFDHCRMEKAVFNECTLRAASFMKSDMKDVIISRTDAT GSRFQDAIMHSAVLQETNFTGAKLDASVASQCVFHASQLAQADFGQTDINKHIFYEID LRQTIFSNKTFNQASFIACDLSGQHFQGTTFMACQFSEANLSHCNFHQAILTRSIFAK SNLGAATLNEVDAEYALFTEADASNASLQQARLDNTMWQDSKLVGAVFTRSTLSNAGF GRANCQDADFTGCKLEYANFDYADLRGARFDQAQFHYSSMHAALSQHPTLKNKAGVSP PDQALYKAEMHSVRKKRAE MIM_RS17850 MRTESLKRWLFYLSYGVFVVLGVINALFFRSVYFFTYSCLVLLP SVFYIYDEYRASRKADALRARIDAQGIDAQVEVLSMEQTASWSDAGIPYYKAILAPGP SGISLSIPEFGWPSDMIYAAEQLKSVPVRYLPDTGDAIIDFDKISQAHIQDIN MIM_RS17855 MTYRIKPVLLAFSIMGAICGGLPLASANTLEQVMEQKLLRVAID LNAPPYGMTDDKMTAIGSDVETANLIADSLGVKLQIVPTTQANRIPFLLTNKADVVIS SLSITEERARVVDFTIPYADNKAVIAVSKDSPVKELKDLVGKTVVTARGTTNDQQVTK QAPPGTNIVRFENDATAITAITSGQSSIFATAPSIIGALNQKYPAKAMDIRIVMSSAK LAIGVAKNNPEIKEKMNELIRANLKNGKLNEIYKKYHQTDLPADVVALGG MIM_RS17860 MTIEIRKTVLTVEDIFHDGGPVLEQPLQCAVAFAVVKNPFAGRY EENLIDFMKELRTVGFDLATRLTGVLGKENVQSYGKAAIVGLNGEAEHGAVWHEAGGW AMREVLGNPKAMVPASQVTATAGFRLIMPLHYIHASYVRSHFNLVEVGAVDSPKPDEI LFALGMATGPRPHSRLGGLLIEEVVGEDGQR MIM_RS17865 MKIGFVGLGKMGLPMMQNLSEKFEVQAFDGNPQARAAIREAQNG STYALLDSLDELVDIDILILMLPNGRIVQDCVLPLCEREGALSKDAVVIDMSSSSPLD TLELHKKLAQTGIALLDAPVSGSVQKAKNGTLAIMVGGETSVLERVRPALEAMGTAII PTGKAGSAHSMKTLNNYVYAAGLMAVSEALIVAEKLDLDLEKFTDVLNASSGRNVATE TKVRQHMLKGGDFSGGFGLHLMAKDLGISHDLAQQLSLSPGLLGLCFQTWQSAIKTAP AQADNLEIHHHLSHTLNA MIM_RS17870 MKFKLRQMEIFRAVMITGTISGAARMLFISQPAVSKLLSHTETN LRLKLFHRSKGKLIPTPEAHNLFNEVNQVYEAALKVDAFAENLSANPSGKVHICCSPS LGLDVIPSALQLFSEKYPDTKIHFHTTLIQDIPLELLSRKSDIAISVLPVEHPNLAVE TLIRGKMVCAVPQAHPFATRPEISLHDLQDQKLILYSRQIPFGQLLNMSAEKHGVAFS PIIEVPRAELACSLVSKNVGIAIVDQFSVGNQLWRNLVVRPLAEDISIKVSLIESKFD NPSTETTNFKTILKKYLKQTYASA MIM_RS17875 MFARSLSATLLLSIACTGIARAEAPRPASFPDSPITIVVPFGPG SGSDVYARYFGEKLSKRLKQPVVIENKPGGGGTVAALSVMSQPANGLSILLGSNSPMA VNVSTYKQLRYDPVKQFIPLSGLTRSMAVLIVPKDSPIQNVEDLVSRGKSTPRLNMGT YSTGYQLGVAEFAKEAGINWQDVPYKGLSQTTTDVIGKQLDLAVVDTPGTTRIINGEQ VKALAVTGDKRHPELPNVPTLKESGYADAIHYSWTALWLKADTPKETVQYLSDNMQAV LNEPSSATFVSNNSGEIMDLQPEQLRKFQLEEIDRFEKAATQTNFTKL MIM_RS17880 MKHSCFTVSATVCSACMLVSGYAKANPQPPVQQLGPVVVTVVAP STPLLMSTNPKLPRQPLPASDGTDYLKTIPGFASIRNGGTNGDPVLRGMFGSRLNVLT NGSSMPGACPGRMDAPSSYISPENFDALTVIKGPQTVLWGPGASAGTVKFDRDTPRFT QSEVRFDGSLTAGSFGRNDQAADLTVGNESFYVRATANHSHSDDYKDGDHNKIPSRWD KWNADLTLGYTPDQDTLLELTAGVGDGEARYAGRGMDGSQFKRESLGLRFEKNNISSV MKKLEAQFYYNYADHVMDNYTLRSPDPNSSMRMGMASNVDRATWGGRIAATFNVAPDV ELVTGMDWQQSRHRARRGTDKQSYKDNDWTKDAEFGNLGLFGEATWHATQRDRLIAGL RIDWTSVKDFRQTTGSMKMKMANPTVNERRTKTLPGGFLRYEKDLQSIPAGVYVGIGH VARFPDYWELFSPKKGPEGSVNAFQGVKPEKTTQLDIGAQYKGEHTQAWVSAYAGYVQ DFILFDYQKGGMMGSTTVARNVNASIMGAEGGLTYQFNPHWQIGSTVAYAWGRNRTDG GPLPQIPPLEARLTLAYENGPWSAGALWRLVSSQHRTAVNKGNVVGKDFGSSAGFGVL SLNASYTFSKLAKFTVGIDNVLNKQYSEHLNLAGNAGFGFPSNTRVSEPGRSAWARLS LQF MIM_RS17885 MPTLAAGSGTGVELTLCNAQGGTQTIVLDLQNKNKEPADHAGQE CPFGLVLSQGVLAAHTTLLLNATVWQQRPVLLADRTRPLPPLPALGPPIGPRAPPISL G MIM_RS17890 MNHYPHIRNLLDAYLNMDVDTIAGTDEPDEIIQYYVLDTSKPVL EELVDELDHFEESNGQNLDDMFEKEFSPEVDIADIREFFNDLRTAIQKYLSQS MIM_RS17895 MSSSEVISSPAPRPRVREGQERGVAQKASTLKSASLSPRQLQAI SWIVPVLILAIWELLSRIGYIAPQILPAPSSVAQTAIELTQNGTLLVHLGYSLARAAV GFVIGGVIGFSLGMAVGFSRLAEAVLDRSVQMIRAVPFMALLPLVIVWFGVDESGKIF LVALAVMFPIYINTILGIRQVDPKLLELGRVTGLSRRELVRRIILPGAMPSILTGVRY ALAVAWLALVIAETVATNRGIGFLAMDAREFLQTNVIVLTILIYAIIGVVADSVARAL ERRLLAWHPNYDKDSSK MIM_RS17900 MSTQPTSRLAVSTRGLRRQYGERVIIDHLDLDIESGEFVALLGE SGCGKTTLLRALARLDEIDAGTIDGPTHPAVVFQEHRLLPWGPLWRNVALGMDDASGK TQAISALREVGLQGRENDWPRSLSGGQAQRVALARALVREPRLLLLDEPFAALDALTR IKMHVLVKELVQRHTPGVLLVTHDVDEAIDLADRILVMRNGKIAQTYLTQHSDQKARA SLRLELLQALGVDISQLQTAA MIM_RS17905 MLLGAGGLGALSLGMLGTGGLLLPQDGFAQGTRNTDTVRVGYGR GGLTLVARERGEFEKTLAAQDIKVQWVGPFPNHAPSLQAVVGGSADFGFWGSTTPALA AIIAGSPLVFTQFNVYNPRSTAIIVKKDSGINSVKDLAGKKVAVNRSGLGEFLLVAAL EKHGVDRKSVEVVYLNPPDAAPAFGQGKVDAWSMWSPAVDIARVKFDAKDIFFEGTDL DFLIDYSSLVTQRKFATENSALVRAVINAWYDEGKWVDANPRESETLAQKEGGYSDEV RDHLIAYNRQNKFYEADDPAFLREFQRAADWLAERAILPKKIAVADYVVRV MIM_RS17910 MSERKHIRLGVNVLASGRHDAAWKTLANPAALSTDIDEFVKIAK VAERGLIDALFLADGPGGLVAEAYSRPWRALDPLTLHAALSQITQHIGLVVTTTTLFG HPYTVARQIASLDHISKGRAAWNIITSQAPVAQAAYGIDHAFGQNERYQRAEEFARIV TGFWDSFPNEAVIADAKRNIFVDKQQLRPIDVQGSHFRSAGVLSTPVSPQGRPVIFQA GQSEDSKAFGARWADALFTGQRILENGQKFYADVKALARGYGRDPDQLLVMPGLFPIL GSTEAEANRRKDELDEQLDHEGLRRELARHLALQPDDLPFDQPLPYAKINTAEPNVPI ASRWHRREILNEARLRNWTVRQAVRGNLVGGHRVIVGTPEQVAKDIIHWFDHRAADGF NLNIDVQTSGLEDVVDHLIPELQKAGRYRHEYEGTTLRENLGLDHYDAARASTHTLPD TDQPSRSTESATADA MIM_RS17915 MNTENRQEKAALAEARQSRIAQIQEALLDWHDITKKLGYVPLFE QFSATAAERDVQHRLALEEARQIKAQGFGALRLAQAQGGSGVTLPELFFVVRDLARAD PNIAHIFRNHFFAVEQHLAAPDTPFAARLLPQVADGKTLGVAFNELTGEPAGAVGRTP GTQLEKDATQPGWRISGTKIYSTGNLYADYLLASVSDPDSAAVKQFFVSTQAEGVRLD DDWHGFGQKLTGSGTTVFDRVYVAPEDLFDTPPRPTVNTPHGPRVLFGFTFHQVYLTT IITGVIDRILADALALVGKRTRNYYHGLTELPAQEPEIQSTIGRIAAWRGAAIASTDR AIHALDHAWQHRNTPDAYEASRLSTLAASEAKVVVDETAATLASLLIDVASGSGVSTQ AALDRHWRNIKVIASHNPRIYKERVLGDHYLNGTDLPTGAFF MIM_RS22660 MSDNYTAPIAVLGNRTTHGGKIITATAGLTIDGRNVARVGDKVM CPEHGEVFITDGGAATIEGRRLARHGSTTSCGATIIVDGGGPSL MIM_RS17925 MMIYFIAVVGVAFLVSAVYAQSALSGLLDFFRNRPPLLEKTGSI SDLYFLFDLTKCRYGFVHYLFKHPVPPREIAEAFPDYSRLRTISNVVYGLHVLFGVVL ITSFVIHQF MIM_RS22245 MSANTKILNVINPDRLIGVSIAAENAEFLFDAMQGTDGMSTLSD YTVRLLHRSMQVDVRSLLGKSLTLTINTAAAPRYINGVIASFALVGQEGDADRYFVYE ARVAPWFWLATHKKEFRIYQNQSVPETIKQVLSPYGYAFEFDLVESYAPRVYCVQYDE TDFQFVSRLLEAEGIHYYFRHEQDKHTLVMSDEVQSHKPVDGYEHVPYFTEDKLTLPQ QDYMTHVAVFQDLRPGQYTTNDYNFTTPKADLAARQQIELEHEHNQSEVYEWPGNYGD NPLGERYARQRMQEQHHIRDTKTLRSTARGVATGSLFNLIRCPRTEENREYVVLSTRY DLKENNYHSVSSPEEAIQNGRRCVFDLTVQCTTLPFRPPRTTRKPRTLGPQTAVVVGP EGKEIWTNEYGQVKVHFHWDRYDKRDENSSCWIRVSSAWASGNFGAIQVPRIGDEVIV DFLNGDPDAPIITGRVYNAAMMPPWTLPDNATQMGLYSRSSPGGSYDTANAIRFEDKK GQEQLWIHAERDQDVEVEHNDTLTVGNNKTDKIRWHWKLHTGGYKQETVDLASVQSVG LGKMMNVGLAYNVNVGGLYLRNIGLQMASTVGMDRTDRVVQSWTSDVGHVYSVTVRGK AVQTAVQKEQEQPLLATPYFQPQLPGEVESSDANQIRITDSGQASLSGAQYAKLIGPG GVITIDEAGIRIRGKGIYLQAPIISMTGGDAQGLVPVTEADAAECAKRTTSQHPVDVA TGQKILVAEDFVLPGRLPIRWNRMYRSADQRQGCLGVAWKLPYSTEIRQGTAGLVYFD ADGRQLNFPDLAVGEEHFHPIEKYTLQRIEDHASQSRYIIRFINGNEEHYERHSKASK RWRLHRVTTRDGQWLELKYTAAGGLEYVRNNRHTVRCELDAQERIVALHLVGDEHGQP LARYAYDEQGDLVRAADRGGRVWRYRYTHHLLNEYRTPSGAVHVSEWDGDTPQAKCVR TYAYAENAAAPGAKPMITRDTRFTYLPASKMTQVTDGLGNTTEYHYNGLWAVDRVTHP DGSVEQIHFDETGSISGRTDELGRSTRLVNNAAGSPTSMIDAAGNVTSLSYNAQNQPV QITDPAGHVWQRSYDEAGNLASETDPLGHSTSYAYANGLPVSRTDAKGNITKTQWDDA GQLASQVDCSGNTSSYKYDKLGQIETATNAAGQSTHYQWNNAGQMERSQTSDQGSWST EYDRAGRPVVQKNALGNSVHTQWNVYDQPVVVTDHTGRRTSYAYDELGRVTGVTNAKG ETMSFVYDSRGRLIEQTGFDSRQQSYRFNAASELIERIEHGQDGQLVTRIIYDAVGRV IERQFADGSQDSYRYDPRGLLLQARAVKPGQPDSQMTFEHDAAGNCIAETQSHQGHVV RLTHVLDALGKRESTHIPAVGTLTLQRYGSGHVHGVLLNQETLAAFERDPLQREVART QGMVRHHWQRNEAGQLTGHLWQSFDDRGNLVDTPRPWRTWEYDKAGQLTSLNDIYRGH KIFQYNSQARLAEVTRYLQGKVHQTETFRYDVTGNLLTDSANLERTLSEQKMVQQSKG DRLSAFLSSRKNSLVSYTYDGHGNRRSSTLSSLVAMENKPGLIMANFDPDVGNDIDQT GDGTELDSQTTRYQYDAAHQLVGIEHADGRNTQYRYDAWGRRIAKLCHVPGQPVRTTY FVWDGNWMIQEIQKIEGSDTEKRTTYIAHPDGMGPLARVVNGKTQYYVNDHLGTPQEI YDEHRQIVWAADYSSYGQIDRFIVGREENPIRFPGQYYDAESGLHYNRYRYYDSDAGR YINQDPIGIQGGMNAYAYVQGNPVQRQDPLGLITWTGEGYQASAGVVSGTHYKLRSEC INGQMARVEVLAGGGTYGRGLNVAAQGFDTSFVDGLDHIDPNVFNGDYSEAGANVSFI KGIGLGSVKLGDAYSESLLTIYKRGYSAGIGFGGGGSKVLSSRMESCRPECTSCKNTG KEAPPNTTPLPPDFVPPVVPPNAIGQMFGGAW MIM_RS17935 MRLSSVFFRRVITGAIMAVLLGCASVASGEYRIDTLAKPVTLKA IPRSDNGKLVGMEVELGNSYLRYHAFEGKLALQYVGRFDASRLPAAKQKRYSHGMTDG AIYQVENASQFLAENQERLFLGPDVTPTFITIQNGEYVDGKPAVWVCVLSSESMKQYY ETQIGHERCDAFR MIM_RS17940 MADATTTPGNIPASIQPDLLRWGNLTGPNYSSPAMRLAANATEA GSFTVANTAQTVADGQATLTTATAAPCATCKKDGAYSIAFEIKDADGNLCKKRKDDTK GMNYTVKYKVSGEVYRGSTDENGLTERYFTADKTETLYFYLGHRTDDDGYPTAQTETA NQTDEAPLTAKAYAVAPIADKKIEKLTTVRKWKPWKASDNYTSLIEGAEGREAKQYPS VEGGNDTIGIGHKITDAEIASKRFTQGDWAQPLSDAKMNELLQEDIKKNGGNRIEREV FVPLYAYEVDAILDLGFNGGPGALTANAASIYSHDGTKNPKNTNRQNLGELLNRGRYS MVPAYLESHYNTSNSVWVAGVQNRRDMDVRMFSGDQTNGYTLMQNHTTRHPAHNDNP MIM_RS17945 MREEQKSGAGAMPGQADRDASLPTEIAAFFDQITAMPRPVWAVV DGARYGDLSLQLEQAGLSGRSLFLEHADEQVERMGGWLVPVQQREDLESLYGLAREID SMVFWSCAAGEEALYRHLRSINMVMIPDDEPAPESEAAAGAADEADSADGAQPPASPG LREQSVMFRHYDPSVLASFLPLLNAGQFARLLGPATYLMMFAPDYGGARSVPRPDNLP ISPRGPLRFSEQQMQELEQVRVDASQVKIVQFLRRNDSQHTAKMNDHELMRFVANADI KGRALGLRSERALGYWAWLLLGSNGAFAEQPFTREYLQGYPEDGSPDDKIYKLMDNLA QAN MIM_RS17950 MSANTNILSIINPDRLVGVSVAGDDNAEFLFDAMQGTDGMSTLS DYTVRLLHRSMQVDVRSLLGKSLTLTVDTAAAPRYINGVIASFALVGQEGDVERYFVY EARVVPWFWLATHKKEFRIYQNQSVPETIKQVLSPYGHAFEFDLVESYALRVYCVQYD ETDFQFVSRLLEAEGIHYYFRHEQDKHTLVMSDEVQSHKPVDGYEHVPYFTEDKLTLP QQDYMTHVAVFQDLRPGQYTTNDYNFTTPRADLAARQQIKLEHEHNQAEVYEWPGNYG DDPLGERYARQRMQEQHHVRDTRTLRSTARGVATGSLFNLVRCPRSEENREYLVLGTR YDLKENNYHSVSSPEEAKQNGRRCVFDLTVQCTTLPFRPPRTTRKPRTLGPQTAVVVG PEGKEIWTNEYGQVKVHFHWDRYDKKDENSSCWIRVSSSWASGNFGAIQVPRIGDEVI VDFLNGDPDAPIITGRVYNAANMPPWSLPENETQMGIYSRSTPDGNYHTANAIRFEDK MGQEQVWIHAERNQDIEVERDESHWVGHDRKKEIGNDQSERVGSNNKVSVGMTNSRSV GVASTEQVGVFKSTFVGVDQLVVVGGNKQEMVGNSLNYLAGENIAIEAGKTFEIKAGE KFRIKVGDTRLEMDKSGMVVITSPQTTIVKGGDAQFTIGPGTILHSPDLVKGKAAVPP SQCLQAMAAQRSPFVKVG MIM_RS22250 MLSTFKRILVATSIIGMSILSNSASAQSPHQFKSAYSFEDTVSR LESGIKDKGMSVFAVIDHAEAAKNAGLTMPPTKVIIFGNPKAGTPLMVKYPALALDLP LRVLVNQDQSGVNVIMHPYQTAFNNLQLPVQDGEALGQAEKLVQKLVMQQ MIM_RS17960 MGKLEGKVAVVTGGSSGMALASAKRFVEEGAYVFITGRRQETLD EAVRLIGRNVTGVRGDASNLDDLDRLFDTVRQEKGSIDILYASAGTGEAVPLGEITEQ HFDNTFNLNTRGTLFTVQKALPLFNDGGSIFMTGSIASIKGFPGFSVYAASKAALHAF ARGWLNELKDRKIRVNVLSPGQIATPIQQQVFDEDAMRQMETLIPRGKMGDPEEIATV ALFLASDDSRFVNGVELYVDGGTAAI MIM_RS17965 MRADARKNYDRLLSVAHEVVTEHGADASMREIARRADVGLATLL RHFPTREALFDALLRENLDALTQKAVELEASSPADVALVSWFREGVAFVQIYRGVVDL IASANEDPESGLHASCAAVHEAGARLLQRAQTEGTARTDMQGADLFALMSGLGWIGEQ AAFAPRGDYFFELIASAIVTNRPGDGHSA MIM_RS17970 MNTLLLVGGDLCERTARLLDPAHWRCIGLRRSKVASAHENTISW RQADLLNQESLSFLGADEFSAVTHVLYAPSPDSRTIEHYAGVYSLGLPGLLNSLPPSC LKKLQRCVLVGSSAVWAPSDEWVDENTPVQQTNFRASALLEAEAALHASLAPGAGVAL RLSGLYGPGRQQLLKGLQAGTITAPDGPGHWANRIHIDDAAQACAHLLTVPNPQPLYI GTDDCPMPTAQFYDELAKLLGAPAPARQIRPPSGKRLSNARLRASGWEPTWPNALEWY AKQQA MIM_RS17975 MSQAPPTPTDDDGPAYWRSQEMLLLQNIIALSDKKFSYEAVYKE ILHLLSELLGLNRGRIVLQTPGAREGKIRYSYGLTREEAEKGVYAFGEGITGRVLATG QLAVVQDIDNEPLFLFRSVERRHLPQEHVAFIALPITVGQQVKGALVCHRIRTRSRAL ADDLTILRILVTYIGQLMHLEGHIEEKNRELEHYNQLLHGSHYDISHYGIVGNSPELF RAISELERVSDSTASVLLLGQSGTGKELFARALHLASPRQDKPFIKVNCAAIPESLFE SELFGYEKGAFTGATTQRKGLFEQADEGTIFLDEVGELPLSMQGKLLRTLQEGTITRL GGKQELKIDVRLVAATNRNLGQEVQNGRFREDLYYRLNVIPIQLPSLAERKSDIPALA LHFLNKFNQANQRNLNLKPDALTLLQNHHWPGNIRELSNVMERMVLLSDKLVLTADDL KPFMSINRVDAPSPSPSPSPASAVNHLPIHAPPESLPTVIRPYASVNSHSGQTLLEAV RLAGGNKSRAAQNLGLTVRQLTYRMQKMGL MIM_RS17980 MISSRDEVTKMILSAKVLKGIKWADVAREIGLSKEWTTAGCLGQ MTFDKKQAEQLGKLFGLSDEAVAWLQVVPQKGSLDTAVPTDPLIYRWYELVNVYGTTI KELIHEEFGDGIMSAIDFSMDIQRENDPKGDRVNVVLSGKFLPYKQY MIM_RS17985 MSKRYQANAVPVFDNISFDIHKGEFICVIGHSGCGKSTILNVLA GLEEPSDGVVIMDGREISGPSLERGVVFQSHALLPWFSVEKNIAFAVRSKYPDWSKEK VKEHSQTYLDMVGLSHAAHKKPSELSGGMKQRVGIARAFAVEPKMLLMDEPFGALDAL TRGNIQNELLKICKATNQTVFMITHDVDEAILLADRIMLMSNGPQARIAEIVENTLSA DRSRESLHQDPQYYRLRNHLIDFLVSKSMSGQQARPEGLREVGAGQGLAAADPPANAQ PGVPASTERKMFAVKK MIM_RS17990 MKRSLIFRSAALSVVMLLVFLLLWQVSTRSADQDASASAESAEY AMLMGQAPDAASGATGANSAQDVNGMPTPLQFGKIALHQLSNPFYDNGPNDKGIGIQL LHSLGRVALGYLAACLIAIPIGFAIGMSPVLYRALDPFIQILKPISPLAWMPIALYTI KDANASAIFVIFICSVWPMLINTAFGVAGVRRDWLNVARTLEVSPLRKAFLVILPAAA PTIITGMRISMGIAWLVIVAAEMLIGGTGIGYFVWNEWNNLSLGNVMFAVLMIGVIGM LLDLAFAVIQRKLTYVE MIM_RS17995 MNDDNHYRPYTHLSTLGGCCCGNHSSQAAHDSQAARVAGADDQD KRSRDFIEAAAVKALFPHEPTRRTFLKAVGVTTAMSAIAAVLPIPAMQAMAAEAGQLE KKDLKIGFIPINCATPLIMADPMGFYKEQGLNVSLQKTAGWALVRDNMLNSALDAAHM LAPMPLAITMGLGSQATPMRVAEIQNTNGQALTLAMKHKDNRDPKNWKGMKFAIPFEF SMHNFLLRYYLAEHGIDPDQDVQLRVTPPAEMIANLRAGNIDGFLGPDPFNQRAVYDS VGFIHLLSKEIWNGHPCCSFGVSDGFVKQNPNTFAALYRAILNASAAAGKPENLPEIA KVISTRNYLNQPEIVIRQALDGRYADGLGQVHRDPDRARFDPMPWYSMATWMLGQMKR WGYIKQDVDYKALAEQVFLMTDARRHMAELSLAGADAEPVSGYRTFDVMGKTYDASQT AAYVDSFAIKRG MIM_RS18000 MSELARDWQQFICRACGLIYDEEFGDPDSGLAPGTRFEDIPDDW ACPLCGVTKTDFEPYHKPEPVTATGNVAPVFREDGVVVVGAGTAGWAAASAIRAADPT VPLTVMSASDAHRYHKPELSVAVSRGMTADSLVKEQAADAAQRLGVEVVSDCFVTGVS PVLKQLRTTRGTFGYKKLVLAVGARPSLPAVLPSSLCWRINDLAGWAGMRKALGQSPK QIAIIGAGMIGCELAEDLRRAGHGVMLIDCLPLPLAALLPEAASRMLLECQRNMGIDY FGHESVQSIIQNTDERKLITTASGRALIADVVIAATGLATDKRLARLAGLDFDNGIVV DPCTLQTSDPDIYALGDCISIHGAACRFIEPIAKQATAIANHIAGRADTGYQHAPPVI KLKTRLLSIDIQGTPDRQGAWRTMTAQPDFLHMEQWTDSRHVATIYLRKQQQLNRNKG IA MIM_RS18005 MSLALETYSTDSASCSIGNAMLNQVQAIAHQELLPIANNIDEGH YPREIMAALGKAGALGVHLGAQDTRYDLALAAMQEVGAVCGSTAFLMWCHGVCGLYMD QSENTALRDRLAGHAAGLTLGGTALSNPMKYLSGIEPMLLRAQKVANGYVVNGTLPWV SHIGKGQYCGAIAAVEDATGKITHEVLFILDMDERVQLDACPAFSGMEGTSTWRVRLK DYFVGQDDIVADPAKPFVARIRGAFILLQIGMATGLIQASIDAMREVTPTLGHVNAFL RDGPDRMQAEFDELQSRVAGLVATPFATDKEYLLDVLDARTHGAELALKATQSALLHH GARGYLRAAAPQRRVREAHFVAIVTPAIKHLRWEMARLMKEEVPV MIM_RS18010 MSENTVAEKTVTAYLKPIDREGLMGFAEKGRNNPGSRGTNKVHT VMQGMYRSLSYVGDHTPVVVDEPLHLFGEDTAPAPGEIVLSGLGGCLAVGITAVATWK QIKLSRLEIFLEADIGNPAAWGAGGAPMQPAQMGFQEIRVKVLVEGDASREELDEIVQ KANFYSPVANTLRNPIPFSIGLAN MIM_RS18015 MKKSYIIPLIALLLLVISAFFYKSRMLQRDADNALVSENKQLTC SGAQFNEYMKIMLEAGKMTLSQPPLSGTRAQQQKMIDALAALDLPRNQTVIAVGHFRS GKVYTQICKDEKCSMQEMAQPEHVCLTENWDECVYLAMQFREKQYCFLTPAKE MIM_RS18020 MDIKELQTFVDVADAGGVSPAARRLGISKSIVSRRLLRLEAELG VQLLARTTRGAALTEAGAIFRDYAARACAEIDTARETILPSGELCGRLRIAVPISFGT THLAPVLAQMARLHPQLHVHASYSDRFVDMVAEGFDCAIRIRYLQDSDLIARRVGPIY GKLVASPDYIRAHGAPEAPDALIEHQALMQGGEAWQFLDGEKIITVHPQGRFKADNAT ALVAAALEGVGVAWLPDAVTNPYVASGALVPIMTRFPPPPAGAYVIRPPGRHPSRKVR VLTELLIACCENIEASSVGQAVKINT MIM_RS18025 MTFRNGLDSLLRPEDSVLVLIDHQAYQLANLNSHDPQMVVNNTT ALAKLAKAFNVPTILTSVIAARGGLLFKQITDVFPDQEVIDRTWVNTWQDEKVVDAVK ATGRKQLIIAGLWTEVCVAMPVIQAAGEGWDVTVITDASGGISKESHEVAVQRMIAAG ANVMTVMAIAGEWQRDWARTEHVEALTEILIDHFSGSGIAYLWEQQLLNTPSPKNAD MIM_RS18030 MAANNGQFNFLIITTDEERYPPVYEDESIAQFRRDYLHGMTALR ENSLELHRHYTASTACSPSRTSIYTGQYPSLHGVTQTSGMAKEWYDPSMFYLDPNTVP TMGDYFRAGGYRTFYRGKWHLSHPDIILPGSVNTMMSTNKDGTPIPRAEQLYTAANKL NEYGFDGWIGPDPHGADPANAGINRDPGFANQVISLLDALENDSNNQPWLTVASFTNP HDIVFFGTPWLSFGYKYDFPDFIKNLNLPLPPTRCEDLSTKPRAQKDYAEKYGEMFFR NPTIPQYYQMYYYLQYVVDQEILKVYQRLRNSRFFQNTIVIYTSDHGDMLGAHGGMHQ KWYNAYEESLHIPFIISNPTLFNGKQHSHALSSHVDILPTLMGLAGISQEDAANELKP SHSEVHPLVGADLSELVRNSGTGGYSDSALYFMTDDEVSEGLTDVNPRDHPYSPIAEP SHIETIITRLPDENGEQQIWKYSRYYSNPKFWGGASNPDQSITLQPEGGPAEFELYNL SEDPLEKTNLAAGCGQNSATDSVMKTLQALMMQQRRKKRLRPTGQVVD MIM_RS18035 MGGNMKAITAVKPGDSFDHWHYVTCRQFSLTECSKAADRHFRAR VTIRQLGALALCSIWSATRNNEVIRVVRRPTEIRKDQRDYFMFWLMLEGTAGLQQEGR YAGLKGGDMVLQDQSRPFELELGQVSQAAMVMIPRPLLTCRLPAAQSMAARRIAASSR MGPITGTFLRQLFAIDESIEDTAGDATDRRLSASTLDIISTMLEAEAGLESVALLENR LYQVKEYMLARIHDCEIDIDTIARGTSMAPRTLHRLFAREGATPIQWLWEQRLTASYR MLSEATVVRITDVAMSCGFKDVSHFSRAFRGRFGVAPSSLGRRK MIM_RS18040 MPIVIDQMNPETTALIVVDMQNDFIAPGAPLETRMGTELVPRLK KLIDHARNSGMSVIFTTHAHRRNGCDMGLFGEIHPPIQDRIGLVDDSPGIDIYPDVAP QGDEVVIKKHRYSAFFGTDLDIILRTGKIETVIVTGVTTENCCHATARDAMFNGYRVA FISDATGTYDYPDVGFGAIAAQEVHRVTLSVLGVSTAHVMTTDELINKTALSAADHTV VK MIM_RS18045 MNQEELASLSASETVQLVRSKQVSPVETTQAAIDRIEKLNPALN AVIYTDLDGAKRKAHDLEQRIMRGDNIGGMAGVPTLMKDLFDFRPGWPSTLGGIPALK DFVPDFWSTFPKHMEREDAILIGKTNTPVMGFNGATDNPLFGATCNPFDLDRNSGGSS GGSAAAVASGLVPVAGASDGGGSIRIPASWCGVAGFQPSYGRVPMVLRPNAFGALSPF VYEGPVARCVDDLALVMNALAGYDADDPFSNPEQVDFLSCINAPSLKGKRIGYTRDFG IFPVEAAVLACTDKAVSAFTAAGAQVDEINLDIPFSQQELSDLWCRLISAGSCAMVTG LQQRGIDLLNDYRSQLPDWLVYWIGIVSRQSFADMQADQVMRTKVFDAFAAAFSGVDL IVSPTTAALPVKNLNNGLTTGPSSINGVAINPQIGWCMTYLTNLIGHPAASVPAGLAD GLPVGLQIIGRRYADTDVMQACACFERERPWVSIYESLKTRPGA MIM_RS18050 MARTGRPRTFDRDAAIEQALHLFWERGYESTSLSELKAAIGGGI SAPSFYAAFGSKEALFQECAERYLATYAQVTECLWDTAQAPRDALELALRRSARMQCE QGHPKGCMVSLGVMSATSPEFCEVTAPLTRSRARTRAGIHACIERAIASGELRKEVDP QALGTVFDSFLTGLSALARDGVNYKTMDNAISQIMKIWDMSASRSNQERKLTS MIM_RS18055 MTPANPPSEDSDKEQLPIAALLALAMTGFICIVTETLPAGLLPQ ISQGLGVSASMTGQTVTAYALGSLLAAIPLTIATRGWRRRNVLLLTIAGFLVFNSITA LSSNYWLTLAARFFAGVAAGLAWSLIAGYARRMVLPHQQGRAMAIAMVGTPIALSLGV PLGTWLGGAVGWRSAFGIMSALTVLLIFWVLAKVPDYPGQQGRERLALRKVLATPGVR PVLATVMAWMLAHNILYTYIAPFVAQANLLDRIDLVLLIFGFAALAGIAITSRFVERH LRSTVLVSLLAFFAASLSFVWLATLPAVIYIGVAVWGLSFGGAATLLQTALADAAGDG ADVALSMNVVAWNSVIAGGGVLGGILLDRWGAQTFPLALTVLLALGLLIVWSAKENGF RPGPRAHAGQVPIGS MIM_RS23540 MNQVLSAWTAQEEQCDRRTQAALAEVNDGHFVLSSSCANLGRWS ERPEIRVGTSLMMVPATAKEPERIKGLVAEYEL MIM_RS18070 MRTEYPDFRLGSVLATSFTGTLSERCGDPIERIPTPQRFIDWLA EYGLNVETCTTDQYNRARELREAIHAAATAAANGEPLPAHAVLSINKFSAAGKAAAFL TREGRRQWKLGSASRVDDALGVIAADAISILAGERDGKLALCASPSCQAAFFDTSQSR SRRWCDMGTCGNKEKKARFIANRRKKTRAGE MIM_RS18075 MPSLTSEVQAFEAHASDADLNELRARLAAARLPERETVYRPAPD PRRWDQGVPLADLIDVVNYWRTEYDWRPFEARLNQIGQFRTTIDGLGIHFLHRRSQRP DATPLILTHGWPGSVAEFVDIIEELADPKDADTPAFHVVAPSLPGFGYSDKPTSTGWG TEKIAATWVELMGRLGYNKFAAHGSDWGGVITTIMAGRFSDHVLGIHSTLAQAPPGLT ADGLTPTERKWTEDTRDFWRYRAAYAKQQATRPQTIGYALVDSPVALLAWILDKFYEW TDSEDSPFERISLDRILDNVTLYWLTRSGASAARIYYESHDSLDPELRVDVPAAISIY PRDIEKCPRPWAQERYRRIVRWNEPEAGGHFPALEVTKAFVRDLREGLGVVVPHGRPA MIM_RS23545 MIVYEADKRQFLNHIDFDDIEDVILSRFMAATGRRVNNSEIRSW RESLGYVARVLRDDDIPDQIGVAVELHIPQSSKRIDVTLTGRDSTGSKNAIVIEIKQW GKSSIYR MIM_RS18080 MVTYLGKGLREVVHPSYQAWSYATLLEGFNEAVYKGGIAIKPCA FLHNYARDGVIDDPYYSGYTEKAPLFLKGAKEQEQLRNFIKQHVKYGDSKEVLYELAN GRIRPSKALADALKGLLTSKPEFVLIDDQKEVFEATLASARVASSTAPKVIIIEGGPG TGKTVVAINLLVRLTSAGLLGQYVSKNAAPRNVYQSKLAETITRNRFSNLFSGSGRFF DIEPNTYDFLIVDEAHRLNEKSGLYGNLGENQVQELINASTCTVFFIDEDQRVTLSDI GSKQAVREFAAAKGATVEEYTLASQFRCSGSDGYLAWLDNTLDILPTANQILDTSEYD FRVFESPQALHNAIEDKNDQNKARVVAGYCWPWLSKKNPHADDIVIGDEYRRQWNLDK DGSLWIIAPESIEQVGCIHTCQGLEVDYIGVIIGPDFIVRNSKIITRADERDRHDKSI RGYKKRLKIDPEQVTAEVDLIIKNTYRTLMTRGMKGCYVYCTDEETAEYFRAMIRTST NMS MIM_RS23020 MDSAFCTINHRLYDAAEFARLPSASLDEYRRALICNTCGKEAFF RKASSSGRGPCFGARPHGEDCAQATIDAGSWGSGGNQDDEPIINAASRIVIDLPRLEE GHEVVEPSGAEERRKGAGRVFSAEGSVAANATHRSLRSLLRRLNQDPDFQYSNAIITP PGAQENTSVEEFFVRFDLVRNRALHEFKGLWGLITDASYGASGSLWLNTGSKSTVSFV VGSDLVPHFLKFWRIEDVNDFADAQALILATTSMSSGGKVYGTITDLRYVALDLA MIM_RS18085 MQNFRLTAPLVPTRRGTIIDAPVQVEARLLELHSDLTTTLLRDA IVAGLFGRNEVTRASAPTAAGVQQWFKTVEVLRTSLAMRQWRIHEQQNCPFISSPDQD ISIVVMTGNSETGKIGFEDPTNQAEKGTVAENFIQNNNQLELFNRESFKLVKEGAGTQ VWALLYHYDKILNEVRFELSLPTGFDNKKITEWGERVILGRMPNNPTDFTINKDEPNS PATVEVKPKIGTF MIM_RS18090 MFEINPKQITFARVRRRLTKAQLAKELGITSRSLQNYETGTSAP DLVSLAKIAKSLNFPEEFFFLEEDMPEIKEDAVSFRKLSKMSDAMKACTFAAGAIAFK VNQWIEERFSLPEGDLPDLSDLGPEEAAATLRRMWGLGNAPIPNMIHLLESKGIRVFS LAEETREVDAFCTWYDEKPFVFLNTLKSAERSRFDAAHELGHLVRDVYSMQHGHAHGP EMERQADAFAAAFLMPKESIAANQPPAYTISYLLKLKHYWGVSLAALAYRFNSLGLIS EWNYRRLCIEIAKSGFRTNEPEPMERETSQLLTKVLDILHSRKQGRYEIATNLKLSVD EINALTFQLTRLSVVAGKVAVVSKSKKPPKLQLL MIM_RS23440 MQEIRDAKIKADRDRRTAKEAERQKPTTSLNQLRIEYLNWLAND TARQQRGYALERILYGLCRLSHLETTEAFRINGEQIDGAVKFEGEHYLFEAKWQERSS SNEPIYQFAAKVSGKLYGRGLFISINGFSPEVIRSLVIGKEIQTIFVDGEDNILVLEE HLTLREMIDRKVKAAQTKGLIYVNPLSGAEKKY MIM_RS18100 MSDKADKARLKLIKNERTTATQNDRFTTIDLFCGAGGIAEGFKQ AGYRCLYGNDIMQEAIETFAANHPHAIADCRSIELVEPVEIRQQLGLEKGELDVLVGG PPCQGFSINAPERFLSDPRNKLFRHYERFLEEFEPKAFVFENVPGLLSLADGKVFNQI IKQFTQLGYNVTAKILFAAHYGVPQERWRLILLGSKNGEIAHPVPTHYAKGRANFRGG KTMTFQLSDNDSTLLLAPITIKDAIGDLPRLEMGEGAEEVGYTTEARSDYAVQMRNTT GVTFNHYAAKLSKINAERMKHVKPGGSWRDIPHDLLPKGMQRARKSDHTKRYGRLHPD SLAGTVLTKCDPHWGTVFLPDQDRTLTVREAARLQSFPDSYRFLGSRVTQYAQVGNAV PVLMARALGETIQHHLKGHPQINESRVAAANG MIM_RS22670 MASNIIEFFGYHPSDKSDIAKKARAERKCPFLGKTCVKTLGENE SRVPAGACTLQSAGGLNVICCPIRLYADNHKILTDVAKIAFGDNVKLYPGAEAKLASS ETGGSRIAVFGKEWGGELRLPQPRGTGGYYVDWILAKLDDSGNLDNFVAIEVQSIDTT GNYRAERTAYLNEESFTGRSTAGFNWENVSKRILPQLIYKGNVLQRERRCQQGLFFIS PTPVYKRISQRLGDSLLAYYRQTGSITFMWYDVGPEPVPGTLRSLNQEGIFTTSVVQV AAAFSAPISLPPQDVYEKAINGVL MIM_RS18115 MSAVPLAELLDSLNFGGAFIRESENDVSASGLSADMAMAVITMC QELAWDFDLFDSAGTEWGDSILQPDFAPYRLRISKPPSGEDRLVLLTNTGLAEWLKVG HAAINWQVARLTQPIVTMARLLQPWGEPQAYQPSSSIKSPRSLVREYGVERFAPEDIR PWLLREETSVSNGDAAAKVWISVSMGALVNSLADEINPTTQQLKFKGPPKLTITLPIG HDGLADEIGIKYFNDLQRAVRWVFENEREAELRHGLMANDIARSVGGNGDNFHYFLEG ISASLDGAKAAYQVSLSELGRDTLKILAELRKAVTEETAKVADATRQLVTSVAGALAI SLGLIAARVNAAASNELIVAVMVVVVAYVGVVIYSGYGFIQLQRQLRKDWQPRLYRFL PLSEYESMVSRPVAKAERTFFVVALGGVLGVVVLTLAVVFGRWNAFTNTSNVISLPPH MVCDLENMSDKSSAVGTDVLQLSAPEPTNCEGKE MIM_RS18120 MLISKKVWLIRRIPARQERRGINLGFFTDEEVGSLRITNMILHV VGGDEFVPEPARVVEHEAFFIARIRDTDVSAVYSFEETSNTKAQIEAIATGAVSFEAG AQALSNEFSRLHVGSSRDGALFIFELSTHDPQTRIYSFVKYDYREAIEQAAADEGGLL RRIVTAFIADKKAIQKSTIIRVNEGVAELPISTQDRMKVAPEIGDYFATFLNVSRTLS DEMLNKKTVDVLRTTLTFCKELLPEQDVARAFRHAQGILRDRQEINEAAITDAILAAS GNPEDEEVRGKIQLTTRRRMRAAKLDGLQFRPDRHVLRRPPLRKIRTTEGVTLTYPDE AEGLTVRRERLPAGAERFIIQTARVTEDNIVRSPAR MIM_RS23445 MNSPKFTTPSDHAYQLLGAHPPGQGWFAFDVLMPAAKRGRARLF VMAVWKTGIRKDIKTGEYWYRVPSTGSAGTSENKRTALWNGIKIAQEFELPKVAVLKD PKSKRCSMEHTFDITDVRYESDASAFWIKLKANGGKLGEAVELLDLTVLSELPQRGKL PKLSSRNAPWSRDELILALDLYMQHRESLPTKKAPEIAELSALLNQLGIILGRRHTDT YRNSEGVYMKLMNFRRLDPEYTYDGKKGLTRGNSDEVRVWALFAHDPRRLSEVAIFIR NGISEASGKDTKISYSEELEIEEAEEGKVATRVHRYRERDRRLVDTAKARALREHGRL FCTVCGFDFSKHYGEVGSGIIDVHHTKPIHTMQPGEKTKVADLVVLCSNCHRIIHSKR PWLTVDEIKSAIKIRTSVQ MIM_RS18130 MSYVIAQNLAKSFGSNQVFSQINFSIEKGEFITLLGPSGCGKST LLRCIAGLERPDDGDLFVNGANITSKPAQQRGVGMVFQSYALFPNMTVENNIAFGLKM QGMDEKKRKQEVAAVIELVELQGKENQYPQALSGGQRQRVALARALVVRPQILLLDEP LSALDARIRKHLRQQIRQIQRELGVTTLFVTHDQDEAMSMSDRIFLMDKGQIVQSDTA ENIYTRPASEFVARFMGHYNLVEAVKANPVLGLDLQGTLAIRPESIYVREAGRSYGEH VSRPVEATVLEYQVLGNIVRYSVDTAMGEMTVDLLNRSSERMLERGAKVELVVNRGEV RELDG MIM_RS18135 MPNKRATSLADEGLWIHKLVLIAVAALLALPIIATFIYSIATQW GATVLPDGLTLKWYLQLWQDPRFLQAFGRSLIICLGTLVLSTFVMLPMTFVVFYRFPR LKPLMDLVIIMPFAIPPVVSSVGLLQLFADEPFALVGTPWILLGTYFIIAVPFMYRAL ANSLQGIGLHDLMDAAHLLGASTTKAFLLIIVPNIRKGLLVSLLVSFSFLMGEFVLAN ILVGTRYETLQIYLYNMRHTSGHFTSALVMSYFIFTLLLTWVATRLSRQGDTV MIM_RS18140 MAEELLADYAAQAPGTPIPKKRRRTPLNWRAALSLLPFIVLFFL FQIAPMIWVLINSFVYEEAWSFGNYIEIFTNSFYLQAFQNTLWLSILSSVVGLVIAGV TAFSLYRLKGRVRQWMIAFTSMASNFSGVPLAFAFIIILGFNGAITLQLKAWGLIDNF DIYGSSGLMLIYTYFQIPLGILLLYPAFDALKPEWEEAAKTMGASKFAYWRNVGLPVI APALLGTFIILLANAMGAFTSTYALTSGNYNLITIRIASLVSGDLFLEPNMAAALSVL LIAILGFFTAVHHWLLKQSYHAK MIM_RS18145 MSNKVILIVLDGLSYQVAHDCMGYLQGLIEADMATLYQVECALP SMSRPLYECILTGVVPVKSGIVNNQVVRPSYNESIFSLATKAGKRTAAAAYHWFSELY NRAPYVASRDRFTNDESLAIQHGCFYQADHYPDDHLFVDAEYLRVQFDPDFLLIHPMN IDDAGHKFGFDSSQYRNSARYADIILSSWLPQWIEQGYQILVTSDHGMNNDRSHGGVL EIERRVPLFVIGEHFSHSPDCTISQTELCGTVCSLLGVPAADKAVNTALLRA MIM_RS18150 MKALIPAILIGLVSSSAVHAADNDLAALTEAAKKEGQVYSVGMP DSWANWKGTWEDIAKEYGLKHQDTDMSSAQEIAKFAAEKNNATADIGDVGASFGPIAV EKGVTQAYKPTTWAQVPDWAKDKDGHWALAYTGTIAFLINKDLVKDAPKSWEDLLKGK YRVTVGDVGTAAQANNAVLAAAIARGGNENNLKPAIEFFAELAKQKRLSVNDPTVATI EKGEVEVGILWDFNALNYRDQINRDRFTVLIPSDGSVISGYSTIINKFAKNPNAAKLT REFIFSDKGQINLAEGYARPIRAAHLTLPEEVKAKLLPEEQYKNAKPIADGQGWDKTS RALPKMWQQQVLMHMQ MIM_RS18155 MFNPELPYNDLPRLPPEADIETKAILKACIDAKASLAGLKAMAK RIPNQEMLINIIPMLEAQASSEIENIVTTTDRLFQYANDESNVLADPATKEALRYRTA LYSGYQSLNARPLTTATAVQICQTIKGVETDIRRVPGTALLNEATRQIVYTPPDGEGA IRDLLADWERFIHTQTDIDPLIRMAVMHYQFEAIHPFSDGNGRTGRVLNLLFLISENL LEAPILYLSRYIIQNKPEYYRRLLRVTTEQEWESWILYMLEATRSTAEWTSKKIIAIC DLMDHIRDELRRALPKIYSYELVDVIFTQPYCRIANLVDLGIAQRQTASKILQALVAQ NVLREVQVGREKIFINVELMRLLAREDDSAAK MIM_RS18160 MSKPLVIHAHHHCHALIKVSGVDSSFLVKSKSQPLTKDSVVLIN AWEPHAYEHTPSGEGRCILMALYLETSWLSQVLRSLTVSGHPQFFPKPCATLPPGARL LANALAAEMLVSQDIASDILEGKIFDLFMATAERHSSLRDSSHLFSSLRANTMDPRIR RAVSKMRENLRYGENFADLATESGLSRAHFFELFRKSTNLTPAVYANALRVEAAIAEL CKSDTRIGDISYNVGFSAPGHFTRFFRQHLGITPGEYRRVVDLMDDNIDLLL MIM_RS18165 MSTQQSAPLQADVHKDPVAPGWMSGLRELPGALNLNSVSAGLVA AIFGCSGPALIVIGAAQAGNLSNGQTVAWLLAIYLLGGIISLFMGMRYRMPITGAYSI PGAAIMTSAFATFSYQEAVGAFIMAGVLVLILGITGVIGRIMRWVPMPIVMAMIAGAM IRFGIAAVDAVSAAPLIAGLAALAFFVTGRVTRRVPPVLAALVVGLVVTLATGQLQTG TADIAFVMPQFTAPVFTLGAFFGIAVPLAALVIGAENAQAIGVLMAEGYKPPINAMTI ISGVGGIVAGMMGGHNANIAGPMTAICSSEQAGECKDKRYAATVVNGLLFAGFGIVAG LAVPFVMALPKPLIGVVAGLAMIGVLLSSLQGAFGPRLGNQIGAFVAFVVGMSSLSML GISAPFWALVFGVIASVLADKLSLGERNPAQTGSEDLAKVSGERGTA MIM_RS18170 MSQLKHPAHDLLDNLDGFRVEIDAARHRADIVLDRAPFNIISMP EREQLRLVFEALDANDDVRVIVLRSEGEHFSSGGDIRGFLEASPEHVSKLAWNIASPE RCSKPVIAANRGYCFGVGFEISLACDFRIVTTTTEYALPEQKLGQIPGSGGSARLQKM VGIGRTKDIVMRSRRIPGPQAYDWGLALECVENDQLESATDKLVAELVKFSPLAQRTA KKLLNDSEDASLAAAIELEGHCYSRLRSSEDFREGVEAFHAKRKANFTGK MIM_RS18175 MLDLGSTFIQSVERNPHAPALVAEGKRLTYAQWFDIIKAVAGGL EQRGLLHGDHVLVVMQNRWEMATVHWACQLLGVIVTPLNWRAKPDEIEYCLTDSEAKI IIYDDSCLDAVREVGKVADIGAVAVGEGLPGSHRFEDLLIATPIDGFSRATAEDVSLM LYTSGTTGKPKGVPRRHRHERAAALAHVAQNLYACGERTLGVMPLYHTMGVRSLLSMA LIDGCFVCMPRFDVEQALTLIESEGVTNLYLVPTLYHDMLSHPRFPETDVSRVRKLGF AGAPMSEALLRRLDNAFSPDLFVNHYGSSEVYTMAITQNARAKAGCCGRAAINCRLRV VKLGSSNPADRVENMQEGQIIAHLDGDEAFEGYRNRPDANEKSLIDRWYFTGDIGYYD ADGELFVSGRVDDMIISGGENISPVDIESVISLHPAVDEVAVAGVEDERWGHRVVAFV KRKASVNAEELDQYCRSTDLANFKRPREYVFVAALPKSPVGKILRRKLVAGEYDPDTS CEPTPDLAN MIM_RS18180 MSEERTRHPIHLTLNGVARISHAENRMLLSDFIRHELGATGTHV GCEHGVCGACTVQIDGVATRSCLCLARQVDGCDLRTVEGLINQDGTLSILQQAFKKHH ALQCGFCTAGILMSCVDFLRRYTDPNEEQVRDMLSGHLCRCTGYTPIVEAILDAAHQL KTEIAETTNA MIM_RS18185 MKPQAFEYRRVNKVSEALQWLDEDGENARILAGGQSLVILLNMR LAQPARLLDISRCAELNYLRQEKGMLCIGASTTQARLQYWPELAETVPLLAEAIPFIS HYQIRNRGTVVGSIAHADPSAELPLCLATLGGCVVLRSRKKSRELAVAQFQQGMLTTA KQTNEMVTEVRFPVASQGHGYAFDEFALRRGDFAIVACAAQVGPEMIRLGIGGVADKP VVAEWKRSDAASFGRRLNDLAWQLNAQDDQHASAAYRRHLVRELGQNTIETALSRCAG NKE MIM_RS18190 MSSVENAATGGTGKFVGQRIERFESIPLLAGRGRYGDDLGVKPG TLHAAVYRSSYAHARIKSIDVSQAEKMPGVRAVLTPDDVRAWSRPFINGVKMPMQMWA TAMDKVRYVGEPIAVVIAEDRYLAEDAFDGIKVEFEALPPVSSIEGAMSGQSAILHEE VGTNVLHEREFNYGDPQARFQECAHSLEMTVEYPRNSCTPIECGVVIAEYLPGDDGYE VCSNFMGPFSMHAVMSLALNVPGNRLRHRSAPDSGGSFGVKQAVFTSVVLMCLASRKA GGAPVKWVEDRLEHLSAATAANGRVATIKAAFDSDGRIRALDLDQIDDVGAYLRAPEP ATFYRMHGILTGAYRIDDLHVCNRVVVTNKMPSGLVRGFGGPQVYFALERMMQRIAQH LDMDPLDVYRRNFIQPEAFPYKAAAGALLDSGDYPKAMAAAIDEGGLEELLRRRDEAR ARGRLYGIGYAAIVEPSISNMGYITIALTPEQRAKAGPKNGGIAAATINIDLLGSVTV VIASSPAGQGHVTVCAQVVADVFGLQPKDINVNVDFDTDKDAWSVAAGNYSSRFAGAV AGTVHLAATRLRGKLADIAAHQFKCLSEEIVFEGGKVWREGHQDKAVPFARLASSAHW APAELPDGMSPGMRVTEFWTPESLGAPTEDDRINTSAAYGFAFDICAVELDRKTGSVR IDRYVTAHDAGKILNPAMADGQIRGAFAQGLGAALLEEFRYGDDGGFQSGTFADYLLP TSYEVPDPVIVHLETPSPFTPLGAKGLGEGNNMSTPVCIANAVADALSPLGGTPEIRL PLTPNRVLALIGFDDPAPSSDVQIQKPAKKTGKGHALTASGSTQIPATPEKVFDVLMD PQALAQVIPGCRELQQIGQNQYRADVTISIGMIKARYEATISLSNIDRPNSLHLQGTG VSSLGGATGGGDIVLQANEQGTLMKYQYEAEVQGKVAAVGGRMLEGAARMVLGQLFEQ LGKQASGQGVVGGSDSVWRRILRFLRISK MIM_RS18195 MENLDVLVLRRLSAWRAERKKAVLATVTRTWGSSPRPVGSIMAL CEDGAVVGSVSGGCIEDDLFYRYTTGDEMAALTDSAAPCLVQYGLTAEEAFRFGLPCG GTLEILLEFNPDPHDLQTLVSALDQGQLMQRTVSIKTGTVQQRPVDAPLPFSFNKTTL SATFGPEFRMLLIGAGQLAEYVATMALFSGFAVTVCDPRIEYRKDWSVQGVTLLPDMP DDVVTTFQADARSCIIALTHDPKLDDLALMEALETKAFYVGAIGSRRNNQARRQRLME HFGLEPEPLARLRGPIGVYIGSKTPAEIAISVMAEVIAAKNGVALPASVNVAYAKEQT TKQVA MIM_RS18200 MFKTLKKAAICLLSIPLLASSAFVYADANNASATKILAPSGTLL VGVYKGSPTSIIEGATPEESKGVGYDMGKLFAGQLGVPFKAVIFPSNSSLLAAFKNGE VDLSVSNATASRKKIMDFSPTFMQVEKSFLVPGDSRFSSFDDLTNSNAVVGVSKGSST SKTLTGLFPKLKIREIDTLEHAIDLLMKKEIDAFATNNAILFQMSDAVAGSRVLPGHW DMEYFAAGIPKGRDAGLSTLKNFIISADKDGSIANIIKRAGVRGATAKIGE MIM_RS18205 MSFKAALALTLSSLAFCAQAADWPNHPIIFVAPFTAGGANDLVA RLIAKAVGTELKQSVIVENRAGAGGVIGSAHVAHSPADGYTYLVGSNGTVTNSLIRSD QPYKDEELTPVALLSVTPSVIVTSPDNPAKDLKEFVENARTKKLDRITFSTAGNGSTP HFVAIMMKEATGLPIEPIAYKSGSEGVTAVVGKQVDATSEASIVTLPLVKAGKLKALA TTLEKRMGSAPDIPTTREAGFPGIRIGHWAGLYAPTGTPTDVLDKMNAAVNKALKTQE VLDALGKSSIEPGGGSRAEFISFATSERKRLGEVVKQGNMRAD MIM_RS18210 MNKLLSLAVGMGLAVQAFVVHANASNIPDTQTRVIQTPKGAQVE VFINGTGAPIVLLPSRGRGAEDFDPVVPFLQQKGYQVIRPEPRGIGKSTGPTENITLH DLAEDINQVIEQVAKKPAVIAGHAFGNWVARMTAVDHPDAVRGVVIIAAAAKSYPEAM PELVEKVRHATDQSVPEAQRLAALEYGFFSKGHDARSWLKGSYGNVSKLQRAAGKATP QSEWWSGGNAPLLEIQGDLDPFKPQSTRQEMKDEFGSRISTVVIANASHALVPEQPQA LADALDSWIKTLKP MIM_RS18215 MLKTIATPQLEIAYVEQGSADGWPVVLLHGFPYDIHAYDEVTPR LISRGARVITPFLRGYGPTRFVDASIPRSGQQAALGADLLALLDALHIEQVVLGGYDW GGRAACIVAALYPSRVRGLVSVNGYNIQDIAAAMQPASPEKEYRLWYQYYFHGERGRA GLTEHHYAFCRLLWSLWSPTWRFDEDTYARSAAAFENPDFVEVVIHSYRHRYGLVDGD PLFDEMERRLAGAPPITVPTITLEGDADGVTPVSGTRADPSRFTGRHENRIIPNVGHN LPQEAPEAFAAAVLDVKDWVG MIM_RS18220 MFSDLSVWGLVLLAALLHASWNAMLHGNGDRLLSMTWMSIAIAA VATVVIVFTPLPARAAWPYIVASGLVHILYNVSLVRSYRSSDLAQAYPIARGSSPLFV TLGAALFAHEAIGALQGLGIAMISGGIIAIAMKGRHVSRAGVQAALMTGVMIAVYTVI DGVGVRLSGGQVLAYTAWMFLFYWFMPVLFVVRRGLAALLTPVRTTPMTTGISMIGGL VSLTAYGIVIWALQSGAIGAVSALRETSVVFAVLIGRLFLRETVSAKCWLACVTVAGG TVFLGL MIM_RS18225 MNLTLPPLNALRAFEAAGRLGSFKEAAAQLHVTSGAVSQQVRLL EEWLGAPLFERHNRRVTLTAAARAYLAKIGPLFEQLALATANFGVPTTVSRTLSVNAS ATFTLRWLVPRLDTFRSEYPDINVRIETSNEPVESLKDTYDVIIRGGPDTFYGYSMQP FLLEERLPVCSPALLQRLPLHEPDDLRAHTLLHTTSLPRVWTDWLAKAQVDGLTPAAT LTFDHFYLTLQAAIDGMGIAMGPTSLVADDLAAGRLVTPFTSPRLPSRSYCTYVPDAS SANEVVVLFRAWLEREGEPWISGG MIM_RS18230 MKKLDFLEQKIMLAGRLALILLGLIFLVCAIWWAALTIPNGWRH YMPNNNGSIAQRVAGLKPDAKVFEASVRRAQIEASGLDENAVKLQDAMKRPDIAAHYD SIIRQIRAFADSKPEARVRIDEAGQDDAHSVLAPAEFEAIDKYSFLCNSDSGAVTATD ALEHCGKQTVRGIIASNVKSLLHFADNDEEEAALHKAFLAGLDQSVSAYLDSKAPRDD LFALPSATISSTLISSFETTFSEKLRALYVPPSRKMNQEMERLSQGVTPLTLLVNPFM IGTVLFLMVFVNLMMMLAVIRIGRRLDQNPGS MIM_RS18235 MAKKMELDRGRQTGPQLYEFLRQKIIDLKLAPGAVLSRAELAAE FKISQTPVREALLKLAQENLVDVIPQASTRVSLIDVSFARETHFLRQSIELELVRELT LNPNPAATDALQSLLQRLEVLRDTGKLAAFTEADRAFHFTLYEYTDKEPLWHLVRSRS GHLDRLRRLHLPVVGKQNQIIDDHRRILKAIVAQDVAEAQQALRDHLSGTLVYVSQLQ ADYPDLFAYE MIM_RS18240 MWQQSERYPDPAIELLHEDFAQYQLASAAVELLASGTRWGEGPV WFGDGRFLLWSDIPNDRIMKWEESNGAVSVFRQGSNMANGHARDRFGRLISCEHLTRR VTRTEYDGSITVLADTFEGKPLNSPNDVIVKSDNSVWFTDPPFGIRDFYEGRPSRQEL PANVYRIDGQSGEITVVASDIAGPNGLCFSPDEKILYIVASRAKPNRLIYAYDVSSDG TSISAQRTLIDCGPGTADGIRCDEDGNLWCGWGMGTEELDGVRVFNNQGVPLGHIQLP ERCANLCFGGKNNNRLFMAAGKRLFGLYVNVRGAL MIM_RS18245 MKVAISGAGGQLGAVLRKELLRQGLDLRSGGHRLLTPLIDNEDL CAGDLQDAQVVDQLLNGVDVLIHLAGTSTEQALDKIIHNNLLGLVAVYEGARRNGVKR IVFASSNHAIGMHSVNTKLNLDCDFRPDGFYGLSKMWGEGLARMYWDKHGIESVCIRI GSCLPEPTEFRHLSTWLGHEDFFQLIGRCISTPEVGFTVVWGVSANTRSYWDTSDPGP LGYVPTQNAEDYAPAILAKANPLDPIAQQYQGGGFVTLDFSRN MIM_RS18250 MKQSSPMLGRLWRYAVWGTTIFFLLNVVLLIGAVAVNSIATRWF GTILPQGFTLRWYGQAWDTFQLGPVLWVTAQVVGAVVILSIVFGVPAAYALARVNFRG KQWALLIFLLPMMVPPVTYGIPMATVMYEVGLAGTLTGVILANLVPALPFVILVMTPF IEQIDPNLENAARIFGANTWQYFKTVLLPLLVPGMLAAGLLVLVRTISMFELTFFTAG PDTQTLVVALYYAVFSTGVRATQEIDAMAMIYMAVTLVWVLIALQFVSPTQLVSRVKA DPQE MIM_RS18255 MIFVPRDVRAWLIMPALLSIVALFIYPFSYGLMLTFDPMNSQSV WGNYIEFFTNRRLWYTLIITLQLAVPATIINVLAAIPMAFILRRKSRYQKLVTTILVI PITLGTVLIANGMLSYFSPTGWFSQAVHGLGLYGSEVRLTHNYWGVLISLIISGFPFS FLLILSYVSGIDPTLARAAATLGASPWEQFRRIYLPLLASGLTMTACLTFVQAFAVFP SAILLGIPAGATRVMSIAAYEAAFESYDYSLASTIAILMGFAQLIIVGLMLLGRRAFY SGPVGGGKG MIM_RS18260 MTQLFKSLSLNAVTRRFTDASGHQITALNELDLNIECGEFITFL GPSGCGKTTALNCLAGLLRLSGGEIFLDQRRIDILPPEQRGFGMVFQNYALFPHMSVV DNVGFGLKMRGVDKSEITRRVREALALVQLVGHEKKTARQLSGGQQQRVAIARAIVIE PPLILMDEPLSNLDTKLRIEMRAEIRRIHSQLHRATVYVTHDQDEALSMSDRIVVMRE GRVQQVGTPKEVYARPKNLHVARFMGYRNVSQFDLSHTQDDRATLSSQGVTLAGVMVA PVKGPTASVAIRPEDIQVCSSGTPNAFEGRVQAVEYGGRDSLVQLDTRLGQIYARMDG EFNVDQALTLHVPADRVMVYEGEPA MIM_RS18265 MKSTSRALKRLSICSSIVLGLFAASVQAAPVQLNIVDVAGDLAL TQKALENFQKENPDLVSRITFTKAPAPQIPGKIQAMQRAKRVDIDLVLTGSDALAAGI EQGLWLKLLPDLQEQLPGVMENYTPNAAAMQELAHGQALLVVIGNAGPMIEYNPAKVK DVPKTPDELLKWCQANPGKFIYARPANSGPGRAFLMGLPYLLGDKDPKDPVNGWEKTW AYLKQLNDCIPYYPGGTGAVMKELGDGSRDMTATSAGWDINPRALGIVPEDFKVQPFT NTTWVDDAHYMAIPKGVSEEKQKVLIKLLNYMLEKKQQAMTYDSGYHFPGPAVKGVTL ADAPAESQEVLKKYGRAEYDKWLTEFPHVLPLDAAVMVKAFQKWDTEIGALK MIM_RS18270 MPTLTKSEISAAEARRFALTAQGFNGLARDRKIGTMQLRRSIAQ LGLLQIDSVNVLVRAQYLPLFSRLGSYDRALLDSDTLAKSKRFFEYWGHAASLLPIDC QPLMRWRMERALRGEGVWRPLQAFANERRAEAEDILQRISTEGPLAASDLSPASGRAA KGAAKEMWAWSEVKHAIEWLFWSGLIVATHRRGSFERVYDLPERVLPRSVLAHPTPST VDAQRALLARSAKALGVATAEDLRDYYRIPAADLQLPLQQLVEEGTVIPVRVRGWRQQ AYLHKDARAGRKTDGAALLSPFDPLIWHRPRTERLFDFYYRLEIYTPAHKREHGYYVL PFLLDGAIVARVDLKAERQSGTLVVQRAHLEPKAPAHTIERLIEELQLLASWLGLSSL VVAPVAAIHPLLATYRAAI MIM_RS18275 MKKLDYLERKIMLAGRLSLILLGAIFLVFAVWWAVLSVPNGWRL YLNETTETSLQKVEGFKPDAKAFEDAVLSAQATTSGVDEDELKLQAAMKTPEFATHYD SIINKIRGFAESKPEDRKRIDTAAENEGYTPLAPLEFEAVDKYSKLCAGDAAAAAAAA VEAAEAAAEAATDSTSDAAADATADAGQTESEEGMDAEQSDEPAADPYCGRNTVRGLI VESLNTVIYNASNDEEKRTLHKNFVAGLDSAVTSYLDGKTPRDSLFALSTVQIASTLI TSYTSTFSEKMNDSSSSEPSMGDEMDKLTKGFTPLTLIANPFVIGTLAFLMIFVNLMM MLAVIRIGRRLEKEPDSKS MIM_RS18280 MSDSRDGPPWIVLYRLLRGHYSLRVMAMCLAAFAAVLELASFLM LCYSVSLLPALHQRA MIM_RS18285 MTKATSLDIKALRVFEVLATHGNFSYAAKELGISQSAVSQVVSN IEEILKTQVIDRKRRPFKLTPAGISLSRKAKQIVEDMDRLIAQTREAALFNQVEIRLG MIDSFSATVGPYVLKSMVTNTSRILAWSGLSHTHSNGLLNRQLDLIVSSDPVEDMDDL IRTRLYQEPFLIVVSKEKEGLLAKQDLNVCAQAMPFIRFASRSHYGGQIERYLRRCNV SIPHYLEIDSADVVMAMVASGLGWTITSPLCVAQGLSFWDKIAVLPLPGPALQRTVYL ISRRGENEDAAEKIYRSSRQALEQHVLPRLQTKIPWLKTTQNLY MIM_RS18290 MTSTHRRAFLKNTLAGAGAIGLTGTGLFASAQEMQKMAYGGSPW LSHYPTYLAIENGLLKREGIDLRWESFVTGSARLSAMMAGDVDLAGFGSISTMALMAR GVKQFYVVGVPENFGKVEGLFVRDGINSIEDLKGKTIGTAFASSTHLLVLDLIAASGM TPDKDINVINISGPEIIAAMKSGQIDACAAWTPQFNILSAMPGVKLLADDPSFSLYKE FGTTPGPDLLVVKKTYTESNPQAVKNFIKAYFQSCEILKNEPEKAVPILKKLTNLNDA EQLETIKGAEWYTLAQQKDLMAKDGKFVTGLQKLAEMLVTYKQLDRAPKVSDWINPDF I MIM_RS18295 MSYVSKKRELVLISCVSVAVFVSIWEMVCRFGLVDPIFLPSPSE VVLRGIRTLNDGVLLSHVLASTRRVMVGFALAVAVSIPLGLVLGTSRRFCAVFDPIIS LIRPLPSMSWIPLSLLWFGITETQKYSIVFMGTIAPALLYVIEATRNVDQVLVLAARN LGASRFQVMREVILPASLAQIISGFKIILGLSWTCVISAELVSAKEGLGFMIMNGKEF FQTDTVVLGMVMISITVLIIDVILRRIENRVLRWQR MIM_RS18300 MISIENVSKSYGTLHVLDKVNIEVKKGEFVVLLGASGCGKSTLI NLVAGFVRPSTGRVVVNGHEVRDVDPQSGMVFQQYALFPWMTVLENVAFGLKLKGVSK KERHEIAQEYIDMVGLRSFEDAFPKALSGGMRQRVSIARVLANNPDVILLDEPFAALD AMTRQVLQEQLIRIYEQSGKTIVFITHSIDEALLLSSRVIVLGSRPGKVVQDIPNDLP HPRNASVQLSPRFNELKAQIWDTVQHEVQKSLEART MIM_RS18305 MSSRTTYPVYESRSGWNALLPARTAKTAIAIEKKYDFIVIGAGY TGLAAARRLAELNSSASILVLEAGIVGEGSSARNSGFVIALPHNTNMSGHISPAEIAQ KQIRVYDGGLRWLKGLVDTHQIDCGWNPQGKYHGAATEEGAVSLQNTARQYDKWGVEY QEISQTQLSERLGTRYYRFAIQTNSNVFMQPAALIRGLADHLPANVTLLENCPVLSVE QGREQGVRTARGLILGAKIIVANNGFARKLGFLKDRMFTIFTYAAITPELDPEQLALH GSEPEWGLIPANRMGTTLRKIQNKRFMVRSAYSYEKPQTGSSVLELLTDCYRRRYPAA RSHQFEHLWGGVTALTRNGATYFGEMAPNLYASVGCNGAGVLKGTCYGKLLAELILGH RTQELADVLAMEKPSWLPPEPLRGAAVKTAIYLQKRKAGAER MIM_RS18310 MSVNKNSFKHALAQKQHQLGLWVSLCNAYSAEIVAGAGFDWLLL DTEHSPNDVSGVLAQLQSAAAYPVSAAVRPSWNDAIQQKRFLDIGAQTLLIPYVQNAE EAARAVAGMRYPPRGNRGVGGTMRASHFGRNKNYMHECESELCLLVQVETQEGLDNLE AITRVDGVDGVFIGPADLSASMGHLGNPGHHEVQSAIEDAIRLVRALGKAPGTLTLDT QLARHYMECGSLFTAVGMDVALLAKAADGLVGAFS MIM_RS18315 MLSKQTHQKAMRLLLDAEASVTPVTQLDVTFPGLDIADAYEIQK LVIADKIANGAVLKGHKIGLTSRAMQSTLGIDEPDYGHLLDNMFYYDGDRIPADRFIV PRVEVELAFVLGKQLRGPNVSLFDVLDATAFVQPSLELVDGRTQYPRRIVDNIADNAA SAAVVLGGRVVRPMDIDLRWASALLYKNGTIEESGVSAAVLGHPAMGVVWLANKIAEF GGVLEKGHVILAGSFTRTVTVAKGDVIHADFGTLGSISVSFT MIM_RS18320 MKIVSFVIKNKARFGIVIDQEVVDLTDVLGPDARTVNELLGSGK LAAFGHSLPDDAPRYRLDELHFLPPVPDPGKIICVGVNYHHRNEEYKDGTAAPAYPSV FPRFASSITGHGQPLICPVEESQQLDYEGEIAIVIGKRGRRIAEQDALSHIAGLTCLN EGSVRDWLRHGKFNVTQGKNFDRSGSAGPWLVTSDEFSSYDNLEIITRVNGEERQRDN TANLMFSFAFLIHYISKWTTLEPGDVISTGTPTGAGIRFDPPKFLREGDVVEVEAPAI GILKNTIKNG MIM_RS18325 MPDYLPFDPNPRAPNPKPPASSCDSQFHVFGPATQYPVRAGAAY EMPTATIDAALRLHRTLGIERGVIVQATTYGADHQVVLDALQAAGPGYRGCANALVLL DGTDNELEKLDAAGIKGARFNRQGLGVSMSEKEFAHAIARIRELGWYAKFQPEATGIM DQLPMFQSLDIPVMLDHMGRPDPRLGTADPSLNAVLELLKKGNVWVMLSLTEKVSRDG APWDDVVPIAQAYIESAPDRIVWGSDWPHPVSVKQPPNEGDLVEQLYRYAPSDALLKK ILVDNPAAFFGFDK MIM_RS18330 MNITKRKSIYAEGFSHKNPIPAASQIGSMVFSGSIQGTDPATGA YGSTIEEQSRLMFDHVKRICHAAGLEPTDIIKMHVWMNDRSQRAALNKEWLALFPDPE SRPARHTMQASLDGGKLLECDFIAVKGGSHA MIM_RS18335 MQVQKMIVALSILLTATAYASDWPAKPVSIIVPYPPGGNVDVAA RLIAPGLAKAFGKPFIVENKAGAGGMIAGEYVAKAKPDGYTFFMAANGPLLYSPIIFN RDAYHWDRDFEAVTSISMTPMVLQVRPDLPVKTVSELIDYAKQHPGKLNMASPGAGTS NHLMSELLLARTGAKWMTVHYKGNAPAITDLLGGQVDFSFDQMSVALPYLKDGKLRPL AVTSQKRLASLPDVPTLSESGISDAVAYTFTGLMAPKGTPADILEKLSTATTAVLKDP AIIERFETLGAEAQSMTPEEFKAYLKSEDDRWVPIIKNANISVN MIM_RS18340 MKILADSMSPEQTYKLLTGIVVPRPIAWVSTLSASGLINVAPFS CYTIVSNMPPMIGINIGRKAGIRKDTATNILGNNRFVVNIADETLLEPLHQSAAEYPP EVSEVQLLKLDTLPGESMATPRLADAPISMECQLHSVTPYGDTGAEFFVGEIKVLHIR DSLLNNGKIETTNLRPICRLGGPNYATLGEVVTLPAVLQTPKSVL MIM_RS18345 MNADEREDNSGAQPGSQTVSRAMQILRLVACGQEHGVRLTDIVN ISGLNRPTARRILKTLLQEQAVEQDPLTRRYLIGSELTLLGLARRRRFPLLSAAPTCL NYLAQSIGDTAFLSIRHRLDSICIARQTGHHPIQVLSIDVGARRPLGAGVSGVAILSC LSQEELQPIVTANAKRLAQGALTPEQLVQRVQIARDLGYAYVQNGVMSGTSAVAVPVV DAAGHPRAALTITAMSNRLEPSRLSYVVEQMNGQAEQLSRHADLNAN MIM_RS18350 MTSSTDISVRILYEWNETASQTASFRPAASAVALIDWTILPPPV DESVPQPVISAVAETAVALGAVAFRLFFKENLPNNLTIFPAPRAWVGLRAVDRMRRTW PVDIATAMTPEATAEMFSQDWHLQGQTGLVLRGYSLSDESMNRLRRARDWRDQAFPAD AKLLIAPAVDGEGVLLAAGHQCELEEAVEGVVSRLVNAGVNVTVDGAA MIM_RS23245 MSSNHQCRDQAIRDTHEAAAFNQWLANEIQEALDDPRPSVPQDE VLAGMQARIAATTALARS MIM_RS18360 MLANTQMFGLDLAFPDVCLTPPTIPIPYPNIAMGMMAIPICFNI LKCFAPAHNMMTFTPISLGDIPGVIGGVVSHTVMSLKRDLTGAFTVLLRGLPATRWGS ITLQNTFNMVGIRAVPSQPKVFVLAA MIM_RS18365 MITLVELRELSRIGDSLTDQVIDFDLDSEDLSGMTFQEVTFKDI SMKGANLAEAVFLQCRFEQCNLGSGNLKLALFTECHLFNCNIENSTLDATQFNESTLI NCNFEHITSENAVFRMCNMQGSRLLFDSNVLTLMDSVELSGVDMGGNVLEQFTFMHCD LAQLAWPDSSFDRVVFFECQMDGVDLAGQHMQACQFIDSSLKAARFLHAQLPQCSFKG CQLQQADFRNAIATNALFPQADLTGADFSGARLHQTLWPESCLANCNFSRTLLDMSVF HKANCENANFSHSDLSFSDFTEANLSGIIWIKTTFSRTRLHRALVTDSSLKKQAGVIE KDPELFEAELFSAHLQPGANWS MIM_RS22260 MKIIKPFYLGTLTRPFSMHRQHRLGVAVFAVADYSRDEEQPRLD PDHLLWRDLLPQLDCEGMIDQFIPKPQAEYLVSGFATTEFAQQDNQCAVRVQVGDLEK NLMVSGQRYWLNGRLTAAEPFERIEITWENAFGGASFAENTAGKGADTVTVDNVSTRL APNIELPSARMGTQDSKVEPASFGPIPLMRPSRFALGGAYSEEWLKKDFPGFFPDLDP TIFNAASPDQRWTESDCLPESAPFTIWNMTPGQPYWQGVLPDWKARCFVIKSTRSRED ELQAAHDRRVAGSSAHGQHQDLRGQTISASNPVSDFGEITDVNEEHEAFIEISLRATT AWFLPHEKKVILIYHGSLDIAEDDAADIKCIMPALEKPGQQRDTAWYQRVMGQRRDPE HGAMYAFQDSELVPQDLLNPLDFLDMDVTRLARWQKAIVRKDQIISEQRTFIAKSGYD PDEYLPIVMGPERQYSVQDVPQLMQALKALPAQAEKIKAEKRAEARKHSLAPELTEDI LENRIKAPPGPPRPIADQIDDQMPGDLEQIRHQYKDELEAWQEHQPREFAEISAHADA GPNAQIDHDSSLKAGRQHALDKAMETEEFQTANRRYRERAEEIRDMPEFAALERLKRM EPLNRKMYLYSVQMQEGVARVSPHRSEQIRTQVQQRYDADKDLSMMDLTGADLSGMQL QGANLHGAFMEAADLSGADLSGCNLSEAVLARSTLDGCNLSHANLDNANLSVIQAKGT RFEGASLDTSIIEQSTFFECDFSHARLSQLLLNKIRFQKCAFVDAELFQCIYTECEFT ENALGARMTRTTFLQSQLKRNRYAGAFLDSSHFVQSALQGEVFGLGRFLNVAMVHHTT LQECSFAHAQFRQCNLRGLQLDGQDFTHADMSMTDFSESSLKGSTLSKMTARDAMFVR TDLRHAQGEDANLMQATMTGANLENAAFSKANFFRANLGRARLDETTELDQAYTTQAN LYPLRDLQGEDA MIM_RS18380 MNEFMPRRENRWLTLLVTFCGLLPLLFGIPMLALGLYYLLQGEL TGAIGILVGAALALLIFFFGVFPQMRLDWRQHYLRANGIATKGEILESEFSGTLINNL PQYRLLIRYIHPNTGQEQIAKTMLVVNYAAAASLSPGASVPLKVSRDRPDHIAIA MIM_RS18385 MDMPSQIEFRPIVAHTPLEGVLAFRQMSGTEALSSLFEFEVELV AESYSLDLQQLLGKSLTIEIESPAGSRYLDGQITKCVMVGRENSSSRYYIYRATVRPW LWYLTQTSDNKIFQNKTAPDVIREVLSDYAFPFDIKLNGTYRNWEYCVQYQETDFAFI SRLMEHEGIYYYFQHKNGSHTLVITDDIATHEPQPGYASVPYYGPDRLAHPQEEYVSG WEVAAQITPDGYATTDYDFTKPRASLEAASRSSGGAQAGNLEMFEWQGGYQDPNHGEQ YSRMRLEELQSVREQIAGVTNARGIAPGCTFTLKNHPRQSENREYLVVSVNYRMSVAG YASGTGMEDFYEETFTALPSSLQYRAARRTPIPHTHGPQTAAVVGPPGEQLWTDQYGR IKVQFHWDRYGKKNENSSCWVRVSSPWAGGGFGGLQLPRINDEVVVDFIGGCPDRPLV LGRVYNANNMPPVELPANASQSGFRSQSVHGDPSMANWLLFEDKLGAEMAHMKAQLNM LLEINNDCDHNIGNNHKTTVGGCQHVTVQQETHITRQGITNEQLNSAVRREINANYDT IINGVLNSEHTGDLIDQRTGEVIKNQMGSITDTVEGNITRELTGNKTSTQTGDLTSTI NGMIDEKLNGNHNRTVVGDTNTTYQGNTTTTTTGKRTGTVNGGSMDTVFGIRQTYTVG AAVTGAIVAASGFGINSTFTGVNVAAHGFTGTLAGYDWKTAALGTRTTGVDLELKGAD TNIKGILNSIKAVDNEVGTVINDIAATRQEIDGLGQKVAGARLRVGGITLRGTGLDLT A MIM_RS18390 MSGSYDLKRSGEQYMFNLKAGNGQIILTGERYAAKAGALGGIES VRKNSSSDERYLRKTAKDGSPFFTLSAANGEVLGHSEMYSSTAARDNGIESCKTNGPT ATVKDNT MIM_RS18395 MADRLAALMAHFPVNAQVFNTGALCGFNTVPSDGVNGQLHLVRS GSVQVQYDNQTLQVDRPSLLLFPRPRTHHFVTNPARGADMVCAHLAFEGGAGNPIASA LPNLVCLPLDEIAGAEPVLALLFEEAFEQRCGRVALIERLFEVVMIQVLRQLMESGQV HVGLLSGMSHARLRNALVAMHEAPAQEWTLDELASVAGMSRSVFAATFRETVGVTPGQ YLQGWRVRLAQKALRRGRPLKMIAAEVGYGSEAALSRAFKAQSGQSPREWKAGYR MIM_RS18400 MSIEKILYTATATATGGREGHATSSDNVLDVQLSTPRELGGAGG PGTNPEQLFAAGYSACFLGALKFVASKQKIALPADTTVTGQVGIGQIPTGFGIQAALT IAAPGIDRDTLQGLVDQAHVVCPYSNATRGNIDVTLVLAD MIM_RS18405 MHPYVALLRAVNVGGTGKLPMSELKAMCIAQGFVAVQTYIASGN VVFSSELPKAKVKAALEKQLHAYAGKAVTVIVRTAQEMSDLLNANPFTQHPQNRTLVI FLDEAPPADAISNARDLKDEQISLGKREIYVAYGDDMGRSKLKIPAAANGTARNMNTV VKLASMLADKDE MIM_RS18410 MNEPLLNIQGLNIRFGDKQVVHDVTFDVSAGEKIALVGESGSGK SVIALSMLKLIAGASIAGQIVLDGVDLVAMSERDLSAVRGRDISVIFQEPMTALNPLY TVGEQIAEVYRVKHGLGARQAWGKAVERLAETDIPDPARRARAYPHQLSGGQRQRAMI AMALASEPRVLLADEPTTALDVTLRVQILALLDQLQRKRNMAVLLITHDLNLVRRFAQ RVIVLQAGRIVEVGEVSEVFTAPRHTYTQKLLDSIPVRDVIEVTAGQEQPEVVLDARG VSVSYRLSATTLREVFKPRHFSATQDISLVLRRGRTLGIIGESGSGKSTLAQAVLGLL PFQGNITIAGSTWHAARQQGFEALKALRRKIQVVFQDPYASLSPRMSVQRVVGEALSF HEPSLSTQVVRERVLTVLGEVGLTETLFPNLLERYPHEFSGGQRQRIAIARALITHPD ILVLDEPTSALDVTIQRQVLSLLQALQKRLGISFLLITHDMDVVHAMAHDVIVMKDGS SVEYGSVLDVMRSPQHDYTRKLIQSSEVNAKDIALISTEEDTARESVFLRVA MIM_RS18415 MSVTRSSINKGSRPTVRDVARLAGVSVGTVSRVVNEVNNVTPLT RSRVKEAMVALNWKPSMLAQNMREKTSRMIGFVFSDLDNPLFSSMIKGAESVLTRAGY QLIVGSSNESPQQECRLVELFGQRQADGLIFTITDETNPDVLASLSLANFPVVMIERD VSVQIAGKVVADHYEGTLQATRYLLDLGHRRVALITGGHSNFVGRDRLLGYLQAHRDC GIEPDPALIRTSERVADAAFGGRQMQLLFALNSAPTAVLALGRRLLRGVLSACRAADI RIPQDMSLITTNDSELAELVQPAVTVVRYSAFELGCEAAHMLLHRLGTVGEWNASTIV VPTELVVRESCARPQQEPGWTGPHDD MIM_RS18420 MNQKRHGLFSLRTLSSLALIAALFGSTPLQAQQTPARGGTLTAI AQPEPNVLTNAFNTSFSIGVVASNVLEGLLSFDEKQQLQPSLATSWHVSEDGKTITFK LREGVKWHDGKPFTSADVQFSAMQVWKKTHPRSRNTFAAIESVDTPDEHTVVFHLTHP SQVVFSSLNAIEGQVLPKHLYEGTNILDNPYNLKPVGTGPFVFKEWNKGQYILLERNP DYWDAGKPYLDKLIFKFIPDAGSRAAALETGEALYAPFDPVPLSDIQRIKTNPNLAVS LDGYAWQSAYVFLEFNLRNPILQKPEVRHAIAHAINRQALVDTVWYGLGKPATGPIPS SLKNFYTADDVPQYHFDPKRAEQLLDAAGYPRKENGVRFTLTQEYQNFHEAFKNNAEF IRQSLKRVGIEVEIRNRDIPGHLKAVYTDHNFDINTGRWVPTLDPQVGGFRHYWSKSI APGVAWTNASGYSNPKMDQIIESIQTEADSNKRTELFHRFQRLAQQDLPVIPLFEQAN FTVYNKSVHGLNKAPDGALSSLKTLWLEP MIM_RS18425 MSQEFENKVVLITGGSQGIGLASARLFAQEGAKVGIIARSRDSL DKAVHILAEEGSHVVAVSANLLDANESERALDEIESQLGPVDILVNSAGAAKRHTPES LTPERWHSTFDAKFYPNLHTLHALLQRWTDRGRNRSDKDEQVGVAVNIIGTGGKQPTS SHLAGGAANAALMLTTVGLAAHYAPYGIRINGINPGFTLTGRIEQALTLESERRGISR EQAFAEGEAQIPLGRYGRPEEIAQVALFLASPRSSYVVGAIIPVHGGAGPVI MIM_RS18430 MNSSLFTYIARRLLQLVPIVFLIILVTFTLLRLAPGDLADVIAG ESGSATPEYMAQLRSQFNLDLPATTVFYNYLGNLLHFDLGFSFRHGMAVADLIVDRVG PTLLLMLTSITLATVIGVTLGVLSARYRGSLLDEAISTISTLGFATPVFWVGLVLIVV FSVNLRWLPSSGMTSIGGPPITSWAGIIELLRHLILPASTLAFFYLSIYVRVTRSAML EVYGLDFVRTARAKGLSESRVAIRHVLRNALLPVVTLTGLQLASLLGGSIVIETIFAW PGLGRLAYDAVFNRDINLLMGVFLFSSLLVVFMNLFVDILYAILDPRIAGRRS MIM_RS18435 MLIVLAALLASLIYPQDPLDIVAPANLWPGTPGHYLGTDMMGRD MGSGLMHAAGVSLAVGFFAALLSVTVGIALGVLAGYFGGWIDDVLMRLTEMFQTFPSF LFAVVLVVILEPSIYSIIFAIGITAWPQIARLVRAEALRVRNAEFVTAARTIGLPNYR IVLSHVLPNSLAPVVVTTSVLMAHAILTEASLSFLGLGDPGVISWGSMIGMGRSTLRT AWYMTALPGVAIFITVISLMLLGNGLNDLFNPRVQANRK MIM_RS18440 MSLPIHPEASELQHRAQQQREDLAAAHRLAVWHDLTEGIYNHLT AIVPGHPDRFYVPPFGLHWSEIQASQLLTVDFSGRILEGEGILQRSAYCIHAPVHAAH SKHAVVLHTHMAYTSALVRLQDQKLQPLGQTEALLIDQIAYDDHYDGLARDCAEGERL AAVLGEKTILFMANHGVLVTGKTVAEAYDRLYCLERYARVHLHALWTGLPTKVLTPEQ VAKVQHQINNTALHSADASTYKPAYQLHFEALRRLLDKREPDYAS MIM_RS18445 MNAIESITYEQDRSASISNEHLSLVSSTLPALLAELAENAAQRD RDRTLPYDEIRRLTSLGFAAARVPAEYGGNDIDILEQAKLFIQLAQADPNIAQALQPH ACGLEKIRIYGTPTQRAHYFSLVLQGAIITNASAERGSAIVGDIKVRLSRDDDAWTLN GDKHYCTGSLYASHFYILALRDDGQRSIALVPRDRPGVEVLDDWNGMGQRTTASGTVR LRQVSVAENELLLLPAAGTVRTYEGAYAQLLHAAIDCGIALAAFADATEYGRNRARPV PEAGVSRSSDDPYVQQAVGEMAALAHGAQAMVERAAAVLQAVVPDALSHRADETRLSN ASIAVAEAKMAATHASLRVSEMLYQVGGASATTRIWNFDRHWRNARTHTTHDPVAYKA KAVGNYYLNGTLPPINTKI MIM_RS18450 MTNTTLPLPSLPGQSPLGQALEQPLLLGLFLPIHNGGWTMSTLP RDTDWTFDYNAKLTRQAEQLGFDLAFGPAHWLSKGGFGGETKYREQSLDAFIATCALA AVTQRILLVSTIHILYGPWHPLHLAKFGATLDHIAKGRWGLNIVTGFRKDEWAMFGQQ QIDHDTRYQIADEYVDILSKLWSSNENLDYESNRWQLKQAFITPKPQYGRPILVSATS SPAGIASAVRHSDLIFITSPTGAQFEKAIGALPQLNASVKEHAVRQGRDVRTLINPMI ICRETEQEARQVYQSILAKADQGAIDGFFHSHATGDSRSWRGHERDERTVGGNIQLVG TPEQIVEQLLQLKNAGCDGIQICFFDYEPELTYFGERVIPLLEQAGLRKPIAQSLA MIM_RS18455 MTHASAIVALAIEPTTLHNWLSDNQEIALIDVSEAGQFGEQHLF FATNFPYSRLEPALLDKVPRNDTRLVFTSTDGVVAQLAASRAIELGYNHVHWLAGGTQ QWQQENYKTFQGVNVPSKAFSEYVEHAFSTGSITAEALMHAQQSGQDLILLDSRTVQE HRKFHIPGAISCPGGEIVTRFHDVVTSPDTLVVVTCAGRTRGIIGAQSLIDAGVTNRV VALSGGTQGWRLAGLDLYYGPGQETQPASTSAQESARAKARQLEERSSLARINSETLH AWQADQTHTTYIFDIRSRDEFEAGHLAGATWAEGVQLIQCFDEYAVVRHARVVIVDSD GSRATLVAHWLHRLGAQVVLFSPADENASSTSPDVDTAAVARDWEGTPSINAQTAWEW ATQGAVLLDARSSERYLQSHAPGALWINRSAIGSTIIEKIEAAGRAIVLADDDTVARL LVQTLLTHLKETVVPGQLAVVEDGFDAWQTAGLALENAPVDLDKHVRIDYLFWLHDRH DGNLDASAAYLQWEADLPTQIGPTSEAGFRLP MIM_RS18460 MTRICIYGAGAIGSHFAVRLAQCPGVSLSLIARGEHLVTIQNNG LTLETDSGNVHATISQAVGHARNLPPQDIVIVGLKATDIADHAQHIGSLLTDNGIAVF INNGIPWWWGYGLDAALTRAAAESNADTPTLALLDPDGALWSHIRPERVIGGVVYSPN EIVKPGHVRHRGQTRILLGEPAPQAVAKSTRLSALIKLLNSAGLTATAAKDIRASVWE KLLVNIANNTICALTRLDNQARMRSPDLFNLGRTLQAEIRAVAAAMGWSVPPAVESRP TVETAAPAPGHRPSMLQDVLRGRALEVDALVGQPLQFARQFGIATPVLTLVHTLLSGL DCSVKQQAARAPDHA MIM_RS18465 MSYTTTHLIPNELLQRITAGAAERDAKRDLPFALIQELKASGFG HQRLSGTYGGEGATLVHMFSAAFQLAEADPNVAHIWRNHHVVMERLLNTPSQHPLLNK LRARVIAGDMIGQANTEINHPQIGGNVPFFSRLVKNNGKYELNGKKFYSTGALYSDWI YAPVSLEDDRRVIVILPKNRKGIETIDDWTGMGQRLTGSGTTIFEHVHVDSDEILFPE DIPQQQGQFGSTIAQLYLTSIVAGIVSAITRDAAELLGKRHRNFYYAPDSAGARDPLL LAAMGEQQAAAFAVHAIVQAAAAVADKAYIALIAQDSQAGDFLQDAAAAAAKAKITVD RIAQHTASALFDIAGASATLAHHNLDRHWRNIRTLSSHNPITHKAYALGNLSINGVPL PTQGFF MIM_RS18470 MTSSINSTLFTLPVPASNANKSDFNTLSELCSAAQANLPKPIWD YLAGGAGTEATLRRNRASLDTIGLRGRVLRDVRHIDTQTTLLGLPLAFPFFPSPIGSL GLIHTAGAAAVAEAATSMGTTQFFGINSEIAIDNVVLHATRPLVLQLYLRGDLAWARS IIDRAVTLGFHALCITVDVPVIARRDRDLINGFVALDQQARPNLQATQSGPDSVVPDW QLIESLVKHSSLPVIIKGIQTLEDARLAESVGAKAVYLSNHGGRQLDYAASGIEMLSE IAPKLNGSIEIYVDGGFQNGSDIVKAIALGARAIGLGKMQGYALAAGGAAGLQQMLRL LHEELATTMALVGATRLDQLGPQVLRPLSNIQNEITTQFAAGV MIM_RS18475 MKKQLGRFILTLAAVSTSLFVQAPASAATPVRGGSLQAIVQPEP TALTSSFQNTFPNLIVSANIFDGLLTYDKDSKPQPGLAERWEVTDDGLTMTFHLRHGV KWHDGKPFTAADVKFSLLEVWKKLHTRGRVTFAPVTSVDTPDEYTVVLHLAHPSIVIL SALNAVESQIIPKHIYEGTDFNKNPANIHPIGTGAFRFKQWNKGQYIELERNPDYWDS GKPYLDSLIFRIIPDAGSRAVALETGEVQYAPYDAVPHSDVKRLEQTGRLKVTTDGFS WQAQNVFLEFNLRNPILNHLKVRQAIAHAIDVKDLINTVWYGFGKPATGMIPSSLTDF YTTKHVPQYPYDPRRAEALLDAAGYPRKENGIRFSLKLDYQPFNEAYKFHSEYLRQKL KAVGIDVTVRNQDLPTFIKRIYTDYDFDINTGQISPYLDPQIGGIRHYWSKSIAKGVP WTNASNYSNPKADEIIERIQISNNPQERFRLFHDLQRIGMEDLPVLPLFEIQHFTLYN NALQGVGAEPDAAISSLKNLWIAP MIM_RS18480 MTAYLFSLVALAMMAGITGLALNIQWGMAGLVNFGLFGFYMIGA YVCALLTTQLGWSPWLVMPVTVLLTVAVSALVCLISVRLADDYFAIVTLAFAESVKLI INNEEWLTRGSIGIPNIPRPVASDTGLLALAAIMLVVVFVAFEVIARSPLGRTARALR DDPLVAQSVGKNVLGVRLRFFALGGAALGIAGCLHAFYYRYIDPSQFSVAMTASAFML VILAGRGSHRGVLLSSLTVVLLLEGTRFLDDYISWLAPHQLAALRLILIGLILILLLI FKPQGFSKEYRFIRNR MIM_RS18485 MQILFNGLITGLLVALPALAVALTFSVLRFANFAIGAMITSGAY LVYAFNVVLGLPLWISGIIAVVLSAGLAVIVDLLAFRPLRNRSAVTLMVASMGVSFIL ENIIRFVAGNTSVGYDVALSRPWRWAGLRINVEQVQVLIICLQVLLSVWMLFRWSRLG RAMRAVADNAALAASRGVSQQYVISITWALAGGLAALSGMLIGLDATVDPQMGWTYAL PAFAAAILGGLTHPLAAIPGALVMGVLSEAATLVLPSHYRSLVAFVVMSLLLAMRPTG LFGKRWLTR MIM_RS18490 MSAALIVSGLRAGYGGKPVLHGVDLAVAQGSALTIVGPNGSGKS TLLKAIAGQIPVQAGTIAMGDTDLTRMSAQGRTRAGLVFVPQEGNVFRNMTVRENLRL GWDFHHRGAAGRLSVKLDAVLQLFPEIKPHMHTPAGLLSGGQRQMVAVASAMMLDPRV LVLDEPSAGLSPRNARLLFEIIDRIRQTGLTLLMIEQNVQLGLSVAEQGLVLVMGHVR HRAPASELAQDTSLAALFLGASGSHDAPATTVFE MIM_RS18495 MTAHRGTQDPTGLYARDIRLSYGGLQVLNGISLDIERGQVTGIV GPNGAGKSSLFNVLAGSAEPDHGSVWLGQRDITRLPVHERARAGIARTFQLARELDSL TVLENLLLAWPDQGSDALWRTFLQRDSIRKTQEQAVEHALALLERTRLTRLADSPAGG LSGGQKKLLELCRALMLDAPIILLDEPAAGVNPALMNELAGFISDLRGEGKTFAVVEH NMDLIAALCDTVYVLADGAVLTQGTFAEVVVDSRVQDAYLGVTL MIM_RS18500 MSRFLRYGRRLLPGLVLASLTGVAANSFIAPAVAQEAAPPIRIG VLVPLSGAGGAYGPGMADAAKRAADFINNEAGGVLNGRKLEIVVADSETNPTAGVAAA RKLLDVSKVSAITGLWSSSVALAVKPLTLERGVPLLVTGTGDEVTQGDNKGLVWRFQA RGSDWGRVFARAAYLDGARTASVMVQQAPAWTIMVDPFVTEFRKLGGKIVDVVHYNPG QSSYRAEVEKVFSTEPDAVFLPSYLPELSAIAREVFRSGFTSKIYSNSSAADAEGAFI KNVGAEVAEGINHIQSIPPAESTAYKTFSQHTNTPENTLAIFPSNMWDEVSVLALAIE KSGSTDPAVFSKSILDVVNGPGTVVENPVDGLKTLRAGKPISYSGAGAQFKFTPTGDQ LHRSYGRFIIKDGANHQQGLIE MIM_RS18505 MPRISERPVGELSPALQALFPRYTGNGAHFADQFQVLSHVQPAA ENLFDMLLTLKQQQNIPSRYVELAIVVVSQLNRCLYCVENHNPRLQVEGLTIDDPQAL IDGTAKGQLTETDQLVVDYAIAVTQAAERIPEALFDRLRREFTEAQIVELTLRISLCG FFNRFNQALQIGESSTAAHPV MIM_RS18510 MTKEIRLNAFLMNCVGHLAPGQWTNPEDTSSDYLNAQYWVDLAK LLERGLFDTLFLGDVTGVYDVDGGSAVSALTSAAQTPMNDPFPLIPLMAHATRHLGFG VTASVAYDPPYLLARRFTTLDHLTKGRVAWNVVTSYLESGARSLGQESLLDHDERYDR ADEYLDLCYRFWESSWDEAAVLRDAQAGTYADAARIHTVNHDGRYFRSHGIFQCEPSP QRTPLIFQAGGSQRGGQFAARHAECVFVGAPTREGVRRSVAQLESQLQAAGRGRDDVR IFAMFTVIVEETSALARQQHQAYLARADLAGARTLLSGWTGIDLSRYNLDDELVYVDT NAGQSALASFSKLDPSRTWTLRDALEFVALGGRGPVVVGDANEVADELQAWVNDTGLD GFNLAYIEMPRTFRNIVDYLVPELQRRGAYKRQYADGVLRQKLLSTGPYRSTVSL MIM_RS18515 MEYMIQSFEKVNEFKFGMTQEQVAQVSGPADEEYYDDYTKTMEE TRADCILEYPDGGQLAAISILKKGKPVINGISVFEKGGFEKLCALEEPVSGIGEAYLL FRKLGLCLGGYAKKRIPEGKILIVFSKDRAAFYETFIDV MIM_RS18520 MKPSALPWSPDWSVTRIPGLQTWNLAMNRTVNATTPLGDKLKFK SLKGHEQLSDLFEWTVEFVSDSPGLVLEDLLGKTISLEVETSAAPRYMHGVITAFKLV HRETQTRRYYIYEATVRPWLWYSTQVTDNRIFQDQTAVQIITQVLQAYDYPIENRLVG QYRKWGYSVQFQESDFNFISRLMEHEGIYYWFRHEKDQHVLVLMDDAHSHAPLPVMPD IPFYPDDTRSVPLEEYIRDWQIAGELTPTTYSTMDYDFQKPQAEMSARRWVKNQNTQG LDLDWYDPMGGYVDSADSDHYARVHLESMQCLQEQAWAVSNVRNLAPGYTFSLKYYPN SEENKSYLILRAEYDFRDPSYASAGGVQENATFVIRSQHIPASVQFRAPRSTPAPRMS GPQTATVVGPDGQEIWTDKYGRIKVQFHWDRQGAMDENSSCWLRVSSPWAGGGFGGVQ IPRVREEVVVDFINGDVDRPIAVGRVYNASNMPPVSLPENATQSGFLTRTKNGTPENA NKMLFEDSQGNELLSMVAEKDMNTHVKNNQQHDVVGNAVSSIGGLRSHTAHSTSSITM ASGAVKSYQSNHNRTVQASLDDSVGGNLEQTLSDGVDETITGAHSHTVSGAASHQLLG LHVNTTTQDVETVNGTVTETVSADETTNVTSSSELEAADITLETPSLYKESTKQDINI NAGGSLDIQSTGPGIIQTPTDIEKESPANLEAAVMLDNNTVNREDKYILKLNLDAMSD VMVSGAKTDRNIADLAFYGMGGTMQLANVGIYGASIQMGSSDQKMGLASLSITGLELD KGFKIKTMGAGGRSGYKGKHRAAGGGRGPRGGKGGRHRAGNKGDPKKPHADCKECRGR VGGSIGLDVGDERFSHLDFVLPGVFPLEWNRTYRSNMTAKDGQGELGPRWITAFTLVV LPLETGGYEYISEVGRAVSVIELEPGQEWYDRTEELIWRRPDVDTLEVSQKYQRTETF ERSGDVFRLKTIADRAGNQAQLIYDAENGFLVRVETAIQTVHLDHDAHGRITTIWHEV TGDDGTPLRRTLARYQYDEAHDLVAAVDQYERTHTYAYQNHLITRYSDKTGRGINLEW DGDHPQAKCVREYRDDGSNLLRFRWDESESKTYVTDALSATTVYTFDAHNYIIHIDFA DGTRQSRIRDEFHNIVEVRYPDDSFEKYEYDEFDNILKLTRADHTTVGWEYDQYSQIT KIVDPGGNIWTRDYDQFGNMIRETDPKGHTSEFQYTAEGLLIQSVNPAGGVSTLSYNP AGLLIRYSDCSNKTRRWEYDLLGRTVKQTDPCGNAESYEYNRYGFINEVRRPDGSVLT LDFDEEGRLLSFIDPIDNLTVYAYDGAGRLARKTDPLKQDFHYNYDKKGRLASLQDEN GAQFTFQFDPVDRLIRTVGFDGKVKKYNYDKPTGLLFSMEDADRETHFEYDVMGQLLK RRAGHLVDSFEYDISNRIIRAHNEYCDQHFEYDVLNNPIRETHIYNAFGQNREYVWEN EFDELSNRLTTRRPSGEKVSWLRYGAGHVHGILLDDQEVLSFERDNSHRAVRKRQSNS LLAVTNYDVMGRVKEQKLNLAQGNRTNLRSRQYAYGLDGSLVAIEDSRHGTTTYRYDA LDRLVSATAFNETELFAFDPASNLVDRDKTERSKPANTFPKNVSKVLGNILKRCAGMH FEYDAQGNLIRKRKPDSLQEFEWDEFGRLRKTVNTDLNSKHVSEAEYIYDTFDRRIGK VNQQETSGAAVTFYGWDGHHLAFEESTVGDAVDKTHYLYEENSFVPLIQYQYSADNTI AEGRNHLSVSHYQCDHIGTPQLLTDDNGHIVWEGRYSALGKQLDSIGGDAGVAGGQNN LCYQGQYYDRESGLHYNRFRYYDPDIGRFIQQDPIGLFGDSNFYTYAPNTANWIDPFG LMKEILRSTWNSIRNNYYKGMGGEVNHVPAYASYKGLEGAPSRGRGPAFWMEKADHRS MATTGGSRRARNARNTQRNFILNKQWDKAIATDIDDLNKKFPGKYDEDVMHMLDEHQR QGNITSEQNQELKDRLNDRPGVC MIM_RS18525 MKVITSGGPPLTDEHLQEMVYNGVPIMRIALTGRDLRNWDLSGV VFENVDFSGANLEGARLDECLFSDCCFDGAILNECYANSAFFNSCSLENTQWRQAMLA SAKWQECDVQGAVFDAAILSECVFNDCHLDRAQLRGAQAQEMTCVKCTADATNFSAAN LFKATLMEMDLRTAVFKDAVLVNVIWLLSDLSQQDLRGLDLQRGVFTEARLDGCNLTQ CNLTQAAFKSASLQQADLSGVAGRFAMFADADLTHARCSGAQFPQSVFVDVVAQAADF SDCNLSLSTWLRAMARQARFDRTHLDHTDFSYADLYQASFTDAHMNRTRLHRAITDDL NLKRRQGALEKDPQLFEAEMWSETQRIALES MIM_RS18530 MRISKPFCLSLQVRPYRWRGQTQIGLSASVLIDLSKGNPVLQSE PAMWQCVAEHLGSEGILDMGFPKPAAEFLVSGYAYTAHQQDKTRCRVRVQVNDRIKEL NVFGDRTFLDNRISAPQPFERMNIGWENAYGGPAFENNPTGKGNVEEIINGVRARRMP NVESPHAPIHSLRDRIEPAGLGAQHIAWPARFGKVGNYSEQWKQTDAPGFFPDMDPGI FNAAMADQVFQNQTCLPDNTHFRVWNMHPDKPVWEGIVPAWQARCLVELQKDKDSAPQ IHDVELVPTTLWLVPHQEQYLLVFHGVVPCWYDDGEDIRHVLGALEWKYSAKSHEHYH QYMVLREDRDESALLVYEDNDLLPENLETASFVPKPDLNGAMWEKQSRLQQYMTHYAR TELTDLGLDANQYLPEFVGPRPQADLSNLRQRQQEREVESRQRREELNRIKAAAKRFK LSGGRDREMPALLGETNVMGQIDAIRTHEMPTQRPDTEPKALETLMQQIRDAQSSDAN RQVIERQRRRGHILSAHYRGGVFVLNEVAARQLRHEVVQILETDRNFEGRDLSGADLR GLVFDNCNMTQVILTRADMTGTRFVNCNMDESSLYNGIYGDTRFEQCTFTLANLSRTG FYKTVFEACVFNRVILDESRFQYCDLSRSTFGECVLKEVTLGHVILRETVIDATVLLN CTLDEVGAHEATLHKSALYECDLNDTVFADCSLVRCAIALCKLERVSFTQSLMSTFTL ATPEPVVDCDFSQTQISDSSMRDIHFLRPNFKLATIRNTDCSKSIFESANCSGLETPD GVFMRSQFSDTNFTAANLTGTIFRKSRFIKTNFSKVNFFRADLGETDIDTDSLHHGNY TREVQLEPTKRGQPA MIM_RS18535 MNRLFQLHGLPDDVLMHALKGREVLSDLFEFKLQILSPTPALNV KALLGAQVSVEIEQTQAPRYLGGLITHMQRIGRTSHPPRYYIYEAVLRPWLWFATQTR DYRIFQEKSVVDILQEVLGEYGFPLELRLLERYRKWTYCVQYGETDFDFLSRLMEHEG IYYWFEHEAGKHTLVIADESGAHKAVRGLADIPYYSHTTLINPLEEYIHLWQPRRSLT STGFAATDYDLNKPQARLDVKQRAAQKDMQGLDLERYEPIGHYTEPEDGERYARVRMQ ALQVPRHTINAQGNARALAPGHTFALNHHPDSAQDRDYLIVQAEYDFVQAPYHSVAMQ TRDSAASQLPENATAAAWDRTLAVPIDQARLDIRIQAIPASTQYRKEVTTPVPQTSGP QTARVVGPDGESIWTDHYGRIKVQFHWDRYGQKNEQSSCWIRVSSPWAGGGFGGLQIP RVNEEVIIDFIGGHPDRPLCVGRVYNAENMPPVNLPDDATKSGFHTRSKDGSPDMANQ VMFEDNPGSELLNMIAQKDMATRVKNNSNHLVGAMSTTNILGTHAWNYGGLFNKLVTG DTTYQHESSHCFSVAGNSTDTVTQRQSRSVTGVNTQTVGGAESLTVSGEPALHSYNQG LVRTIHSHKTDTITGSTIRNYSAGEKTTVSGSYAKQVAGGETVLKGDNFKVDAGGNYS LTASGSFEAYGLSKVSIKAGGQTRETTIMQSVNPIVSHVQDINFAIGVNLLRSSASDS SNTNVILKLGAGGLYDSAQMASIKLVGAQVKLVNNSVAMNLASIAITGVNMSVGSTTR PHIFRFEL MIM_RS18540 MLGSTQLMGLDLAFPDVCLTPPVLLPIPYPDMGLPMMAIPIAVT ILFTGGFAHNMMTTTPITLGDFPGVGTGVLSHTVVAPSRRLILNSYTTFLFGTPANRL CCIGPQNWINTIGFSLIPGTLAVLFLAP MIM_RS18545 MGKLITATTVKGTSHFLFRQLQGQESLGSLFEYTVDLVAENPRE DLEALLGSPLTVTVNAESAKRHINGIITDAQFIGRESETSAYYIYRFSVRPWLWYLTQ TSDCRIFQDQSAMAIIRQVLAKYPFDVEYKLLESYRNWQYCVQFRESDFQFISRLMQM EGIYYWFRHEDGKHTLVLADDAAVHEPVAGASEIPYVSPDRLAIADFAHIKEWNPSRE IKPSAYATVDFDFNKPQASLDVRRKGPSPVARDLEIYEPIGGYAELEDGEHYARVGLQ AQAAQKVRIQGRADQPLLATGATFKLKDHPEQAQNQAYLLVQTRYLLKEAPGTSSGGA PVWEHTIQVDFTAMPAAVQYRCLRTTPVPRAAGPQTAIVTGPAGEKIWTDQYGRIKVQ FHWDREGQRNEQSSCWVRVSSPWAGGGFGGVQIPRVNDEVVINFVGGFLDRPIAVGRV YNASNMPAINLPDDATQSGVKTRSKDGGPDNANHMLFEDRPGQEKLSFGAEKDMDTHV KNNENLSVCAAQTGSHGGTTDMSVGGTDDNIFKGDSVETNGADHIRTITGLSNEIVNG PRKHRVGGSATTTMGRGLVRSVNGGLATLNYNAGRSRCVDTDYSHTAISHVKRTVNGS ETSNVATGYFKEAKGGHLSMKAGAVGMTANGGNAQLNAKTEIRIEAGGNQSLSNPATL DHTCLNHTEKHNFSFKAKLMNNSTANIATSNGILNISLYGLSSTMASTTMRMSAIGVV GSPMHEDTDALRVEISGFKFKKYGVGNEPYAAHILLAGLINRLL MIM_RS18550 MTLQKSVMLTLRPLERTDLRFVHGIDNDAQIMRYWFEEPFETFT ELSELYDQHIHDQRERRFICENPEGEAVGLVELVDINYIHRRAEFQIIIAPEWQSRGY AQEATRLAINYAFRVLNLHKIYLAVDVENKSAIHIYEKCGFEVEGRMREEFYSDGDYH DALRMSIVRRGDS MIM_RS18555 MYSGLPLPDERIYAPYKIRALVELLEEQDIAPSQSLAGSGVSLK DLKDPYALTSIRQFMAVCMNALSLSTDSATSFKLGSRLRVSSYGMYGYALLSCLSIRD YFRLAIKYRRLATPPMDIAWSEQDDLAIWTFPDVFVLNPSQSLRQFLLEQQFSVHVTH LQDVAGTHCPPISASFTYEAPQSAAMYEQYLNCPCFFGQPRCELVYARAVLDQKPMMA HELTSALMQETCDRLIGQAKIGAGTSGSVYRILMEQPGAFMGMEEVANVLHLTSRTLR RHLKEEGTTFQAIVDDVRSTLAREYLSSTKMSILDIAMSLGFSDAAAFRKALKRWTGK GPAQFRR MIM_RS18560 MSLSYFIVFGAYLVFLTWTCVKSMKQVESLSDFTTGGHRMGLLL GVGTSVATWVSVASVMGVPGQLYRTGIAAIIGWVAGWFLATAVMPILAYKVRRPELPA RTFPEFIRLRFEPFQRISGLQLIVAVLMLVGYFIFCHLQVVGFGIVFNTITGIPYEYA IFGFLILLALTSLGGFWSVAATDTLNAVLILVGLAFGTGAILYATGGIGPILDAVATT TAPVNVGGEPLEPGILLSPAGTFGWSVLLGIFMSNAIGASVAPHWINRFMAPKNSKAA VLQMMWTVIALIPIFLCLIIIGLGAKALLPSLPVDKTTDYIMPLIVQDYAPPFVGALT LIALLAAAVSTANSMLLNCGTSLYYDLYRSLYPERQFDDERATRHLRYAVLALGVLSV LSAIKPPLLLAMGFTYVYGAFGAAFMWPVWLGLFWRRMNRAGAYAGIIVGIIGFITAK AMGADNPFVIGAGLSLIATLAGVFLSPPPPKEAYEAYFEPEVSPETRAVTLRIRRESD QVHDVTSTPTLEAKGKA MIM_RS18565 MRVAIVGAGSLGTIIGALMNREGRPVDLVDTNQAHVNALNDLGA RITGEMDLIVPVQALTPQQMNGTYDIVFLLSKQTANQAVLSHLLNHLHTDSIVCTLQN GIPEPSVAAVVGQDRTLGGAVGFGATWIGPGVSQLTTTADAVSRFAFEIGEMDGTMRP RLQIVQDYLACVGRTELLADLMGIRWSKVLMNATFSGMSAALGCTFGEVLDDPRALLC VAFLADETVSAAHAAGHRMAPMQGEDFEQFALPSPADVQTVLPLIRTIWSQHRQLRAS MLQDLEKGRDTEIDYINGIVCSTGREHGVPTPFNDRVVELVTEAQTARALPNFSNIAR FDDLLSPYQSMMGNNG MIM_RS18570 MAKQRTIITCAVTGAIHTPTMSDALPYTPQDIAAQAVAAAEAGA SILHLHARRPHDGGVTIDPDAFAAFLPTISQATDAVINISTGGSLTNTIEERITPALR FSPEMCSMNMGSMNFSFHPLARRYDTWKFDWERDYVAGSDHNIFRNTFADIENAATQL AQHNIKFEHECYDVGHLYNLRFCMDIGLFKAPVFIQFIFGILGGIGPEVDNLIFMKRT ADRLFGNDYRWSVLGAGGVQMPLATAASQMGGNVRVGLEDSLSISRGKLAESNAQQVA KIRRIIEELGCEVASPAEAREILGLKGADKVLF MIM_RS18575 MKKVGFTGGALVVELGYSRDMLFFFDCLKFVCERQYPERNWILL TERLFKYYVRRNEVDETNELMQEAYDIFSKTSTKSVDFSEIIQDSWASELNISLKTLS DVFSRYFSAFFECYESAKLMYDEFKSYPDYQYEPIRIVLTSMPEHLDDMHRELIQYDA LAREDSPFWLDSEGQ MIM_RS18580 MSVYPIPYDFINKIIELSWCDIRWGYEREIITLDIPINEAERRV LSGSYTDRELELSFVIPGQSDEVISLLKLLCSECEMDDESTAKQKWLFIVLRWLWENR HSFNDPLNEIAGIYADFSYPAEIEGFVNYMPPSDGYDPVIHTETENINRLMDNWRYYL ERTSSVFER MIM_RS18585 MLVKEKLERFAYLLELGGYLDWSEKIGDLAKRYEYEPEYVRRTL LNLYGGMGSLNDLLIYRNGQILITETEEFGQLRVDIFNVIS MIM_RS18590 MKYREISAEELPDLLESIEGCDADALWLYVCGNGSQTTTMTYEQ RKQYFLSIMHHLMNEGRLKIAYRRQFWEGTIEEQLQRYSDRWPKDERMLDSADFQLTK DSSDGTLYYWAQGGFVWVCDDGFMEWT MIM_RS18595 MNRAKEFFKTVLLFTFVGCWIGGVLFFFQFYILSVFERAINKDL CFEIVFLPFQGAIGIFFVPPAFFTGCIAALLPKKNRVVSVVLTAIVGTISSYEHAIWM SSIGNQEFALVSALLGLAASVACSLYTFRKKE MIM_RS18605 MNKFVAKTRSLVSGTFSLPITFWLWGVAGGVLLDIVGCGGIHYG FLPVYVVASILKFVLFAMVLSGLFFILKKEITLLALIAFFVVLLQVLLGVVKVMGFSV ALYEWFLYDFSLG MIM_RS18610 MSCNDSCVTRSGINTANITITQLEAPQTRTGKSAAETIVEIKTP LTSDAALGYTGLGNSFDKEAVQKEIDLQREVSQEFSPRF MIM_RS18615 MSLVSLMKSSLDSNDDVLYRTFHERNVNYLERENQADKFKIIWN IDDASPSVFKTPDDILLLLEDLESLVQEAFKNNDHEMLNHLKEIVVLCKLCLWNKGEL YLEFSPWGVNLDQYPSEIPEKYRFNISKID MIM_RS18620 MTNDLVWLKKVEQYLLDNGGGDLYCFLEVMYIEKKLNFRQFIFD ASRGLGCVVSEGLEYVLDNDIDDPNEFDNVTFIIGGHESSTLRPQKFVELMQVVSDSY IREYPRDKEFINQSMNMLKKRYQHFNCGE MIM_RS18625 MRIREPDGFSVDIFSIYWFGKETYFYGMPKNYGGLQAYKAEDVA IIDNNIGFKTVFFSKGDAKSVHHWDLIQESLLDDLLELDETAYKRFVDILKSEGQLDD SFY MIM_RS18630 MKEILEFTDDEWQEIIRGIEGSSAAALWDEANDNDRVRNASYED RKQFFLSAMCRLMKEGRVKIASSGVFWDGTIEEQIQRYSDRWPRDESQLDKADFQLIE VDGLLCYWAQGGFVWVYEDGFMEWT MIM_RS18635 MYGKDVSQLTPDEKEMVSSIAGLAGAGLSGAVGGNGQSFVSGGV AGRTAVENNYLTSSQLEGFAQRARNCSGESCKKVIQDMVDTNIQQQEEMMAVCSASPE QCREKYGYLVDQWDAFDTTIKRLDADKTLPGKFRDYMPAVYMLDREAASLTAEYGWTK RLEAMGLDTETAQIVAAALPSMVGMPKGRSAGNGKVGIRQPSPNVKQHKEQIAAGKNP AEAQSPSVSTKSLSSSKLSEAQRQDKKRELFDNFTGGASRTRKAQITIDGKQYTANPE LSKNAPVFDGVPQGKVLQYFKDLAGIEALPTAKPMAAIDVYGNPGIRYTIDKDGLTYN LRSGSSSVDSTGAKWTIEINGLKGHEINGRILNHRRIEVKFR MIM_RS18640 MVGQQQRDQRHQSGINTANIIITQPEAQQTSTGKSAAETIVEIK TPLTSDEALGYTGLGNSFDKEAVQKEIDLQREVSQDFSKYSKRAAGELKTRIASNDAQ FEAGLISEKERDERNATLRNYAWILETVSAGLATPSNSLGGSLVAAASPTIAGEIGKQ FKQTGKEGTAGHYLAHAGLGAIVAAATGNSIAGNALAAAGAEAAAPVAAS MIM_RS18645 MKNVGFKGGSSMFEVGTPAEMEEFFNYLRGKLVSREDLEILDRL YRKYIAYDDLDKVSQLVSSLRSNPALMLDKKYLKNLDAIEHCIESAKVFYKNWGEYVP LKVGVTDVPYYIDDERLPLELYDALTNDDPPFWLR MIM_RS23450 MNKRCYRLRFNQVRGQWMVVADIVVGWGKSHGGRAAKAAGRPGG VNSRRHIAGSSAWLLGNGLPSVNLRPLAQALWLAGATGLLAVLSGKPVQAQIVANKAM PAHTQPVIVTTANGLPQVNIQTPNGAGVSMNNYSQFDVQKRGAILNNSVTSTQTQLAG WIQGNPLLPANSARVIVNQVQSANPSLLNGYIEVAGQRAQVVIANPAGISCDGCGFIQ ADRAVLTTGQANLNPATGALDNYVVRSGSVKIANMDGSQTPYVDVLARAVTVSGALRA QQLDIKAGVNTIAADTGVVTIDAAATDTAKREGANTQGNKEDNRPAAIDVAELGGMYA GQITLLATEQGVGVNNAGHIQAAGNLTVSSDGQVHNRGNLVSDAQLQVQSGSLRNDGT LYGRSQTVATTTGHLQNNSQILSGGNIMLDARGAQGRLELASGSQLAAGLQVPGSADG QPMPSDSASGNRLTPGRSIALQATQQAGLSGTIQVNGDLLAQANKLSVADSKIEVEHI RLDARQGDLQANNAQISANTLALNTPQQLSTEHAHIQAKDLQLNAGSIRNRQGQLVHT GANPFVLKTGELDNRGGLIAATSANLQIRTDSLDNTDGAVLQTAPTAESANLDVTSRR LINTRGTISSNSGHVSLALSDNGVLENQAGNIRSGTGMTLRAGGIDNQAGNIRAGERF ELQLAQNGQGVDNRRGTLTARALDGLSTSTLDNREGRIEVTGENGLWLATTGLFTNQQ GAIVLKGPLTVKAGTVDNRKGLLSSQKDIRVQSDSGLNNEGGQLLSGQTLALDVAGSL NNQNGRLQAKNALQSDSQGLDNRQGKINANAVSVDTVGEVLNNVQGMVRAVQTLHIRS GEVNNDAGLLQAGQALDINTAGHRLINTHSGKDKGVASGGTLQLTVEQLDNQLGFIGA GKAATLIASQLDNRQGRLVGTDSLHVQATDLDNRLGSIGAGKAATLIAGRLDNRQGRL VGTDSLQIQAAALDNREGDVQSVKDMSLKLGSAHLDNRAGLIRAGASLDIHAAAIDNE NTFNGDRTAQQQKGIQADTVSLAGRTLSNRNGFIAATQAVNFTLSDSLNNENGFVTSL GTTRISDPSGALVLNNEQGYISAQNRLLVQSGQLTGQGTLAADQLALNLKGDYHNTHT LIGQSQLDLTTTGDLRNDSKLASGGTLTLNARHIQNAQSGQIQGRQARVNATGTLENQ GLINGQDMRVAADQIRNIGAGRIYGVRLGLQARDILNAAAQNGLSQAGTIAAHERLDI GAQTLSNQNGALIYSGGDAAFGRSLDTDNHATGTASSIVNNGSIIDIAGSATIDAEQL KNVNADYRTELQQVEVIRKIVEFEPIPEDGPYDPRVHKRYGTDLALVIDDDKSMLYFA GPEGHLWGIFGQPESPKVGKRIKWVSGRRGFPHNYYLDDRWIGLAPSYFVSYPRPVFD PSSGRFLESNIVYDLLYKPDDPIWEKTGIAAPDPNVPAPEIEVCSTGPNRYCWWVVNP AMEAYMKNNPSYDQLNELISRYNRDIQGYHEDMYLQFEFDRTIEETRITHSKPGEISI GKNLALTGGTFTNDKSRVLIGGALTGAVQSINNIDDENAIRRITDVGRHRKHNNRDRY EGWIKYKDPREEHITTGVTVVQMGANNPGRTVNIAPVPDQPSPIAVTGAVPVTPVELD ATARQPISEVPLAEPEKTQTGPGTVVRTTIPRLTLPTASLYQIRPQSEGGPLIETDPQ FTQYGNWLTSNYMLDKLNLYPQNTLKLLGDGFYERRLVNEQIGQLTGRRFLDGYDNDE QQYRALMNNALTFAKKFNLVPGIALTAQQMAQLTSDIVWLVEQTVTLPDGTKQQVLAP QVYVKVRKGDLRNDGALIAADTIKLDADTVYNSGTVAGRQLVDITADSIKNMAGGRIN ANKIALTAADDIRVTGGAITAEQALKLQAGRDIEVASTLTHSDSRSGQDRYQYTGIDR LAGLYVTGSQQPGQLQVQAGRNLTLTAAAVANAGKAEGSSTTLQAGNNLALKTLTTSK SDLVVSDARNFVQRATSQEVGTQIASEGDIRMLARNDVSLRAADVTSQQGAVAVQAGR DIRVEAGSSTLSGTLQNYESKSSGLATKTSTIKSDVHRQTLQGSAVSGDTVSMLAGRD LNIVASDVVSDNNTTLVAKNNLRVEAGTESSREHHYRNTTKSGILSGGTLGFTIGSQS STSRMDAEGTMQSQARSSVGSLKGDTRLLAGEQLTGRGSDVLAQGDVLLKGKAVLIDP GKDQRRSKEVHEFEKSGLTIGLEVPVVQAVQLAIRTAEQNGKSKNARVNAMAAANTGW TSYKAGQEVGKMGDAVAQLQAVDAKGAASISGIKIAITVGSQSSRSSTEVEQTQTSGS QVLAQNTVTVLATGAGADSDITVTGSDIAGKKGTTLIADDAINLTAAAQTYKERSKNS SAGGKIGVSAGYENGSAAIGITVGANVGKGSGKGDETRYEYTHVGDRNSQTVLHSGGA TSLKGAQVTGSQVVVKAADLSIESLQDNSTYKGKQVNAQGEVTIGYGASGSGSASKSK ISADYASVNALSGIFARDQGYQIDVAGHTDLTGAVITSSAQAEAAGKNRLTTGTLAAR DVNNYSRVKASSVGIGGEGGFMPGGSTSFQSNMGFGSVSNNDSSVTRSGINTANITIT QPEAQQERTGKSVSDTIVEIKTPLTSDEALGYTGLGNSFDKEAVQKEIDQQREVSQDF SKYSKRAAGELKTRIASNDAQFEAGLITEKERDERNATLRNYAWLLETVSAGLATPSN SLGGSLVAAASPTIAGEIGQQFKQAGKEGTAGHYLAHAGLGAIVAAATGNSIAGNALA AAGAEAAAPVAASWIYGKDVSQLTPDEKEMVSSIAGLAGAGLSGAVGGDSRSLVSGGV VGHTAVENNYLSSAQQAQREKEYSECLTPVCRVSTRVKWTAINLGQDGTFAAGMVAGV PASMYEGMEAFVKMGLSPIETLEAIMSLVMGGDLVGTVADSITQSYIERIERLATEYE RAGAAGSFKAGVETGKLFVDAAALATGGAGLARSGVVLVEKIVAKTLAKKAASVVDVG KNIPDIKQVTDIKQTIESKRPSHRESEIAIGKDLGEGWRPQVSFKDGKEVPYGTKGSV RPDWCLGNVCSIEVKNYDLAKNQQSLVNNVAK MIM_RS18655 MLILFSGLGGGTAQAQTLRDNRLDAQHIQRQQQQQQQFLQSRLP SAEVTPPVSDVPVADRTRYPAETPCFPISSVTLAGDSAGRFAWALDEILARGSATDQL PSPIGQCLGAQGIELLANHVQNRIMAAGYITSRVMVPPQNIGSGQLVLSLLPGRVQGI RFSDTSDIRAHRWNALPVSEGDLLNIRDLEQGLENFRRLPTVQADIQIAPASEPGQSD LIINWQQSRPIRLMATFDDAGSKSTGRYQGNLAFSLDHLFTLNDLFYVSHTRSLGSSA GGARLAKDSTLHYSIPFGYWFFSVNGSSFNYRQPVAGINESYVYSGRSRRLDLTLSRQ AYRDARRKFSVYVKAWARQSRNFIDDAEIAVQHRRMAGWEAGFNHREYIGQATLDLNL SYRRGTGAGNARRAPEEQYDEGTSRPRIWRASVHASVPFAIGQQPIRYTGTWQAQWNK TPLIAQDQFSIGGRYTVRGFSGEYVLMADRGWFLRNELAFPLNRLGLAGHEFYAGVDA GHVSGQHASRLLGRSLVGSVIGLRGSLFSHVQYEFFLGKPISKPRGFKTPSATGGFSI SFSI MIM_RS18660 MRINLTENEIPDASCAYDTFHCFYYNAEVQASGAESLPWLTLRE DARIYFNDNAGVTFDVICNGAGVISSIAFRQKLVPGEYYPEFDNLLPVPAKRLLGAVA HPDIEQYEIESIRPLHLKSTIYGDVILVLMDALLPKNWYPISSSAFVGTDEENNATAF LFFNIDFTPPE MIM_RS18665 MNNDIVARDRKMKLDQILCHPLFPFTDFRTNEVSFKMLERYWAA AAQEALGEETWVQCVPLQAADQDKESWGDPLMLDFWIPGQRRGAKVTLLENTEDLPAC RDVSDKLNCFSSVLIYTSRRGVTGPDDEIDQICFRADMSQVARSTVIQFLNAFLVRGI EVGQVEPDYYDFCTRTGEGPSRLQLQAYYDALDKNDGPE MIM_RS18670 MLVVSLWIIAESTAGSLMPVSQNCVREYPLERASVPSWEIRTVE RVWGEPLSEDLILVGGVDGYGWARACRVSSVAANIFEGEYRDQTMLYRGRFRLETEEG KAAPEDALALFYVSHFSYPHGLILYPVTEGPPPVKTLRLVPIDTDGFKFPSTAD MIM_RS18675 MFRDNNKRAIFRLIAILAFCGSAVVFSLGLYDYGRGSQRLVESV PVCETESCLILPARAAGKLMDIFDNRYTAKGNAENSNTLWLGMATNNLCLLPEKYLSQ YKAGEDLVQVNDVFAAIGLVDITRDKDRWLIKPGRAVDSISDRLRKMADPFFADFSCY KPYFRFYNFLILGLFWVGLYTLRKARALVDQPGK MIM_RS18680 MTSPSSLDQAYSAFDAVNKTDPNAFNWEGEAWPRELFLAEKLTE WVLKLAPNAPEPLKLAARCQHIGRWQIPRRDYPEGRIGYLTWRKALAQHHADMASGIL RDLQYDEPTIERVRVIVLKRGIKQDPDVQVMENALCLVFLQYQFESFRLDNEEKIVSI IQKSLLKMDEAGRQQALTVEYSPAGLAVINAALAGL MIM_RS18685 MMPTRIALDSHFELFIRQQVESGRYNNATEVVHAGLRLLEEQER RNNVKQNELQQSIAIGMQSGDEKDAGDVFGRLQAKYKNQL MIM_RS18690 MPVIVYFLALAVFALTTSEFMVAGMMPSLAHALNVSVSEVGYLI SYYAGGMVIGGPVLMLALLNVSRKRALLVLIGVYTIGQSVAAAATTYEIMVVGRILCG VAASACFGIALAICAQMVAPGKVARSAAIVISGLMFATVFGVPAATALEQAFGWRFVF WLVAAMTAASGVLLAITLPASRVDQRTSALAELVALKNGRLWAAYGTSALIIGATFAA FSYFSPILLNVSGYDASTIPLLLMLYGAATVVGNFIVGRLADRHTMQVLFWGQVILTA ALVAFAILGQLKPVALGALILIGLTGVALNPAMVARVIKSASASPLVNTVHASVINIG LFAGSALGGLGISLDFGMRAPLWVGAGLAVIGVLSVVPLVLKERSGTETVKGVGALQS ECV MIM_RS18695 MSLLSGKTALVTGGSRGIGAAIVRHLAREGASVAFTYSSSGAAA QALAAELDAAKSTSTASSQSAPATLALQVDSLDPVAVQEAVSTTAAHFGKLDILVNNA GIFELKPTGEFTLDDYERQMGVNARAVFAAIQRAAQVMTEGGRIINIGSNLAEKVPSP GMSLYAMSKAAVWGLTKGAARDLGPRGITVNIIQPGSTDTDMNPASGPHAEGQRGLRA IDSFNKPEEIASMAVYLASDAARSITGASLLIDGGANV MIM_RS18700 MQLFQAHGYEGTSMADLTAAMGIPAPSLYNAFGNKEGLFRETVQ YYVCHDGSATARALREQPTARKAIEIMMREALGPATDTRPPQGCLVVLGATNCAEEHR EVDDFLKGLRRGNDEAILQRLCRAQAEKEIPDSVDTAALASFYATLMNGLAIQVRDGV PRAVLEKVIETAMAAWPGQTN MIM_RS18705 MSASTSALANGSVSPGQTFQLALEAQTNGHYDEMLTLLRSAAGA NHLPAQEMLGMALLVGPTLYGDGVKADRCEAGQWIRRALAQGSDVARYQWAFMGRVRQ APAGSAPCDIVAG MIM_RS18710 MGKPLKQIKLGAFLMQTGHHIAAWRHPEAQADAGTNFAHYADLA RRAEAAKFDAIFFADSVGVRSSHLPFLARTARADQLEPLTVLPALAALTERIGLIATA SSTFNEPYNLARKFATLDLISGGRAGWNLVTSSSEAEAQNFNFEQHPAHNERYERAAE FHDVVVGLWESWGENALLRDKASGIFYDTEKLRVLNHKGKHFSVRGPLNVARSPQGRP VVVQAGASEAGRDLAGRTAEVIFVAHQTFDDARSFYSDIKDRVQRHGREADDVKIMPG IFPVVGRTLAEAEEKFEKLQSLIDPVVGVSLLSNMIGADLSGYPVDGPLPDLPATNGG KSRQQLLADLAHRDNLTIRELYLRISGARGHQQVVGTPSQIADQLQQWFEEGGADGFN IMSPWLPGGLDDFIEDVLPELRRRGLFRTEYEGRTLRENLGLKQPRGLL MIM_RS18715 MAQFQFRQRGDDTVTATGSSAASATSSPVHLEAGRASNDLDIIG LGKRYASQQASGGVLQVLDNINLTVPAGQFVSIVGASGCGKSTLLRLILGLDSEFEGQ ILTGGQAVVGTSRERGIVFQDHRLFPWLTVAQNVGVALRNAPFNAAQKRDLIAEHIAL VGLEGFEQSYPHQISGGMAQRVAIARGLVNRPRILLLDEPFGALDALTRSRLQVELQD IWQKEQITMLLVTHDVDEAVFLGDQVVVMQPHPGRIRRTVPVDLPRQRNRSDPRFIQL RDDVLSDFLDFDPNEVDKPARAVTSGPYPRSLADLAMAW MIM_RS18720 MSAVSLSSSSAAVASETGAPLKVRADKRWRGLVLPLAAIALWWL ASRAGVVNSALLVSPGKVLDTAIEQFSTGQVWVALGASLARELTGFAIGTVSGLILGG LLGFLPLFNRMVGPSFNTFKQISLFAWIPLISVWFGLGDVAKVVFLSLAALVPVVVNT SDGIRSTPASLLEVARVYGYTRWQTVTRVVLPAAVPAIFTGIYLALIYSWLATIGAEY LLVAGQGIGNTLIEGSEHFRMDLVIFGMVVIGLVGWLMNALARVFERRIARGRGQL MIM_RS18725 MIQSSSLNTHTAIAAKPASTSADTRNQILKSVGDRLLPWLLPIA LLALWQTGASQGWISPQVLPPPAFVWQTLGDLAANGDLWLNVEASMRRVLLGFVAGAL LGLLLGCAMGLSRRIEAYLLPTFNALVQIPVLGWLPFVLLLVGIGEPLKYILIAKAAL VPVTLNTVQGFRQAPPALREVAEVYGYSRRQEVFEVILPLATTTLFTGLRLGFTKAWL SLVVVELVASSEGLGYLIVYGRQLFQLDLVMAAVIVVGAIGYAIDRLLDFAENRVIRH QATIQGGVR MIM_RS18730 MQFTLFRRRVLALLATVLTVGVVSSLAQAEPLTTVRIGVATAGG GNPISWGGSPGSVARLNGWLEEEFKPDGVKVEWLFFKGAGPAVNEALSNKQIDFAYHG DLPSVVGRANGLKTRILLISGARNNLYVVTPPDSDIKSIEDLKGKRVSIFRGTNGHLV ANNLLNAHGLQERDIKGINLDTGSAQAALASKGVDAAFGGPEFFKLRDEGLVRIAYST QGQDPSFTRQAHLHVRDEFANEHPEAVQRVVDVFVRAADWASDEKNRDALFKLWARSG TPYESYVAEFANQQLAVRNSPLIDDFAIGRYKAVVRDALKLKLIRRDVSVDDWFDPSY LQKSLKKLGLEHRWTRFDVKGQPEPQAQAQGQAQTVASGSGG MIM_RS18735 MNDRLTAAQASRSTAVKATLDYPVIDTDVHVNDYTPALEEYVQQ YGGGELVDALRKVLGSRFNTRSNGKDWYQQTLAERRYNRTLRSPWWARVTRNTLDLAT YTLPALLAERLAEQGADYSVLFPNDVLAPLGAGRHRQALHRAINHYHADQYSKYSDRL TPVAGIPLHTPEEGIEELEFAVKTLGLKVINIAGGVKRPIPSVADKYPAADHPEVAKY AHYTDFYGIDSEYDYDPFWAKVVELGVPVTTHYGSQGWTGRHSISNYMYNHIGHFADG SQAFAKALFFGGVTRRFPGLRVALLEGGADWGAHVFTHLLDRWDKRNREAVHNYNPAN ADLELLENLFQRYGKDLIKDRTLDKSTLLLDSLGVSALPHSRDPNEDEIDDFALAGIE SPEDIKTRWVDSFYFGSESDDRTVASAFNAKLNPLNAKINAIWSSDIGHWDVPDLTEP LAESWDLVEQGVITAADFKALVFDNPYRFYTEANPQFFKGTAIEQKLSSSHTK MIM_RS18740 MSIQSINARNQFRGKIVEIISGPVVSEVDIETPAGPITSVITSR SIEQLGLTVGSEVIAFVKSTDVAVAKL MIM_RS18745 MHIIIPEDYQNCVRNLDCFKKLQEHEVTVYNDSCKDPAELVRRF ASADALVLTRERTVLTEDILRQLPRLKLISQIGKVAGHIDLEACRRQGIAVAQGTGSG TATAELTWALVLASRRHIVSEANRLQQGQWQGRLGQKLQGHRLGVWSYGRIGEQVARY GRAFGMNVWVWGRAGSTDKARADGFEVAPTREVFFAQSDIVSLHIRLNDETRGIVKQA DLARMGAHALIVNTSRAELIETGALQNALANGAPGFAAVDVYEQEPATTSPLINMPNV LCTPHLGYVERDNYENYFGTAFDNINQFFLGKPENLV MIM_RS18750 MKVNEKRRAMLIQGAAAMIGLPFAARVLAAGAGSYPEKPIKIVV PFAPGGPTDLMARAISRPLSESLGQTIVVLNKPGGTGVIALSEVRNAPADGYTLAFPS IQAVTTPALRSDFPFDMTTDFTGVSVVGYISHLLVVNVDTPVNTLHEFIEMVKAKPSA YFYGSSGNGSSGHLAMEMFKDRAGLALEHTPYKGAAPAIQDLLSGRIHAIFLDTTVAL PLLKDHKIKALAVPTAQRSPVVPDVPTIAEQGFPGFEIHPWYGLLARAGTDPAIISKL NDHVKKALTDSRVADTFKSMGIEPGGNSVEAFNQVIGEDLKTWDGIAKKLNLKIQ MIM_RS18755 MSKESLNHAMAAGTAQDLLKARYQQAAFTPDEVNATIETLFNHR SVRAYTDETLKPGTLELLVAAAQSASTSSNLQTWSVVVVQDAQSKDRLAQLAGNQEHV RRCPLFLVWVADLSRFKSLGVKHGVPHDGLTYMEAFLLASIDSALAAQNAAVAAESMG LGVVFIGGMRNHPEEVAKELGLPDYAFATFGMCIGYPDPLKPASVKPRLPQAAVLHKE KYDASALEPAAQSYNATMDDFYKAQGMKNSGPWDLHSLNRLRGPEALTGRDRLVEALK NLGFDLR MIM_RS18760 MLAGPILRRLEPTRLLLWLVGSMPIPFTLQLTPAGETPRSVVLD EASCRVIPIGRHAFVHLIDVSLSEPLPLDTVIAYDLIATLADGAQANIAQWAPHLLHG GATQPTLVLRSRADDILFGSCRKPHHPSGDGLARADDLLAGHIGQADARPAMLMLCGD QIYADDVAGPMLSAIHALIARLGLYGEVLEGAVVADSEALYAHPAGYYRREDLLPAFK SNEALRERFFGGVEKPIFTTTSAHNHLVTLAEVIAMYLLVWSPVPWQIITESPMPALS AEHAHQWRREAGILQDFRRDLPKAARLLAHVQTLMIFDDHDVTDDWNLSAKWASTAYE HPFSRRIVGNALMAYMLCQGWGNRPDVFTAVLDDMNALTATPDPEQRLDAAAQDALIT RLLSFSQWDYVLRTQPTVIVLDTRTRRWHSRRLPSRPSGLMDWESLTDLQHELLDETA AVIVSPTPMFGVKLIEVVQRICTYAGHALTVDAENWMTHRGSASVMLNIFRHSRTPGN YVILSGDVHYSFAYDVQVRDSDRVPHIWQITSSGIKNEFPRRLLNWLDRLNRWLYSPR SPLNWFTQRRHLQIQPRLPDQRSSGERLWNSAGIGQILLDAQGRPARILQHNANGQPS TEFLAPEQDAATKPIAAQTDTGE MIM_RS18765 MYYKKTETSSQIGQRLLSSIRQIFAPLAHDVADSSITLHLFPRA TAGERATAAEGFSWQGQRQFYPASVVKIAYLAAVLAALHEGKITPHDELERAITDMIL WSSNNATNYVIDLLSGTTGDTLLPEAEMKQWCERRQVVNRFFNSLGWPQAQGINVCQK LMDDDRYGRERLFATLNGKNNHNCLSSDFVARLLSEICTGTFQDTSISKDAAKYLKRS LAPDFRALPHAQVNGFLGEHLPENLEYYSKAGWNGWTGDVLSSYNRHDSAHFVLPPAH CKAYSAITVVIFSHGKAVSEDITFLPQIGQALFNILAQY MIM_RS18770 MVSESHSPALLTVDNLEKRFGSRRGLGDLLMGKKAGFLHALKGV SLHVNQGEIVGVVGESGCGKSTLGKCIIGLQKPSSGAVTWSDGRSMDKYSRFERSTRI QMVFQDPYSSLNPRFTIGRVLKEALATHHAAASNKVDRAAEVDRLLGIVGLPLSLKEK FPHALSGGQRQRVSIARALAVNPELIIADEPVSALDASVQAQIINLFEEIRQKLKVAF LFVAHDLNVVKRVSDRVLVMYLGEIVEEGTKEDIFSQPRHPYTRALLSAIPIADPSVR NPSAILEGELPDPHEIIAGCAFSSRCPYVVDRCRQSHPDLTSVSDTQRARCIRLAEI MIM_RS18775 MNVALAPVLQVENLHAGFGQAGRAQMAIEGVTFQVRKGRVFGLV GESGCGKSTTCRSIIRLFGGSPFVIEQGQILFDGMDLAAMDDRQLTQVRGRKISMIFQ DPMTALNPTMRVGDQVGEIVRRNHTGLSSAQVREKVVGLLREVGITSAERRFHAWPHE LSGGLRQRVLIAMALSCAPELLIADEPTTALDVTIQAQVLRLLHELRERVGMSVLLVT HDLGVVAQHCDDMAVMYAGKIVESGSVADIFANPRHPYTRALLNALPANVDPHHKLEP IAGEPPAAGHFPAGCRFHPRCKFAIERCHIESPESENVSSAHACACHRKEESLW MIM_RS18780 MSTIISRWRNGRLAQNYSLWVGLVLFLILLLVAYVVPTVFQIDT SEINFDSSLQGPNLQYLFGTDDLGRQVLWRSIEALKTDLEIVAVCVLIPFVTGSLIGL ISGYVGGWLDTLIMRVVDIVWAFPFYVLVIAIVGSLGPSTQNMYLAFTLVVWISFARI VRGEVLLVKTTEYVQSARVMGYSHFRIIFLHILPNVITPAIVFMMADVVLTILAVTSL GFLGLGIQPPTPELGVMIAEGRNYIFDAWWISVFPGIMIIYIGVTFSLLGDGIDNQLR VKS MIM_RS18785 MIVRFIVLRLVQLIPVLLGITILAFLLLRVMPGDPATLLLGSRG TAEDIAKLQHQLGLDKPLLVQYFQFLLDCLKGDFGTSIAFRTSVGPLIFDRLWVTLAL VAYSTVIAIVLTIPLAFLAALRKNSATDNLIKFLFICFMSMPAFWLGILLVLVFAVYI PVFPVSGLNGGFFGLLHSLFLPALVIALSTAALTVRSLRSSIIAVLNADYIDTAYAKG MSRMQILRRHVFRNSLLSTISVLGVHTSWVIGGTVVIEAVFALPGLGGLMVSSIAARD YPMVQGLTVTFAVLVVLINLAADLAYVAADPRVELS MIM_RS18790 MIRFHSLLKVGVVVACALNVGQAAANQFRLGIAKDLLTLDPIAS SDNPSIWTQLLIYDQLVRPSADGTKIEPGLSDKWEISPDGKTYTFHLRENAKFSDGKP VTADDVIFSLKRAAGEKSGWARFFKPITGYEKVDDNTVKLSLNEPFTPMLNNLALFSA SILPAAQLEQKGEAFFDAPIGSGPFSLNAWQKGQRVSLDKNPNYWQQGKPAVDQASLE IIGDDNARILKLKASELDAAMDIPFNQVAMLEKDSNIKTAVAKVFRTDLVQLNTSKKP FDDIRVRQALNYAVNKQTIIQGVLKGNGEPAASSLPVMAHHNTDIKPYPFDLAKAKQL LAEAGLADGFKATLLIPSGDVTSRQVGLVIQNALSKIGVTIQLQTIESSSQFTTTKAG NYEMSLTFTTSDTIDPDQLIGFTAVNPERANALHTNWKSERVNELYAAERKTPEGAER GKMFQEIEAIVNKEAPFIFLYHQGVPYAYRSNVDGFKVLPTSNYRIEDVKVK MIM_RS18795 MKIRHIEIFHAIRTTGSVSRAAQLLNISQSAVSKTLGHAELQLK FKLFERVKGRLKLTPEAQSLAPEIERMMNQLEHIRSLASNIHQHPAGSLKLGCLPSLG LHLMPQAVSLIRQRYPDINIEITTGHESELIRKLRSREINIAFTFKPDEYPDCLSSTI AKVNMVLAGPRRDQVNDPRQADFRKLITYSAGDPVTRIIEAMAIPYQFTNQVKVETYY VAAAIAEYEDRLAIVDEFTAKFVLKDQSRYIYFDPPITLDLVVIYREQGPPSTLEKQF IGGVRRLVRQEQANGIPTR MIM_RS22710 MCFGGLSYAFCVSGKSGNPSFVIASKPGDPVDQGTCCRLARHVD CEVTWAQWQPDSNTYQLRYFVANGEIDFCGHGTLAAAAWLFQHHQLPSPISLRVLNRI ISVQQNEPGYWSYEQEAFPLVDITESEVITHLLHSLGLTNPDYCTINQVKAYRSSGAV REKLVIAFPDRTYLEAMQINGQSRDHICEALNTTGIYAFCDISDPQAASIAARHFPIY SGDNEDMATGAIAPTVANHISKNKTHSTVNIFQGGKHAQHSRILVSTDRVKNIWHVGG QCLATEYISLQQAFSNIE MIM_RS18805 MNNSAPHPDDLLFRTLGDPTRRAIFERLCRDGEQTVAALTAQAG VSQPAVSKHLALLKQAGLVSDRHEGRQTHYSARRSALAPLVDWTSRMTGFWESRFDDL ETLLQRIDQ MIM_RS18810 MTTTTPEIRSVVVERELAHPPEKVWRALTVPYLIEEWLMKNDFA PTVGHRFKLQGDWGGVLDCEVLTIEQNRVLSYTWNFPNDNPDFDLKSVVTFTLTPIAT GTHLRVEQSGFRPEQKQALGGAKAGWPQFLGKLEQVLARDE MIM_RS18815 MKKTSSFSPQSPCPCGTPSSYEACCGRWHHGTQRLQAPDAQTLM RSRYAAFVLDELDYLLETWHRSTRPASLEPNAPGTQWLGLDVRGHAQQDDDHATVEFV ARSRQNGRAARLHEVSRFVREDGRWFYVDGDFVEKARPAGARRTR MIM_RS18820 MSIKFERVDVSLKKQVIDAMRKAIVEGSFVPGQKLVERELCQWF DVSRSLMREVLQQLEAEALITIVPFRGPMVSEIQLDEAKSIYAVRQALEALAGAGCAQ FASESDIAALQTNLALIAQCQGAADQKALVNAKNEFYNVLLNACGNPVVKEILTGLNN RINSLRRLSMGQPGRLTDTVHELQEIIDAIRNRDAQLASRLCAEHVGKAANIALQVMA KQTEEVSSTKDVATHQ MIM_RS18825 MTKPQLGFIGLGSMGKPMVLNLARAGYAVAVYDANAQAAAQLSS EHIRVMDTPAAVAEHAAIIITMLPTSAIVEEVLTGPEGVFAAMRPGTIIVDMSSGVPD MTKVLAAEAKKRDAQLVDAPVSGGVTRAQTGELSIMYGGSDETLKHIEPILQVMGSSV ARTGDVGTAHAMKALNNLVSAGGFLIGIEAILLGKQGGLDPEVMVDILNASTGMNNST QKKFKQFVLSGKYNAGFGLELMVKDLGIALGLDEGHKAQFSQRCLEIWSDANNTLGKG ADHTELARHVSQSIGVPLT MIM_RS18830 MVHDKFYIDGQWVAPHGAETFTVINPSTESPCATIAMGDATDVD RAVDAAKRALPAFMATSKAQRLDLLRRILVAYRSHYEEFAQLMSLEMGAPITFAREAQ AMRGVAHLTEAISVLEKFDFEWNEGTTRMRLEPIGVCGLITPWNWPINQIVVKLAPAI AAGCTTVLKPSEFSPLSSMLFARVMDEAGVPAGVFNLILGDGLTTGARLAEHSDVAMI SFTGSTRAGIEVARCAAPTVKRVAQELGGKSANILLDDVDLEQAVTKGLAGCFTNSGQ SCSIPTRMLVPRALMAQATDIAARVAADYIVGPADKPDSRLGPVVNKRQFESIQAFIA DAMAEGATLIAGGPGRVAPYDKGYYVRPTVFSDVTPDMRVAREEIFGPVLVMMAYDSV DHAVDIANGTKYGLAGYVQGKDPARVQDVARRLQAGTIHLNYPHADFGAAFGGYKQSG NGREWGKAGLMEYLELKSMVGFHH MIM_RS18835 MLKHTRHWLAAAFIAVVGSANAYPDKAITMIVPYATGGSTDGLA RIVADAMGKSIGTSIIVENIGGVGGVPGVQKFLRAKTDGYTIMLSNMGSFAIAPTLYP HMKFDPKTEMEPLGLVAEVPMVLSVSASSGIKDLPALLKRMRDSSKPRINLGNGGPGG TGHIGAEYFLYLTKTTSEMIPYRGTGPALVDLMAGTVDVVIDQTVAMIPASKGKRIVP LAVASPERIPQMPDTPTFAEGGVPDFDMSVWNAIAAPKGIPHDRAEKLVKALNVALDD PKVKTALDSLGAIAPEGERRGPQEMHRLTLRDLDRFEKLIKDANIPINN MIM_RS18840 MNNELYEKGLKVRREVLGAEFVDKSIASATDFNRIAQEITTTAC WGLCWGNDDLTRRERSLVNLAMISVLNRPHELSLHVKGALRNGLTETEIRGALTHVAI YGGIPAGMDSFRIASQAIKEFREQEAEQSDQ MIM_RS18845 MTIRHMEINLNSQKIGFIGLGRMGLPMMTNLLRSGWQVHVFDTS ADACSAAQALGAIVEQSVQAVADSAETVMLSLPTPAIVESVLSQLVNGKQVSRVIDLS TIGIRAARRAQEIVSKHDIHWIEAPVSGGVTGASAGTLTLMVSCPAVLREAIEPVLRA LGTVMYAGEAPGLAQAAKLANNMLSAAALVASSEAISMAVKAGVEPTALLGIINSSSG RNTATTHKIPNEVLSRRFQVGFANKLSHKDVTLCIEEAASMGIPMPVSVAIREMLAIT TATFGDNADISDVARVLEQWSGITIEDKAA MIM_RS18850 MRTVPFPTPGEILLEEFLQPMNISQHQLAKEIDVSQRCVDEIIA GNRPITVDTGLRLSRYFATSDEFWAGLQLSYDQAMK MIM_RS22270 MKKNFIAPFSFLFALFFCVNALAQYTVESIPNPKAQGHNYYLSD PDSYVSGNTAAELNQISTSIEQNNGSEFAIVVVNDYEGPSDFSFAMDLFSHWKIGKQG SDNGLLLFLAMDRHEYRFISGYGIEGVFPDALLKEIGETYLVPYLKSGNTDMALLATA KAVQSVFLSPAHQLELAGLQAYRPTFWNKHAAAFEQSLGVIILFAIGYGWIRWARERA RKKFMTSKKKYDGHVFWYAFFSYLFLLFLTFFVFVFLEIVDQVYRFNNLPYFVAAFGA LYLFFHYHQAIQFLKKGSKDKQTALQMQIAFVRMSLLPLLLSPFAYKAYFNLARNQKD MRARATPPDSKRPWTRLNRDSLKSADLEQYLGKLHLREEKIDARSYEIWRDDATGATS LVEFAGSESDHYSRCPSCHGNTLKKPAVKVLKRPTYSKTGTGENIQECDFCDYKKSLG MVTLPVLQRSSESGSSSGSGGSSSSSSGSSFGGGSSGGGGAGGRW MIM_RS18860 MKLSLLDQVQIGTGRDSASAIADTIALSRHLDKLGFTRHWIVEH HAVPYEACVDPMVLACALAAATTNIRIGVGGVLLNNYSPYKVAESAQTLAALYPGRFD LGLGQSVSGPLPDLALQPDRSRPLLHDQGDKIHELLGHLFADLPADHAFARLKVMPDV APVLPWVMAVSPASAARAGVLGLPLALSAFHKPELAVASAASYRSSFQASRRKGLPQS PQLFLAIRLSTGVDQNQAERLAMPMRWCFDQRRRLDTMPDRLPSVEEAVTLAGGVWPA ETAEWPMYTICSLRDLRDRLHTMAHAVDCDEIMLQDVLPDPDMRLAHYTAIAQALAN MIM_RS18865 MNKRAFMRTAALVVCLLTSGGSFAKTTKAAVSYVTAPFNVPSII MREKGYLDEAFAAHGVTLTHPEITSGAAQTQALAAGQLQIASVLGGTSAILANANGAD VVVMGAYARAPKAYFIMAAANGPADIKSLKGKKVAGPKGTVLNQLLAAALASEKLTLN DIEYINMDLPTARAALLAGRVDAVTLAGANATQVESAGGHVIVNGEGLIAPTTVIGTS RAFANANPQLLKAYFQAHLKALEFMRTHPDEALAIAAKDQDISLDEARKQYALYDFDP KMTEADVANLQADQAFMVSADMLEASSKIDIRKDLILPSAFDIK MIM_RS18870 MPELRDIRHGYVLPNGTRREVLQGIDLKLDKGGFYVLLGRSGCG KSTLLRLMAGLEIPDAGQFEHAGESVGVVFQEPRLMPWLTVAQNAGFLLGSKLAGHEI DLRVSRALKMVGLHDVRDAWPNQLSGGMAQRVAIARALVVQPALLLMDEPFGALDAFT RKQMQDDLVRIWRELGHTIVFVTHDLEEAVRLGQTILVIDQGCFTLRLDLDAAYPRTG AEPAINSARQRLLDRLFACPNSNS MIM_RS18875 MRFFYSSVVFLSVLIAWFVTSSLGLVSAFLLPSPQRVWSTFITL LSSGKLVQHIGVSLGRVGAGYAIAVLLALVTSLAMVNWKALARLLDPPMEFVRQIPPL ALMPLLMLWLGIGETQKIGIIILACFFPIFLSFRGGFAQVDPKLIDVGRAAGFSRLQL MRRIAIPAALPAMFVGLRVGLGYGWRALVGAELIASAAGLGYMILDAQDLARTDIVLV GVLVIGIIGLLADSGLKALVRWRFPWLRQEMELPHA MIM_RS18880 MDIPNSIQFRSIVAHTPLGGSLGFRQMNGSEGLSQLFDFDVELI ADNYSLDLKSLLGKPLTLEIETLAGSRFLNGQVTRFELIGRENASSRYYIYKATVKPW LWYLTQTSDNKIFQQKTVPDVIKEVLGEYGYPFEMKLSGSYRNWEYCVQYQETDFAFI SRLMEHEGIYYYFKHENGQHTLVMTDDISSHKATPGYDSIPYYGPDRLGKPQEEYVSM WEVVAQITPDGYATTDYDFTKPGASLDSVSKRSGGSQNGNLEMFEWQGGFQEPDHGEQ YSRVRLQELQSIQEQVRGIANARGIAAGYTFNLKNHPRSTENKEYLVVSVNYRMSVAG YSTGTDSEDFYEESFIALPASIQYRAPRITPIPRTHGPQTARVVGPEGEEIWTDKYGR IKVQFHWDRYGKKNENSSCWVRVSSPWAGGGFGGLQLPRIKDEVVVDFIGGCPDRPIV LGRVYNANNMPPVELPGKASISGFRSQSVFGDTSTTNLMYFDDTLGAELVALRSQMNM ITKCLAQLDMDIGADLRACIGGSSHTLIHGSEFKKVMKERHDHVVEKTKLHYEGGLEK TVSAVTKEKYKNRSITSDKVKEKQGEYHLERTGKTNVKMKKASYYIDGDADIYIKGKA NLVVEGDWTADANPEGPEGPDEPGTPTDPTLLSLCCPK MIM_RS18885 MARYQGTYTDIYKKKATYNVINGKETKTVTGESTQNYKSGMTLT INSGKLEIRAQTFLDTAKVASWDIDTSHGSKAGSLSMSIYAANVNVFGFFMVKALWLA WASGTIVTSNGVALWVNANPIQVLYTVGSLSGFTEVEKTKTGKKTKASGIRMVTVKSE HVKSNKSNVS MIM_RS18890 MALYKGTFENKYEANVTTTFEQKENVTVNGTSTLTYKNGLLIDA SGQTFTTNDQTFTRNGDTVTRQYSHSFSLGVANFGAAASNISSANITATHARTRKTEV AGLYTLVYGLFLNPSPFKATNGKATYPYFLCAVDVYVLNKEKCETRERNENNKGYSYS GLTVLW MIM_RS18900 MKIIKPFRAGLLTRPFQWRGQTKLAFGVYIHVCRDRHGQFLGLD QEIWSDILPLLDSGGVLDQVMPKAYPEYLISGAAYTDHQDNKTQCMVKVQVGTLEKTL KVTGDRFWVNNSPTAAKPFTGMPLDWSHAFGGQQFAYNPEGKGIDEQIINGIKTVSLP NIEDPLRPIQSKSDRPPPASFGPIGLTHPQRINKQGTYSDTWYKYDFPGFLPDMDPTI FNMAAEDQQWTHLEQLPLGDAFRIWNMNTDTPCWEDSIANLQARVTVLARNEKNQQYI RDVENMQASTLWLLPDTKSYIMMFHGSMDILDSEADDVEMAMAAIETTDEPRSADYYE QVLRWRIDPKEAMYHLDKDEELIPASLMRALEQPDPAVDKNRLGRRLDMYMQQQHAQT KAWFDQNGLDYQSLIPEFVGPPENPMDIDDNPDRWEKIAEDAKLQTIALMREREEPEI DRYIAELQNMENVDLKTWKVARSGPPDLDFVDQIEHSSNMWWPDTQTTSPKNNESEEI KESLRKSYLYSAHYQQTVAPLKPQKSLTLRAEIIRRYQQNEPLACLDFTGADLSHLDL QGADFSGSFLESANFENANITDADFSEAVLVRSRFNRVTMKSVNFTRANLAESVITHS KLEECNFDSTELMKISISNSSVTRSKFVNILSDYLDSADSTYRECRFETCMSSHFSLK NVLFNLCQIEKWAFLDCQLENTIFESSTLKDASITTSSMKSCEFVKSHLDNLLIEDDT QLIKCKFVGSRLKECTFINMTITENTFTYSDISESDFSKSRVTYSNFDHAIARDAIFH KTDLTGSSFKNANLIEASLEKANLSGVDFEGATLFRTNVSKVHIDNDTKLSGAYKDQL ELYPVYRENEQHLFRLFANE MIM_RS18905 MNEDIFQRYIKESSPCSDEVFAGLKIDGMDLSGMTFDKVDFSGC HFINCDLREVTFSNCNFTGAEFTSSDLSDSSFLTSTLSGTLVSSCTLIDSIFNESNMT QAKLENCKIEDTVFQKVIFCNGLLSKSEAVRCVFHEADLSGCSFIGADLDKIIFYEID LRQTNLESELFNQVMLIDCDMREMDFSNKRLVACQFSEGRLQGANFTGANVKGSVFAQ CVLSDAQMNGLYAEETVFTQATLTNASCQGAQLNKTVWSEAILTNTDFSRSNLEYSIF ERSRCEGTVFTSCNLAYANFDYAIIENANFQDANRTHIRAHGATGRATGFPGNTDDVA LRRAELHSVRHKNPYF MIM_RS22275 MYNITSLGGGFIGFPDFCKTPPTMLPIPYPNFGTHLLAPNPCFR ILFCCAPVHNKGTKKAISFGDQPGVAGGIASQIFMSKTGHLANYSNTYKLKNKPSVRL SGIEKSNRRNVIVFDAIPSIQFKNLCLAA MIM_RS18915 MQGNPFSSVSMLIAVCIALLAQIATLVLAAYIYFKPLSYEYFDY LPWLQLSLIPCGVLCIRMSGEQNRQLERIMQYGVDGTAKVLTIEPLGAGDEVMQYYTF TVKADFQSEKIEIVDAITIETYKDISAMDVVPIRYIPNTSEVIILFDHLNQQKN MIM_RS18920 MVDSSLDTKRSFMLRLMLLRHAKSNSIPGVADLQRPLTERGYRE AELVGQYLVSQQLLPELAIVSSATRTQQTWTTVSAAFKTPIRKITEERIYEASVDSIV QVIRNIEPGPRVVLVIGHNPGLYLTTEYLSGEGDEDAFERLEMGFPPASLTVMDFDVD AWGQVGEKGGRLLRFETPETMMG MIM_RS23260 MRTEKSKALRVLVIENDVALSKMLCEYLESRGYCVQLAHSGYQA SRLMRRRSHHLALLDADMQDVVSLDWLKAYRRQSTNPLILLTGSQTDSVTGLKSGADD CLAKPLCMDELEVRMHALLRRHRTEEVAPSSLPSRPFLRSGSYRAAVYRSASYRGSTF DDAVMTDAIVAAGGEVLATGPLSLNPATGVTKLHGNAVVLTGAEQRILEVLMRSAGQV VQRENIGAFALGRIPSSYDRSIDTHISSLRKKLGAGTQRSRLLIRNLRGQGYLLATDE AAN MIM_RS18935 MLRVIPVSKREPARKTPARQIAAVCAFSLGATLLQAAYAQTASE DTGVASLNPIVVTASGFEQDIIDAPASISVIPRDKLEKGAYRDLTDALRDVPGVIMTP SDNNTSDITLRGMSANYTLILVDGKRMSTRETQTNGSTGTDQSWVPPLEAIERIEVVR GPMSSLYGSDAMGGVVNVITRKIPKKWGGSVRLDSTLQQHSASGNNFQGNFYIAGPIK EDLLGFSLYGVYSHRSEDDIIEGYNRHTNRGVTAKLALTPNRDHDIVLEAGASRQDYR STPGKTLALTEEESRRQFNRKHFSLTHDGRWSFGTSNTYVQREETENLAREMTIRNTT ASTKWSMPLFERHIATVGAFYSLEDLKDTTTNKMSDRSTVDRWQYAFFVEDEWQITDS FALTGGLRMDNEKTSGVHWSPRLYAVWHLNDNWTIKGGVSTGFRAPSLRQTLPDWGAT SRGGNMYGNPDLKPEKTLTKEIGLIYNDDSGLMAGITLFDNEFTDKITRVPCPECGPV NAQGRSAITYVNVDDAITRGVEATLTAPLNEKLSLTSSYTYTYSKQKSGQYAGNPLNQ MPEHMFNLGLDWKPTDKLNGWMKVTYRGKESDPTQGISASTTMAPSATYVDLGGSYDV NKSVTVYAGIYNIFDKQVRYDDYGYVEDGRRYWLGMNVKF MIM_RS18940 MKTTRHTKNWLAMVQTTLAIGVISLAGQAMAQTDQITVQHAKGQ ATVNAQPAKTVVFDLPTLDIMQVLGVQAVGVPKARFPDSLSAYNADSMPKVGTLFEPD PHAVKEVSPELIIVGGRSRAKFDDMAKLAPTLDLSVDTQAPLKSIERNTQTLAAIYNK EPEAQAALKELNDAVAGLQAKAATAGNAMLILAVGEKTSAFGPGSRFGMIYDVFGFVP NDAVKNLSAKDRHPVKLDDIAKANPDWLFVIDRNAATGKQGKPTRQLLENPVIRDTAA AKNNRIVYLDPYNWYIMGSAGLTSMQQNIDQIAAALNAK MIM_RS18945 MKVDPGDLNAFVAVARAKGFRSGARKSGSSASAYSEAVRRLEAQ LGVRLLNRTTRSVVPTEAGQSLLARLGPVLAEMEAALDVVNVFRERPAGTLRLNVPVS ASRLVLADIVPGFLAAYPDIQLEIFSEESFVDVIAAGCDAGIRYEERLEQDMIAVPIG PRTQRFAAAAAPAYLERCGRPKHPRDLLNHACLRMRFSSGAMPPWEFEREGEKLRVEP VGPLIVQAGGGTDLAVDAAIAGSGVIFLFEDWLRPHLQSGALVPVLKSWWVSFSGPFL YYPGRRLVPAPLRAFIDFVKADGEQR MIM_RS18950 MTFPEQSNTYSLGELTVNRLGYGAMQLAGPGVFGPPKDHQAAIA VLQHAVASGVNHIDTSDFYGPHITNQLIREALSPYHDDLVIVTKIGAVRGNDASWNPA ISREALTQAVHDNLRNLGLDVLDVVNFRCMVSPHGPAEGSIEAPLTVLAELQQQGLVS HIGLSNVTPAQIAEGRRICDIVCVQNHYNLAHREDDTLIDDLARANIAYVPFFPLGGF TPLQSATLSEVAARLNATPMQVALAWLLQRSPNILLIPGTSSIAHLQENLAADQLVLS EEAIRELDRMADGR MIM_RS18955 MATLADSGLVTATRRGNQKHYQANQHSPIFGELHAIVQKTIGVA EPIRNALAPLAPQITAAFIYGSIARKTDTATSDVDLMLLSDSVSYGKVYAALEDASHV IGRPVNPTILTQAEFTKRISAKESFLTRVLDQPKIWIIGHDDALPV MIM_RS18960 MTRSVPLYAMALGLTISGAAVAGTYTVDRYDDDAEKGSLRWAIE QSNANPGDKNEILIKAVGSAPFVIKLNSALPAIKSPVSLIGTQWAKTGEYITVDGSNY IKGEGAKACPGANPQQYGTNVRTMSLPGLVLQDVNNVTIKGLDVRRFCIGVLINRSSH NLIEHNRLSQNYGGAGIMITGDDGKGEPTATTTNNNKVLHNLFQDNGDGLELTRGAAF NLIANNHFVSTAANPEPSQGIEILWGNDNTIVGNKFENYSDGLQINWGKRNYIAYNEL VNNSIGFNLTGDGNVFDGNKVHGNRIGVAIRSEKDAHARITLTKNQIWDNGKDIKRCE AGGSCVPDQRLGAIIFDVPALEHDGFVGSRGGGVVIEPAKLQKTCKNPDEQGCNAYPN QGVTAPVLAVQNGKIAVEINGSADQRYRIEFFGNRDRHSNEAEQYLGSVVAHTNEKGI AQLTWESEKTGIGSITATVTDSNGATSELSRPVRVN MIM_RS18965 MSNWTFQQLHETPSQTGGPYVHIGLLPEQADINVFEYNFDNQLV TDKTQGQRIRLEGQVFDGLGIPLRDVLVEIWQADANGVYPAKADTQGKVADDAFRGWG RTGADFNTGFWHFDTIKPGSVPGRKGTTQAPHIALVIFARGINIGLHTRVYFDDEAEA NAKDPVLNSIEWVRRRDTLIATRQERDGEVVYRFDIRIQGEGETVFFDI MIM_RS18970 MTQIIQGAYAQRNTDDHPPAYTPGYKTSVLRSPNNALISIAQTL TEVTAPRFSADWFGPKDNDLILNHAKDGLPIGERIIIHGYVRDQFGRPVKNALLEVWQ ANASGRYRHPNDQYIGTLDPNFGGCGRMLTDENGFYVFRTIKPGPYPWRNRINEWRPA HIHFSLIADGWSQRLISQLYFEGDTLIDSCPILKTVPTHEQRQALIALEDKSNFIEAD SRCYRFDIHLRGRRATYFENDLI MIM_RS18975 MPSSDNVIQNSSIDAQTLINDAPLSRFQWFIAIICFLIVFVDGI DTAAMGFIAPALAQDWGVDRSQLGPVMSAALGGMIFGALLSGPAADRYGRKLVLILSM VVFGGFSLASAYAGSLDTLVILRFLTGVGLGAAMPNATTLFSEYCPDRVRSLLVTCMF CGYNLGMATGGFISGWMIPAYGWHSLFLLGGWAPLILTVLVIFVLPESYRFLIVKGGN AEKVRRILSRIAPERVKGATQFHVPEEKSQGANKNSLAMLFSAKYAKGTLLLWLTYFM GLVVIYLLTSWLPTLMRETGASMERATFIGGLFQFGGVVSALFVGWAMDRFNPNRVIA GFYFVAGVFAFAVGQSLGNPTLLAILILCAGIAINGAQSAMPALSARFYQTQCRATGV AWMSGIGRFGAVFGAWVGAILLGNNWTFTDILSMLLIPATAAAVAVFVKSLVSHTDAT MIM_RS18980 MSRLYASLFYQKDVTDIFSDRSLLKYLMTTEIALAKAQALVGVI PQAAAQGIEQVCTTQGLEKLNENALATAGGLAGNIAIPFVKQLTALVKASDEDASRYV HWGATSQDVLDTACILQCRDALVIIQAQLEQAYDTALAQAKVYRHQIMMGRTWLQQAL PITFGHKLARWASVFRRDLNRIEAMRARVLTAQLGGAVGSLASLNDQGTAVVAAFEAQ LNLTEPLCTWHGERDRIVEIASVLGLVVGNLGKMARDWSLLMQTEVGEVFEPMGEGRG GSSTMPHKRNPVAAASVLAAANRVPVLISSLYQSMVQEHERSLGGWHAEWLSLPEIFQ LCSGALERTLDVLQGMQVNPENMQRNLECTNGLVMAEAVMMRLAPTLGRLNAHHLVES ACKKAVDEQRHLKDVIAELDDIRHQFTGEQISDMFRPESYIGNIQDQIDAVLNEAGRQ PG MIM_RS18985 MSYTKLTRDQIAERVARDIPDGAYVNLGIGLPTKIASYLPQDKD VFLHSENGLLAFGPPPPAGQEDPELINAGKEFVTMLDGGSFFHHGDSFAMMRGGHLDI CVLGAFQVAANGDLANWHTGAPDSIPAVGGAMDLAVGAKQVFVTTDHNTKTGEPKIVG ELSYPATGLKCVDRIYTDLCVIDVTPDGLSVIEIVEGLSFEQLQSLTGALLIDATQS MIM_RS18990 MIDKTAASLADVLSQIRDEATIMIGGFGSAGQPAELIDGLIDLG VRNLTIVNNNAGNGDHGLAKLLKTGAVRKMICSFPRQTDSWVFDELYRAGKIELELVP QGNLACRIQAAGMGLGAVYTPTGFGTLLAEGKESRNIKGKDYVLEYPITADFALIKAY KGDRWGNLVYRKSARNFGPIMAMAGAVTIAQVSEVVELGALDPEHVVTPGIFVTHVVQ VPCAPAAARRAA MIM_RS23265 MANPLFILWILDEPILPERYFIWLIQLSFPSGNTLSLGDKSMIC SIIAQKAKKVAGKRV MIM_RS18995 MALRQNRLIENPQNKKRIRHEDFVAGISKGLAILDSFGSERHRL NISQAAEKTGMTRAAARRHLLTLEYLGYLESDGHFFYLSPKVLRFSGSYIGGATLPKV SQPLLNLLTNQTSLIYSVMVLDGYEAITIARSAAMQQEDRVNPYGLTLGNRLPAHATS AGKILLAYLSTDEQQQWLVHYPLQRITKFTQTNNAAFLKLLNTIKEREWCYSSEEHEL GVHAVAVPIYGQQNKVVAAINIVSPTTRTTKRYLIEHILPLLQDTAREIRRVI MIM_RS19000 MPYDLENRLVIGVASSAVFDLSASDSVFKRDGEEAYRKFQERNL NNPLPKGIAFPFVKRLLSLNHMRPDPEDPLVEVILLSRNDPDTGLRVMKTIEHYGLGI TRAIFMQGRSPYEYIPALNISLFLSGNKADVDAAIGAGYPAGYVLDSKFDDDEQDQAL RIAFDFDGVLASDESESIMQASGLTDFHAHEVANVMNSHGPGPLQQFLIRVAKIQSIE EQFKKQNPDYENRLRVSLVTARNAPSHERALNTLKAWGVMTNDAFFLGGIAKKHVLGV LKPHIFFDDQSAHLEMTRSLVPSVHIPFGITNKAVENDSGQAQLIDSVK MIM_RS19005 MDSYSDLGFFVLLARHATLARAAQEIGVTPSTVSKRLAALEQRL GVRLMNRTTRRISFTAEGESYLAQGNHLLNELKMLEQALAGSRAAPRGLLRVHATLGF GRRYIVPLVSQFQRDNPEVEIQMQLSDRPVNLLQDGFDVAICFGEQRDSSLTARTIAL NRRILCASPKYLEHAGMPAHPSALRTHRCIVIRENDETFGTWRLTMGTRSETIKVRGP LSTNDGESALAWALDGHGILMRSEWDAKPYLESGRLRVVLPEWELPPANVMAVYPTRQ NLSARTRAFVNALVEWFEPQGRRF MIM_RS19010 MRRITHLRRFLLSMAFVLPTACLAQSFPERPVKLIVPYAPGGSA DIAARLITDDWAKALGQPLVIENKAGAGGNIGVDLVSKAKPDGYTIGLQTVSLAINPA LYPRMPYDTLADLDPIGMVATSQHVLVVNNQLSAHSVKDLIRDAKATPGTLQYGSAGT GSTFHMAAELFKSVSQTDITHVPYRGGGPALLDTIGGHVQLSFPVLSAALPQVQGGQL RPLGVTGPKRSPLMPDVPTIAEAGLPDYSFETWFMVFAPAGTPKPVIEKLNATLNATL NKPELRQRMTREAFDPAPSTTAEARQLLASDMKKWDSLIKASGIKAD MIM_RS19015 MTAKTLYQKLLASHTVATLDEQNILLYTDLHLMNEYTSPQAFAG LNDKGLPVPLPGQNVAVVSHIIPTHAVRNRIIADPASALQASNLKRNCDMHGIPLFDT NDPLQGIEHVVSPEHGMIRPGMVVICGDSHTTTYGALGALGFGIGTSEVEHVLATQTL VYRLAGNMRIEVQGTLPVGCTAKDLILKIIRHIGAQGARGYVIEFCGSAISALSIESR FTLCNMAVEAGARGALIAPDQLAIDYVLARATDITSDMREHALAHWATLFSDDDAVFD VTHRFDASEVAPYVTWGISPDQAISIEERIPADEPEQAGTGTRSYTAQALDYTGLTPG ASLAGTPIQHVFIGSCTNARIEDLREVAQIVRKHRVAPGVRAMVVPGSGAVRQQAEAE GIAQILIDAGFEWRQPGCSMCLAMNDDVLGFGQRCASTTNRNFEGRQGRGAITHLMSP AMAAAAAITGVITDVRKLKSTGESL MIM_RS19020 MTKQHVIAGIAAPIPQPNLDTDQIMPKQFLRGIDKKGLDKGLLY DMRFDRQGRPVEDFVLNRKGYAHASILVGGANFGCGSSREHAVWGLSQFGIQAVIASS FAEIFYSNAMNNRLLLVVLSEDEVKRILADVATPQTAHVAIDLVNMTVQSHRTRARFT LHERHRRMFLDNLDLVDATLTLKPQIDDFVTQYQQRYPWQTNVADKTVARLRG MIM_RS19025 MNTYPTKESFKDELTKRAQLFISEFEDIAESQRDLIKPGVDRSP AQMLAYQIGWMDLLLDWEHDEQSGKTVTTPAAGFQWNQLGGLYASFYQKWRGFSLEQL QVEFANRLIGIICLVDSFSDEDFFESGRRQWASSTPAAWPVYKWLHINTVAPLTTFRT KIRKWKRG MIM_RS19030 MTILAQITDLHIREPNKLAYGRLDTAPYLRTCVESLLRLRQKPD AVVLTGDLTDFGRPAEYAHLRQLLAPLDMPLYLLPGNHDDRANLRAAFPEHSYMESGE FIQYAVDIGPLRLIALDTMIPGQSGGQLCASRLQWLREMLAASDGRPVIIAMHHPPFR TLIGHMDKIGLQAGTEELREIVSQYSNIERIICGHLHRVIDVRFGGTIASTAPAPAHQ VTLDLDVNAESSWMLEPPGFRVHAWDEVNTRLVSHLAPSGQFEGPYPFHENGALID MIM_RS19035 MNIEKTRINIINCAKTYPDGTRGLQPVSLTIEPGEVIALLGPSG CGKTTLLRLLAGLESADPGSQILFADQDVTHLSVEKRGIGMVFQSYALFPQMTVQANI AYGLKVRGVPDQEQKQIVAELVDLVRLNGMEKKRPAELSGGQRQRVALARAVAVKPRV LLLDEPMAALDAKLKEALRDELAQLLHRLGITTVHVTHDQHEALAIADRLAIMSAGQI VQVGDGESLYRKPAHPFVAQFLGRVNRVERDDKAMTDGVISFGDNAVLACPEHLRSQP AILLRPEDIGIGPVVQGYPRATVERRIFLGDRVQFQLRTPDQQLLMAEQPGDTLFREG EVIGLHIDTARFLPAYDN MIM_RS19040 MTTQPQKSAPLLFFITLVVCLFMFIPVIISVMAGLVNNYRTGLK SGLTLRWIEEVWSVYGSTVSASVILALVCVICTLLLGVPCAYALARSRSRFARAFEEV LTLPVAVPGLATALALILAYGEINLLRQSFGFILIGHILFTLPFMVRTVSSAFQRPDL LAIEEAARTLGASFFQRFLGVLVPAVFPAILAGMLMVFTLSIGEFNLTWMLHTPMTRT LPVGLADSYASMRIEVGSAYTLVFILVILPILWLLQGLASRVQKHYGN MIM_RS19045 MSAPLSASGRRSSTLLVCLAPVTVFFIAFWILPVVRLIALPAEK GWQTYFLVLTDSRYMWSMINTVLLSLATTLVTLVVGGAVGIYLARRRFAGRQVLLSLL TAPLAFPGVIIGFFIILLGGRQGWLADIGSMLGMGRITFAYGVVGLFLGYLYFSLPRA IASYTAAAEAMDGQLEEAARVLGASRWEITRDVWLPQLAPTTVACGAIVFATAMGAFG TAFTLASKFEVVPITIYNEFTNYANFALAASLSIALGIVTWAVLFIARMAGTSSAAA MIM_RS19050 MSRILKRFAQAGLLSLGLAAAASASAQTAICYNCPTEWADWGTQ LKAIKDKTGVTIPPDNKNSGQSLAQMVAEKANPVADITYLGISFAVQAQKEGVLGTYK PAHWEDIPADLKDTDGHWFTTHSGTMGFMVNVDALEGKPVPRSWKDLLKPEYKGLIGY LDPSSAFVGYVGAVAANVALGGTLDNFKPGIDYFKALQANDPIVPKQTSYARLLSGEI GILLDYDFNAYRAKYKDNANVAFVIPAEGTVAVPYVMSLVANAPHADNARKALDFVMS DEGQAIWARAFLRPVRASAMPADVQAKFLPAADYARVKTIDYGKMADVQRDFSERYMK EVR MIM_RS19055 MSILDIATQAGVSPATVSRVFNAPHLVSERTREQILAVALEHGY RPNASARTLRTQRSHVIGVVLPTLNNPVFAECLQGITQATAAHGYAIMPATTEYKLAN EIEAVAQLQAFGVDGVLLVVSDADSSQAVAQLQAQETPYVLVYNRHPDHPCVSVEGEL AVHDLVQELVQLGHTKIAMVCGQLQASDRAQQRYAGFVAGMKKARLKPAALIEVPFVE TAIDNIASVLGAESRPTALVCSNDLLAVRAMRAAHQCQLRVPQDISVIGFDGIGLGMD LTPALSTIAQPNARMGHEGVQLLVRSIEAGRVPAAGDSITLDYEFHRGESCAQAPISH CANS MIM_RS19060 MAGLMKILAKMKNEPANVRFSELVKICTHFFGKPRFSSSSHMIF KTPWHGDPRVNIQNSNGKAKTYQVKQVLTALEQLNNAKSMLDHEN MIM_RS19065 MNVNHYTYRVSWSPEDQEHVGLCAELPSLSWLAGDPATALTGIM QVVALAVEDMQRNGEVIPSPIADKQYSGQFRVRVPPLVHRNLAIAAAEQGVSMNRLIS AKLAG MIM_RS19070 MDAFKPIMLGACLMAMSSVIYAQQAHDFKGLGTPASHEQIAGWN IDVYPDGTGLPGGQGTVALGEKVYKTQCMSCHGVNLEGGLGPKLVGGQGSLATDKPVK TIGSYWPYATTVFDYVRRAMPFQSPQSLSNEDVYSVTAYLLSKNNIVPGDATLDAKTL AAVKMPNRDGFYVDDRPDTKNERCMKDCLKK MIM_RS19075 MNENNASTLAAAEPADPAVSPATPLASETRAGRRHFLLHSSAVV TAVATAGMAREALAADVAKEVATDAVANLPPNIPKWTRSLGNPTASPYGKPSAFEKKA IRNMYPGLKEPMSAYSTSPLQELDGAITPNGLFYERHHAGVPQIDPAEHRLMLHGLVE RPLVFTVDEIRQFPCVSPIYFLECSGNPSFLPPYGKTAAEVAGLVSCAQWTGVPLKTL LEHAGLKKEAKWIVAEGADGAAMTRSIPIEKCLDDVLVVYSQNGERLRPEQGYPIRLF VPGFEGNMSIKWLRRLHVTDQPGYTREETGKYTDLMADGRARKFSFVMECKSLITQPS GTHKLTRKGTHEIRGIAWSGHGKITAVDISTDGGNTWQPATLQEPVISKALTAFRFLF DWNGEEQVIMSRAVDETGYVQPTLDQLIKARGTMSFYHNNAVQPWKIATTGEVTNGRV MIM_RS19080 MATPQAIATDSSGQTLQQRILKTAAQLFATHGFHAVGMQALCDA LQISRGAFYHHFRSKDDVLDDICTRYMTELVHKGLQTLRDESDPERCLQRLGQDLLEV IATNLPELTVCFREIQSLGIERRQKVIALHRKYESLWKETVERGAQTGVLLPYSRTRM KAVLGMYYYSYLWLNPARADELAGAIESFNDITLKGLRK MIM_RS19085 MTKILVLYYSMYGHIETMANSVAEGARLVQGTEVTVKRVPETMN EEAFRNAGGKTDQAAPVASPQELADYDAIIIGTPTRFGNMAGQMRTFLDQTGGLWAKG ALAGKIASVFTSTGTGGGQELTITSTWTTLAHHGMVIVPIGYTTPALFDISQVGGGTP YGASTIAGGDGSRQPDERELSIAKYQGEYVAKLAVKLKG MIM_RS19090 MSAIVLLTNPIDPDETARLREHAQVRVASSMDPQALAEEIRDAD VLIVRSPVSAEVLEHAHQLRGIVRHGAGVDIVPVVQATVMGIPVSNTPGANAIAVAEY AVGQMLLLSRRLHMVNALLRSEGWSASRAISDHAAELHGKTVGIIGVGAIGEALARMC HYGFGMSVIGYRRDPSKLPDYVGAASIDDVFQMADFVVLACPLTAETRGLANRRTLGL MKQGTSIINVSRGAVINQSDLVDALAQQKISAALDVFESQPLPDDSPLRTMSNVILSS HVAGITNESMRRISQLCVSQTLDLLAGKLPTPLVNPEVTQRALERMAKLNAPF MIM_RS19095 MKITDVQTLSCDAGWRNYYFVKISTDAGMNGWAEFDEGFGSPGV TAVIQKLSDMLIGNPVPSQERFYAEAYSRTRPAAGGVVAEGIGALENALLDVRAKALG VPCYELLGGKVRDKLRVYWSHCPAWRINHPKYFGPGVTDLDGVKAMGAEARERGFTAL KSNTFIFDDGPAYAWRPGFSSPFYPELNADRRIIRNLRNCLEALRDGAGDDMEILLDL NFNFKTEGYLKILRALADHDIFWVEIDSYSPDALAYIRNHSPHPISSCETLFGAREFL PYFQKNAVDVAIVDVVWNGAWQSMKVANLADIHEVNIAPHNFYGHLCTMMNAHFASAV PNFRIMETDIDRLAWDKELFTYVPEYVDGHLIVPDRPGWGTEPIEEAIRAHPPKPGGG LIKPRQSR MIM_RS19100 MINDWNATLSNLRKGGAAFAKHNPAVVTAYRGLNEALGQAKHLD DKTRELIALAVAVTTRCDGCISSHSAAAQKAGATVEEVSEALGTAVALNAGAAYVYSV RAIEAFEQMDK MIM_RS19105 MSRQATQAIAAVLTLAIACTLASTGAYAAWPADKPIRLIVPYAA GGATDILGRAVGNQMAKELKQTIVVENKPGAGSMLGSQQVVRSEPDGYTILLGSISNV LNMYFYKEPLYDLRKDLKPVAQIVSVPNFLAVSKSVPVKNVAELIALAKQKPGELSCA TSGVGSSPYLSCELFKVMAGIELINTPFKGGAPAIQSAIGNQTTMVFANEAWPYIDSG QLRGLGVTTAERSPYSTDIPAIAETLPKYDVTAWYGFWVPAKTPDKITNAISKAATDA LQNQQVLNSLKQLGATPKPSDPQQFGDYVNSEIDRWAAITKEMNVQAK MIM_RS19110 MRRLGDVLRHWTEHTPDSPAISDEIRSLSYAQLSQAVADCRHFL ASIGVRPGDRVMLIGENSSVLAIFILAAGLDDIWVVLENARRAPLETDAVCSHAQPRR ILYLTENSPSAGEHASRHKVQAVTTPFGALAIGPLMETPPEVCYGPAQDQVAALIYTT GSTGTPKGVMLTHGNLLFIGLLMQQQRHLIPEDRVYGILPITHVMGLSSGLIGTLASG AHVQLVPRFAIDHCLNSLSRDGISILQGAPAMFSRLIKSEQIQHLRTATLRVIAAGGA PLDPTLKQEVEQTFGLTLHNGYGLTEGSAICWTRLESANPDCAVGPPNPGVEIAILDP ERQQVTPGEQGSLWARGPNIMKGYFRDPQRTASVLTDDGWFNTEDLARQLPDGRVIIE GRNKDLIIRSGFNVSPLEVETALNAHEQIQHSAVLGHMICGNEQIIAMIERCPGASLK EEDVRQFLQDRLSPYKRPGRIFFVDSLPVAPNGKVLKHQLKQTLKELI MIM_RS19115 MTDAVTYESRDGIAIITINREDRMNAIGPEVEAGLAQAWKRLKE SPQDRVGILTGAGTRAFSAGKDMACTAPPDYRSFTPNVGVLLEKPLIAAISGWCIGGS IVLTLMCDLCVATEDTKFTYPEAKLGFAGGMIASAAARIPHKVAMELMLLGEVITAQR AYDVGMVNRVVPAGQHMVEAMKLAQRLAANAPLVMAMLKRLAAQTTPMSPVEIAGHAW RENQQVFESADFAEGLASFSEKRPPAFTGQ MIM_RS19120 MDDHDDKQRPPAGIQSLLAELVTHDDWLPEFCTVPHPTFYQQFL LHCDPLERFSLVSFVWGPGQQTPVHDHMVWGFIGMLRGAELSQRYRSNPDGTLTADGE PDRLEPGMVDVLLPAEGDIHQVFNAYDNQPSISIHLYGGNIGAVSRHVFDLSNGQPKT FISGYSSNVIPNVWDRSAGRKT MIM_RS19125 MDKTLIKGLKVLEVVTGSTERIRTIEELAEKVGLTRSNTHRTLQ TLIHTGFVARDDQDNYIGGIRLFELAANQLAQLDLRRIASGAMHVLAESTGETIHLSV LDGFDVVYVDKIDSPQPIRAYSMIGGRAPAYAVATGKAILAYQTDSFLESHEAQLQKY TNATLADLDTLRPALARTVKQGYALNRGEWREGVGGIAVPIFNGLGQIMGAVGISAPL DRLTPARIRQLVPEVIQCASAISQQLGYTAKAVKPEA MIM_RS19130 MFNAILIEKDDAGYRATETSIDEAQLPQGDVRVDVKYSTINYKD GLAITGKVPVVRQFPMVPGIDFCGTVSQSQHPDFKAGDAVILNGWGVGETHWGGLAQQ ASVNGKWLIHLPAGMSAEQAMSIGTAGYTAMLCVMALQRNGLTPESGDILVTGAAGGV GSVAVALLSSLGFRVIASTGRQQEEDYLKKLGAADIIDRHTLSEPGKPLGAERWAGAV DSVGSHTLANVCAGTKYRGTVAACGLAQGLDFPASVAPFILRGITLAGIDSVHCPVPQ RQEAWDRLSKELDPAKLALIGSRTVGLSDVIPLASQILDGKVRGRIVVDVNR MIM_RS19135 MSRLQQRFAQLKKENRAALVTFLTAGDPDYDTAAAILDGLPQAG ADIIELGMPFTDPMADGPAIQLSSLRALAKGQSVASTLKMITEFRQRDNETPIVLMGY FNPIHRYGVERFIADAGKAGVDGLIVVDLPAEHDRDLCIPAQAAGIDFVRLTTPTSDD ARLERILDNSGGFVYYVSVAGTTGAGSATIDHVQQAVTRIRKHTELPVSVGFGIRTPE QAANIARVADGVVVGSALVQKIAEASDGEQAKTDVLALCSQLAQAVRKARD MIM_RS19140 MVSLNTDTDTTGMFGDFGGQYVAETLMPLILELAAEYEKAKTDE NFQKELAYFQRDYVGRPSPLYYAERLTEHLGGAKIYLKREELNHTGAHKINNCIGQIL LARRMGKKRIIAETGAGMHGVATATVAARFGLQCVIYMGSTDIDRQQANVFRMKLLGA EVLPVTAGTGTLKDAMNEALRDWVTNVDTTYYLIGTVAGPHPYPTMVRDFQAVIGKET REQMLAHEGRLPDSLVACVGGGSNAIGLFHEFLNDKDVKIYGVEAAGHGIESGKHAAS LNGGVPGVLHGNRTFLLQDDDGQIIDAHSISAGLDYPGIGPEHAWLHSIGRVQYTAIT DDEALDALHQCCRLEGIIPALESAHALAEAFKQAPSLPEDHLMVVNLSGRGDKDMQTV MQHSKEKEQQA MIM_RS19145 MARDIPPLNALLAFEAAARLLSVSKAGNELHVTHGAVSRQIRVL ESALGVALIEKEGRGIKLTDSGVLLRDASAAAFDRVRSACTEIRRRSSNQPFVLACPG SLLARWFIPRLDKLNQDLPQLRLQLTAGEGELDPRNPNVDATLCFAAPPWPDDMLVHD LGAEYIGAVISPRCANYAGLVNAPVSALLREPLMYPASRPQAWAQWAQANQLPGNELQ LGTAFEHLYYLLEAATAGLGVAVAPKQVVADDLAAGRLEAPWGFVPTSSRLSLLVPRT RADQRSVLLANWLEKELGVTQPH MIM_RS19150 MIYRVLADLVLVLHFAFILFAIFGGLLVLQRLRIIWLHLPALVW GATIVGLGAICPLTPLENTLRTMAGQQAYAGSFLEHYLLMAIYPPGLTREVQVLLAAG LVMLNVIIYFLVWRRYGGQQRGDRKN MIM_RS19155 MTTPYKRLDKDQAAVLLVDHQAGLLSLVRDIEPDKFKNNVLALA DLARYFKLPTILTTSFEDGPNGPLVPGLQQAFPDAPYIARPGQINAWDNEDFVKAIEA TGKKQLIIAGVVTEVCVAFPALSAIEAGYDVFVVTDASGTFNEITRHSAWDRMSSAGA QLMTWFGLACELHRDWRNDIEGLGTLFSNHIPDYRNLMTSYAKLTSGK MIM_RS19160 MKKVLGIYSAPRPHWVGDGFPVRSMFSYQSHGKQLSPFLLLDYA GPADFTPARQKRGVGQHPHRGFETVTIVYKGEVSHRDSTGQGGTIGPGDVQWMTAGAG ILHEEFHSEAFTNQGGALEMVQLWVNLPAKDKMAAPGYQAILDREIPEVALPNEAGSV RVIAGEYGQKKGPASTFTPMHVWDMRIKQGGITEFEVPEGWSTALVVLRGTVMVNGDT VAREAQLVVLDKADRHMAIEANSDAVVLLLSGEPIDEPIVGYGPFVMNSQEQIAEAIS DFNSGRFGQMAEVSEAQQS MIM_RS19165 MQDLNNLYYFVQIVDHKGLAPAGRALGIPKSTLSRKLSLLEEQL GVRLIHRSTRQFGVTEVGLAYYEHCKAMLIEAEAAQAVIDMTRAEPRGIIRVSCPIAL LQTSVGGMLADFMVQHPRVTIQIDATNRRVDPVAEAIDIALRVRPPPLQDSNLIMRTL SDRSQCLVASPALMERQGVPVAPADLADWPSLGVGQPQQQFAWKLFGPDSAQAMIHHF PRLITTDMISLRIAALAGVGIVQLPTLMVCDELAQGTLIRPLPDWAPRREIIHAVFSS RRGQMPAVRALLDYLVQRFDELDED MIM_RS19170 MNNKALVLFSGGQDSTTCLAWALDRYQYVETIGFSYGQRHAVEL TCREQVIEQLRLQFPDWSARLGNDHMLDLSVLGQISDTALTQDKAIEFEQSGLPNTFV PGRNLLFFNFAAALAYRRGLEVLVGGMCETDYSGYPDCRDNTLKSLQVSLSLGLDRPM VIETPLMWIDKEKTWHMASTLGGPALVQLIEEYTHTCYLGDREHRHPWGYGCNQCPAC DLRRKGYELYQSAHANH MIM_RS19175 MRNTLLRSKTATAFFAVSASLGSAMFLANSATAQTTSQGAGQGM PSAAVPSGTAEIPQDQLTKLDINKDGVVTREEYNQAMTAAFKNLDKNSDNSLTPEEVG TILTPEQFASVDANKDGKISNEEMITQVTTDFDTADTNKDGQLK MIM_RS19185 MVITLAFIVIAVFIWGTLAIWIQFARQKARRGLLIALWALASAL FVAALFNPAIDALQTVAGISYTLSVLALVYWWRNIRPSNHRDWIPEVSRQAQGSLAGN IITVDNVRNFIWHSPEAYTEHWERRQYDVSKLASVDLALSYWSSPAIAHALVSFGFSD GQHLVFSVEIRRKVGDAFSELGGFFKMYELSIVAADERDVLFVRSNIRQEDCYLYRVH MEPAARQSLLLAYLDEANRLVHTPRFYHTITGNCTTLIFRMMDRIVPGLPLDWRLLAS GYLPEYLYKVDALQGADSVSEYRRRGRYSERARASTDNSNYSQLIREGVPGI MIM_RS19190 MIQIALLLFGAHFVRRHAYLLGIMGFLWLLAGLIIFVDAFDGVR YFPLTLFGVLLLIESVITLSVASSGIGAQKAVLYFKGGGFFLIALLILANATISNMLL AIILGMAYFVMGLLQMASAWVVRFPGWRAAFGFGLAKIAFALFMFGPYPSHYSATISV FIGVTLMMGGLVTLNVFLRARQLKEGTSVFDLFVPSDVLPKIRKSQLARPVSDNAPVQ VQTEPLTVHVWTPEGSSKGAPVPRPVINRYIAAVDINGVISTGHAALEMLPDTYISLY PGTDIDRSPSEFFRILKATRENDVPGQFQPDYNTESQAWCPSNWQVRFNAYNPDGLLR FWQEYRQHEVYNLTYRNCSSSVTYALEAALDGALHDRASGSWQEVLRIMVMPELWIAA QIRKRATTMAWTPGLTLDYARALRAIVHPVSTPWFARLLRVSKASKISVSR MIM_RS19195 MLYWVAAYISLMLDDPLSHVGFVWFPAGIGVAAFLISEYRRWPW LVAAFFVAHVIADINFEHDIPIAVALAIITVASDMFTAWVVCRYAQNKDGLQIILRWI VATFVISAIAAVLSAGWLTLFDHTPFDELVWVWWGAHVSGVLYLTAVVMGLRGYQLGN ANTGTRAVLVGVVAVLLMAICAWLIFDAEQLDMTRSQVPWRATLIFALTGVPVALAVV AAIACGNRMGSLALLSLGAIVIYHSSEGTGPFFLKSLRPGESLLLAQCYLVATALLIV FLRVFTQTVKRYGVVQEQRQETAFMYRLDASSGHMDWDGCIHEMLGTDQETMSSTTQI LAMAHPDDRAVLAAMLAPGDDKPGIEQSAAEFRLKSSATDWVRIRTTAPVLIGGPDSP ILVGTWLVGQGGQS MIM_RS19200 MIDPDVRPLRIVIPLYQGVTQLDFTGPHQFFSRLPDTEVIVASV GAEPVSADGLVFAGLHDLGQVERCDVLCVPGGGGCTNAMASDEYMDSIRRLAQGAAFV TSVCTGSLILGAAGLLKGRRAASHWAWRDQLPAFGAIADEGRVVQDGNIITGGGVTAG IDFALTVISELFDARTAQMIQLGLEYAPQPPFDAGRPERAPAEILEEVTRRMAASMAD RRSQLNMVVQRLVL MIM_RS19205 MKIAFYLFPDFQLLDLSGPHTAFQTASTCTAPSPYTISLLSATG GLITSSGGVSVLSDKLEDQAPDTLIICGGQGVNAVLADEIALQRLADTAATSRRIASV CTGAFILAQLGLLNQKRATTHWQQVARMQKVYPQVRMDGDRIFVRDGNIWTSAGITSG IDLALALIEQDCGTAVSRHVAQHMVVSQRRAGGQSQFSPILQMEPETARIRKTLDYAQ AHLRDIRDIEQLADIACVSVRQFSRMFKAETGETPARAIERLRIEAARTRLESGAGNI QQIAAAVGFGTQERMRRAFIRWTGHPPQVIRRSMTATTRHGLKDRM MIM_RS19210 MATSTKNDANPNAGNPFAELSKMYEQFKVPGLDLSTIADARRKD VEALVQANKAVYDGMQALAAKQTEMFRQAMVDIQGAVGTAGTNPAAQTELARKAYEKA LADMKELAEIARQSQAEAMASISERATQNLQEIRDMVKKQTG MIM_RS19215 MNHHSQIDTRTRQAAPSTATDELEYQSGFGNEYASQAVAGALPQ GRNSPQHGPRGLYTELLSGTAFTAPRHENRRTWMYRRQPSVVAGHYEPFEQPYWQTGA DSTVAVPPEPLRWAPWSNDNSQADFIDGMRTVAANGSADAQSGVAIHIYIADRPMSQR AFVNADGEMLIVPQQGTLLITTEMGRLQVQPREIVIIPRGVAFKVDPVQDTGQPIRGY ICENYGAQFRLPELGPIGSNGLANARDFLAPVAAFEDTTGEYELIRKFGGRFWRVPLH SSPFNVVAWHGNLSPVKYDTAHFMTIGSISYDHPDPSIFTVLTSPSDTPGTANCDFVI FPPRWLVMEDTFRPPWFHRNFMSEFMGLVYGQYDAKPGGFKPGGASLHNCMVPHGPDE ESYARATAAELRPQKLDNTLAFMFESRFRFVPTRFAMQGPELDKNYIDCWKGLKDQFE R MIM_RS19220 MTYSYTIIPSPLGELTLVARDNALAAILWENDRPGRVKLGTLQR DNTHPVLQKTQAQLKEYFAGKRDRFELDLDMQGTPFQKKIWALLLTIPFGQTRSYRQL AELAGNPAASRAVGAAVGRNPVSIVTPCHRVLGSSGKLTGFAGGLDAKRTLLQLENRA AQ MIM_RS19225 MKHKPSHTTGWQLATGAALALAAGIVLAAPAAQVHDLAKNEQQA YLDTLRDLVHIESGSRDIEGVTKIAGFVADRLKQLGAETEIIAPTDIYRMDDTPEKTG PVVHATIKGTGKSKIMMIAHMDTVYQKGDLKDQPFRVDGDKAYGLGIADDKQGVAAIL HVVDMLKKLDYKQFGTVTVVLNSDEEISSPGARALITKFAEDQDAVFSFEGGGKDGGV RLATSGIGAAYLQVHGKSSHAGARPEGGVNSLYELAHQVLQMKDLSKPEEGLKLNWTL AKVGTVRNMIPPEATAQADARALKVQDFDALEKTMQEKIKNKLLPESKVELKFEVRRP PLEASDIGRKLAAHAQGIYKKELDLDMSVADKATGGGTDAAFAAVKTRGGVVEGFGLS GFGAHSNNAEYVQIPTIVPRLYLATRMIMDISEGKITP MIM_RS19230 MKILMILTSHATLGATGKPTGFWLEEFAAPYYAFLDSGADITLA SPLGGQPPLDPKSDEPDAQTDATRRFSNDAQAQAVLASTQRLADMKAASFDAVFFPGG HGPLWDLAENGYVMALIRDFYASGKIVSAVCHAPAVFRHVKTAEGTYLVKDKNVTGFS NTEEAAVGLTDVVPFLVEDMLKDHGAHYTRGDDWQPHIVIDGRLITGQNPASSIGVAD AVIGQWQKSQS MIM_RS19235 MNTQSTNRRRDACSLRIKNDDIVLIDRAAQARGMTRADFIVIAA REAARCALHAGAQATGTTDASPEHIR MIM_RS19240 MKILYTNFHTSPGIGGHTSYISRLITGLNPDHDIAVAVPAQSAL YRIANATEGVRTYAQEYPSKLQQLPAAAAHLRDILRKGHFDVVHVNGTADHRLVMLAM LGLRRKPAIIFTKHNDHATNSIGSHLRARFGTDHCIAVCDFVADRLAGGPYNRSGVTT IHNGIDTDYFSILNSGDVRTLRQAILGPMSDSRILLGSNAGTTEYKGWIDMVRALSQL DPRQIDRLHIAVAGPRPPQDLLDEVQQLNMNRHISFVGDLEDVRQFIGAIDVGFVLSY RVETISFACQK MIM_RS23550 MMAMGKPVIVTRQGGLPENIDAEADGWVIPPRDPQTLATLLEKI VQGYFDLREMGRIARCKSEQRFGQEKFVSATENVYRKALQNHVRPQAATIG MIM_RS22720 MTYVVLRCVIAFIAIAPLSSAIAATLLEGWVVGIADGDTLTILD DNRQQHKIRLAQIDAPESGMPYGRVAKKALSDAVYRRTVTVQAGELDRYGRVLGTVYL NRKNINLQMVADGYAWAYRHYVTDIAYCRAEAQAHDSRRGLWADKKPIPPWQWRRMKR TSRSSPPEGVRGFAAPCDTRSRSR MIM_RS19250 MAKVKNVLFIMADQLRADHLSCYGHPHLQTTHIDNLARRGVLFE QAFVNSGVCGPSRMSYYTGRYPSTHGATWNRVPLSINEKTLGEYLKARDIDLVLAGKT HVMPDNKGLDRLQIDGQSELGVLLRQGGFVQLDRYDGHHEPGAESGYPAYLKAHGYDS TDPWTDYVIAVEDDKGQAQSGWYMRNVNFPARVKEEHSETAYMTNCAMSYMQSAGDKP WVMHLSYVKPHWPYVAPAPYHAMYSPEQCLPVRRNEQEKVNAHPVVQAYRQQEESVSF ASDECVARVRPAYQGLIKQLDDHLGRLFAYMESAGLMDNTLVIITADHGDLLGDHWLG EKELFYDVVQRVPLIIYDPSESADATRGQRESRLVESVDIVPTILAALDMSVPAHRVE GRQLQPLVHGESVTWRDCVFSELDYSYREARLTLDKSTSECRAWSVRTDRWRYVYWRN ERPQLFDLQNDPDEFNDLGEDPQYDEIRQEMKQRLLDWFASLKRRTSVTEEEVAKQTH KYKQAGVFYGQW MIM_RS19255 MQVPFKPWLLAVGLLPAALTAWAQPSKFTEPVTLTVGYVPGGAS DNAARIVAKALSREIGVPVIVENKPGGGGRIAAADLKNTKKGRNVLMLGNPAVTVIAP IVFSDLNYNPQTDFKPVALVTDYSFALGVPASSKVESVEQFVEWAKANPKQLNIGVPA TGSLPHFFGLMLADKLGLKPEIVGYKGSSQLLTDLAGGNIPVAIDTLDTFLPMAKSGK VKVLGVSSDQRDAGLPDVPPFHEAGIDIRATGWNAVFAPASMDDDVVTYLGDAISKAM GDATVQNEIRSVNLVPVQANAAQTRKAIDAFRQQWEPVVRASGFKVNK MIM_RS19260 MRLTQYSDYALRVLIFLGARDDGLSSIAEIARAYDISQNHLMKV VQHLGQLGYIETLRGRNGGIRLGMPAEQIVIGQLVRHTEGDMDLVDCSSCAIEGPCKL PPVFREATRAFLAVLDKYTLNDLMDQRNDLRDVLHLSAGEQPLRRMPGPALH MIM_RS19265 MSQPVVTALTPEIIHTIQATVPALQTHGAAITSKMYDRLFQDEH IRNLFNHANQANGQQIHALAGAILAYAQNIDNLGILLPAVERIAQKHVGFHILPEHYP YVATALLGAIKDVLGDAATDDILHAWGQAYWFLAGILQNRESALRDAIEQAPGGWTGW RQFVVSEKIRESSIITSIILRPADGGKVIRHKPGQYITFRFNAPEQAGIKRNYSISCA PNGEYYRISVKREEDSQGGSRFMHDHVQVGTTLEITPPAGDFYLPDSPERPVVLLSGG VGLTPMVSMLETIYQQHPQLPVHYVHSTMSSQTHAMGQHVQTLAQKRAHTKVSTFYSE PVAADQPGQNHDVSGMISLDWLTSNTPVQDADYYICGPREFMRVFVNGLAANGVPAER IHYEFFGPTDELLAA MIM_RS19270 MIILSVLYIIAIVAESMTAALAAGRRNMDWVGVCLLGCVTALGG GSVRDIVLDHHPLSWVAHPHYLIITSVAALATIALARIMHRLYHFFLLLDAIGLVVFT IIGCNVALALELPLTVVIISGMLTGCVGGVLRDILCNDIPLLFRSELYASVSIVTGLL YVAGLRLNINHELVMAIALLAGLTLRMLALRFKWNMPTFIYNRDGH MIM_RS19275 MNIDSKNQLQHSLKPRHMSMIALGGVIGAGLFVGSSVVVKNAGP AALISFLITGILIILVMRMLGEMASSLPVVGSFYEYARSAFREQPGKAEFAGFMTGWM YWYFWVIVVAIEAIAGAKLIQFWLPNIPSWEISLTLMAVLTITNLFSVKSYGEFEFWF SSIKVAAIVVFLFLSGVYLLGMWPNHQAAGLGEMLNHGGFMPNGWGPVLSGAVAATGF YFGAEIVTIAAAETADPAKSVARATNSVISRVLFFYVGSIFFVVCLVPWNSEAISTPY VSALQVMQIPYAPHIMNAVILVAVLSCLNSGLYAASRMIFALTRNGDAPQALASVSEN GVPIRAIIFSTLFGYAAIVVSYYSPDGIFPFLVESYGTVALVVYILIAISHIRLRRRM EQNCPERIRVRMWCFPYLSYLAIAGMLSILGAMAFLPEQKKAFWFGLISLIICALGFL LSRFWRKQKTFEPVVTRRVLER MIM_RS19280 MRQYLNEMTVQGDLVADPCLRYFPDGLAVLRIAVETCSEQRDQY TNEITLCKEQHNAVLYGILAEQVAWSMRQGDALWLSGPLHHRYFRDAMTGRNGAVCEI EVRQVNMLRVRQNDRMPLSAICKDWLFNNAVSQTCTRSA MIM_RS19285 MFKQLFISSAIGMALTGGASAAPLNVVASFSIIEDFAREVGGDR VTVKSIVPVNGDAHAYEPKPADVIAFKRADLVLVNGLQFDTFMQRLAKSSETKAPVIE VTRGIEPLKNAEEEHGHDHEDHDHGHGEHGHDHDHAEGHDDHGHEGHDHGEFDPHAWQ SVPNAVIYVKNIADALCKADSAGCETYKANASAYTQKLQALNESVKAAFSALSKDQRT LITSHDAFGYLGATYGLTLLAPEGTSSATEATAADVAAIIRQIREDKGSAVFVENISN PALIRQIASEANVAVGGKLYSDALSGPDEPASTYLKMMQYNVDTITKAILKK MIM_RS19290 MQYLDVLKTFFDTVGGWVWGPVMLVFLVGTGIYLSLRLAFLQFT MLPFALKQAFTPHAKNRDGSDNQGDISHFGALMTALSATIGTGNIAGVATAVVLGGPG AVFWMWITAIFGMATKFGEGVLAVKYRIVNERGEMSGGPMYYIERGLNWKWLAILFAL FGTLASFGIGSSVQSNSVAHSIQASFGIDPMTTGIVLTVFTAIVILGGIKSIAIASSF IVPFMAIFYVVGGLVIIFMHLDLLGPAFATIFRDAFSGEAVAGGAIGTVIRYGVARGV FSNEAGMGSAPIAAAAAKTDHPVRQALVSMTGTFLDTIVVCSITGLVLVMGGIYTNGE TGAALTTQTFNQLLPGPGGWIVTVGLVFFAYSTILGWCYYGEKCASYLLGEWFVLPYR IIYVASVMIGTIASLDLVWAAADTFNGLMAVPNLIALVLLSGVIVKETRDFVAKRKSG ELP MIM_RS19295 MNSNTDMAALTGEIDRLQHECLSLIMATTSASHTPAASYAPFVH MDGNFYILVSGLAIHGVNLKAQPELDILLIEDESRARNIYARLRLNYRAMASAVDKDS DEYGKAIALLTERAGKTVSLLATLDDFTLFRLTPTRGTLVQGFGKAFVFDPADLTGGA VQLNEKNIGDYR MIM_RS19300 MADSAKPIHHAADQGYSLAADTYAQGRPDYPPQITAWLTGTLGI DAHTTVVDLGAGTGKFTRYLQQTGARVIAIEPVASMRDQLSAYAPGATILEGSAEALP LADESVDVLVCAQAFHWFATSQALDEIHRVLRPGGRLGLVWNKRDTQQMWVKQLDNIV NAYEGDAPRFHSGKWRDVFPHAGFGPLQASRFAHGHTGTLDTVVINRSLSTSFIAALP PAQKEQVRNDILALIEKTPALKNQQQVTFPYETLAYCAVKR MIM_RS19305 MNQVFFRPLLLPIALAITCIGSASAGATSLQGTAMYRERIATPP NATFDARLQVMQANSTPDSPLRNTYWKLVSLHGKPVVAHAQQREAHIVFASDDNRLSG SSGCNRMMGVFENKNDQLKINNVAGTRMACREGMEVETQFLKALLTVTRFTIRGEHMD MLDATGDIVAGFNAVALQ MIM_RS19310 MYSILALFLHGIIIGFAATLILDIWALVAKRAYDAAPANWGLAG RWFAHMRTGVYRHNNIADAIPVRGERMIGWIMHYLVGIVYGIMVEAIWFFQNATYPTL AAPFLIGLLLATCAAWFVMQPGMGLGFAARKTPDPLRMRLRTIVNHIVFSFALYVSAI ALYDYLA MIM_RS19315 MATIKSGTFRSLAIRNYRIWAGGAIVSNIGTWMQRTAQDWLVLT HLTQNNATAVGIVMALQFGPQIFLLPVTGMAADYMDRRKLLIATQTAMGLLALGLGVL TLTGLVQLWHVYVFALLLGCVTAFDAPARQTFVSELVGDTDLSNAVALNSASFNAARM IGPAVAGVLIAGVGIGWVFLINAITYLAVIASLLHLRVQDLNRTKRAPRTAGSLVQGF RYVWSRPDLKALFMMLFLIGTFGLNFPIYISTMSVTTFHVGANEYGLLSSTMAIGSVS GALLAARRARSRIAYVLSGAGIFGFGCVLAAVSPNYWFFGVVLVVLGIAAQTFNTTVN STVQMSTDAAMRGRVMAIYMAIALGGTLIGAPIVGWVADAFGPRWSMGVGAAAGILAM LVGLRYMFRYRALAVKLVQYRVHFSLDGHEVHLREQRQREARIQAR MIM_RS19320 MDKKPLSPEQARVSELAAELRILISSFTRKLRAQASAGEFTPSQ RSVLLRLERDGPTTVTALARAEGVRSQSMGATVAGLEAAGHIKGAPDPADGRQTILSL TPGFLTMIQASRAAREDWLLREIHACYNTKEQESLAKAIELLKRLVNH MIM_RS19325 MNAARPEPSLQINAPEWVQHAGLKAWVAQIAALTQPDHIEWCDG SEEEYDRLCALMVQSGTLRKLNPQKRPNSYLAWSDPDDVARVEDRTFICSEKEEDAGP TNNWQAPAQMRETLDGLFDGCMRGRTMYVIPFSMGPLGSPIAHIGVELSDSPYVAVNM RLMTRMGRKVYEVLGTDGEFVPCVHSVGSPLAADQADVSWPCNKTKYIVHFPETREIW SYGSGYGGNALLGKKCFALRIASNMGRDEGWMAEHMLILGVTSPEGRKMHVAAAFPSA CGKTNFSMMIPPASLPGWKITTVGDDIAWIKPGADGRLYAINPEAGYFGVAPGTNEKT NYNCMASLRENVLFTNVALTDDGDVWWEGMGPAPEHLIDWQGKDWTPDSGRKAAHPNA RFTVAATQNPVIDPEWDNPAGVAIDAFLFGGRRSDTVPLVTEARNWVEGVYMAATMGS ETTAAAAGAQGVVRRDPFAMLPFCGYNMSSYFQHWLNLGQKLEQSGATLPHIFCVNWF QTDDDGKFIWPGFGENMRVLKWMLERIDGTAQGAENLFGVSPRFEDITWDGLAFDAAQ FKRITTIEQEQWRRELGLHEELFTRLQQRLPKALADVRERLDSQVA MIM_RS19330 MSDEKGLRRVVAASLVGATIEWYDFFLYGVIAGLVFNHLYFPGE DPYIGTLLAYATFAVGFLARPLGGIIFGHLGDKVGRKSALIMTLMIMGVSTVAIGLIP SYQSIGLWAPALLLFFRVLQGIGLGGEWGGAVLMTYEYAPPEKKGLYASLPQIGLSLG LCLASGVVALLSLLLTDEQFMSWGWRVAFLLSSLLVFVGMYIRLAVKESPEFARIKAQ NAESRIPFVEMIRNYPMNILKGMGARYIDGVFFNIFGVFIISYLTKNLNLSRTDALTG VMIAAVIMCVFIPYFGAMSDRLGRTRTYFWGSLITGFSAIPAFWLMVNFSDNVFIVWL SIVIPFGILYAMVYGPEAALFCELFDTRVRYTGISFVYQFSGIFASGITPLIATALLR ENNGQPWMVVGYTVIVGIISAVSAASIKTVRTDSKHIKARSAVAASVVAR MIM_RS19335 MLESVDYVVVGGGSAGCVMANRLSENGKYSVCLLEAGPADRNLW IHIPIGYGKTMFNPRLNWGFYTDPDHNMLDRRIYWPRGKTLGGSSSINGLIYIRGQKE DYDHWEALGNPGWSWEACLPYFRKLENNDLGAGPTHGTEGPLNATSIPKRHELVDALI GAANSLGIPTRKDFNTGDQRGVGYYQLTTRNGRRCSTAVAYLNPARQRPNLRIETEAQ AMKILFRGKKATGVQYRQHGKIKTLNVNREVILCSGALQSPQLLQLSGIGNPDHLSPL GIPVVHTLNGVGENLQDHLQFRLIYEVNKPITTNDLLRSWSGRAKMGLQWALLRGGPL AIGINQGALFCNALSESDARPDVQFHFGTLSADMAGGQVHDFSGCTFSVCQLRPESRG YLRIRSTDPFEAPAMQPNYLSTDLDRRTAIAGVRLTRKLADAPPLQSLMKGEVKPGRD VRSDDEILHFCRENGATIFHPSGTAKMGPADDPMAVVDHRLAVRGLQGLRVVDASIMP ALVSGNTNVPVVMVAERAAAFVLEDAQAGEHAFGVEVPALAGLKEGSVEHPTVAASLQ DD MIM_RS19340 MTTNNHDSKHLRILTVIEHLACASYPLSLAQLAQRTALPKASVL RLLQQLQESAYVTRLPDDRGYVLGANSHQMALSVLSSTHFDRMCRLLLGKLVAATGET CNLTALVDNKVHYLARVEPDSDMRLQLHLRTGTHVPLHCTASGKLFLAMMPDVHRKRL IDTLPLPAMTPKTITDRQRLAHELGLIAQQRIGTDNEEFVRGMVAIAVPVQDERGRVI AAVACHTPTATHSFSELFTFSSMMHDTALALGRVLTSNATPGDQRESDTT MIM_RS19345 MNKRSFLCSLAVSTIALAPGMSSPALAQNDTIKIIVGYPAGGIL DALARAVAEEYRKTSNGQAYVENKPGASTMMAATEVARAKPDGRTILLSHSMPFTSYP YTYAKLNYSPDDLTPVANLANVPIVISTGSVQPYKTIQAYLTAAKADPALGAVGLAGL GGPTHFGVLQMSKHQNVKLEPASYSGGPQLVNDEMGGHVPLGVDAAGAQMELYRAGKI RFLGLAGTQPVSFLPGVKTLHEQGIEGFENVKIWYGAYVPKGTPPETIARLEKSFIAI AQNAGLRKNFEKLGLELTGESAASMKDLLEKENKIWGPIIRESGFKAN MIM_RS19350 MNHAIALTVLGGFLGAGKTTVLNHLLQAPHGLRLMVLVNDFGAV NIDASLIQSVSGDGVISLRNGCVCCSMGGELMNALMTIEKQAANLDGLIIEGSGVSDP KKIAQIGALGQGFALQSIITIVDAANVLEQCDDRYTGNMVKTQIAAAHMLLLNKIDLV DVSRRQQVLNRLHEMAPEVPVFVGSNGQFDWTCLLSSAKRQAFAIEGTGTGLFTEGGA TESAGQSFESFSFEAGGAFDEQRLRAVFRQMPASVLRAKGIVQLGAKKQTCVLHYVPG QGVRLAPATHMMLAGPLIFVGTSEMDKVTLAAALRQAVLA MIM_RS19355 MSQPLSDNAETRIYRARSIITMNPAQPRATHVAVRDGRILGAGD LASLQGWGKAVVDDRFADKYIMPGMIEGHSHLLEGGMWSFVYVGFYDRRGPDGRLWQG LKTLDAVVARLQEAEQAMADQNKTLCAWGFDPIYFQGERMTTRHLDQVSSSRPVVVLH ASIHLMNVNTAMLQMAGITTDSGIDGVECDASGRLTGQLQEFAAMYLVFRKIGNVYFD EGQTTHGIWNFARVAQLAGVTTATDLVNDLSAETLASLEATTGGATFPLRLVPAYAPL RDPEGKGLDRVLPSIAHNSDKLSFGIVKLIVDGSIQGFTARLRWPYYYRPPAGAQENG IWVIPPQQLRELIQTYHDAGLTVHIHTNGDEATDVALDALEAVLANRPRRDHRHTLQH CQMASTAQFRRMASLGVCANLFANHLYYWGDAHYEMTMGPERANRLNAAATALAAGVP ISLHSDAPVTPIAPLFTAWCAVNRMTASGRVLGEENRISVQQALHAMTLGAAYTLKLD DRIGSIETGKQADFAILEEDPLQVDPVRLKDIRIWGTVLGGTIFPCPQIET MIM_RS19360 MNTSTPQQSPQQAVSGVQKMTPSEAFVETMVANGVTNIFGIMGS AFMDAMDIFAPAGIRLIPVVHEQGGAHMADGYARVSGRHGVVIGQNGPGISNCVTAIA AAYWAHSPVVMITPETGTMGMGLGGFQEANQLPMFQEFTKYQGHVNNPKRMAEYTARC FDRALSEIGPTQLNIPRDYFYGEIETEIPLPQRLDRGAGGEKSLNEAAELLATAKFPV ILSGGGVVMADAVEECKALAERLGAPVVNSYLHNDSFPASHPLWAGPLGYQGSKAAMK LIAQADVVVALGSRLGPFGTLPQHGMDYWPKEAKIIQIDADNKMLGLVKKISVGICGD AKAAAVALLERLQDKQLASDASKDARAKTIADEKAAWEKELDEWTHEKDAFSLDMIEE AKKEGGKYLHPRQVLRELEKAMPQDVMVSTDIGNINSVANSYLRFEKPRSFFAPMSFG NCGYALPTIIGAKVAAPERPAIAYAGDGAWGMSMVEIMTCVRHDIPVTAVVFHNRQWG AEKKNQVDFYNRRFVAGELDNQSFAGIAQAMGATGFVVDKLEDVGPTLKKAVDMQMNE GKTCVIEIMCTRELGDPFRRDALSKPVRFLDKYKDYV MIM_RS19365 MGVLQRIIETAQAAPKRIVLCEAQDPRVLQAAVRAQQERIARIV MVGNPAQSVAIASQHGLDISNIIFTDPATSEARERYAQQLYRLREKKGMTLQQAQLAV TQPLCFANLMLHMGDADGSVAGAVHTTADVVRTALQIVGAKASAGSIVSSFFLMIFEH EHHPTKGGMIFSDCGLVIDPDAQQLARIALDSAKSASALLGEAPRIAMLSFSTLGSAQ HVNVTKVQQATQLVRQARPDLLIDGEVQLDAAIIPDIASRKLSDSQVHGRANVLIFPD LNAGNIGYKLAERLGGAVAIGPLLQGLNKPANDLSRGCNADDVYNVIAVTCVQAQQLQ AQATSGELTSVPAGQ MIM_RS19370 MNDIAHSIFQQHTDELCGAAVRAISGCSNAHFRKGRLYLNDNLV PVLAPHLRLEPDNQRFRDFRAVADGLALRLLACDPVIYEQACPQDETARLLYDFFEQV RLEATAAADWPGVRANVQARFRAWAEAFQHSALIESSLGILLFTVMLTVWSRVTGGAL SEAQQDLLEATRAGMAGEIGGELYALRRLRHDQSAYAEVAARLAEKVSLNLAAEMALD RRQKDANKNPRALFSLLLTPDAQPEDGFDVAPFGQSRVFDQHQASYRVFTRRYDRVEL AASRVRLAELRQFRQQMDEDRASLSIGVAQLARLFRRLFRMPQEDGWLFGQEEGILDG RVLSQLVASPAETRIFRQDQVIERVDQAVTILLDCSGSMRTHARRLSVLLDTLLRALG MAGVQTELLGFTTGAWNGGRAMKDWQRQGKPAHPGRLNEICHLLFKQADTSWSRARLD IAAVLKHDLYREGVDGEAVLWASQRLQEQSVKRRTLIVVSDGCPMDSATQYVNDDYYL ASHLQQVVRQTIAQGIDVVGLGVGLDLSAYYPRSLAIDLQQALAPAVFYDIARLLAGG HRR MIM_RS19375 MTTQQSSHRAIREISVRQVFGLDTDLTVPAFCDATEHVPEIDAA YHFNHDVTLAILAGFVQNRRVLIQGLHGTGKSTHIEQVAARLNWPCVRVNLDGHISRL DLVGKDTIVLREGRQVTEFQEGILPWALQQPMALIFDEYDAGRPDVMFVIQRILERNG HFMLLDQNRSIAPHADFRLFATANTLGLGNLNGLYHGTQSLNHAQLDRWNIVAALNYL QPEDEVQITAARVPQMDNEQGRQTIRKMVDLANLTRKGFEAGDISVLMSPRTVITWAE NRQIFGDTGLAFRLSFLNKCDDAEKELIAEYYQRCFEQELPEAFVGTLQA MIM_RS19380 MDMIESERVEGNTPTLRLFALLEVIAEKDSYFSLQSLSEELGIP KPTLHRMLQQLESARLIIRDGDGRQYSTGRRLHQMAEKLLLNNTIHGSRRAVLRHLVE EIGESCNITACSGNEVLYLDRVETVAPLRFYLHPGSRVPLHCSASGKLFLAQMSPSQC RRLLEAAPLEQYTPNTLTRYDALEQEIEQVRRQGYAMDNEEFLPGLICIAVLVPGENG KSNMGIAAQSPIMRITREKALEFLPVLRRAADSLAEIEKANAAA MIM_RS19385 MNNHRKRVHPGSEKVSAPSRAALSALLWEKLFRETSESLGLQGR IRQMLVAAITQGHLTPDAPVPSSRFLSDTLKVARNTVVFAYQELVSEGYLVTRNRSGH FVGQAAQQRNMLDIIASPGSDDETDFWHERIHARPSQQRNIRKPANWQTQPYPFVYGQ FDPALFPTSEWRECCMKALSIVDIRSWAQDLIMMDDPEIIAAIQNQVLPRRGFSATKD EIMVTVGAQHALYLLADLLVRPGNKVGMEEPGYPDARNIFSSRTRHVVPVPVNEEGVD VEAITADMDMVFVTPSHQCPTGVTMSMARRQALLEKAGACNTVIVEDDFEFENSFIDK PFPALKSLDQNGRVIYVGSLSKTFAPGLRLGFIVGPKPLIDELRALKRLMLRHAPSFL QRAFALFVSLGHYQSFLRRQSQIYGQRERALRDALMTYLPTFQIISSPGGSFCWVRAP EHIDTTALTAVALQNGIVLEPGEVFFSRVSKSARRYIRFGYGSIDEPKIAVGIRTLAE LVAQHFPAASRKR MIM_RS19390 MLNKLFGRSAAVPGQVYGAPGAGASVFISISTAISLLVIWSIVT YFGWVKPLFLPSPAAVYNKFIVGMTDGIANATLIEHTVASLTRVFSAFFLALLTAVPV GIMMGVNRVARGLFDPVIEFYRPLPPLAYLPLVIIWFGIGEFPKIFLIYLAIFAPMAI AARAGVKSVSMEQIHAAYSMGGSNRQIVWYVILKSALPEIFTGMRIGIGVGWSTLVAA EMVASDRGLGFMVLNAAQYLASDTVIMGIIIIGFFAFVFDALIRYIEKAMVPWKGKV MIM_RS19395 MQTVAENLYVKDVNVVYPGIRQNDRVVALKDVNLTINRGEFVVA LGASGCGKTTFLNLLAGFMSPSSGTIMLGNEPISGPSADRGVVFQKHALLPWLNVIDN TEFGLKLQGVPKTKRHEIAARNLELVGLKDFHQHMIYQLSGGMQQRVGIARILTCDPA MLLMDEPMAALDALTRETIQELLLKVWDVTDKMFFFITHSVEEALFLGSKLIVMSPRP GRITNTYDLSFNRAFLAGQSAREIKSSPDFIRMRETVLNIIYSDEREGGHHA MIM_RS19400 MKNIFLKTVLCTSATVATLAWSTHAAAQEEVTVGYQQIVGPYVS AIAMKKFDEPLKAAGYTVKWRQFSSAGDISSALASGGIPIGVLGSTGIAAAATRGVNM QLFWILDNIGHSEALVVRKESGISKPEDLKGKRIGVPFVSTSHFHLLVALSKVWKMNP RDVRILNMQPPQIVAAWQRGDIDAAYVWPPALTTLLKDGTVLTDSEEVGKASVPTFDG IVVDKNWAQKNPKFMQAYTKVLAQAYDDYKQHGAQWQQDSPEVKGITQMIGGEPKDIL EAMKMLVFPTAAEMASNTWLGGGADSGAVKALTESAAFLKEQKQIDSVLPDYSGFVNG SWAEQAAGQK MIM_RS19405 MDFRHRSRRNSISLALAACAFLILYAAMPPGIRAAQAETLTVAT DTAYLPFEFRENGKYVGFDMDLLDLITHEMGVSYTLLPMDFSNIFANLTAGKVDMAIA GITITQDRERSMDFSDPYFRSDISLVVANDNTAIDRFADLAGKRVGVKRGTDAADYVN RLQPPATVTYFQNMDAGFPYLEVAAGRLDALVHDTANVRYYSQNKGQGLVKVADTVAT PNHFYAIAMPRNSALTQRVNAALRKVIETGSYASIYHKWFGVAPDPAQLMLR MIM_RS19410 MHAYDPLFDPLTDAPAQSQQYAPTYWVATAGEPPPDDGPALDGL IADVVVVGSGFTGLSTALHLAQDYHAQVVVLEANAAAWGCTSRNGGQGQNAMGRLYRS QWIAKWGEQTAKRLDAEIRSGFEYWESLVAQFDCDAQTGGHLYTAHRDKKIAFLRNES RVMNEVFGYKTRMLSQAELREEYVNDQEARAALWEPQGVGVHPLKLAFGYLRRARQLG VKVHTSSPVLKVETRDGLHHLHTPGGIVKARKVAFATGGYTTQGVHTTTRSKLMPIQS NSMVTRPLTAQERAEAGLKSTVFITDTRTLRFYYRLLPDGSVQIGSRSAITGKDAGNP KHLDLLKKGLYRKFPSLEGVPIAYNWSGWVDVSHDMMPRIVQPDPSLSLYYSFGYGGN GVSSSAWAGRRLAQRIVGQDGAQWDLPIYNSPLPGHLFAPFRRLGQAMLYHWYYLRDE VI MIM_RS19415 MNNAVNIQTLEAFSQAWNDHDIEALMSFMHDDCVFETVAGDQVC GNRIEGREAVRKAFQAAWENIPDAQWRNGRHWISGDRGVSETTFTGTQKDGARIEANM VDLFTFRDGKILVKNAFRKNRPAIVVEK MIM_RS19420 MKRREFINTLGAAWLGTSVWPLSASAARGLRQARVVVVGAGFAG ATAAKYLRMWSQYHLPVTLIEPNAQFVSCPTSNLVLGGSVQLPAISHSYENLTRKHGV TVRQEKVLAVDADRKQLRTATGRIPYDYLIMAPGISFDYSQLPMAQTDAARERVPHAW KAGPQTQLLASQLQGMRKGGVFAITVPPLPYRCPPGPYERACQVAWYLKQHNPTGKVL VLDANPGITSKRALFERNWAEQYPGLIDYQPNSELAAIDVDTGTVRTVFDTWKTDVLN VIPPQTAGQLALDTGLANSEERWCDVDFVTYESRKVPGIHLLGDSVDSGLPKSAHIAN SQAKVCASSMIARLADARPDPLPVFANTCYSYVDDTSAMHVANVYRYDAEKKDMISAE GGGLSAHPSEEEGADARSWAKNIWNDTLD MIM_RS19425 MAVGLRMMTGTAITTRLWILALLLGSTAAMAKTSPSELHIRVLA ASCAACHGTNGVSVSGRPNLAGMPAAQFQSRMMAFRTSKADHDVMTQHARGLTTDEID RLAAYFSTLPVPK MIM_RS19430 MHEVAPGVYVHQGAHQDFDDADYKGDIANIGFVVGKEAVAVIDT GGSYDIGKSLAQAVARVTPLPIRYVINTHVHPDHILGNAAFADANAHIHPQFVGHAQL AAVMYESQDTYLREAPARADGGKNTIVMPTIRITEPQRLDLGNRKLLLQSWPAAHSTT DLTVLDEQTDTLWTGDLLFTERTPSVDGDIKGWIQAIDTLKQKPVALLIPGHGPSPKD QAAAWDAQRRYLQTLQSDIAQGIKKGQDMSEVMQHAAAQEKDKWQLFDIINPRNVNLL FPKMEWQ MIM_RS19435 MLRKSVMMISSAMIIALPSPVLAGVNAPEWDKVNSSFFAGKKLE DGPFIHIEAPKRAASGAQVPFAFSIDYPSTKDDYIKNVTVVVPENPVPLTAVFHFTPE SGKVDVATRIRLEVDDYVHVVAETSDGRYFRNAVPVKASGGCGGTVGGDADAARKTAG QMKLAAIDPVQAGKPAQGRLMIRHPMNTGLQRDLMSQGFRPAYFINKIDVRFNDKPVL QADTYIGISEDPNFRFFFVPDKSGKLTVSAEDNEGKRFSHETEVTVQ MIM_RS19440 MLATLPGITAGAATSSVTGNPAWGLTVAVATNAAVDAGVKYVMK RFSAAEQQEIANAIAQSVPGEERRWQVEQPIPYGNNKGRTIVVSESVNALTTCKKALF SVEDIVDDKPTEHWYTLDVCKNGRYWLWAQAEPSVHRWGSLH MIM_RS19445 MAVKLLGMSLVMGLAGCQSLVLEGSSAGAGIAGAALAQKVTDNA AVTTGIGVGVQAATRSAVQYVQREMHGYTQEVIATAAGGLKEGDVANWKSIHSIPMEP NEQGRVTVSRVISQGLLECKEIVYSVDSEENSMPRNEFYVASICKDGPRWAWATAEPA VSRWGALQ MIM_RS19450 MDFRQLNYFVAVAQTLSFSKAAQRLHISQPPLSQQIKLLEQDLN VQLFDRTRRSVALTHAGRLFYREALAILERYAGAKELCAWTLDGKVGKLRLAFTASVP LFEDFPKLIQGFTRLYPGIEIDLQHMSTGEQIIALNDNDIDIGFLRPALNFQPPRSIQ TLALWYDELMLVTAGQEDSSLVPEPVPIDTLADEDFILFPSALGCGLFEHISSIATAA GFVPRIVQQVRENSTTLALVAAGLGVSIVPSIYRKSSPPGVAFRAITGARVDSRIVMA TMANRNMASLQLFRDYCHERQTAAAGTQNRQAG MIM_RS19455 MTYSNPGRRITLALTTTALLSGATAAVAADYPDHAITVVVPYSP GGGVDIVTRIVTKAMSDELKQPIIVDNRPGGGTNIGMAYAARAKPDGYTLYMASNTLT TNKALYAKLDFDPATAFAPIGKIGDAPLVVVVTKDSPYKTLGELVEYGKQHAGELTFG TAGTGSSGHMASELLLRKAGFKALHVPYKGGSPAITDLLGGRLSFMAINPLEVISHIK SGALRPLAVLSSKPTVLLPDLTTAEKAGVQGADATVWWGLVAPAGTPDAAVEKLNSAL NNALKKDDIIQSLAKLGATPAGGTPAQFDAFIKKDSASMADLVKSAGIKVD MIM_RS19460 MQQKTALIVSAHAADFVWRAGGAVALYASRGWNVHILCLSFGER GESAKLWKDPTITLDAVKQVRRAEAEKAAAILGASVEFLDCGDYPLRVSDEILMGIAD RYRSLRPEFVLTHSFRDPYNFDHPFANHLALEARVIAQAHGHRPDVPVIGAPPVFLFE PHQPEQCEWKPEMFLDISSVWEKKYEAFKTMDAQEHLWEYYTRVGLQRGAQAARNSGQ KIKYAEAYQCVYPRVADAF MIM_RS19465 MTMINSHFVRPSAEQIKTARQFAASILADAAGRRGALDGRIQPL ASHMQLCGPAFTVEVRPGDNLMIHAALVLARPGDVLVIDGQADTRAALMGELMCSHAV AAGLGGVVVDGAIRDRSELRENAFPVFACASNPNGPSKSLPGRIGHPVSVGGVTVSPG DLVCADQDGVVIVPRQDIDTVLDAAQKKIATEQKRRDDIASGNLIYGWLEQTLRNVGA IADDDSLAAMVSRFKEKTKAKYRQARTLHRAWRWTCLVCLVCLVCLVCLVCLVCLVCR VCQVSQVCQPVYHIRHKHGDYFFMSTVAIRPLK MIM_RS19470 MKLTHLVKLLVVAAVGMTCAQAYADYPDHPVELIVPSSPGGGTD LMARVIAEVSSKYMPQPFVVSNKPGAGGGIGMGEVRRAKPDGYKVAVLISELAILPHL KMIPFTEQDFVPIARLNGDPGTIVVKSDAPWKNVQDLLAAAKQKPGEVSLGNAGVGTI WHLAAAAIERDQQVTFNNISFQGAAPSVTALLGGHVQAIVVSPVEVKPYIESGQLRML GVMTDARLAGEYAAVPTLKESGINVTVGTWRGLGVPRNTPDDVVARLREVSKKIVDDP KFKDGMAHANLAVSYQDGPAFAQFMAQQSDYFKGLIATVDMKK MIM_RS19475 MSELFSLKGKTALITGSSRGLGFAFAQGLAEHGADVILNGTRPD GLEKAARQLTEKGFRADTLAFDVADEQAVIAAFETLDQQGRQVDILINNAGIQLRKPL TELAAAEWHRVVDTNLTSAFLVGREAAKRMIARGQGGKIINIGSLTSECARATVAPYT VSKGGIKMLTRAMTAEWAAHKIQINAIGPGYMATDMNEALLSNPQFDGWVKSRTPAGR WGLPDELAGAAIFLASKASDYVNGQIIYVDGGMLAVL MIM_RS19480 MKITKIETLRTREFFNVIWVRIHTDSGVVGLGETFYGAGAVEAH IHETLAIRLLGQNPLHIEALHKEMLNLPMAQSSTGAEYRAASAIDIALWDIFGKVCQQ PVHQMLGGLCHDKIRVYNTCAGYNYVRAKNIKPVSNWQINKEQVQGPYEDLDAFMNRA DELALDLLEQGITAMKIWPFDPPAIENKGLFIDARQMKKSLEPFEKIRKAVGDKMDIM VEFHSLWNLPTAKEIARAIEPYAPRWYEDPIRMNSPQALAEYARSTPVWVCASETLGS RYPYKDMLERDAAHVVMVDLCWSGGLTEGRKIAALAETWHRPFAPHDCIGPVGFAAAI HCSFSQPNTLIQESVRAFYKGWYNELVTAVPRIENGFVFPMEGPGLGVELRDEVFERD DLTVRVSTPESL MIM_RS19485 MMPRQTIHDDVLNRLGADICTGVYAAGTVLPPEPDLCELLGVSR IVVREAIKSLSARGLVVVRRRTGTLVQSPENWQLFDPKVVVWRASAGMVDEKYIADLM ELRRVIEPAAARYAAQRASAEDIVAMRQHLEAMANAIDGKGEYVPADLAFHGAILDAC HNQFLRQMQQAINVILEVSFDLCIQVPDGPAQSQPLHEALLAAIVARNPAQAEKAVLE IIERAENDLHASVKTSNGQQWS MIM_RS19490 MTISDPHRIGSIEQLTGLFGQPGEASLKKEVDHIHPLYRPMIEA SPFAILATSGPDGLDASPRGDPAGFVQIEDEHTLLLPERRGNNRIDSLRNLIADPRLA ILFLIPGLGETLRVNGTAHISVAPALLQRLAMHDKQPQCVIVMNVERVYFQCARAIQR SGLWNPAPQRDQLNVPTAGTILAGLTQAQIDGEKYDRELPQRQRDTLY MIM_RS19495 MKKTLLSCALAGAGIIGSAALAAEAPAKTENGMLVDSKGMTLYT FDKDKGTPGKSACTGQCAENWPPLMATEADKKFGDFDVIKRDDNQSQWTYKGEPLYLF KKDSKPGDMTGDGVKDVWHVIKQ MIM_RS19500 MNTNDIAQSSLWQAWMLQARKNPRVTAGLLLAGLCLLVLLVAGT YGALTSVEGTAFKYALIGGFAGFGSTALGALAAFGLRSVPVRTQDTMLGFAAGMMLAA SSFSLLLPGLDAAQDITGSALLGSMVVVLGLAFGVLLMLGLDYFTPHMHQELGMQGPE YKRLSGVWLFVLAIVLHNLPEGMAIGVSFSKSDMSVGLPLTTAIAIQDMPEGLAVALA LRAIGMPVGRAVLLAAASGIMEPLGAIVGLGMSSGMPLAYPMSLGLAAGAMIFVVSHE VIPETHRNGHQTFATIGLMAGFAVMMFLDTALG MIM_RS19505 MATRPQFDNAWNAFAQVNKPVKMVGELIGGKVKFNTDSLIFRNA CPIRMSYVLNRNGVPIPPHGSGYAASSGADGQWYMYRVNDMIRFLESSFGQADFVING APTPAQLAGKKGLLVVKGHGWDDAAGHVTLWNGSQCSDSCHLYADPDNGTFVPEKAYL WELK MIM_RS19510 MNSRAFFATILCAAGMVTAGAVHAADAAKPAGQMQSFTQLPEQE LKSYDNQIKLFKYWTLARCGATLSKQAGSTALEEDWSNTAAAYLEYSTVPLEANEAAQ ALVEQFLKTTTRSGSTGGSYESMKCIDLFNSSELDALAGKYIKKQ MIM_RS19515 MTFRFRFSALALALGLAATSGIALAQTEVPAVLEGHAILPANST IAAPDDAPEDLKSAGKYTTRQRVEKLASVMGKSNGRETGISLPISGQPLQGHSGIQVM KDGTVWIVTDNGSGNKANSPDSMLYLNQYSIDWKSGEFKHLKSIFLSDPDKKVPFRIV HEGTQKRYLTGSDFDTESFQIIGDTLWIGEEFGPFLIKADLDGKVQAVFETEVEGRRI QSPDHYQVATPGAPGETYKGVNLKRSKGFEGMAASPDGKFLYPLLEGAVWEGDKKAWE SADGKTVLRVLEFDVAAEKWTGRSWLYPLEAGHHAIGDFNMIDATSGLIIERDNGEGT PDKACKKGEDPKTCFDDLPKFKRVYKVQFGPDNAGKAVRKVAYIDLLNIADPGKVARK PLTNGVLQFPFFTIENVDVVDSEHIVVGNDNNFPFSSSREPNKQDDNELILLKTPELI QAK MIM_RS19520 MPISEHKIIIVGGGAGGLELATKLGRKFGPKHIYLVDAGVFHIW KPSLHEVASGTLDIHREGLSYAMLAKDHGFTFVPGEVDGIDRDARTIHVKPVYNEGEE VFSARDLPYDTLVLAVGSRSNFFNTPGAEEYATALDSTEQAEKFRLKFLRELIAADQR KKTDSSQSLNIAIVGGGATGVELAAELLEAGKNLGFYGINELNPENDIHITLLEGSPR ILAALPEKTSAAATKLLKQRGITVKTSVLVSSVQEKSLTDSNDNQYPADLTVWAAGIK APAFLTTLGLETNKINQIIADKTLCTSDPAIYAMGDCAQVEWEDGRYLPARAQVAHQQ ADYLIGELSARIKGTQSSGKPFVFKDYGSLVSVGHNKGVGSLMGVLTGKSLFVEGLLA RLMYMSLHLMHHMAILGIARTGSVALGRLLLKRSTPKVKLH MIM_RS19525 MVTTTFMQPDNQVPDLENYNLFTCDPALHEALDAFSAAEAAPAC SGYGERLGSKQVLQLAQRANLNEPQAAIFTRTGERQDAVTFDPAWHSLLAMLFEMGVH SAAWQNGQHVRRAALFYLHAQTEAGSLCPVTMTFAAVAALQGMPLLGQLAPLLYSCDY DGTNAPISQKRSMMIGMGMTERQGGSDVRSNTTYATAIGRAEPVYELHGHKWFFSSPM SDAHLVLAYEQEQQSCFYVPRWRPDGTRNAVHIQRLKNKLGNRSNASAEVTFDGAWAL RVGELGAGVPTILRMVNQTRLDCVLGSAGLLRQGLVQAMHHARYRMTFGKRLADQPLM QSVLCDLALESEAAMWLGLALAHAVAQQNDPVQKAFLRFVAPAAKFWVCKRSIAAIAE CMEVWGGNGYVEDAPLARLLREAPVNSIWEGSGNIMCLDVLRAFARDAEGAVLVLDHL ADDCGADPVLAQAMAQLKQWLSEPASIQQARAREITQRLVLLVQATLLYRHAPPWMAE AFVRARFEESAGVIGASVYTDAVAILERAYQPA MIM_RS19530 MNIGEVSAATGVSAKMIRYYEKVGLIHAVNRSDAGYRQYTVQDQ RILAFIRRARELGFSLERIKTLVGLWEDTSRHSADVRQLARQYIGELDEDIAKLQSIR NELAYLADHCHGDSRPDCPIIERLASGDGH MIM_RS19535 MSARTLSRNVAGLPASGADNQSQLIELSVAGMTCASCVRHVENA LSAVQGVQSASVNLATERAQVRVPAGLDSALLTQAVVHAGYEAQVVSNPQQSSNTEAA RREADRLALRQDLLLAALLALPVFILEMGGHLIPAFHHFVAENIGTQNSWYLQFMLTT AVLAGPGRRFFVKGIPALLRLTPDMNSLVAVGTTAAYLYSVVATFVPGWLPAGTVNVY YEAAAVIVALVLLGRYLEARAKGNTSEAIRRLAGLRAKTARVRRDGQVSEIAIDDVVV GDLIELRPGERIPVDGEVTEGNSFVDESMINGEPMPVEKTPGATVVAGTVNQNGSLSL RATGVGADTVLSQIIRMVEQAQGAKLPIQTMVDRITMWFVPAVMLIALITFAIWLFFG PQPALGFALVNAVAVLIIACPCAMGLATPTSIMVGTGRAAQLGILFRQGEALQTLMDV RVVAVDKTGTLTRGFPELSDLTVAEGFERDQVLALVAAAESRSEHPIARAIVAAAEKQ NLPIAAVQNFESLTGLGLRAQVDEKQILIGSDRLMVQNRLDPAVFAAVASRLADEGKT PLYVAIDGKLAAVITVADSIRDTTPEAIAALHQQGLKVVMVSGDNRRTAEAIARQLNI DEVVAEVMPDAKSDTVRRLQQTYGPVAFVGDGINDAPALAVADVGIAIGTGTDIAMES ADVVLMSGDLTHVSKAIAMSRATMRNIRQNLFWAFAYNAALIPVAAGVLYPFNGVLLS PVFAAGAMALSSVFVVTNALRLRRFAA MIM_RS19540 MDLPNHQLTMTVLMTPDMANFSGNVHGGTILKLLDQVAYACASR YAGRYVVTLSVDQVMFRQPIHVGELVTFLASVNYTGNTSMEIGIKVVTENIRSKQIRH ANSCFFTMVAVDDEGNPVQIPPRTPDNDLDMCRFEAAKMRRALRQELEARYGEIRATA GS MIM_RS23275 MKLLTRFRQEFGTQNDHKTQQHPAVNTPVKPAGLKLGMAMNQPQ FRMQSEK MIM_RS19545 MIIRQTRIAAVLVAGAMLAGCATQGGNTAAGTGVGAALGAGLGA LIGDSSKSAGIGAGIGAIGGAIVGYNWDRIVGRVNDAGGKELGISTTKMPDGSLKVNI PEGATFDTGKYDLKPALFPVLDALAQSMNESQQLRLKSVGHTDSTGKAAFNQQLSVNR AQSVVNYLGGKGVNASRMSIEGRGPNDPIADNATAAGRAQNRRVELYLYAVK MIM_RS19550 MAEKHGAFRKQALGAFVRSVRDRVTPQQAGIAGGQRRRTPGLRR EEVAQLCDISVTWYTWIEQGRDVSVSPAVWARLATVLQMTRAERAYLFDLADVSDPDH DSAVVSAPMASLQACVDSINAPAYILDRHWDVLAFNAALDQVFAGWMSAQAQPNLLRF IFLDAQAPGIVVDWETRANRVVAEFRADIGAYLDDGETSGLIDELLAGSPVFSHWWAR QVVVEREGGLREFNHPQLGIRQFEQITFRLATQPDCKLVMLLHQRLCQDITTLR MIM_RS19555 MSYPALPYPVESYTSGARFVQELQKGRILVLDGAMGTMIQRYKL TEADFRGERFAEHHQDVKGNNELLSLVRPDIISEIHEQYLQAGADVIETNTFGATFVA QGDYDLPDLAYELNLESARLARKACDNYSTPERPRFVAGALGPQPKTASISPDVNDPG ARNITFEQLQAAYEEQLSGLLDGGIDIVLIETIFDTLNAKAAIFAVETVFEQRGIRLP VMISGTVTDASGRILSGQTVEAFWNSVRHARPVTIGLNCALGAALMRPYIAELSKICD TWLCVYPNAGLPNPMSDTGFDETPDDTSSLLEEFASAGFVNMVGGCCGTTPDHIAAIA QKVQQLPARTVPEVPVKTRLSGLEALNIDDESLFVNVGERTNVTGSKMFLRLIREEKF DEALTVARQQVENGAQIIDINMDEAMLDSKANMRRFLNLIASEPDIARVPIMIDSSKW EVIEEGLKCVQGKPIVNSISMKEGVEPFLAHARLCRKYGAAVVVMAFDEEGQADTLQR RKDICGKAYHLLVDELDFPPEDIIFDPNVFAVATGIEEHNHYAVDFIEGTRWIHDNLP HARISGGVSNVSFSFRGNEAMREAIHTVFLYYAIQEGMTMGIVNAGMLGVYADLDPKT RDLVEDVILDRPEPVGKTDPADERTPTERLVEYADEVKGSGTKKEVDLSWREQEVEKR LSHALVHGITQFIVEDTEEVRQKIAARGGRPIEVIEGPLMDGMNVVGDLFGAGKMFLP QVVKSARVMKHAVAHLIPFIEEEKRQIEAAGGDVRAKGKVVIATVKGDVHDIGKNIVT VVLQCNNFEVVNMGVMVPCAQILAKAKEEKADIVGLSGLITPSLEEMAYVASEMQRDP YFRENKIPLLIGGATTSRVHTAVKIAPHYDGPVIYVPDASRSVGVATNLVSEQVDSYL AQVREEYELVRERHANRKATPIIPLEEARKGAPAIDWSNYTPPKPKFLGRREFRNFDL AQIVPFIDWTPFFQTWSLFGQYPKILQDEVVGEQARKLFDDAQAMLKKVVEQRWLSAG GVVAFYPANSVNHEDIEVYTDESREQVLFTWRNLRQQNAKREGVANKCLADYIAPKET GVKDYIGLFAVTGGIGIEKHEQRFEAEMDDYSSILLKALADRFAEGFAECMHARVRRD LWGYVGDENLDNQALIDEKYQGIRPAPGYPACPEHRVKVDMFKVLQTEDIGMQLTDGM AMYPASSVSGFYLSHPDSSYFNVGTIGQDQLQDYIARSGLPEEEVRRSLMSVLG MIM_RS19565 MKSCFAPTALALAILPAAVTAQTATSDATDTPITYLETIVATPA RTSQVLGDVYGDVSVITNETLRNAGGRSLTDVLAQQPQIQAYQQGGPQTLTGIFVRGA GPQQTLVMVDGQRINDPATGSTYLSAIDPTTIERIEIVRGAASSLYGSDAMGGVINII TRSDGQDKPLSVFGNIGVGTHSLFKASVGVSGASNGWDYRLAGSYASSDGFNATREKL GTFTYNPDHDGYEQASLSGAVGYTWKPGNRLGVSFYNGYTHGDFDSGAYDTNTFGIMR QQSVAVTSNNQLTDWWDSVLQVSVNRNLYDSRASYGNSVLGSIQRTYSWQNNFAINRE NKLSLVLERKDESIFGTTTYEQDKRHTNAVGLIYRGDFNRHHVQASLRNDNVSGYGSK TTGSLGYDFDITPEWSVGVAGNTGYRVPTFADLYTPLSFGYQGNPNLKPETSRNIELR TAWRTESTSVSVNAWQTKYRDLINGYVCDEMYNCTAENVDRATVRGISLNAEHRFDNT RIYAGADFMNPKDDESGNRLIRRAKHVYRIGASHTFGQATVGADFTHTGSRYDDKNNT REKRLGSYGVLNLHASYAFTKNLEAQVYWNNVLDKKYETAYGYNSAGSNIFLNLAFRM MIM_RS19570 MSRRKHAAFLSMTDAIKGALLAALLCSSALVADPAAATEKKAVS LSPHLTELAYAAGAGNHLLGVAEGSNYPPAASALPQVGSGVAPNAERIALLQPDVILA WGYKDSKDLYPNLRKLNIPIFYQAPTSLDDITDDIEKLGALFNTQASANATAQQLREI LSSTRERYQHAAKINIFVLVSQEPLYTLGARSFVVDALHACGAESAFENVSAPAPIVS KEQLLLAHPQAVLFAAKNVTAESKVLGAYFSSMGLRLQADQLLGMNPDIIFRPTDRLI RALPALCSDIDRIRRRLAPVASVSPLPPPHHRPSM MIM_RS19575 MIKRGFFLKMQQAVYDAIVIGAGPAGASCAVWLAHMGFSPLIIE SSGRAGGLSASNPFEDTWNVTAPGLTGEEVAARIKLSLDSARVPVLLNSHVTRIEREA AQQGGQEHFKVYFGADLPLHARYVVIASGVEPRRPPEWEREAYDDVLVGPGKHVANYE FALKDVAILGGGDNAFENALFLKERGAKSVHIYARTLRCQRHWMKFLNESDITTGAYT FDPETRQVNGRSYDAVLVLYGFRARLQGMQDLGLDCNELGYVRTDFATTQTNLPGIYA IGEVTHRQHPCVVTSMADGVVAAKAIQKQLEQGDA MIM_RS19580 MTTRRIFFTRLALDARIGILEHELRATQPLHIDADIDMHVDQQV NDHDIRSVLDYRKLREAIIEECTRAHVHLVETLGDMVIQRLLNDFPEVFRVRLRIGKP LAFSDCAAVGIEVEASRQ MIM_RS19585 MTSVATSSHQAALKQRQHENKLTKRIMREAGTAIVDYNMIEDGD KVMVCLSGGKDSYSMLDILLTLQKRAPIHFDIIAVNLDQKQPGFPAHVLPDYLTELGV PFHIENQDTYSIVKRLIPEGKTTCSLCSRLRRGILYRVASELGATKIALGHHRDDILA TFFLNLFYAAKLKSMPPKLVSDDGRHTVIRPLAYIAEKDLIAYSELKQFPIIPCNLCG SQENLKRQEVARMIAAWEKESPKKVWNTFKALSSIAPSHLMDRNLFDFAGLRPTGVAD ENGDKAFDAETFADAVDTPDADADADVVQGAASHDDRHNTPSSQRADTPAAGTLTAGN PSRARTIRIQSL MIM_RS19590 MSDSTLLHAPLGKETRYADHYDPALLFPIAREAGRAHLSLQPPP AWYGADIWNAYELSWLNPKGKPQVALARFGFPASSTHIIESKSFKLYLNSFNQTPMHD SDAVRACMQQDLSAAAGGPVEVTLFAPTNFADQTLGELEGTLLDTLDIDITIYAPDAG LLQADPTQSRHETLRTNLLKSNCPVTAQPDWGSLQIEYTGAAIDHASLLKYIISYRGH NGFHEQCVEQIFCDIMQRCAPETLTVYARYTRRGGLDINPWRSTQADFIAPDARNARQ MIM_RS19595 MKQPPYVSVSAAFSKGIATQMRPSMLFAIVLPFVIALITAVILL VFAWGPLDNWLDSTAMNWGWFQSTSARLSGWGFATMSDWFTGVLTFVALLAVSGIAGL AAAAILVMPMALKVISEGSYPELQKKGVNATITSLANTIKVSAIFVIGWLVTLPLWLI PFAGIALSLFWGAYAFSHMTRLDAIVEHATLEERVYVLRHYSRGFWLIGLVCAAIALI PFAGFIMPVFSILVCTHYGLMALKAVRAQPPEALAENSAAQAKRLP MIM_RS19600 MSEVQNFIRETVTEHPVVLFMKGTAQFPQCGFSGKAIQILRESG VKKLVTVNVLDDQEVREGIKQFSNWPTIPQLYVKGEFIGGSDIVSEMYASGELKTVLE QAGAFES MIM_RS19605 MALAITIESLTRTSGLVPLETRMLIGHTLGVTRSWMIAHDRDPL SDEQVVQIRALIERRLSGEPMAYIMGAREFMGLTFETTAAALIPRPETELLVETAIDY VRDNPRANVLDLGTGTGAIAVSIARFCPGALVLATDKSPDALALARRNAQKHRTAHVR FLESDWYDGIPQQAFDLIVSNPPYIQRHDPHLQQGDLRFEPPMALTDYADGLAAIRRI IAGARAYLANGGWMWIEHGWDQAEQVRYMLAKVGFKNIESRNDLSGIERISGGCFTI MIM_RS19610 MKSSMRSRLEQISQRLIEVDALLAEPEIAGDMDRFRKLSRERSE IDPVVALFRSYESTEADLHAAIEMSSDPELRDMAEEELKLAKERLEELEEQLQVQLLP RDPDDGRSVFLEVRAGTGGDESAIFSGDLFRMYSRYAEQKGWKVEVISENESEMGGYR EIIARVDGDGVYGRLKYESGAHRVQRVPETESQGRVHTSACTVAILPEADEISEVALN PADLRIDTFRASGAGGQHINKTDSAVRITHIPTGIVAECQDDRSQHKNKARAMSVLAA RINDIERQKQQQKEAAERKSLVGTGDRSERIRTYNYPQGRVTDHRINLTLYKLAQIME GSLDELTGALIAERQAELLAALGDDSL MIM_RS19615 MSLDVLTFGLNHHSAPVSVRERVSMPEQLLRPALDGLRSAFGAR VQEATILSTCNRTEIYCAAQPEVAEHIPSWLADFNSLEANELRPHLYQYNKDLAVRHA FRVASGLDSMVLGEPQILGQMKTAVRAASDAGSLGTLLHQLFQKTFSVAKEVRTQTAI GAQSVSMAAASVRLAQRVFGDLSKTRVLFIGAGEMIELCSTHFAAQKPSCMVVANRTR ERAETLASQFDGKTMKLADLPERLADFDIVVSCTASSLPILGLGLVQKASKARRHMPM VMIDLAVPRDIEPEVSQLDDVYLYSVDDLGRYVQMASDSRQAAVVQAEAIIDSRVQNF MHWMDSRAVVPVIRNLNQGADAIVQAELDRARRLLAKGENADQVLEQFARSLTQKYLH APMVALNRSQGEDRTALMDMLPRLFPYQDSSH MIM_RS19625 MKNLSTQKTILIIGASRGLGYAMAAEFLTKGWHVIGTVRGKDRT LLHELADKNPEGVEIEQLEVTDQQQIKALRERLSNKVLDILFHNAGTANKNQNESIAE LSTQEFERIMVTNALSPLRVIESLQDLVPASGTIGIMSSGQGSVSNNTNGGHEVYRGS KAALNMYMRSYAARHTGESRTLLLLAPGWVRTDLGGIEAPLSIEESIPKVVNVMLSQQ GKPGLQYLDREGKTVPW MIM_RS19630 MVEPDLNLLIALDALISEANVTRAARRLGLSPSAMSRTLTRLRA TTDDPLLVRAGRQMVLTPYAENIRGSTQDTVSAALAILRPSAASLDLSKLERTFTIRT NEGFVEVFGAALIASTATRAPFVRLCFSAKEEKSAKHLREGLVDLEIGVLGEMGPEIR LQALFRDRFVGAVRKGHPLLEPKNSITPAKYAACRHVITSRHGLICGPVDKALAELGL KRNIAAAVPSFPAAMAVAMSSDLVALVPSSLLLNRGSNNENETATTIRSFELPVKTQE ITISQMWHPRLDADPIHRWLRQHVLEVCQQQMQRINKTL MIM_RS19635 MAQDAMDGVRKFVHSVAVIVATLNKGMHEIINDTAFQKKLIDQG IEPMGGTPDELAKRIDNEVKQFGQLVKQINLKVE MIM_RS19640 MNFDEMLRAYQLTTRTLIAVFEKGDAITLRFDLYNDDDPVRCQE GMAYLLDVTVQRKQFRIDVGTTKLLHETFSGDILRAEPVDGVLCLVADCSFYVTKERE VIEITLTGNMVEIKEHPPAKYV MIM_RS19645 MDLGGNELGKRSANAMILLSRLFKSPIGDVKQVSEFIGVSYKAA NDLVSDFEKLEILQELTGQTRNRVFIFNRYVTLFAGDHN MIM_RS19650 MDSSSQQAFIKKAAALISEADGLLVTAGAGMGVDSGLPDFRGAE GFWRHYPALRASGICFEEIASPVYFPDHPALAWGFYGHRLALYRETTPHAGFQVLRQI ASHMPNNAFVFTSNVDGQFQKAGFGTDQIVECHGSIHYLQCIEICEQDIWPADDFKPQ VDTQKCLLLNEPPRCPHCGSLARPNIMMFNDWHWVDARSRQQHLHLQTWLQKTKRPVI VELGAGTAIATVRYFGERQKAPIVRINMHEAAIKKSARNVSLSMGALEALSQIQSALD DMGYFGKTKP MIM_RS19655 MRFSHQVLYRFTLRQSPLLLAALAAATLHATAQVNPPLTLAAAN FAFKDTSGEAKDQTADHARRLQALNEAIRQGLAQNTKIKTAALSCQNDKCNAAEPGLE ALAADAKKAGANHLLFGEVHKMSTLVGWIKYAMVDLNQNAPVCERTLSYRGDNDQAWQ HAAKFAVRDIERNCLNKES MIM_RS19660 MLYLKKIDEKNKGKEALYRQVADSLKTAISESRLPPGSPIPSES ALCTHFNVARSVVRQALALLVDDGFILKQAGRASVVAPRMKHRRNLQNSLSLHAQLER EGTPLQTSVIRLEAAAFPAEVAAFYGDINGLLLERVRYIDDEPISYVKTWLPKAFASL SSEDLIDQSLHRQLQERFNRQPQQGRNQIQTVPCDKTLAGYLKVKKSDALLQLQACYY DQHGLPLEWFTAWHRADRVVFDIAVDASLENNIQVKDFQRAIK MIM_RS19665 MSTKKLPVLAVTVGDVAGIGPEITAKMLLNHPRLRDMARFVVIG DVASLRKAVANLNGDVSKVREISAPAEASNEVGTIEVIQVGPSLEEVELGKLDARAGA GSALFVMKACDLARKGEVDGIVTAPLNKAAMHLGGHKWPGHTELLAHEFGVKNYSLVL SAGDLYVFHATTHVSLRQAIDDVNPKRMDDILQLVHAFSKALNRQDEPIAVAGLNPHA GENNIFGTEDSAILTPAIERAKAAGINAVGPIPADALWPQAVRGKWKFLVACYHDQGH APFKAVYGDDGVNITVGLPVVRVSVDHGTAFDIAGKNIAREESLILAAERAASLSLGW ASVWEAASKSEGV MIM_RS19670 MKPQIAIIADDLTGAGDSAVQFVRCGWETQLYVGGSEEAFALGD MQAQVVSVNSNSRALAPQAAADAVAREMQTFRKHDVRHVFKKVDSTLRGAFAAEIEAA RQQWHADAIAVVCPAYPATGRTLEHGILLVNGIPVTDTSAGTDPVTPVTESSVPTILN CNLVSPTQDDTPATLAQKIGGAGAIVVVDARTDADLKLLAQAIVLLGERALPVGAGGL AMAMAAAWASDHIAGDIVLAVVTSQHSATRAQVAALQEQGATVQTPEPQVLADDALWQ SWQQEMLEQVSGSDMASRILVLLAPARQVPGLTSATVARRLGQLALTIAGTGKVRGLI ATGGDGAEQVMMALQATGIRLIDEVSGGVPLGTLIGGNYTGMPIVTKAGGFGSEHVLI QAAETLMERKFK MIM_RS19675 MTQERSLFPMFQTMQKIPGGLMLIPLILGSILGTFAPEALDIGS FTTALFKNSALPLIALLIFATGTQVNMRTGGPILATAGTILFCKTIIPASLIVLLGSF VGIDGVWGISILALLAAFDNSNGGLWLAFTGQYGDARDRGAYVASAVNDGPFFSLLFL GASGLGDIPVIALVAALVPFLLGVLVGNLDVQWRKVLDPVPNIVIPFFAFALGTGINL SAIVSGGTTGIILGFLISPITGFLVYMGYKIILRRGGKSGIGFAAGTTAGNAIATPAI VAAADPRFQVYVETATAQVAACVLISSIMAPLLASYFLKKAGELKPVDAGISDIDTSA GEPVKL MIM_RS19680 MAITTSAQDKTGQPVAGTASFSKFMRVLDAIAANSGSGVSIQDL SVSVGYPKPTLYRIVDALLAEGLIINKGGQLFGLGPRLISLASQALESSDLRKVCREQ LMALRDQTSETVHLAVPVNGAMTYIDKLESPQAVRMNSRLGSQVTLYSSSVGKAYLAA LTDDAQRRRLISAITFEKFTDNTLPGTDALLQELLDIERQGYSEDREENERDIFCYGC AIVDKHARPVACISISIPLFRIAADRRQTYIEPLMAACRALSQKLRLLDIDA MIM_RS19685 MSFRQDLLQGKTAVVTGGTQGIGRAITETLATLGAQVYAAGLPS NSEGAYSHTTITEVIVDVTSDKEVAALAASLEKVDILINCAGIIARVEEFKLPVFERV IDVNLIGTMRLCEALRPLLATSNGCIVNMASMLSFFGGGLVPGYSASKGGIAQLTKSL AIAYAADGIRVNAVAPGWIATPLTEALQNDESRAKPILDRTPLKRWGTPEDVARAVTF LCSDASSFMTGVIMPVDGGYMVT MIM_RS19690 MMNSKANYDFSQRTAVVTGGSSGIGLDIAQRLLAAGASVTVWDL KAPPPALQQQGMQFISVDVCDIRSVQDACDAVVARSGQIDMLVNCAGFAGSTLPLEET DPQEWQRVISINLLGVYNTCRAAVPVMKQAQAARIVNIASLAGKEGTPNASAYSAAKA GVLALTKSLGKELAQTQMRVNAIAPAAINTTLLQQMSPAHVQTMIDKSPMKRLGECEE VSELTLWLLSASCSFSTGAVFDLSGGRATY MIM_RS19695 MTEPIPYRYPNPKEALKDIVVPNAVPDDERVWVPQAPNVWFRPL CLNVSQGYWMNLLRVRKSGVLSCHRHPQPVHGYVIKGTWRYLEHDWVATEGSYVFEPP GETHTLYVPEDVEEMITMFQVNGIMYYVDSEGNHTGYEDVFTKLDMCRKHYESVGLGA DYVDQFVR MIM_RS19700 MIYPRRALLGSLLACAGLATIPALAVAADNYPAQPITLVVPFQP GGGTDAVARSFAKAAEKHFPKGMIVLNKSGAGGAVGWKYVLNQKPDGYTLAVVTGEFV TLPLLNLFDRSYKDFTPLVQLNADPTTLVVHNDSPYKSVEEFVAAAKKNPDGMNVGTP GTGAVYDVALSAFEVKSGTKVTHVPYPGSGPSLLALLGKQVDAVATSPAEAAEYVRSG KMRLLVVMSDERVPEFKDVPTAKEAGIDVSYGTWRGVAGPKNMPAEAVQVLKAAFEKV AQDPELIKSIKQQNLGYVYADGTAFEQKMANETEGYSKVLQELGIK MIM_RS19705 MNLQYMEISIGQIGLAAMLILANGLISILLRLRLEKQLLIASVR MVVQLFAIGLILKWVFAADKWYIVLAIMTVMTLIAGLSARNRSRIRYSGMQFDALFSI WIPSWLTMAIGLVLILRIQPWYSPQYVIPVLGMIMGNTLTGVSLGLDRITSELTQRRD HVEMMLSLGASGWEAYREAAQTAVRAGMMPTINSMMVIGLVSLPGMMTGQILAGQDPE QAIRYQILLMFLLTASSAIACVLAVLLVYRRVFSPQYNFLYWKLHESSK MIM_RS19710 MVTENSEVKAPALLQASNLSRKDPLNGHFLLHPADCHIRRGDRI VLSGASGSGKSVFVRTLALLDQPDAGELILYGKPVQPADACRYRAQVAYIRQSPVLMQ TSVEGNLTFPFCLAVNKNKKYDRQKIERFLQAIDRPNTFLDKDGSTLSGGEKQLVCLL RVLQLDPCLLLLDEPTSALDESTAQLVEKLIEHWMQVNKQQTATLWISHDEQQKARVG NRVWQMKSGQLTTDETAGRQK MIM_RS19715 MKLSRRTKSILVLLGGLAVIVLTWNGLGKQYPQINEWLYLPERL YRTLRTLMGSDPVASSLPPENLPWQLTLVKIVVTVALLAGMYRIAQKLFVEYYTQFRL LFRRNQILVIGINQKGRALLGDLKNTHDTTGVAIELNAEHRNAGALRREGHLVYFGDA TQSTVLQDAGIRSARFMICFLDKEQTTINVVQALHQITQKHPGKYQVRCFLHIGNAKV SAMLQQSDYFEDEKQNGIDLRFFNHHQMIARQFFARLPYDYAQNMQDPQAVFRLIVFG TGPTARALLVQALQVMHTLNPASPDIIVYGANAEQMGRELAAEYPGAAMVSSIKFMTF DGAYEPILNELVIDPPEHLVPVVIVAFDDDSANLKLSLEILHATPADVFRVYVLNYQN DGLNALLRSRQSRLNRLTFFGSLESVCQVELITQERLDITARSIHEDYLQQLAPQQGS ISESEAFKQSWDMLNEQARDTNRAQADHIAYKLAMCGKLVGTPEQGAGVHGALSFTAE EVQMLAQVEHARWVAQRYLAGWRYGPQRDDRRRLHPSMVDWATLSEPEKQKDRDVIFR IPYLVPDKPGRPGDSGEPGKQGKPSA MIM_RS22285 MTEEAADSRQTYAAFISYSHADNREEGRKWADWLHHELETYEVP ADLVGKPNRAGKPIPANIYPVFQDEKELSASSNLTSALTTALDASAYLVYLSSPRSAR SVYVGEELRHFKRTGKSNRIIALILAGEPEYGTESTELQCFPEVLRYRVDESGNIDHS VSQEPIAADARLPGTQEQGFTTPQAYRQVLQDDKTLSRKEVDRRVQAYKDRLELAKLK IIAGLLDVPLGDLTQRDQAYQLVKARQRTKIVKRIAVVIGVLALMAVALGIYAWTERN SAQTMLSRSLFLSGLNRIDQREVGEGAAYMAAATRYGNERTALFVQSMLMRENGMTLM PRVESAPVFSPDGHWIAAVDASSNAKRGVQIWDAYSQKLHTILSDVNASSLSKMKFDN RNVLYFTRADSKIGAWEEGKPARIVYEMPSDLRLASFTPDDNGNWLVIQAWAGTNGEA QYIKSQIVNLRTGQIVVDEVPTPRSQSTSSERVVIEPQGRAIAFYASDENNSRVLVYP VNAEGELQQARNYPVPGGVANVRFSPDAQHLFARAVKGMYHIDLQRDDRQVSKVPAKF VPDDVYFNDDGKTYTTLWGGQYTVHEMATDKVLLTGESAINLERLFHDISSGVSPDMT QRVVNQQGSYYLVTDLAPSLLRSQFVLDSALVSLYATPDSSGVLFLKKNSHSLQYLAL NGKGGVRDFVNTPSAISYFGVLAENDVVYAVSTPEKDGFNQLRFFRAGTGKPIGKTMS VKGLLSFSHDGTQFSSRIDDTHLGIWNIESGERVRTVDLKKKEKYKLSEDLSTLLSLD VRGNWRVTDIATGKQLHQESTPIKGAFFTPDNQYLLTFFNDRAQLYDLSDFTKPLKFP TAGDLPKARLSPDGKVLAVAEDNRYIRLWNLEKQRPIGQKIKNDALGGYMNFSADSKY LFTTDPQTLGSDKGIAMYDTTTAVPVVMPFGTSRITDVEMMPDGKDILTLDVRADQVV LNVWAVPNALLAPANELADQTEIYFGKKYDSESAAVIDAPGVSQRPDSWFFQDPYVRP PVPGSSRPLTAYLDKYIPVRDDDQLRLIDRYWRFHPMARAALAVYYSRNKDTAFVARS LSHMVRLQLNRVKDAGVREKTTALLEQAQKNMQEHP MIM_RS19725 MLDTNMVSLALKNHPLVVSRLTTVPMASLCISVITQAELLFGLA KRPQSKSLHTLIHAFLTHIEVLHWRSDSAASYGKLHATLEKQGRSLGALDMLIAAHAV SEQAVLVTNDRAFMQLPHMVVEDWSE MIM_RS19730 MTQIAKLFANGRSQAVRLPAAFRFEGTEVFIRKDERTGDVILSQ RPPTWDAFVQLVGKHPAPQDFLSKDERGASEQQDRDPFAE MIM_RS19735 MLEKSAIIPCLRYKDAPAAIRFLCEAFGFTRHAVYADDKDPGII HHAQLVVGNNMIMLGSDRESDSKDLYHWLTPDEARGITMCICMLVDDPDAHADHAGKH GATIIKPPYDNPGYPGRSYDACDIEGNVWNFTSYDPWLAG MIM_RS19740 MSTIDRQSALNYHEFPVPGKISITATKPLVTQRDLGLAYTPGVA AACEEIVTDPLNAFRYTARGNLVGVISNGTAVLGLGNIGALASKPVMEGKAVLFKKFA GLDVFDIEINETDPDKLVEIIAGLEATFGGINLEDIKAPECFEVERKLRERMSIPVFH DDQHGTAITVAAAFINGLTVVGKKIADVKVVSSGAGAAALACLDLMVDLGLPLENVFV TDIDGVVYEGRNTPMTPEMQRFAQKTEHRTLAEVIDGADVFLGLSAGGVLKPEMVKTM AARPLILALANPNPEIMPEVAQAVRDDVVMATGRSDFPNQVNNVLCFPYIFRGALDVG ATTITREMEKAAVYAIAGLAKEEISDVVATAYGGYDLSFGPEYLIPKPFDPRLIVRVA PAVAKAAMESGVATRPIDDMEAYAAKLQQFVYHSGAFMKPLFASAKQIVRDGGKARIV FTEGEDERVLRAVQVIVDEKLARPILVGRPSVLLARIEKYGLRLKLGEDVEVTNPEFD SRFHQYWTNYWEVMCRSGITKEMARVEMRRRLTLIGAMMVHVGDADGMICGTVGSYHE HLRFVDQMIGKKPGTSAYAAMNILLLDERMVALVDTHINDDPTAEQIAEYTLAAAKVL SQLDVVPKVALLSRSNFGSGSSASGEKMRRALQLVREQDPELEIDGEMHGDCALNEVL RQRILPSSTLKGSANLLVCPNVDSGNIAYNLLKTVAGGNVAVGPFLLGANAPVHILTS SSTVRRIVNMAALTVIDANRVES MIM_RS19745 MRHLTLLLHIGELGALTRVAQQMGTSQPAVTHALAELEDMFGVP LFDRTGRGMTPTAAGKVLLTRARRMLHDVSALSREMTAVNAGRVAHLHVGAIPFIPGQ MLSAALERTLPVRERMTVTIHDGTSRSLMTMLREHALDFVIGRASSSLDMTGLQQEVL YYQSPRLITNRELAARLGQRRADWHHLAELDWILEPAPAPLREQVADMFLSAGVVPPQ PLIESLSAKLTGEIIAARDHVVSIVPNDIAEELVRIAGVAVVPWSLQWALSPIALFSL KDRHKRDVDTRFIQSLKEYCEKHRSAYSHDRYLY MIM_RS19750 MNVQMTETIWLNQDEVCSLQHVAAVSGLSEADILDLVETGVLSP VSGQASSGMFYSECIVVARKARRLRDDFDLNADGVALVLNLLRRVDALESELLALRPA PHRDPQAK MIM_RS19755 MKYVDYYKTLGVERNATEADIKKAYRSLAHKYHPDVSKEPDAEN KFKEVAEAYATLKDPEKRAAYDNLGQHRQGDDFVPPHQWQQHFHESTDDFSDVDLSDL LKAFAEAQREGAAQYASRPRHGETFEFTMPITLEQIYNGAETEISVAVPDYDSQGLLH RVPKTFRIRIPKGAADGQRLRLAGKGGQGMNGGQPGDLFVIMKVQPHRYFQVNGNDLT LDVPLAPWEAVLGASVEIPTLGGPVELTIPPGTVAGRKMRLSKRGLPGSGSSQGDLYA VITIEVPKSVSDREKALYTELAAASQAFAPRRQRFAGGQS MIM_RS19760 MKNLKIEPLWSHIYWLGVLEVAGSYTAAAQRLGVSKAAMSQRIQ ELESALGIALVQRTTRSVRLTEAGRELVAETRPAFSSIETGCARIRELADTPQGIIRL TAPVALARQQIIPRLPAFMKQYPQIRIEIELSDHISPLAQEGFDLAIRHSSTVPETYV AWKLCTTRTLLLASREYLKTHPRPMRPQDLVDHNCLTYLRSGGQPAWHFESRRSSSRR LSVQVKGSFAANNSETLREMLLAHQGIALVPDFSVARELDRGTLVELLPQWQSVSVFG DHIYALRPYSPHVPGIIRLLVDYLKASFREQSFL MIM_RS19765 MSSTAAFTDNADVVNYINGSIVTPESTEKQAVFNPATGQTARHV VLSGANEVNLAVSAAKAAAEDWANVPPIRRARIMNRFLALMHEHTDTLAAIITAEHGK VFSDAQGEVARGIDVIEFACGIPQLLKGDYTDQVSTGIDNWTMRQPLGVVAGITPFNF PCMVPCWMFPVAIAAGNTFVLKPSERDPSASLFMARLFKEAGLPDGVFNVVQGGKPAV DAILEHPDVAAVSFVGSTPIAHYISERAAHFGKRVQALGGAKNHMVVMPDADIDRTVD ALIGAAYGSAGERCMAISVAVLVGDIADTIIERVAERAKQLVIADGMNPKAEMGPIVT RQSLEKIESYIDIGVKEGATLVVDGRGHKVAGNEEGFFTGGTLFDNVTPDMRIYKEEI FGPVLACIRVKDLGEAINLINAHEFGNGVSCFTSDGHTAREFSRRILVGMVGINVPIP VPMAWHGFGGWKKSLFGDMHAYGEEGVRFYTRQKSIMQRWPQSIDKGAEFAMPTAK MIM_RS22290 MHTPTQPGIPRFLQDGVFYQEHRPDPAFREQIYCLWQLHTRYTL PGNCHYLVVPDACIDLVFDLSEHIAPHVLVMTPGIQALELNLGKHFRYCGIRLYPGVW RDSQHIIAQSQSFSTLGGVNLRSVMHQLATSSATAQQQQLQHFVQQLTTQGIVNERAW MHQLLAQADRLTSVDDLVRLSGYSRRHLQRLFPEKTGFSAHDFLKILRFQQVLATQRI DAYTDQSHYIREFKRITGITPVVFQQQYPQQQ MIM_RS19775 MAEIYNTRAARAPMIMALIQEPMMKKVYSIVITDKLQACADFYT RLFAFSLVFQEDWYIHLVHEQSGAELAFMAPNSANQPPQLQAPYQGAGVVLSIEVDDA EQEYRRLTQGEACNIFLPLKDESWGQRHFMLTDPAGVCVDVVEQRAVSG MIM_RS19780 MNHAVSNEEVLKRVRGILSRQPFSALLKTEATQALADGTCEFRI SIDNNLMQHMNMVHGGVLGYAADTGLTFAAGIVYAAPVITSEFKINFLRPVVGQALIA RGSVVYKGRSQAVTRCDLFVEKDGEEKLCATAQGTIVLLPESGGAGA MIM_RS19785 MKVGTTVKEIAFLAWPLVLMQLASIALTTTDLIMMGMLGPTEIA AGGIAITLFGLLRTSSVGLVTPAANLFAQTWARGGVSATARLASQVRLCLLLATACGA LMAAVMLIVFPLLRYLGQDPQLLSLGYSLLIFLAPSLIPLLWLQVLRNATVALKRPGP LMLITLIAVAINIGLNLLFVFGVGSWTGLGLPGVGLATLFTQTLMAVGFYVLVRRDSL LAPVLRLPTPGTVSLRSTRSRLFIREVARLGLPTGAAYASEAGFVSVLTLVAGTLGAA SLAAHTLAFQYVNIAFMVAIGLSHAVSIHMSHALPERDTSRLRLLARAIIWMGFVAMG IVAIIYVTLPETLIGLILKKNTENVEEVLQIAVPLLALAALLQFADCQQNLAVGAMRG ILKARDTFVLTMVGYWLIGVPVVLVFTYVLHLGVNGIWLGFFIGLSATALLLWRRFYY YVRQMEQQPRP MIM_RS19790 MNNLDLGLLRTLVTVEKTNTFSGAAEVLFKTQSAISQQMQKLEQ QMGYPLFQKKGRRLVLTMQGKQLAEYGRRLLAINDEVLRTVGQQQMEGHIRLGATLDV ADTILPLMLTYIARQAPRVKLEIQVDRSPSLMVSLEAGDIDLTISTRDDPALNGFVLR TSPTAWVCAADFPYNRRAPLPLILADEPSIFRRLALSALEGTQIKWHINYLAPSLVGI KAAVRAGLGVTVRSVEFLGPDMRVLGEAEGLPPLPFVTYRLLTRKDTISPLTLHIYEM LRAQFEYIDD MIM_RS19795 MTSKQDKQVAIRQFIDITKDIDSAQLDREKLAKIRDALVGIAAH KEWWGQDLYPAPTGDELQARYLIHAEPDNSYALYLNVMKPGKKIVPHNHTTWACIAAV EGVESNYLYERTDDGSVPGHATVVQTGMQAVEPGHGIALMPDDIHAVRIEDDNIRHLH MYGRALETLTERMAFDTSNNTCKIMDVGVKTQRSKG MIM_RS19800 MSSVSRATQLASLGRPESGWANTPIIKGSTYLFDSLAQWRAARA QRDTDRVLSYGARGNETVYALEDAITAIEGGYRSKLFPTGLAAIATTLLAYLKAGDHL LISTGIYEPMRILCETQLTRFGITYSEFSPTDPHWECQLQPATRMVYVESPGSLLYDM LDLPALSQICKQRDILLCADNTWGSGINYQPLNLGCDISIVAATKYFGGHSDVMMGSV TTGQDCFAQLEQASINLGQTVPAEDAFLVLRGLRTLELRLQRHASSALRIAQWLDTHH AVKTVFHPALPGDPNHALWQRDATGSNGLVTIEFDPDLSSKKIEDAIDGLHLFGIGSS WGGYESLALPADPQRTRLRNSEQLGYLLRLHIGLEDPADLMRDLDTMFNSIT MIM_RS19805 MKYINAHALKQQIHDTDEIAVLDIREHGQYGENHLFFAVSVPYS ALELNIERLVPRKNTRIVMYGNQHENDVAERACLAATALGYTNISILQDGVQGWQAAG YATFAGVNLPSKTFGELAEHVYDTPRISAQQLQALLRDDSNNIVVLDGRPVPEYKKMN IPGSVCCPNGELALRAGELAPDPATTIVINCAGRTRSIVGAQTLINLGVPNKVYALEN GTQGWYLADLPLEHQSDRLYPRSVQDHNLPTLQRRSATLAARHNVQAVDAAQVQQWLA QSDRTTFLCDVRTPEEFGQDKLPAGVQHTPGGQLIQATDEFIGVRKSRLVLLDFDKVR APVIASWLRQLGWEAYLLQHPGQLQITAATDTHHQALRNTTVLTSDQIASFISAHPEA MLIDTRPSMQFRRLRLQGAIWAIRPTVTSVVPKDKDKAILLIGEHADKLHLVAADLER AGHTRIYMACLDSEQISRSGLALDTDEAVLPDEQCIDFLFFVHDRHQGNKEAARKYLE WETNLISQIDDLERQTFSFD MIM_RS19810 MKSRNHSPRTNPAFSRKSAWLLVALLASSWSAGANANRLADIKE RGTLICGTQNASSPYGYQDPRQRKYVGYDVDICQALASELGVKLQHKPLSTEARIPEV KMGRVDIVAGSVAWLPKRAEEVDFSLQYLQGNIKVLVKKDAGIKTLADLAGKKVCASS GSSSAAIAQKTLPKAEVLTYQNISQCYLGLQNDKVQAMSAGELVLLRFANDSQKTETP AVLLDEPTATEHIGIIMNKGEPELKAAVDNALTSIEKSGELDKIFNKWLGDDSIYKLK RTFKVEPVAQLDSSTKN MIM_RS19815 MNFSLSLLSDPETVHLLLTGLSVTLRLFLGALVCGFAIALLLTA IHLIPSRIVRAMIGLYVEYHRNVPTVVQIMVWYFGMPEVLPKALKMWINQGNSEFSFA LIALSLNVSAYYYEDIRSGIKAIAGTQLEAARAVGLSSVQALRFVVLPQAVRIAVPPI INRSLILFKDTSLAMVIGVTELTYQVKRIENITFQTFQIFMISTALYLTISLLIALLG AHIARRYPANFKR MIM_RS19820 MYDFIDTYGMMFLVGSWPAGPIGGFAGTLILAALSLAAAFPIAL LIGTGRTCDIKFIRVLSTIWVYVFRSIPLIMIIFWAYFLLPTLMKTEIPPFWTAVSAI VIYESAFLAEIIRGGLQALPAGQVEAARAMGLGYFQTLFTIQIPQALTNMIPSLLNQF VSTIKATSIVYIIGVNEAAFSAQQINSIELTGTLRTYLVLALFYFLICALLSRLAKML EAHLNTKRLGSAT MIM_RS19825 MIKFENVNKWYKNYHALRDINGQINAGEVVVVCGPSGSGKSTMI RTINKLEDIASGSISIDGQCINDRNVNINQLRAKIGFVFQHFNLFPHLSVKDNVALSP IKVAGHSRQQAYETAEALLDKVGLYAKKDEMPANLSGGQQQRVAIARALAQQPPVILF DEPTSALDPEMVGEVLGVMKKLAADGMTMVCVTHEMNFAREVADRIWFMDQGQLLEDA TSNTFFSQATHERARKFMADILH MIM_RS19830 MNTNFIRTFLLVHQLGSMAQAARKLNVTHGTVAQQIASLEKEIG VTLVARAGKTVHVTEAGLRLLDKLMLIINEIDALPGVANSNEIRGELRIGAGNSVLNS ILPDILSLLVRRYPEVHVVVQPGVSPDFYRDIENGDLDAAIAIEPPYTLPKTQEWLLL REEPFVLLASEVHSGKNPEQLLRAQPFIRYDHNSWSGKLIEQYLRAMAIVPVERFELN AIESIALMVNQNLGVAIIPDSANLYKRDLKIIKLPLPEVSAPRRFGLVWSRKSTRIRL VQAFREAALMAYQVLNRQG MIM_RS19835 MKTLIFSMALLTAASGVYAAEKSVTVYHDPNCGCCTGWVQHMRD AGYSVNAIRTADMSAIKQKLKVPAALASCHTAVVDDTGQIVEGHVPASVVDKLITKRS VTGVAAPGMPANSPGMGPMDGNLVTVDFSGQPFSRD MIM_RS19840 MKFQIENMTCGGCVRRVTAAVQAVDASATVSANVGEHSVDIQST STQQALMDALETAGYPARPVV MIM_RS19845 MKSKLFVPASRPELFEKALNSQADALSFDLEDAVLEMHKDQARQ QLNDFLQSLQPESQKKTIIVRINDMGTAWFEDDLQACMVDAVDMINIPKIESSEQMKD FFVAFDKAASALDKPPAILVNIETALALINAAAIAATDRRIAGLQLGLGDLFEPLGIR RYEPATVHHVMLNLRLAAGSAGIYAYDSAYANIGNSEGFRQEAMLAKSLGFLGKTCIH PSQIAIANEVFAPSQEEIDWARKIVESADQASHGAYVLDGQMIDVPFINKAKMILRQI GQ MIM_RS19850 MKKNALNHQSVLKAACSALLASVIALPVSAMAADAAASFPGDRP ITLVVPYPPGGSNDTFARAVGMKLGTLLKTTVVIENKGGAGGSIGTMQVARAKPDGYT LAAVSSSFTTNAAIQPSLPFDPIADLRGVGLMAEGPFILAVRKTLGFTKVSELLDYAK ANPGKLNYSSSGPGSSNQFATEMMNSLAKINMTHVPFKGMGPATNALIGDQVDVLIAS GPSLLPAVGTGKATALAVTSSQKSQVAPDLPTIAADVPNYNFKIWWGILAPKGTPDAV VTKLNDALKQVTADEELKKFFIKEGAEAAYMTPADFDKEISDNINLWKKVARDSDIHV QK MIM_RS19855 MTSTTSGNTALPLAGIQVLDLSAYIAGPYGCTLLADLGAEVIKI EPPEGDNLRKYPSTLEAESRAFIGVNRGKRGISLDLKSAEGYDIFLTLLHKADVLVHN FRPTVPPRLKIDFQTLSQLNPRLVYCAMTGYGSTGPMANNAGYDQVLQAMSGICDSQG MGKAEPEIVYGSVVDFYASAMIANSVNAALFKRERTGEGSYVEVSLLASALTMQSTRL VWAEGEPKNIERDMRSGGITGIHPTKDGFIYLSANTPHFWEALCSLLGLASLASDERY DTVRKRAEQASVIVPIIRDALQQRSAAEWETHFGTRVPCAMVRPVHEMFDHPQVQAEG MVREFSHSKIGKYKSITGLIKMNQAPCATARAAPDFGQHTSELLAEYGYSEQEIDLFR ARGIVR MIM_RS19860 MSTNAHWKQRPAGANWGDFGADDQLGRLNWIDAAARVRAAREIQ QGLSFSLSLPLDVPRQPVLNPRRAGPVIRPSMKNGVPIFNFPLGTDTPGATDVVSDDV VTMSPQYSTQWDALGHVCSCFDAQGSGTAAPVGYNGFRVVAHQHSNGNSDTFNGAAAL SIDPMAQHGIQGRGVLIDLRHHFGDEARLIRFADIEAVMKADSIELHKGDIICFHTGL ADIALNLRADDDHEILKTSCCALDGNDPQLLEWITESQVSALAADNHAVEKRNYALSK EQGPLLPLHEHCLVKLGMPLGELWHLSYLAKWLRDNKRHAFFLTAPPIYLRGMVGAPV NPVATV MIM_RS19865 MSLNKTLIAFSLGCSVLAGSTAWAADYPSKAIRMIVPYSAGGGA DNAARIIAKALGDTLKQPIVIENKAGASGSIGATQVARAPADGYTLLYDASSFSINPV LRKLPYDPLKDFVGIAKVVSSPYLMVVPANSPYDSVKAYVDAAKAAPGKLTFASYGIG SPVHIVGELLKQETGVDIVHVPYKGGAPALVDVMSGVVDTYFANAASAMSYIRGGKLK ALATTASKRSSDLPDVPTMEEQGVKMDVSEWKGIFAPAGTPEPVISKLSEAINTALKD PATIKQLNNLGMQVEESSPESFKAFITDELSKWDAVAKKNDIKLD MIM_RS19870 MTLFRNATVRWLNIFLAVGALTFAAVSVAATADQNFPNKPIRLI VSYPAGGSVDVAARILQEPLSKGLGQSVVIENKGGAGGTIGTAQVAKAAPDGYTLLLT LSSHTINPAIYAQLPFDTEKDLDPVSMVASAPQVLVAHPSFKPSTIPELIAYAKAADT PVIYGSAGVGSPSHIAGELFKKLGQVQLTHAPYRGGGPATVDVLGGQIPLLWVSLPAV TQYIRNGKLKALAVSTKERTPVLPDVPSVAETLDGFNVDSWYAMFAPAGTPRPIIDKI QKVLAQAAGDKAIQDAFLAQGAVVVGGTPEALDAVVKKEIPAWKTLAKEANIKIN MIM_RS19875 MNKKEFFLPGTPLYFAVKQSLLAALASGEWVRGQAIPPENQLAE KFGVSIGTLRKAVDELVSEHILIRHQGRGTFVATHESDQHFFKFFRIQRRDGYKTYPT TRLLKFRRRKATREACERLQLPKDASVFHFFNLLSLNNDLVMVDEIQVPEVLFATLNE QSLGERSSTLYNFYQNNFGINIVDTREKLSVCQADSAVAGWLDIAEATPLLLIDRTAY TYQDRPVEWRQTRANTAKYEYIAKD MIM_RS19880 MIHAVLHDSKDTVAVAVVEGITAGMELACWNMEEDKIITVKATQ DIPIGHKVALVDMKDGDTVFKYSVDIGKVVAPIKAGDHAHVHNIKTKRW MIM_RS19885 MAIIDSNTTFRGYRRDNGRVGIRNHVVILPVDDISNAAVEAVAN NIKGTIGIPHPYGRLQFGADLDLHFRTLIGTGCNPNVAAVVVIGIEEGWTKKVVDAIA QTGKPVAGFSIELHGDHDTIMRASKKAKEFVHYATALERVECPIADLWVSTKCGESDT TSGCGANPTVGDAFDKLYATGNTLVFGETSELTGGEQIVAARCANDKVREDFMFMFNR YQDMINRWKTSDLSESQPTKGNIAGGLTTIEEKALGNIQKIGKKCKVDGVLDKAEMPT GKGLWFMDSSSAAAEMVTLCAASGYAVHFFPTGQGNVIGNPILPVIKICANPRTVRTM AEHIDVDTSGLLQREINLDQAGDKLLECMLATANGRWTSAEALGHREFVLTRLFESA MIM_RS19890 MKHRHTATKRSILKFGLLSLFCASTAIVAPAAQADWKPDQYITY IVPFAPGGLTDVAARMVAKGVGDKTGWNIVVNNKAGANGNLGPAEAARAKPDGNTWLA ITMTHAVNKTLFGQKAGYDIEKDFVPVAKIASSAIMVVVPQNSPIKTLQDLIDTAKKK TLNVGSSGTGTPPHIAAALFQELTKTKMTHVPYKGGAPSMVDLIGGQIDVVFSNYPES LSYVQQGKLRALAITSEKRAAAVPDVPTTAEAGLPRLMVDNFTGLMAPKGTDPALVKE ISDKVTAVVGEKAMGEQLIKLGFIPDPMGPDQFKTYLHDQIEKLAKTIKDADIKVN MIM_RS19895 MTNTIVISEFMDEPAVDRLRQIAQVDYRPDLVDQQEALYEAVSA ANALIVRNRTQVNAQLLAHAPHLKVVGRLGVGLDNIDMDLCASKDITVYPAVGANAQA VAEYVIASTFVLLRGAYLASDQVTAGQWPRAQLGNGLEVAGRTLGLVGFGGIGRLTAR LASALGMNIAAYDPMIKSDSTVWAETGAMQMSLEELLQHADVVSMHTPLTKETRHLID ARRIALMKKESILINTSRGGIVDELALVAALQAGKLRGAALDVFEDEPVKTGNTLPDM PNLILTPHIAGLTQEANERVSGVIAEKVMGYLLGE MIM_RS23280 MAEASALENSHSRVFFYFLLEGFAAEFAAILITGIAGYFDDFVG IFERAHLGSCTGVAHKDIRVHRIEVLGFLP MIM_RS19905 MDIGLWRNRSLPAFLDAPLKISALGTPGDAIRLGWGRKKSGLRA VRLAASAGERFCLLEDGFLRSVGLGVDEPPLSVLFDHQGIYYDASSPSWLEYLIAQQL DADETIRAQALISLWREQRVSKYNHLPEFAGSLPARYVLLADQTFGDASLRYGQASPA DFVVMLECALRQHADCTVLIKIHPDVFAGHKKGHFDLAALQDNPRVRIIADNVHPVRL IEQAQAVYTVTSQIGFEALLWGKPVFTFGMPFYAGYGLTTDAQPAPPRRQPVSLEQLV HAALVGYARYLDPETGSLCEPERLMEWMGLQRRMRNRFVSPVYAPNFSRWKKPIVQRF FQGSEVRFLEKAGDFPGEGTLAVWGRPADLSQFADKRVFLEDGFLRSVGLGADLVQPL SWVIDRTGIYYDSSRASDLETILQTAVFDDALRQRAAVLRERIVAANLTKYNVGSGRW QRPAGAARVILVPGQVETDASIKYGSPVVPRNMELLRAVRKANPDAYVVYKPHPDVVA GLRDAGKNEQQALDWCNEVVVDYPIGELFAVVDEVHVLTSLAGFEALLRGRKVVTLGQ PFYAGWGLTQDMNPVARRDRTLSLDELVAGVLILYPSYVSRRSGRYTTPESALDELLD WKAAGVSAMPPWRKAVRPVLGWIARLRGKR MIM_RS19910 MGGTSILPGGGFAHLKIALISDEFTRVCISQNARVKNLSPLNYK HILKFWKPDLVFVESAWKGIDESWKFQIAAYPDHPDRNNKSLKKLVKYARKLGIPTVF WNKEDGVHFERFIDSARLFDYIFTVDETCIPRYREHVAENVYVGTLPFPVQPLFHRFT GFDFKYHTANFVGSYSRHMHDVRRYWQDAAFQACSDSGLGLIVYDRNSDRKAAHYRYP ALPSVQVRHVIKHEATAKVYKDNLVTLNVNTITDSPSMYSRRLIEALACGAIIVSNPS LSMKHMFSQFCHVVSNREEMMAIFERLKHGPANDDLERARAGAEFVLSNHTWEDRLLL LVETLGLHA MIM_RS22300 MATVNLDLGGLLNDPEKTVDQSSYDGDTILNARALTGNTTLNIT NTTDSPDVLELQQTISIGLLSTSTVNLGENANVKLTGLAGVNAGSTFNYNLSDGSTLE MTSNFLSLGLGNTVNVDLGADATSTFIYDPTGINVELSGYPNLTGVTAGDQIQVVGAT SGEYVNGDLIFKNDLGITVGRFNADGLDPTQVTFEGGSMIYACFLKGTHIATPEGEKT VESLVAGDKVITASGGVATVKWLGHRTLYKNRIPAKDAVRAFPILIKKDAIAANVPHT DLIVSPGHHLEFNGALVPAMMLVNGQTIVQQFDRRSFEYFHVELEQFDIILAEGVPAE SYVDTGNRSMFQNADEVAMNPDFGPAEGRPNVEGLVVAREGAVVEAIRKQLLARVELL TGAKRTQDAALCVEVNGRVIQATPEFVKEGVYRFELPANAGDVRIVSRSSLVRDVTYL ARRDIRRVGVGLSAIAFTDSNGRHDIDLMDSRISGLNQPQDVKGTAMRWTNGSAVIPA AAIQASGKATLELTVLRTYTYWLDSASEQAAVKAA MIM_RS19920 MTETQSLPQENKVPVEKKEPPDSLKTATFSVREGDLLKLRATAI DIADSVSERALVCAIRFFDLQGGHIEQAYDGTAVSSVYGSYVYVESKKEGEVASWIKQ VIVAPAGAHLLEVKLFPWKTSPEIKITGEVECLDIRRIPTDEISWNLGASEAKSETYE VLPFWRSLFSFDILRKANAALNDILINIKFVGVDGSLTPVKTAVISPVMGTTHALESD ELVVTPVAQKCEYEGYERLIALAQITPPSTALTAIVTVSNQNESYSVRVAQRIFAFET LIESRLSADAGTFISRAVKLPADLAQLSFTKLAEKRPDDVSVFDGILEYYVASGNAKK MIATANTILNRFQDGSVCAKARRALALVNECMPSWRPSVAGLNVKPAATEKSGPPLKV GYFLRNVDVDNDWVTALGWDAMCAQKTLSGGMPFAILPLGFPHKGERGLPWERHEVGE IACYYLNCLSLEQLEAIPVTSQLNFMAVVAGDVLNREQADLLHVQEGERGYDLALVAL ALSKSMHLPLVYQKSSPFVLPADGSLSHQTLAQLRATRDYQCMLDADAVIVSADVERA SLMAVGIAAEKVFVWPAGGEDVISDTELYREKIGALCRCVYAYAQSANQRKYT MIM_RS19925 MRIQDLVSGAQSPAELFINAVCEQAKSGSGSGLPYALTYAPLAQ INPFQRLLYCRAAQAGYAIVPAVHFADLASVNWQGRSVIHLHWLASVLAGSETQEETH ERLSAFEQELVRWHHAGHKILWTLHNVLPHNTRFPEAEIALRRLLVAHSDAIHILSDQ SVEEARKYYDVPDEKVFFVPHPTYEGWYSNVGDVSAARLDLGIEPHDFTFLNFGALQP YKGVLRLVEAFQALKQRYPLKRFRLIIAGNPADKEYVGKILHAVADVPEIRVIQSVMQ EKEIQTLFNAADVLVAPYIKTLNSGVSLLAATFRKPLVAPNVAGVAQTFANDGGLLYS DSKGDHLLDAMERSLTYRIDDSVFDQILNDYRPARISALFFEALTQKLFTCQLESPEA THHD MIM_RS19930 MNQHTNEPRFATVKADGVEYPIYLTSPNQDYIQKNLATTGIPYE LPMLTDMKARLQPESTVLDIGANIGNHTFYLAQVLRCQVIAFEANDELAHAMDMTTSE AGLEERITVHAFALGDKPGFAAFEQAMPENLGGQALKKGTGRIKVRTLDSFGISAPIS AIKIDVEGMELDVLKGGSKLIGAHLPMLYIEAQTKDSFLEISAYLREFGYVYRDTFNA TPTHLFIHKTKLQDEGSIAKSSLSRVEYEYDLLTANKKIKKDLVDCQMKYRDISHLNS SLKLENERLQEQARLNAETPVSADDERLRIELDQAEKELADARLQIERLREDVFRERR EKECQIQQFSQQNVADRLDAALQGKLTAEVERLTAENRSLSALLEGQQALKTALDESK KKCADMAFILENANAEVAQLQAERSKLLAKIKEIDEDRTQVLKLQEQIKQMQIKQQGL QAELTKQAEQLAVQEKKDNEQKLQLQSQAEQLTAMEKQAALVKELEVQIQQLSTETSR LQSENADLSTLLESSHVDSGKVEELELTLLDHKNRHSQAMEDNERLQSEIQQLNQALT AAENEVMGVRDLAQRQEDYKVKAEKSNEQLSRLTSELDSYRKEVERIPEMERINAALE RQRDDYAEAIVSLKSQHQQYIEGMRLLHEQQQRDNEQQMQFLIQKKMESLAPLQANQE KLDKLIEYRNDIEKIYAERTPLLNNLQGTQGVEQIQDKKAALEDLNRQLAEFNNRSLS MIM_RS19940 MTSYFKKLQFRQLWKKIFLRYTAPVAYLPFALACASDGIWPTPT YIEYDSSKKTLSTTSPVSPDKIVRFKFQPNGYNNLPNPRGLSVANFGEPHNAHYEEFN VADSEWFGVMLINAKHNGDGKTNIATGGNHGSSGGAGGAKTARNIKYDVYSNNKILDT DYAGYVDGLTINVVNELMASDTISLGRFVLRQEYWISISGNAIGVHAKYTALEDIVIK RDRALQLNTQGYRDSALFLDGNVRGRTRWDSVTSNSGPRNSSPNAWAALLKGKYGVLG VWMDRSFGAATELSTVAEDAPLIHGPGNTKSHKLYNAIVYKSQRMLAAGESYEWRGGY SWSGTEPPPQNIDSVLHKLINKTTETILVFPDGRSKLVTK MIM_RS19945 MSNKTAATMYVEQRISCDVGEFIKNSTGRSTITNCIVPADKSRF VLRANSFSQPNNTIRLRVWGRKSGTGTKKIHFAVVTNKTFDKYQAIKSIPLGHADSNF YLEIILTAIDKHSQNVNSIYMTDNTSPSINNSLAKIKFVDDRVFRIYGECSNDTDVIT INKYELLICG MIM_RS19950 MPYNRMNSYEKRSVMQIQNPDITNALQRKIELQENGIILSTDGA AGLEYAFYLEHSNGLEKKYYSDNLTHFFQIHPNLGRYTATFFYKKNTTIISHKIAFVI GEQQKIFLIKKANLATSKHFKIDNYDIGSNTTFVVFNGSGSTIYSPPFGLSFLLCRGY NVIVCLQNNNQYQGLSFEDFGKYVGPAVKGKATYLYGSSLGGYCAVYYAGAVKGHVIA SAPRNSAHPALITYSPKKNNYNADDFQHKDIFENELSPASVNIFIDPHVPEDVFFLNN YVRTAYPKVNLLEYQHAGHEVLMHVNRIGHLKNIIGAIVDNRPFEIDQNTDSEFTEIG KAHFFLKKQDIRRATIYYQRALNRKSPNKAVAAKIRAIKRELGLLKNQKSV MIM_RS19955 MNKPLIMKNLEQWKCPVFTFQNMRDAVASGFTNSGIYQVKMSDD LTLDILLKNAEKLTQSETLVVVLTGAIATRGTKKGPFFSGLSITEDLDAPCAFISDPT LDYSQNLTLAWYAGNQKIPKLQQYIIQILNSIAHLYKLRLVLVGGSGAGFGILSIIQQ LCEGSLALVWNPQTSISKYFPNFVKRYVESAFPKIYSETSKRVPLTRRKTPQFFERIL DQTDINHKLYASDVAVQRNRIIYLQNRHDWHLLEHANPYLASLGKWSRLSPTLFLNSS RMTAFAVGDWGEGHAAPTKPLIKSLIELCVDLPPEVLMTSSVGQLVSQFDGVPHYDWV LCHDRQLELKTEIRYDNELELIYVETTVTNPDPDEVYSHAFYLMVDGKRKATDWYTAK NSTEFHIDQREIRKKIRIIAFVKDAIGRVTFKSSPYHLVD MIM_RS19960 MDKCETINQPASYDIHQYVMPVFEVADLTDILTREHQDGLYHCK QPEKLDVYLERFQENQDASTILVCFNAAVGERKKHCAPFFSGRGLNRSLKLPLVAIAD PLVSSTDLSLAWYAGGDSSRNLQKDLAIFLDQMARSYDAKLLIVGASGGGFAALALAT LLKTEAVLVVSNPQTSISQYIYPFARDYVLQAFPQYSERISKSKQQTGQQKAQVLYDI LDECNIVHDVTKVELPSNVNILYLQNMTDSHVKTHAAPFIKNRLWTTEGQNSIVSGNV SIYFGNWGKGHVGPSASIFGHLLRFLAEGKPVKEILNALELGLNGLNRNTSALTFLNR SDFRLVSSVKIVAGVLRANCYLKKNGHLYNDRKLNYAFYLMDGGERVASRFYAKSNSC TFSLPAKYKNLYIRSFARDDFNQIIAADSNRLVYPA MIM_RS23285 MNNIALLLLAVFITYIVVVTLITLQISSRERRRQINATTPPPPH ELKLELKTVTNPETGQVRVETIIQNPVRDERYTYAFYLIVDGVRTTVRWHEETPFFVI PRPSANKKTEVLAFAKSESGSLATLRQIL MIM_RS19970 MPANKSLLFKNNGVSSIEKFEIDASEIDYDAQLEKGLAASRNRT AAFNRVFANSFKKLFMSGGKDSRATLAVLMSVLGNKDFYVHSIDPKKVSDNIKDHVQK DLSIANKISLMFGLKFCELEPELPVRKTPINFEESLSDWQNDFSNVRFNFQPAYYSYA YDDAQYKVQFRGAGGEIYRGYWSEVFKRYETTYKKIQDDSTTVREDAGVIFNALVPGN IIPAQIYNSAKAIFADTVATMPGNAFLQKIDQHYNFLRHRFHFAHGNRGLRLGELMYL PLIDRHFYQASLCLTHSQKTSGKLVFDIVDRINPYLNLIEYDSSAWSQDQLGQSKYLQ KASILKLPDFKGSGEYHPKILLDSSKKFEVPSISNSIASSYNRQSALMARLRDNLQFL SKHPQSKIIFNDKLIKLCAQRIDKKQGINSLVGKTESIRDALVTLDINYLSIVA MIM_RS23070 MDSNYFVLELDLNNHSVEAGRRVLYFDGYMISEDEFFVSCDVSI EKIDSEQIKNASGEFIYVWQNTNGLGQREIGVCTDLFGFYSAFYHFEGSVVTISNSFK ALKKHLDNRPNTELTLNVEYLAPLILSNYSSFCVSVCFRNSNKRNKNFAS MIM_RS19975 MISQRFGYLGKCFLILLIALIYIPSWAFATEYYISPTGDDTNSG TTRELAFKSIAKALDVVYDSNHSANDVITVHVLPGTYTGQYKGIVLGKSLPTVKIVGE IKNGLRPVFSNGGIHKEWITLYAKDGQKLNLTIENIEVKKYFSVLSVVGDRDSASRGN SELTLKNNVFRTIGSKQTNSKGRIAFGAIRLINSSNNKIIGNQFIDIRNSEVCGGLHA IYLAHFSSKNKIINNVFDGTCGAPIKFRDRANGNVVENNKFVNLENVPALQEWFCDRV ANKDCKKPAGECPSILNVERNNQFSVDSVPPIELMGRKVQRPWCSTKSFSGARIISQ MIM_RS22305 MKFWKDIFALKHPNLHFVFVSQWLVDSTEEDFGIKISPETYSII NNFIDGGIFSYTEKSASDRLNFLSIRPYAKLVYANDLTVSAILELSQRPYFDQLNFTL VGDGELFDSTVAPIKNFPNVTVKKQFLTHAEIAEYHRANGIFLVPTRMDTQGVSRDEA MSSGLVAITTNVAAIPEFVSNEVAMVVEPESPKAIADAVEKLYNNPTSYLELSKKGSE NVAQKCGFEKTVQREIDLITEAI MIM_RS19980 MMDISEQDDNQNKELQIVRLEYELKRFEQAQQVNESLWLEKETS LNSIISEQKKVISLLESLLKSNQQMLDTAQISYAKQDEQFNLLSEQVSHSLDQTGEQV EILKQTAIRAEQLLLQKDIELNGCKNRLESLVQEVKKLEKFNEESSKANNLAIEREEH LQGLIVQLREKETNLSKIYERALADKESFAKELETARTEIATGHAQQAAFNDLQRRYE TLCISHDSLQALSKEYEDKTDRLQLVVDEQQSTMSNLRSTNARFQTEITSQLEKNRAL SLESKSLIDKLSVLKAQNQELESRLEAALERVHVVEENKNKLDLELEKIRVKMNGLSA REIRYKQQIAEFDDKFVEAQDKLRGTISFQLGYAILQAGKSLHGLFHLPTALFKIRKV AKQRKLSKQFKFEKVSPSTKTNRESVNLTPLATVDLKDFNSPVTESQERQLKIAGVMD EFTYHSFAPEANILQLHPDNWEQQLVEFQPDLLFIESAWQGLDGLWKTKISNAKEEIQ SAVKWCNLNNVPTMFWNKEDPVHFGTFLPIACMVDFVFTTDIDCIPKYKQRVGHTNVF LLPFAAQPKTHNPIEKFERKDAFNFAGSYYLRYPERQRDFGSLIDTVRQFKGVEIYDR NFDNPHPHYTFPEKYKTFILGKLPFSEIDKAYKGYRYGINMNTIKQSQTMFARRVFEL LASNTVVVSNFSRGVRLLFGDLVVSSDNPLQLREGLESVCRDEGMYRKFRLLGLRKVF GEHTYAHRLAYIRAKLSGKQYISSRSKIFVLAAIRSINDYEMVKAGFDRQRYEGKSLV VVAPSHDLAAQLASIDVIVLSSQDQFVTALNEMNANDWISVFSPDDYYGPNYLNDLEL ATGYSNAEVIGKLSYYSASEVTAQLVNDGKQYSRVSELSARRAIIRRSQLTDALVNGL FSAPEELVLRNANMLAIDEFNYCQHLPFPYSQVLMDLVNDMTVSDTGVSYLNQLVSVS ESLPPASGEKSPTEISSGPGLSGAQLFEFIPEPATSAIKFGRQGDSFNINSKLATGKH VYVYTKRNFRREELNLVLNSQFKLECDGTLDTMTVFEFQDKDGKKIAHSMNKIGDHHA LAIPEHCVYVRFGMKLLSAGRLSISRLVLGTANETPYAVIGKSNNLVVSKQYPDYDDL YKYGFVHSRLRRYRTAGLVSDVFRVAGKVTNHYREFEGIDVASGDHALLHATLKTGQF RKVLIHILDDKIWDVVKDYLENTKIFIWVHGSEIQHWKRRSFEFKNMSADEVKRQKTP VR MIM_RS19985 MTISSKIKTVSVIGLGYIGLPAAAMFASRKVNVVGVDVNQHAID TINQGKIHIVEPELDMIVQAAVSGGFLKATNKPEAADAFLIAVPTPFKNDYEPDLSYV LSASKSIAPVLKKGDIVILESTSPVGTTEKMVDILSEARPDLKFPKVDDHNDIDVHIA YCPERVLPGHVVRELVQNDRIIGGLTKECSQRAIDVYRIFVEGELLVTDARTAEMSKL TENSFRDVNIAFANELSLICDKLGINVWELIKLANHHPRVNILQPGCGVGGHCIAVDP WFIVNKTPDEARIVRTAREVNDYKPDWVKEKVEAALEKLSGTGKKVNDVKIGCLGLAF KPDIDDLRESPAMKIALALATQYPNQVTAVEPNIEQLPEKVAKTGLKLGELQNVVNES DLIVVLVDHRQFKEFSPVIREDQWLFDAKGIW MIM_RS19990 MKILTVFGTRPEAIKMAPLVKLLEQQKNIHSSVCVTAQHRQMLD QVLDLFEITPDYDLNIMKPGQTLSGITTEILSRIESVLTRDKPDLVLVHGDTSTTFAT ALAAYYHRIPVGHVEAGLRTGNIYSPWPEEANRKLTGVLAALHFAPTGQSKNNLINEA VPEDQITVTGNTVIDALLWVKDKLESDSGLAQIFKAKFDFLREGSRLVLITGHRRENF GDGFERICSAIKLLAQKFPECDFVYPVHLNPRVREPVERILDGVHNVHLIEPQDYLPF VYLMLRSYIILTDSGGIQEEAPSLGKPVLVMRDTTERPEAVGAGTVRLVGTNVATIDE EVSRLLTDAQAYTEMSVAHNPYGDGKACERIVHAIQQRGLVPSI MIM_RS19995 MIEIRNITKSYQTNTGREFVFRDLSVLVPSGKNVALIGRNGAGK STLMRLIGGLDTPDKGQILVNGSISWPVGLSGGFQGSLSARENVKFVARVYGAEGQRM REIIKFVEDFAEIGRYFDRPVKTFSSGMRSRVAFGLSLAFDFDYYLIDEAMSTGDAHF KNKAANAFKERISRSKVILVTHSMSQVRSMCDYALLIEDGRVYSYENVEDAIKQYESE S MIM_RS20000 MTFQNRSSFQITKSVLFALVLREMRGLFGARRFGAFWMFFEPVM QVGLIMAIFSFRNVTGANGIEFPVFLMTGMIPFFLCRNIVLKGIEAVNANRALFGYKQ IKPIDAVVARTLMEAALYSCVYVIFMFILGFCFGYDVSIRDPVRWVFVILIGITLSFS LGLIFCILGEILVESKIVIRMLFFPVYLLSGVIFPIWVFPAEILNWLLWNPYAHIIDE LRYATFAYYPDHAGVNIMYPIRVTVCVLLIAMGLYRIRRLKLIAI MIM_RS20005 MRSYNIFTSFSHSRYIKIAGIVCFTVLLSGCGSLLSGTGPYSSS IRDGGKADSDFPYKVVDLSPLNVNEYARKAPLPPSAKVSTTGSTVIKLIPGDVMKIMI SDSAEGGVFAPLSDGGTTFNNVRVSSDGTITLPYVGTLNVRNSTLAQVDKDIRKGLKG SVATDPQVHSELVGDLSGSVLVAGAVKAPGRFSSLQGPLTILDAINMAGGPVMEPHLI NVTVRNGKQAYTINYEDVLEGGNRQIAPRSEVIVDRARQRFVAMGSVKEPGLKDLPAR NPSLLEVLGSVGGLDETKADPQGVFVFRVNPNPKEGEPAAQVFRLDMRKPESIFLARA FQVFPEDAVYVTNAPVYEWNKIISPIVQTIYLGRTLERL MIM_RS20010 MSNSSNKNRFLFIGIVLIPFVVAIVYYAFFATNRYVSTAQVAVR QTDNGNSAINNAPGLALLLGATNPTSREETLFLREFIVSNDMLNVLKKQVNWSEHYSA VYSDPFYWLDKNANQEDSLAYFRKVVQVHFDSETGLLSVNVEAFDADTSEKILSVILK ESDHFVNELSHKLTRDQLAFVERELQTARTNYELRRDELLDFQAKNDLVDAEATITAR SQMIAAMEAELATERAKLSALMSSLNSDAPQVQQQRRRIDSIAKQLSVENKKLISREG SRQLNTVAATFRDLSIRSSIAEEAYKISLASLENTRIEMNKKFRSLAVITSPNLPDRA IYPDRIYNLLAVLIGLLALFGIVRFVIATIEDHKD MIM_RS20015 MIIDGIEAFSGKNILLLQGPVGPFFRRFATDLRRQGATVHAINF SGGDWLFNDKNAINFRSHPREWRSFFENLLNDLDIDIIFLFGDCRPIHVVAHQIATQY GVQIGVFEEGYVRPNFITLEKNGVNNHSSAAREAQFYFSQKAIPTPKEKQVGYAFPQM MLWAFLYYVCSLLLKPFFWHYRHHRRLHIIEGYIWTRSFLRKAYYRITERHMEKKLTT TLSKQYFLLPLQVYNDAQIHTHSDFATVETFIRHVVTSFSKHAPLGTHLVIKHHPMDR GYNDYSNWLQQLEFLYDLSGRVHYIHDQHLPSLLDHAIGTVVVNSTVGLSALLHGSPL KVCGRAMYDFKGLTYQGTLDEFWREAADEKVDSKLFGSFRNYLIATTQINGNFYKRIK GEKAATGLSWIALKNDENSIKPNKFRSDSHIHG MIM_RS20020 MTNVNLPHLLAKKIGLDRRGVVYGLQLAFSAWLAFAIASFLHIP NPFWAAMPVFVVAQATRGLAFERGLYRVVGTALGALAGFGMIHFIQDAPYAALLMLAL WVAVFAALTHLLYGVHSYAALMAGITAVVVVIPCMFAPEHYMQLALARVECTFIGVIM ITLSSALLTPNADRDRFYLSVCEVAASACYLMGTTLKGVTKDGAGQEAEILQKISRLE ASALAIMAGSRTAAKHKRVINAMIVSTIGLLATSRRLHTHNRPGQPIAPELGNLLLAI SALTKEDAQQILSTQHMAEALALAQATDADLAQHLQRLLRGAKWLLTADQESARFVFR ADAPMLAPATNWGLARQTGLLCGLITLVSASLAYVGGSFIGEMTALATAMFSLVLGSM IKPQIVAPFLLKGIIVGSIVAIGYRLAIFPHIHSTGLVVASILPFLLVGGMARASTMF AFPALDACMAFLLGSQAMLPARVVTAMDVVAESGSMIVAACIVAGGFILLPRRSDRHV HEATQTINRDLFRLINNSDRLGTEQWMARSVRQTLRLTSHLAQSSQRNSLAPAHLISA LNFGYSIAQLHSLQEGWTPQTHAILQDLNAQLNTFTDEPNTLAATLMQYGRDVQQSEV AAALWSASEALVAGRDFFAYRPGISPNQS MIM_RS20025 MMSIVISLVLLMYLAYRGYSVLILAPLLAALAVLLSGDVAQLLP IYTETFMPALGKYLLQFFPVFLLGALFGQLMADSGAAASIANWIMRVLGPRHAILTVV LACGILTYGGVSLFVVAFAIYPISKVMFYMADIPKRLVPAAIALGAFTFTMTALPGTP AIQNTIPIPYYGTNAFAAPGLGIIGGVIMFGLGMLWLLSRARKAKAAGEGYGDHNDGV ATEVISTDHNISFVASIIPLILVIGINALFTYGIFEGMDVTYLKDNFPSLNVKGALGL WAIIISLVVSCLWLIIARLRHWTNLKETINKGAYGSMLPIFNTASEVGYGAVIASLAG FAIIKEFVLGVAPGNPLISESIAMTSLAGITGSSSGGLSIALQTLGADYLRLAQESGI SPELLHRVAVMSAGGFDTLPHCGAIITLLAICGLTHRQSYLNIAAVTIVAPLISVAVV ITLGTVFGSF MIM_RS20030 MAKPPTRSYSRYSRDAAQLFGLLIRNARIERQLTVEQLAERAGV SRGLVYRAEEGDMGCAIGAVFELATIVGVPLFSADQPALALHLANAHKILSLLPRAVR SSRTALKDDF MIM_RS20035 MLAVSSRFVTILGAACSLAIVAGCAATGQSNQAARSCSELNGAT VPAASIGLATRGATVTATQYQPPVATAPKTHEGYCKVLGDIAPVDPQAPAIKFQLNLP AHWNGKSLMIGGGGYNGVPAKTTDLLPAGPVDKPDPIGLGYATYGSDSGHQLADDPAN PGKFALNQEALKNFAYEALKKTHDTAQYLITGHYGKRSQVKYFAGGSTGGREALAVIQ KWPDDFNGVIALYPAFAAASLDLQFGRITRALAQPGAYPNLQKRDALYKAGMQACDEL DGVKDGLISNQRQCNRIFDPATATVDGRPLRCENGQDTGNQCLSDAQIGAMKVFASDI VFKPPVGSGEVRHAGFNTWGVDLGRPAGDSKLQATVNYLNLGSIAPAYPMPPNPAGSQ SVPYHAGFWDQWVKYFITQDPAYNSLSLDPTQPGTWRKRINELTRLQDINKTNLSAFA DHGGKLLIAHGIADGLVPTRATEDYVRRVRATMGKQNADQFLRYYEIPGYGHAVSTVF NASWDSLGALENWVEKGVEPGPQVVADTAGVPGRTRPLCEYPAWPRYAGSGDVNLAAS FECVRQ MIM_RS20040 MKSVSVKKRPAVMACMLAVAGMLAGANASAEQKTLYLGMNGGDM QRGFEEHVFPAFEKANNVKIVVVPGTSTDVLAKAQAFKDKPQMHIMFLDDGIMNRATK MGLCEPIKDDKALSELYPETLIGKNMAAGVVTTMTGLAYNTKLFKENNWAPPTSWKDL ADPKYKGKVVFQSVASSTYGLHAFMMLNRIDGGDDSNYQDALTKFKDTVAKNVVAYVP SSAKISEMTQTGEAALFPLTPTAIYSLKEKKLPVEYVDPKEGSVLLAVAQCVIANNSE PELAQKLASYLLSVSAQEGALKFGQYPSNKNVAAKTEHKELLGDFEKYMKHVVVMDWD AINKVRPKLNRDWKEQIER MIM_RS20045 MQTLNADVLIIGAGIIGASTAYFLSKQGLRVVLCDAGQSGTKAS GVNFGGVRRQGRPISQMPLAARSHELWGQLRELIGIDGEYIRCGHLKIAFTEQDMERL QAYNRSVASCGLDLQWLDPDQVRSRYPWLGANIAGASLCPADGHANPRLVSPALSWAA QQAGTRLMEHCRITDSHYDGRHFQSETADHQRIQSRFLVNAAGAWAANIAAMFGEPVP LTEIYPQMGVTEPLPPIMNVVLGVQGGGIYARQVARGNIIFGGSRALSLTAGYARPSH ESVSLLLTRLAGLIPGIAHANVIRFWSGVEGATPDLNPFLGPSRQTPGLFHGFGYSGA GFQIGLASGEVLAQLIATGNTRIPIADFRIDRFTADSGKL MIM_RS20050 MNAPQPLIVGAGPAGIRAAQVLVQAGLRPIVIDEAPKPGGQIYR QQPDGFARTARQLYGFEHGKASALHETARQMIASDQIDYRPDSLVWDADPRQLYVTRD GKHDAIPYEKVILATGATDRVLPFAGWALPGVYTLGGAQIALKYQGCAIGSRVVLAGT GPLLYLVAYQYCKAGAQVAAVLDTSPFPTPGQGLRAFAADATLMAKGVYYMGWLRAHG VPLMHGVAAFAADGEKKVESVRWQKKGDAAMQTLACDALACGFGLRSENQLASLLGCE FAFDEQDGSWQPIVQPGGQSSRANVYLAGDGMRIGGADMAELTGRQCAYSVLQDLGIP RDKKQVTKLTRQIARGRKTRQCIDSMFAPPAHWLDKADDALMICRCEEILVGDVRQML RDDPHSGLNRMKALSRVGMGRCQGRMCVAGASMLLAHEQGIALACVERLRNQPPVKPI PIGGAACKP MIM_RS20055 MAGLFMRLVDQERKPCRFFVNGTVCSARMGDTVMTALLTLNEHL RLTEFTGSPRAGFCLMGACQDCLVLQENGQRVRACSTLLQENMRFVIPEQNR MIM_RS20060 MKNGPLSLLFNTLVTIFILAPLVIVCLVAFTPQDVLSIPTTSFS LRWFKAVFNESGFIAAFQTSLWVAFASASISAALALPAAFAIARGQFRFRHVVNGLFL SPLMIPSLVMGVALLRLFAMLGVRGSLLWLILGHVIIVTPYVIRLVLGSLVGFDKSIE HAAESLGAGKWTVFRRITFPLIMPGIFGGWILAFINSFDELTMSVFLTSPTTITLPVK MYMYATESINPMLSAVSALIIVLTAVTMFVLDRVYGLDKILIGKQ MIM_RS20065 MTHPDPGATPARKRRLSFLGAMPLTLLFIGLLIVPLVLTIVLSF RPYDYDLGVQAGWTLEQYIEIFTSNYTLTVFWRTLWISGLVTLICVLIGVPESYILSR MKAPWNSVFLLVILSPLLISLVVRAFGWSMLLNPMSPIGKLTEMMGLGSLLYTSAAVV IGLVHVMLPFMIIPVWTSLNKIDPQTIKAAYSLNASKWQTIKRVILPQATPGILSGSL IVFGLSTSAFAIPVLLGGGRSRMVSNSIYEQFMVDLNWPMGAALAILLLIMNLIIMMS YNQILERSYKRSLG MIM_RS20070 MNEVRTYAFLQLHGLTKKFADTTAVDNLSLDVAKGEFVSLLGPS GCGKTTTLQMIAGFVDVTAGSIILDGTDITFAKPNERGLGIVFQTYALFPHMTVEKNV AFGLEMRKVSGSDIARRVRDALAVAQLEKFAQRYPRELSGGQRQRVALARALVIQPPV LLLDEPLSNLDANLREEMQFELRRIQQQAGTTTIMVTHDQAEALSVSDRVVVMREGKV IQIDEPYVMYENPATPFISRFVGKTNTFAVTVTATEQGTHFDLDGQRITLKDNANRTS HAETSSAGTSEALVSVRPEKIVIDRHGQGRFAGTVKNSFFLGNLWMYEVQTAFGLVVV SVINNHQQVYKIGEDVSLDWHEDALRVLTAEPAGNV MIM_RS20075 MDQKSNSAQGVLTKGLGILRLLSDAGQQGLRVTDIARQLELSQA TVHRLLQTLIAEGFVVQRQGSKSYTLTLELFSLVARAHHKESSLRAICRSSILRIVGT LQDSAFVLLRDRYDVVCIDKMEGSYITQSLTGGIGGRIPIGLGQGSIAILAALPESEQ NAILSFNIPRFYQEDGIDEPQLRARIKQAQQNGFVLNTGPGKLTGIGGIAVAFTDSTG YPAGAIGLSVLTERLTQERLPFIVDILKREAAAISSNLNPFDPALGSPHMALSN MIM_RS20080 MKNPYLPHYNNRSGWNALLPARTANTEPQGPRAFDFIVIGAGFT GLSAARRLAELAPDKSVLVLEGSVIGEGSSSRNSGFMSTFPRSGIVKDPAQDDLLGRM QIRVYEHGLQWLKRTLQAHRIDCDWDEDSGKYNASATPAGKASLLSGIDKNKRLGIDT EVLSQDQLAQRLGTRYYDFGYYTRNNVFVQPAAMHRGLADTLPANVTLLEETFANRIT GGAGRYTVSTSKGDFSAGQLVIANNAFARRLGFLKDRLIAIYTYAGLTPALSDEQAAA LGPARQWGLLPAHRLGTTLRKVSGNRFMVRSAYSYESERSATAYTTMLKSYYKRRFPQ MASHDFEFVWGGTTALTRNGGIFFGKLAEGIYGSLGCNGSGVLRGTVNGRLLAELMLN HPSEELDAVLGLGGPAWLPPEPLRALGVKSAIFYQGIRASAER MIM_RS20085 MSIVNLPSFRVLGVQTRTCNADEETLKTARLPLLWHDVYTELVP ELPADAPVYGVYGGYESGQDGRYTVTAGAVHKPEKCNLDLVEVTVPSGQYLVFTGKGK MPETVIRVWLDIWDYFKREDAPHQRAFTTDFEVYRGREETAIEVSVHIAIR MIM_RS20090 MRLLLAIFLPFVVFFTIGRPIAGIVCLILQITLIGWLPAALWAV YALSQYNTDKKIAASRQL MIM_RS20095 MSPQDILDFWFQETPSEQWFTSDPQFDATIRERFTDVWQQATRA ELSGWRSTIRGRLAEIIVLDQFSRNIGRGTPAAFAQDAMALVLSQEATRTTEFTELTQ QENNFLLMPMMHSESTVIHQAAECLFRKYGSEESYQFELKHKAIIDAFGRYPHRNEIL ARPSTPEEIAFLQEPGSSF MIM_RS20100 MSSNSNPTTATPDAPSAVPASADAGAKAKQKKKPAGNKRSFLFK LLFLVVVVIAVIAGVMYYLNGRWYESTDDAYVQGNIIQITPQVGGTVVGIFADDGDFV HAGDTLIRLDQTEAEVALNEAKAALAKTVREVRSLYSQASGAKATSGAQLAMVQAQES AVKGAKTALDKAQADYGRRQKLVKSGAISAEESAHARDVFLQAQSQYQSALSQLEATR SQLTASEQTAEVSNALVAGTLINQHPDILVAEAKLKAAYLTFVRSTITAPADGYVAKR AAQVGQRVAAGSAMMAVVPLKNAWIYANFKETQMKDMRIGQPVSITSDLYGDDVVYDG VISSLGIGTGSAFALLPAQNATGNWIKIVQRIPVRVEFAKPEQLEKHPLRIGMSMFVE ADLHNTDGAVLATGVNPNSKLQTTIYDQQVAAADRLIADIVQQNLATSDADTKKADE MIM_RS20105 MSNQKAKTPPGAPAQPFAPASLWLTTLGLCLATFMQVLDTTIAN VSLPTIAGNLGVSSSQSTWIITSFAVSNAITLPLTGFLSRRFGEVRLFNICTILFVIT SFLCGISTNMMELIVFRALQGAVAGPMYPITQSLLISIYPAARRGMALAILSMVTVVA PVAGPILGGWITSSYSWEWIFFINIPVGLLASFIVFIQMRARPVTIIKSGVDYMGLIL LIVAVGSLQVVLDLGNEKDWFGSDFIVVLTIVAVIGTIAFLIWELTHPDPIVNLTLFR HRNFAFGTLALIFGYSAFFAIGLLIPLWLQRTLNYDSMWSGIVSAPIGILPIFLAPIV GKYANRVDLRILAALSFIVMSITSFWRADFTTDLDYMHIAMTQLVLGLGVAFFFMPVL TILLSDLRADEIAAGSGLAAFLRVLGGSFSASITTFLWDHRTIIHHAQLSENINVYNP TAVEALKQYGDTTEIAAYNINNLINQQALQISFNEVFWGLGWLFVLLVGLVWLTKPPF MAKAGAPPSGGH MIM_RS20110 MSSVAQPKTYPVYDPVHLIGVVKKQDEQTFVVECDGHEWVCRRA ASCLLQPQVNDTVLISGPERDRVYLIAVIEQAQQSESVVSVPGALNISADSVNISSAA AMQLHGGTALDLNTAQLKLSAGKGQCVVDEMQYVGREVKTTVGMMRVIGKVYESIMDR LSFMSRTSFKITEEVEHMRAGTIDYQAEQSARLHSKYTMVTAKDLVKVDGKQIHMG MIM_RS20115 MEPRFLDYYNRELAYIREMGKEFADHYPKIAGRLGMNGIEVADP YVERLLEGFSFLTARIHMKMDAEFPQFSQRLLEVVYPNYLAPTPSMAVVQVSPSMNEG SLAKGFTLPKGTVMRGRIPKTEQTPCEFVSGHELTLWPLQVTAAELSGVPPDLPLKRM NLNNRNQPVRSSLRIRLEIKGGVKLHEFKLDQLVFHLNGQDIHMQRLLELIMGHTITV LCHDVEKPVKWINRINVNDIRHEGFESDQALLPAEARIFQGYRIIQEYFAFPARFMFF SVNNMQKALKLPASLLAASANAAAGQESRSFELTFLFDKDIPELEGNVTAENLALHCT PVVNLFPKKADRITVSPNTHEYHLVVDRTRPLDFEVFSPTRVAGYSNVSDLTEKEFRP FFGSLGSDSDDYGAYYSMRREPRLLSDTAARNGARTGYIGSEVFISLVDKNQAPFSED LRHLSMDVLCTNRDLPMLMPIGQKNDLALRVSAPIEGIKILRGPSRPRPALAQGAYAW HLISHLGLNYLSLIDLDTEKGAQTLREMLKIYANIADPAIAKQINGVRHVRVEAVHHR LPVPGPIVFGRGARIHLEVDEGAFSGVSPYLFGAVLEQFFARHVSINMMSELVLSTMQ HGELARWKPRMGARPAV MIM_RS20120 MDMEDISGKRTAFGMRERRAAKDRLQPALLDRLTDNEPLKKKET RDSVMITYDTLRHAVLRDLRWVLNTVNIETVDDLDAFPQVRNSTVNFGVEALAGRRMS EIDWVDMEQSIKNAIIHFEPRILADSLEVACVSEVTALEHHNVLSLEIRGKLWCNPYP REFLFRTDIDLESGHMDLQEQGAL MIM_RS20125 MTGIIKALDGKSVDEQLDQVKAQIRKKPADADLRARLFQLLAVK GLWDKAFEQLKLSSEMNAQAQPVAVLYTGAITAEQEREAVFRGEKTPAVFEQPPEWMA MLLEALRSDAETAHALREQALEAAPAISGTVLVNGEEEGQPFEWLCDGDSRLGPVCEV IANGRYGWVPMDMIQSLRLIPPEGLTDLIWIQAEITLVSGRSQIGLIPARYPAPADKN YADLDDASNLSRRTDWQQISEDIYFGTGQKMWMTDAGEYALLDMRSVTFHHGQLN MIM_RS20130 MAVDMFMKIEGANGESKDSNHKDWSDIQSFAWGATQPGSMATGG GGGTGKASFNDLNVVARIDRAAPAVMKHCSTGKHLSKVEVSVCKAGGTQVEYSKITLE DVLVTSVQYTGAHDGDAMMVSYAFQAAKVKQQYWEQTEQGGKGAESVVAYDVKQNKIV G MIM_RS20135 MSTSVQKEAVQLEGLESDGLASLLKKEFKPKTDQAREAVENAVR TLAEQALANTVTMSSDAYSTIQAIIAEIDHKLSEQINLIMHHDEFQKLEGAWRGLHYL VNNSETDELLKIRVMCITKKELGRTLKRYKGVGWDQSPIFKRVYEEEYGQFGGEPIGC IVGDYHFDHSPPDVELLGEMARISSAAHCPFISGASPEVMQMDSWQELANPRDLTKIF TNSEYAAWRSLRESEDSRYIGLAMPRFLARLPYGSRTNPVDEFDFEEDTDGATHDRYT WANSAYAMASNINRSFKEYGWCTSIRGVESGGAVEDLPCHTFPTDDGGVDMKCPTEIA ISDRREAELAKNGFMPLVHRKNSDFAAFIGAQSLQKPHEYNDPDATANARLSARLPYL FACCRFAHYLKCIVRDKIGSFRERDDMERWLNDWIMNYVDGDPANSSQETKARKPLAA AEVQVTEIEDNPGYYAAKFFLRPHYQLEGLTVSLRLVSKLPSLKQNDN MIM_RS20140 MATRMSSRRSGQKFIARNRAPRVQIEYDVEIYGAERTIQLPFVM GVMADLAGKSEVPQADINDRKFLEVDIDNFDERMKAIKPRVAFQVPNTLTGDGVLSVD MSFDSIDDFSPAQVARKVDALNELLEARTELANLLTYMDGKTGAEELINKVLKNPALM KTLAAAPNKDTAAPEADKPASDADDTSK MIM_RS20145 MKTFDELFSAFSEDNGGENLEYDAAFLALQQAIVEKPEQQFGDT IIDAQAPDWNQVEKQALALCDRTCDLRVIQALACAWASQSGLAGYACGVRLAHDALQR CWVGVYPQLIEDDYEDPLPRINAINSLADMQGVGRSVRNANLLTGAHGQLSLRDAESI MEGARGDLFPGGRARLVETLNQAMLAGAPEMAGLSSAAQSLQGIVELVTEKLGTDWAP SVATLQRSFELITTAIGSPATVQAEQPHSAAADNSGGSGEIESAAGNTMAAPQQVQER SLSWTEVQIKTRDEAMVALEKVSSYFEVHEPSHPAPFLIRRVQQTIPLNFHEMLKNLT PNNAEQFESWLPREE MIM_RS20150 MNESLVIIVLLVAIFGFLGAGVWVGLTLSGCAWLTMALFSSRPA GDAMSLTIWGAASSWTLTALPLFLWMGEILFRSRLSTDLFRGLAPWLSRLPGKLLHTN IIGCAIFAAVSGSSAATCATIGKMTIPELKRRGYPDDQVLGTLAGAGTLGLLIPPSII MIVYGVAANVSISRLFIAGVVPGILLAGLFMGYIMVWSLLHPGAIPAEQNTMTFRQKI AESRYLIPVVVLIVAVLGSIYTGIATATEAAAVGVVGALILSSVQGSMNWTTFKESLL GATRLYCMIALILAGAQFLTLAMGYIGLPRSLAEWIASLGLSQFGLVLALMVFFIILG CFLDGISMVVLTIGVLLPTVQAAGIDLVWFGIFLIFVIEMAQITPPVGFNLFVLSGMT KKELPYIARVAMPMFFLMIVGVMIIYWIPDLVLWLPQNVR MIM_RS20155 MRRLLNGIYEGAAWLAGLFMIGILVFVLWSIIIRQMGTNFPGLD AYAGYSMAAAGFLALASTFRKNEHIRVTLVLAALKPRARHYLNLFTLVVAIVLSGALA WFSIKLVMDSYSYHDLSTGEDATPLWIPQLGMAMGCVLFCIAIVDEFISSIKKGHSRT EEEPVSMQT MIM_RS20160 MKNKSLVLTALATALLSVNASAATQWDLPTAYPDSNFHTEIAMR FVKAVSDATGGEFKITVHSNASLFKAPEIKRAVQGNQAQAGEILLSNFANEDPIYELD GLPFLATGYEQAWKLYQAQKPYLEKKLASQGMQLLYSVAWPPQGIYTNKVLNSVADMK GLKWRAYSPVTSKIAQEVGAHPVTIQQAELSQALATGVVDSYMSSGSTGYDTKTYEYL KKLYDMQAWLPKNAVIVNKRAFDALDDKERKALLDASADAEKYGWKLSQEKTQWYNEQ LAKNGTEIVKPSSELVAGLTKIGEGMIADWTRKAGADGEQLLKDYKAK MIM_RS20170 MSKWQFWVDRGGTFTDIVAITPQKALMTRKLLSDNPDHYEDAAI EAIRDLLDVAKGDPIPVEKIDCVKMGTTVATNALLERKGERVVFLTTRGFADALRIAY QNRPRLFDRHVVLPEMLYEQVLEVDERIDAAGEVIHAMGEQVLRAQLQTAYDQGLRSI AIVFMHAWRNADHEKRAAQLAQDIGFTQISASHDVSPLIKFVSRGDTTVVDAYLSPIL RRYVDRVAAQLPGVNLMFMQSSGGLSDAHRFRGKDAILSGPAGGIVGMARSSQQAGYK KIIGFDMGGTSTDVSHFSGVYERDYETSVAGVRMRTPMMRIHTVAAGGGSILHFDGAR LRVGPDSAGANPGPASYRKQGPLTITDCNVMLGKIQPDYFPAVFGPNGDEPLDAQVVT EKFGMLSKQVSEATGRPVGPEEVAAGFLAIGVQNMAEAIKRISVQQGHDVTRYTLTTF GGAGGQHACLVADALGMTTVFAHPLGGVLSAYGMGLADQVAIRQQTVEKPLTDSLIPE LEQLRGELGEQASAELQTRLTTGMQIVLNTRAQLKYQGTDSALEVDFADAGQMLTAFN EQYRQRYSFLIENRPLVVESLLVEAVIAGSRIDEATITRHDDSEPVADAHRPMYSNGA WHNAPVFRRQALQADDVIAGPAIISEENQTTIVEAGWSATLNSKDHLILTRTIPLRNT QAISTDADPVMLEVFNNLFMSIADQMGLSLQNTAYSVNIKERLDFSCAIFDAEGNLIA NAPHMPVHLGSMSESIKTVMRENAGNMQPGDAYVVNDPYHGGTHLPDITVITPVFDRE QTQIIFYVGSRGHHADVGGLTPGSMPPDSTTIEEEGVLFTNFQLVRNGQFREREARDI LMSGKYPARNPDQNLADLQAQIAANQKGVRELHAMCDTFGLAVVQAYMQHVQDNAEAS VRRVIPLLRDGSYTYKLDNGAVIQVAIRIHDDRESVTVDFTGSSAQLTNNFNAPASIA VAAVLYVFRTLVNDEIPLNAGCLKPINIVIPQASMLNPEPPASVVAGNVETSMCIVNA LYGALGVLAASQGTMNNFTFGNARYQYYETVSGGTGAGPVLLDSDDEGFDGTSLVQAH MTNSRLTDPEVLELRFPVRLESYAIRHGSGGKGKWRGGDGGVRRMRFLESMTAAILSN NRQYAPFGLKGGEPGQTGRNYIERADGSVTHLGPQDSADLQPGDTFVIETPGGGGYGK AE MIM_RS20175 MTLHSLMVTPRITPRRGLALASLAACAIAGAANAAGYPERPVTL IVPFPPAGTTDLIARHVAQALEKELGQTIVVENRAGAGGNVGMGALARAKADGYTIGM GTVGTQTINQYLYKDMPFDPQKDFAPIALAGTTPNVIAVNANADIKSLGDLIAKARAA TDKKLSYASPGVGSSVHLTGAYLEDAAGISMLHVPFKGVSGSMPALIGGQVDVLMDNL PSTLSQVKDGSKVRAVAVTGAQRDPAIPDVPTVAESGLPGFDVNAWFALYAPAGTPPE AMKTLVVAAEKALASNDLKQKLQQTSTRPGTIFGADLTAFEAKERERWHKLIQDKHIQ SK MIM_RS20180 MNGADSLCDTLLENNIDVCFANPGTSEMHFVAALDRKPQMRCVL GVFEGVVTGAADGYARMADKPAATLLHCGPGMANGLANMHNAKRAHTPMVNVVGDHAS YHLQYDAPLTSDIESLATPMSDWVRRIQSADDVSGAAAAAITAANSGYGAVTTLILPA DAAWTETSTPVQKAAPVKRTQVTPEALQAATEALNNGRNTVILLSGKALRSKALETAA RIAERTGARLMAQQSNGRIERGAGRVKIERVPFSVDLAIKALQDTEQLILVGAKTPVA FFAYPGKPGELVPEHCKRIELTQPDDDLPGALEALAEAVGATNTPVTLLAERHDIGLP TGTLDAAAVIQAIGSLLPENAIICDESVTSGRDSFKSTFGSAPHDFLQITGGAIGIGI PMATGAAVACPDRKVVLMQADGSGMYTLQALWTQAREQLDVVTIIFANRSYAILHNEF KQVGAGAPGRNAHRMLDLDNPAFDWVHMANAAGVEAARVTDTKALGEVLKSAMARKGP FLIEAVI MIM_RS20185 MNARDIEIFRSVMVSGTASKTASLLGISQSAVSQSLRKLEASAD LCLFERIRGRLVPTREAQILLNDIEQYFVGMQAIEHRIRSLKSAGSDRLCMAVYPALG FSFLPSVVATYRQRFPETCIYLQIMSSKDVHAHVTSGHADFGLMADEMSLAGLEHSLF YRTQGVVVMHSDHPLCGKRILELSDFDGMDFIALNAEDGSRRRLDALFQNACVTPRRV VETPYSQTVCELARQGVGMGLANPITAIGYADNGLVLRRAAFDVEFRCILLFRTDKLF SESSKQFLKFMRIQMNEIQARIDQMLDATSVRDGGAAT MIM_RS20190 MSIDMNNTVTARGIHKRFGDTKVLHGVDLAVDKGEVVVIMGPSG SGKTTLLRSLNFLEQPDSGMVQIAGIQVNIAENTPYTSRQKKDIEQIRRKSAMVFQSF NLFPHMTAIANVIEGLVSVQGMPVKAAREKGLALLERVGLSHKADAYPAHLSGGQKQR VAIARGLAMDPEVILFDEPTSALDPELRDGVLDVMRDLARDGMTMLVVTHEVRFAKSA ANRIIFMEQGAILADCAAETFFSGQTTPRIAQFLGQVR MIM_RS20195 MLELFMRALPLLQNALLLTLLLSASSFVLGCVLGLFIALARISS FRVLRYAAFVYVSIFRGTPLLVQLLLIYFGLPRIGIVLEPVPSAIIALTLFSASYLSE NFRSGINAVDKGQWEAGLSMGLSYRKLMQRIILPQGLVIAIPTVGSRLIALVKDTSLA STVTVVELTRVADQVGAATFRYMEMFLMVGAIYWIINQILTIVQTYLEERFARNHR MIM_RS20200 MGTMNQMSGTRRLTTMLLIVMGSTMAVAPALAQSTDLLARIKTS GVIRIANTQASPPWSMLDEKNQLTGYDVAMAEELARRMGPFKVKFVGDTYKNFVEGLK TDKYDLVMNDMTPTEIRRKQVDFSIPYGVEVFRIFVRKDNSDIMSKATLAGKRVGVST GSSNESWAREHLTDSDIRGYDNGALIFNDIANGRIDAVIISHFGGMKYAHAKGIPVKE VGEPLTYQLAAAALPKGQDALKDAVNKVLDSMLSDGTVERISNQFVGKDYKMLDSIAA AKAELAAEGK MIM_RS20205 MTKKYYPASDAFAALSPPVWRASTVVFDSVESFINRKSRQPDGY SYGITGTPTARLLEQEIARLEGGKHCAVTPSGQAALCLAVMAFVQGGDHLLISAASYG ALKTYADKWLARLGVEVEYYPADISGEIADYIRPNTRMICLEAPGTVTMEMADIPAIA AIAATHGVMTMVDNTWASPLGFRPLEHGIDLCVEAATKFFGGHSDLLLGAVSTNNLEY FSQLRETQSIMGLQTSPEDCFLVLRGLETFELRYRRQSENALVIASWLQQQPDIREIL FPCLPGDPGHEVWKRNFNTGGCLFSFMLKQDNDSAYSAFFRALKHLPLGASWGGVHSL IAFYPAQMQRDRIFPRTDRAIIRLSAGLEDPALVIEDLQTALHAYSEALR MIM_RS20210 MSRLRYKTLVDQLAQDIRSGRLAPGTRLPTHRKLAAREHLSLVT ATRVYAELQAMGLVSGETGRGTFVREIALPSGHGIDQHVVAADMIDLNFNYPSLPGQT EMLRNALRQLATSGDLAALLRYAPHGGRMHEREVMAQYLDSQGLTVDAAQVLIVNGAQ DGLSMTLMANLKPGDVVAVDALTYPGFRVLADVLHLELLAIPAAGQGPDITALEKLCR SRRVRAVYTMPTMHNPLGWVMSEACRRQLIAVAREHRLLIIEDAAYAYLVEDAPVPIA QLAPDITIYITGFAKNVAAGLRLGLIAAPPAHVPLLERAIRATTWSTPGVMSAIATEW IRDGTVLQLEYQKREDARLRQSMAREILSEIACVGHPASYFLWIPLGEDARADQIVMA LMREQISVSTAEPFATTTHVPHAIRIALGSVAPDVLAAALKRVREYVMTLG MIM_RS20215 MEQEKGRTGGSAGWFNGFLGVLIFSGSLPATRVAVMEFDPVFLT VARAAIAGLLALVLLLLTRQKRPTRAQLPPLIIVALGVVVGFPLLTALALQHVTSAHS IVFIGLLPLATALFGVLRGGERPRPIFWLFSVTGSLLVAGFALSQDLSASLAGDLLML AAVLVCGLGYAEGATLSRKLGGWQVICWALLLSLPFMLILSLLTMPASFDSISQPAWM GLAYVSLFSMLIGFVFWYRGLAQGGIAAVGQLQLLQPFFGLALAAGLLHEPVSVLMVG VTVAVILCVAGSKRFAR MIM_RS22730 MPASNKPRNTSRAPHIKFLRDSLISPPRAITGKCTESWLKDNKE AIERSNEFGERYGPPLQKYRMF MIM_RS20220 MPNTKPTELTAQRVRHPLKARLLQVRQITQLTPRMVRVTFHGPD LGDFISASFDDHMKLFFPADGQPVVPENTPEGPRFPEGAQRPPARDYTPRNIRAADEE LDIDFVLHGEGPASTWVAGAQVGDSLVVAGPRGSFVIPDGFDWQLLIGDETALPAIGR RLEELPKGTKVHVIALVADVAEQQAFQTEADAQINWLQAGETNTQPLVDAVRAFTLPE GEGYIWGGGEAAQMRAITQHLLEERGIDKSRVRVSNYWKKDAAQE MIM_RS20225 MKADKHHHHHHHRGGRGHRRHDDQFTRGRKFSSEDLQLMLLALL EIQPSHGYELVKELDTRSGGYWKPSPGMVYPALTYIHEIGYASVSVHSNKKSYVLLPE GQEYLNEHREQADELLAMLVHLANKMKYIQGAMAADSDAPDQSGWLPEFLAARLALKR ALLLKSAADVSEQKRIIAILNAAIEQIRQV MIM_RS20230 MPQNTADHLLRWRQATTDGNEAYSQGKYRTALRHYDLALTMATE SFGNAQDAEAAVAAFVIAHHNLADTYERLGSDALQRLHLCLPHETLCTAMNDVTLSEA WQSAALNHSRRTYAELIRFLSLHPHDHEARIVANQGAAGMTRNVPVQ MIM_RS20235 MSYTLPSLPYAYDALEPNIDAQTMEIHYSKHHQTYINNLNAALE AEKLPMPPVEELIANIDALPESVRGAVRNNGGGHANHSLFWQVMSPDGGGVPDGKLAT AIDADLGGLEKFQEAFTKAAISRFGSGWAWLSVTPQNTLVVESTANQDSPLMTGNTPV LGLDVWEHAYYLKYQNRRPEYIAAFYKVVNWAEVARRYEEALR MIM_RS20240 MKDSWLALTGRVVAVTGANGGIGRATVQALLENGAHVAMLDRQA LSQDELAALREQTKGECLSLACDVAQDEQVLAAVESVNRHFGECHGLINNAAVSIQGH LDSLSVSDWSRQIDINVTGYLRCARAFGKGMQLRGAGSIVNVASIAGSNPQPYSGAYS TTKAAILMLSRQLAFEWGQQGVRSNAISPGLIRTPLSEPYYADPQMREKRENAVPLRR IGRPEDIANVATFLVSDRSSYVNGAEIVVDGAFTQSLMSHIPRPRRT MIM_RS20245 MTFFERTMLIGAAIFALGTGTSHVQAADSYPDRPIKIVVPYSPG GFTDILARQMSKQLSDKFSQPVVVENKPGAGTIIGAEAVARAKPDGYTLLMAVTTTLS SNPHLFSKLPYKISDFKPVALTGLTPFVLVANPSVPANNVRELIELAKKEPGKLSAAT LGNGSSTHLVLSMLRGATGAEILDVPYKGASPALSDLVAGHVDLFFDAITTSLPHIQA GRLKPIAITSEKRSEAAPSIPTFREEGTPEMLAYSWYGLLAPAGTSDAITEKLNKAVN EALASPEIQEKFRTEGAESHPMSAKAFGELIEEHSRTWGKVIKDLNIQLD MIM_RS20250 MHAIDPSADNLSSSPEVTRVLKALSLQRDAPWHLPGYYLGITYD SVDHHRAMLSMDLESNLNVHGQPLPVALCILADVALAASIRGEVGFETRLATISAKLT FTGQHATQRLVANSRGRFQSDDNAIAIRNSGVTILSGETEICFAEGSFAVLERPADRP VQSQPDHHSWEQIDLLAVNDLSVQENDTYQRALQALADRANDKTQPDKTTFTETFWGL TPTSEGHVATCEIQCGLHIGNRVGHVQGGVLLGLAINTSLATVRDGWRMLEINALFIR PGTEGVLTATSQIVNAGRNLAHVLCEIRTATGRLVLQAQSTMIRE MIM_RS20255 MTDITPDQEQIRAYFIAERGYWRPWTQAILRHNPLFLKGYAAYA GYPARHGPLSTRMIELIYIALDSSATHLYPAGLRTHMDFARQCGVTAADVFDVLHIVA GQGLSHVYDAVRILAEESGVDAGHVMSEAQRSRVLRHFSEVPAFMEQIAQLDPGYLDV LLDFLEEGGSRLATSDAGLTPAERVLIEVALAACFTGFNETMLRIRIRSALQMNISQA ELLQAIQLTAHLSIHGTALGANTFEEHIECQTKEHKHSDPA MIM_RS20260 MTISTVLITGAASGIGRASAMLFASRGWRCILVDRNATALAEVL GTLSSSGRSEGVNEITHLSRTVDLTVPAEIQSLADATLVLDAVINNAGMSDTSGLPLT DQDVEQLDRLVALNLRAPALVIEAVAAQLRPGARIVNVASGAALHAIPLRGAYSATKA GLLAQTQALAAARPDLCVTVLCPGFVRTELVAELIDIGRLQPKNIVAKIPLGRMAEPR DMAEALFFLASTGAAALSGEWLSVDGGSAIFGGSKPFAPAALQPLSFECTVDYQLAGN PSAAWAVALGGANPEDANVADGEPRYLATLDFSCLHAQHGQMLQTVHRAARRYTSQNG PHSSLTLLMPANRSAVQWQQAGDMAAARMLIHSLACELGPRAMRVNAIEINPDAPADA LVPLMRFVSGARMQFMTGQIIRAPGA MIM_RS20265 MQQDMAGRVALVTGAGNGIGKETAKQMAARGAIVGVNDLKEEFV QATVQEITAAGGAAFDVVQNMATRDGVAAAVQRAHQYKGRLDIMVNNAAWVRYQAIPD IMPETVDRMVDIGFKSIIWGLQAAVDVMDPDEGGVIVNVASTAALRSAMNSVVYSGIK AGVLGITRAAAAELGARHIRVNAVCPSAVPTEGTQRNRNAERDANRIARTPLGRLGTV TDIARGICFLASDEAGFITGQALVVDGGITFTNI MIM_RS20270 MSYQEESLRPEEFAEAAAAAVADVLGREPREAAAVLAASGLLGV CAPQERGGLGLSLEFGVPICEAAGRLQLHFPLMEQMLLARAFADSEIADALVAGDKIG VIAWQGSVSEKSATHAAFADVCDWILVADQDGASLLEAQSVSVQANGAMDPDYPHYDI TIDAPTIKARLSSQAWRALMNDAHVLYTGFINGLAEQALARAAEYTATRVQFGRPLSA KQVVRHTLARMRLLHESSTAALQRALRNNEFSHVRSAETAFSGAISNTVFIIEKAIHL HGGMGFTWELPLHYALRDVRKIEAAFNHGHQLEKLGAQFIAAA MIM_RS20275 MDFHSNPIIPDPATAPDAYRTHAREWLKNNLPTYMRSDSLDYRS PTLAESSDWEAAMYRAGLAGMTWPKAYGGHGLTLREHLAVNKEIGALPMPESVSSIGK ELAGPIIMTVGTEVQKQAFLPAILEMREYWCQGFSEPDAGSDLVRLRTRAVQEGDSWR INGQKIWTSGAAKAHYCLLLTRTGTVADKHRGLLMFAVPMNTPGIRVVPIRSIDNKES FAEVFFDDVVVPDSARLGAPDEGWSAAIRVLSIERATNRMYRAWRFEAELRQLIQACK SDAQLSRRLEESYYQRRIGQLVCEIDALKGLVERSVEQLMSGAAIGARGSLTKLFWSE CHQAFMALAQEIVSQVGPSSSPLAQRARKHFTTAYLFSHAETIYAGTTEVQLDIIAQR IMQLPKDI MIM_RS20280 MDTFVVPQAGAGLDAFFNAQGIAIIGASDDITKIGGRPIHFLLK YGYKGQIYPVNPRGGEIQGLPAYASISELPAAPDMAIIAVPAAAAVKAMLECAAIGVR GVVVLSSGFAEAGPDGAVLQAELVSIARRHGMRLLGPNCLGTISVAQGVIGSFSIILE QSMPPAGHVGIVSQSGNIGSFAVQNIARRGLGISHFIATGNEADIDVADGIAALAEDA QTRLILCCMETCRDADRLTRALDLACKKNKPVVVLKIGSTEQGQAAAASHTGALAGSD AVIDAVFRRYGALRVNAIEELLDVAHAAALLLPAGLPKGNRITLLAASGGFGIMMADA TVKAGLALTELAPQTKEKILQILPLAGTNNPVDATAQVSARPDVLQGTLAALMEDTHT DVTQIFLSLSLYNTRLRGVYMKALKDIRYRYPDRLLVVTSQGPADAVREINDLGIPVF PGIDATARGLAALVRMGQLSALPESTSYRGLVEALDSDAFRNEYTAKEALAAAGITVP REAVVTSADAAAAQATLTGFPVVLKIVSQDIAHKTEIGGVLLNLADEVAVRSAYEQIM QAAAKHAPEARLDGVLVSPMLSGGNELIMGVSRDPVFGPVVMVGSGGIYAEILQDVAV QAAPVSEKEALAMIRSLKLFPLLDGARGRKKADVLAAAQALTRLSEFACRHAADVAEI DMNPVLVRPEGEGIVVLDALLIPRRADSTSE MIM_RS20285 MTEKFVHIDVSNYVALVTMDRKPVNALSREMRRQLVAAFDEISA RDDVRCAVLTASGSVFCAGADLKDRPDQDIPGDFLEHNRITRETGNAIRECAKPVIAA VNGAALGAGLGLAAACDILYASENATFGMPEINVGLAGGASMLRTLFGRSTLRRMFYT GQRLSAHDLLRRNVIEEVLRPEELLPVTMELARVIASKAPLATIYAKRAANMVDVMPQ RDAYRFEQEFTMMLAKTEDAREARMAFLEKREPKFKGC MIM_RS20290 MRIHLTNTGAVTVLDAAEFRRLDVLVDPQPPEKLELAIRKIGRR EDSDHIRLSPAVLRYLCGRAGEAEWESGFAGMLAYAAKVGWVDEQGDVRVHIECNEID EVVTEVEFKAAMRALPAGISAVTTGSGDGVAGLIVSSLTSISAEPPLVGFFIDERSSI VPALLANNRFVANVLGEEHKEVLSTFLCEKQGPARFSKGNWRQGLHDQPVLNDALATV ECDIVNTQALGTHRMIVGKIRRSVSRQASPMINFNAGMHRLEPLPG MIM_RS20295 MEFGVFILAQQRGYHQSSKQVISNSVEQTIAAEQAGFNNAWYAE HHFNNYSLSPSPLMMVAHCAGMTRTIRLGTAVCILPLYHPARFLAEVGFVDTVSDGRL DLGIGSGYQAFEFERFGVKLEDASKIYNEFLDMIPMGLTQQTFEYQGEFLKLPPSSIA VRCVQNPMPPLWITSGNPVALGRGVRENHNLFVTSLLKGNDGIKELRERLEKVAHDEG KNLDRDVKFGFLRCGFASDNKAEVDAYLDNARFQRRISESLKFRRAQSEDGYMIQEVP SPTDMSFDELRKNLPVGSVNQVIDKLLEEISILKPKHIALQTQLGDFDQKTMLKQIEL WGDKIIPAINKELGLKAAA MIM_RS20300 MDRLRAMELFLSVSRTGSFTETAKQYGVSPTSVSRMITDLEQEL SVRLLLRSTRQVMLTEAGQEYAHQLDGILWSIHHAHDNITAISSSPQGLLRVHSRVMF GVGVLTPLVARFRTLYPDIHVELLLAEAQADLRLQQVDVDFRIAPPVEAGLKRRILFK SDRYLVASPDYIATMPELTSPPQLSNHAFLCYLKPGERYVCRFMSDKGVDEVALNPRH VTNSGIVQLELARLGEGIALLDDYTVANDIAQGRLVRLLPDFRVTNSSFEDGIYATIL DTPMIPAKIRVFLDFVAAEVSGTERRFLAYRQPGATG MIM_RS20305 MAIEIAPVGTIRAALGECPVWDIANQQLWFIDSRAGVIRRLDPA TGIIAASVTVPAPAGSFCLNADGRLLVALKEKLVLVDPLTGAQQFLAAVEDSHPHLRL NDGEPMPDGSFVVGTMHVYREENEPPLGGLYRLRPDGVLMKLDTGIGIANGPCVNPLD LRLYISDSSTRSIYSYVIAADGTLADRRLFVNTGPYDSGPDGCCFDTQGGLWTALVRA GAIARFGPDGVMTHRISLPLTHPASLCFGGERLDELFVTSISDSGRLRADGPLDGAIL RIRGCGMQGMVPAHTRIGLSA MIM_RS20310 MQNNKRRGMIAALMAGVVFMLPPAFAQDEAKPITLIVPYAGGGT NDNFARLLAEGMSKELGRHVIVENKGGANGIIGAAYVARAKPDGTTLLLGGTGPISLN IMLRPNLQYQFDSFDSVAMLFEGPLTLTVPTSLGVNSLSELVTYAKKSDKPLLYGTMG PGSVTDLFGRVVSTAFGVPLTAVAYKNNTASLIDLMAGRGDLSYATPIALIEHQKAGD LKILALTTDKRDPSFPDIPTVTELGYPQLESSYWTSLHAPKGTPPDVIEKISAAAVHT VKSEAFRKLLRDNGQTEKAGGPRVLDAQLQADRQYWGKVIKENHIVIN MIM_RS20315 MRNIKRRRFMAAAVGSAIVTSLPARAQAEGKPITLVVPYAAGGT NDNFARLLAEGIGKELGRHVIVENKGGANGIIGASSVARARPDGNTLLLGGTGPISLN VLLRPSLPYRFESFDSVAMLFDGPLTITVPTSLGVNSVEELVAYGKKLGQPLLYGTMG PGSVTDLYGRIVAKTFGIPLTAVAYKNNSSALIDLMAGRGDMNYATPVPMIQNARNLK ILTLSTGARDPHFPDIPSVVELGYPQLQTSYWTALLAPKGTPAHLIEEIAAAATKTVN NEAFQRVLTENGQIGKPGGPQVLDAQLQSDREHWGAVINENKIVIN MIM_RS20320 MSTDKHSPPKPWWPRVFGIVLALMGLALLIGGIRLVSLDGSWYY LIAGAATLIAGLLLLAAKASGALLYFLVVIGTIVWAFAEVGSAFWGLVPRLAPVLVLG LIAALALRSLRPATRALAIPAALVQLVVLVVGGVMMFSPQGTIQSPVNSAAKVVNNIP LVTDPNSTDNRWTQYGRSGHSDRFGPFDQIKPDNVNQLEVAWTYRSGAQTGGSNEDQA TPIQVGDSLYLCTPQNKVIALNAETGEERWTFDPKPKLSPAWNRCRGVGYYEVADQDK SENGMCNARIITTDKQARLWALDAKTGELCPDFGDSGKGYTDLSNGMGEYPDFYYMPT SQPLVAGDRVIIGGWVWDGKKTNEPSGVIRAFSAKDGSLDWAWDLGNPQITKLPPEGQ TYTQGTPNFWSHGAYDEKLGLVYLPLGNATPDFWAEHRSEAMNENASSVVALNVETGR RVWQFQSMHLDTWDYDNGTPPTLVNVPDGKGGNTPALVLATKTHQLFLLDRTNGKPLA DVEERPAPQKTMQGDAPAAPTQPWSAGMPQLGAMTMSEKDMWGATMFDQLFCRIKFKQ LNYEGPYTKITDKPTLVYPGYYGGFNWGGHAYDPRTNMLIVNDINMPQIVFLAPQATA EAITKELNASDITQAKWTNSHVQDGTPYQAVRGSFNSFLGLPCHQPSWGNLTGVDLNT KTIAWQVPLGTVEDSRLMGVRTSLPIPLGMPSLSGPVATAGGLTFYAGTQDYYLRAFD SSTGKEVWKSRLPVGAQATPITYLSPETGRQFVVVVAGGARMTPEKGDYVIGYALPKK MIM_RS20325 MMNNTTSTTPSARILIIDDEPQIRQLIDISLRSQGYTTMLAASG MQGLTLLANKSVDLVLLDLGLPDMDGQSVLTELRRLYQIPVIILTVRSSEIEKVKLLD AGANDYVTKPFGMSELMARIRASLRTTIQTTSKVADFDDGCLYVNLAEREVRIQGESL SLTRKEFSLLSILIQNPGRLITQTQLLRGIWGPVHEQDTHYLRILVGKLRFKLNDSAT SPKYIVTEPGVGIRFIGSEQTSF MIM_RS23555 MQGEHQVLGVIGLQFPPDLTKLTFEQRRLAQAMVEDIGQAAIRT ELVTQLEQARVVGETERLRSALLSSVSHDLRSPLSSIIGASESLRNYGKDMQEDDRLA LLDGIYAEGQRLDRYIQNLLDMTRLGHDGLTLSRDWIGVDELIGYATRRLKKYMPDVI VHARIASDTGLIYAHPALLEQAIFNALENAAKFSPRDVPIVITAAIIENNAITIDIID KGPGIPEHERRRVFDMFYSVENADSGKAGTGLGLTIVQAIVRAHMGTVEALQGEDNIG TTIRITLPSGN MIM_RS23560 MTNPTENTRKADALIGEFKRQTAGRLTVFLGASPGVGKTYAMLS RGRELQRQGIDVLIGIVETHGRKETQALVDGLPCLPRKRVQYRSHQLEEMDLDALLAR RPAIALVDEMAHRNAPGSRHERRWQDIEELLNAGIDVYTTVNVQHLESLNDVVYQITG IRVTETVPDTVFGRLRDIRLVDLPARELIERLNQGKVYLPEQAKKALQAFFSPSNLTA LRELAMQTVADQVDSDLREARIARGIDSPSMQRRVLIAIDGRGQSEYLVRAGMRIAER RGVPWTVVTVVTGRQSFSAAAGKRPEKKAAPPSAEQSRQLEIDKAFALARRLGGDTEV LHNADVAQALLDASDARGVRTIVLGRTRERPIARAFNRTLTQRLLQRGARYELTIIGD ARSAERARLSLPPTPNRLSRQEMLIVILTCIGATIAATLAERLFALDELSIIFLVAVV FVASYTRMICAVITALICFLVYDYIFIAPRFTLLISANQALVTVIMFLFAALLTGRLA SKLRMQVIALRAANRHAVSIEKLGRALSTEQIWAR MIM_RS20335 MSWTAVLGESFKKLSPAVQMKNPVMCVVYVGSIIATILGIQASL QEGDASAGFIFAVAAWLWFTVLFANGAEALAEGRGKAHAQALRSSRQQVNARVLKEAN PDAQSWFVPGEELEENALLLVKAGEIIPTDGEVLVGVASVDESAITGESAPVIRESGG DLSSVTGGTRVLSDWIIIRVTARPGEAFLDRMIAMVEGAQRGKTPNEVALTILLVALT LIFLLVCVTLLPFSMFSVQLNGVGQTVSITVLIALLVCLIPTTIGALLSAVGIAGMSR MMSANVLATSGRAIEAAGDVDVLLLDKTGTITLGNREAVNFIAAEGVRQETVAEAAYL SSLADETPEGRSIVALAKAKFNVQARTLEGTQAVAFSAMTRMSGIDIGDRVLRKGAAS AIQKYIAAAGGALPASVSLTVEQISRRGGTPLVVADGTKVVGVIELKDIIKSGIKERF AELRSMGIKTVMITGDNKLTAGTIAADAGVDDYLAEATPEDKLALIRKYQAAGHLVAM TGDGTNDAPALAQADVAVAMNSGTQAAKEAGNMVDLDSNPTKLLRVVEVGKQMIMTRG ALTTFSVANDLAKYFAIIPAAFLGTYPALESLNIMGLHSPNSAILSAVIFNALIIIAL IPLALRGVTYRAESAERLLRRNLLIYGLGGVIVPFVGIKLIDLLLTPFF MIM_RS20340 MKTNNIESRNSVSVNYCSLLRPSLLSSVFFMVVCGLAYPLATTG VASILMPSQANGSLMQKNGGNIGSKYIGQLFTAPRYFHGRPSMTVGADPKDPGNTIDQ PYNAAASAASNQGALSKKLLDAVAARTQQYRRENALGDDVLIPVDAVTASASGLDPHI SVANARLQTKRVAQVRGITEQKVRTLIEQNTESRQFGILGEPRVNVLGLNIALDDLSK VHHVAQ MIM_RS20345 MSMDIIQFAGILVLTTCLAVVLGKWIARVFTGEKDTILESGTYA LLGVDPHQKMNWTTYGLALLLSNAAMMLLGYFLLRIQQTIPGDTLQRAAQTPDLAFNT AASFITNTNWQAYSGESSLSNFSQMAVITFLMAVSAATGVAAAGGFIRGLSRRSAADI GNYWVDFTRVLYRVLLPLCFVMALVYVWQGMPQTLSADAVVTTLEGAKQQIIMGPVAS LESIKHIGTNGGGFFGMNAAHPFENPTPLTNTLHMLSMLLIPAALTYAFGTMIANRKQ GWSFFAAFLVMFLGFLALVYSAEHNGNPLFSSLGVDQSHSTIQSGGNMEGKELRFGIA QTSMFAAVTTAATTGSVNSMHDSYTPLGGLVPIAQMMLNNVFGGDGVGLINLVTYVIL TVFLVGMMIGRTPEFLGKKIEAREMKFIMLAVLAHPMTILGFTAIASLFPASMESLAN MGPHGFSEVLYAYTSGTANNGSAFAGLNANTPFFNLTIGLAMLLGRYFTLLPMLAVAG VMASKKPVPASAGTLSTASPLFTGLLVFVILVVGGLTFLPSLALGPIVEHLLMLAGKT F MIM_RS20350 MIRIDQIWLAVEPMDMRAGTETALSRVVQVFGAAQPHHAHVFAN RRANRLKVRVHDGIGGWLAVRRLHQGRFTWPGVDTATR MIM_RS20380 MNIRFLETFVLLAELRNFRMTAERLHTTQAAISSRIASLEQEFG VRLFDRNARDVSLTPDGSKALIHAERMVKLLRDMKEDMLDKHAYAGVIRIGVIESIVH SWFPEFLARLHEAYPRVRIEIASDTTVHLTEKLNKGDLDLVLQGNAVHVSLVDTIALG QLPMRWVASPKLEIGNDVLSLADLAAFPIVSFARESEPYAAIERMFATVGDITLHLNG ISSVATMIRLVRDGFGVAALPPAIIQQELAAQTLQLLRADTVLPPLEMQAAFRHNQEN PLAETIGMIAQEVAIGFATNRGQSIACAPPQT MIM_RS20385 MNQSNIATSPSPGTDANELKATYSKIAWRLIPFLVFLFILAWLD RVNVGFAKLHMLQDLEFSEAVYGLGAGIFFIGYFLFEVPSNLLLEKIGARKTLARITI LWGAASIAMAYVTTPTQFYIMRFLLGVFEAGFFPGVVLYLTYWFPAEYRARVNGLFMT SFALAGVIGGPLAGLILNGMQGVGHLANWQWLFVIEGIPSVIAGLFVLRYLPEKPVNA KWLTSEEQQAVTRALELENSQTGNKHLSFKDAYRNYGIWLCAAVYFCIVSGNATIAFW TPSIIKDIGVNNDLMIGVLSAIPFIAGTIAMIWNGAHSDKTGERRLHCAVATLIACLG LTATGMLLHNATFALIALTVASIGILAAFPVFWSIPSAFLAGTAAAGGIALINSIGNL AGFVAPYMIGSLKTSTGSLSSGLYFVAALEILASILVVAFIKKR MIM_RS20390 MKLEFSLAQEPAATVVADINTLIVAGWAGRDVQAIEHHIEELAA LGVPRPSTVPLYYRVANNQLTQASHIQALGTESSGEVEVFVFTVDGTMYVSLAADHTD RKLEAYGVAESKQICVKPIATSAWRFDELIEHWDQLVIRSWIEEGGQKVLYQEGTLDS LRLPQELIKGYTGNQHILPAGHGMICGTVGAIGGIRASNTFVMELHDPIKNRSLQHTY HVETLPVVA MIM_RS20395 MNHSALPTLNALSHALDTGSTTSVQLTQQALSRASNGEGAKVYT RLFQEQALAAAQAADTLRQAGLRRSVIDGLPVSVKDLFDIQGKPTQAGSVVLGDAPAA PHNATVVQRLINAGAVITGTTNMTEFAYSGLGLNPHYGTPRNPWERNVGEGRIPGGSS SGAAISVTDGMAVAAIGSDTGGSVRIPSALCGLTGFKPTARRVPQEGVLPLSTTLDSI GPLAASVECCAILDAIMTGEAYTPIAPKSLKGLRLLFPRNAVLDGADDHVAASYAQVL SQLSAAGAIIVEQAVPSFDQLPGINAQGGFIAAEAWAWHRQLIADKADAYDPRVVSRI LRGKQISAADYIDLLQRRKAWIQQLQLQLADYDAMIMPTVPVIAPTIAELQDEEVYHK TNLLLLRNPTFINFLDGCAVSVPCHAPGTAPVGVSIAAAGGQDHTVLAIAKAVETLLH G MIM_RS20400 MASVNKVILVGNLGRDPEVRYSPDGAAICNVSIATTSNWKDRNS GERREETEWHRVVFYNRLAEIAGEYLKKGRSVYVEGRLKTRKWQDKDTGADRYSTEIV ADQMQMLGGRESTGGMDDGGFGGDDGYSSAPRQAPQRQAPQQQQRPAQKPAANIMDMD DDIPF MIM_RS20405 MNSAAPSQPVQAESAKPAKLKLTPTERRASTTLALLFAVRMLGL FLLTPVFADAAKTLTGGSNAALVGAAIGAYGLTQAILQIPLGMASDRFGRRPVIIGGM VLFIIGGIICALSDSVTGVVVGRSIQGLGAISAAITAWVADATRPEVRTRAMAMVGGS IGISFALALVLSPLLVEIGGLSGLFWVISLLGFVSLLLATFIVPVVPLQVPAIQSRPR DVLRNGDLLRLNFGVFCLHCILMSIFVVAPPLLIGLGGFTTGTLWKVYLPVILASFVA MVPVVFYTETRHVHKQSLEWSVAGLAIVMAIMAFATQSFTAIVILFVGYFVAFNILEA LQPSLVSRVAPAEHKGLALGFYNMSQALGVAAGGAVGGLLARYTSISAVFLMGAALAA IWFFTARSFKSPT MIM_RS20410 MDAIRIRGARTHNLKNVSLDLPRHKLVVLTGLSGSGKSSLAFDT LYAEGQRRYVESLSAYARQFLQLMDKPDVDLIEGLSPAISIEQKAAGHNPRSTVGTIT EIHDYLRLLYARVGTPYCPVHGEPLQAQSVAHMVDHILAMTADTRIAILAPIAHERKG SYEDDFAQLQAQGFVRVRIDGQMTELEPAPVLNKNEKHSIDVVIDRLRVREESKQRLA ESIETALKLADGRVLVLDMDNDREQPFSIRYACPICNHALAELEPRLFSFNNPVGACP TCDGLGHTWFFDPKRVVAFPTLSLSSGAIKGWDKRNAFTHSLLTSLAAHYEFDMDTPF EELPPAIQKTVLYGSGDEEISFVYLNDKGRGSVKKHAFEGIIPNLERRWKETDSNTVR EELGKYRSLQVCPDCGGSRLREDARHVLIGNESRGGSRLGMAIFEVEAMALSECLHWF ENLNLTGSKQEIADRIVREIRARLEFLNNVGLNYLSLDRSADTISGGEAQRIRLASQI GSGLTGVMYVLDEPSIGLHQRDNDRLIQTLAHLRDLGNSVIVVEHDEDMIRSADWVVD MGPGAGEHGGQVIASGTPDQIANDDASLTGAYLSGRLRIQAHKPRMLDKEQVWLQING CSGNNLKKVDLRVPAGKLVCVTGVSGSGKSTLINDTLATLMAHELHRAQTEPAPYTSV DGLDHFDKIINVDQSPIGRTPRSNPATYTGLFTPIRELFAGTPEARTRGYDPGRFSFN VKGGRCESCQGDGVIKVEMHFLPDMYVPCDTCHGKRYNRETLEIRYRGRTISDVLDLT VEQALEYFSAVPVINRKLQTLMDVGLSYIRLGQSATTLSGGEAQRIKLSLELSKRSTG KTMYILDEPTTGLHFADIALLLTVINQLVDAGNTVVVIEHNLDVIKTADWIIDMGPEG GQGGGQVVATGTPADIAASPKSHTGRYLKPLLEREKV MIM_RS20415 MLTRHATYAATVAATLWDVPQHYNIGVDVCDKWADGTGRLAIIH EKSPNHIQYYTFDQLKAYSNRFAHVLQQAGVVRGDRVGILLAQGIETAIAHIACYKLG LIAIPLFSLFGSDALAYRLSNSGARALVTNAEGVAKLASIETPLPQLQTVVDIDATQD TPAAPITLAFWPALQQQPDTFEPVPTLADDPAVIIYTSGTTGKPKGALHAHRVLLGHL PGVEMSHNFFPDHAKVMWTPADWAWIGGLFDVLMPSLHHGIPVLACRFAKFTAEAAFD IMSRHQVTHTFLPPTALKMLRRLENPRDRWPLALHSIASGGESLGLELIDWGRRNLDI TINEFYGQTECNMLVSSCADLFEPKIGSMGKAVPGHDIQIVNDQGDILPDGAEGNIGV RRPDPVMFLSYWNNPEATQEKFAGDFLVTGDVGVRDEEGFFRFVGRNDDVITSAGYRI GPGPIEDCILGHPQVSMVAVVGLPDDERTEAVTAFVVLNEGVEPSDALKKDIQEHVKT RVAAHEYPRRVYFVDALPMTTTGKIIRKELRNYTETAG MIM_RS20420 MDSPALPLRSLHALFVDGNMQSLHGVLAAMRSAAFRITVAFDGL QGYEKAITTRPDVIVSELHLPRLDGIPLCRRLKANTLTTDIPVLFYSDLNDPQTRIQA LEYGASDFISKSHPYDEVVARIRIHAGISQRLQGARQQSALMPLAPDKGLNTDTIVSD DEVAVRIVMQYAQENLAEDLSLPKLSALVSMNKKRLNRAFNNICGCSAFEYIRDLRMR TAKHLLATTSLRTLTIAEDVGFSNAANFATAFLNVQGMTPSEYRRKTRQLRVSESVKN TNDV MIM_RS20425 MTQSTLASYATHPVHSRGRLHSEETDPARDPFQRDRDRIIHSGA FRRLEYKTQVFVNHEGDLFRTRLTHSLEVAQIARAVARNLGLHEDLTEAISLAHDLGH TPFGHAGQDALHKSMQTLRPGAGGFEHNLQSLRVVDELEERYASFNGLNLTFETREGI LKHCSLSHARQLGEVGQRFIDRKRPGLEAQLANLADEIAYNNHDIDDGLRSGLITIEQ MRQVQLFATYHDEVSRLFPDVTGRRRIAEIIRRMINALIVDLTRTTRDNLRTHRIEAR DDVLEAPALLQFSDDMRTQADALKAFLMQNLYRHYKVMRMANKASTIVQSLFKAFIDD PRLMPADYRHEDKNRQARAIADYIAGMTDRYAIKEYKRLFVV MIM_RS20430 MAPVHVATSAGQYPIHIAPGRLQHVADSVPANATRLVIISNPVV DGLYGNALRQALADLNKPVFTIIVPDGESFKSLDTLNTIYDRMLSEKLDRRAVILALG GGVIGDMAGFAAATYMRGIRYMQIPTTLLAQVDSSVGGKTAVNHPLGKNMIGAFYQPV SVDIDIHVLRTLPAREVSAGLAEVIKYGLILDRAFFEWCESNVAQLVALDADTLAYAI ARCCELKAQVVSADEKETGLRAILNLGHTFGHAIEAGLGFGTWLHGEAVACGLVQAAV LSGKLLGLSAADIERVRALVRAIGCPDIAPDLGEDSWLDFMQGDKKTEGGEIRYVLLP AIGQAVVQAAPLNLVQETLSETVGDIAIDRSAVQTRDMTATQA MIM_RS20435 MQHTEQDNSPVRHTGQAPIFLIGMMGAGKTTIGRGLARSLGREF LDLDHELEARCGVSIPTIFDIEGEAGFRRRETQVLQEITLRRDLVLATGGGAVVAPEN QELLRTRGIVLYLKAGSDELFRRVAKDKNRPMLLTANPKARIVELLKQREPIYERLAD FTIETSHGSINTVLDAIDQLLKDYQQRG MIM_RS20440 MSTPKPNSPRKTVQRSSAGSRIGRFLFQSIIFCIGLGLCGLLLG TLAVALTWPNLPDLKAMTDYRPRVPLRVYTADKILLAEYGEERRNVLRFNEIPDVMKH ALLAAEDDNFYNHGGVDWSGVGRAVIANVVSGAKAQGASTITMQVARNFYLSSEKSFI RKFYELMLTYKIEQNLSKDQILELYLNQIYLGHRSYGFAAASRTYYGKPLSEVSIAEA ALLAGIPKAPSRFNPRANLKRAVARQHYVLDRMEKLGYITPEQHQEALAQKIVLRDNT QETPETTFARHGQYVAELARQLMYNVYKDNVYGRGLSVYTTIRSQDQEVAYHAVRDGI MSYTRRKPYPGPAAQVDLPAGIENNTERFDLALEEIRNKYRDSDDLVTAVVLSASASK VVAARTPEQIYELSGKSLGYVKRALTNSGPTSRRIQRGSVIYLQKVDNTWTIINMPTV EGAFISLNPQNGAIESMIGGFDFNRGDFNRVTQAWRQPGSTFKPFVYAAGLERGVTPG TNISDQPFHLSAAQTRSKPWSPKNYGNSYSYSQTMRQGLYKSKNMVSIRILQTVGPEF ASEFISRFGFDPARQPPKSAYLTMALGAGSVTPLQMASAYSVFANGGYRVNPYIIDSV VDISTGRVIMKAQPAMAGDEANRVLDPRTAYVMNDLLRGVAKSGTAARTQATLKRGDI GGKTGTTNQSYDAWFAGFTPKLVGISWLGFDQPKSLGDKETGGGAAMPIWLSYMQTAL KKVPVTPPGPIPEGLAKVGDNFYFSEFPLGKALANIGVSGAGRDPNAAKPAGSQSGDA IGKALESFNPMGGPPIRF MIM_RS20445 MTHAWTRKKTPQKLDINPIFGVFFMTKYDEQFKLPVVQNAEASG QGVARLPSRHAYFATPCPASNMRVPNI MIM_RS20450 MTESEFLARADAILDKIHEQADNWFETLDIDLDASRSGQVLTIV FNQKTHVVVNSQAPLQEMWVAAPSGGFHYKFDNSNWVDTRTGTTLQDNLSSIFSEIIG QPLVVAL MIM_RS23080 MSAPSIKRLVAFIVTLLVGSVGLTACGYKAPLYLPTPEQKQKMQ EREERIKARKAKAEAEKKAKQQASDDAAAAGKPAASTDATAAP MIM_RS20460 MSELNAPAGAPFFHYDGAQLMAESVSVSELAARFGTPLYVYSRA ALKAAWESYAQPLTNRNALVCFGMKANSNLAVLQQFAQWGSGFDIVSGGELARALKAG ARPDTIVFSGVGKQDWEIEAALQAGVKCFNVESTDELERISLIASQLGCTAPVSLRVN PDVDAKTHPYISTGLKDNKFGIAIEIAAEVYQRAAVLPGIRIVGVDCHIGSQITQIEP YLDALERLLNLLDTLAGLGIRLEHLDIGGGLGIRYQDETPIAPALLLDAVFAMLESRG YDYLQLVLEPGRSLVGNAGILVTRVQYLKHHESKNFAIVDAAMNDLLRPTLYDAWHGV LPVLCPTDASHTAQSRVYDIVGPICESGDWLARDRQLRLQQNDLLAIESAGAYGFVMS GQYNTRPRCAEVMVDGDQTYLIRPRETVEQLFASEQMLPV MIM_RS20465 MSAVYASIPSTPRFSEQSATPDSSFEIRQLAAPLGAEVLDLNLD RSLSDEGFARISQAFSDHHVLVFRKQDITPAQHVQFSRRFGPLQIHVLRQFQLPGHEE ILQISNIRENGKPIGLGDAGAFWHSDLSYKAVPSLGSLLHARELPDTGGDTHFANMHL AWETLPASLQKILLPLRAEHTYIKRYEEQRAKAPWRPALTQAQLDEVKPVVHPVVTTH PDNGRKTLFVNEHFTSRIVGLPQDESDDLLQQLFAHSIRPEFIYTHKWQEDDMVFWDN RSVIHLAGGTPDDQRRRLNRTTVEGTVPV MIM_RS20470 MMTRSAQGPLRFLSIRNTALALSACALVSTTAHAEGQLRIAEQH GIVYLLLQVVQDQKLIEKHGKAAGLEIKPQWVKLSGGAAINDALLSGSIDIAGAGVGP LLTIWDKTRGKYNVKGVASLGNFPYYLISNNPDVKTIADFSDKDRIALPATTVSVQAR ILQLAASKQWGKEEFKKLDRLTVTLPHPEATGSIIKGGTEINAHFATPPYQEQELKGN KDAHIVLKSYDVLGGPSTSTVLYATEKFRQDNPKTYGAFIASLKDAAAFIKADPEGAA DAYIRTAKSNIDRNLLIEIIKNPDIEVKLAPENTFPLAEFMAEVGAIKNRPASYQDYF FDDEHNHNGS MIM_RS20475 MAHVLKNSSLRLSAAAAEPLQQVPRNVQRAPVGSVEPTPSQRGD TLQSARLPEALLRAQQVNLQYQTDKAIVQATRDVSFSIYKGDRFILLGPSGCGKSSLL KAAAGFIKPRSGSFTLNGKAISGPGPDRVVVFQEFDQLAPWKTVLQNVVFALRASRTL NKVQAKERAEHYLHRVGLGRFLHAYPHTLSGGMKQRVAIARALAMEPAILLMDEPFAA LDALTRRKMQEELLQLWDETRVTLMFVTHSIEEALILGNRILLLSPHPGQVRAELNSH QFNMDSAGSEAFQAATQRIHRLLFEEAEAVTTEHASNHPGITESAYEPAQSTY MIM_RS20480 MSQPSLHIEPPIRPEFEYPLSALRPATQAESIREPLSLAQRLLN HDGVRKILILVVLAVIWEVVGRWQDNELLLPTFGATLGAMWADLINGELPARMAISLS VLLQGYALGVIFAFFLTTFAISTRLGRDILSTLTSMFNPLPAIALLPLALMWFGLGTT SLLFVLIHSVMWPLALNTFAGFQSVPQTLRMAGQNYGLRGLRFVWQILIPAALPSIVS GLKVGWAFAWRTLIAAEMVFGASSGQGGLGWYVFQHRNELETAQVFAGLVMVVILGLV VENLVFTTLEKLTVRRWGTQQ MIM_RS20485 MSNTVVTASGAADQLVDWEKNITESGDNRVLRGKPDFSDILFLL VLLAGAVYAIVQYPDSMDYYEKAILVGMTFAATWIGWLWRPARKLMIACALAAGLALW LYDGQLAHAESNFLLKYLLSSQSAILWMCALFFMATVCYWIGFVSTTGAWMGSALTWA GVFAGFTGLLVRWREGHLIAPDMGHIPVSNLYEVFVLFCLITALFYLYYEKRYNTRAL GGFVLLVISSAVIFLLWYTFERDAAVITPLNNALRSWWMKLHVPANFIGYGSFALSAM VGFAYLVKQHGATSSWKPMIPLLFVGGAMVSELFIFRDKDNMGFWFVYFLVSLAIVAA ILLGRRVIAAKLPPLEVLDDIMYRSISIGFAFFTVATILGAFWAADAWGTYWQWDPKE TWAFIVWLNYAAWLHLRLMKGMRGTFAAYWALIGLVITSFAFLGVNIFLSGLHSYGEL MIM_RS20490 MTNSISRPTTRAWGAQLVELLGSMRFAVSLLMFICVASIIGTVL QQSQPELTYTDQFGMYWYAVFSKFGVAQVYNTWWFLLIMAFLVVSTSICVIRNAPKMI KDMRSFREYIREGSLRAFPHRFDIQTGQTSATSLAHVRGWLKKEGYAVKEKTDASGTL LAAKKGSANRLGYIFAHSAIVIVCIGGLLDSELPNRLQIWLGHKQPIPDSARYVSDVP ESARFSPGNPSFRGNVSIAEGNSSNTGILALSGGQYLQMLPFDIKLNKFIIEYYETNG MPKRFASDVTITDRATGQASNEIIEVNHPFQMHGITLYQSSFHDGGSKVSVNGYPLAG SDTTPFEVSGAVGEQATVTTTLNGQSKNYTLTFDDFKPINVEDLSAPNPGAARPKTFQ EDILAVTGSAAGKNDKKLRNIGPSVSYTLTDDRNQSIKFNNYMLPVMLEEAPVFLIGM QVPGDMTFRYVRIPADGKSTVNEFLALRAAFNDKALRLQAATAYAEKNQDGRIDKSVI VQLAERALSVFAADGFVGIDKYVDGEGVPEKDRVPEQLREPMKKILREYLLFSAIELR NLVRERDGQAPIVFDGPDAQAQARWFDLSLRAVSDLTNYPAPMFFQLKTFQHIQASVL QATRSPGKLLVYGGSILLILGVFSMFYIRDRRIWIWVKPGQDDQDSRMMAAMTSQKRT LDFNREFERFRHHFTGNEKA MIM_RS20495 MKRVLSNILVAGSVLAGMAFGTAAFGAEAAAASAVPDAEKGAAL YTKGDTSRGILACVACHGANGNSGVPMYPNLAGMPHEYIALQLEHFKAPADKVIRGTA DGKPTAMAPIVAQMTKEDMQNLSVFLSKQQLTQPAKAKQGDNKKVVERGRQIWRAGIP ERSVPACASCHGATGQGIPSAFPRLSGQHPEYLEAQLHAFADGYRQQGGAENMMGKIA NRMNKADIQAVADYAAGIR MIM_RS20500 MSILHRASFYTSAARLDQLPPPGPPEVCFVGRSNAGKSSAINVL TNQKRLAFSSKTPGRTRLINLFGIPDPLDPEQPLGFLTDLPGYGYASVARDAREEWAE VLGAYLRQRTSLAGVVLLIDIRRGVTDLDKRLANWIAPTGKPVLALLTKADKLPYGQR IKAVFAVKKELADIGALNAVPFSATQRIGLEEADSSIVNWISPQVVP MIM_RS20505 MTSIITADFPASRPRRNRRDDFSRRLVQENVLTVNDLIYPVFVK EGTGVIEAVPSMPDVNRYSPDTLMAVAETCVSLGIPVMALFPSIDPSLKTPDGIAATH EDGLIPRTIAMLKKAFPELGILCDVALDPYTSHGQDGLLDENGYVLNDETTEILLQQA LTQARAGADYVAPSDMMDGRIGAIRQALEQEKLIYTRIMAYSAKYASAFYGPFRDAVG SATNLGKSNKMTYQMDPANRNEALREIAADIREGADMVMVKPGMPYLDIVRDVKDMFR VPTYAYQVSGEYAMIKAAAQNGWLDHDKVMMESLMCFKRAGADGILTYFSIEAARILT GRQ MIM_RS20510 MNPIRKAVFPVAGLGTRFLPATKAMPKEMLPVVDKPLIQYAVEE AVKAGITDLIFITGRHKRAIEDHFDSMPELESELEEKGKQEMLEQVRQVIPSNVNCIY IRQPAPLGLGHAVLCAEPVIGNEPFAVLLADDLIDSNVPVTQQLIQAAHANNGSVLGI QTIAREDANKYGIIAGKSVSSDTIQVTQIVEKPAPADAPSDKAVVGRYILEPEIFECL RHIGKGAGGEIQLTDGIGALLESRNVFGFAYEGMRYDCGSKAGFFAATVALGQKYHGF KL MIM_RS20515 MKTERLVIPDVLLLTPKVHRDERGYFMEAYRQQVLDEALGRTLR FVQDNESQSSYGVLRGLHYQLPPYAQNKLVRVVAGRVLDVIVDLRKSSATFGQSLHIE LDAMSKQSLFIPAGFAHGFVVLSEQAIFTYKTDAVYAPDYERGLAFDDPQLNINWQVP REQMILSPRDRRFPVFAGLRDLYP MIM_RS20520 MSDYNFYMMVPNAMASLLVTGGAGFIGANFVHYWLAQHPDDTLV VLDALTYAGNRSSLAGLEQHASFTFVHGNICDTDRVIALLQQHRINTIVHFAAESHVD RSIQGPDTFIRTNINGTYSLLKAARQVWIEAPALQNEAPLPHRFHHVSTDEVFGSLAA DQPAFTEATPYAPNSPYSASKAAADHLVRAYQQTYGLATTISRCSNNYGPYHYPEKLI PLTLTSILHNKPIPVFGDGQQIRDWLYVLDHVRAIEAILAQGTPGDTWNVGARCELTN LQLIHALCQIMDQAFATRPALQQRFAQATAARAGNSASLIRYVQDRPGHDRRYAMDPT KIEQQLGFATSEPFDTGIVKTVDWFLDNEPWWRAALSQPA MIM_RS20525 MSDRSIYHKSQLPELFSDYPWFQSLEPDHQALVMATARAESVQQ DAWLARRGELSDDWFGVHSGLLKLAIYDESGKSCTFSGVPPGGWFGEGSVIKHELRKY DVVAIQPSLVLCVPASTFEALLSASLSFSHFVIGQLNERMGEFIASIQNQRLLQADAR VAQSLAQLFNPALYPSTDLALEISQEELGYLTGLSRQRVNRALQNLQQQKILIQAYSK IRILDLAQLRAYGLAQM MIM_RS20530 MTSIFDHGLARNAANYEALTPIDFITRAAEVYPDRLAVVHGDIR RNWGQTYERVRRLAGALAQAGVQRGDTVAVMLPNIPAMIEAHFGVPMTGAVLNTLNTR LDESSLLFMLEHGEARALIIDTEYHKLAEKALARFPNLRVISVHDLETTPKSIAGAVD YEDFLAAAAPDYDWQPPTDEWDAIALNYTSGTTGDPKGVVYHHRGAYLNAISNILEWD MPKHPVYLWTLPLFHCNGWCFAWTVAARAGVNVCLRKFDPETVFDLIRREGVTHYCGA PIVQSALANAPVHLREGIHHTVYTMVAGASPAPAVIASMREMGFDLTHAYGLTEVYGP AAACAYQQAWDELDEDSRATRMARQGVRYHLQAGLSVRDPETMEPVAPNESQIGEIMF RGNICMKGYLKNESATRDAFRGGWFHTGDLGVLTPDGYVRIKDRSKDIIISGGENISS IEVEDALYRHPAVAAAAVVAMSDPKWGETPCAYVELKPDIEVTEQEIIAHCRVLLAGF KVPKVIRFGPLPKTSTGKIQKFELRKNVDTAGK MIM_RS20535 MTTSHNGRVRTVAKDDSHRFSKTITSEIYMVAGLGVQGDAHSGV TVQHRSRVAVDPKQPNLRQVHLIQAELFDELNSHGFNVAPADLGENISTEGIDLLSLP RNTLLNIGSDVLLQVTGLRNPCVQIDRFQTGLLKAVLHRQPDGGLVRKAGIMTVVITG GVVRAGDPIAVQLPALPHLPLDRV MIM_RS20540 MNRRDFLAAAMLAPLTVNSQQLFAAEGTDIKTLVIFLRGAYDAC NFLVPYTSEDYYENRPRIAISQPGSAEGAALKLDNNWGMHPVFEKTLMPLWEQKQISF IPFAGTEDLSRSHFETQDLMESGQPANGKREYGSGFMNRLAGVLGAKAHPVSFTSNNP LIMQGPARIPLIGLSGSGKSIYKDQQISLLEDLYKNSKQQEIVAEGVANHRMMLEEFE KEKEAASRNAVSAKGFDLQVKRMASLMKDKYSLGFLDIGNWDTHYNQGGATGMLANQF KSLGSGLKTFADEMGPQWNKTVVYVMSEFGRTFRENGSGGTDHGHGSVHWLLGGAING GKILGEQTDVNIASLNEERDYKVLNNSRDVLGGLFKQVYGLSNEQVQKVFPSSKVSAI KLV MIM_RS20545 MKLWLVWPVLVAVLLLSVPVQAQAALSAEDLAWLDRVTYGVNKQ TAEEYEKLGREKFLEKQLHPPAKDVLDDATEGNLARNTVDMQKVMEDVTVRRQKFVRE VKDQRKRSEFNTLIRREGNKVLAVSKRRHLIRAIYSPWQLKEQMAWFWQNHFSVFSSK AYIRFQVGDYEDKALRPHALGKFKDILLATMTHPAMLDYLDASKNKKGKPNENYAREL MELHTMGVGSGYTQKDITEVARVLTGISVNFSPNLPKLNDQAKKGFFRKNGVQFMPRQ HDFGDKIVLGKTIKGQGWDELAELADLLARQPATAKHISTKLAQYFVGDKPDPALIKS MSERFMKSDGDISQTLATLFESKAFQASLGSKFRTPMEVVVSTARLAYEDRQLQNYVP LTNSLDRLGQGLYKRVTPDGYPMQKSMWDGSSQLFQRFEAVRFLSSGAARFFQYGKPS DEIKGSVPDLNNAFFEQNIKPHLSETTLAVLNKTPKNKVLWNSMLFSSPEWMNREYKK MIM_RS20550 MKVLITYDRRLLGTRFTKLKQRIDEHFPSRWHCYDSSYIVSTDL GVTQVRELLLPALDTNDSLLVIELGNKWAGIGLSEKNRSWLDLD MIM_RS20555 MFTSSDLKGCIKWLLGLMAMLLLAAPAVHAEEEFLDPEQAFVFS AQMQAPSELRIDYRIAPKYYMYRERFEFHIKDDTDKSRAAALLGTPVLPKGEVKYDPT FDKNMEVYHNQVSIRLPLLRQTSPFTVQVVGQGCADAGLCYPPTTYDIVLEPAPGGGM SITGGTPGVKKGAAPAGAVSTPPADNNASGATQASSSSAAGGVTSMLTGKADTVQDSG QTGQQASGATAGSSSDPSPGIAAVSAEAGSASLFDAGDTTIAQWLSEAGLARVLLICF ALGVVLSFTPCVLPMLPILLSVIVGDQKARGGVPSKGRGFALTLMYVLGTAIVYTLLG IAAASVGSVLAAWIQNPWVLSAFAVVLVILAIGMFGAFTIQVPVALQTSLNSKVSRMP GGRYGGAFGMGMLSALICGPCVAAPLAGILLFISQTGNLVLGGLALFVLAWGQGFSLL ILGATSGALMPKAGPWMEAIKYFCGLLLLATALWMLMPVVPLWVQMLGWAFLSMSLAL LLGAFRGDTGPAASAGPLRLLLRALGLLAAAWALLLLVGLATGSRDPLSPLKGIAQGN GAKQTSVAKVQFERVRSLAELEARIAAAGKPVMLDFYADWCISCHEMEKFTFSDSQVA GAMGSMLLLQADVTGNNEQDRELLKRFRLFGPPGIIFFDRNGQEMKENRVVGFQKADK FLEKLQLAQR MIM_RS20560 MANDCVVMYTTVPDTLLAKRIAHLLIEEHLAACVNLSPVGLSIY LWEESVEGAEEITLTIKTSSQASLRCQERIVQLHPYDVPEIIILPVIGGHVPYLDWVR AQTSV MIM_RS20565 MRASSVSGLAAPSERDYEKNARKAIWASSIGYALDGFDLLILGF ILSAVSAGLGLTSTEAGSLVTWTLVGAVIGGVGFGLLSDIYGRVRVLTWTILLFAVFT GMCALAQGYWDLLVYRTIAGLGLGGEFGIGMALATEACRPSQRARVSSYVGLGWQAGV LAAALLTPLLLPIIGWRGMFAVGVLPAIVSFIVRSYIGEPEHFVKSEPVARSFTLAPL KLLVADAETVRRSIGVVILCSVQNFGYYGLMIWMPSYLSTQFGYSLTKSASWTAMTVL GMSLGIWLFGQLADRIGRRPSFLLYQAGAVIMVYVYSQLTTPTALLWGGALMGVFVNG MIGGYGALISELYPRAARATAQNVLFNIGRAIGGFGPVVVGALAAAYSFEVALVLLAS LYILDILATLFLIPERKGMELE MIM_RS20570 MSSNTEKRKPMPSLRSDKQAEEFVDTADLSTYDLSGFRPMRFEI QNKTAALNLRLPQGLLDAIKLKAKSKGIPYTRYVRMLIEQDLAQ MIM_RS20575 MRESVVVKGFDWDVGNWPKCGKHGLSRAEVEEVFEGPLMLMTDP HLGQQRMRAIGKTRSGRYVFLVFVIRAVNGRHLIRPISARYMHQKEITFYEQQYRKT MIM_RS20580 MRHRSGLRKLNRTSSHRLAMFRNMSVSLIQHEAIKTTLPKAKEL RRVIEPLITLAKEPTLANKRLAFARLRDRDAVVKLFAEIGPRYKERNGGYTRVLKMGF RQGDNAPMAFMELVDRPEVADEVVDAE MIM_RS20585 MSQGFLKPRSIEVTPVTENHAKVVMEPFERGYGHTLGNALRRIL LSSMTGYAPTEVQITGVVHEYSTLTGVREDVVDIVLNLKGIVFKLNNREEVTVTLRKS GSGPVLASDIDLPHDVEIINPNHVIATLTDNGKLEMQIKIEQGRGYVAGNMRALTDER SHTIGRIQMDASFSPVRRVSYAVESARVEQRTDLDKLVLDIETNGVISPEEAVRQSAR ILMDQISVFAALEGASDTFETPVRGVPQIDPVLLRPVDDLELTVRSANCLKAENIYYI GDLIQRTENELLKTPNLGRKSLNEIKEVLAARGLTLGMKLESWPPLGLERP MIM_RS20595 MAKASGGAARARKKIRKVVSDGIAHVHASFNNTIITITDRQGNA LSWATSGGAGFKGSRKSTPFAAQVAAESAGRVALEYGIKTLEVRIKGPGPGRESSVRA LNALGIKISSISDITPVPHNGCRPPKRRRI MIM_RS20600 MARIAGINIPPHQHAEIGLTAIFGIGRTRSRKICETAGVAYDKK VKDLTDAELEKIREQVGQFTVEGDLRREVQLSIKRLIDLGTYRGLRHKRGLPVRGQRT RTNARTRKGPRRSAQSLKK MIM_RS22740 MKVMASVKKICRNCKIIKRHGVVRVICTDPRHKQRQG MIM_RS20605 MAKDDVIQMQGEVVENLPNATFRVKLENGHVVLGHISGKMRMHY IRILPGDKVTVELTPYDLSRARIVFRSK MIM_RS20610 MAKAQAAGKAGSGKKYGDLKQRLVFLILALIVYRLGTHIPVPGI NPDAMRQLFNSQSGGILGLFNMFSGGALSRFSVFALGIMPYISASIIMQLVTSVVPSL EAIKKEGEAGRRKITQYTRYGTVLLALAQSFGIAATLQAQPDLVNSPGPMFVFTTVVT LVTGTMFVMWLGEQITERGLGNGISILIFAGIVAGLPTALASMFELVSQNQIGTVSAL FILVLVVAITALVVFVERGQRRITVNYAKRQVGNKVYGGQSSHLPLKLNMAGVIPPIF ASSIILFPATIASWFSQSSNMRWLSDTAAALQPGQPLYVTVFTALVVFFCFFYTALVF NSRETADNLKKSGAFVPGIRPGLQTSKYIDKILMRLTLIGAIYITLVCLVPEFLRMQW QNVPFYFGGTSLLIIVVVTMDFMAQVQAYMMSHQYDSLLKKTSFKGSSLPMR MIM_RS20615 MSEMQLNSLSPAEGSKHAKRRVGRGIGSGLGKTAGRGHKGQKSR SGGFHKVGFEGGQMPLQRRLPKRGFTPLGQHLYAEVRLSELQKVTGDEIDVQVLKQQG FIGQQVRYVKVIKSGDLSRKVSLKGLTATAGARAAIEAAGGSLAE MIM_RS20620 MAQKKQIKVTLVRSVIGTKQSHRDTVRGLGLGKVNSSRVLVDTP EVRGMIRTVDYLVSVSEA MIM_RS20625 MAKAQGKNAPEQERDDGFREKMIAVNRVTKVVKGGRTMSFAALT VVGDGDGRIGMGKGKAREVPVAVQKAMEQARRGMVRIALKEGTLHHTVVGKHGASTVL ISPAAEGTGVIAGGPMRAIFEVMGVRNVVAKSLGSSNPYNLVRATLNGLNACLTPSEV AAKRGKSVEEILG MIM_RS20630 MDKKLSRLRRAVATRRKIAELGVYRLAVHRSNLHIYANVISPEG DKVLFTASTLEPEVRKELAEGKKNGGNVEAAVIVGKRVAEKAKAAGIESVAFDRAGFR YHGRVKALAEAAREAGLKI MIM_RS20635 MSRIAKYPVEVPKGVEVNITDSNIHVKGPLGELNQQLGGEVAIK LEDGKITFAVADDSRHAKEMSGTLRALVNNMVTGVSKGFERRLNLVGVGYRAQVQGDS LKLQLGFSHDIVHPLPKSVKAECPTQTEIVLKSFDKQAVGQVAAKVRGYRPPEPYKGK GVRYADERVIIKETKKK MIM_RS20640 MSMSDPIADMLTRIRNAQQVEKVSVSMPSSKLKVAIATVLKDEG YIESFEIKGEQSKPELEISLKYYAGRPVIERIERVSRPGLRIYKGRTSIPQVMNGLGV AIVSTSRGVMTDRKARHEGVGGEVLCYVA MIM_RS20645 MAKLSLINRDIKRAKLVEKFAAKRAALTAIINDTSKSDEERYEA RLKLQQLPRNANPTRMRNRCVITGRPRGVFSKFGLTRHKLREMAMRGEVPGMTKASW MIM_RS20650 MARLQDLYNSKIAGDMKKQFGYKSDMEVPRITKITLNMGVSEAV ADKKVIEHAVSDLTKIAGQKPVITKTKKAIAGFKIRENYPIGCMVTLRGQRMYEFLDR LVTIALPRVRDFRGISGRAFDGRGNYNVGVKEQIIFPEIEYDKIDALRGLNISITTTA KTDEEAKALLTAFSFPFRN MIM_RS20655 MENIRKGDEVIVLTGRDKKRRGVVLARVDANYVLVEGVNVVKKH TKPNPMTNNPGGIVDKTMPIHVSNVALFNPATGKGDRVGVKEVDGRNVRIFRSNGEVV GSKAKGA MIM_RS20660 MIQMQSTLDVADNTGARRIMCIKVLGGSKRRYAAIGDIIKVTVK DAAPRGRVKKGEIYNAVVVRTAKGVRRKDGSLIRFGGNAAVLLNAKLEPIGTRIFGPV TRELRTERFMKIVSLAPEVL MIM_RS20665 MAQGNTIRTPSERIWRVVHWLLAILVLLFLIVPVLVIVPLSFND STFLVYPLSGFSLRWYENFFQSPEWMLGLKNTLIVAPLATVIATVLGTAAALALYRAR FPGKGLLLALLISPMVVPVVILGVAAYLFFAPLGMANNLGLLVVMHAVLGAPFVLITV MATLEGYDTNLGRAAASLGAPPLYVFRRITLPLIAPGVISGALFAFGTSFDEVVMTLF IAGPDQYTLPRVMFSGIRENLNPTIAAAATLLILFSVIMLLTLEWLRRRAERLRMAMP QT MIM_RS20670 MVGPRKALWLVLPLLLFLLLAFVAPIASFLGKSVANPEVVTILP ATVKTLHKWEPGTPVTEDMYRALADDLARAREGQAMGPLLQRLNFEQAGFRTLIAKTA RRMPFKIDPPSFQKAFDEIDPRWSEQEYWGILKNNARPQTPYYLLTALDLKQAPDGSI GAAAPDQGIFKAIYLRTFWMAAVVTLVALVLAYPLAYLLARLPARTSNLLMILVLLPF WTSLLVRTAAWIVLLQNGGLINRLLIQIGLIDAPMQLVFNRLGVYIAMVHIMLPFMIL PLYSVMKGISPSYMRAAVSLGCHPLRSFWAVYFPQTLPGIGAGCLLVFITAIGYYITP ALLGGPKDQMISYFIAFYTNGTINWGLAGALAAMLLAATLILYAVYSRLSGSTRLGVA MIM_RS20675 MKKTIIKTALLRTAVATCLGLSAGAVYAATSLTLVSFGGDNKTA QEKAYYGPYEKATGTKITAAEYNGEQAKIKAMVDTNSVSWDAVEVESPELVRGCEEGL FEPIDWSVIGNKADFTEAATDKDCGVGMFVWSVALSYNADKFKDNAPKSWADFWDVKK YPGKRGLRKGAKYTLEIALMADGVSPKEVYKVLATPQGVDRAFAKLDQLKPNIQWWES GAQPAQYLVSGDVVMTSAYNGRISQAAREGKNLKVVWDGSVYDLDYWAIPKGSANKAE TMKFIAFASKPETQKVYAENIAYGAVNKKAIGLIDKKYLADMPTAPDNEKNAIALDVN FWIDNGVSLEQRYNAWVAK MIM_RS20680 MFAASANNNDPLVRFKNVRKSYDGKNQVVRHLNLDIRQGEFLTL LGPSGSGKTTTLMMLAGFETPTSGTIELAGEQIDNLPPHKRNIGMVFQNYALFPHMTV QENVAFPLKVRKFDAAAQQQKVKTALAMVQLDGMEKRYPAQLSGGQQQRVALARALVF EPELVLMDEPLGALDKQLREHMQLEIKRLHGELGVTVVYVTHDQDEALTMSDRVAVFH DGMVQQIGSPQDIYEAPDTAFVAGFIGENNRTHGTITQAGSRQATVNLDSGMQIQGRQ QLGMKVGDRVSVSIRPERIALNPAENSTDVRCQGRVQEVIYLGDHVRVRLVINGDPDF VVKQPVSQVREKIAVGDTVALGWNHEFVRLLDPIEKTAAV MIM_RS20685 MSNSISQQGSDDIAFHMHPYTNAITHASQGPLVITRGDGIYVED ENGKKYIEAMAGLWSVAVGFSEQRLVDAAMRQMQTLPFYHLFTHKTHDAAVRFAKTLI DLAPVPMSKVFFTNSGSEANDTVMKLVWYRSNALGLPNKKKLIARNKGYHGVTIASAS LTGLPGNHKGFDLPLERVLHTTCPHYWREGKDGETEEEFATRMAADLEALILKEGPDT VAAFFAEPVMGAGGVIVPPKTYWEKIQAVLNKYDVLLVADEVICGFGRTGKMFASETY NIKPDVMVLSKQISSSYQPLSAILLNSRMFDPIADESNKLGVLGHGFTAGGHPVAVAV GQENVNIIRERNLVQNASETGAHLQQRLAGLSDHPLVGEVRGVGLIAGVELVTDKAAK TALATPGQLGTAVGRKLQELGVITRNIGDTLAFCPPLIITAQQVDTLVDTVAQALDAT QQSLRS MIM_RS20690 MSDLNWSFPYRSQKMPVFARNVVSTSQPLAAQAGLSMLYRGGNA VDSALATAIALTVVEPVMNGIGGDLFVQIWHEGKLYGLSACGRSPEKWTYDLFKDQPS MPGRGWGTVTVPTQVAGWRALSERFGKLPFEELFEPAIRYAEQGFMVSPTISRIWAQQ AEPCKDQPGFAQAFMPDGQTPKAGQLWRFPEQAATLNDIAQTRGDSFYRGALAEKIIA FAEQTGGYLTAADLDGAAAEWVEPISANFRDLTLHEIPPNGQGIAALMGVGILNTFDL ESMGLDSPDFYHASIEAMKLAFADLHEHIADPAHMTTMAADLLSPAYLQERARLINMD KASTPAAGMPANGGTVYMTAADQHGTMVSFIQSNYHGFGSGVVVPGTGIALHNRGCGF NLRQGHANCVGPRKKPMHTIIPGFITRQGEPLMSFGVMGGSMQAQGHVQMVARLGAFG QNPQAMSDAPRFRVENGPVVYLEAHTPEAVVQSLQARGHRVTVTAPDSLEFGSAQLIY KAEHGYYAASDSRRDGQAVGF MIM_RS20695 MPDPIFSLQQGTIPLLISIPHMGTTLEPQIAENFTQVAGFVDDT DWHLDRLYSFARDGGASFLTPVYSRYIIDLNRPPDDENLYPGQDTTGLCPVDTFDKEP LYLTGREPSEQEKQRRRQLFWEPYHAALQAELQRIRQKHGYAVLWEAHSIRSEISRFF PGKLTDFNFGTANNQSAHPQLCSVLAQQIEQDGQFTAAANGRFKGGYITRQYGNPAQR IHAVQLELTQIAYMEESRPFAYDEAKAARVTPLLQQALETTLRYAQM MIM_RS20700 MSGLLDHLSIGFGVAMTWSNLLIAAVGAFLGTLVGVLPGLGPIN GVAMLIPLAFAMNFPPESALILLAAVYVGAEYGGRITSILLNVPGEASAIMTTLDGYP LARQGLANVALSLSAWSAFFGATVSVVGIMLLAPLLARWALAFGPPEYFVLMVFSFCC LTSLLGKKPVKGTLAAMLGLAISVVGVDSNSGVYRYTFDSVHLADGIEFVVVVIGLFA VAELLEMLEKVMGGQHVEVDSSGRKLFNLKELAFTWWSVVRSAFVGFFVGVLPGAGAS VAAAVAYSQEKRIIENKDPNAKFGKGDMRGLVAPEAAATGSAIGSFVPMLTLGVPGSG TTAVMMGALTLYNITPGPVLFDSKPELVWGLIASLFIANVLLFIMNVPMVRVFSKVLA VPGWLLVPGILCISFIGVYAINAGSFDLTMVVIIGVIGYWLRKMELPMAPLVLGVVLG DMMEQNLRRALSITNGDPTILVESNISVGLWIASAAVVLLPLVMRRFSRKGKKLLDQA AGDDA MIM_RS20705 MNDRILGIVSLGLAIFLTVFGWNLEAPVSYEPVGPRAFPLLIAL VIGLCGLWLIYKNEFSAEANPPGANGRIFLMVLVALGYAFLFQWLGFIIATTIMTVLV GRLFGGTWIKCLIGGAIMGVLFFFLFDRALDVVLPTGILEGLL MIM_RS20710 MAPAAFADEPRRPECIAPAQPGGGFDLTCRLAQEGLKQSGALKS AMRIVYMPGGIGAVAYNNVVAQKPSEGGAIVAFSGGSLLNLAQGKFGKYNENDVRWLA AVGTDYGVVVVRNDSPYNDLKSLMQAFKDDPTKIVLGAGGTVGSQDWMKAALTAKAAG VDYKKMRFVAFEGGGESVTALRGGHIQAYMGDAAEAFTMLEGGAPIKVLAVFNDKRLE GKFKDVPTAKEQGYDIQWPIIRGYYVGPKVSDADYNFWLDAFKKMGADGGFAKLREQQ GLFPFDKTGADLDAYVKQQVADYRKLADSFGLIKK MIM_RS20715 MKLFSRSLKSILLWLLVPALLLTMLTALGLSSKDLRRQITVAYD RSLVGALNSLNHNISTQSGGLSLEQPYTLLEFYELTANADVFFKIAAEDGLSVIGNAD LPMPEQRLESGKAYFFDTDYLGAPVRSVVMAREAVPPLYGDKSVRIIIQVAEGIGDRE SFINNMLWRSVGRDLLLIAVVMVIVIVGVIFAVRPLERLRYEMRSRTINDLRPVSTEG MPKEVKPLVSAINQHMQRYTEQADRQRQFLDDASHQLKTPLSVLKTQLDYALRESDPQ EVREVLMSMNEGVDRAIRMTSQMLALARVRDSLDSQVWQDSAPVDLGELVNELVRDLW PVIRERRIDLEVIPPESPLCVCGVAWLLQEALRNVLDNAIRYCPREGAVSISLMQLND KTAQVVVEDSGPGMSEEDKDKAGTRFRRGKAGKHLPGAGLGLAIVSTILQMHQARMML ESGEQLKGLRVRLVFTLNMKP MIM_RS20720 MRILLVEDEPSLAKWLAKSLARYAGFIVEWADDGLLAVRRLELE EYDAVILDLGLPGLDGAGVLARMRARDDRTPVLVLTARDSLNQRIDLLHQGADDFLAK PFEMGELEARLTALIRRSRGKSRPRLLLGNLSLDASLQQFYLADQPLALSPRETAVLR VLMQRSGEPISKQSILDRVVADDKEVNLEAIEVIVHRLRKKLADSGAQIVTVRGVGYS LEALAAQP MIM_RS20725 MSQYEALSLYIDGEFLSGDGRTTQDVINPATGEVLAQLPHASEA DLDRALQAAERAFQTWKHSSPMDRSAILRKAAELARERAQDIGLNLTRDQGKPLAEAV GEIVSCADHCDWHAEECRRIYGRIVPPRNPAVRQLVVREPIGVCAAFTPWNFPFNQAI RKISAAIGAGCTIILKGPEDAPSAVMAIARIFHDAGLPKGILNIVWGDPPKVSDYLIR SPIVRKVSFTGSVPVGKQLAALAGAHMKRITMELGGHSPVLVFDDADIPKAAKMLAKF KIRNAGQVCISPTRFYVQEKAYDQFLSIFVDTLKGIKVGDGTAKDTEMGPLAHERRVG AIASFVEDARKGGATIELGGDPLGGQGYFFPPTVVTNISDDSRLMTEEPFGPIAPVVR FSDTDEVIKRANSLPFGLSSYVFTTSLQTAHKASNEIEAGMVNINHFGVALPETPFGG VKDSGIGSEGGSETFDGYLVTKFITQI MIM_RS20730 MNAIAALLTLLSGLVLAAGGGWLLSLGGSIYYVSAGIVLLITAF LLFNRRPFALVLYAAFLIGTAVWAFFESGYDWWPLAARLGFFLILGIILLLPGVAHPK RSRLESDRRMASNETTNAKAVLAVITIVIAIGTLGSIANPTHELEGQLPDAVVSAEPD MGNNATTGDNDWHAYGRTGYGQRYSPLSQITPENVSKLKLAWSYQTGDVKGEGDTNEF TYQATPIKIGNTLYLCTPHNWAIALDADTGEKKWEYKADVVADGQRQHQTCRGLSYWA GDAAATGAAASTASETATATADNGQADAASATPAPAAASTGSGAACSSRLFLPTATAK LIALDPQTGEVCKDFADNGQLDLTHNMPFKQHGYYYSTSPPVVANGKIVVAGAVNDNY DINSPSGVIRAYDVRSGKLLWNWDSGNPDDTAPFDPNNPDQKYTVSSPNSWSVGSVDE KLGLVYFPMGNRTPDQLGRYRNEHEEKYATSVVALDLNNGQAKWVHQFIHHDLWDMDT PAQPTLMDISTASGVVPSLVVPTKQGDIYVLDRRTGDAVLPVREVKAPQGTIEGEHSA PTQPESALNFKPDPLTEGKMWGGTPFDQLWCRIQFKTLRYEGQYTPPSLQGTIVYPGN FGVFNWGGIAVDPKRQVMFGMPLQLAFVSQLVPKEALGKDVQMNVGEQGVNSNEGAPY AVNMHPFLSPLGVPCQQPPWGSVAGVDLRTGKTAWKHKNGTIHDLSPLPLPITMGVPG IGGPMITGSGVVFMGAAVDDYLRAYDLTTGKVLWDARLPAGGQATPMTYLNSKNEQMV VLVVGGHGSIGTKLGDYVMAYKLAQ MIM_RS20735 MTEKTPGANPSRYSAPALEKGMAILEVLSESPQGCTMNELSARL GRSVSEIFRMVIVLQELGYIAADAGDRYTLTLRLFHLAHRHYPVRQLTECALPLLQEL AVQCQQSCHLSIYRQGRLVIIAQVDSPARWSLSLKLGTEMGLGDTSSGQVLLAFCNDE ERERMLQTHVPTDGELTLTEAQLRRQLASVRKAGCSVMKSRQIQGVTNIAAPVRNEHG QVIAAVNVPHIARIDALHTPSADAIRPMLLETAERISRRLGHQ MIM_RS20740 MGQRLAGKTVLITAAGQGIGRATAELFASEGAKVYATDINEALL AELKGCETRKLDVTKPEQIAALQKEIGTVDALFNCAGYVHDGNILGCEQKDWDFSFDL NVTSMYHMIRAFLPGMLEKKQGSIINMASAASSVKGVPNRFVYGTTKAAVIGLTKAVA ADYVGQGIRCNAICPGTVESPSLKDRIAGQAKKYGTSEDEMRANFVSRQPIGRVGRPE EIAYLALYLASDESVYTTGTTQIIDGGWSL MIM_RS20745 MELLEHLALGFSVAFTPENLLYALLGCILGTLIGVLPGIGPVPT IAMLLPLTYVLPPVAGLIMLAGIYYGSQYGGSTTAILVALPGETSAVVTVLDGHQMAK NGRAGAALAIAALGSFFAGCFATVLLAAFAPPLAEVAFKFGPAEYFSLMVLGLIGAVV LASGSLPKAICMILLGLLLGMVGTDVNSGVARFDFGVPELQDGIDFAVVAMGVFGLAE IMNNLAQKENRVDITDKIGSLYPNKKEFKEAAPAVMRGTLLGSALGILPGGGAVLSSF ASYTLEKKLSKNPERFGKGHPAGLAGPESANNAAAQTSFIPLLTLGIPGNAVTALMVG AMTIHNIQPGPQVMSSNPDLFWGLIVSMWIGNLMLVILNLPLIGLWVKLLKVPYRVLF PAIVLFCSVGVYSLNYNVFDIYIMAIFGIIGYFWSKLKCEGAPLLLGLVLGPMMEENF RRALLLSRGDFTTFVTRPLSMSLLIVAAILVVIVAIPSIRKKREETFVEED MIM_RS20755 MGPAQAQDYPSKPIRVIVPFAPGGSTDIVARVVTSKMSDILGKP MVVENKGGAGGALGATEAARAKPDGYTLSIATVSTMAVNPACKPTGLGYDALKDFAPV VNFANVPNILEVNPKFPSQDFKSFLEEIKANPGKYSYGSSGTCGVLHLAGEAFKQTTQ TDIVHVPYRGSGPAVTDAVGGQIQILFDNLPSSLPQIQGGNLRPLAIAWKERLPALKD VPTFAELGYENLNQPVWYGLLAPAGTPKEVIDKLNSVAVEALKDPKVVEALEKQGAFV SGNTPEEFGKEIQQQFDWAKDVVKKGNIKLD MIM_RS20765 MNRQLLALLIFALALAFLPALGVYPIFVMKILCYALFACAFNLL LGYVGLLSFGHAAFFGGAAYAAGHAIKIWGLSPELGILFGVVIAALLGLIVGWLAIRR SGIYFTMITLAMAQMVFFYFLQAPFTGGEDGLQGVPRGKLFGLIDLSNDLNLYYLVIV IFIIGYFICWRTVKSPFGQVLHAIKENEPRAVSLGYDIDKFKLLAFVLSAGLAGLAGA TKTLVFVSATLSDAMWQMSGTVVLMTLIGGVGTFTGPVIGALIVVFIENKIGDIGRVL ASSTGIQWFQTLGESVTIVMGLIFILCVMAFRKGIVGELLALLPANRNKQ MIM_RS20770 MITIFGIPLAAFLGQILLGLVNGSFYAVLSLGLAVIFGLLNVIN FAHGALYMLGAFVAWMGLQFLGLNYWVMLIVAPLVVGLLGIIIERLLLRHLYKLDHLY GLLLTFGLTLLIEGIFRSMYGVSGQPYPTPESLRGAVNLGFMVLPIYRGWVVAASIIA CLVTWYVIERTRLGALLRAGTENSKLVEAFGINVPLMVTLTYGFGVALAGFAGVLAAP VLQVSPLMGSNLIIVVFAVVVIGGMGSIMGSIVTGLGLGVIEGLTKVFWPEASSTVVF IIMAIVLLLRPAGLFGKVK MIM_RS20775 MRLTKTFCALALATLGTQAMAAGISDDVIRIGFITDMSGVYSDI DGKGGVEAIKMAIEDAGGAIDGKKIEVLSADHQNKADVASARVRQWIDQDKVDMIIGG TNSATALASAAVAAGKKVPYIAIGPGTTALTNANCTPYTIHYAYNTKALANVTGGAVV KQGGTKWFFLTADYAFGHSLEADTTTVVKANKGEVVGSVRVPLGTTDFSSYMLQAQSS GAQIMGLANAGGDFINSMKAGSEFGVFPAIKPAGLLVFINDVHSLGLKTTQGLYLTSS WYWDQDDESRAWSKKFEDRVKRKPSFLQAGDYAAVGTYLKAVAETKTDNGDEIIKWFK SNKINNMFMKDGVTRKDGLVVHDMYLMQVKTPEESKGPWDYYKVVEKVPGDVAYGPES ESTCKL MIM_RS20780 MSALALEIKDLHAWYGESHILHGVNMTVPKGSVVTLLGRNGAGR TTTMRAMLGLTGSRKGSVRIHGAEAISLPTHKIAHLGIGYCPEERGIFSSLSCEENLL LPPPLKNNEAASNVMSLDEIYAMFPNLKERRNSPGTRLSGGEQQMLAVARILRTGADI LLLDEISEGLAPVIVQALARMITTLKQKGLTIIMVEQNFHFAAPLADHFYVMEHGEIV ESFPAAQLTAKQDTLNELLGV MIM_RS20785 MTDLILETKKLTKEFLGFVAVNAVDLRVERGSIHALIGPNGAGK TTCFNLLTKFITPTSGEIFFNSQNITSAKPAQIARKGIIRSFQISAVFPNMTVLENVR IGLQREEGTSFHFWKSDASLDKLNDRARALLAEVDLLEFQDHITSSLPYGRKRALEIA TTLAMEPELMLLDEPTQGMGHEDVDRVTRLIKKVSAGRTILIVEHNMNVISSIADRIT VLARGTVLAEGDYATVSTNPAVMEAYMGTADAVLQGAHA MIM_RS20790 MAAGFQSRAGNGKRVENRRAHGCATCHASAYRHHRRCAFETIVA YATDNALQKWFFYSIFFLYALFCYQILQLVIHLWLALIAN MIM_RS20795 MINQTVAEDRHMAPVFFALGDGTRLALVQRLVGQGAQTASRLSE NAQVTRQAIIKHLQVLEGAGLVQHQRHGREVFYTLKPQRLAEAQAFLYGISAGWDRAI ERLRHIVEPDQPNTDS MIM_RS20800 MSSNQIEKSVTLAAPVARVWHALTDAQEFGQWFGVALEGPFIEG AAIRGTFIGPLDVAVIEQYQRQAGVTPAPVNLPQESTTFCTVQAMEPERYFSFRWIPF GIDAQADPDNEPTTLVEFRLAPVAGGTQLTITESGFDDVPAHRRARAFLMNTGGWEAQ IRNVKQYVERD MIM_RS20810 MNINPLSLAAAMALAGTPALATAADFPQRPISMIVPFAAGGPTD VVARSLANEMGKVLKQTVVVENRTGAGGTLAAGYVAAAKPDGHTIFLHHTGMATAPAM YSKLRYDPLKSFEYIGEVVDVPMTLMARADLPPNNFREFVDYAKANGDKINLANAGPG AVSQLCGSLLQQAMGVKFTTVPFQGTGPAMTALMGKQVDVLCDQTTQTLPHIKAKDLK FYGVTTPERISQLPDAPTLAEQGLKGFEVKVWHGLYAPKGTPRQAVDKLNESLKVALK APAFVQRMEQLGAQIVSEDRQTPESLQKWLAAEVAKWEPILKAENISIN MIM_RS20815 MSKTKLITLRADQAELIVAPHIGGAICGYRHRIDDIICPWLRDA SPQAIEEEWVSEMSSFPLLPWFGRLRNGTFEFEGQRITYPSPRPDSPHSIHGIVRYKP WTVQSQQENELIIRYTHKADAWPYPFIAEQRFVLEPLRLKVHLTVTNTGHRVMPVGLG HHPYFVRHANTTLTAGVGQAWISDEEVMPLNLAMHPDTDALKQGIVIDRHVFDHPFTG WDHVADIHWPDERRTLRMTATQPLDFLVVYSPPCKDWFCAEPVSNTSDAFNLNATYGP TEVGGQTLEPGQSLTSVMTFDVAFSD MIM_RS20820 MLKFAANLSLLYTEVPFLERYAQAAEDGFRGVECLFPYDEEAHA IARQLQDHGLQQVLINAPPGVAAAGEKGLACLPGRQTECLDGVKKAIDYALILGVPRI HVMAGIVRDRGAYAAAQEQYLDTLSKAASLLQGAGLDLMIEPINPIDMPGYFLSGQAQ ARDVLAQVNMDNLRIQMDLYHCQRTEGDVTRQLAESIGAGLVGHMQIAGAPDRHEPDT GELNYSYVFTQLEALGYDGWIGCEYRPAHGTREGLGWLQRYRSAAAAAAQPAAAGQRQ NIYRTRNE MIM_RS20825 MSTISLSSLTTLAKDTLEAAGANPDMAETTARALVFADAHGIAT HGVSRVPSYALHLSSGRADGAAVPEIVQEKASALLVDARTGLAFPACELAITKATEKA RQTGICIAGVTNSHHFGMAAYHLEPVAHAGLIGLAFSNSPSAITAWGGKRPLFGTNPI AAAFPREQAEPVVIDLSLSQVARGKVILAARDNKPIPDGWALDSEGRPTNDAKAALKG SMQAAGGVKGSMLALMVEVLCVALTGAHFGYEADPLYDDTGNEPRLGQVFILIDPKAL AGQQTYFNRLEDLIAAMLEDDGVRLPGARRHDLANTAQEEGVTVPDSLLAQMGYE MIM_RS20830 MDTLAILKRGPVMPVMVIQSVDEALQVTRALLDGGINTFEITLR TPAALDGVREMVKAFPDALIGVGTVRNADQLDAALQAGARFAVSPGLPAALLPALAAN KVPFLPGVATPTEAMNAFDAGFAAQKLFPAEAVGGVPLLKSLHSPLPDIVFCPTGGIH AANAATYLALPNVACVGGSWLAPAELVKAGDWQGITTLAAGALAQAKQA MIM_RS20835 MTVLDQKAIRSLPEAAIAAFRDRFGDRFITSMAVREHHGTDESP YPVCPPEAVIFPRDTDEVVDAVKICNQYKVPVVPFGIGSSLEGHVLPIEGGITLDFTE MNKVLAINAEDFTVTVQPGVIRTQLNDEIRHTGLFFPIDPGAHASIGGMTSTRASGTN AVRYGTMRENVVSMKVVTAEGKVIRTSSRARKSSSGYDLTRLFVGSEGTLGIIVEVTV RVYPQPEAVTAAICNFPTLESAVQSVIEIMQSGVAIARVEFMDTRAVRAVNAYSKLTL KETPLLLFEFHGSETGVREQAEIVQEIAKDNGGMDFEWADKPEDRKRLWTARHNSHYS GLQLRPGSRSVATDVCVPISRLAECVSETAQELESMPFPYTIVGHVGDGNFHVQMLID PDSREEWDMSENINRRLVSRAIRMDGTCSGEHGVGIHKMEFLKEEHGEDALQLMRLIK HAYDPNNIFNPGKLVSWDA MIM_RS20840 MSTSEKKPLRSRRWFDNPANPGMTALYIERYMNYGITREELQSG KPIIGIAQTGSDLSPCNRHHMDLATRVRDGIRDMGGIPLEFPVHPIQETGKRPTAALD RNLAYLGLVEILHGYPLDGVVLTTGCDKTTPACLMAAATVNIPAIVLSGGPMLDGWWK GKLAGSGTVVWDARKRLSAGEIGYDEFMNNVASSAPSVGHCNTMGTALSMNSLAEALG MSLPGCAAIPGPYRERGWMAYETGRRIVDMVRENLRPSDIMTQAAFENAVVAAAALGG SSNCPIHMVAIARHMGVDHTLADWQRLGPDIPLLVDCQPAGRFLGEAFHRAGGVPAVM KELLDAGKVNGAAMTVTGKTLAENLAAVPAPDREVIRAYATPLKEAAGYVVLTGNLFD NAVIKTSVIDEKFRARFLSDPAHPNVMDLKAFVFEGPEDYHDRIEDPALGIDEKSILV IRNSGPVGYPGGAEVVNMQPPAYLLNKGIDTLPTMGDGRQSGTSASPSILNVSPESVV GGGLALLRTGDTVRVDLNTCRVDVLIADEELEARRAAWVKPELLNMTPWEEIYRGMVG QQGDGACLESATAYVDIIQTRGESRDNH MIM_RS20845 MKRLKKTVASLTAVALLGMGSAAVWAKDIKPRIIRFGYGLADDS PTGKASAHFAEVVSKLSDGKMKVKTFGNGALGPDEQLINSLISGSGEITFVSTAPIAS LIPEFGVFDLPFLFDNEKVADTVLDGPEGKKLLDKLPAKGLIGLNYWENGFRNITNSR HEISKLDDIGGIKLRVMQNQVALSVFKGLGANAIPMPFTELFTALETKTVDGQENPLS TIQTSKFYEVQPYLTLSNHVYTPFVFLASKKWFDQLSQDEKDVITQAAADSQAFQRKA SRQGNEDALKYLKEHNVKVAEFSTEEREKIREKVAPIVESLKAKIGKETVEGVLDAAK KASGA MIM_RS20850 MTVTIFLSVLLGFMAFGMPIAFALLLSAIGLMFYLDFFDVQILA QNMLAGADNFSLMAVPLFMLAGEAMNAGGMSRRMVALASTLVGHVHGGLGYVAIMASV LLAALSGSAVADSAALAAMLVPMLRNRGYDLKQSCGLIAAGGIIAPIIPPSISFIIFG VATNISVTKLFMAGIVPGLLMGLTLVMVWTFVARRSTSFKPFPKEPWPVRMKALRESG WALVLPVIIIGGLRFGIFTPTEAAAVAAVYALFVGMVIYREIKPGHLMKLLVNAATTT SVVMFLVAAALVTSYMITLADLPKELISLLGPLVDSPTLLMIVLVILLTLVGTAMDLT PTILILAPVLMPVIKEAGIDPTYFGVIFIMVGCAGLLTPPVGTVLNVVCGVARIRMED IIKGVWPYVAAYTLLILLLVIFPQIVTVPMNWFY MIM_RS20855 MTILESPVFQSVAAITRTAEKALTYVLGLCVLVMLLLVFGNVVL RYGFNSGITISEEVARMSFVWLIFLGTVIAFRTKQHLGVNMLLDRLPIMAQKIIHILR QLVIMWILWLLMQGGWDQMVIGANTALPVTGWSQALFSGMVWFSAIAMFVLAIGDIAI AIMTPADRSYQHRFRTAVDSVEDME MIM_RS20860 MSQNSLQNRVAVITGGARGIGLAAAKRMLESGASVALWDLDAAR LEQSRQQLEALGKVTAHALDLTDLAAVEKAAEDTVQQHGKVDILVNNAGITGGNAVTW ELDPAVWQQVVQTNLMAPYYTCRVLVPAMLKNGYGRIVNIASIAGKEGNPNASHYSAS KAGLIGLTKSLGKELAERNILVNAITPAAARTEIFDSMSQEHIDYMLSKIPMKRFLAV DEAASMICWLASEECAFSTGAVFDLSGGRATY MIM_RS20865 MNHTLQQQAGERYDADALREFAQALLVAAGVSDEIARNVAEVLL DGDLLGHTTHGLALLNPYLQQIEQGKMSKQGSAEVLSDKGASLLLNGQRLPGPWLVNQ AIDMLLPRARQYGTATAVIRQSHHIACLASYLLRAVREKMLIVLSCSDPSGQSVAPFG GTRAVFTPNPIAIGIPSASPFLVDISASITTNGMSGRLAKAGKQFDEEWLIDSTGKPT RDPAVLSQDPPGTILPLGGLSVGHKGFGLALLIEALTGGLAGYGRADNVQGWGATVFV SLYDPAAFGGEDAFLRQMDWIADACRNNPPREGFDNVRMPGDRGLALYEQQKQNGVLL HPSITPLLRESAQNYGISMPATL MIM_RS20870 MSNLTAALGQVTDPFVLGVILVAAIFGLFVGAIPGLSATMAVAL LVPVTFFMDAVPAIAMMVTSTAMAITSGDVPGCLLRIPGTPSSAAYTDEAYNMTRKGL ADQALGASIVFSFVGGLFGTIVLITCAPMLANFALNFSSYEFFWLVVLGLSCAIVISP ASQLKGFVSLLIGLLLACVGMNNPAAYPRFTFGNEDLMNGVTLLPMMVGMFAIAEVLR YAVNSQDNLGEVKAQIGNIFTGMWKLTKKYPASILRGSVLGTIVGALPGAGADIAAWM SYGISKKFSKEPEKFGTGHVEGIVEAGASNNSALAGAWIPALVFGIPGDSITAIVVGV LYMKNLTPGPMIFTTNAVEMYSIFLVFIIANIMMLPLGYALVKIARNVLQVPRSLLMP IILLFSIVGTFAINNSPFDVIIMMLMGLLAYVMEENEFPIAPAVLGVVLGGMLEENFI SSMIKADGDFAMFFSRPIAAVLGVVAILIWAIPLIKLFFGKSKPPAGGNEDPVTKPGI TT MIM_RS20875 MKISDTLSGIIIGIFAIIIFAMAQSFPPTPGQEFGSGLFPRLIA IGMFICAVCLVVQSWRRRGDQPWLAWPGINTVTFLRFCMIPATLIFYFLTAEWLGFFI SSGIILMVTFLVFGVKPVRSLILTVISVLVIHFAFYNILQVPLPWGLLESFAW MIM_RS20880 MNKRNMLKGLLGLTLAMGAGLAHAAYPERPITMIVPWGAGGGTD ATARMIAALLEKELDNPVNVVNRTGGNGVVGHMAIAKAKPDGYTLGMLTVEIATMKHL GLTTITPADYTPLALMNEDPAGVTVSATSEYKDMKSLMDAIKANPGKLKASGTGQGGI WHIAIAGLVNKAGLPPNAVPFVPSNGSAPAMLELVAGGIAIVPTSLPEARSMIDAGKA KPLAIMSKERSPMYPDVPTLKETTGNDWTVGVWRGIAGPKGMPDDVTAKLEAALKKVN ESAEFRDFMSKRGFGVAYANSKDYGEYMAKSTADFGEVLKAIGMAK MIM_RS20885 MKLIRYGESKQEKPGIVDSDGRIRDLSGVISDINGSTITESALA RLRDLDITSLPVVEGNPRIGPCVGNIGKFVCIGLNYSDHAAESGLPEPSEPVVFNKWT SAIVGPNDDVAIPRGSKKTDWEVELGVVIGKEARYVSKEDALSYVAGYCVINDVSERE YQIERGGTWDKGKGCDTFGPIGPWLVTADEVADPQALNLWLEVDGKRYQDGTTAKMIF DVATIVSYLSNFMSLQPGDVISTGTPPGVGMGVKPEPVYLKAGQTMRLGIEGLGEQTQ KVVQA MIM_RS20890 MKFEAIKSRSVSELVAQRLIDMIRKGQLVAGQQLPPERELAVLF DVGRPAVREAIRGLSLLGLVKIQQGEGNFISSLNVEDLIEPLSLLIDLHFDQINELFD ARKIIEGGITRLACERLTEQEIERLRVNVEEARAALKQNDHEGIRRLDIELHQTIINA CGNVYLQRVAQSISLLSRKSRTITTVIPSILQSTFDDHEHIVQALAERNPTKASEAMY RHLDHVQKHYNDYQQAGAATPAHTNQQESV MIM_RS20895 MAEAVRYTVRLTAHFERDLDRIEAFLWDTEAYTAFDALLNELSD TVIPNLERFPHMGRVFLARPAHSVEVSNGIENLNAQFNSIANEGDQLREYVLENYLLL YAKIDTVIYLLSIRHHRQLSFNLTSHWPS MIM_RS20900 MGITSSDVISLSQARANLSELADQVKAGAEKIITKNGESYVAII DAQRLDYYHQLERERIHLLLIDGASKGLEDVAAGNVKDAQPTLAAIKRRRAGAAG MIM_RS20905 MATFDVALFGEMMVLLVAAEPGPLEQVNTFHKMTAGAETNVGIA LARLGANVSWASRLGDDTMGRYLKNVMQQEGIDCSRVQMVPRERTGFMLKGKVTEGDP PIEYYRSGSAASAMTADDMDVEWLSQARHLHVTGIFPALNAGTFALTVAAMQHMRRAG GTVSFDPNLRPALWGSADLMRTRLNELATLADWVLPGLEEGHILTGADTEEGIAAFYQ GLGAQAVVVKLGARGAFAQVGDSSFLVPGYPVERVVDTVGAGDGFAAGLISGRLDGLD WQASVRRATIIGACAVQVAGDTEGYPDRVRLAELDEA MIM_RS20910 MTPWTPELPLIAILRGIGPDDVLDHVQALHDAGFDAIEIPTNSP DWQVSVQRVSQTFGANLMTGAGTVLTSEHLAQLSAAGGRLMVTPNTNPALIAEAVSTY GFQVCAGFATASEAFAALQAGAQALKLFPSSVFGPDYVKALKAVLPATVPLYAVGGIN PGNLASYLDAGCIGAGLGSDLYRPGQEVAVTRERATRFVQAWQAARQPAH MIM_RS20915 MVSRYLAADWGSTNLRLWLVEDGIAVKKAASPFGITRMQNQSFP AVLQDLLQQMQIAQSDISRIYIAGMAGSNVGWQQANYLHCPVSLNALSTSLTLVDPGW PAQAGIIPGVCVPGPDGADVMRGEETQLLGALQDTPSALFILPGTHSKWARVHDQVID SFTTVMTGELFDVLRHHSLLCRGLPAAAPDPESFDNGVLAGLAASDTMAELFSVRARH ILGHLPAVRVEDYLSGLLIGSEVRDMRQRFDVSADTAVTLVGSGPLLPRYDRALHLAG IAFTTQDADMAILNGIRKIHDSLDT MIM_RS20920 MPRRHLSEAALAAFTARFGERFTATHAVRDHHARDESAYPPVQP DAVLFPKDTDDVVAAVQLCTEHNVPLIPFGIGSSIEGHVLPIEGGVTIDFRDMNQVLA IQPEDFTVTVQPGVIRTQLNDEIRHTGLFFPIDPGAHASIGGMTATRASGTNAVRYGT MRDNVLSLKVVTATGEVIRTSSRARKSSAGYDLTHLYVGSEGTLGVIVEITLRVYPLP EAVTAAVCSFPTLRHAVQAVTEIMQSGVPIARVEFMDERAVRAVNAYSKTNLAEQPLL LFEFHGSPAGIAEQAVIVQELTAGQGGTAFQWAEKPEERTVLWTARHNYYFACLQQRP GGRAITTDVCVPISRLADCVTDTAADLQSLAFPWSIVGHVGDGNFHVVMMIDADNEQE WRQAEDINRRLVLRAIQMDGTCTGEHGVGIHKMGFLKAEHGEVALQLMRNIKHAYDPN NIFNPGKIVSWSATAS MIM_RS20925 MSDSFLSQLPAGLNVLTDADALVRYGSDASGLQAGAPQLVARPA TVEQVAALVSLCASHNKKITIAGGRSGLAGGAAPDAGDVVLSLELLNQIEEIDEAGGT ALVQAGVVLDTLCAAVEEKGWYFPLDLGARGSCQIGGNVATNAGGNRVLRYGTTRQLV LGLEVVLPDGTIMTMLDRTLKNNTGLDLKQLFIGTEGTLGIITRVVLRLFPRPQVRRS ALVGLASFAQIAPLLKAARSALPELSSFEVMWADYVTQAARATGRAVPFNGQWPLTVL LETEGADTPTFHAGFDTFLETVLEDGTVHDAIVPQSLEQAADLWAIRDGIGKLFESLR PFVAFDIGIPLKDMGTFIEQAMTELSQRWPQAGNLLFGHLGDGNLHLTTGHLQEADIV PVEEAVYALTGRFNGSISAEHGIGRLKKPFLHYSRDDTQQQVMRRIRDALNPQGVLNA ARILD MIM_RS20930 MLVTIPDVLSADTVMQWREQLEQGRWVDGRGTAGYLAATQKKNL QLSDADPLAASMGNAILEILSQNTRFISANLPLKILSPMFNAYAGEQEYGFHIDNALR VDPQTGERIRADVSSTLFLSGPDEYEGGELVIQDTYGEQRVKLPAGHMVVYPSTSLHR VTPVTRGKRMAAILWTQSMVRDDAQRALLFDMDNTIQQLAAELGEHSSVLALSGIYHN LVRRWAEI MIM_RS20935 MSTINNPSRTTVRPLLSRESFAPAFRRRLVPGALVTGALVATPV YAQEVSVPGIHAGTLPAVFAQSEAGYQARHIQSRKFGAKLQETPKSITVIPEKLMHDR GASSLADVLRTTPGITLGSGEGGTPTGDRPFIRGYEASTDIFIDGARDYARGSHATFN LEAVEVVKGPSSVLSGRGGTGGSINLVTKTPKLDNFFGVTAGYGTSGQWESTLDGNYP FSDSGAFRLNAMKMGGEMPGRDGVDINRWGIAPSIAFGLNTPTRLTLSYSHIENKDMP DLGVPFANAANPGRRTPPKVDRDNYYGRRGVDFRKNAFDTATALAEHDINQHFTIRNL TRYTRTLNHYLMSRPTFDHCTAGAKGLCATEGPGAQFTRKDRARWRSTQSLINQTDLF GTFYTGSVKHNMNVGLEFSKEDVYSKEISGTPITSRKGELDSLYDPNSGRNDAYRLNY GSREKDGDIKTQSVYVFDTMELNPQWSINLGLRFDQFRVQNTRASRKDSFWNYQAGVV YKPVPYGSIYLSYSTSSNPSGENLGQNGGADGAAGAAQVRDLKPERSRSWELGTKWDL LDQQLSVTGALFQTDKTDARSTDPLTGDVTLSGSNRVRGLELGVAGALTPKWDIWASW SWLDPKIRHYRSGKNVYDGNQMKFIARQSASVWTTYKVLQQVTVGGGVTYMGKRFVDD VNNYYLPSYWRYDAMVRYDVNSNLSFQLNANNLGNNEVYDASHVGLFANVGPGRSYML TASYKF MIM_RS20940 MRLILVPALASMVLAGCATGSADSDNLSHTQHSVYSCTGNRQLG VAYQFVNDKATKVTVSDRRQTYELARADQTDGDATAFTNGTVTWIASGAVTPQSVNTH QGSRLLKVGRNGRAVVVARECNPG MIM_RS20945 MSETTQTTPAKRQRTLIGKVVSNKMDKSVVVLVERRVKHPIYGK IVVRSNKYKAHDESNEINEGDTVEIAEGRPISRSKSWSVVRLIEAARVI MIM_RS20950 MKASELRSKETTELSKELESLLRAQFSLRMQRATQQLSNTSQLL KVRRDIARVRTVMTEKAAGK MIM_RS20955 MLSPARRKYRKEQKGRNTGLATRGTNVNFGDFGLKATGRGRLTA RQIEAARRAMTRHIKRGGRIWIRIFPDKPISQKPAEVRMGNGKGNPEYWVAEIQPGKV LYEMDGVSEELAREAFRLAAAKLPIATTFVTRHFGA MIM_RS20960 MGQKIHPTGFRLAVNRNWSSRWYADDKDYGTMLAEDVRVREYLK RKLKNASVGRVLIERPAKNARITVFSARPGVVIGKRGEDIESLKSDLQRLMGVPVHVN IEEIRKPETDAQLIADSIAQQLEKRIMFRRAMKRAMQNAMRLGAQGIKIMSSGRLNGI EIARTEWYREGRVPLHTLRAIIDYGTSEAQTTYGIIGIKVWVYKGDLLPNGEMPAEVA AAPREDERRPRRPRGDRPDGQRRPGGRGRNSGRKAGDAAAPAAAATEGE MIM_RS20965 METTAVIRGVHISAQKTRLVADMIRGKSVAHALNILTFTPKKAA GIVKKALESAIANAEHNDGADIDELKVTTIYVDKAQSMKRFSARAKGRGNRIEKQTCH IVVKVGA MIM_RS20970 MSRSIKKGPFVDAHLLKKVDAAVDGKEKKPIKTWSRRSTILPDF IGLTIAVHNGRQHVPVYVNENMVGHKLGEFAHTRTYKGHAADKKSKR MIM_RS20975 MALVKTKPTSAGRRGMIKVVHPELHKGAPFAALVEPQKRSSGRN NNGHITVRHRGGGHKSHYRVVDFRRNKDGIPAKVERLEYDPNRTAHIALLCYADGERR YIIAPRGLEVGASLLSGKEVPIRVGNTMPIRNIPIGSTIHCIEMLPGKGAQIARSAGA SAVLMAREGIYAQVRLRSGEVRRVHIDCRATIGEVSNGDHSLRQYGKAGAMRWRGIRP TVRGVAMNPVDHPHGGGEGRTGEAREPVSPWGTPAKGFKTRRNKRTDSMIVSRRKRK MIM_RS20980 MKAERLLQVILAPVITEKATFIAESGEKPQIALRVAPDATKPEV KAAVEMLFKVEVDSVSVLNRKGKVKRSGRFTGRRKANRIAYVSLKKGQELDFTEVN MIM_RS20985 MDLKLLNDQGQSDATVSAPDTIFGRDFNEALVHQVVIAYQANAR SGNRAQKDREQVKHSTKKPWRQKGTGRARAGMTSSPIWRGGGRTFPNSPEENFSQKVN KKMYRAGIRSILSQLAREDRIAVVDAFTFDTPKTKLAASKLKAMGLDSVLIITDTVDE NTYLATRNLPNVAVVEPRYADPLSLVHYKKVLITKAAIAQFEEMLG MIM_RS20990 MSNTTPTPAAHRLGLVGRKVGMMRIFTEEGESIPVTVLDVSNNR VTQVKSLDTDGYAAVQVAYGERRASRVVKAQAGHYAKAGTEAGSILKEFRLDPAKAAE FTAGAVVAVESVFEAGQKVDVQGTTIGKGFAGTIKRHHFGSQRASHGNSRSHRVPGSI GQAQDPGRIFPGKRMSGHLGDVTRTVQNLDVVRVDAERGLLMVRGAVPGHKNGDVVVL PAIKMSAKGAK MIM_RS20995 MDKYIIGVDIGTQSTKALIAGTGGRVLAQASVAYHPDTPKPLWA QQDAQVWLEAFLRSVRECVAQALQQGVRQEQIAGLCISSLYGGAGIPVDADCQPLYPC LIWMDRRATAETSMIRRQVDLQRLFAITGNGVDSYYGFTKMLWIKHHEPQIWEKTAWF LPPNSWIAHYLTGELAVDHSSAGNIGGVYDMQRHCWSDEALAMVGLDAAKMPPRLLES TDVVGTVTADKAALLGLSQGIPIVLGGIDAAIATFAGGVRKPGDHVAMLGTSMCWGYL QTETESGRDLVCMPYVYKGQAQRYVFGGAATAGGAVTWFVEQFCQAEQALAAQQGVSV YTLLEQQAASLPPGAHGLVFLPYLMGERSPVWDAHASGTFAGLNLTHTRAHLYRAVIE GIAFALWHNMQAVGERRQGLDQRLVVVGGAVNSSLWMQIIADVTGYPVYTIEEEVEAA MGAALLGAVGLGLVSEEQVEQGWTHVACRAIPDEQAHQAYQQLFAVYEGLYPAMRASM HALADMRGG MIM_RS21000 MSPPKNSAAPTEQRQPFARNEIMPLDLALVAAQRANLSAIERRI ASLPGRRSVKKEAQAAWLLKAVSCIDLTTLNGDDTPERVRRLCAKAAQPVRQDLLNAM GFTLPLTTGAVCVYHRYVSTAVDALAHTLIPVAAVSTGFPAGLSPHELKLQEIAASVA AGAREIDIVITREHVLTGNWTALYQEMCEYRQACGEAHVKAILATGDIRTLRNVAKAS MVCMMAGADFIKTSTGKEGVNATLPVSLVMLRAIRTFHELTGKHVGYKPAGGVSTAKD ALNYLILVNEELGRRWLEPDLFRFGASSLLADIERQLEHFVTGRYSATNRHPMG MIM_RS21005 MTVNDYFMSMQYGTAPESDSAARQWLASHQSRFTQFIGGRFTKA DDNATFETLEPATGKVLATLSQASQGDVDAAVKAARKAQKDWQAMGGHARARHLYSLA RMLQKHSRLFAVLESLDNGKPIRESRDLDVPLAARHFLYHAGIAQLQETELAQWQPYG VVGQIIPWNFPLLMLAWKVAPALASGNTVVLKPAELTSLTALLFAELAAQTLPAGVLN VVTGDGAVGQYLVAHKGVDKIAFTGSTEVGRLIRSQTAGSGKGLTLELGGKSPFIIFD DADIDSAIEGIVDAIWFNQGQVCCAGSRLLVQESIAEQVYAKLRARMKTLRVGPSLDK NIDMSAIIDATQLQRIRTLVTDGVSQGGQLWQPTETTLPGDGAYYPPTLITGVQPAST LAQEEIFGPVLVSMTFRTPQEAVELANNTRYGLAASVWSENINLALDIAPKLRCGVVW INASNLFDAAVGFGGVRESGYGREGGLEGIYEYLKPASWAKAAPYRAVLSHSLAQAHA AGDSFALPAIDRTAKLYIGGKQTRPDNGASLPVIDSEGKIAGHISLGSRKDIRNAVAA ARKATGWQQATTFNRAQVLYYLAENLSYRADELVQRLARLTGSSTKNAAHEFELGLER LFTFAAWADKYDGRVHTPPMRGVALAIPEAIGVIGVICPDDAPWLSMISLIAAGLAMG NSLVVVPGARSALLATDLYQVLETSDVPAGVVNIVTGDGSELAAVLADHDDVDSVWAF GDNELAQLVQQRSVGNLKRTFGNDGKAIDWYQWSGADSQLFLRQATQIKNIWIPYGD MIM_RS21010 MLKNIDPLLNADVLHALRAMGHGDELIISDAHFPSDSIARHTSI GKLLRIDASADRVAQAILSVMPLDSFVPDPALRMEVVDDPTQIPEVQQDVQALLDAPL QLAPIERHAFYERARRAYCVITTSDTRSYGCFIFKKGVLNPTQTNRHPA MIM_RS21015 MSLSHPRIVIVGIYVADLLFKASRMPVIGETLLGSHFAMGPGGK GSNQAVAAARAGAEVIFYTRLGNDAFAANAQKTWQQAGVTSRATLHDDLTTGAAHIFV EDESGRNAIIVYPGAAAHMVPADLDLIEQDIASADIFVTQLEQPLDVALHGLRLARKH GVTTIFNPAPATQLPDELFPLCDWITPNETEASLLTGLPVGNLEQARAAAGRLLAKGV TNVVITLGEQGCLLHTASDSIHIPAYQAGKCIDTAGAGDGFTAGLATALAEGQPPEAA LRFASALAGISVTRSGTAASMPERGQIDTLLSTSA MIM_RS21020 MTSRLSPFQDRQLSFLLAINIVIVMAGYFLSSGGDFVSVFNLQS MAMQLPQMALLAMAMMLSMISGNGGIDLSGIALANLAGVIGALLLPQWIDAEAAPWLF TAAFVGLMLSVGLLGGMLNGIIIARFNLTPILTTLGTQLVFTGIATVLSNGSSVNLPY IEPLSAIGNDNFLGVPIAFYLFVAVVLFLGWLLRRTPFGLRLYMQGTNPKAAFYAGIS RNTMLICTYTMCGLLAACSGLISASQAASASAKYGDSYLLIAILIAVMGGVNPAGGYG RVICVFFAATALQLLSSMFNLLGLSEFAGNCIWGLLLLFFLAYSRQELKLKIKRREPV KA MIM_RS21025 MSFAAGANAEEKKSIVTVVKVTGISWFTRMEEGVKAFAQANPDI NASQTGPGRADAAQQLKIVQDLVAKNVSALAIVPFDPPTLEPILARAKSRGIKIVTHE ADNLKNTDYDLEAFRNNEYGADLNERLAKCMSNKGDWAVLVGSLGSRSQVQWAQGGID NAKAKYPELNLVTTMQETNNDGEKAYQVAQQLLRKYPNLTGFQGSSSLDVIGIGRAVE EAGKAGKICVFGTGLPGEAGPYMEKDAITGIAFWDPKDAGIAMNNVAKMLLEGKTIEN GADLGVPGYEKVTVEKGPGKGMIIRGAGWVRVDKTNYKQYNF MIM_RS21030 MTTTTRPTHHNASIPPAPDGNAFLSLRQIHKRFTGVHALRGVSL DIHPGQIYHLLGENGCGKSTLIKIISGAQPPDEGDLILDGKRFEQFSALSALGAGIET VYQDLSLIPNMSVMDNISLTVELAEHKGRLARVISHQTLHDRAVSALRQLQLPDDRYF LQTKIEDLPLATRQLVAIARAAASDARFIIMDEPTTSLTQKEVDNLIRIVKELCARGV AVLFVSHKLDECYAIGGNVIVMRDGQVITQGEIQQFTKHQISELMTGRVLSDQRYRRN QPQPDVMLGVCDLGRDQGFEQVSFDLHKGEIIGITGLLDSGRNELARALAGVAPSQRG TLTLNGETITVNTPAQATTHRIAYVPEDRLVEGLFLTRSISDNTITAVLPQMCDALGQ ISKDQATRFSQDMTSRLKIATPNVQNPVQSLSGGNQQRVLIGRWLAINPLLLILHGPT VGVDVGSKDTIYQIIQSLTDKGMSIIIISDDLPELLANCDRILLMKKGRISDEFQADG LQENTLYHALLS MIM_RS21035 MNTTTPASAAIQDSTTPKRPPYLIRHPEFFTLGLIVVLFVVVAV INPMFFQPGSLFDVLRAATRSAIFALGILVVLASGGLDVSFTAIAALIMYGLTKLVLM YYPAAPFIVILLAGAAGGLALGLINGWLVKKLAAPSLVVTIGTQFLIRGFLLAFIGTE FFMNIPPSMLAVGQANIVNFQNNQGLQTALPYTTLAIPILALLTWWLLNKTMMGRAVY AMGGSPAIAERLGYNLTRVYLFVFGYAGLLAGIAGIIHVSSTRIANPFDIVGTELDVI AAVILGGARITGGSGTVTGTLLGVLLVTLINSVLITLGIPTTWQKVIVGAFILVAGLL FSLRSRQ MIM_RS21040 MTPAERLHKLEQALQQSGSLRLREAAALLGVSEMTVRRDLARRP GTLSYLGGHIVAAHSLQNAGQYSVAQEKDHFAQAKQRASQKALALVAPHDVIFIDCGT TLLHLARLIPDSLPLTVVCYSLNTADILKNKPALRLIVLGGTYMPSSDSFSGQEALNA LDQLSFDTAFMSAGGVDDQIGVSCWNLHEKDIKRRAMERAKRRYLVVDSSKLGVRRHI RFADLTEFDAILTEQD MIM_RS21045 MSKRPVPELIDEDNPEWGPEEFARARPFPKVVAERFGDKTAQAM IRPRGRPKAARTKVPVSLRIDADTLQAWKATGKGWQTPIG MIM_RS21050 MSSPAPRVFNAEETSQLIAFGPLRQHILEAASQYARGEIHSPDR QVLTVPGSRDGVLLSMPCTAQDICAHKLISLLPDNPAHQRPTIQGMVSVLDSATGVPL FVLDGPTVTARRTAALSMAGLQLFLEHEPRTIVIVGAGSQADGHVQAIAELYPEATVH IAARAQSWDKAQAFCERHAGLGIRLQVTDLNQLPEQFDAIITLTTAVEPVYHAPAVPG RLIIGVGAFRSHMVEIAPETVMNSLCYVDDLVGAKHEAGDYIQAKKDWSQVTTLAQAI ESDIDYSRPIMFKTVGCAAWDLAAARCARAAAGL MIM_RS21055 MAPREETPLMQRLRAVRQANDLTLEQAGRACGIAASTLSKIENG LMSPTYDVLQKLAIGLSLDVSELFTPAREPMGAGRCVVDRAGQGKVHKTRYYEHLLLC SQLSHKRILPFLTTITARDLTVFVDWNRHEGEEFVYVISGQIELHTEFYAEATLGPGD SFYIDSRMGHRCISVSQEDAQVLWMATQRPEAESGETNE MIM_RS21060 MADTPILEIRDVSSYYGQIQALKNVSLHINPGEIVTLIGANGAG KSTLLMTIFGTPRARTGQILYKGNNLLRLPPHKIATQGIAQVPEGRRIFPKMTVEENI MIGTLPIGDAHMDEDRQHMFDLFPRLKERRNQRAGTLSGGEQQMLAIARALMSRPDMI LLDEPSLGLAPLIVRQIFSVLKELGKSGKTIFLVEQNANHALKLADRAYVMVTGEIRM TGTGAELLANPEIRDAYLGSH MIM_RS21065 MAQDMKQDAILSVQHLTRRFGGIIANNDVSFDVRRGSITALIGP NGAGKTTVFNCITGFYMVSEGLICLNQPEGQVEIGNLLRRKITGGSYLVARAGIARTF QNIRLFKDMTVLENLLVAQHRKQNRNLFSGLLVTPDFSDREEASLARAFEWLEIVNLS EDANRLAGELSYGRQRRLEIARAMCTDPRLICLDEPAAGLNPRETRELSALIRKLRSE HDVTVLLIEHDMGLVMDISEHIIVMDQGKVIDAGTPEHIRNSDVVIAAYLGTEVED MIM_RS21070 MSTSQTSTIDFGHSLRDAVVAGILTMLVFSPITNFVLKQYSFTL DWFRSPFFLNGLAIGLIVAFVRFFVSVGAQTQTGQNFIQNFIKTEHGVEVEDEYADGG KRLLRVVLWGGGLMVLFLVLGLSGIIYRGAGIALVLVVLFIMIAMGVRIPKRRGKKHP WKGALPVLFLVGLLLPLVFFYFGWFGKSWINNLTLAMVYVLLGLGLNIVVGLAGLLDL GFVAFYAVGAYFLALGAEYLGIGFWTALFLAPLLAALCGGLLGFPVLKMHGDYLAIVT LGFGEIIRLVLVNWISFTGGPNGASVPAPTIFNLEFVRRARGDVVPFHEFLGLPYNSD YRYLFVYLLLFVISMIALRFFTQLRVMPIGRSWEALREDEIACRSLGINHVTVKLSAF MLGAMIGGLAGVFFATAQGFISPQSFNFFESVLILSIVVLGGMGSSIGVIIAAFTLTL LPEFLREFAGYRVLLFGLLMILMMIWRPNGLLRPKRSVFRKSEVA MIM_RS21075 MDWYILGQQLVNGVTLGAIYGLIAIGYTMVYGIIGMINFAHGEI YMISAYITAIAFAVFTFLGIDSLALSLLLTLMVTMFITGLYGWYIERTVYRPLRTTNR LAPLITAIGVSLLLQNYVQVSQGPYVQGVPSVIQGGFTIGSDTGFFQIRYIDLLIVLV SFIAMMILTWVIQKTSLGRQCRAVEQDRKMATILGINTTRIISTVFVIGSVMAAVAGE LVTFNYGSFDFHIGFILGIKAFSAAVLGGIGSLPGAMLGGLILGVLESLFAGFVSSDY KDVFSFSVLVLVLIFKPSGLLGRPAVEKV MIM_RS21080 MNKVLKNVLIATALTAAFGTPALAADEIKIGLGAPMTGGSAAFG KQLQTGAQAAIDAINAKGGVNGKQLKLVTADDACDPKQAVAAANRLVDQEKVTAVIGH FCSSSTIPASEIYDEANILNITPASTNPKVTERDLSTILRTCGRDDQQGEVDAKFMKD VLKVKRAAIINDKDTYGVGLANATRDAAKELGIEVVLEDGVTRGERDYNALVTKIKGA NVDAVFFGGLYAEAGVLVKQMRQQGLKTPFISDDGIADPAFVTAAGGVQNAEGVYMSF LKDPRNDPASKSVVEELKKAGSDAEGFTLYSYAAVQAVAAALAANKDETDGTKLADWL KANPVPTVTGNKGWDEKGDLKSNDFVLYVWDKEGKYVEYKQ MIM_RS21085 MNSRFDVVIVGGAATGSSLAYFLSASASFNGSILVIEKDASYQK CATALSAASIRHQFSTPENIQLSQFGTEFLRHFGDTLAVDGDRPDVGFQEKGYLFLST PGGEAVMRENNALQRSLGANIVLKTPAQLQTAWPWLNVDDLALGSYGVTGEGWLDAYG MMRAFRRKAIAQGVTYIEDEVVAINKNDRTVTGVTLRSGRDITCGTLVNAAGTGAAAL SAQVGVVLPQESRKRCVFYFTCPEKIADCPMVIDPTGAYFHPEGEGFIGGIQPPPEQD PECFDYDVQHELFDELLWPILAARVPAFEALRCEHAWAGHYDYNTFDQNVILGYHPAV ENMVYANGFSGHGMQQSPAVGRGLAELIEFGTYRSLDLSRMSWERVLNNQPIVEQNVW MIM_RS21090 MVTYHIQHDTEYDYHTPVTQSRQILRLSPRVLPWQTPESHTITI DPRPDRIDFLLDCFGNPLQYFTLMGDHTSLAVRAHSVVSLSRRQLPDSAHTPPWEEVV SHLRYTAGKTFMPYDFEATQFRFESNHIRLLPVIEQWARQAIRPGMPVLAAVEALQAR IFNEFVFDPQATTIATPVLEVFQKRRGVCQDFAHFMISCLRAIGLAVRYVSGYLLTHP PAGQPRLIGADASHAWVSVYIPGHGWVDSDPTNNVFPDQEHITLCWGRDFSDVSPIRG MMYGSSAHGLKTSVTVMPESEIAR MIM_RS21095 MRTITHCKVTPNGCMDFSLEHLLSSTPDGAFSELRDQTGRLAPE WDYVLSALRILAPEDLKGFLAYISENIQNRGSIYNAYSEEGSTEPWESLSVIPYVISA QEWGFIEQAVTQRAAVINATLRDIYGPQQLIRQGLVPPSLIFGQRGFLWPCINALDTQ RNHLQVYAVDIARDEQGRWRVLKDRTQGPLGAGYALQNRQIMSTALPALFNQLRVHPQ TDYFRTLRHTLLSCMSADDGNVVTVLLSPGPKHPAYFEHIFLARQMGVPITESLDLTV RNDLLYLKTLRGLQRVHVVLRRLEDSECDPMELYGSDYPGIPGLLQAIRKGNVTVCNA LGSGVLESAGMLEFLPGICQHLRGEPLLMDSIRSWWCGDEANLDYARKHFDSLMFKSS FSSMRASPQYVPDLNPAQREALLQRLQVSPRSLVAHEVMPLARIPEIDLESRQLQMRR FTMRVFTCLTHDGSYRVMPGGVVRVEDKSNTPVLSSRNRQINKDLWVCRVARAATPPV ITVPPALDRVRGAADAFEARPLTLDQLLAAHTTTPARIGENLFWMGRYGIRAELSVLL LRTITRNLTERVTEKETLITLLYKLGAELHVLPVPSGEVIGDDDTYDSNFIRHQLGSS LAPSSLSGALANNVNYLHQCAFNIREKLSLDVWWVVNYMPSYLANAELDLTVVQQRMQ ELFASCSILSGFTHEQMTRDEGWSFLLLGRLIEKLGRMSDTLGFFLKQSEHDKTIMLE SLLEIAYSIVTYRARYHREEEMLAVLYLLVFDRSNPYSLCYLCQSLLDNGAAFNIHEA QSREILQKALSDMDAIDLAPFAVGTPAAQHIYQQLADICADISHGLSIYANSISHQYF LVTETITSDTGLEAVNKEFL MIM_RS21100 MLSRTADHIYWMSRYLERAENTVRLLEVCYQTTLMTNDEDHSDY SWRSVLATLEALQQYETECGEISADRVIDFVVNSKNYASSIVSCIRLARENVRAIRGT VTSEVWETINFTWLEMNRLLKTPVLASNPTRFFEWIKTRSLQTLGAQEGTMLRNETYN FMRMGTYLERADNTARMLEIRFYLENAADDKRKLVTQLYHWTAVLRSLSGLEIYRQVF RETVDPKRVIELIVLHPDMPHSLAHSSRELLAHLQKVRNAKSDETLRLAGRLDADLRY TTIDEIFKAGLHDWLTRYMEKLDVIGQGINDDFLWQQVQ MIM_RS21105 MAPKFYNEIWLEEAKKKPVPRKHYEEYLKWLDRQEADLLQNKRE EAEALFQQVGITFSVSGDGDGTERTIPFDIIPRVFPLREWNALQRGVEQRVRALNMFL HDVYHDKDIIRAGLIPAELIINNAQYRPEMVGLDVPHRTYAHIAGIDIVRAGKGELYV LEDNLRVPSGVSYMLENRKMMMRLFPDLFSKIKVTPIAHYPDLLLETLIESAPDGIDK PTIVVLTPGMYNSAYFEHTFLAQQMGVELVEGKDLFVKDDYVYMRTTQGPQRVDVMYR RLDDDFLDPEVFRKDSVLGVAGLMRAYKAGNLTLCNAIGTGIADDKSVYPYVADMIRF YLGEKPLLNNVPTYMCRNDEDRAYVLAHLKELVVKETHGAGGYGMLVGPAATRDELVM FEQLIKKDPAKYIAQPTLALSTCQTFVEAGIAPRHVDLRPFVLSGKTIRMAAGGLTRV ALKEGSLVVNSSQGGGTKDTWVINRRG MIM_RS21110 MTRVMRTQAAVPELLIIANNVHTVLAAGDTILYAIVNTTKIITT EIFNPNTGQRSRPCLARRSPALWREGAGTEDAAMKGAVTTGMMTFELPAAMPA MIM_RS21115 MDSVKQLFSALGIAQESVTGGSLPVHSPIDGARIADVREHTAQE TNQAIEQAVAAQKAWRSVPAPRRGELIRLLGEELREHKAALGRLVSVEAGKITAEGEG EVQEMIDICDFAVGLSRQLYGLTIASERPGHRMMETWHPVGVVGVITAFNFPVAVWSW NTALALVCGNAVIWKPSEKTPLTALATQALFERALKRFGDAPAHLAQVVIGGREVGEA LVDSPRVALVSATGSTRMGREVGPRVAARFGRSLLELGGNNAIIVSQSADLDLAVRGI LFGAIGTCGQRCTSTRRIIAHRDVVQELTARLKKAYASATIGSPLEAGTLVGPLIDQA AFDAMQKALAQAAEQGGTVTGGERALQERFPNAFYVRPAIVEMPGQTDVVRHETFAPI LYIMSYDTFDAAIEMQNDVPQGLSSAVFTTDLREAEQFMSASGSDCGIANVNIGTSGA EIGGAFGGEKETGGGRESGSDAWKAYMRRATNTVNYSRELPLAQGIRFGED MIM_RS21120 MNEVVAALQALPLLWVLVVAGALVGVLLCALFMNYRLATARARA AGLEDLLADRDRLQEQEQQRYEQLQLQYRELDAQKDDIAGQLAAARSDVSHARQQNEL HSDRIQQLETQLRELEAARNTLEKQHISLQADYAYKAQSLEAMRTQFEASREQLGTEF RNLATRIFEEKEQTFSRNSRQSIEGLLQPLREQIDRFQTRVNEVHDQSLQGHSMLRSQ IGQLMDMGMKMTHDAQALARALKGDKKALGNWGEMQLESALEAAGLHKGAHFDTQVLF RSEDGRANYPDFIVFLPDGKKIVLDSKVSLVSYEQAVNATEEAARQAFLDAHLRAVRT HIDQLAAKDYTALAGMNSPGFVLMFMPIEGAYIEALRHTQNIFQYGYERGVILVSHTT LMPILRTVSYLWMMADSNEQAQAIGERASDVYNQVARVAEHLSKLGGTIGTLSTHYNA VVRSFAGNQGLTGKVDRFQSLSAKAAKSMPALQPVNAEVDVHRLSGLVEKASATESDP DQDSHSATMHDEFAGDGDYGTCADGVNSAHKSAAAVSAGMSNPMVRDQAEQARQDDRD QKDQRDGQSS MIM_RS21125 MTTFDHKDDSVVVIIGSGAGGGTLANELCQKGVSVVLLEAGARQ STETFIQDEWKSFNQLAWLDKRTTSGTWRIARDFPNLPAWICKTVGGTTTHWAGASLR FQEHEFRARTHYGQLKDANLLDWPITLQDLEPYYARAEDKMGVTRTNGIPGLPGNNNF KIMYNGATRLGYKEVSTGHMAINSRARDGRGACVQQGFCFQGCKMGAKWSTLYTEIPK AEKTGKLELRTECHVARIEHDAQGKVTGVVYFDKDGREQRQLARVVCVAGNSIETPRL LLMSASSSFPDGLANSSGQVGRNYLRHTTGSVFAVFDEPVHFYRGTTMAGIVQDEAGH NDKRGFVGGYEMETIALGLPFYAAFFDPGSWGSDFASYMDAYTRTAGLWIVGEDMPRE TNRITLNHDEKDQHGLPVPNVHFDDHPNDEAMREHAFKQGIAMYEAAGARKAFRTPPY PSTHNLGTCRMSARPEDGVCNKHGQTHDIANLFISDGSQFTSGATENPTLTIVSLAIR QAEYIADQMQRRQL MIM_RS21130 MDTPITLQKIRPQTGLSRRRFLSGAAVLTGMLAAGSTLATFAPS RVWALETTHLNQTQAETLLAMAKALYPHKDLPDAVYALLVKDIDAQMSDSAQATLVGE GVAKLNKQAGGAFSGLALDKQTALLQQMQTDPFFQAVRSKCITSIYDNEMAYKHFGYE GEVWSKGGYLARGFDDLKWLPDPPQSASPSMKS MIM_RS21135 MCSIYSHTDPIMYECRSRSVRIAKVVTSIKLENMFWEVLAELAR RDGTTTNQLIATLYDEVYAYRGEATNFTSFLRVTCMRYQAVRYVNTGGVPKTLEPACT VQGASAIQNKIALVG MIM_RS21140 MAKVIHVMLRCLDLAASKAFYKTAFGLEPAHELDFPDFTLSYLR NAENDMEIELTWNKGRQEPYTHGDGYGHVAVCVDDLEAEHARLTQAGLAPLEIKRFQD GDRLLASFFFILDPDGYKIEVLQRHGHYQ MIM_RS21145 MNLKHILGGLLVATSLISLSAVANEKLSVAATPVPHAEILEHIK PVLAKEGVDLTVKVFTDYVQPNQQVADNQLDANFFQHKPYLDTFNKEKGTHLVSVGLV HVEPFGAYSKKIKNISELKDGATIAIPNDPSNGARALLLLQKEGLVKLKDPSNLLATA RDVSENPKKLEFKELEAATLPRVLDDVDLALINTNYALEAGLNPTKDALFIEGADSPY ANLIATTEEKAKSPAIGKLVKALQTDDVKKFIEEKYKGAIVPAF MIM_RS21150 MNWSTLDWPLIGEATIDTLLMTGWSLLWTVAIGLPLGVYLFLTS DRQLLANKPVYQAVSLVVNVLRSVPFLILLIVLIPFTRLIMGSALGVGGAIPPLVVGA APFFARLVENVLRELDPGISEACRAMGANSKQTVLWALLPEATTGIISAVVVTTIMLI SYSAMSGVIGGGGLGDLAIRFGYQRYQTEVMIVTVIILILMVQLVQSVGDYLVQHFNR K MIM_RS21155 MIQLKNIHKSYASRDKVVEALAGVSLDIAQGEIFGIIGRSGAGK STLIRMLNLLERPTSGSVLLHGQDITQISEAALRTLRHKIGMVFQHFNLLTSRTVLQN VAFPLRLAGMDKKARTERALALLELVGLQDHAYKYPRQLSGGQQQRVGIARALANEPE LLLCDEATSALDPETTQSILNLLLDINRRFGITVVLITHSMDVIRSVCDRVAIIDSGR IAESGEVVEVFLHPQHATTLSLLSESGVDAEGWKELIEGVSGRIVRLTYRGQNAAQPL LSQASRDLNVNLSILQGAVGKIKDTPYGQLVLAVEADPSSYAPLEAFFKDNQVNYEVL RA MIM_RS21160 MKNQKIRIRLKAFDYKLIDQSAAEIVDTAKRTGAVVRGPVPLPT RIKRYDVLRSPHVNKTSRDQFEIRTHQRLMDIVDPTDKTVDALMRLDLPAGVDVEIAL Q MIM_RS21165 MAKGKFERTKPHVNVGTIGHVDHGKTTLTAAITTVLSQHFGGEA KGYDQIDAAPEEKARGITINTSHVEYETETRHYAHVDCPGHADYVKNMITGAAQMDGA ILVCSAADGPMPQTREHILLSRQVGVPYIVVFLNKADMVDDEELLELVEMEVRELLSK YDFPGDDTPVIKGSAKLALEGDEGPLGKQAILSLAEALDTYIPTPERAVDGTFLMPVE DVFSISGRGTVVTGRIERGIIKVGEEIEIVGIKDTVKTTCTGVEMFRKLLDEGQAGDN VGILLRGTKREDVERGQVLAKPGSIKPHTGFSAEVYILSKEEGGRHTPFFQGYRPQFY FRTTDVTGTIKLPEDKEMVLPGDNVSMDVELIAPIAMEEGLRFAIREGGRTVGAGVVA KITK MIM_RS21170 MARKTPLERYRNIGISAHIDAGKTTTTERILFYTGVSHKIGEVH DGAATMDWMEQEQERGITITSAATTAFWRGMAGNYPEHRINIIDTPGHVDFTIEVERS MRVLDGACMVYCAVGGVQPQSETVWRQANKYKVPRLAFVNKMDRTGANFFKVYDQLKT RLRANPVPIVIPIGAEDSFKGVVDLIKMKAIIWDEASQGTKFEYLDIPAELVESANEW REKLVETAAEASEELMDKYLESGELSEEEIHKALRDRTIACEIQPMLCGTAFKNKGVQ RMLDAVIDYLPSPADIPPVSGEDDAGNPVTRKADDKEQFSALAFKLMTDPFVGQLTFV RVYSGVLSSGDTVYNPIKGKKERIGRILQMHANNREEIKEVLAGDIAAVVGLKDVTTG ETLCDLDSHIMLERMEFPEPVISQAVEPKTKADQEKMGLALSRLAAEDPSFRVRSDEE SGQTIISGMGELHLEILVDRMRREFNVEANVGKPQVAYRETIRKVCEEVEGKFVKQSG GRGQYGHVVLKLEPLPPGGAGYEFVDAIKGGVVPREYIPAVDKGIQETLSAGVVAGYP VVDVKVTLFFGSYHDVDSNENAFRMAASMAFKEGMRKAQAVLLEPMMAVEVETPEDYA GTVMGDLSSRRGMVQGMDDMVGGGKVIKAEVPLAEMFGYATNLRSLTQGRATYTMEFK QYAEAPKNVADEVISARSK MIM_RS21175 MPRRREVPKREILPDPKFGSVDLAKFMNVVMLAGKKAVAERIIY GALDHVQAKTGKEPIEVFNLAINNIKPIVEVKSRRVGGANYQVPVEVRPVRRLALAMR WLREAAKKRGEKSMDLRLAGELMDAAEGRGAAMKKREDTHKMAEANKAFSHFRW MIM_RS21180 MPTISQLVRKPRVSSHESSKSPALENCPQRRGVCTRVYTTTPKK PNSAMRKVAKVRLTNGFEVISYIGGEGHNLQEHSVVLVRGGRVKDLPGVRYHIVRGSL DLQGVKDRKQSRSKYGAKRPKKA MIM_RS21185 MKIAILEDDLAQATQMVQAVEKMGYGCKHYSSGKELMSALRDPE SFDLLILDWELPEITGKDVLIWVRSNIGYSLPILFLTSRTSEEDLVEAIEAGADDYIS KPYTTEALRVRVLSLLRRANPTDVDNTMLEIGPYQIDTQMRSVRLHDEVIALAPKEFD LAVLFFRNMGRLFSRDALSAAIWNREIPATSRTLDTHLSNVRQKLQIRPENGLRIVSS YALGYRLEPVSESAAADTAAQPKETRVSGQS MIM_RS21190 MSSTLRTILSSALALLIGALLSGPAFAQATGARGEYFTYRFVPG DILLTLSQRFTQNEENWKTIRKINGIADQYKIPVGFELKIPFSLIDEVPASATISHLR GKAFLNGSPITQTGGQVTEGAVITTDTNSNVTLTLPDDSKVLVPPNSSVTAKRLQRFS GTGYIDAIFTIDRGEVQSHVNPDGGGVGRFEIRTPVSVTGVRGTILRAGTRQGQGDYS TIIKGQADFSQADGVILTRLASNQGVITDGAGQHSGTRALLPPPVLHPMGGQSHNREL RFDPVPGAVAYELVLAEDSEGYDVLWSQRITGTTATLPAVRNGTVYVLVRSLDQQMLA GAQAVLTIEQTMNTINDRQGSPIGVGNGSYLLQSAF MIM_RS21195 MLGSLGRRFHREWLLVTLVILAVTALGSQFSILSRFSYALYDVA VSYTQSRQPDPDIAIIVIDDKSLTQIGFWPWERKVHAELVNILHNARAIGFDILFTDA DPHDTRTDQQLAQAIADNGNVVLANFLSDPGQQVAVNPIAKLARAARALGFINIVPDT DGMVRRIRLTTPQDTTRQHFALSMLAAGGDSAAVASYSSRSKEEPYLIPYVGTPQTFP MISYSDVLFGRIPARYFDNKYVLIGAWGTGMGDRFPTPASAGIVDNMSGVEILANVLQ SAREGNWNVIPGTTTHMLISLAPVLVLLIAIRQLSPRRVLFATLVVLLLVLAGSVLLL ALGNMWVSPVAAMIGVALTYPVWSWRTQELALNQMGREMSELNREYPLLRAEMALADA PQKFHLSLNERIMQLRFALNRVRSLRQFISDSFNAIPDPALVFDASHQLTLWTSSAER YMARLDKLTLSEGLPLQSLLNAIIADATTSRELVTAIEHHERTAAEQSDESSSAATGI HSEDGFEVRDRAGNDLLLKSMPTYTAGQRRSGYILNLIDISALREAERKRDETLRFIS HDMRAPQNSILALIDLQTDDTRALPTDELLRRVSHLSGRTISLVEDFVQFTRAEKADI EFVPLNLSDLLQDAINEVWIESRARHIPIVSHISPLCAFIRGDQSLLMRCLSNLLDNA FKYSPDNTTITCTLASAGDFWEVSIRDQGCGISQQDQQHLFTLYTRVGTSNEQDPGGL GLGLVFVKTVVMRHHGEVRVQSAPGQGSTFIIRLPKDETEESAGPSL MIM_RS21200 MKMRSALFVPATRVDRIPKALASGADIVIVDLEDAVEQDAKQSA RDALSEFATAHPDQQFLLRVNDARSRWFNDDIAVCAKLANITGVMLPKAESASQISAA AMARKPVYPIIESALGIMRMPELCQAPGVARLSFGALDLALDLNMDGDSAGAQQLLAV VRPQLVIQSRAAGIEAPLDGVFPDIADSDGLRKSVSFAKGMGFAGALCIHPSQLPVIH AVFEPTEQEKDWAQRVLDEHAKSGRAAFKLDGQMVDMPVIEKARRILQSHP MIM_RS21205 MTTKQATGVRPLDGITVLSLEHAIAAPFCTRQLADLGARVIKVE RPGVGDFARNYDERVKGMASHFVWTNRSKESLTLDLKSPQAKEVLARLLPQVDVLVQN LAPGAAARLGLSYDALHEQYPSLVVCDISGYGGGGPYEHKKAYDLLIQSESGFVSVTG SANEPAKAGCSIADIAAGMYAYSHILSALLQRGKTGKGSHIDLTMLESMVEWMGFPLY YAYDGAPPPVRAGAAHASIYPYGPFLAGNGKTIMLGLQNEREWKVFCEQVLEKPALAT DARFSSNTLRTANRDALRDLIIQSFAAYDDEQIIERLEQAGIANARVNDMKAVWDHPQ LKARGRWSQMGSPVGTLPALLPPGVNNSYDFRMDAVPALGEHSAAILDELGYDEDTVS QWQSAGIV MIM_RS21210 MTQDAQQPNLSDWIGRQEVAQDFINPSHVRKIAASLETTAPAAG QALPPLWQWALFIEPAPQDQLGLDGHPRRGGFLPPADDRNRMWAGGRLQFHQPLLVGR DGERVSTISAIREKQGSTGKLLFVTVTHEYKQDGQVCIHEEQDIVYREPAAPKLGGTD AAPAGTWSESITPTSTLLFRYSAVTFNGHRIHYDHPYVTQTEGYPGLVVHGPMIATRL LQAFCQANPDKTVTGFSFRGHRPLIAPAEFRAQGVITEAGRARVWAEQEGTVTQTAEV TFK MIM_RS21215 MAMHFDLTDMQLMVHISEVNSLTRGAERSHLSLPAASNRVKNLE NNLGTALLYRNSQGVTLTPSGEAFVRHAHIVLRQLEHLRGDIREFAEGIKGKVRVYAN TTAMNEFMPDILSRYLALHPDVSVELRERLSYRIVKLVSEGLADIGVVAGIDEDQVTS DDGVRFIPYRSDNLVLVTSQNHRLATYDELAFAETLSYEFVGLSEWSAIHAFLKQAAE ALGSPLRFRVEVGGFEAACRMIAANAGIGVIPETAALRYVTSMPLKMIRLSDSWALRR LYVCVRRLEELPPFAQELVALMQADAGLSGQKGASADAPDLPERRRGKR MIM_RS21220 MQHQTDAYQDIRDAIRDLCAQFPAEYFRDIDEKRAYPEAFVNAL TEAGWLAALIPQEYGGSGLSLTEASVIMEEINRCGGNSGACHGQMYNMGTLLRHGSDV QKKTYLPKIATGELRLQSMAVTEPTTGTDTTKIKTTAVKKEGRYVVNGQKVWISRVQH SDLMILLARTTPLEQVTRKSEGMSIFLVDIHQALKSGMSLQPIPNMVNHETNELFFDN LEIPEENLIGEEGKGFKYILDGLNAERTLIAAECIGDGYWFIDKVSAYVKERKVFGRP IGQNQGVQFPIAKAFINIEAASLMRYEAARRFDAKQACGTQANMSKLLASEASWEAAN ACLQFHGGFGFANEYDVERKFRETRLYQVAPISTNLIYSYVAEHVLGLPRSF MIM_RS21225 MTQTPSAALAEFSATLTYDMIPSAVIARCEDFLLDTFGSMLAGF PARPVKAIRDFALAMGPAQGSAQIIGSTQTTSPLFAALINGAAAHMVEQDDVHNGSVF HPAAVVFPAALAMAQETGASGKDLLTACVAGYEVGIRVGEFLGRSHYRIFHTTGTAGT IAAAVAAGRLMNLSPAQMLDAIGSAGTQAAGLWEFLRTAADSKQLHTAKAAANGLTAA WLARDGFTGAKQILEGAQGMGAGMSSDADPARLTDRLGSRWALAETSFKYHASCRHTH PAADALASVMQNHGLAAADISRVITHVHQGAIDVLGAVTRPETVHQSKFSMGTVLALI ALRQRAGMPEFDAALNDQTVADFRDRVTMELDEEVDTAYPQRWIGKVSVVTQDGRTLQ GRVDEPKGDPGNTLSREEIRQKVRGLAQYGKSLSDDQVAAGMQTIEAIGTAARVGAIY MIM_RS21230 MKQIVFLVPQGVNLAGLEQARLGLAEACSYRKQQNLPMPFEVSL AGAHSEIRAGNGHYTIHPDHLLPDIPQADLYIVPPTAPSPAALNDNAALIGWIASQFA QGAPVASLCMGSALLAAAGILDGLRAVTHWQALEAMQQAFPRVRWNAEKTMDFDGGIF TSGGAVSASRLILHLIEMHSDRATAIYCAKVFQLDYERHSQLPFSIFNGWKEHGDASI MPVQSFLERHYSEKITVEQLCDSFAMGRRTLERRFRKATGQSVLEYQQRIRVEAAKRQ LEMTSSTISDVMYGVGYNDAKAFRDTFRKYSGLSPLAYRERYQ MIM_RS21235 MHVTLSPYLNFNGQCRQALTLYQTCFGGELLFRTVAEFPPDSPG CSTTPTDPDTIMHGQLVNQDFMILGTDMNNPAGFRPGNDFGFGVSVDSQDNLRRCIEL LGSGGTIAVPAGPTPWADLFAVLVDPFGKVWYLNYFGSKSPQSPSRSTQ MIM_RS21240 MNTDKPTLLFVNLPVSSVDTTRAFFTGLGFTFNTMFCDENSLCM ELNPMASVMFLQRRRFSDFTPKTVADAHHASEVLMCISRESRAAVDELTQRALELGAT EAREPQDHGFMYGRSINDPDGHIWEIMWMDMAQFPGNSAAAKEGESTTG MIM_RS21245 MQSDIAMEQLEFFDIPSPCRGICEVNSRGLCRGCLRNREERFQW QTFSDTRKREVLRLCNQRRHKLIQEILAARALAATGQGADSQADEDDNEPRLPGT MIM_RS21250 MKTRIEKDSMGQLEVPAKALYGAQTQRAINNFPISGQRLPAAFI RSLLLAKAAAAKANVKLKQIPPEMGEAIATSVDTLLEGDFMAHFPIDIYQTGSGTSTN MNANEVLATLATKLYGEPVSPNDHVNYGQSSNDMIPGTIHISAAVQLHQQLLPALTHL AKVIRKKARAVDRYVKTGRTHLMDAMPVRMSQSLEGWAVQVEQNIELLKAQQPLLQTL AQGGTAVGTGINAHPRFAAEFARQISTITGVRFKPAENFFAHISSQDIAVALSGQLKT TAVTLMKIANDLRWMNSGPLAGLGEIELEALQPGSSIMPGKVNPVIPEATAMVAAQVI GNDAAITIGGQAGNFELNVMLPMIAHNLLNSLELLANVSRLLADKAIASFKVNAPRLQ EALDKNPILVTALNPVVGYLKAAEIAKTAYKEKRPIVDVAAEKTDLGEAQLRKLLDPK KLTEGGL MIM_RS21255 MSASRITFYSNPQSRAAVIHWMLEELGEPYETIYLNYQGAMKTP EYLAVNPMGKVPAIVHDGQVVTEGAAICAFLADQYPQKNLAPATDSPLRGTYYRWLFF AAGPLEEAITMTSLKMPIPADKSAMLGFGTLARTVDVLEQAVSGSNWICGEQFTAADV YVGSAIRFYMLFGVLEARPAFKAYVDRLTQRPAYQRSLKILEQAAATQQKQ MIM_RS21260 MNNQDLDQRIGARIRTERESRGWSLSELATRASVSRAMIHKVER GDSSPTANLLGKLSGAFGLSMSTLLARAEISQGRLLRKADQPVWVDPATGYIRRHVSP RSDIPFDVVHVTLPGNKEVPMPASAYAFLRQLVWVLEGELVFVEGQTQHEMKEGDCLE LGPPMDCIFKNQTERPCTYAVVLLNVS MIM_RS21265 MKQSAPASSFMLNRYELALVIITVFWGATFLIVQNALAHSDPFV FVAMRFGCAALAMMLCSLRILRGLTGLEVRAGMLIGLCIFLGYSLQTVGLQTIASSKS AFITALYVPLVPLMQWLFLKRPPTLMNWIGATMAFIGLVLLADPQSMDGGAGMGEWVT VLSAIAIAVEVILISRFAGQVDLRRVTIIQLATASILAGVTGVARGEGVPDLASPVLV ISILVLGLASAVIQITMNWAQNHISATRATVIYAGEPLWGGVIGRVFADERHSALALF GAVLIIASVVVSELKLRARRRPRGSAAN MIM_RS21270 MSYCVFVAQLPPETASAHKQYHDERYGFPVDDDNELFGRLVLEI NQAGLSWSTILNKEAGFLQAYDHFNIDTVAAYDESDRARLLADADIIRNRLKINAAIH NARVVQGLQQEHGSFRAWLQRHHPMSKDDWVRLFRKTFKFTGGEIVGSFLMSIGYLPG AHDEDCPIYHRALKAGAIWQQQPVKK MIM_RS21275 MQHTTTAQEKSKTVLERFLHAFIFEVLAIGLSAPLAAILSGHSM ADMGVVTVVIAVMALIWNMVYNALFDRFLAASGKTKTLVMRIWHSIGFEFGLLVMAIP FVMWWLNIGLWEALALDIGLILFYLPYAYIYNLVYDTLRSRYWGRSVPQC MIM_RS21280 MQDIRKQVSLVGVPTDVGAGHRGASMGPEAIRVAGITQTLEQLG LTVRDCGNLNGPPNPWLPPQAGYRHLEQVIAWNQLAHDALYAELSEGRFPIMLGGDHC LGVGSISAVSRYCREQGRKLRVLWLDAHTDFNTADLTPSGNIHGMPVACLFGHGPREL VEMAGQVPALQADMLRQIGIRSVDDGEKTFIHQAGIEVFDMRFIDEHGMRHTMEQALH GLDENTHLHVSFDVDFLDPDIAPGVGTTVRGGPNYREAQLCMEMIADTECMASLDIVE LNPALDVRNQTAEVALDLVRSLFGQSTLVRPRHKIGAGR MIM_RS21285 MNLQGRRVLITAGGSGIGLAMAHVFTRAGASVFVTDINESALST LKAELPQVHAVTADAGNAGDVARSVEQAVQALGGLDILINNAGIAGPTGPVEDITLAE WENTLRINITGQFLYVQNALPHLRKGTHPAIVNMSSAAGRLGFAGRTPYSASKWAVIG FTRSLANELGPEGIRVNAICPGAVDGPRIQQVISAKAKMLGKPHAQVEDTYKAQSAMH TMVTADDIANQALFVCSDLALNISGQALAVDGHTEKLF MIM_RS21290 MKNETESTTSRQTLSASVLEQIKQILWSGRVMPGEQLSIRKTAE ALGVSMMPVRDAFSRLVADHALEVTPNRSVRVPVLKVETFMEITRIRYQIEGMAIAEA AGKASATLLADISRINDTLSREMAKPQADTQELVSLNQQFHFAIYEAAAMPVLFQMIE SMWLRIGPILNYDLRQGSPRTREQVAVAHHRAMIGALQRGDADAARNAVVADIREAFE YIISRKPSLFLLPDGYSPGG MIM_RS21295 MKSTTRLLAGCGALAAASLAHAAGGISDNEIRIGFITDMSGVYA DTDGPGGTVAIQMAIDEVGGVIDGKKITLVTADHQNRADLAASKARAWIDQNKIDVII GGTNSATTLAIATVAAEKHIPLISVGAGATAITNEYCTPYTVHYAYNTRATAYGVASA VLKQGGKDWFFITADYAFGHALQKTASDVVSQSGGKVMGSVKVPLSTADFSSYMLQAQ SSGAKILGLANSGNDFIASVKAANDFGVTSSMRLAGLQVYISDIHALGLEPTQGMYRT APWYWDQDEPSRTWSQAFMKKHGAMPTFNQGGDYSAVKTYLKAVTASGTDDGKTIMDW FKSNPIEDFYIKNGKVRRNGLLVHDMFLHEVKKPSESKGEWDYFKVSATIPGEEAYGP ESEASSCKL MIM_RS21300 MALGNHQPGPCLAPTSSGTPVIVNVPSLPSVSIIGSGLIGQAWA VVFARAGCVTTLWDGNPQAAQQAVTLIEQQMQTLARYGLVDDVVGAMAHIRVADSLEA AVAQSDYVQENLPEVLDIKLDIFARLDAAAPPHAIVASSTSGIPATAFTEDLAGRARC LVAHPVNPPSLIPVVELSGAPWTSEQTLVRTRAIMEAVKQKPVTVLQEIDGFILNRLQ GALLREAFRLVEQGYVSVEDLDTTVSHGLGLRWSFMGPFETIDLNAPGGIRDYAQRYG PLYQELAKTQVDTEPWSNALIDRVTTARRADLPEDKLAARRAWRDQRLMALAAHKLQQ LNKTEVPDAD MIM_RS21305 MSTSKTPHKVIITCAVTGAIHTPSMSAHLPVTAEQIADDAIAAA RAGAAILHLHARDPKDGRPTQDPEFFRPFLARIKAETDAVINITTGGSPHMTVQERMR PATTFKPELASLNMGSMNFGLYTMLDRFKEFKHEWEREHLEKSRDLVFKNTFKDIETI LRLGNDNGTRFEFECYDISHLYNLAHFMDRGLVKSPPFIQSVFGLLGGIGPHPEDLMH MRRTADRLFGQDYQWSILGAGRNQIPLASMGASMGSNVRVGLEDSLWIAPKRLAESNA DQVSQIRQVLEGLNLQIATPDEAREILQLKGAANVSF MIM_RS21310 MSASKDQGKGQRPARFSLDGQLALVTGSSRGIGLASARALAEQG ARVIINGRSADTVAQAANRLRQDGLEIIEAPFDAADVQQSIATVDRLIQEHGPVRIFM ANAAMQHRESLLTFPQEKFEEVLWLNLTAQWALGRHLASHMVQQKYGRIIVTGSVTGL QGRKDISAYTIAKAGLHALARQWAVELSPHHITVNAVAPGYIATEFNEALQKDEHFNQ WLFDRVPQQKWGSPDDIASAVCYLASREASFVTGQTLAVDGGFTIAL MIM_RS21315 MPGKISIVCFTAVLAVASTQAHARDTRYDLPITQALTAPEAAGI VDPSIKMTFGRRGPGQVILADAVTNKKTSRVGKGSDEKACVWAFLSAYKQLQQRAKEL GGTGVSNIVSYYKKNVNASTVNYECHAGAFVTGVALRGDIVR MIM_RS21320 MLAFELTISEQHALAPGLSTAAQWAQWLRADGPREKEHNRAQNP QEAPTLSFLPAMQRRRLSPLSRLIFATAWPILENHPQCPVVFSSRNGEINRSFQLLIE LAKGNGVSPTSFGLSVHNAIAGQLAIHHGNYAEQSAISADSNGLENALLDAWLMLQDG AGQVLVLYANDPLDGQYQVRIEREPFALACALLVQKGSAWRLCQDARPPANVPLNEDG CAGVCARLLSGESQWATHTGRPPACWTWTRQT MIM_RS21325 MSRISAFLWHKWRWLAIASGYVVFGLFGIILKVVLLPFTSGLLD QTRITNQKRARSIIQFLWRQFLRYVRLIGGFNYRFPEQSRLGRPGQVIIANHPSLLDV VFMLAHARQSNCIVKQGLLDNPFLNSAIRACGFIPNNGSPEVIDYAVAALQGGQSVLI FPEGTRTGDDNVIRFHRGACAIALRGATVITPVIIRMHPRCFKRNQPWYQVPYEKVNY EFIIGDDIDPAQWKAGKHMPAATRQLNAHLQTYFERELQK MIM_RS21330 MTTALNLEIKQLIISSLGLEDITPEEIEDSAPLFGEGLGLDSVD ALELGLALQKHFGIQFNTKTQNLREHFTDINTLAAFVNDLKNNPHT MIM_RS21335 MNPSDHIYQTLKTALVELFDIEPERIRPDADLYTDLNIDSIDAI DLIDHIKRVTGHKLLAEDFRNVRKVQDVVDAVSRKLTTA MIM_RS21340 MSSRLPRSALRLFNLLVLLSLAAYPFLVYYGRERIGVLWMTVGL LLLWGVKSLLSRQRWQQIVALSLLVCVALFRFADMPGFLYFYPVVINLVLLAVFAGSL FTRMTVVERIARSQEPDLPASAVSYTRQVTKIWSVFFVLNGSTIYLLWQAGFLHAWSL YTGFISYVLMGLLFAGEWIYRRHYKRKHSA MIM_RS21345 MSDATSGILLPFPHTDTLVATRPAMRRAQFEAIINQLHQHLRDR ACTRAALWFDDTALFSCALLGCWAAGVQVLLAPDVLGDTRSWVQADADLWITDNALPD PAIPVLRLDTLARSLSCPQQRDMQASTETSGLFQTRPEGLFLPLPADAVAFLRTSGSS GAPRIIRKYFRQLHAEALTLIRTWSLHALPATLIASVSHQHMYGLTFRVMVPLHAGLV LDRMPSRYPESLAEQTQMYEQCIWITSPALLQRLPDSLPWSTLQPRLARVVSAGGVLA EATREHLLQRQWPLHEIYGSTETGVIATRHEQATWIALPGVSLETDSEHRLAVCSPWT DGTEQTADVISHQDANSFILLGRADRILKLEDKRISLSRVEQAALSHPYVNDIHCAPS PLGGRLCAMVELSAEGIAAFRQHGRRHVVRAISALLREAVDPLAVPRHWRFPLTLPRN AQSKITRQHVQDCFTQTVRAPQWEQGASDTAANPSTRPSAAPSETPATPHTSSEPPSA SSTQTPPADSSAPPLQTASGTECCLSARVPMDLVYFQGHFPSFPLVPGVVQLGWALEQ ARARKLCSGTTERIENLKFQHFLRPADPCTMTLKWDDSKRKLYFTVRTGTTMTASGRI AFVDSA MIM_RS21350 MNRTIALIPFYNHPDTIESVVGQLRRHGLPVLIVDDGSDAPSRV VLDRLKSWPQVHVHHQPVNGGKGSAVKTGFTLTHAMGYTHALQVDADGQHHLDDIPAF LALSEQHPEAAICGRPVYGDDIPTARLHGRKVSLFWVHLQTLSRSIPDALCGFRLYPL AAVRFVDNTPYLGNWMDFDPEILMHLYWRQTPLRWIPTRVSYAADGISHYRMVRDNLL ITRMHTRSCLHMLPFLPSLLRRAFTKGDA MIM_RS21355 MSEHWATKQERGNLFVLRLLARLIRYCPMWLLAILSRLVVLYFF VTSPAERRNIARYQQHLQSWSGKSDLLPAFAPVYRQFVAFGQALVDRFAVWQGRLRYE HIVVEDPDGIHKQMLYAQGRGQILICSHLGNTEISRALVSHHKGLVLNILIHSKHARK YNAVMADLGADNDIRLLQVTELDIDTMMMLQQRVDNGEWIAIAADREPVRGEKVVPVS FLGETVAFPQGPWLMAGLLKASVNTLFCIRQQGRYALHIKRMSDRIQWTRHDRDEVIR LWVQRYAQQLEQVCVKAPLQWFNFYDFWKHND MIM_RS21360 MTKHPGLSICVPMTVPFFDVDALHIVWHGHYVKYLEIARCALLD KLGYNYDTMRAAGYAWPVVTMQLKYVRPAVFGQKILIDAHIREWESRLRIAYLITDAD SGERLTQAETTQVAVLLETREMQFETPQDWQHIIRQVMQSET MIM_RS21365 MPFMPAIKSLFFLSRLTFLLVLTLLCHAAQAFSLQDLQQQLAAH QTVQGDVQQKRFLRSLEQPLLSQGSFVMAADKGLLWETRSPIASVIRITPKGMMHQDS AGQWQPLQQQGAGSQTQIRLFMDLLSGNTRSLSGQFTQTLQGDAQDWTLTLDPTSSVL KQIFQRITIRGARSIEQVTLAETQGDRTEILFSNVRINQPLPADAQHALEP MIM_RS21370 MCASINPCLLTPNMRWNPERGWAALYVLGLLGLLLYSAWQLAYR QPVHTDLLMLLPPDGAQSTVQTRAQDNIRQTLNRQIIVLTSATDPARALAAAQKQAQQ WSASRLFDRVDLQVAADLEPLRQQLRRMDQAGLPQQAREALARDPQAWFARRAQHIMS PLGEQTLVAADQDWLGFAARLSGKLQSISAVHYDIASNTMQLEADNRIWYVMRAQLDA ADRSGRDEQLLALIDQTRQQAASQDVQVLMAGGAIYSAHGKAEGGQESTWMSLTGSML TILFLLLMFRSARILLLALPVAAGLLGGFAACVALLGSIHILTLIIGTSLVGLVVDFP LHWLSHATLDARWQPWPAVRRVSRPFIISLAVTVTGYLFLLLTPLPILQQTAVFSSAA VISTFLFTRLLLPALFNHWQPRPTPGLMPLLDRLRRNIRSTGERIRHTPLLALLVLAV TGSGLYLSNWHDDIRNWVNTPQPLLTQAMEVGRLTGIEPTSQYFIVQAIDEESLLRTE QRLTARLDPLIAQGQLRNYTALSQWINPVSEQQALNATLATLAQQPQAWAPLRALGVP DSAVRTELQRRQAQPALTIEQSLQGTLAEPWRALWLGRDEQGRPSSMVTMNGLQDPAV LPALIQNIPGVTLVDQRSHINRLFAQTRLEAILLKLLSYTVGFLILYRVFGTRPALRI LLVPLSASVTVMSLSGLVGIPLNLFCIFGLLLVTAIGIDYAVYAYTPTLPAEEKTAGI FMTSITTMITFGLLYFSSTPAVATFGLSVVLGVLCNVIYTFWYMRSFLTPESES MIM_RS21375 MNTSQLPSSTDIAIIGAGPSGSVAAALLRQRGWQVCVLERQHFP RFSIGESLLPYCMEILKEAGLLQAVNEGDFQVKNGAAFTWGDRESVIDFRDKFTAGPG TTYQVERARFDHILIQEAERKGAQVWFGHTVNAITTAAEHATLSVSTDQDESYTLRAR FVLDASGYGRVLPRLLDLEQPSDFPVREAWFTHIQDNISDPDFDREKIIIATHPQDRA IWMWLIPFSHGRCSIGVVGEPSHLAMNEREPLPFLQDMVNAIPRLRHLLANAQWDTPV RPLRGYSANVTSLYGERYALLGNAAEFLDPVFSSGVTIAMHSAKLATALVDKTLRGQA ADWQKDFADALRVGIDTFRTYVAGWYNCSFQDVIYSKHINQDIRRMIAAILAGYAWDT SNPYVEKSERRLKALAEICGEAQLDT MIM_RS22315 MKRNWIPDRGLAGAWLGMLLVLLAGCAATSVLPRQYSQPAQVQS YKLSLVTAPGQTEDSLLIVQPEAGGASRWIQTNALGAPLARLSLRDDQWTTDGFAPPN ARARQLFEAIIAARMPQTQWHQAYPGININKTDGSDGPVYAFSRQGHLLWSLVLPPVP PVPGAQGTRTAGSSAPAPVTGITLPDHSQWNLTPLSAIP MIM_RS21385 MRSYLSPPATVSALGLTFADTMQALLNTTVTPLAPETQWVPGKT IYTARPSVAPPPLPAGTPPHFDTHNNRLLWQAASQLQTRIEHARTQYGGQRIGVIIGT TTTGVDDNYPAFQAFARDGHWDRDLYQHERQLLSAPADFLAHHFGLSGPVYSISTACT SGARAIITAHRLLASDLCDAVVCGGVDSLAHLTINGFDSLQALSAGIANPFSVNRDGI NIGEAAALFVMTRQEGDGLPLLGYGNSADAWHMSSPDPKATGAILAIRQALENAGCKA EDIGWVNLHGTATELNDSMESLAMASCFAQGVPCTSTKPLTGHTLGAAGALEAALLWG VIDGRHNPEGRLPAQHWDGQADPALPSIALTDSQSTWQKQPRIGMSLSFAFGGNNAVL ILGQA MIM_RS21390 MQTPIRHLAPLLPHAGKMILLDEITTYSDSHLQALTRIGPDHLF LDESGQLPMWCAIEIMAQGVAALAGCHACDAGQPPKLGFLLGSRQIDILRPSVAQGSL LCVEVEASTRDEASGFGVFDCRLSLLENDDAAGADFAAGGAAQVAHSVTTHIAAEPGA AHAQTVATARLSVFSPPDIERYLQEQTA MIM_RS21395 MTASRIFVTGSSRGIGKAIALDLAQAGFDITLHCRQRRDEAEQV AQQIQALGRAADILQFDVSDRQACRQTLETYLDSHDAFYGVVLNAGLTRDNAFPAFSD EDWDQVLDTNLGGFYNVLHPLIMPMIRRRKPGRIVCMASVSGLIGNRGQVNYSASKAG LIGAAKALAVELAKRRITVNCVAPGLIDTEMLDQQVPVEEILKMIPAQRAGHAEEVAA AVTFLMSEKAGYITRQVLSVNGGLC MIM_RS21400 MKRVVVTGVGGITALGNNWPQIRQAFTEKRSAVRTMPEWDRYTE LNTRLGAPIVDYHCPPHWQRKQLRSLGRVSQLCVDAAERALSDAGLLGDPLIQSGAMG VACGSSTGSTEDVRALAALLMHGESHGVNANTYVRMMPHTTAANIGIFFGLKGRVIPT SSACTSGSQGIGYAYEAIKYGLIPMMLGGGGEELCPSEAYVFDSLYATSQRNNAPQTS PRPYDRDRDGLVIGEGACMFVLEEREHALARGVRIYAEIVGFGSNSDGAHITRPQSDT MHRAMTLALEDADIHAEQIGYINGHGTATEQGDIAESHATAALFGEHAAISSQKSFLG HTLGACGALESWFSIEMMHDRAFVPTLNLEHVDPRCAQLDYLQHDWRTIDTEYVMNNN FAFGGINTSLIFRRQA MIM_RS22320 MPSPVSDRSALLQLWFAGPALQVEYDPLALTPEDRAREAARLSA RKAYEWRVSRALKRRLLEPYRSSSLSHSAGHALWAASSLHEHIGVDLERIRAIDELAL SELIAQDDEMRLLRALQGQDRTRFFFRLWTLKEALVKAVGGDFPADMLRVGIRPCPCN TPAADGVISAVKGALPEDVDRALYAALPGAAQAAHVAASATQPQAWCLAGLGNRRWHG LSALMGDNWMMAVVWPAQDLSMPVKIIARQATPGEARVLPLAQSVVFGVGAQPEA MIM_RS21410 MLAASLCAGYAQADEYPRQPIRMVVPFAAGGPTDTVARSLSEAM HAALGQIIVVENKGGAGGMIGTNQVAAAKPNGYEILLMNVGFTTAPSLYKKTGYDPEE SFEPIGLVVSVPMTIIGRSDLPVNDVGELIDYVKTHEDAMTIAHAGNGSAAHLCSIMF TQAIGVDLLTIPYKGTAPAINDLLGKQVDLLCDQTTNTTQHINTGIVKAYAMTSKQRI PTLPDLPTMQESGYSDFDVGIWHGLWAPKGTPEPALKKLRTALQAALASPRFRQRMAA LGATVLAAEATPEALAQKVHQQTAQWTGLFKKVGIEPQ MIM_RS21415 MFEHFSSDYFTMSDGVRIHARVGGSGPGLLLMHGHPQTHVMWHR IAPSLAQHYTVVVPDLRGFGDSSRPEPGVENVAYSKRAMAQDMVDIMGRLGFEHFMVG GHDRGARVAHRLALDHPDRVRRLFFLDIAPTLAMYERTSMAFARAYWHWFFLIQPAPL PERLIEGDPVAYLHNVSGRRHAGLSAFHPDALAEYERCIALPLTARSICSDYRASAGI DLEHDAHDRDAGNHLAMPLHVLWAEHGAVGQNFDVLPLWKDISRSDVSGHSVGCGHYL AEEQPKIILQEMMTFFGS MIM_RS21420 MRTKYDDLELFITIARTGSLVRAGRELGITGAAVSKRLMALERR LGVRLVQRTTRTLRITPEGERYLQEGKRLVVGLEELEQALRGVTSQPTGLLRVNASLG FGRTVICPLLSEFARKHPELEVQLHLSDTPLNLVKDGYDIGIRVGDLADTRLSARKLR TNRRLVCASPTYLKAHGTPNNLAELTRHQAVVLHENEQTFGIWHLRNAERKEMVKVRA RMSTNDGDVALRWALEGYGLLLRSEWSVEPLLAKGDLQVVLPDWYETADIYAVFPTRD QLSAKTRAFIDFIVERLASVADDARSPNTSMHS MIM_RS21425 MSKLTQLNLSTGLIRPQDVAHIVQRQGMKQTLEGVMNYILSDYL RWDEFDKSARVAKYVQDGVMELMPIADKQNYSFKYVNCHPHNPKEGLSTVLAFGALVD NSTGKPDVICELTLSTAFRTAAMSALAAKHLARSNSRRMALIGNGCQSEFQALAFHYL LGIEELSIYDIDRAASQKLADNLRHTGMTVEIAESVADAVRDADIVTTVTAEYSRAHI LTPDMIRPGMHINAVGGDCPGKTELHPDILSQATVFVEFEPQTRVEGELQNVSPDFPV QHLWQVFQGQTKGREREEQITLFDSVGFALEDFSVLVYMRDTASKLGLMQPISLVPDL ADPKDLFSYLGVGQILREAEPVAALP MIM_RS21430 MDSTDQALISLLRQNARYAVADLAKKLGLSRGTVRNRMLRLEDS GVIVGYTVQLRPDTQTQTIRAWMSIAIEGNMSRQVIASLLGEPCIVALHDTNGRWDLL AELEADSLADLGQALERIRLIRNISNSETSIHLKSFR MIM_RS21435 MEHYAFSTVGRLASQLSMQGMTAALLTTFFLRRIEKYNPTLNAF VTVLGEQAMRDAQASDARRKAGQSLGLLDGIPVAVKDLIDVAGTATTAGSATRDGQIS SQTAQLVRRLQAQGAIVIGKTQTVEFAFGGWGTNAHLGTPMNPWDAHRARAPGGSSSG SAVAVAAGLVPCAIGTDTGGSVRIPAAFCSLTGLKMTAGLVDMNGIAPLSPQLDSVGP ITRTADDAAILYRALTCEPGQVLDESCHRLLDDGNGLDGMTLAVLPDEQYDTQVQRSV RLGVRDMVRMAEMAGATIVRERPPLSFDTIFEQSGLLMAACGWRLHGALAQNPDAPMD PFVRQRILAGQAVSQMQYEDLLAAHQAAIGAWQAWMADKDAFMIPTLAESAPLLTQLD EATSPGFFTRLANWTGACALALPAGFDPGNMPVSAQLVGKRQGERQLLQIGSVIQSLT AWHSYSPTLQG MIM_RS21440 MKPAVPATSRLSFIPLSFTSSAVRRLQTSRQLARLFIATVMGIG LPLAAVQAQTTIMSPGDKAPVAAGVTTETLLEGLDHPWSMAFLPNDAGMLITERSGRL NYWKPGSNKPAAVSGSPEVWASGQGGLLDVVLAPDFAKTRHVYLSYAEQGGDGKAGTA VGFGRLSEDNTKLDNFKVVFRQEPKLSTGNHFGSRIIFDRQGYMFIALGENNQRPTSQ DLDKLQGKVVRLFPDGRIPQDNPFAGKQGARPEIWSYGHRNQQGAALNPWTGALWTNE HGPRGGDEVNIPEPGKNYGWPLATYGINYSGLPIPEAKGTEGPGLTQPIYSWKVSPAI SGMAFYDSDRFAPWKHTVFIGALSQQRLLNLKVDGNTLKDEQVIFKGERIRDVKVGPD GYVYILTDAGNGKLIRLGLKN MIM_RS21445 MEKVSLDKNKIKIVLLEGVHQNALDVLHASGYSNIDYHKKALEG DQLKQAVADAHFVGIRSRTQLTAEVLQSARKLVGVGCFCIGTNQVDLQAAKKLGIPVF NAPFSNTRSVAELVLAELILLMRRVPAANAEVHRGEWVKSAAGSHEVRGKKLGIIGYG HIGTQLSVLAEALGMEIYYYDVESKLPLGNARAVNSLSDLLNISDVVSLHVPENDSTK NLMSAERIAQMKVGAHLINASRGTVVDIDALALALEEKRLAGAAIDVFPSEPKSNNEE FVSPLRKFDNVILTPHIGGSTSEAQENIGIEVASKLVKYSDNGSTLSAVNFPEVSLPE NKSARRFLHIHENRPGVLTAINQVFQQEGNNIVGQYLQTDPQNGYVVIDVETPDVDKA LEQLKAIAGTIKTRVLY MIM_RS21450 MSIQLVVPQMTAPAERTGVVGGEAAGDPVSEETRSPRYGNEDTG SALLQAALTRENLQAAFKRVRANKGAAGVDGLDIDQTAHHLVSAWPAIREALLTGKYR PSPVRRVMIPKPDGSQRELGIPTVTDRLIQQALLQVLQPILDPTFSEHSYGFRPGRRA HDAVLAAQSYVQSGRRIVVDVDLEKFFDRVNHDILINRLQKRIADAGVIRLIRAYLNS GIMDSGVVIERHEGTPQGGPLSPLLANVMLDEVDKELERRGHCFVRYADDCNVYVRSR RAGERVMNLLRQLYARLRLKVNETKSAVASVFTGRKFLGYSFWMAPKGVVKRRVATKA VMAFKQRVRQLTRRLGGRSMQDVVDRLRAYMLGWKGYFRLAQSQKLWQTLEEWIRHRL RAIQLKQWKRGKTMFRELRTLGASVTVAHRVAANSRRWWRNSGKLLNSVLTIAWFDNL GLPRLS MIM_RS21460 MKGLLGLFKQISQDEQFDAIKIGIASPEKIRSWSYGEVKKPETI NYRTFKPERDGLFCAKIFGPIKDYECLCGKYKRLKHRGVICEKCGVEVTVTKVRRERM GHIELASPVAHIWFLKSLPSRLGMVLDMTLRDIERVLYFEAWCVIEPGMTPLKRGQIM SDDDFLAKTEEYGDDFTALMGAEAVRELLRTIDIDREAESLRAELKATSSDAKIKKIS KRLKVIEGFQKSGIKPDWMVMEVLPVLPPDLRPLVPLDGGRFATSDLNDLYRRVINRN NRLKRLIELKAPDIILRNEKRMLQESVDSLLDNGRRGKAMTGANKRQLKSLADMIKGK SGRFRQNLLGKRVDYSGRSVIVVGPQLKLHQCGLPKLMALELFKPFIFNRLEIMGLAT TIKAAKKLVEAHEPVVWDILEEVIREHPVMLNRAPTLHRLGIQAFEPTLIEGKAIQLH PLVCAAFNADFDGDQMAVHVPLSLEAQLEARTLMLASNNVLFPASGEPSIVPSQDIVL GLYYTTRERINGKGEGLFFADLAELIRAYDNGEVELQSRVTVRLNEYEKDEQGEWQPV LHRFETTVGRAILSEILPHGLPFSVLNRALKKKEISRLINQSFRRCGLRDTVIFADKL MQSGFRLATRAGISIAMGDMVIPTVKEEILTQASNEVKEIDKQYSSGLVTSQERYNNV VDIWGKAGDKVGKAMMEQLATEPVVTRLGENARQESFNSIYMMADSGARGSAAQIRQL AGMRGLMAKPDGSIIETPITANFREGLNVLQYFISTHGARKGLADTALKTANSGYLTR RLVDVTQDLVITETDCGTTNGYLMKALVEGGEVIEALHDRILGRVAAADIVNPDTQET AIAAGTLLDEDAVELIDRIGVDEVKIRTPLTCETRHGLCAHCYGRDLGRGNMVNSGEA VGVIAAQSIGEPGTQLTMRTFHIGGAASRAAMASSVETKSNGTVGFAGSMRYVTNAKN ERVAISRSGEIVIFDDNNRERERHKIPYGATVLVGDNDTVKAGTRLASWDPLTRPIVS EYSGAVRFENIEEGQTVARQVDEVTGLSTLVVITPKSRSGKTLMRPQIKLVNEAGEEV KIAGTDHSVNISFPVGALITVRDGQQVNIGEILARIPQESQKTRDITGGLPRVAELFE ARSPKDAGMLADVTGTVSFGKDTKGKQRLVITETDGTVHEFLIPKEKQVLVHDGQVVN QGEMIVDGPADPHDILRLQGIEKLAIYIVNEVQDVYRLQGVKINDKHIEVIVRQMLRR VNIVDAGDTSFITGEQVERAELLNENDRVTAEGKIPATYENILLGITKASLSTDSFIS AASFQETTRVLTEAAIMGKRDELRGLKENVIVGRLIPAGTGMSFHHARKAKEESERAE RAAVRAQENPFEESAPVTLDNVFAPLGTNSDDEPPAAE MIM_RS21465 MPYSYTERKRIRKSFAKREDVQDVPYLLATQLHSYRTFLQQSVL SSQRKDEGLQAAFKSIFPIVSHNGMARLEFDSYMLGEPVFDVKECQLRGLTFASPLRA KVKLVLMDREVSKPTVKEIKEQEVYMGEIPLMTDTGSFVINGTERVIVSQLHRSPGVF FEHDRGKTHSSGKLLFSARVIPYRGSWLDFEFDPKDVLFFRIDRRRKMPVTILLKAIG LTPETILAHFYEFDHFDIKSEGALMQMVPERWKGEVARFDITDKNGTVLVEKDKRINS KHLRELANAKIERISVPEDYLIGRVLAKNVISADTGEVIANANDEITESLLTKIRDAN VHEIQTIFTNELDRGAFISLTLRTDETADQMAARVAIYRMMRPGEPPTEEAVEALFQR LFYSEESYDLSRVGRMKVNSRLGRGEDITGPMTLTDEDILETIKVLVALRNGQGVIDD IDHLGNRRVRCVGELAENQFRAGLVRVERAVKERLGQAEADNLMPHDLINSKPISAAI KEFFGSSQLSQFMDQTNPLSEITHKRRVSALGPGGLTRERAGFEVRDVHPTHYGRVCP IETPEGPNIGLINSMALYARLNDYGFLETPYRKIIDGKVSDQIEYLSAIEESNYVIAQ ANAVLDENGRFQDDLIACREAGETMLTAPENVHYMDVAPSQIVSVAASLIPFLEHDDA NRALMGANMQRQAVPCLRPEKPLVGTGIERTVAVDSGTTVQAKRGGIVDHVDADRVVI RVNDDENQAGEVGVDIYNLIKYTRSNQNTNINQRPIVKRGDHVARGDVLADGASTDLG ELALGQNMLIAFMPWNGYNFEDSILISEKVVADDRYTSIHIEELTVVARDTKLGNEEI TRDISNLAETQLNRLDESGIVHIGAEVRADDVLVGKVTPKGETQLTPEEKLLRAIFGE KASDVKDTSLRVPSGMVGTVIDVQVFTREGVQRDKRAESIINDELSRYRQDLNDQLRI VENDTFDRIRKLLVGKTVNGGPNRLPKGSTITEEYLDGVERWHWFDIRLSDEGAAVAL EQTKESVEQKRHEFDLAFEEKRKKLTQGDELPPGVLKMIKVYLAVKRRLQPGDKMAGR HGNKGVVSRITPVEDMPHMADGTPADIVLNPLGVPSRMNVGQVLEVHLGWAAKGVGHR IADLMKDERAVQVGQIREYLNKVYNTTGSKAQIDSLNDEQIIEMARNLKNGVPLATPV FDGATEEEITSMLELAYPDDVKERLQLTDSRTQAYLFDGRTGERFERPVTIGYMHYLK LHHLVDDKMHARSTGPYSLVTQQPLGGKAQFGGQRFGEMEVWALEAYGASYTLQEMLT VKSDDIAGRTKVYENIVKGDHVIDAGMPESFNVLVKEIRSLSLDMDLERKE MIM_RS21470 MALNKAEILDAIAGMTVLELSELIKEMEEKFGVSAAAAAVAVAA PAAGGEAAAAAEQTEFTVVLAETGSQKVNVIKAVRELTGLGLKEAKDLVDGAPKPIKE GVSKAEAEEAKKKLEEAGAKAELK MIM_RS21475 MSLNRNEKAAVIEEVSAQVANAQSIIVAEYRGIDVASVTVLRKS ARESGVYLRVLKNTLARRAINGTPFEGLSDQLTGPLIYAISEDPVSASKVLSTFAKTN DKIVLKGGALPGSILDPNGVKALATMPSREELLSKLLGTMQAPVTQFVRTLNEVPTKF VRGLAAVRDQKEAA MIM_RS21480 MAKVTKRAAAIASKIDRNKLYPVAEALTLVKETATAKFDESIDV AVQLGIDPKKSDQLVRGSVVLPAGTGKSVRVAVFAQGEKAEAAKAAGADIVGMEDLAE QIKAGQFNFDIVIASPDTMRIVGTLGQILGPRGLMPNPKVGTVTPDVATAVKNAKAGQ VQYRTDKAGIIHATIGRASFDIDKLQSNLAALVDALNKARPATSKGVYLRKLAVSSTM GGGAKIEVASLAI MIM_RS21485 MAKKIVGFIKLQVPAGKANPSPPIGPALGQRGLNIMEFCKAFNA KTQGMEPGLPIPVVITAFADKSFTFIMKTPPATILIKKAAGIQKGSPRPHVDKVGTLT RAQAEEIAKTKEPDLTAADLDAAVRTIAGSARSMGITVEGVN MIM_RS21490 MSKRWYVVHVFSGMEKSVHKALLERIERAGLQTSFGRILVPSEE VIEMRGGQKAITERRIFPGYVLVEMDLTDETWHLVKNTNRVTGFLGGSGNRPAPISEK EVEKILSQIEEGVEKPRPKILFEVGEMLRVKEGPFADFNGNVEEVNYEKSKVRVNVTI FGRATPVELDFSQVEKT MIM_RS21495 MSNSSVETVTTPSDKYKIVLAVAIIAIGIFAYSYFSDMNIYARV GMFVGSLVIAALIFWFSEAGRRSVDFATGSYSELKRVVWPTRAETIQMTGIVFAFVIV MAIFLWLVDKLIEWIIYGVFLGWN MIM_RS21505 MAKGKFERTKPHVNVGTIGHVDHGKTTLTAAITTVLSQHFGGEA KGYDQIDAAPEEKARGITINTSHVEYETETRHYAHVDCPGHADYVKNMITGAAQMDGA ILVCSAADGPMPQTREHILLSRQVGVPYIVVFLNKADMVDDEELLELVEMEVRELLSK YDFPGDDTPVIKGSAKLALEGDEGPLGKQAILSLAEALDTYIPTPERAVDGTFLMPVE DVFSISGRGTVVTGRIERGIIKVGEEIEIVGIKDTVKTTCTGVEMFRKLLDEGQAGDN VGILLRGTKREDVERGQVLAKPGSIKPHTGFSAEVYILSKEEGGRHTPFFQGYRPQFY FRTTDVTGTIKLPEDKEMVLPGDNVSMDVELIAPIAMEEGLRFAIREGGRTVGAGVVA KITK MIM_RS21525 MATASSKTAGKTAAKSTTKTPAKAASKAAAKTVSRVAPAAAKKT KGLGRGLSALLGNDINVIEDLSRDENKGPELPSSVPLSQIRAGKYQPRTQMDEAALNE LAESVRSQGIMQPILIRPIAGDQPEKYEIIAGERRFRAAKLAGLAEVPVLVREVADEN AAVMALIENIQREDLNPLEEAQGVKRLIDEFQFTHEQAAQAIGRSRSLTSNLLRLLNL AQPVQNFLLQGQLDMGHARALLAVDSATQIILANQVVARQLSVRETERLVNSVGKSKA APKPKAVNRDVERLEEALSDHLGTRVSLKMGSKDKGQLLIDFHGWEHLNSLLEKQGLS NILES MIM_RS21530 MTNEIKSPRVFCIANQKGGVGKTTTAINLAASLALQKKRVLLVD LDPQGNATMGSGIDKNSLSLNIYQVLIGEASIADVRVRSETGGYDVLPGNRELSGADF DLYELEEREHQLKNAIAQVAGDYDFVLIDCPPTLSLLTLNGLTCSNGVIIPMQCEYFA LEGLSDLVNTIKRVHKNINPDLKMIWLLRVMYDSRVTLQQQVSEQLLEHFGDNVFKSV VPRNVRLAEAPSHGIPGVLYDKSSRGAKAYREFGAEVIKRVKAMDKEK MIM_RS21535 MNEQVKAGRQERIAGAASSLRLSISDAQIAQLIGYQDQLLRWNK VYNLTALRDPDKVLIQHVFDSLSVINPLSDKFAPGRTISVLDVGSGGGLPGAVLAIMK PDWQVCCIDAVEKKTAFIRQAAGVLKLPNLVSEHIRIERKPPAQADLVISRAFASLVD FSDWSGMHVGSDGHLVAMKGRLASDEVSQLEEKGAWTVGQVQKLNVPELDAERCLVWL QQVGKYDK MIM_RS21540 MNYPDEFDVIVVGGGHAGTEAALAAARSGSKTLLLTHNIETLGQ MSCNPSIGGIGKGHLVKEIDALGGAMAIAADEGGIQFRILNSSKGPAVRATRVQADRL LYKQAIRSRLENQQNLWLFQQAVDDLILEGDRVVGAKTQIGVVFRSKTVVLTAGTFLN GLIHVGLNNYSAGRAGDPPAISLGQRLKEMNLPQGRLKTGTPPRIDARSIDFSKLEEQ PGDSDPVPVFSYMGSVEMHPRQISCWITHTNTQTHDIIRGGLDRSPMYTGVIDGIGPR YCPSIEDKINRFADKDSHQIFLEPEGLLTNEIYPNGISTSLPFDVQLNLIHTLPGLEN AHVLRPGYAIEYDYFDPRGLKRSLETKVISGLFFAGQINGTTGYEEAAAQGLLAGLNA SRLARGLDSWTPKRDEAYLGVLVDDLVTRGVTEPYRMFTSRAEYRLSLREDNADFRLT EIGRELGLVDDARWAFFNTKRDAVQQEISRLAGTRVSPRTFNADVSEKLLGSQLERDY SLADLLKRPSVTYDTLTNAATEDGSVLASAEPLSEAVREQVEIQVKYAGYIARQQEEV KRQSHLEEQRIPADLDYDAVASLSFEVRQKLKDARPETVGQARRISGVTPAAISILLI YLKRLQLSAKTLRKTASVAIDE MIM_RS21545 MITSISSKLPAVGTTIFTVMTKMAADFNATNLGQGFPDFDPDPK LTDLVTQAMKTGHNQYPYMPGDQLLRSNIAKKVKTLYGHQYDENTEITVTSGATEALM AAILAVVNAGDEVIVLEPSYDSYVPAIRLAGGTPVFVPLVAPGDEQSSYSVDWDRVGE AITPKTRLMILNFPHNPTGITLGASDLDALETIVADTKVLLIADEVYEHIVFDEKPFL SLSSRPALAARTFHISSFGKTYHTTGWKIGYCCAPVEMTAELRKVHQFMVFTVSSPMQ VALGIYSEDPSTYLTLPAFYQEKHDFLYEGLKQTRFKPIRSQGTFFLLADYSEISQEN ELAFAKWLTQEKKVTLIPVSAFYRNPDDVESNHNLVRFCFAKKQGTLEQALSVLKHL MIM_RS21560 MAYDSQNIFAKILRGEAPSFKIYEDDKTYAMMDIMPQTRGHLLI LTKEGAETLLDLSVEGAQACVATAKKIAPAMLEVTKADGFIMSQFNNEIAGQTVYHVH FHLVPRYIGQTVERHARVKGDMEDIEALAKQIAAAIK MIM_RS21570 MKHLAPVRQDTAIKLIPFIVGCALFMQMLDATVVATALPMMAVS LQSTPVRMNVAITSYLLAVAVFVPICGWAADRFGAKRLFIFAISLFALTSLTCALSWD LASLTISRFIQGIAGAMMVPVGRIIMLRTIPRNQILKATSFLSIPALLGPILGPPLGG FLVTYASWHWIFLINIPIAIIGIALVLRYVFEYRSEKKPKLDWVGFLLSGVGMATFVI GIEAIGNHTHGYVYPLLLLATGLACTLLYIRHAKRHPEPIIDLSLLKIPTFSAAVLGG NMTRLAVGSMPFLLTLLFQVGFGYSALASGMITFSMAIGSLMMKFIATAVFGRWGFRK VLLINGTLTGLMTITNAFFIESTPVLLMGTLLLIGGFFRSLQFTGVNSLAFADIEPEQ MSQASSFSATAQQIGISLGMGIATVTLDLSMQLRGASHVSIADVHAGFWVIGLLSIVA AYWFYKLDPKAGEGITVHKERKNRVRFRRRRKSATVSDSKSPATQQNIADVKQ MIM_RS21575 MMQRYLAGFLFALAGALLANWLHLPLPWMIGPLLVTAISKLRGA RTQSHTVFRSMGQWVIGTSLGLYFTEEVLHIVLENTLAIVLGMLFALFLGCTGALALR RWADVDFKTAWFASAIGGASEMANLAERNNARIDRVASAHSLRVLLVVVTIPFIYKFL GLHGLTVNTTAAIPFSYSGFMQMIAVTCAFGFAFGKLRIPNPWVLGPLFIMTVLTSNH IELSVIPPEVQHAGQMFIGWSLGDKFGPDFFRRAPKYLGIVALVCVGSLCLALLFCVL LTFLSDIPLATLYLANSPGGIAEMTITAKVLQLSPPVVTAFHVSRMVFVLLVTGPLYR LLSRKYQLT MIM_RS21580 MIRELKTFIAVSREGTFAGAAHKIGLTQAAVSAQMHRLEDELGF ALFDRQGRAAKINARGQQLLLQAQELIQLYSNLGATPVANAQAMHINVGAIATLQRTI LPNALAAFHKACPGCHTRIIPGVSMDMLNLVDAGEVDMAAIIRPPFQLQSELQWQTLA REPFRLIVPSHVTGDDWAQLLSEQPFIRYDRASFGGRLVDRFLRNMHIGLNEICECDE LETIARLVENGAGVALFPQTAAFTRWPAGIRGLDLGVHTFYRETGLLHRQAQSLAEPV RQLVQFITQSAQQQQALGASAPSSR MIM_RS21585 MSLSPFHLAIPVYDLAAARNFYGEVFGLPEGRSSEQWVDFDFFG HQLVIHEHPKTASQEHAHTNAVDGHNVPVPHFGVVLDWDKWEALSERLKARNTKFVIE PGIRFKGQVGEQATMFLFDPCGNALEFKAFKDMSQLFAK MIM_RS21590 MAKVRGKVPRMLGDEIALEIRNGGFRPGEWIRLSDIEERFKASR FDARRALSELVLRQVLEHAPNRGYRVYAPDAETIRHVREARVFLEKESIRNVVRNIDP GTLHQLKTLATEFRNAADHGTRSEQNEINNRFHILLFSCSGNPVIEGMIEDLRQRLGG SSQAVWQSRDALLQSALDHMDIVAALEARDVERSVRLIERHITRSGT MIM_RS21595 MSVTTADVTPEFRGEDMYDLGHTTIFSCRDDPRFSFCMYVPRSV HNAESAPELVVAVHGTGRTITEYRDAFSHFARWNNCVVLCPLFPVGVLGDDNRNGYKY IREGDIRYDLVLLSLVEQINQRYGFKFKDFGLFGFSGGGHFTHRFTILHPSRVWAASV GAPGSVTLLNPEQDWWVGTRNVAELFNIEIDVDSLARVPVQMIVGDADIETWEITHKP GGRHWMDGANDAGQTRPERLDTLRRSFEAAGVNVQFDVVPGVSHRWEDCIDRITGFFA DILEQRRASGRI MIM_RS21600 MNKYLLSLFSLAGIFVGSACAQTLNVQIPADLRSSQPGVNRDST ADMLVSHVVEGLVAFDNVGTVKPLLAERVEESEDGLAYTFFLRKGVKFHNGELLEAED VVWSWKHYMNPDVGWRCRAEFSGRNGTKVTDVQATDATTVKFVLDKSDPMFLSTLARP DCGMTGILNKASVKADGSWDKPIGTGPFELGNWSKGSYVELLAFKDYANLKGTADGYI GNKKPLVDRVKFLVVPDASAAKAALVRGDLDLIPDITSSDYGELRKNANLQISHSYTA RRNTILLQTADPLMTKPMRRAIASAIDIKSLVEMVTDGLGKPNNSVIPIGTPSYTSVQ QLGWKYDVNEAKQLLQKAGYRGQQISLITNKDYPSMYNAAILAQAMLQQAGVNVKLDV MDWATQLDRYNSGKYQMMAFSYSPRFDDALLYEHFMGDKKVQKRKVWDNPADLELLDQ AMATGDRAKRQPLLDQLHKDFLEDVPMVMLYNGITIDAASGKVQGYTSWPGSSARLWQ VSKK MIM_RS21605 MYDDNILPFVRINGGPRERGHQHGEQAKDRIQKAAQFYVGLLTK RDISPARLHTLIQEFLPAIEAFDATYVEEMRGIAEGAQIPFEHVVVINARRELLMYAR MQPDTLAPDGCTAAVVLPEMSVDGVLMHGQNWDWRVECAETCIVMHIARDDGPDILVF TEAGQLARSGFNAAGIAITGNNLESDRAAMRNGIPLPLIRRKALETPHYAHAIRAVYH SPKSVANNMMLSHSEGEAIDIECAPDESFLLHPENGFLVHANHWESEVALVKLRERLP SPDSIYRGRRVLRHLTRHTGKIDFETFRQAFMDQFGYPYAVCRPPQKATSGDHLSATA ATVLMRPAEGVMEVAILPAVRPAFQRFTLSV MIM_RS21610 MLRFICTRVVMAIPTLLIVAITVFMLIRLIPGDPAALMLGDMAD PASLEELRRQLGLDRSLPEQMAIWFGNVFRGDLGVSISTGESVTSLVLQRFTISAPIV LCAVLFASVVAVPAGMIAAWRQNKLSDTILVSTATLLLSIPTFWLGLLFLLFFGLHLG WLPVVGYVSPMEDWRAGILYLVMPITTLFLHEIGVILRMARASTLDVLRLDYVTHARA KGLSERMVLWRHTFKNAFGPTWTLIGLVLGNLLGGVAVVETVFTIPGLGRLLVDGIFA RDYPVIQGCLLFIALIYVLVNLLVDLCYPIFDPRVTVS MIM_RS21615 MKSNINTNLLLGSLLCGVILTAALLGAFWTPFDPMALDFGHRLQ APDAIYWLGTDEFGRDTFSRILYGATTSVGISFMTVFIAMFVGTVLGLIAGYVRGWVD RIMMCFTDALLAFPGILLALGLLAVVGANKYGIVVALGVAYLPSVLRLVRGTVLPLRE REFIHASRIMGNSETFILFRHILPNCFGPMIVLATSMFGWVLLAESSLSFLGLGVPPP APTWGNMLAGSRPFMMQASWLGIFPGLCISMTLLGINLLGDALQDKLDPYAKGK MIM_RS21620 MDATPLLEVNHLQLETRQGGKRVVDDIGFAIMRGEIVGIVGESG SGKSMTARAVMRLEPPAIKRVEGRIAFDGQDVTAMPSKRLHQLRGAKVGMVFQEPMTS LNPSMTIGRQLDEGLALHSDHTAVRRRELTVQMLSRIGIQDPEKSLTAYPHHFSGGMR QRIMLAAVMLVKPELLIADEPTTALDAIVQRDVLELMVELTREQQTAVLLISHDLPMV ARYTDKVIVMEHGRIVEQGPTADIIAAPRHPYTRKLLSSLPVRGQARKIDRTVTPVVE AKNIVVDYPGRGALFRKSQGVRALHGIDLQIHAREVLALVGGSGSGKTTLGWTIAGLL RQTDGHLAFDGEPVDRASSSWQRYRHDCQMVFQDPYSSLDPRMTVRKLVEESLRSVPQ MEHRARNRRIDEVLDEVGLPAQEYADRYPNALSGGQRQRIAIARALSRRPKFVIADEP VSALDVTVRAQILSLFSELQKHYGFSCLFISHDLAVVEQVADRVAVMQKGRIVEQGSR DAIFDAPQHAYTRELLSAIPLLETTPSGGVQTRWRFQSKQSRNDV MIM_RS21625 MKADVVVLGAGIVGVSIALHLQAKGRDVVLLDRREPGLETSFGN AGLIERSSVIPYAFPRDIRLLLSYALNRRTDVSYQPLFLPQIATWLARYWWHSSPKRL AQATAHMLPMIERSVLEHDELAQAAGITHLFRRTGWIECLRSQRWLTRAVADAERLES YGLRYRILDQQGLAAHEPHLKPIMAGAIHWLDPVTVSDPGAVVRGYAALFVKRGGRLL RGDALTLRQCENTWKTSTDAGLLQTNNAVIALGPWSVDVLKPLGYRIPMAVKRGYHQH FRVNERSMLTHPVVDVEHGFVLSPMTNGIRLTTGVELAPRDAHASPVQLDKARAKAAQ MISLGEPVEANPWMGARPCLPDMLPVIGPAFRHRGLWMAFGHAHHGFTLGPVTGRLIA DLMTGEDTGFDATPYRLQRYGSL MIM_RS21630 MSAPANDPIAAIATAPGRGGIGVIRISGPDLTGLISQLFGRELA ARHAYFLPFCDSKGEPIDEGIAIYFRAPNSYTGEDVLELQGHGGPAVLKRILDRCLQV GVPMRMRHAEPGEFTQRAFLNNRLDLAQAEAVADLIDASSEAAARSAMASLSGSFSTQ VNNLADQIIHLRMLVEATLDFPEEEIEFLEKYQARETLGGIIGDMAELVRQARQGMIL REGLHVVLAGQPNVGKSSLLNALAGDDIAIVTPIAGTTRDKVTQQIHIEGVPIHIVDT AGLRDTDDTVESIGIARSWAEIAKANVIIHLQDARTPNDELDAGITQRLPQRTPVLEV FNKIDLLDEAALKALIARPAAGGNTASSHEVSRILISAVHETGLDVLRSKLLQIAGWN PGAESPWLARERHLEALQKAAQHLLNAKDHAAQNDRVLDLFAEELRLAHESLWSITGQ FSSDDLLGEIFSNFCIGK MIM_RS21640 MKLLHLTFSPRGENSESTQLSQAIVDQLCNQYSISHITSRDWRL HATSAIDANYATALSSAARSSDEGLSYEGSLEESERLICELEEADMVVIGTPMHNFTV PATLKTWIDLVVRVNRSFTITPSGKVGTLSNKPVYIAIAAGGFFGSEQSRQPDFLTPY LKAILGTIGLHDLRFFSAQGMALDISKVKVQRQKALDRITSIGTEIIESEGT MIM_RS21645 MQQLRPQLKDADDMLARVQRMQQSAGYRMLAVLTNTNVIAIAGY RLQENLIYGPFLYVDDLVTLGTARGQRCGARLLQALQTIAMDAGCTRLVLDTGLANSL AQRFYFRQGLLSSGLHFSMTLPVST MIM_RS21650 MNSTQRYGLSSLDPHASMPLYQQIYLRLRHAITDGLISPGERIP AARALAKELGLARGTIESAYDLLASEGYVQARGQAGTVVMPGLEHLGGTRLPAEPVAA TFDTLPAHPPVPPFQLGLPALDAFPRKIWARLAARCARSTQIEDMVYPPFAGATRLRE AIAGYLRVSRGIDCIPQQIFMTSGYRGTIDLVSRTLLMTGDRVWVEDPGYAPTRDVLR SAGLELVPVPVDGEGICVEQGKQRAANARLAVVTPAHQSPLCVSLSLARRAALLDWAA QSEAWIIEDDYDGEYRYLGRPLPALKSLDGEGRVIYSGTFSKVMFPGLRLAYCVVPRG LVTRFDDATQVLGSSAPALVQAIVTAFMKEGHFSRHIQRMRRLYAERRETVAAGLMDV LGNRLRVELEPGGMHLILRPHDGMQMDDVAIAQRICGQGMFAHGLSSWYLQPPVTYGL LMSFTNVTSRDAARKLGERILPLLQVGLQTRR MIM_RS21660 MQARYDFPKTAPAVYKAMCALEGAVMQHPDLDKKLIHLIKIRAS QINGCTFCVNMHLQEARADGLNEQQLDLLCVWREAQVFSARERAVIAWAEAITLVSQT GAPDEDYNAMREFFTEAQIAGYTLALVAINGWNRLVLTARTVHPVTAPQATPETASVA TA MIM_RS21665 MLAFFNYLLRLANLCQKEFIAVLKDPANRVILIVPPLLQSMLFG YAANFDLTNVPYAVLDESRGGASTELIARFDGTGVFHRVATLDSASQISDVIDTGSAL LVVHFSPDFERLLSAGQSTPLQVILDGRNSTTAGSAAGQLQSIVSAYNQRDGHNGKPA VTVTSRAWYNPNLETRWNIVPALIASLSMIQTIMLAALSVAREREQGTFDQLLVTPYT PLQIMIGKALPSIAIGLLQAMLVLGISLYWFDIPMTGSFWALLLAMLMFMIAIVGIGL SISALSANMQQAMLYTFVLIMPLILLSGLATPVSNMPEFMQIATYANPLRFAIDLVRR IYLEGAPLTLLWHDFIPFIGITLVTMPLAAWLFRNKL MIM_RS21670 MNKEPQSQSRTANANANANATATATAATSTVPPQRSGGFWLRLI SLTRKEFRQLWRDASNLMIGIGLPIALILIFGYALTFDVRNARVAVVLEDSSPVAQNL VSSLELSDYFVPVKVTSMHEATEMMKNHESDAILRIPSDFARRLSVGDAEVQALLLGS DPTRASAVRSYLEGAIAIWGQKQASRSHSSASQGSVVIVDRMWFNAANNSTWYLVPGL ISLIMTLVGTFLTALVMAREWERGTIEALFVTPVRPVEILIAKIIPYFFIGLLGLVMC VATARLLFDVPIYGSMGVLVLASMLYMFVSLGIGLLISAMTKNQFLASQVALLASFLP AMMLSGFIFDLRNVPTAVYVIGQLVPATYFLELVKSLFLAGNYWPLIMKNCGVLAVYA VVLLGLARFVTRKKLD MIM_RS21675 MTSRSPAVDSSAVAATGHCSAGITDSLAEYADVTVSASGISKRF KVKETGSTVLALDAVDIQVATGQLTAIVGPDGAGKTTFLRLVSGLMRPDTGILQVLGI DVIRNPQAVQDRISYMPQKFGLYEDLSVQENLDLYADLHGVSEDVRRERFARLLEMTD LKRFTARLAGKLSGGMKQKLGLACTLVRSPALLLLDEPTVGVDPLSRRELWEIVQQLR DQEQLSVLLTTAYLDEAERCANVYVLHEGKLLASGPPEKTSEHAGGLCYVVTPGSNEH PRDLQAQLLDNTASVIDAVPEAGDVRIILRQESDPSQLQLNGRQIESVPERLEDGFMV LLRSGSRAADSAIVGQGDENTGNTVGNLTNKEDANPLQQAERVNTAEAADQSHDQSYL SNAPLTSNGNGNNGIVIDVQDLVRKFGEFTAVDSTTFNVKRGEIFGLLGPNGAGKTTT FRMLCGLLPATSGHAEVAGMDMRKARAEARQRIGYVSQKFALYGNLSVMENLRFFGRA YGLMGRSLTQRIGVVTQQFELQRYQKMPAGQLPGGVKQRLAMAVGLLHEPDVLFLDEP TSGTDPLARRGFWQRITRLAGGGTTIVITTHFMEEAEYCDRIVIQDAGKLVAYGTPDE VRKQAGGTDKMTMETAFIRIVEQGRSERANGEKVAA MIM_RS21680 MNKKIAVVAALVLIAIGVGTWWFYGRPAPAADHLTLYGNIDIRQ VSLAFENSDRVREMRVEEGDHVQAGQVLATLDTRSLALQADQAKAQVGAQEQALLRLR NGSRPEEVEQLQAQVRAAEAEARQAQLRYKRLKDIATRSGGQAISKQEVDDTQASAEV AQAQLENQRKALKLAQIGPRAEDIAQAQAQLEAAKASLALLEHQLTLSVLKSPTNAVV RARLLEPGDMASPAKPAYTLALTSPKWVRAYVNEVQLGHVRPDMAAEIVTDSHPDQPI AGRVGYISPVAEFTPKNVQTEELRTSLVYEVRILVDDPQDRLRLGMPATVTIALDKAV SDGNNSPESGAGK MIM_RS23605 MISKKRPAKVGPAPASVSPAIRSGRASRSDGDATVRHIIAQAGV LFAKRGYADTTSKAICEAASVNMAAVNYHFGSRDGLYLVLLKEVHREVMSLDFVRQIG HSVAPPADKLYQLIEGLLRATYDAKSWHIRLWARELLAPSPLLSQIMEEDTLPKFEVL RDVIAELTGMPVTSPQLLRSVLSVMGPVMMLLILDRRIPTPIQPLYSHAVQDMARHMH TFAIAGLQAIAQQAGYAPAAAKG MIM_RS21690 MNSDTPQALPLEGIRVVEMSHMVMGPTCGMILADLGAEVIKVEP LTGDKTRQLLGAGAGFFRTFNRNKKSISVDIKDRQAIDAVLKLVDSADVFVENFKPGR MASLGLDYDSLKARNPGLIYVSHKGFLQGPYEKRLALDEVVQMMAGLAYMTGPVGRPL RAGTSVNDIMGGMFGALGVLAALFQRNSTGQGKEIQSALFENCVLLSAQHMQQYAVTG VAANPMPERISAWGIYDTFELAGGRLMFIAATGDAPWKELCDILQRPDLYLDPRLKTN NDRVLARDWLIPELGATLRGLDAEALAPVFEARQIPFAFITKPEELFDDPHLQQSGGL GRQVLEDGSTTPMPLLPISMDGQRLQPRQPIARIGEHTESVLRELGYEAAEIARLAAA GVLKVA MIM_RS21695 MTDHVHIQEVGLRDGLQSIATTMPTEAKMRWIRAAYDAGLRHIE VTSFVPPKLLPQLADAAQIVAYALQFDGLTVTALVPNLKGADNALKAGVHRIVAPISV STQHSLANVRRKPMEMVQEFARMRELIDAYGSSTTLIAGLSTVFGCTLQGEVPVDDVC DVVRGSLAAGADTVALADTTGHATPAQVQRVIAAVRAVAGERLRSMHFHDTRGMGLAN TVIALQSGIREFDASLAGIGGCPHAPGATGNVVTEDLVFMLESMGYHTGISVERLLAC RQILAEALPQEPLYGFINRAGLPNTFTQQRATQ MIM_RS21700 MTTGALIPIKPDFREFDLWSIDPTSLYLFIAVCETGSIARAGER ELLSAAAVSKRMVEIERKIGAPLLLRSQRGVTPTSAGQVLLRHARSIMVDYRKLQSEL SEHAQGVKGHVRLLSNVSAIMEFLPADLTSFLESHPNIQVDLEEHFSSDIPRKIEEGN ADIGICRDFVPTGNTCVTPYRYDHFAIVVNKAHPLAGKQSLLFEQTLDYPQIGFSMQT SMNTLMSHIAGRQGKTLTYRTHVSSFDAAYRLIEANLGIAALPREAVERYVRLYDLEL IPLEDPWAQRNFVLCTRANEALSGSAQKLFDHLQAQAARTLPTSVETGKKRPGHNQT MIM_RS21705 MNKTTLPKTPSTRRDVLRTFIAVPALLPAIAAGSSVARASTGPD LTLAAATMGLISANVCALMPETTEGPYYIDPRLVRQDITEGRKGIPLRMQIQVVSADC RPLKDARVDIWQCDAEGNYSGYANMGSKRDNDTTGQTFLRGTQMTDANGIATFDTIYP GWYRGRTAHVHYKVFLNEKTVLTSQIFFPDALSEYIYLQSPEYKREGERDTVNSIDGI AAQAGEGSYCAIREQKDRYSAALVVGIDPAAEWKEQNQGMNGTPSGAPGGKSDEGRPG AGQMAAGANGKPPSDTPPAGPPPQGLSGRGGPPSRRDDNTRMFPGA MIM_RS21710 MRLSPSFFLLSCAVAFGLATAAHADVSFSERPIRLIVPSSPGSG LDNLARTFAPFMGQALKQSIVVENLAGASNISGTRELVRAKPDGYTLELISSNHAVNP SLHKNLPYDSVKDLTPVSNLVTSPLVVAVPVTSPYKTLGELIAAAKKEPKRLNYGSAG VGTALHLAGVLFEKRAGVEMTHVPYKGGNTIVNDLVSNQIQVAFLAVASVAEQIKGGM LRGLAVTSSKRSQILPELPTLSEAGVAGYVYEPWLGMIAPANLPDDKRDKLQATVKEV FATSEVKDKLERLGFNIVVSDPQTFGKTIQKDIDESAELLK MIM_RS21715 MLIHELAQYGARESGERTLTEPVLHHTKRAVLDWLAALYPGTRV SPGLELVQAHADETGVGLARLPGFATTTFPATAAWINGSVSHTVEFDDIFRDGVYHPG CPVIAAALAAADTRDADGLAFLTAIVVGYEISTRLAAAIQPAHYRYFHTTGTVGSIGA AAAVAALYAPGNPTVMQHAIATAATFAAGLQQAFRSDAMTKALHAGHAAAVGIRAGTA AAAGVTGVADILEGEAGFAAALGGSLNLPLLTAGLGKDYNITRITQKNHGCCGHTFAS IDAALALQQEHGIRPDDIAAIHVATYQTAINVTGNANPQTAFEGKFSLRYVVAHALRH GSVRLNAFDTERLSDDATRALMKKITLVADEALTAAFPRHRSSRVKIVMNSGETYEYY AQDRKGDPESPLDDDELNGKYDELVTPVLGAKRAAALKAQVWALDTLRVRQLSLN MIM_RS21720 MKPDDLNLRGNGTAARQAFNRALLNPASVALIGASSNQKKNTAR PLRFMQKHGYAGAIYPVNPSATVINNVKAWGSIDQLPDNVDHAFVMIDSAGVTNVIEQ CARKGISVVTIYSDGFAEAGEEGIQKQRELYATAKRLGVRVLGPNSIGLANVHSGAVI SVNAAFEMDNLTAGDIGIISQSGSMMGSLMSRAAARGFGFSHLVSVGNESDISVGEIL DAMVDDDATRVILLFLETLRDVQTLSGALERAHNAGKPVIAYKLGRSEQGDALSQSHT GAIAGNDAAVDAYFRAHSVIRVNMLETLFEIAPLAARYAHLRPDTWRDTPVRVAVVTT TGGGAATVVDNLGLHGFVAVAPPTAFISHMAGRGLNIRQTPVIDLTLAASSEQYQDLL TEIMQTDWCDAVLCIAGSSAQFYPQYVVQPILNAVETTHGNRKPLVAFLSPEAEASLQ LLQKNRIAAFRTPESCADALAAFFVPLGQHQQETRRPVTLPVGYPTRGNLTEPEAMAV FSALGINVVPSAMVQPHAPDHSIDYPVVLKVVSRDILHKTEAGGVRVGIDSDEFLAAA LNTMAEQVTAHSPQAKIDGYMVQKMEGRLVELMLGYRHDPLVGPTVMLGAGGITAELK PDFSLRLAPVSEEVAWQMIHEVKHTQLIRGYRQLPEGDCKALANAIVAMSRLALIDAQ PVTEAEINPLFVRQDGVVAVDAVVRMRDQSQM MIM_RS21725 MDFELNTEQKGFESAVRRFAENELRDGAVARAHSQDYPWDISGR MAEQGLLGITIAEADGGLGGTLMDAVIAIQTIASVCPRSADVVQAGNFGAIRVLAEYG SDFQKEKYLKPLLAGKALIAVGMTEPDAGSAVTELKTTATRDGKGWRINGTKIFTTHG PHADFILAYVRFGPGTKGIGSVMIETRAEGMRLGKRSAFMSDEEWVEIFMDNVYVPDE QVVLGEGGFKKQIAGFNVERLGNTSRSLALGRYAYEEARQWALQRRQFGKLLCEFQGI QWKFADMRIKLDAAQLLLYKAAAGADSGFPSPTETAIAKAYCNQIGFDVANEALQVMG GMGYSRESLVEYCVRRCRGWMIAGGSIEILKNRIAEGVFERTFSQRAA MIM_RS21730 MSQIHIASAKQTCSEAEWQARVDLAACYRLMAHYGMSDMIANHI SLRVPGEEDAFLINAYGMLYEEITASSLLKIDHKGNILSSPDFGSLNYGVNKAGFVIH SAIHEARPEVACVIHTHTWAGMAVSSLKCGLLPLNQTAMRFLRIAYHDYQGVVLDLSE QESLVRDLGSAEAMILRNHGLLTAGNTIGEAFNWMHRLELACRAQIAAMSCNTPLNEV SASVLEETYKNYQPGTRRPYGLMEWPALLRKMEKLDASFMD MIM_RS21735 MYKCHSKIGQAAVLAAMVAIQVGASNAVAQEWPTKPVKTVVAFT AGGTTDIIAREISNELSKMWGESVVVENKPGAAGNIGTGAVVSAKPDGYTILMNSIGP IAVNPYIYAKSTFNTMTDLRAVTLVADVPNVLVVAPSLNVKTVQDLTKQIEAKPGSFN CASTGVGTAAHLSCAMMAKTRNLTVTHIPYKGADALNDVLSGRVQFMFATLPSVIGNI KGGKLIPLAVSTAKRSPALKDVPTMQEAGYKDFAMGSWFGYFAPAKTPDNVIQKLNKD INTVLQNPTVKTKLSNEGAEPVGGSAESFTEFVKAENAKWKAFTTEMNISAK MIM_RS21740 MASQALNVLISDKVLAYVREAATSRYGLEQIHFIPFSGQPLDEQ TLAQLDIAFVSRDITGRSTKFVLEPATAIFYQMLEQAPRLQWVHVHSAGVDRPVYVSL RDKGLSVTSSTGALSAIVAQSALAAVLALNRRFRFLEQAQREHKWAPLLDELMPADLH GQHVMLAGWGPIAQTIQRYLDMLGMQVTVLRNSAIDRAAIGATNGATDSATNSTPNGA GNSPTNVPMIPYSAMHQLLPQTEWLILACPLTETTRQLVDRQALAAIKPGACIVNVSR GEVIEEAALIDALSTGHIAGAYLDVVEKEPLVVDSPLWDMPNVMVSPHTAGHSSGNEA RVADIFLENLNNWVHGLPLRNLSR MIM_RS21745 MKKLDIPSLEAFVAAVEEKSLSRAAQRENLVTSAVSKRITDLEL RLDKTLLLRHGRGVEPTPAGMLLYQHAKSILRNLRLTENLIHEFANDGKAQIRLLANP SAIWQFLPQQMAAYFKTHRSLRVDLVECHSYDVPRMIAEDSGDIGIYHADMPGTGVIS YPYKQDRVGLVVPNGHPLAQRQSLFLEEALAYDLLGYFPRHSLESFLAYVEPSLSRPP NVNLQVSNFETRCRMIKEGLGIGVVPEQIAANYLQPMGLQLLHLEDSWAQRQFYLCVK AVDAQQPVIRELVDALLQ MIM_RS21750 MDIRRTILWMIFVFSAFMLWNNWQTYNGHPSLLGEQPTVAQQDS TAPASATPQADTPSAPSVPGAATTPAQAQAATSAPSEIIDVETDEFRLQFDTMGAQLV HAELRKHRDTADDTKAAVLLENNNTSRYTVQSGIVAPAGQKKSYPDQYKPFKLVSTEK TMTGDTLPVVFESESGGLKVTRTYTFNKGTYRVDVQDVITNTSEEPQQPSQYLQITRD SKDPPGASSFYHTFTGPAIYTEQGRFQKFSFSDIDKNSAEYVKQSKDGWIAMIEHYFV TAWVPPEGKDRLYNLRKTPEGLYAVSTVEPLGTLEPGASITTKSELWVGPQDQDALAA VAPGLDVVVDYGWLTIIAKPMFAVMQWIHSIVGNWGWTIVLLTVLIKLIFFPLSAASY KSMAKMKNVAPRMQAMKEKFGDDRQALNAAMMEMYRKEKINPLGGCLPILVQIPVFLT LYRVLLASVEMRGAPWIGWIHDLSVHDPYFILPIFMMGSMFLQMRLNPKPPDPMQAKI MMFMPLVFGAMMFMFPAGLVLYWCVNNVLSIGQQWFITRNLNKAANDAKIG MIM_RS21755 MIRKILIAPIRFYRYFISPWVGQSCRFTPTCSAYMEQAINTHGA GKGLWLGTKRLCRCHPFSQGGHDPVPPAKAPEGTRAAPNHE MIM_RS21760 MQSATYPKAARLHRPSEFTNLLKGRRVARGALFTLNHAQPSPPL TEPTARLGMIIAKRFAVRATTRNTIKRVIRESFRHHRLNLPAADYLVRLHGKIEPCSL TVLRRLVRQEVDSHFARALAPRSDTRGRS MIM_RS21765 MKRTYQPSVTRRKRTHGFRVRMKTRGGRAVINARRAKGRKRLAV MIM_RS23290 MKISANQALSCANFSHFAAIHHQPGAPGSSQLTTDRQILLKKPP KPLTIHKNFDEI MIM_RS21775 MSKTDSQEDQISLYPEAANSYDSTGKSEEILDHLRSWHRTLSDQ IVDANRELAGLIAKGKGNTEEAEIIKKLLVKVQARRIEVEKNIEEEKKELEYFRSLHK QSRVEVETIDEPTEQGLIRVRHPNRDFFLADMFDYALKDDGASMEAPIFTLSTKPDLS IWEWRSKDGNKYVKVTPSVLGRATQHDKDVLIYVVSQMTEALNRGREDAKNRTIRFTV HDFLVTTNRQTSGEGYKLLHQAFERLRGTSVSTDIRTGGTRVREGFGIIDRWKIIEKS RTDERMIAVEVTLSDWLFNAVQAYEVLTIHPDYFRLRKPMARRLYEIARKHCGRQSQW VISLRLLQEKTGSKSTLKEFRSAIRAIQADNSLPEYSLFLDETNDQVIFTARNAGQLS QNLVKEMANGPA MIM_RS21780 MTYGLIDANCFYCSCERVFRPSLKGKPLVSLSNNDGCVISRTDE AKALGIKMGQPWFEIKHLEEMGLMALSSNFALYGDMSDRVMTVIGRFSPRQEIYSVDE SFADFSGITEDLTAYSEKIRAAVLRETGIPTCVGIGATKTLAKLANHIAKKQPSWSGV CDLTQLDRYQLAAMMKNIEVGEVWGIGRRIAKRLNELGIYTVFDLARMKPEAARAEFS IVVGKTVQELRGISRIDLEEVADPKEQIISSRSFGLPITDLAGLQSALSEFVAIACNK LRGQNSVAASMQIFIRTSPFDKGKQYGNSRLVTPPYPSADNLVFTKYALRALETIWRP GFKYKKAGVMLMDISPADTVQGELFPVEPEHDKRAQLMVALDGINARYGRGSVKTGSI GFHDREHWYMRQERKSQGYTTNWHEVPIARA MIM_RS21785 MHSTLELIPIEETSATIGVIVCKTPAGFPSPAQDHAVNRIDIGE ILVKHPTSTFYLCVKGHSMKEAGIDDGDHLIVDRSLTAKHNSIVIAEIDGDVTVKRLY KKNGMIRLKAANLTYPDIVPVPGQEWMIWGVVTHVIKDLL MIM_RS21790 MKATKILVGSIMIFALGTSPAIADEAKPFGFKMGMSEKEILEAL KNANAGAIKADPTLIISRSAVIPLKGYSSYLYVFTKKSGLCKISALSENFSSGLKLRQ EYDTIKNNLITKYGKPAVTFDSDNRTDTSKDILDAMKNGEINLATSWGETVKRHPDGS FYFESIAPLPKNLKAVVLNASAENNRLGGSSGSVAITYKFANESECLSEITGSTFNAL MIM_RS21795 MHVDEFIKMNASERSAKYSQLTPFREAIFKLKNEGFTERKILEY LALNDVVVNQSTLHRFVKRNADNEPQKKQTNMSKRQRPVPAADASSEPRKPVDPTKPS WVPDHINVDELLSKS MIM_RS21805 MEIFAPGSMYVINMPLWDALRPNFNIKKRCASVNQLHRAVTSKV NGLDSFIVDGPFPKYKAGVGKKLVRIGNYDALCLLMIFWRFDSEDANHPNRMEIAVNI YHLLLILSGELFYYGICEHFFSLFKHHVFDHARSNGYRLDVNYEKFCKNAELLIRFYP FDEKANDKTSEKRNTATGMTLQKSSKFFELYSILNDIFNHDESVSSPDRDVILQLIYE HLCSDWASKVLFDNYQESLIETIKKYVKNDSNLTELDEQMFITKAKISSMIGNI MIM_RS21810 MKNIGTLEELPLEYLENLESENLVPLWPSLKALLPPGLPKAQTQ AIHWTYEAIRPLLMKAGELTPIEKAERRVLVLANPGHGLNAMKVSPAMYVGMQLLLPG EWAPSHRHTPNAVRMIVEGEGAYTTVEGEKCPMSRGDLILTPSGLWHEHSHEGDEPVV WLDVLDLPLIYYMETSYHVDGPKQTIKPGRGDRIYANGGVLPSPIFLRNGNRYPMLRY PWEKVRSTLLALEIENADTDAIQVEYINPETGESAENILGFYALMLRPGQILDIPARS PSTLFHIIEGGVIASIAENEFSLAEADTCCSPGYTSVRLQNRCADKPAFIFMADESPL HKKMGVFEVR MIM_RS21820 MDAFKTLSSNVVPLDRANVDTDAILPKQFLKSIQRSGFGDYLFD EWRYLDRGEPGQRTEDRQKNQNFCLNQQQYAGAKILLTRENFGCGSSREHAVWALHDF GIRVLIAPSFADIFYGNCFKNGVLPIVVNPTIVDSLFCEFELSAQLALYISLEDQLIT ANGETVFQFDIDASRKHRLLNGLDDIDITFQFSEKIRRFEAAQRAREPWFFR MIM_RS21825 MQRIIKILSLNFFVFLAVFGTSKIFAQNEAVYPNRPVTIVTPFS AGSGPDAVLRMVAENLSQQWKQPVVIDNRPGGAGLIAIEQARRAKPDGYTLLQIDSEQ LSALPFLYPSRKVVPMALFDPVSALFRTPFFVTVPNKSTWKDMQDLINAAKKNSDAVS YGSWGVGSPGHLGGKQLEMITHTSMIHVPYKETAQLYVNLSAEEIQWAFASIGSSESL YKKGSLRYLAIAAKQRHPRMPNVPTVAEANGPADLEVDSFAVVLAPKGIDPKLIEKIH FAITKATDSEKVKKNFDAFAFERLTWGPQEISQQGSAKARIYEKLIREGNIRIE MIM_RS21830 MLHTTNPIIKHKAGLLNLAQELHNVSRACQVMGVSRDTFYRYRE LVNEGGVDALINKTKRVSNLKNRVDQATEQAVTEMAIEFPAYGQLRVSNELRKRGTFV SGSGVRSIWVRNNLENFRKRLKALEERVARDGIILSDDQVAALERKQQDDVACGEIET EHPGYLGSQDTFYVGNLKGVGRIYQQTYVDTYSKVAHCKLYTTKTPITAADLLNDKVL PFYEQHGLSVLRILTDRGTEFCGRVDHHDYQLYLAVNDIDHTKTKAMSPQTNGICERF HKTILQEFYQITFRKTLYSDLDSLQKDLDVWLDFYNNERTHQGKMCCGRTPMQTLLEG KAVWESKNVNQI MIM_RS21835 MTNLDIRDIELIDTLVRTNNISVTAELLGLSQPSVSIRIAKLRK HFADPLFVRTSEGMQPTPKITSLLPAIHAVINLFSEIEEGDVKFDPKTSSRVFRIGMT NTGQIVVIAKLKNALNMLAPNIRLEVVELTANSPMQLERGEIDIVMGFTKIMGPGFYQ QKLFSEHYVCLVRSNHPRIKNSLSIEQFIKEDHVSVKSSGTAHWLLEKAIDDAGVTRK IALWVPSFLGLAEIVRTTDLLALAPIHLARILCRDKSVRSLDIPIQIPSYDVKQYWHE RYHQESGNIWLRKIIANTMQNE MIM_RS21840 MLLCRFGSNQLGVVRDNNVYEVTEVLSDLPQYSYPFPTHDVLIA NLDSLRPRIQQLASQSKPIPLSQIKLLAPVANPGKIIAAPVNYLKHLEEARTDSEIHH SNQVGEIQRVGLFLKATSSLIGVSEPVQIAHPNRRNDHEAEVVVVIGKAGRNISAKNA MEHVAGFCAGLDMTTRGPEERSMRKSIDTYSVLGPWLATADEVPDSSILNFRLTVNGE ERQKANTKDLVIDIPHLIEFASSFYTLHPGDLIYTGTPEGVGTVNPGDTIVMELDNVG NITVKVQALGAA MIM_RS21845 MKQNSKHPVLIAGGGVGGLSAALALARNGLESSVFEQADEFKEI GAGIQLGPNAFHTFDDLGIADEVHELTVYPDDIVAMDAINGEEIVRLPVAKLFPKRFG KPYGLIHRADLHSVLLRACRETGKVNLLSAKKVTDFNANGKEVVVTAADEQQHTGAAL IGADGLWSTVRQRIVGDGKPRISGHIAYRAVLPLDQVPEANRQNAMVVWMGPRFHLVH YPLRGHDMFNLVAVFHSDRFDEGWDSYGDPEELNLRFKGARPEVLGMLEKIDSWRMWV LCDREPIANWTKGNTTLLGDAAHPMLQYLAQGACMAMEDAVCLSKHLTLTGNDFDAAF SSYQKARYLRTARIQQTARLYGQAYHAADATRDLRNHFLKSRTPEQTMESMAWMYDKD QIPV MIM_RS21850 MLHTTNPIIKHKAGLLNLAQELHNVSRACQVMGVSRDTFYRYRE LVNEGGVDALINKTKRVSNLKNRVDQATEQAVTEMAIEFPAYGQLRVSNELRKRGTFV SGSGVRSIWVRNNLENFRKRLKALEERVARDGIILSDDQVAALERKQQDDVACGEIET EHPGYLGSQDTFYVGNLKGVGRIYQQTYVDTYSKVAHCKLYTTKTPITAADLLNDKVL PFYEQHGLSVLRILTDRGTEFCGRVDHHDYQLYLAVNDIDHTKTKAMSPQTNGICERF HKTILQEFYQITFRKTLYSDLDSLQKDLDVWLDFYNNERTHQGKMCCGRTPMQTLLEG KAVWESKNVNQI MIM_RS21855 MASVKELLPVRKIGLHHIAFYRAFFENTLNLADIADQYLETGRN LVDARHTLILVQDALLVVGARKGKAPEQLALLKLPASVRKSVTETQKMLDRLRAIKNQ VDGQVQEPDSAVRSAPISFDDFIATFDPDNVLGQEEQLSFYYAEVGGSLTSATEEAKR EDRERLALVSEPDDLPLSVAQKALQTLHVKNLERRLVIINELAFELTSNPTLDDPVAG WFHPKIANKLIRAQLDTLGKLVDFANEYGWWWFKHVKGLGQVTAVKLTTWLSQNEHFL EKQIYQHVLKKSKDLQGGVITRIRPPVTLPDEQGAATDSGVFVEGSHQIEPLESLCLP ARLDGQQGTNRAPARNNRLAAQNDYEAIHEWLALYKTHTYQSYRKEAERLLLWSLFNM QKPLSSLTTADLALFRDFLCNPQPASLWVARRKFARTHEKWRPFVNPNPPPDEPAALM ADPSQEVELKGSMSRESIAHTLTVLGGLFEFLTSQQYLLSNPFKGLPKLASNRSMRVN HRINQRLWQRIQDRLDQVPVADTVAYRTVFAIRLFYLTGLRLSELCAIKMEDFHVQEN DEGYLAWYLIVDGKGGRTRDVYLVKPVLELLQQYLTALGLELDPRLNEAALPLIGYKK WVELGPEGEGRIERTPVYHTVIYADIKRFLSELAKELENDAPFDAQVIRSITPHWLRH TFASMLVKTTPLAQVRDMLGHASIHTTSLYLGTEKGEGEKAMERAFV MIM_RS21860 MSTLHHPSNTSPLPQRQGLLPGTVSAVATTLSHETTPHYWVNAQ TGRYYAARLLMNLFGQWELEQAWGSLSSRRGRRCYVPLGSLAQGQAQLQIVARRRQQR GYVHK MIM_RS21865 MRFTGSGFKALEDDPNVLDVDMRPIIFCSIQDLVDVMYISDEDS LLKTFANAALPKYAGWRIVEIRRDFGIDVQKKFPLNVEEVLPWWNVINSSR MIM_RS21875 MAVDSKEFDFVQWPDIQPDLDIRPSNDAPVILLNAQSQYEVQLR KWGFIRSWPGKTGKLVKKTLINAKFEEITMKRSFKKAFVDSRCIIPINTWFEWPEIPE INDGLKTKVRIFMPKRPTFGAAGIYEDAVDTETGEVLRRFSMLTVAPGTNSPIKNLHA RSPLVLPATDYYDWLSSTPGEVQRLIHPYEDSQAFDFEIVGKKESYSTLF MIM_RS21880 MLTPVRSGQFKRDVKRAEKRGKDLDKLRTLLLLLIEGKPLPEKY RDHPLKGQWSGYRDAHIEPDWLLIYRVAGEELQLNRTGTHSDLFEE MIM_RS21885 MRADAVVRARIPADMKDKAIATLERMGLSASDLIRLTFLRVAEE GRLPFDVQVPNRTTRKAMKELEAGKGKRFDNAEDLFKDLGI MIM_RS21890 MIVAIANTKGGVGKTTLAVQIAIARARAGRDVWLIDGDRQGTAA AAIAIRSEGETIPGIACAQYPDGPQLRAQVQQQRNKWDDIIIDVGGRDSTALRAALTL ADTLLVPFAPRSYDVWALDDMAALVDEANSVRDGLRAFAVLNLADPGEQSTDNAEAAA AVAEVPQFQYLSTPIRRRKAFSNASGAGLSVSELKPKDAKAIAEIDRLIQSILNV MIM_RS21895 MAIHAKPKKPLSKEAAAEAFVTGAPDATAKTETSVGYDKGIVKG HKRQVSITISPDLLRKIDAKAEAMGTGRSAFISMAVFKALQE